From 59cdcf471d08b6eb660768863af518a896519486 Mon Sep 17 00:00:00 2001 From: Passant Date: Wed, 19 Oct 2022 14:59:36 -0700 Subject: [PATCH] remove `./spef` and `./sdf` directories at the top-level add them to `./signoff/openlane-signoff/` as they are generated from openlane --- sdf/buff_flash_clkrst.sdf | 186 - sdf/caravel_clocking.sdf | 4556 - .../max/caravel_clocking.ff.sdf | 4640 - .../max/caravel_clocking.ss.sdf | 4640 - .../max/caravel_clocking.tt.sdf | 4640 - .../min/caravel_clocking.ff.sdf | 4640 - .../min/caravel_clocking.ss.sdf | 4640 - .../min/caravel_clocking.tt.sdf | 4640 - .../nom/caravel_clocking.ff.sdf | 4640 - .../nom/caravel_clocking.ss.sdf | 4640 - .../nom/caravel_clocking.tt.sdf | 4640 - sdf/digital_pll.sdf | 4825 - sdf/gpio_control_block.sdf | 1856 - sdf/housekeeping.sdf | 86452 ---- sdf/mgmt_protect.sdf | 22158 - sdf/multicorner/min/buff_flash_clkrst.ff.sdf | 186 - sdf/multicorner/min/buff_flash_clkrst.ss.sdf | 186 - sdf/multicorner/min/buff_flash_clkrst.tt.sdf | 186 - sdf/multicorner/nom/buff_flash_clkrst.ff.sdf | 186 - sdf/multicorner/nom/buff_flash_clkrst.ss.sdf | 186 - sdf/multicorner/nom/buff_flash_clkrst.tt.sdf | 186 - .../openlane-signoff/buff_flash_clkrst.sdc | 79 + .../lib/buff_flash_clkrst.lib | 583 + .../openlane-signoff/sdf/nom/caravan.tt.sdf | 0 .../openlane-signoff/spef}/caravan.nom.spef | 0 .../openlane-signoff/lib/caravel_clocking.lib | 513 + .../openlane-signoff/sdf/nom/chip_io.tt.sdf | 0 .../openlane-signoff/spef}/chip_io.nom.spef | 0 .../openlane-signoff/constant_block.sdc | 23 + .../openlane-signoff/lib/constant_block.lib | 48 + .../sdf/nom/constant_block.tt.sdf | 0 .../spef}/constant_block.nom.spef | 0 .../sdf/nom/gpio_defaults_block.tt.sdf | 0 .../spef}/gpio_defaults_block.nom.spef | 0 .../spef}/gpio_defaults_block_0403.nom.spef | 0 .../spef}/gpio_defaults_block_1803.nom.spef | 0 .../sdf/nom/gpio_logic_high.tt.sdf | 0 .../spef}/gpio_logic_high.nom.spef | 0 .../openlane-signoff/lib/housekeeping.lib | 3028 + .../openlane-signoff/lib/mgmt_protect.lib | 24434 + .../sdf/nom/mgmt_protect_hv.tt.sdf | 0 .../spef}/mgmt_protect_hv.nom.spef | 0 .../sdf/nom/mprj2_logic_high.tt.sdf | 0 .../spef}/mprj2_logic_high.nom.spef | 0 .../sdf/nom/mprj_logic_high.tt.sdf | 0 .../spef}/mprj_logic_high.nom.spef | 0 .../sdf/nom/spare_logic_block.tt.sdf | 0 .../spef}/spare_logic_block.nom.spef | 0 .../openlane-signoff/sdf/nom/xres_buf.tt.sdf | 0 .../openlane-signoff/spef}/xres_buf.nom.spef | 0 spef/buff_flash_clkrst.spef | 587 - spef/caravan.spef | 71420 --- spef/caravel.spef | 80597 ---- spef/caravel_clocking.spef | 11740 - spef/chip_io.spef | 9721 - spef/constant_block.spef | 84 - spef/digital_pll.spef | 14066 - spef/gpio_control_block.spef | 5623 - .../gpio_control_block.max.spef | 6121 - .../gpio_control_block.min.spef | 5487 - .../gpio_control_block.nom.spef | 5623 - spef/gpio_defaults_block.spef | 273 - spef/gpio_defaults_block_0403.spef | 273 - spef/gpio_defaults_block_1803.spef | 273 - spef/gpio_logic_high.spef | 57 - spef/housekeeping.spef | 372624 --------------- spef/mgmt_protect_hv.spef | 204 - spef/mprj2_logic_high.spef | 100 - spef/mprj_logic_high.spef | 8669 - spef/spare_logic_block.spef | 1192 - spef/xres_buf.spef | 65 - 71 files changed, 28708 insertions(+), 757708 deletions(-) delete mode 100644 sdf/buff_flash_clkrst.sdf delete mode 100644 sdf/caravel_clocking.sdf delete mode 100644 sdf/caravel_clocking/max/caravel_clocking.ff.sdf delete mode 100644 sdf/caravel_clocking/max/caravel_clocking.ss.sdf delete mode 100644 sdf/caravel_clocking/max/caravel_clocking.tt.sdf delete mode 100644 sdf/caravel_clocking/min/caravel_clocking.ff.sdf delete mode 100644 sdf/caravel_clocking/min/caravel_clocking.ss.sdf delete mode 100644 sdf/caravel_clocking/min/caravel_clocking.tt.sdf delete mode 100644 sdf/caravel_clocking/nom/caravel_clocking.ff.sdf delete mode 100644 sdf/caravel_clocking/nom/caravel_clocking.ss.sdf delete mode 100644 sdf/caravel_clocking/nom/caravel_clocking.tt.sdf delete mode 100644 sdf/digital_pll.sdf delete mode 100644 sdf/gpio_control_block.sdf delete mode 100644 sdf/housekeeping.sdf delete mode 100644 sdf/mgmt_protect.sdf delete mode 100644 sdf/multicorner/min/buff_flash_clkrst.ff.sdf delete mode 100644 sdf/multicorner/min/buff_flash_clkrst.ss.sdf delete mode 100644 sdf/multicorner/min/buff_flash_clkrst.tt.sdf delete mode 100644 sdf/multicorner/nom/buff_flash_clkrst.ff.sdf delete mode 100644 sdf/multicorner/nom/buff_flash_clkrst.ss.sdf delete mode 100644 sdf/multicorner/nom/buff_flash_clkrst.tt.sdf create mode 100644 signoff/buff_flash_clkrst/openlane-signoff/buff_flash_clkrst.sdc create mode 100644 signoff/buff_flash_clkrst/openlane-signoff/lib/buff_flash_clkrst.lib rename sdf/caravan.sdf => signoff/caravan/openlane-signoff/sdf/nom/caravan.tt.sdf (100%) rename {spef/caravan => signoff/caravan/openlane-signoff/spef}/caravan.nom.spef (100%) create mode 100644 signoff/caravel_clocking/openlane-signoff/lib/caravel_clocking.lib rename sdf/chip_io.sdf => signoff/chip_io/openlane-signoff/sdf/nom/chip_io.tt.sdf (100%) rename {spef/chip_io => signoff/chip_io/openlane-signoff/spef}/chip_io.nom.spef (100%) create mode 100644 signoff/constant_block/openlane-signoff/constant_block.sdc create mode 100644 signoff/constant_block/openlane-signoff/lib/constant_block.lib rename sdf/constant_block.sdf => signoff/constant_block/openlane-signoff/sdf/nom/constant_block.tt.sdf (100%) rename {spef/constant_block => signoff/constant_block/openlane-signoff/spef}/constant_block.nom.spef (100%) rename sdf/gpio_defaults_block.sdf => signoff/gpio_defaults_block/openlane-signoff/sdf/nom/gpio_defaults_block.tt.sdf (100%) rename {spef/gpio_defaults_block => signoff/gpio_defaults_block/openlane-signoff/spef}/gpio_defaults_block.nom.spef (100%) rename {spef/gpio_defaults_block_0403 => signoff/gpio_defaults_block_0403/openlane-signoff/spef}/gpio_defaults_block_0403.nom.spef (100%) rename {spef/gpio_defaults_block_1803 => signoff/gpio_defaults_block_1803/openlane-signoff/spef}/gpio_defaults_block_1803.nom.spef (100%) rename sdf/gpio_logic_high.sdf => signoff/gpio_logic_high/openlane-signoff/sdf/nom/gpio_logic_high.tt.sdf (100%) rename {spef/gpio_logic_high => signoff/gpio_logic_high/openlane-signoff/spef}/gpio_logic_high.nom.spef (100%) create mode 100644 signoff/housekeeping/openlane-signoff/lib/housekeeping.lib create mode 100644 signoff/mgmt_protect/openlane-signoff/lib/mgmt_protect.lib rename sdf/mgmt_protect_hv.sdf => signoff/mgmt_protect_hv/openlane-signoff/sdf/nom/mgmt_protect_hv.tt.sdf (100%) rename {spef/mgmt_protect_hv => signoff/mgmt_protect_hv/openlane-signoff/spef}/mgmt_protect_hv.nom.spef (100%) rename sdf/mprj2_logic_high.sdf => signoff/mprj2_logic_high/openlane-signoff/sdf/nom/mprj2_logic_high.tt.sdf (100%) rename {spef/mprj2_logic_high => signoff/mprj2_logic_high/openlane-signoff/spef}/mprj2_logic_high.nom.spef (100%) rename sdf/mprj_logic_high.sdf => signoff/mprj_logic_high/openlane-signoff/sdf/nom/mprj_logic_high.tt.sdf (100%) rename {spef/mprj_logic_high => signoff/mprj_logic_high/openlane-signoff/spef}/mprj_logic_high.nom.spef (100%) rename sdf/spare_logic_block.sdf => signoff/spare_logic_block/openlane-signoff/sdf/nom/spare_logic_block.tt.sdf (100%) rename {spef/spare_logic_block => signoff/spare_logic_block/openlane-signoff/spef}/spare_logic_block.nom.spef (100%) rename sdf/xres_buf.sdf => signoff/xres_buf/openlane-signoff/sdf/nom/xres_buf.tt.sdf (100%) rename {spef/xres_buf => signoff/xres_buf/openlane-signoff/spef}/xres_buf.nom.spef (100%) delete mode 100644 spef/buff_flash_clkrst.spef delete mode 100644 spef/caravan.spef delete mode 100644 spef/caravel.spef delete mode 100644 spef/caravel_clocking.spef delete mode 100644 spef/chip_io.spef delete mode 100644 spef/constant_block.spef delete mode 100644 spef/digital_pll.spef delete mode 100644 spef/gpio_control_block.spef delete mode 100644 spef/gpio_control_block/gpio_control_block.max.spef delete mode 100644 spef/gpio_control_block/gpio_control_block.min.spef delete mode 100644 spef/gpio_control_block/gpio_control_block.nom.spef delete mode 100644 spef/gpio_defaults_block.spef delete mode 100644 spef/gpio_defaults_block_0403.spef delete mode 100644 spef/gpio_defaults_block_1803.spef delete mode 100644 spef/gpio_logic_high.spef delete mode 100644 spef/housekeeping.spef delete mode 100644 spef/mgmt_protect_hv.spef delete mode 100644 spef/mprj2_logic_high.spef delete mode 100644 spef/mprj_logic_high.spef delete mode 100644 spef/spare_logic_block.spef delete mode 100644 spef/xres_buf.spef diff --git a/sdf/buff_flash_clkrst.sdf b/sdf/buff_flash_clkrst.sdf deleted file mode 100644 index 6553c34f..00000000 --- a/sdf/buff_flash_clkrst.sdf +++ /dev/null @@ -1,186 +0,0 @@ -(DELAYFILE - (SDFVERSION "3.0") - (DESIGN "buff_flash_clkrst") - (DATE "Thu Oct 13 17:29:08 2022") - (VENDOR "Parallax") - (PROGRAM "STA") - (VERSION "2.3.1") - (DIVIDER .) - (VOLTAGE 1.800::1.800) - (PROCESS "1.000::1.000") - (TEMPERATURE 25.000::25.000) - (TIMESCALE 1ns) - (CELL - (CELLTYPE "buff_flash_clkrst") - (INSTANCE) - (DELAY - (ABSOLUTE - (INTERCONNECT in_n[0] BUF\[3\].A (0.018:0.018:0.018) (0.007:0.007:0.007)) - (INTERCONNECT in_n[10] BUF\[13\].A (0.017:0.017:0.017) (0.007:0.007:0.007)) - (INTERCONNECT in_n[11] BUF\[14\].A (0.025:0.025:0.025) (0.011:0.011:0.011)) - (INTERCONNECT in_n[1] BUF\[4\].A (0.019:0.019:0.019) (0.008:0.008:0.008)) - (INTERCONNECT in_n[2] BUF\[5\].A (0.022:0.022:0.022) (0.009:0.009:0.009)) - (INTERCONNECT in_n[3] BUF\[6\].A (0.017:0.017:0.017) (0.007:0.007:0.007)) - (INTERCONNECT in_n[4] BUF\[7\].A (0.019:0.019:0.019) (0.008:0.008:0.008)) - (INTERCONNECT in_n[5] BUF\[8\].A (0.019:0.019:0.019) (0.008:0.008:0.008)) - (INTERCONNECT in_n[6] BUF\[9\].A (0.021:0.021:0.021) (0.009:0.009:0.009)) - (INTERCONNECT in_n[7] BUF\[10\].A (0.021:0.021:0.021) (0.009:0.009:0.009)) - (INTERCONNECT in_n[8] BUF\[11\].A (0.018:0.018:0.018) (0.007:0.007:0.007)) - (INTERCONNECT in_n[9] BUF\[12\].A (0.021:0.021:0.021) (0.009:0.009:0.009)) - (INTERCONNECT in_s[0] BUF\[0\].A (0.017:0.017:0.017) (0.007:0.007:0.007)) - (INTERCONNECT in_s[1] BUF\[1\].A (0.021:0.021:0.021) (0.009:0.009:0.009)) - (INTERCONNECT in_s[2] BUF\[2\].A (0.017:0.017:0.017) (0.007:0.007:0.007)) - (INTERCONNECT BUF\[0\].X out_n[0] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[10\].X out_s[7] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[11\].X out_s[8] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[12\].X out_s[9] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[13\].X out_s[10] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[14\].X out_s[11] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[1\].X out_n[1] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[2\].X out_n[2] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[3\].X out_s[0] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[4\].X out_s[1] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[5\].X out_s[2] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[6\].X out_s[3] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[7\].X out_s[4] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[8\].X out_s[5] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[9\].X out_s[6] (0.001:0.001:0.001) (0.001:0.001:0.001)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[0\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.145:0.145:0.145) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[10\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.146:0.146:0.146) (0.146:0.146:0.146)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[11\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.145:0.145:0.145) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[12\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.145:0.145:0.145) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[13\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.145:0.145:0.145) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[14\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.149:0.149:0.149) (0.148:0.148:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[1\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.146:0.146:0.146) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[2\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.144:0.144:0.144) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[3\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.144:0.144:0.144) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[4\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.145:0.145:0.145) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[5\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.146:0.146:0.146) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[6\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.144:0.144:0.144) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[7\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.145:0.145:0.145) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[8\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.144:0.144:0.144) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[9\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.146:0.146:0.146) (0.146:0.146:0.146)) - ) - ) - ) -) diff --git a/sdf/caravel_clocking.sdf b/sdf/caravel_clocking.sdf deleted file mode 100644 index c06d3d7a..00000000 --- a/sdf/caravel_clocking.sdf +++ /dev/null @@ -1,4556 +0,0 @@ -(DELAYFILE - (SDFVERSION "3.0") - (DESIGN "caravel_clocking") - (DATE "Tue Oct 18 12:56:30 2022") - (VENDOR "Parallax") - (PROGRAM "STA") - (VERSION "2.3.1") - (DIVIDER .) - (VOLTAGE 1.800::1.800) - (PROCESS "1.000::1.000") - (TEMPERATURE 25.000::25.000) - (TIMESCALE 1ns) - (CELL - (CELLTYPE "caravel_clocking") - (INSTANCE) - (DELAY - (ABSOLUTE - (INTERCONNECT ext_clk clkbuf_0_ext_clk.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_clk ANTENNA_clkbuf_0_ext_clk_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_clk_sel input1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_clk_sel ANTENNA_input1_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_reset input2.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_reset ANTENNA_input2_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT pll_clk clkbuf_0_pll_clk.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT pll_clk ANTENNA_clkbuf_0_pll_clk_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT pll_clk90 clkbuf_0_pll_clk90.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT pll_clk90 ANTENNA_clkbuf_0_pll_clk90_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT resetb input3.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT resetb ANTENNA_input3_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[0] input4.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[0] ANTENNA_input4_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[1] input5.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[1] ANTENNA_input5_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[2] input6.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[2] ANTENNA_input6_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[0] input7.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[0] ANTENNA_input7_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[1] input8.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[1] ANTENNA_input8_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[2] input9.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[2] ANTENNA_input9_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _202_.X _276_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _202_.X _359_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _202_.X _360_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _203_.X _261_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _203_.X _387_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _203_.X _388_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _204_.X _301_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _205_.X clkbuf_0__037_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _206_.X clkbuf_0_net10.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _207_.X _305_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _208_.X user_clk_out_buffer.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _209_.X _359_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _210_.X _276_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _211_.X _360_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _212_.X _213_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _213_.X _255_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _214_.X _215_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _215_.X _290_.A1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _216_.X _217_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _217_.X _257_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _218_.X _219_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _219_.X _361_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _220_.X _221_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _221_.X _364_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _222_.X _223_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _223_.X _366_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _224_.X _367_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _225_.X _368_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _226_.X _387_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _227_.X _261_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _228_.X _388_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _229_.X _230_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _230_.X _258_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _231_.X _232_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _232_.X _275_.A1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _233_.X _234_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _234_.X _260_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _235_.X _236_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _236_.X _389_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _237_.X _238_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _238_.X _391_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _239_.X _240_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _240_.X _393_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _241_.X _398_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _242_.X _399_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _243_.Y _269_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _243_.Y _270_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _244_.Y _284_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _244_.Y _285_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _245_.Y _224_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _246_.Y _209_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _246_.Y _315_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _247_.Y _212_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _248_.Y _218_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _248_.Y _295_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _248_.Y _362_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _249_.Y _241_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _250_.Y _226_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _250_.Y _331_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _251_.Y _229_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _252_.Y _235_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _252_.Y _300_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _252_.Y _390_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _253_.Y _412_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _403__8.Y _410_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _255_.Y _356_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _405__5.Y _424_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _358_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _258_.Y _384_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _407__2.Y _449_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _260_.Y _386_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _261_.X _451_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _262_.Y _229_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _262_.Y _231_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _262_.Y _233_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _262_.Y _269_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _262_.Y _270_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _263_.Y _267_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _263_.Y _269_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _263_.Y _270_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _263_.Y _272_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _263_.Y _331_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _264_.Y _207_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _265_.X _269_.A3 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _265_.X _270_.A3 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _265_.X _272_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _265_.X _381_.B1 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _265_.X _382_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _265_.X _389_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _265_.X _391_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _265_.X _392_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _265_.X _393_.A2 (0.002:0.002:0.002) (0.001:0.001:0.002)) - (INTERCONNECT _265_.X _394_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _266_.Y _298_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _266_.Y _308_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _267_.Y _268_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _267_.Y _273_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _267_.Y _274_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _267_.Y _383_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _267_.Y _385_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _268_.Y _203_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _269_.X _271_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _270_.Y _271_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _271_.Y _449_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _272_.Y _384_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _272_.Y _386_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _273_.Y _275_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _274_.Y _275_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _275_.Y _447_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _276_.X _426_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _277_.Y _212_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _277_.Y _214_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _277_.Y _216_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _277_.Y _284_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _277_.Y _285_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _278_.Y _282_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _278_.Y _284_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _278_.Y _285_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _278_.Y _287_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _278_.Y _315_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _279_.Y _204_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.X _284_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _280_.X _285_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _280_.X _287_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _280_.X _352_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.X _354_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.X _361_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _281_.Y _293_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _281_.Y _304_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _281_.Y _363_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _281_.Y _365_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _282_.Y _283_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _282_.Y _288_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _282_.Y _289_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _282_.Y _355_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _282_.Y _357_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _283_.Y _202_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _284_.X _286_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _285_.Y _286_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _286_.Y _424_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _287_.Y _356_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _287_.Y _358_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _288_.Y _290_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _289_.Y _290_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _290_.Y _422_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _291_.Y _292_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _291_.Y _345_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.Y _224_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.Y _225_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.Y _346_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _293_.Y _202_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _293_.Y _362_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _293_.Y _364_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _293_.Y _366_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _294_.Y _395_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _295_.Y _218_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _295_.Y _220_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _295_.Y _222_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.Y _297_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.Y _373_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _297_.Y _241_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _297_.Y _242_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _297_.Y _374_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _298_.Y _203_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _298_.Y _390_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _299_.Y _342_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _235_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _237_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _239_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _301_.Y _304_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _302_.X _304_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _303_.Y _304_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.Y clkbuf_0_divider\.out.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _305_.Y _308_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _306_.X _308_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _307_.Y _308_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y clkbuf_0_divider2\.out.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _309_.Y _209_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _310_.Y _210_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _311_.Y _313_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _312_.X _211_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _312_.X _313_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _313_.Y _210_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _314_.X _315_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _315_.X _211_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _316_.Y _214_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _317_.Y _319_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _318_.Y _319_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _319_.Y _216_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _320_.Y _220_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _321_.Y _323_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _322_.Y _323_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _323_.Y _222_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _324_.Y _225_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _325_.Y _226_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _326_.Y _227_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _327_.Y _329_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _328_.X _228_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _328_.X _329_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _329_.Y _227_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _330_.X _331_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _331_.X _228_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _332_.Y _231_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _333_.Y _335_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _334_.Y _335_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _335_.Y _233_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _336_.Y _237_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _337_.Y _339_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _338_.Y _339_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _339_.Y _239_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _340_.Y _242_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _341_.Y output11.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _342_.Y _343_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _342_.Y _344_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _343_.Y _344_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _344_.Y _414_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _345_.Y _347_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _346_.Y _347_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _347_.Y _419_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _348_.Y _353_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _349_.Y _353_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _350_.Y _352_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _351_.X _352_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _352_.Y _354_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _353_.Y _354_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _354_.X _420_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _355_.Y _356_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _356_.Y _421_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _357_.Y _358_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _358_.Y _423_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _359_.X _425_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _360_.X _427_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _361_.Y _362_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _362_.Y _428_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _363_.Y _364_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _364_.X _429_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _365_.Y _366_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _366_.X _430_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _367_.X _431_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _368_.X _432_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _369_.Y _371_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _370_.Y _371_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _371_.Y _433_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _372_.X _440_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _373_.Y _375_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _374_.Y _375_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _375_.Y _444_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _376_.Y _378_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _377_.Y _378_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _378_.Y _382_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _379_.Y _381_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _380_.X _381_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _381_.Y _382_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _382_.X _445_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _383_.Y _384_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _384_.Y _446_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _385_.Y _386_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _386_.Y _448_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _387_.X _450_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _388_.X _452_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _389_.Y _390_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _390_.Y _453_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _391_.Y _392_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _392_.X _454_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _393_.Y _394_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _394_.X _455_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _395_.Y _396_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _395_.Y _397_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _396_.Y _397_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _397_.Y _456_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _398_.X _457_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _399_.X _458_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _400_.Y _402_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _401_.Y _402_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _402_.Y _459_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _404__9.Y _411_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_ext_clk.X clkbuf_1_0__f_ext_clk.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_ext_clk.X clkbuf_1_1__f_ext_clk.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _406__6.Y _426_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _254__7.Y _409_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _408__3.Y _451_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _256__4.Y _422_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _409_.Q _341_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _410_.Q _409_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _411_.Q _410_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _412_.Q _205_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _412_.Q _413_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _413_.Q _206_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _413_.Q _208_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _414_.Q _306_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _414_.Q _307_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _414_.Q _343_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _414_.Q _344_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _415_.Q _205_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _416_.Q _353_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _417_.Q _348_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _417_.Q _349_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _418_.Q _350_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _418_.Q _351_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _419_.Q _204_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _419_.Q _345_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _419_.Q _346_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _420_.Q fanout24.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _421_.Q _247_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _421_.Q _277_.C_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _421_.Q _316_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _421_.Q _317_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _421_.Q _318_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _421_.Q _355_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.Q _277_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _422_.Q _289_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _422_.Q _316_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _422_.Q _317_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _422_.Q _318_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _423_.Q _277_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _423_.Q _317_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _423_.Q _318_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _423_.Q _357_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _424_.Q _244_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _424_.Q _302_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _424_.Q _303_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Q _246_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Q _310_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _425_.Q _314_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Q _359_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _426_.Q _276_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _426_.Q _278_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _426_.Q _310_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _426_.Q _314_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _427_.Q _278_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _427_.Q _314_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _427_.Q _360_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _428_.Q _248_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _428_.Q _320_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _428_.Q _321_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _428_.Q _322_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _428_.Q _395_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _429_.Q _294_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _429_.Q _295_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.Q _320_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.Q _321_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.Q _322_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.Q _363_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _430_.Q _294_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _430_.Q _295_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _430_.Q _321_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _430_.Q _322_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _430_.Q _365_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _431_.Q _245_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _431_.Q _292_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _431_.Q _324_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _431_.Q _345_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _431_.Q _367_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _431_.Q _369_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _431_.Q _370_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _432_.Q _291_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _432_.Q _324_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _432_.Q _368_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _432_.Q _369_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _432_.Q _370_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _433_.Q _291_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _433_.Q _369_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _433_.Q _370_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _434_.Q _437_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _435_.Q _438_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _436_.Q _439_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _437_.Q fanout19.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _437_.Q fanout20.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _438_.Q _224_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.Q _348_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.Q _417_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.Q _349_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.Q fanout18.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _439_.Q _225_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _439_.Q _279_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _439_.Q _418_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _439_.Q fanout17.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _440_.Q _372_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _440_.Q _415_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _441_.Q _378_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _442_.Q _376_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _442_.Q _377_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _443_.Q _379_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _443_.Q _380_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _444_.Q _207_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _444_.Q _373_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _444_.Q _374_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _445_.Q _382_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _445_.Q fanout22.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _446_.Q _251_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _446_.Q _262_.C_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _446_.Q _332_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _446_.Q _333_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _446_.Q _334_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _446_.Q _383_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _447_.Q _262_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _447_.Q _274_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _447_.Q _332_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _447_.Q _333_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _447_.Q _334_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _448_.Q _262_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _448_.Q _333_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _448_.Q _334_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _448_.Q _385_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _449_.Q _243_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _449_.Q _306_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _449_.Q _307_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _450_.Q _250_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _450_.Q _326_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _450_.Q _330_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _450_.Q _387_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _451_.Q _261_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _451_.Q _263_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _451_.Q _326_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _451_.Q _330_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _452_.Q _263_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _452_.Q _330_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _452_.Q _388_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _453_.Q _252_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _453_.Q _336_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _453_.Q _337_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _453_.Q _338_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _453_.Q _342_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _454_.Q _299_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _454_.Q _300_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _454_.Q _336_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _454_.Q _337_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _454_.Q _338_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _454_.Q _392_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _455_.Q _299_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _300_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _455_.Q _337_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _455_.Q _338_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _455_.Q _394_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _302_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _303_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _396_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _397_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _457_.Q _249_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _457_.Q _297_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _457_.Q _340_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _457_.Q _373_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _457_.Q _398_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _457_.Q _400_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _457_.Q _401_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _458_.Q _296_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _340_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _399_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _400_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _401_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _459_.Q _296_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _459_.Q _400_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _459_.Q _401_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _460_.Q _463_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _461_.Q _464_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _462_.Q _465_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _463_.Q fanout15.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _463_.Q fanout16.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _464_.Q _377_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _464_.Q _376_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _464_.Q _266_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _464_.Q _264_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _464_.Q fanout14.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _443_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _379_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _380_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q fanout13.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _259__1.Y _447_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout18.X _220_.A1 (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout18.X _221_.A1 (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout18.X _214_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout18.X _215_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout18.X _282_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout18.X _309_.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout18.X _312_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout18.X _279_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout18.X _280_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout18.X _395_.A2 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout18.X _311_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout18.X _281_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout18.X ANTENNA__281__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout18.X ANTENNA__311__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout18.X ANTENNA__395__A2.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout18.X ANTENNA__280__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout18.X ANTENNA__279__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout18.X ANTENNA__312__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout18.X ANTENNA__309__A.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout18.X ANTENNA__282__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout18.X ANTENNA__215__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout18.X ANTENNA__214__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout18.X ANTENNA__221__A1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout18.X ANTENNA__220__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout17.X _216_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout17.X _217_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout17.X _282_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout17.X _222_.A1 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT fanout17.X _223_.A1 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT fanout17.X _395_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout17.X _312_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout17.X _281_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout17.X _280_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout17.X _311_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout17.X _350_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout17.X _351_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout16.X _266_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout16.X _373_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout16.X _374_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout16.X _398_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout16.X _401_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout16.X _400_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout16.X _399_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout15.X _265_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout15.X _236_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout15.X _327_.C (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout15.X _328_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout15.X _342_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout15.X _235_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout15.X _441_.D (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout15.X _229_.A1 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT fanout15.X _230_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout15.X _267_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout15.X _325_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout15.X _305_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout14.X _442_.D (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout14.X _237_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X _328_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X _342_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout14.X _238_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X _325_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X _327_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X _267_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout14.X _265_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X _241_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout14.X _231_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout14.X _232_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout13.X _234_.A1 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT fanout13.X _233_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout13.X _328_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X _327_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X _267_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout13.X _239_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X _342_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout13.X _240_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X _265_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout13.X _264_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout13.X _242_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout13.X _266_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout13.X ANTENNA__266__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout13.X ANTENNA__242__A0.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout13.X ANTENNA__264__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout13.X ANTENNA__265__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout13.X ANTENNA__240__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X ANTENNA__342__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout13.X ANTENNA__239__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X ANTENNA__267__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout13.X ANTENNA__327__A.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout13.X ANTENNA__328__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X ANTENNA__233__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout13.X ANTENNA__234__A1.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT user_clk_out_buffer.X user_clk (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT output11.X resetb_sync (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT input9.X _436_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input8.X _435_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input7.X _434_.D (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input7.X ANTENNA__434__D.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input6.X _462_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input5.X _461_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input4.X _460_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input3.X fanout29.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input2.X _341_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input1.X _253_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _395_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout19.X _212_.A1 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout19.X _213_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout19.X _219_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout19.X _309_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout19.X _218_.A1 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout19.X _282_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout19.X _312_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout19.X _280_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout19.X _311_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout19.X _281_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _345_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X ANTENNA__345__A_N.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X ANTENNA__281__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X ANTENNA__311__C.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout19.X ANTENNA__280__B1.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT fanout19.X ANTENNA__312__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout19.X ANTENNA__282__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout19.X ANTENNA__218__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout19.X ANTENNA__309__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout19.X ANTENNA__219__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout19.X ANTENNA__213__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout19.X ANTENNA__212__A1.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout19.X ANTENNA__395__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout20.X _346_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout20.X _367_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout20.X _368_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout20.X _369_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout20.X _370_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout20.X _416_.D (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout20.X _301_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _298_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout21.X _227_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout21.X _226_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout21.X _228_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout21.X _203_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout21.X _392_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _394_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _236_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout21.X _238_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _240_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout21.X _391_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _393_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout22.X fanout21.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout22.X _389_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout22.X _343_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout22.X _385_.A_N (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT fanout22.X _383_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout22.X _234_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout22.X _232_.S (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT fanout22.X _230_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout22.X _275_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout22.X _273_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout22.X _272_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout22.X _270_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout23.X _209_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout23.X _202_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout23.X _357_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout23.X _355_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout23.X _215_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout23.X _213_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout23.X _290_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout23.X _288_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout23.X _287_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout23.X _364_.A1_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout23.X _219_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout23.X _366_.A1_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout24.X fanout23.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout24.X _221_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout24.X _223_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout24.X _361_.A1 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT fanout24.X _217_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout24.X _293_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout24.X _285_.B1 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT fanout24.X _211_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout24.X _210_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout24.X _396_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout24.X _354_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout25.X _372_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout25.X _415_.RESET_B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT fanout25.X _431_.SET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout25.X _432_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout25.X _433_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout25.X _410_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout25.X _411_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout25.X _413_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout25.X _419_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout25.X _420_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout25.X _434_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout25.X _435_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout26.X _412_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout26.X _421_.RESET_B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT fanout26.X _422_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout26.X _423_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout26.X _424_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout26.X _425_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout26.X _426_.SET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout26.X _427_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout26.X _428_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout26.X _429_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout26.X _430_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout26.X _456_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _409_.SET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout27.X _449_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _460_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout27.X _461_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _462_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout27.X _463_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout27.X _464_.SET_B (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _465_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout27.X _414_.SET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout27.X _444_.SET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout27.X _457_.SET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout27.X _458_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout28.X _445_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X _446_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X _447_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout28.X _448_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X _450_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout28.X _451_.SET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout28.X _452_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout28.X _453_.RESET_B (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout28.X _454_.SET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout28.X _455_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout28.X fanout27.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout28.X _459_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X ANTENNA__459__RESET_B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X ANTENNA_fanout27_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout28.X ANTENNA__455__RESET_B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout28.X ANTENNA__454__SET_B.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout28.X ANTENNA__453__RESET_B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout28.X ANTENNA__452__RESET_B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout28.X ANTENNA__451__SET_B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout28.X ANTENNA__450__RESET_B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout28.X ANTENNA__448__RESET_B.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout28.X ANTENNA__447__SET_B.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout28.X ANTENNA__446__RESET_B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout28.X ANTENNA__445__RESET_B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout29.X fanout26.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout29.X _436_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout29.X _437_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout29.X _438_.SET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout29.X _439_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout29.X fanout25.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout29.X fanout28.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _411__30.LO _411_.D (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_ext_clk.X _372_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_ext_clk.X _205_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0__037_.X clkbuf_1_0__f__037_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0__037_.X clkbuf_1_1__f__037_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f__037_.X _206_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f__037_.X _208_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_net10.X clkbuf_1_0__f_net10.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_net10.X clkbuf_1_1__f_net10.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_net10.X core_clk (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT clkbuf_1_0__f_net10.X _404__9.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT clkbuf_1_1__f_net10.X _403__8.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_net10.X _254__7.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_pll_clk.X clkbuf_1_0__f_pll_clk.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_pll_clk.X clkbuf_1_1__f_pll_clk.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _405__5.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _256__4.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _456_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _430_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _429_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _428_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _427_.CLK_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _425_.CLK_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _423_.CLK_N (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _421_.CLK_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _412_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _406__6.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _440_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _433_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _432_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _431_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _420_.CLK (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _419_.CLK (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _418_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _417_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _416_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _415_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _413_.CLK (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _204_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_0_divider\.out.X clkbuf_1_0__f_divider\.out.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_divider\.out.X clkbuf_1_1__f_divider\.out.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _439_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _436_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _435_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider\.out.X _438_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider\.out.X _437_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider\.out.X _434_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider\.out.X _206_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_pll_clk90.X clkbuf_1_0__f_pll_clk90.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_pll_clk90.X clkbuf_1_1__f_pll_clk90.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _408__3.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _259__1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _455_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _454_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _453_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _452_.CLK_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _450_.CLK_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _448_.CLK_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _446_.CLK_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _442_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _441_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _407__2.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _459_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _458_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _457_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _445_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _444_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _443_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _414_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _207_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_divider2\.out.X clkbuf_1_0__f_divider2\.out.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_divider2\.out.X clkbuf_1_1__f_divider2\.out.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_divider2\.out.X _464_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider2\.out.X _463_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider2\.out.X _461_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider2\.out.X _460_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_divider2\.out.X _465_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider2\.out.X _462_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider2\.out.X _208_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _202_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.223:0.224:0.224) (0.364:0.366:0.369)) - (IOPATH A1 X (0.223:0.223:0.223) (0.370:0.370:0.370)) - (IOPATH S X (0.258:0.261:0.264) (0.373:0.374:0.375)) - (IOPATH S X (0.195:0.196:0.197) (0.365:0.368:0.370)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _203_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.193:0.193:0.193) (0.340:0.342:0.344)) - (IOPATH A1 X (0.217:0.217:0.217) (0.365:0.365:0.365)) - (IOPATH S X (0.247:0.250:0.253) (0.364:0.365:0.367)) - (IOPATH S X (0.184:0.185:0.187) (0.357:0.359:0.362)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _204_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.158:0.158:0.158) (0.303:0.303:0.303)) - (IOPATH A1 X (0.151:0.151:0.151) (0.313:0.313:0.313)) - (IOPATH S X (0.216:0.219:0.222) (0.353:0.354:0.354)) - (IOPATH S X (0.170:0.170:0.171) (0.329:0.331:0.334)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _205_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.157:0.157:0.157) (0.313:0.313:0.313)) - (IOPATH A1 X (0.165:0.165:0.165) (0.329:0.329:0.329)) - (IOPATH S X (0.248:0.248:0.248) (0.368:0.368:0.368)) - (IOPATH S X (0.187:0.187:0.187) (0.356:0.356:0.356)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _206_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.149:0.149:0.149) (0.306:0.306:0.306)) - (IOPATH A1 X (0.154:0.154:0.154) (0.319:0.319:0.319)) - (IOPATH S X (0.243:0.243:0.243) (0.364:0.364:0.364)) - (IOPATH S X (0.183:0.183:0.183) (0.350:0.350:0.350)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _207_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.157:0.157:0.157) (0.299:0.299:0.299)) - (IOPATH A1 X (0.139:0.139:0.139) (0.303:0.303:0.303)) - (IOPATH S X (0.214:0.215:0.216) (0.345:0.345:0.345)) - (IOPATH S X (0.162:0.162:0.163) (0.326:0.326:0.327)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _208_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.154:0.154:0.154) (0.310:0.310:0.310)) - (IOPATH A1 X (0.157:0.157:0.157) (0.322:0.322:0.322)) - (IOPATH S X (0.247:0.247:0.247) (0.367:0.367:0.367)) - (IOPATH S X (0.187:0.187:0.187) (0.354:0.354:0.354)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _209_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.116:0.116:0.116) (0.275:0.275:0.275)) - (IOPATH A1 X (0.121:0.132:0.144) (0.285:0.288:0.291)) - (IOPATH S X (0.209:0.209:0.209) (0.330:0.330:0.330)) - (IOPATH S X (0.149:0.149:0.149) (0.318:0.318:0.318)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _210_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.119:0.131:0.142) (0.276:0.278:0.281)) - (IOPATH A1 X (0.138:0.138:0.138) (0.286:0.288:0.290)) - (IOPATH S X (0.218:0.218:0.218) (0.336:0.336:0.336)) - (IOPATH S X (0.156:0.156:0.156) (0.326:0.326:0.326)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _211_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.112:0.113:0.114) (0.273:0.274:0.274)) - (IOPATH A1 X (0.130:0.131:0.131) (0.290:0.290:0.291)) - (IOPATH S X (0.218:0.218:0.218) (0.336:0.336:0.336)) - (IOPATH S X (0.156:0.156:0.156) (0.326:0.326:0.326)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _212_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.118:0.118:0.118) (0.284:0.284:0.284)) - (IOPATH A1 X (0.175:0.175:0.175) (0.314:0.314:0.314)) - (IOPATH S X (0.211:0.214:0.217) (0.371:0.371:0.371)) - (IOPATH S X (0.212:0.212:0.212) (0.323:0.325:0.328)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _213_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.131:0.132:0.133) (0.295:0.295:0.296)) - (IOPATH A1 X (0.184:0.184:0.184) (0.322:0.322:0.322)) - (IOPATH S X (0.224:0.224:0.224) (0.345:0.345:0.345)) - (IOPATH S X (0.163:0.163:0.163) (0.333:0.333:0.333)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _214_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.114:0.125:0.136) (0.270:0.273:0.276)) - (IOPATH A1 X (0.165:0.165:0.165) (0.303:0.303:0.303)) - (IOPATH S X (0.202:0.206:0.209) (0.361:0.361:0.361)) - (IOPATH S X (0.203:0.203:0.203) (0.312:0.315:0.317)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _215_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.116:0.117:0.118) (0.281:0.281:0.281)) - (IOPATH A1 X (0.171:0.171:0.171) (0.309:0.309:0.309)) - (IOPATH S X (0.212:0.212:0.212) (0.333:0.333:0.333)) - (IOPATH S X (0.151:0.151:0.151) (0.321:0.321:0.321)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _216_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.105:0.105:0.106) (0.262:0.263:0.263)) - (IOPATH A1 X (0.145:0.145:0.145) (0.288:0.288:0.288)) - (IOPATH S X (0.196:0.200:0.203) (0.353:0.353:0.353)) - (IOPATH S X (0.196:0.197:0.197) (0.305:0.307:0.310)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _217_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.126:0.127:0.128) (0.292:0.292:0.292)) - (IOPATH A1 X (0.169:0.169:0.169) (0.313:0.313:0.313)) - (IOPATH S X (0.230:0.230:0.230) (0.349:0.349:0.349)) - (IOPATH S X (0.168:0.168:0.168) (0.338:0.338:0.338)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _218_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.106:0.106:0.106) (0.267:0.267:0.267)) - (IOPATH A1 X (0.161:0.161:0.161) (0.299:0.299:0.299)) - (IOPATH S X (0.179:0.185:0.191) (0.342:0.342:0.342)) - (IOPATH S X (0.174:0.174:0.174) (0.292:0.297:0.302)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _219_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.116:0.117:0.118) (0.283:0.283:0.283)) - (IOPATH A1 X (0.173:0.173:0.173) (0.313:0.313:0.313)) - (IOPATH S X (0.213:0.213:0.213) (0.336:0.336:0.336)) - (IOPATH S X (0.152:0.152:0.152) (0.324:0.324:0.324)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _220_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.120:0.132:0.144) (0.275:0.277:0.278)) - (IOPATH A1 X (0.167:0.167:0.167) (0.306:0.306:0.306)) - (IOPATH S X (0.185:0.191:0.197) (0.350:0.350:0.350)) - (IOPATH S X (0.180:0.180:0.180) (0.300:0.305:0.309)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _221_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.121:0.122:0.123) (0.288:0.288:0.288)) - (IOPATH A1 X (0.176:0.176:0.176) (0.315:0.315:0.315)) - (IOPATH S X (0.223:0.223:0.223) (0.343:0.343:0.343)) - (IOPATH S X (0.161:0.161:0.161) (0.333:0.333:0.333)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _222_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.114:0.114:0.115) (0.272:0.272:0.273)) - (IOPATH A1 X (0.152:0.152:0.152) (0.296:0.296:0.296)) - (IOPATH S X (0.184:0.190:0.196) (0.348:0.348:0.348)) - (IOPATH S X (0.179:0.179:0.179) (0.298:0.303:0.308)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _223_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.121:0.122:0.122) (0.288:0.288:0.288)) - (IOPATH A1 X (0.161:0.161:0.161) (0.308:0.308:0.308)) - (IOPATH S X (0.223:0.223:0.223) (0.343:0.343:0.343)) - (IOPATH S X (0.161:0.161:0.161) (0.333:0.333:0.333)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _224_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.148:0.148:0.148) (0.286:0.286:0.286)) - (IOPATH A1 X (0.105:0.105:0.105) (0.278:0.278:0.278)) - (IOPATH S X (0.209:0.210:0.211) (0.323:0.324:0.324)) - (IOPATH S X (0.142:0.143:0.143) (0.316:0.317:0.317)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _225_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.152:0.152:0.152) (0.299:0.299:0.299)) - (IOPATH A1 X (0.126:0.138:0.150) (0.292:0.294:0.296)) - (IOPATH S X (0.220:0.220:0.221) (0.336:0.337:0.337)) - (IOPATH S X (0.152:0.153:0.153) (0.329:0.330:0.330)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _226_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.124:0.124:0.124) (0.284:0.284:0.284)) - (IOPATH A1 X (0.132:0.144:0.157) (0.296:0.299:0.301)) - (IOPATH S X (0.222:0.222:0.222) (0.343:0.343:0.343)) - (IOPATH S X (0.161:0.161:0.161) (0.332:0.332:0.332)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _227_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.125:0.137:0.149) (0.283:0.285:0.287)) - (IOPATH A1 X (0.137:0.137:0.137) (0.290:0.293:0.295)) - (IOPATH S X (0.221:0.221:0.221) (0.341:0.341:0.341)) - (IOPATH S X (0.160:0.160:0.160) (0.331:0.331:0.331)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _228_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.115:0.116:0.116) (0.274:0.274:0.274)) - (IOPATH A1 X (0.127:0.127:0.127) (0.287:0.288:0.288)) - (IOPATH S X (0.215:0.215:0.215) (0.334:0.334:0.334)) - (IOPATH S X (0.153:0.153:0.153) (0.323:0.323:0.323)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _229_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.106:0.106:0.106) (0.270:0.270:0.270)) - (IOPATH A1 X (0.147:0.147:0.147) (0.292:0.292:0.292)) - (IOPATH S X (0.197:0.201:0.204) (0.355:0.355:0.355)) - (IOPATH S X (0.196:0.196:0.196) (0.307:0.310:0.312)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _230_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.127:0.128:0.129) (0.292:0.292:0.292)) - (IOPATH A1 X (0.168:0.168:0.168) (0.314:0.314:0.314)) - (IOPATH S X (0.228:0.228:0.228) (0.347:0.347:0.347)) - (IOPATH S X (0.167:0.167:0.167) (0.337:0.337:0.337)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _231_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.114:0.125:0.135) (0.271:0.274:0.277)) - (IOPATH A1 X (0.154:0.154:0.154) (0.298:0.298:0.298)) - (IOPATH S X (0.202:0.206:0.209) (0.361:0.361:0.361)) - (IOPATH S X (0.202:0.202:0.202) (0.313:0.316:0.318)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _232_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.119:0.120:0.121) (0.284:0.284:0.284)) - (IOPATH A1 X (0.161:0.161:0.161) (0.304:0.304:0.304)) - (IOPATH S X (0.219:0.219:0.219) (0.338:0.338:0.338)) - (IOPATH S X (0.157:0.157:0.157) (0.328:0.328:0.328)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _233_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.112:0.112:0.113) (0.270:0.271:0.271)) - (IOPATH A1 X (0.163:0.163:0.163) (0.303:0.303:0.303)) - (IOPATH S X (0.201:0.204:0.208) (0.359:0.359:0.359)) - (IOPATH S X (0.200:0.200:0.200) (0.311:0.314:0.317)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _234_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.130:0.131:0.132) (0.295:0.295:0.295)) - (IOPATH A1 X (0.184:0.184:0.184) (0.322:0.322:0.322)) - (IOPATH S X (0.231:0.231:0.231) (0.350:0.350:0.350)) - (IOPATH S X (0.169:0.169:0.169) (0.339:0.339:0.339)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _235_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.104:0.104:0.104) (0.265:0.265:0.265)) - (IOPATH A1 X (0.144:0.144:0.144) (0.289:0.289:0.289)) - (IOPATH S X (0.177:0.183:0.188) (0.340:0.340:0.340)) - (IOPATH S X (0.172:0.172:0.172) (0.290:0.294:0.299)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _236_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.109:0.110:0.111) (0.276:0.276:0.276)) - (IOPATH A1 X (0.151:0.151:0.151) (0.298:0.298:0.298)) - (IOPATH S X (0.212:0.212:0.212) (0.332:0.332:0.332)) - (IOPATH S X (0.151:0.151:0.151) (0.321:0.321:0.321)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _237_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.119:0.130:0.141) (0.277:0.279:0.281)) - (IOPATH A1 X (0.159:0.159:0.159) (0.303:0.303:0.303)) - (IOPATH S X (0.189:0.194:0.200) (0.354:0.354:0.354)) - (IOPATH S X (0.184:0.184:0.184) (0.304:0.309:0.313)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _238_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.110:0.111:0.111) (0.274:0.274:0.274)) - (IOPATH A1 X (0.150:0.150:0.150) (0.293:0.293:0.293)) - (IOPATH S X (0.209:0.209:0.209) (0.327:0.327:0.327)) - (IOPATH S X (0.148:0.148:0.148) (0.316:0.316:0.316)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _239_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.108:0.108:0.109) (0.266:0.266:0.267)) - (IOPATH A1 X (0.160:0.160:0.160) (0.298:0.298:0.298)) - (IOPATH S X (0.180:0.185:0.191) (0.343:0.343:0.343)) - (IOPATH S X (0.174:0.174:0.174) (0.293:0.297:0.302)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _240_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.108:0.109:0.110) (0.273:0.273:0.273)) - (IOPATH A1 X (0.162:0.162:0.162) (0.301:0.301:0.301)) - (IOPATH S X (0.210:0.210:0.210) (0.328:0.328:0.328)) - (IOPATH S X (0.149:0.149:0.149) (0.318:0.318:0.318)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _241_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.150:0.150:0.150) (0.286:0.286:0.286)) - (IOPATH A1 X (0.110:0.110:0.110) (0.283:0.283:0.283)) - (IOPATH S X (0.214:0.215:0.216) (0.328:0.328:0.329)) - (IOPATH S X (0.146:0.147:0.147) (0.322:0.322:0.323)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _242_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.160:0.160:0.160) (0.292:0.292:0.292)) - (IOPATH A1 X (0.115:0.125:0.136) (0.280:0.282:0.284)) - (IOPATH S X (0.213:0.214:0.215) (0.327:0.327:0.328)) - (IOPATH S X (0.146:0.146:0.146) (0.320:0.321:0.322)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _243_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.057:0.057:0.057) (0.063:0.063:0.063)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _244_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.053:0.053:0.053) (0.060:0.060:0.060)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _245_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.045:0.045:0.045) (0.058:0.058:0.058)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _246_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.076:0.076:0.076) (0.055:0.055:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _247_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.062:0.062:0.062) (0.067:0.067:0.067)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _248_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.066:0.066:0.066) (0.064:0.064:0.064)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _249_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.049:0.049:0.049) (0.064:0.064:0.064)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _250_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.073:0.073:0.073) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _251_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.059:0.059:0.059) (0.065:0.065:0.065)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _252_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.066:0.066:0.066) (0.064:0.064:0.064)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _253_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.093:0.093:0.093) (0.079:0.079:0.079)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _403__8) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.035:0.035:0.035) (0.022:0.022:0.022)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _255_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.048:0.048:0.048) (0.029:0.029:0.029)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _405__5) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.040:0.040:0.040) (0.024:0.024:0.024)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _257_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.050:0.050:0.050) (0.030:0.030:0.030)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _258_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.053:0.053:0.053) (0.032:0.032:0.033)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _407__2) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.037:0.037:0.037) (0.023:0.023:0.023)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _260_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.049:0.049:0.049) (0.029:0.030:0.030)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _261_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.154:0.154:0.154) (0.292:0.292:0.292)) - (IOPATH A1 X (0.123:0.123:0.124) (0.295:0.295:0.295)) - (IOPATH S X (0.217:0.218:0.218) (0.333:0.333:0.333)) - (IOPATH S X (0.150:0.150:0.150) (0.325:0.325:0.326)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3b_2") - (INSTANCE _262_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.415:0.415:0.415) (0.125:0.125:0.125)) - (IOPATH B Y (0.388:0.388:0.388) (0.106:0.106:0.106)) - (IOPATH C_N Y (0.428:0.428:0.428) (0.235:0.235:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _263_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.292:0.292:0.292) (0.113:0.113:0.113)) - (IOPATH B Y (0.264:0.264:0.264) (0.092:0.092:0.092)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _264_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.150:0.150:0.150) (0.091:0.091:0.091)) - (IOPATH B Y (0.144:0.144:0.144) (0.086:0.086:0.086)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _265_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.310:0.310:0.310) (0.314:0.314:0.314)) - (IOPATH A2 X (0.280:0.280:0.280) (0.292:0.292:0.292)) - (IOPATH B1 X (0.296:0.296:0.296) (0.208:0.208:0.208)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _266_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.229:0.229:0.229) (0.124:0.124:0.124)) - (IOPATH A2 Y (0.217:0.217:0.217) (0.117:0.117:0.117)) - (IOPATH B1 Y (0.122:0.122:0.122) (0.110:0.110:0.110)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211ai_4") - (INSTANCE _267_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.255:0.255:0.255) (0.135:0.135:0.135)) - (IOPATH A2 Y (0.226:0.226:0.226) (0.116:0.116:0.116)) - (IOPATH B1 Y (0.123:0.123:0.123) (0.124:0.124:0.124)) - (IOPATH C1 Y (0.108:0.110:0.113) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _268_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.059:0.065:0.072) (0.039:0.044:0.048)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_1") - (INSTANCE _269_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.200:0.200:0.200) (0.181:0.184:0.187)) - (IOPATH A2 X (0.193:0.193:0.193) (0.209:0.211:0.213)) - (IOPATH A3 X (0.174:0.174:0.174) (0.235:0.236:0.238)) - (IOPATH B1 X (0.083:0.083:0.083) (0.179:0.179:0.179)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a41oi_1") - (INSTANCE _270_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.164:0.167:0.170) (0.173:0.173:0.173)) - (IOPATH A2 Y (0.188:0.191:0.193) (0.164:0.164:0.164)) - (IOPATH A3 Y (0.224:0.225:0.227) (0.146:0.146:0.146)) - (IOPATH A4 Y (0.203:0.203:0.203) (0.108:0.108:0.108)) - (IOPATH B1 Y (0.180:0.180:0.180) (0.062:0.062:0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _271_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.053:0.054:0.054) (0.045:0.046:0.047)) - (IOPATH B Y (0.069:0.086:0.104) (0.068:0.072:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _272_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.174:0.176:0.179) (0.134:0.134:0.134)) - (IOPATH A2 Y (0.206:0.208:0.209) (0.120:0.120:0.120)) - (IOPATH B1 Y (0.176:0.176:0.176) (0.073:0.073:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _273_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.125:0.125:0.125) (0.161:0.161:0.161)) - (IOPATH B Y (0.084:0.093:0.101) (0.067:0.076:0.084)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _274_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.068:0.076:0.083) (0.058:0.066:0.073)) - (IOPATH B Y (0.087:0.087:0.087) (0.077:0.077:0.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2bb2ai_1") - (INSTANCE _275_) - (DELAY - (ABSOLUTE - (IOPATH A1_N Y (0.114:0.114:0.115) (0.132:0.132:0.132)) - (IOPATH A2_N Y (0.112:0.113:0.115) (0.120:0.123:0.126)) - (IOPATH B1 Y (0.150:0.153:0.157) (0.069:0.071:0.072)) - (IOPATH B2 Y (0.158:0.158:0.158) (0.083:0.083:0.083)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _276_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.156:0.156:0.156) (0.292:0.292:0.292)) - (IOPATH A1 X (0.119:0.120:0.120) (0.291:0.291:0.291)) - (IOPATH S X (0.219:0.220:0.220) (0.333:0.333:0.333)) - (IOPATH S X (0.152:0.152:0.152) (0.326:0.326:0.326)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3b_2") - (INSTANCE _277_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.432:0.432:0.432) (0.128:0.128:0.128)) - (IOPATH B Y (0.408:0.408:0.408) (0.112:0.112:0.112)) - (IOPATH C_N Y (0.443:0.443:0.443) (0.237:0.237:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _278_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.261:0.261:0.261) (0.108:0.108:0.108)) - (IOPATH B Y (0.235:0.235:0.235) (0.091:0.091:0.091)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _279_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.150:0.150:0.150) (0.079:0.079:0.079)) - (IOPATH B Y (0.138:0.138:0.138) (0.084:0.084:0.084)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _280_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.269:0.269:0.269) (0.268:0.268:0.268)) - (IOPATH A2 X (0.262:0.262:0.262) (0.261:0.261:0.261)) - (IOPATH B1 X (0.278:0.278:0.278) (0.196:0.196:0.196)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _281_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.248:0.248:0.248) (0.122:0.122:0.122)) - (IOPATH A2 Y (0.235:0.235:0.235) (0.124:0.124:0.124)) - (IOPATH B1 Y (0.131:0.131:0.131) (0.134:0.134:0.134)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211ai_4") - (INSTANCE _282_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.243:0.243:0.243) (0.124:0.124:0.124)) - (IOPATH A2 Y (0.230:0.230:0.230) (0.121:0.121:0.121)) - (IOPATH B1 Y (0.130:0.130:0.130) (0.136:0.136:0.136)) - (IOPATH C1 Y (0.102:0.105:0.108) (0.140:0.140:0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _283_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.061:0.065:0.070) (0.040:0.045:0.049)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_1") - (INSTANCE _284_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.201:0.201:0.201) (0.181:0.184:0.187)) - (IOPATH A2 X (0.183:0.183:0.183) (0.204:0.207:0.209)) - (IOPATH A3 X (0.169:0.169:0.169) (0.234:0.235:0.236)) - (IOPATH B1 X (0.081:0.081:0.081) (0.176:0.176:0.176)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a41oi_1") - (INSTANCE _285_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.167:0.170:0.173) (0.178:0.178:0.178)) - (IOPATH A2 Y (0.187:0.190:0.193) (0.156:0.157:0.157)) - (IOPATH A3 Y (0.227:0.228:0.229) (0.144:0.144:0.144)) - (IOPATH A4 Y (0.203:0.203:0.203) (0.109:0.109:0.109)) - (IOPATH B1 Y (0.183:0.183:0.183) (0.064:0.064:0.064)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _286_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.050:0.051:0.051) (0.042:0.043:0.045)) - (IOPATH B Y (0.068:0.085:0.102) (0.066:0.069:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _287_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.229:0.232:0.234) (0.156:0.156:0.156)) - (IOPATH A2 Y (0.266:0.267:0.268) (0.142:0.142:0.142)) - (IOPATH B1 Y (0.231:0.231:0.231) (0.088:0.088:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _288_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.126:0.126:0.126) (0.160:0.160:0.160)) - (IOPATH B Y (0.088:0.094:0.100) (0.069:0.079:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _289_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.077:0.083:0.088) (0.066:0.075:0.085)) - (IOPATH B Y (0.095:0.095:0.095) (0.087:0.087:0.087)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2bb2ai_1") - (INSTANCE _290_) - (DELAY - (ABSOLUTE - (IOPATH A1_N Y (0.109:0.110:0.110) (0.127:0.127:0.128)) - (IOPATH A2_N Y (0.109:0.110:0.111) (0.117:0.121:0.124)) - (IOPATH B1 Y (0.144:0.148:0.152) (0.069:0.070:0.071)) - (IOPATH B2 Y (0.146:0.146:0.146) (0.077:0.077:0.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _291_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.173:0.173:0.173) (0.091:0.091:0.091)) - (IOPATH B Y (0.147:0.147:0.147) (0.068:0.068:0.068)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _292_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.129:0.129:0.129) (0.127:0.127:0.127)) - (IOPATH B Y (0.126:0.129:0.132) (0.129:0.129:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _293_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.194:0.194:0.194) (0.216:0.216:0.216)) - (IOPATH B Y (0.150:0.153:0.157) (0.123:0.137:0.151)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _294_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.107:0.107:0.107) (0.067:0.067:0.067)) - (IOPATH B Y (0.094:0.094:0.094) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_2") - (INSTANCE _295_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.290:0.290:0.290) (0.091:0.091:0.091)) - (IOPATH B Y (0.271:0.271:0.271) (0.081:0.081:0.081)) - (IOPATH C Y (0.213:0.213:0.213) (0.048:0.048:0.048)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _296_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.172:0.172:0.172) (0.091:0.091:0.091)) - (IOPATH B Y (0.146:0.146:0.146) (0.069:0.069:0.069)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _297_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.133:0.133:0.133) (0.130:0.130:0.130)) - (IOPATH B Y (0.129:0.132:0.135) (0.131:0.131:0.131)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _298_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.146:0.146:0.146) (0.178:0.178:0.178)) - (IOPATH B Y (0.103:0.103:0.104) (0.082:0.092:0.101)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _299_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.129:0.129:0.129) (0.075:0.075:0.075)) - (IOPATH B Y (0.119:0.119:0.119) (0.063:0.063:0.063)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_2") - (INSTANCE _300_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.287:0.287:0.287) (0.088:0.088:0.088)) - (IOPATH B Y (0.270:0.270:0.270) (0.081:0.081:0.081)) - (IOPATH C Y (0.213:0.213:0.213) (0.048:0.048:0.048)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _301_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.154:0.154:0.154) (0.208:0.208:0.208)) - (IOPATH B Y (0.080:0.080:0.080) (0.062:0.063:0.063)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _302_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.172:0.172:0.172) (0.161:0.161:0.161)) - (IOPATH B X (0.162:0.162:0.162) (0.173:0.173:0.173)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _303_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.159:0.159:0.159) (0.087:0.087:0.087)) - (IOPATH B Y (0.138:0.138:0.138) (0.072:0.072:0.072)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31ai_2") - (INSTANCE _304_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.321:0.323:0.325) (0.111:0.111:0.111)) - (IOPATH A2 Y (0.000:0.000:0.000)) - (IOPATH A3 Y (0.262:0.262:0.263) (0.079:0.079:0.079)) - (IOPATH B1 Y (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _305_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.154:0.154:0.154) (0.196:0.196:0.196)) - (IOPATH B Y (0.080:0.080:0.080) (0.063:0.063:0.063)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _306_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.170:0.170:0.170) (0.162:0.162:0.162)) - (IOPATH B X (0.166:0.166:0.166) (0.178:0.178:0.178)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _307_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.139:0.139:0.139) (0.080:0.080:0.080)) - (IOPATH B Y (0.122:0.122:0.122) (0.068:0.068:0.068)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31ai_2") - (INSTANCE _308_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.327:0.328:0.329) (0.105:0.105:0.105)) - (IOPATH A2 Y (0.000:0.000:0.000)) - (IOPATH A3 Y (0.267:0.268:0.268) (0.078:0.078:0.078)) - (IOPATH B1 Y (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _309_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.145:0.145:0.145) (0.145:0.145:0.145)) - (IOPATH A Y (0.171:0.171:0.171) (0.103:0.103:0.103)) - (IOPATH B Y (0.147:0.147:0.147) (0.143:0.143:0.143)) - (IOPATH B Y (0.153:0.153:0.153) (0.092:0.092:0.092)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _310_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.135:0.135:0.135) (0.138:0.138:0.138)) - (IOPATH A Y (0.162:0.162:0.162) (0.093:0.093:0.093)) - (IOPATH B Y (0.135:0.135:0.135) (0.141:0.141:0.141)) - (IOPATH B Y (0.149:0.149:0.149) (0.082:0.082:0.082)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _311_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.190:0.190:0.190) (0.078:0.078:0.078)) - (IOPATH B Y (0.184:0.184:0.184) (0.080:0.080:0.080)) - (IOPATH C Y (0.149:0.149:0.149) (0.071:0.071:0.071)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _312_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.184:0.184:0.184) (0.218:0.218:0.218)) - (IOPATH A2 X (0.165:0.165:0.165) (0.204:0.204:0.204)) - (IOPATH B1 X (0.168:0.168:0.168) (0.130:0.130:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _313_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.116:0.117:0.118) (0.072:0.072:0.073)) - (IOPATH B Y (0.098:0.099:0.100) (0.048:0.049:0.049)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _314_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.149:0.149:0.149) (0.195:0.195:0.195)) - (IOPATH A2 X (0.131:0.131:0.131) (0.184:0.184:0.184)) - (IOPATH B1 X (0.135:0.135:0.135) (0.114:0.114:0.114)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _315_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.095:0.095:0.095) (0.144:0.144:0.144)) - (IOPATH A2 X (0.152:0.152:0.152) (0.174:0.176:0.178)) - (IOPATH B1 X (0.071:0.071:0.072) (0.138:0.139:0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _316_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.140:0.140:0.140) (0.145:0.145:0.145)) - (IOPATH A Y (0.166:0.166:0.166) (0.099:0.099:0.099)) - (IOPATH B Y (0.144:0.144:0.144) (0.152:0.152:0.152)) - (IOPATH B Y (0.158:0.158:0.158) (0.090:0.090:0.090)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _317_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.176:0.176:0.176) (0.079:0.079:0.079)) - (IOPATH B Y (0.172:0.172:0.172) (0.073:0.073:0.073)) - (IOPATH C Y (0.123:0.123:0.123) (0.055:0.055:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _318_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.150:0.150:0.150) (0.101:0.101:0.101)) - (IOPATH A2 Y (0.148:0.148:0.148) (0.086:0.086:0.086)) - (IOPATH B1 Y (0.094:0.094:0.094) (0.088:0.088:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _319_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.112:0.112:0.112) (0.129:0.132:0.135)) - (IOPATH B Y (0.064:0.065:0.067) (0.055:0.057:0.060)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _320_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.133:0.133:0.133) (0.146:0.146:0.146)) - (IOPATH A Y (0.178:0.178:0.178) (0.089:0.089:0.089)) - (IOPATH B Y (0.142:0.142:0.142) (0.136:0.136:0.136)) - (IOPATH B Y (0.153:0.153:0.153) (0.088:0.088:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _321_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.180:0.180:0.180) (0.066:0.066:0.066)) - (IOPATH B Y (0.160:0.160:0.160) (0.067:0.067:0.067)) - (IOPATH C Y (0.126:0.126:0.126) (0.054:0.054:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _322_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.143:0.143:0.143) (0.082:0.082:0.082)) - (IOPATH A2 Y (0.124:0.124:0.124) (0.076:0.076:0.076)) - (IOPATH B1 Y (0.086:0.086:0.086) (0.080:0.080:0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _323_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.119:0.119:0.119) (0.132:0.134:0.136)) - (IOPATH B Y (0.064:0.066:0.068) (0.058:0.061:0.064)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _324_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.137:0.137:0.137) (0.145:0.145:0.145)) - (IOPATH A Y (0.172:0.172:0.172) (0.094:0.094:0.094)) - (IOPATH B Y (0.135:0.135:0.135) (0.129:0.129:0.129)) - (IOPATH B Y (0.142:0.142:0.142) (0.081:0.081:0.081)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _325_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.144:0.144:0.144) (0.141:0.141:0.141)) - (IOPATH A Y (0.177:0.177:0.177) (0.100:0.100:0.100)) - (IOPATH B Y (0.143:0.143:0.143) (0.139:0.139:0.139)) - (IOPATH B Y (0.159:0.159:0.159) (0.089:0.089:0.089)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _326_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.136:0.136:0.136) (0.138:0.138:0.138)) - (IOPATH A Y (0.166:0.166:0.166) (0.094:0.094:0.094)) - (IOPATH B Y (0.138:0.138:0.138) (0.143:0.143:0.143)) - (IOPATH B Y (0.155:0.155:0.155) (0.085:0.085:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _327_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.201:0.201:0.201) (0.088:0.088:0.088)) - (IOPATH B Y (0.181:0.181:0.181) (0.073:0.073:0.073)) - (IOPATH C Y (0.145:0.145:0.145) (0.064:0.064:0.064)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _328_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.169:0.169:0.169) (0.207:0.207:0.207)) - (IOPATH A2 X (0.150:0.150:0.150) (0.192:0.192:0.192)) - (IOPATH B1 X (0.174:0.174:0.174) (0.136:0.136:0.136)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _329_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.100:0.103:0.106) (0.068:0.068:0.068)) - (IOPATH B Y (0.084:0.085:0.086) (0.043:0.043:0.043)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _330_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.147:0.147:0.147) (0.193:0.193:0.193)) - (IOPATH A2 X (0.131:0.131:0.131) (0.185:0.185:0.185)) - (IOPATH B1 X (0.130:0.130:0.130) (0.110:0.110:0.110)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _331_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.104:0.104:0.104) (0.152:0.152:0.152)) - (IOPATH A2 X (0.170:0.170:0.170) (0.185:0.188:0.190)) - (IOPATH B1 X (0.081:0.081:0.081) (0.148:0.148:0.149)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _332_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.137:0.137:0.137) (0.142:0.142:0.142)) - (IOPATH A Y (0.160:0.160:0.160) (0.095:0.095:0.095)) - (IOPATH B Y (0.142:0.142:0.142) (0.151:0.151:0.151)) - (IOPATH B Y (0.153:0.153:0.153) (0.087:0.087:0.087)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _333_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.178:0.178:0.178) (0.080:0.080:0.080)) - (IOPATH B Y (0.174:0.174:0.174) (0.074:0.074:0.074)) - (IOPATH C Y (0.122:0.122:0.122) (0.055:0.055:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _334_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.148:0.148:0.148) (0.100:0.100:0.100)) - (IOPATH A2 Y (0.147:0.147:0.147) (0.086:0.086:0.086)) - (IOPATH B1 Y (0.089:0.089:0.089) (0.085:0.085:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _335_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.113:0.113:0.114) (0.129:0.132:0.136)) - (IOPATH B Y (0.065:0.066:0.067) (0.055:0.058:0.060)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _336_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.128:0.128:0.128) (0.128:0.128:0.128)) - (IOPATH A Y (0.150:0.150:0.150) (0.086:0.086:0.086)) - (IOPATH B Y (0.123:0.123:0.123) (0.134:0.134:0.134)) - (IOPATH B Y (0.139:0.139:0.139) (0.072:0.072:0.072)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _337_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.163:0.163:0.163) (0.069:0.069:0.069)) - (IOPATH B Y (0.157:0.157:0.157) (0.058:0.058:0.058)) - (IOPATH C Y (0.118:0.118:0.118) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _338_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.136:0.136:0.136) (0.088:0.088:0.088)) - (IOPATH A2 Y (0.131:0.131:0.131) (0.070:0.070:0.070)) - (IOPATH B1 Y (0.086:0.086:0.086) (0.081:0.081:0.081)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _339_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.112:0.113:0.113) (0.127:0.130:0.132)) - (IOPATH B Y (0.058:0.061:0.065) (0.055:0.058:0.060)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _340_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.130:0.130:0.130) (0.140:0.140:0.140)) - (IOPATH A Y (0.159:0.159:0.159) (0.088:0.088:0.088)) - (IOPATH B Y (0.128:0.128:0.128) (0.124:0.124:0.124)) - (IOPATH B Y (0.129:0.129:0.129) (0.075:0.075:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _341_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.245:0.245:0.245) (0.100:0.100:0.100)) - (IOPATH B Y (0.192:0.192:0.192) (0.066:0.066:0.066)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_2") - (INSTANCE _342_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.250:0.250:0.250) (0.181:0.181:0.181)) - (IOPATH A2 Y (0.219:0.219:0.219) (0.149:0.149:0.149)) - (IOPATH B1 Y (0.118:0.118:0.118) (0.167:0.167:0.167)) - (IOPATH C1 Y (0.118:0.118:0.118) (0.155:0.155:0.155)) - (IOPATH D1 Y (0.078:0.080:0.081) (0.133:0.133:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _343_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.135:0.140:0.144) (0.063:0.076:0.090)) - (IOPATH A2 Y (0.143:0.143:0.143) (0.084:0.084:0.084)) - (IOPATH B1 Y (0.123:0.123:0.123) (0.054:0.054:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _344_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.143:0.143:0.143) (0.091:0.091:0.091)) - (IOPATH A2 Y (0.139:0.143:0.148) (0.062:0.073:0.084)) - (IOPATH B1 Y (0.070:0.075:0.080) (0.068:0.070:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4bb_1") - (INSTANCE _345_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.159:0.159:0.159) (0.195:0.195:0.195)) - (IOPATH B_N Y (0.136:0.136:0.136) (0.186:0.186:0.186)) - (IOPATH C Y (0.093:0.096:0.099) (0.117:0.117:0.117)) - (IOPATH D Y (0.106:0.106:0.106) (0.120:0.120:0.120)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _346_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.151:0.151:0.151) (0.092:0.092:0.092)) - (IOPATH A2 Y (0.133:0.134:0.134) (0.071:0.072:0.072)) - (IOPATH B1 Y (0.073:0.073:0.073) (0.072:0.072:0.072)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _347_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.067:0.067:0.068) (0.047:0.050:0.052)) - (IOPATH B Y (0.058:0.061:0.064) (0.054:0.057:0.060)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _348_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.131:0.131:0.131) (0.159:0.159:0.159)) - (IOPATH B Y (0.063:0.063:0.063) (0.056:0.056:0.056)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _349_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.096:0.096:0.096) (0.130:0.130:0.130)) - (IOPATH B Y (0.086:0.086:0.086) (0.075:0.075:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _350_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.119:0.119:0.119) (0.072:0.072:0.072)) - (IOPATH B Y (0.089:0.089:0.089) (0.043:0.043:0.043)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _351_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.149:0.149:0.149) (0.148:0.148:0.148)) - (IOPATH B X (0.124:0.124:0.124) (0.147:0.147:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _352_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.137:0.141:0.146) (0.083:0.083:0.083)) - (IOPATH A2 Y (0.128:0.128:0.128) (0.061:0.061:0.061)) - (IOPATH B1 Y (0.111:0.112:0.114) (0.109:0.109:0.109)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _353_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.065:0.067:0.068) (0.065:0.066:0.066)) - (IOPATH B Y (0.080:0.081:0.083) (0.072:0.073:0.074)) - (IOPATH C Y (0.075:0.075:0.075) (0.077:0.077:0.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _354_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.160:0.160:0.160) (0.223:0.223:0.223)) - (IOPATH A2 X (0.158:0.158:0.158) (0.212:0.213:0.214)) - (IOPATH B1 X (0.138:0.142:0.147) (0.166:0.173:0.180)) - (IOPATH B2 X (0.110:0.112:0.114) (0.153:0.153:0.153)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _355_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.129:0.129:0.129) (0.176:0.176:0.176)) - (IOPATH B Y (0.100:0.106:0.112) (0.090:0.101:0.112)) - (IOPATH C Y (0.124:0.124:0.124) (0.113:0.113:0.113)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _356_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.108:0.108:0.108) (0.053:0.053:0.053)) - (IOPATH A2 Y (0.114:0.123:0.133) (0.079:0.080:0.081)) - (IOPATH B1 Y (0.073:0.076:0.080) (0.056:0.059:0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _357_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.119:0.119:0.119) (0.165:0.165:0.165)) - (IOPATH B Y (0.090:0.096:0.101) (0.079:0.089:0.098)) - (IOPATH C Y (0.098:0.098:0.098) (0.091:0.091:0.091)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _358_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.106:0.106:0.106) (0.052:0.052:0.052)) - (IOPATH A2 Y (0.111:0.121:0.131) (0.078:0.079:0.080)) - (IOPATH B1 Y (0.067:0.070:0.073) (0.051:0.054:0.057)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _359_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.152:0.152:0.152) (0.295:0.295:0.295)) - (IOPATH A1 X (0.117:0.118:0.118) (0.288:0.289:0.289)) - (IOPATH S X (0.218:0.218:0.219) (0.331:0.331:0.331)) - (IOPATH S X (0.151:0.151:0.151) (0.324:0.324:0.324)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _360_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.143:0.143:0.143) (0.288:0.288:0.288)) - (IOPATH A1 X (0.118:0.118:0.119) (0.289:0.289:0.289)) - (IOPATH S X (0.218:0.218:0.219) (0.331:0.331:0.331)) - (IOPATH S X (0.151:0.151:0.151) (0.324:0.324:0.324)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _361_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.152:0.152:0.152) (0.093:0.093:0.093)) - (IOPATH A2 Y (0.142:0.143:0.144) (0.087:0.087:0.087)) - (IOPATH B1 Y (0.078:0.079:0.079) (0.058:0.059:0.060)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _362_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.117:0.117:0.117) (0.058:0.058:0.058)) - (IOPATH A2 Y (0.127:0.129:0.132) (0.070:0.070:0.071)) - (IOPATH B1 Y (0.064:0.066:0.068) (0.064:0.068:0.072)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _363_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.067:0.071:0.074) (0.059:0.066:0.073)) - (IOPATH B Y (0.073:0.073:0.073) (0.068:0.068:0.068)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a2bb2o_1") - (INSTANCE _364_) - (DELAY - (ABSOLUTE - (IOPATH A1_N X (0.268:0.268:0.268) (0.298:0.298:0.298)) - (IOPATH A2_N X (0.237:0.240:0.243) (0.272:0.272:0.273)) - (IOPATH B1 X (0.154:0.154:0.154) (0.293:0.296:0.298)) - (IOPATH B2 X (0.124:0.125:0.125) (0.247:0.247:0.247)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _365_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.068:0.071:0.075) (0.060:0.067:0.074)) - (IOPATH B Y (0.075:0.075:0.075) (0.064:0.064:0.064)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a2bb2o_1") - (INSTANCE _366_) - (DELAY - (ABSOLUTE - (IOPATH A1_N X (0.263:0.263:0.263) (0.294:0.294:0.294)) - (IOPATH A2_N X (0.231:0.235:0.239) (0.268:0.269:0.269)) - (IOPATH B1 X (0.149:0.149:0.149) (0.290:0.292:0.295)) - (IOPATH B2 X (0.119:0.119:0.120) (0.243:0.244:0.244)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _367_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.115:0.115:0.116) (0.280:0.280:0.280)) - (IOPATH A1 X (0.152:0.152:0.152) (0.297:0.297:0.297)) - (IOPATH S X (0.224:0.224:0.224) (0.338:0.338:0.338)) - (IOPATH S X (0.160:0.160:0.160) (0.330:0.330:0.330)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _368_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.115:0.115:0.116) (0.280:0.280:0.280)) - (IOPATH A1 X (0.153:0.153:0.153) (0.305:0.305:0.305)) - (IOPATH S X (0.221:0.221:0.221) (0.335:0.335:0.335)) - (IOPATH S X (0.156:0.156:0.156) (0.328:0.328:0.328)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor4_1") - (INSTANCE _369_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.282:0.282:0.282) (0.080:0.080:0.080)) - (IOPATH B Y (0.264:0.264:0.264) (0.075:0.075:0.075)) - (IOPATH C Y (0.221:0.221:0.221) (0.068:0.068:0.068)) - (IOPATH D Y (0.165:0.165:0.165) (0.053:0.053:0.053)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31ai_1") - (INSTANCE _370_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.269:0.269:0.269) (0.104:0.104:0.104)) - (IOPATH A2 Y (0.252:0.252:0.252) (0.098:0.098:0.098)) - (IOPATH A3 Y (0.209:0.209:0.209) (0.084:0.084:0.084)) - (IOPATH B1 Y (0.075:0.075:0.075) (0.081:0.081:0.081)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _371_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.135:0.136:0.136) (0.132:0.137:0.141)) - (IOPATH B Y (0.066:0.070:0.073) (0.056:0.069:0.082)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _372_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.120:0.120:0.120) (0.274:0.274:0.274)) - (IOPATH A1 X (0.111:0.111:0.111) (0.282:0.282:0.282)) - (IOPATH S X (0.206:0.206:0.206) (0.336:0.336:0.336)) - (IOPATH S X (0.156:0.156:0.156) (0.317:0.317:0.317)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4bb_1") - (INSTANCE _373_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.149:0.149:0.149) (0.203:0.203:0.203)) - (IOPATH B_N Y (0.146:0.146:0.146) (0.195:0.195:0.195)) - (IOPATH C Y (0.096:0.099:0.102) (0.120:0.120:0.120)) - (IOPATH D Y (0.109:0.109:0.109) (0.124:0.124:0.124)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _374_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.155:0.155:0.155) (0.094:0.094:0.094)) - (IOPATH A2 Y (0.140:0.140:0.141) (0.074:0.075:0.075)) - (IOPATH B1 Y (0.081:0.081:0.081) (0.081:0.081:0.081)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _375_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.080:0.080:0.081) (0.058:0.061:0.064)) - (IOPATH B Y (0.069:0.072:0.075) (0.065:0.068:0.072)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _376_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.142:0.142:0.142) (0.171:0.171:0.171)) - (IOPATH B Y (0.065:0.065:0.065) (0.058:0.058:0.058)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _377_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.105:0.105:0.105) (0.137:0.137:0.137)) - (IOPATH B Y (0.103:0.103:0.103) (0.090:0.090:0.090)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _378_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.063:0.064:0.065) (0.063:0.063:0.063)) - (IOPATH B Y (0.079:0.082:0.086) (0.071:0.073:0.074)) - (IOPATH C Y (0.071:0.071:0.071) (0.073:0.073:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _379_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.116:0.116:0.116) (0.064:0.064:0.064)) - (IOPATH B Y (0.083:0.083:0.083) (0.041:0.041:0.041)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _380_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.139:0.139:0.139) (0.148:0.148:0.148)) - (IOPATH B X (0.121:0.121:0.121) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _381_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.138:0.142:0.145) (0.082:0.082:0.082)) - (IOPATH A2 Y (0.129:0.130:0.130) (0.060:0.061:0.061)) - (IOPATH B1 Y (0.111:0.113:0.115) (0.112:0.112:0.112)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _382_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.138:0.138:0.138) (0.205:0.205:0.205)) - (IOPATH A2 X (0.163:0.163:0.163) (0.213:0.215:0.216)) - (IOPATH B1 X (0.124:0.126:0.128) (0.173:0.174:0.174)) - (IOPATH B2 X (0.126:0.130:0.135) (0.150:0.157:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _383_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.123:0.123:0.123) (0.170:0.170:0.170)) - (IOPATH B Y (0.089:0.098:0.106) (0.081:0.090:0.100)) - (IOPATH C Y (0.115:0.115:0.115) (0.102:0.102:0.102)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _384_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.114:0.114:0.114) (0.057:0.057:0.057)) - (IOPATH A2 Y (0.115:0.124:0.132) (0.073:0.074:0.075)) - (IOPATH B1 Y (0.073:0.076:0.079) (0.055:0.058:0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _385_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.128:0.128:0.128) (0.176:0.176:0.176)) - (IOPATH B Y (0.095:0.103:0.112) (0.087:0.097:0.107)) - (IOPATH C Y (0.101:0.101:0.101) (0.096:0.096:0.096)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _386_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.114:0.114:0.114) (0.056:0.056:0.056)) - (IOPATH A2 Y (0.115:0.124:0.132) (0.073:0.074:0.075)) - (IOPATH B1 Y (0.075:0.078:0.082) (0.057:0.060:0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _387_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.154:0.154:0.154) (0.297:0.297:0.297)) - (IOPATH A1 X (0.122:0.122:0.123) (0.292:0.293:0.293)) - (IOPATH S X (0.216:0.216:0.216) (0.330:0.330:0.330)) - (IOPATH S X (0.148:0.149:0.149) (0.323:0.323:0.323)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _388_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.138:0.138:0.138) (0.286:0.286:0.286)) - (IOPATH A1 X (0.119:0.119:0.120) (0.290:0.291:0.291)) - (IOPATH S X (0.216:0.216:0.216) (0.330:0.330:0.331)) - (IOPATH S X (0.149:0.149:0.149) (0.323:0.323:0.323)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _389_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.137:0.137:0.137) (0.085:0.085:0.085)) - (IOPATH A2 Y (0.128:0.129:0.131) (0.080:0.080:0.080)) - (IOPATH B1 Y (0.067:0.068:0.068) (0.051:0.051:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _390_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.128:0.128:0.128) (0.062:0.062:0.062)) - (IOPATH A2 Y (0.121:0.123:0.125) (0.063:0.063:0.063)) - (IOPATH B1 Y (0.069:0.071:0.074) (0.065:0.069:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _391_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.185:0.185:0.185) (0.107:0.107:0.107)) - (IOPATH A2 Y (0.173:0.175:0.176) (0.107:0.107:0.107)) - (IOPATH B1_N Y (0.111:0.111:0.112) (0.164:0.164:0.164)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _392_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.157:0.157:0.157) (0.310:0.310:0.310)) - (IOPATH A2 X (0.152:0.152:0.152) (0.281:0.281:0.281)) - (IOPATH A3 X (0.152:0.152:0.153) (0.255:0.257:0.258)) - (IOPATH B1 X (0.135:0.146:0.157) (0.108:0.109:0.110)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _393_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.151:0.151:0.151) (0.091:0.091:0.091)) - (IOPATH A2 Y (0.140:0.141:0.143) (0.088:0.088:0.088)) - (IOPATH B1_N Y (0.093:0.094:0.095) (0.149:0.149:0.149)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _394_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.155:0.155:0.155) (0.309:0.309:0.309)) - (IOPATH A2 X (0.145:0.145:0.145) (0.285:0.285:0.285)) - (IOPATH A3 X (0.150:0.150:0.150) (0.255:0.256:0.258)) - (IOPATH B1 X (0.125:0.134:0.142) (0.101:0.102:0.102)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_1") - (INSTANCE _395_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.260:0.260:0.260) (0.176:0.176:0.176)) - (IOPATH A2 Y (0.252:0.252:0.252) (0.163:0.163:0.163)) - (IOPATH B1 Y (0.156:0.156:0.156) (0.181:0.181:0.181)) - (IOPATH C1 Y (0.139:0.139:0.139) (0.154:0.154:0.154)) - (IOPATH D1 Y (0.096:0.098:0.100) (0.132:0.132:0.132)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _396_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.139:0.142:0.146) (0.071:0.083:0.094)) - (IOPATH A2 Y (0.135:0.135:0.135) (0.076:0.076:0.076)) - (IOPATH B1 Y (0.125:0.125:0.125) (0.054:0.054:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _397_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.132:0.132:0.132) (0.082:0.082:0.082)) - (IOPATH A2 Y (0.139:0.143:0.147) (0.068:0.077:0.086)) - (IOPATH B1 Y (0.069:0.074:0.079) (0.066:0.069:0.072)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _398_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.122:0.122:0.123) (0.287:0.287:0.287)) - (IOPATH A1 X (0.159:0.159:0.159) (0.304:0.304:0.304)) - (IOPATH S X (0.228:0.228:0.228) (0.344:0.344:0.344)) - (IOPATH S X (0.165:0.165:0.165) (0.336:0.336:0.336)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _399_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.112:0.113:0.114) (0.278:0.278:0.278)) - (IOPATH A1 X (0.154:0.154:0.154) (0.306:0.306:0.306)) - (IOPATH S X (0.220:0.220:0.220) (0.335:0.335:0.335)) - (IOPATH S X (0.156:0.156:0.156) (0.327:0.327:0.327)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor4_1") - (INSTANCE _400_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.273:0.273:0.273) (0.078:0.078:0.078)) - (IOPATH B Y (0.256:0.256:0.256) (0.074:0.074:0.074)) - (IOPATH C Y (0.213:0.213:0.213) (0.067:0.067:0.067)) - (IOPATH D Y (0.157:0.157:0.157) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31ai_1") - (INSTANCE _401_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.259:0.259:0.259) (0.101:0.101:0.101)) - (IOPATH A2 Y (0.244:0.244:0.244) (0.096:0.096:0.096)) - (IOPATH A3 Y (0.201:0.201:0.201) (0.082:0.082:0.082)) - (IOPATH B1 Y (0.073:0.073:0.073) (0.080:0.080:0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _402_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.133:0.133:0.134) (0.132:0.136:0.140)) - (IOPATH B Y (0.065:0.068:0.071) (0.055:0.067:0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _404__9) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.066:0.066:0.066) (0.030:0.030:0.030)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_ext_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.612:0.612:0.612) (1.459:1.459:1.459)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _406__6) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.040:0.040:0.040) (0.024:0.024:0.024)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _254__7) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.034:0.034:0.034) (0.022:0.022:0.022)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _408__3) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.040:0.040:0.040) (0.024:0.024:0.024)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _256__4) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.041:0.041:0.041) (0.025:0.025:0.025)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _409_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.487:0.487:0.487) (0.339:0.339:0.339)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.136:0.136:0.136)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.117:-0.117:-0.117)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.014:-0.014:-0.014)) - (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059)) - (SETUP (negedge D) (posedge CLK) (0.068:0.068:0.068)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _410_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.484:0.484:0.484) (0.337:0.337:0.337)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.137:0.137:0.137)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.119:-0.119:-0.119)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.014:-0.014:-0.014)) - (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059)) - (SETUP (negedge D) (posedge CLK) (0.069:0.069:0.069)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _411_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.492:0.492:0.492) (0.344:0.344:0.344)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.142:0.142:0.142)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.124:-0.124:-0.124)) - (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027)) - (HOLD (negedge D) (posedge CLK) (-0.001:-0.001:-0.001)) - (SETUP (posedge D) (posedge CLK) (0.046:0.046:0.046)) - (SETUP (negedge D) (posedge CLK) (0.055:0.055:0.055)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _412_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.377:0.377:0.377) (0.403:0.403:0.403)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.204:-0.204:-0.204)) - (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058)) - (SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _413_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.385:0.385:0.385) (0.407:0.407:0.407)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.206)) - (HOLD (posedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.070:0.070:0.070)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _414_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.583:0.583:0.583) (0.400:0.400:0.400)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.146:0.146:0.146)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.043:-0.046)) - (HOLD (negedge D) (posedge CLK) (-0.008:-0.010:-0.013)) - (SETUP (posedge D) (posedge CLK) (0.060:0.063:0.066)) - (SETUP (negedge D) (posedge CLK) (0.062:0.065:0.069)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _415_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.323:0.323:0.323) (0.360:0.360:0.360)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.206)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060)) - (SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _416_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.318:0.318:0.318) (0.306:0.306:0.306)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.054:-0.054:-0.054)) - (HOLD (negedge D) (posedge CLK) (-0.077:-0.077:-0.077)) - (SETUP (posedge D) (posedge CLK) (0.079:0.079:0.079)) - (SETUP (negedge D) (posedge CLK) (0.135:0.135:0.135)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _417_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.322:0.322:0.322) (0.307:0.307:0.307)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.055:-0.055:-0.055)) - (HOLD (negedge D) (posedge CLK) (-0.067:-0.067:-0.067)) - (SETUP (posedge D) (posedge CLK) (0.080:0.080:0.080)) - (SETUP (negedge D) (posedge CLK) (0.125:0.125:0.125)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _418_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.326:0.326:0.326) (0.310:0.310:0.310)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (HOLD (negedge D) (posedge CLK) (-0.067:-0.067:-0.067)) - (SETUP (posedge D) (posedge CLK) (0.073:0.073:0.073)) - (SETUP (negedge D) (posedge CLK) (0.125:0.125:0.125)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _419_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.546:0.546:0.546) (0.383:0.383:0.383)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.151:0.151:0.151)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.133:-0.133:-0.133)) - (HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031)) - (HOLD (negedge D) (posedge CLK) (-0.004:-0.005:-0.005)) - (SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051)) - (SETUP (negedge D) (posedge CLK) (0.058:0.059:0.060)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _420_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.334:0.334:0.334) (0.370:0.370:0.370)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.206)) - (HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.031)) - (HOLD (negedge D) (posedge CLK) (-0.036:-0.037:-0.038)) - (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055)) - (SETUP (negedge D) (posedge CLK) (0.104:0.105:0.106)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _421_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.524:0.524:0.524) (0.418:0.418:0.418)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.475:0.475:0.475)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.282:-0.282:-0.282)) - (HOLD (posedge D) (negedge CLK_N) (0.081:0.078:0.076)) - (HOLD (negedge D) (negedge CLK_N) (-0.071:-0.074:-0.077)) - (SETUP (posedge D) (negedge CLK_N) (-0.035:-0.032:-0.029)) - (SETUP (negedge D) (negedge CLK_N) (0.146:0.149:0.152)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _422_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.607:0.607:0.607) (0.406:0.406:0.406)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.137:0.137:0.137)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.118:-0.118:-0.118)) - (HOLD (posedge D) (posedge CLK) (-0.042:-0.047:-0.053)) - (HOLD (negedge D) (posedge CLK) (-0.018:-0.019:-0.019)) - (SETUP (posedge D) (posedge CLK) (0.062:0.068:0.074)) - (SETUP (negedge D) (posedge CLK) (0.074:0.074:0.075)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _423_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.473:0.473:0.473) (0.387:0.387:0.387)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.475:0.475:0.475)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.282:-0.282:-0.282)) - (HOLD (posedge D) (negedge CLK_N) (0.081:0.079:0.077)) - (HOLD (negedge D) (negedge CLK_N) (-0.071:-0.074:-0.076)) - (SETUP (posedge D) (negedge CLK_N) (-0.035:-0.032:-0.029)) - (SETUP (negedge D) (negedge CLK_N) (0.145:0.148:0.151)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _424_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.570:0.570:0.570) (0.384:0.384:0.384)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.136:0.136:0.136)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.118:-0.118:-0.118)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.039:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.014:-0.016:-0.019)) - (SETUP (posedge D) (posedge CLK) (0.057:0.059:0.061)) - (SETUP (negedge D) (posedge CLK) (0.069:0.072:0.074)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _425_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.479:0.479:0.479) (0.392:0.392:0.392)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.475:0.475:0.475)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.282:-0.282:-0.282)) - (HOLD (posedge D) (negedge CLK_N) (0.086:0.085:0.085)) - (HOLD (negedge D) (negedge CLK_N) (-0.078:-0.078:-0.079)) - (SETUP (posedge D) (negedge CLK_N) (-0.041:-0.041:-0.041)) - (SETUP (negedge D) (negedge CLK_N) (0.153:0.154:0.154)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _426_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.580:0.580:0.580) (0.392:0.392:0.392)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.136:0.136:0.136)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.118:-0.118:-0.118)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.022:-0.022:-0.022)) - (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.059)) - (SETUP (negedge D) (posedge CLK) (0.078:0.078:0.078)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _427_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.451:0.451:0.451) (0.373:0.373:0.373)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.475:0.475:0.475)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.282:-0.282:-0.282)) - (HOLD (posedge D) (negedge CLK_N) (0.086:0.086:0.085)) - (HOLD (negedge D) (negedge CLK_N) (-0.078:-0.078:-0.079)) - (SETUP (posedge D) (negedge CLK_N) (-0.041:-0.041:-0.041)) - (SETUP (negedge D) (negedge CLK_N) (0.153:0.154:0.154)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _428_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.471:0.471:0.471) (0.525:0.525:0.525)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.182:-0.182:-0.182)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.038:-0.041)) - (HOLD (negedge D) (posedge CLK) (-0.033:-0.035:-0.037)) - (SETUP (posedge D) (posedge CLK) (0.065:0.068:0.070)) - (SETUP (negedge D) (posedge CLK) (0.105:0.107:0.109)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _429_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.618:0.618:0.618) (0.417:0.417:0.417)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.152:0.152:0.152)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.131:-0.131:-0.131)) - (HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.030)) - (HOLD (negedge D) (posedge CLK) (-0.004:-0.004:-0.005)) - (SETUP (posedge D) (posedge CLK) (0.051:0.052:0.052)) - (SETUP (negedge D) (posedge CLK) (0.067:0.067:0.068)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _430_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.418:0.418:0.418) (0.447:0.447:0.447)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.188:-0.188:-0.188)) - (HOLD (posedge D) (posedge CLK) (-0.030:-0.031:-0.031)) - (HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.038)) - (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059)) - (SETUP (negedge D) (posedge CLK) (0.110:0.111:0.111)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _431_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.607:0.607:0.607) (0.410:0.410:0.410)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.152:0.152:0.152)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.131:-0.131:-0.131)) - (HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.030)) - (HOLD (negedge D) (posedge CLK) (-0.006:-0.006:-0.006)) - (SETUP (posedge D) (posedge CLK) (0.051:0.051:0.052)) - (SETUP (negedge D) (posedge CLK) (0.070:0.070:0.070)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _432_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.419:0.419:0.419) (0.427:0.427:0.427)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.206)) - (HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.055:0.056:0.056)) - (SETUP (negedge D) (posedge CLK) (0.112:0.113:0.113)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _433_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.372:0.372:0.372) (0.397:0.397:0.397)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.206)) - (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.033)) - (HOLD (negedge D) (posedge CLK) (-0.037:-0.040:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.058)) - (SETUP (negedge D) (posedge CLK) (0.105:0.109:0.112)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _434_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.315:0.315:0.315) (0.352:0.352:0.352)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.351:0.351:0.351)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.195:-0.195:-0.195)) - (HOLD (posedge D) (posedge CLK) (-0.074:-0.074:-0.074)) - (HOLD (negedge D) (posedge CLK) (-0.100:-0.100:-0.100)) - (SETUP (posedge D) (posedge CLK) (0.103:0.103:0.103)) - (SETUP (negedge D) (posedge CLK) (0.172:0.172:0.172)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _435_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.492:0.492:0.492) (0.344:0.344:0.344)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.141:0.141:0.141)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.122:-0.122:-0.122)) - (HOLD (posedge D) (posedge CLK) (-0.066:-0.066:-0.066)) - (HOLD (negedge D) (posedge CLK) (-0.052:-0.052:-0.052)) - (SETUP (posedge D) (posedge CLK) (0.088:0.088:0.088)) - (SETUP (negedge D) (posedge CLK) (0.112:0.112:0.112)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _436_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.318:0.318:0.318) (0.355:0.355:0.355)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.187:-0.187:-0.187)) - (HOLD (posedge D) (posedge CLK) (-0.061:-0.061:-0.061)) - (HOLD (negedge D) (posedge CLK) (-0.090:-0.090:-0.090)) - (SETUP (posedge D) (posedge CLK) (0.089:0.089:0.089)) - (SETUP (negedge D) (posedge CLK) (0.162:0.162:0.162)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _437_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.362:0.362:0.362) (0.387:0.387:0.387)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.188:-0.188:-0.188)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.115:0.115:0.115)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _438_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.587:0.587:0.587) (0.399:0.399:0.399)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.139:0.139:0.139)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.120:-0.120:-0.120)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.011:-0.011:-0.011)) - (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057)) - (SETUP (negedge D) (posedge CLK) (0.066:0.066:0.066)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _439_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.390:0.390:0.390) (0.406:0.406:0.406)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.187:-0.187:-0.187)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _440_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.325:0.325:0.325) (0.310:0.310:0.310)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.028)) - (HOLD (negedge D) (posedge CLK) (-0.052:-0.052:-0.052)) - (SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050)) - (SETUP (negedge D) (posedge CLK) (0.109:0.109:0.109)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _441_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.311:0.311:0.311) (0.301:0.301:0.301)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (HOLD (negedge D) (posedge CLK) (-0.063:-0.063:-0.063)) - (SETUP (posedge D) (posedge CLK) (0.076:0.076:0.076)) - (SETUP (negedge D) (posedge CLK) (0.121:0.121:0.121)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _442_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.332:0.332:0.332) (0.314:0.314:0.314)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.053:-0.053:-0.053)) - (HOLD (negedge D) (posedge CLK) (-0.063:-0.063:-0.063)) - (SETUP (posedge D) (posedge CLK) (0.079:0.079:0.079)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _443_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.320:0.320:0.320) (0.304:0.304:0.304)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (HOLD (negedge D) (posedge CLK) (-0.067:-0.067:-0.067)) - (SETUP (posedge D) (posedge CLK) (0.071:0.071:0.071)) - (SETUP (negedge D) (posedge CLK) (0.124:0.124:0.124)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _444_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.555:0.555:0.555) (0.386:0.386:0.386)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.146:0.146:0.146)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.010:-0.011:-0.011)) - (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055)) - (SETUP (negedge D) (posedge CLK) (0.065:0.066:0.066)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _445_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.339:0.339:0.339) (0.371:0.371:0.371)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.373:0.373:0.373)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.188:-0.188:-0.188)) - (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033)) - (HOLD (negedge D) (posedge CLK) (-0.040:-0.041:-0.042)) - (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.058)) - (SETUP (negedge D) (posedge CLK) (0.108:0.109:0.110)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _446_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.530:0.530:0.530) (0.422:0.422:0.422)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.487:0.487:0.487)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.270:-0.270:-0.270)) - (HOLD (posedge D) (negedge CLK_N) (0.080:0.077:0.075)) - (HOLD (negedge D) (negedge CLK_N) (-0.073:-0.073:-0.074)) - (SETUP (posedge D) (negedge CLK_N) (-0.033:-0.030:-0.027)) - (SETUP (negedge D) (negedge CLK_N) (0.148:0.148:0.149)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _447_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.607:0.607:0.607) (0.406:0.406:0.406)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.132:0.132:0.132)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.113:-0.113:-0.113)) - (HOLD (posedge D) (posedge CLK) (-0.043:-0.049:-0.055)) - (HOLD (negedge D) (posedge CLK) (-0.020:-0.020:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.064:0.070:0.076)) - (SETUP (negedge D) (posedge CLK) (0.076:0.076:0.076)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _448_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.465:0.465:0.465) (0.381:0.381:0.381)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.487:0.487:0.487)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.270:-0.270:-0.270)) - (HOLD (posedge D) (negedge CLK_N) (0.079:0.077:0.075)) - (HOLD (negedge D) (negedge CLK_N) (-0.073:-0.073:-0.074)) - (SETUP (posedge D) (negedge CLK_N) (-0.033:-0.030:-0.027)) - (SETUP (negedge D) (negedge CLK_N) (0.148:0.148:0.149)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _449_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.582:0.582:0.582) (0.391:0.391:0.391)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.136:0.136:0.136)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.117:-0.117:-0.117)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.039:-0.041)) - (HOLD (negedge D) (posedge CLK) (-0.015:-0.017:-0.020)) - (SETUP (posedge D) (posedge CLK) (0.058:0.060:0.061)) - (SETUP (negedge D) (posedge CLK) (0.070:0.073:0.075)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _450_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.478:0.478:0.478) (0.391:0.391:0.391)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.487:0.487:0.487)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.270:-0.270:-0.270)) - (HOLD (posedge D) (negedge CLK_N) (0.085:0.085:0.085)) - (HOLD (negedge D) (negedge CLK_N) (-0.079:-0.079:-0.079)) - (SETUP (posedge D) (negedge CLK_N) (-0.041:-0.040:-0.040)) - (SETUP (negedge D) (negedge CLK_N) (0.154:0.154:0.155)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _451_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.572:0.572:0.572) (0.387:0.387:0.387)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.132:0.132:0.132)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.113:-0.113:-0.113)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.023:-0.023:-0.023)) - (SETUP (posedge D) (posedge CLK) (0.058:0.059:0.059)) - (SETUP (negedge D) (posedge CLK) (0.078:0.079:0.079)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _452_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.437:0.437:0.437) (0.364:0.364:0.364)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.487:0.487:0.487)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.270:-0.270:-0.270)) - (HOLD (posedge D) (negedge CLK_N) (0.085:0.085:0.085)) - (HOLD (negedge D) (negedge CLK_N) (-0.079:-0.079:-0.079)) - (SETUP (posedge D) (negedge CLK_N) (-0.041:-0.040:-0.040)) - (SETUP (negedge D) (negedge CLK_N) (0.154:0.154:0.155)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _453_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.468:0.468:0.468) (0.522:0.522:0.522)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.377:0.377:0.377)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.167:-0.167:-0.167)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.041:-0.044)) - (HOLD (negedge D) (posedge CLK) (-0.032:-0.035:-0.038)) - (SETUP (posedge D) (posedge CLK) (0.067:0.070:0.074)) - (SETUP (negedge D) (posedge CLK) (0.104:0.107:0.111)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _454_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.608:0.608:0.608) (0.411:0.411:0.411)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.147:0.147:0.147)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.126:-0.126:-0.126)) - (HOLD (posedge D) (posedge CLK) (-0.030:-0.031:-0.031)) - (HOLD (negedge D) (posedge CLK) (0.002:-0.001:-0.004)) - (SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053)) - (SETUP (negedge D) (posedge CLK) (0.060:0.064:0.067)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _455_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.418:0.418:0.418) (0.447:0.447:0.447)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.376:0.376:0.376)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.173:-0.173:-0.173)) - (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.033)) - (HOLD (negedge D) (posedge CLK) (-0.030:-0.034:-0.038)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.103:0.107:0.111)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _456_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.560:0.560:0.560) (0.390:0.390:0.390)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.151:0.151:0.151)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.132:-0.132:-0.132)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.040:-0.043)) - (HOLD (negedge D) (posedge CLK) (-0.005:-0.008:-0.010)) - (SETUP (posedge D) (posedge CLK) (0.057:0.060:0.063)) - (SETUP (negedge D) (posedge CLK) (0.060:0.063:0.066)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _457_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.605:0.605:0.605) (0.407:0.407:0.407)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.148:0.148:0.148)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.126:-0.126:-0.126)) - (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032)) - (HOLD (negedge D) (posedge CLK) (-0.010:-0.010:-0.010)) - (SETUP (posedge D) (posedge CLK) (0.054:0.055:0.055)) - (SETUP (negedge D) (posedge CLK) (0.074:0.074:0.074)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _458_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.415:0.415:0.415) (0.423:0.423:0.423)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.199:-0.199:-0.199)) - (HOLD (posedge D) (posedge CLK) (-0.032:-0.033:-0.033)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.057:0.058:0.058)) - (SETUP (negedge D) (posedge CLK) (0.115:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _459_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.370:0.370:0.370) (0.394:0.394:0.394)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.373:0.373:0.373)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.188:-0.188:-0.188)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.039:-0.042:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059)) - (SETUP (negedge D) (posedge CLK) (0.107:0.111:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _460_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.314:0.314:0.314) (0.352:0.352:0.352)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.355:0.355:0.355)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.193:-0.193:-0.193)) - (HOLD (posedge D) (posedge CLK) (-0.057:-0.057:-0.057)) - (HOLD (negedge D) (posedge CLK) (-0.088:-0.088:-0.088)) - (SETUP (posedge D) (posedge CLK) (0.085:0.085:0.085)) - (SETUP (negedge D) (posedge CLK) (0.160:0.160:0.160)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _461_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.490:0.490:0.490) (0.343:0.343:0.343)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.141:0.141:0.141)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.122:-0.122:-0.122)) - (HOLD (posedge D) (posedge CLK) (-0.057:-0.057:-0.057)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.079:0.079:0.079)) - (SETUP (negedge D) (posedge CLK) (0.107:0.107:0.107)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _462_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.319:0.319:0.319) (0.356:0.356:0.356)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.354:0.354:0.354)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.192:-0.192:-0.192)) - (HOLD (posedge D) (posedge CLK) (-0.057:-0.057:-0.057)) - (HOLD (negedge D) (posedge CLK) (-0.089:-0.089:-0.089)) - (SETUP (posedge D) (posedge CLK) (0.085:0.085:0.085)) - (SETUP (negedge D) (posedge CLK) (0.160:0.160:0.160)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _463_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.359:0.359:0.359) (0.386:0.386:0.386)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.355:0.355:0.355)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.193:-0.193:-0.193)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _464_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.636:0.636:0.636) (0.424:0.424:0.424)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.141:0.141:0.141)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.122:-0.122:-0.122)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.010:-0.010:-0.010)) - (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056)) - (SETUP (negedge D) (posedge CLK) (0.064:0.064:0.064)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _465_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.379:0.379:0.379) (0.399:0.399:0.399)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.354:0.354:0.354)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.192:-0.192:-0.192)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _259__1) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.040:0.040:0.040) (0.024:0.024:0.024)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout18) - (DELAY - (ABSOLUTE - (IOPATH A X (0.277:0.277:0.277) (0.224:0.224:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout17) - (DELAY - (ABSOLUTE - (IOPATH A X (0.232:0.232:0.232) (0.209:0.209:0.209)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE fanout16) - (DELAY - (ABSOLUTE - (IOPATH A X (0.210:0.210:0.210) (0.182:0.182:0.182)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout15) - (DELAY - (ABSOLUTE - (IOPATH A X (0.215:0.215:0.215) (0.197:0.197:0.197)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout14) - (DELAY - (ABSOLUTE - (IOPATH A X (0.261:0.261:0.261) (0.222:0.222:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout13) - (DELAY - (ABSOLUTE - (IOPATH A X (0.261:0.261:0.261) (0.220:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE user_clk_out_buffer) - (DELAY - (ABSOLUTE - (IOPATH A X (0.271:0.271:0.271) (0.251:0.252:0.252)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output11) - (DELAY - (ABSOLUTE - (IOPATH A X (0.284:0.284:0.284) (0.197:0.201:0.204)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input9) - (DELAY - (ABSOLUTE - (IOPATH A X (0.285:0.285:0.285) (0.991:0.991:0.991)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input8) - (DELAY - (ABSOLUTE - (IOPATH A X (0.312:0.312:0.312) (1.014:1.014:1.014)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input7) - (DELAY - (ABSOLUTE - (IOPATH A X (0.339:0.339:0.339) (1.039:1.039:1.039)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input6) - (DELAY - (ABSOLUTE - (IOPATH A X (0.270:0.270:0.270) (0.978:0.978:0.978)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input5) - (DELAY - (ABSOLUTE - (IOPATH A X (0.276:0.276:0.276) (0.983:0.983:0.983)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input4) - (DELAY - (ABSOLUTE - (IOPATH A X (0.272:0.272:0.272) (0.980:0.980:0.980)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input3) - (DELAY - (ABSOLUTE - (IOPATH A X (0.186:0.186:0.186) (0.889:0.889:0.889)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input2) - (DELAY - (ABSOLUTE - (IOPATH A X (0.250:0.250:0.250) (0.955:0.955:0.955)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") - (INSTANCE input1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.477:0.477:0.477) (1.396:1.396:1.396)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout19) - (DELAY - (ABSOLUTE - (IOPATH A X (0.259:0.259:0.259) (0.218:0.218:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE fanout20) - (DELAY - (ABSOLUTE - (IOPATH A X (0.213:0.213:0.213) (0.185:0.185:0.185)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout21) - (DELAY - (ABSOLUTE - (IOPATH A X (0.248:0.248:0.248) (0.221:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout22) - (DELAY - (ABSOLUTE - (IOPATH A X (0.218:0.218:0.218) (0.199:0.199:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout23) - (DELAY - (ABSOLUTE - (IOPATH A X (0.238:0.238:0.238) (0.215:0.215:0.215)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout24) - (DELAY - (ABSOLUTE - (IOPATH A X (0.219:0.219:0.219) (0.200:0.200:0.200)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout25) - (DELAY - (ABSOLUTE - (IOPATH A X (0.251:0.251:0.251) (0.216:0.216:0.216)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout26) - (DELAY - (ABSOLUTE - (IOPATH A X (0.259:0.259:0.259) (0.220:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout27) - (DELAY - (ABSOLUTE - (IOPATH A X (0.267:0.267:0.267) (0.223:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout28) - (DELAY - (ABSOLUTE - (IOPATH A X (0.288:0.288:0.288) (0.232:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE fanout29) - (DELAY - (ABSOLUTE - (IOPATH A X (0.243:0.243:0.243) (0.219:0.219:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_ext_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.149:0.149:0.149) (0.186:0.186:0.186)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_ext_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.149:0.149:0.149) (0.185:0.185:0.185)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0__037_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.148:0.148:0.148) (0.166:0.166:0.166)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f__037_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.110:0.110:0.110) (0.129:0.129:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f__037_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.113:0.113:0.113) (0.132:0.132:0.132)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_net10) - (DELAY - (ABSOLUTE - (IOPATH A X (0.140:0.140:0.141) (0.160:0.160:0.161)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_net10) - (DELAY - (ABSOLUTE - (IOPATH A X (0.258:0.258:0.258) (0.233:0.233:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_net10) - (DELAY - (ABSOLUTE - (IOPATH A X (0.127:0.127:0.127) (0.143:0.143:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_pll_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.614:0.614:0.614) (1.462:1.462:1.462)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_pll_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.192:0.192:0.192) (0.219:0.219:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_pll_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.189:0.189:0.189) (0.217:0.217:0.217)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_divider\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.202:0.202:0.202) (0.147:0.152:0.158)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_divider\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.115:0.115:0.115) (0.133:0.133:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_divider\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.117:0.117:0.117) (0.134:0.134:0.134)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_pll_clk90) - (DELAY - (ABSOLUTE - (IOPATH A X (0.617:0.617:0.617) (1.464:1.464:1.464)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_pll_clk90) - (DELAY - (ABSOLUTE - (IOPATH A X (0.190:0.190:0.190) (0.217:0.217:0.217)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_pll_clk90) - (DELAY - (ABSOLUTE - (IOPATH A X (0.180:0.180:0.180) (0.211:0.211:0.211)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_divider2\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.204:0.204:0.204) (0.148:0.153:0.158)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_divider2\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.120:0.120:0.120) (0.137:0.137:0.137)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_divider2\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.117:0.117:0.117) (0.134:0.134:0.134)) - ) - ) - ) -) diff --git a/sdf/caravel_clocking/max/caravel_clocking.ff.sdf b/sdf/caravel_clocking/max/caravel_clocking.ff.sdf deleted file mode 100644 index bc916290..00000000 --- a/sdf/caravel_clocking/max/caravel_clocking.ff.sdf +++ /dev/null @@ -1,4640 +0,0 @@ -(DELAYFILE - (SDFVERSION "3.0") - (DESIGN "caravel_clocking") - (DATE "Thu Oct 13 17:51:14 2022") - (VENDOR "Parallax") - (PROGRAM "STA") - (VERSION "2.3.1") - (DIVIDER .) - (VOLTAGE 1.600::1.600) - (PROCESS "1.000::1.000") - (TEMPERATURE 100.000::100.000) - (TIMESCALE 1ns) - (CELL - (CELLTYPE "caravel_clocking") - (INSTANCE) - (DELAY - (ABSOLUTE - (INTERCONNECT ext_clk clkbuf_0_ext_clk.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_clk ANTENNA_clkbuf_0_ext_clk_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_clk_sel input1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_clk_sel ANTENNA_input1_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ext_reset input2.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_reset ANTENNA_input2_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT pll_clk clkbuf_0_pll_clk.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT pll_clk ANTENNA_clkbuf_0_pll_clk_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT pll_clk90 clkbuf_0_pll_clk90.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT pll_clk90 ANTENNA_clkbuf_0_pll_clk90_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT resetb input3.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT resetb ANTENNA_input3_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[0] input4.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[0] ANTENNA_input4_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[1] input5.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[1] ANTENNA_input5_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT sel2[2] input6.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[2] ANTENNA_input6_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[0] input7.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[0] ANTENNA_input7_A.DIODE (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT sel[1] input8.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[1] ANTENNA_input8_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT sel[2] input9.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[2] ANTENNA_input9_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _206_.X _286_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _206_.X _367_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _206_.X _368_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _207_.X _273_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _207_.X _395_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _207_.X _396_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _208_.X _309_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _209_.X clkbuf_0__037_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _210_.X clkbuf_0_net10.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _211_.X _313_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _212_.X user_clk_out_buffer.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _213_.X _367_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _214_.X _286_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _215_.X _368_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _216_.X _217_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _217_.X _267_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _218_.X _219_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _219_.X _252_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _220_.X _221_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _221_.X _269_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _222_.X _223_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _223_.X _369_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _224_.X _225_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _225_.X _371_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _226_.X _227_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _227_.X _373_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _228_.X _375_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _229_.X _376_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _230_.X _395_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _231_.X _273_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _232_.X _396_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _233_.X _234_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _234_.X _270_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _235_.X _236_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _236_.X _249_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _237_.X _238_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _238_.X _272_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _239_.X _240_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _240_.X _397_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _241_.X _242_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _242_.X _399_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _243_.X _244_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _244_.X _401_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _245_.X _406_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _246_.X _407_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _247_.Y _277_.D1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _247_.Y _283_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _248_.Y _281_.A4 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _248_.Y _282_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _249_.Y _285_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _250_.Y _290_.D1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _250_.Y _296_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _251_.Y _294_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _251_.Y _295_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _252_.Y _298_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _253_.Y _228_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _254_.Y _213_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _254_.Y _323_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _255_.Y _290_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _255_.Y _296_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _256_.Y _216_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _222_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _257_.Y _303_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _257_.Y _370_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _258_.Y _245_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _259_.Y _277_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _259_.Y _283_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _260_.Y _230_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _260_.Y _339_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _261_.Y _233_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _262_.Y _239_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _262_.Y _308_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _262_.Y _398_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _263_.Y _420_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _264_.Y _354_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _265_.Y _382_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _411__8.Y _418_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _267_.Y _364_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _413__5.Y _432_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _269_.Y _366_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _270_.Y _392_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _415__2.Y _457_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _272_.Y _394_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _273_.X _459_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _274_.Y _280_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _274_.Y _281_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _274_.Y _339_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _275_.Y _211_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _276_.X _281_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _276_.X _283_.A1 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT _276_.X _306_.B (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT _276_.X _316_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _276_.X _388_.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _276_.X _390_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _276_.X _397_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _276_.X _398_.A3 (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT _276_.X _399_.A2 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _276_.X _400_.A3 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT _276_.X _401_.A2 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT _276_.X _402_.A3 (0.021:0.021:0.021) (0.019:0.019:0.019)) - (INTERCONNECT _276_.X ANTENNA__402__A3.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT _276_.X ANTENNA__401__A2.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _276_.X ANTENNA__400__A3.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT _276_.X ANTENNA__399__A2.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT _276_.X ANTENNA__398__A3.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _276_.X ANTENNA__397__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _276_.X ANTENNA__390__A2.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _276_.X ANTENNA__388__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _276_.X ANTENNA__316__A2.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT _276_.X ANTENNA__306__B.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT _276_.X ANTENNA__283__A1.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT _276_.X ANTENNA__281__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _277_.Y _278_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _277_.Y _284_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _277_.Y _391_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _277_.Y _393_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _278_.Y _207_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _279_.Y _233_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _279_.Y _235_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _279_.Y _237_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _279_.Y _280_.D1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _279_.Y _281_.A3 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _280_.X _282_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _281_.Y _282_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _282_.Y _457_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _283_.Y _285_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _283_.Y _392_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _283_.Y _394_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _284_.Y _285_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _285_.Y _455_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _286_.X _434_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _287_.Y _293_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _287_.Y _294_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _287_.Y _323_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _288_.Y _208_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _289_.X _294_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _289_.X _296_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _289_.X _301_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _289_.X _312_.A2 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _289_.X _361_.B1 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _289_.X _362_.A2 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _289_.X _369_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _289_.X _370_.A3 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _289_.X _371_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _289_.X _372_.A3 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _289_.X _373_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _289_.X _374_.A3 (0.006:0.006:0.006) (0.005:0.005:0.006)) - (INTERCONNECT _290_.Y _291_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _290_.Y _297_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _290_.Y _363_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _290_.Y _365_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _291_.Y _206_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _292_.Y _216_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _292_.Y _218_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _292_.Y _220_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _292_.Y _293_.D1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _292_.Y _294_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _293_.X _295_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _294_.Y _295_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _295_.Y _432_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.Y _298_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _296_.Y _364_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _296_.Y _366_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _297_.Y _298_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _298_.Y _430_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _299_.Y _300_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _299_.Y _354_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _228_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _229_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _300_.Y _353_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _301_.X _206_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _302_.Y _403_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _303_.Y _222_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _303_.Y _224_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _303_.Y _226_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _304_.Y _305_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.Y _382_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _305_.Y _245_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _305_.Y _246_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _305_.Y _381_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _306_.X _207_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _307_.Y _350_.D1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _308_.Y _239_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _308_.Y _241_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _308_.Y _243_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _309_.X _312_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _310_.Y _312_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _311_.X _312_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _312_.X clkbuf_0_divider\.out.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _313_.X _316_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _314_.Y _316_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _315_.X _316_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _316_.X clkbuf_0_divider2\.out.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _317_.Y _213_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _318_.Y _214_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _319_.Y _321_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _320_.X _215_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _320_.X _321_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _321_.Y _214_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _322_.X _323_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _323_.X _215_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _324_.Y _218_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _325_.X _327_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _326_.Y _327_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _327_.Y _220_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _328_.Y _224_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _329_.X _331_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _330_.Y _331_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _331_.Y _226_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _332_.Y _229_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _333_.Y _230_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _334_.Y _231_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _335_.Y _337_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _336_.X _232_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _336_.X _337_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _337_.Y _231_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _338_.X _339_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _339_.X _232_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _340_.Y _235_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _341_.X _343_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _342_.Y _343_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _343_.Y _237_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _344_.Y _241_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _345_.X _347_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _346_.Y _347_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _347_.Y _243_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _348_.Y _246_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _349_.Y output11.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _350_.Y _351_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _350_.Y _352_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _351_.Y _352_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _352_.Y _422_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _353_.Y _355_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _354_.Y _355_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _355_.Y _427_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _356_.Y _358_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _357_.Y _358_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _358_.Y _362_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _359_.Y _361_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _360_.X _361_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _361_.Y _362_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _362_.X _428_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _363_.Y _364_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _364_.Y _429_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _365_.Y _366_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _366_.Y _431_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _367_.X _433_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _368_.X _435_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _369_.Y _370_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _370_.Y _436_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _371_.Y _372_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _372_.X _437_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _373_.Y _374_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _374_.X _438_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _375_.X _439_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _376_.X _440_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _377_.Y _379_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _378_.X _379_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _379_.X _441_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _380_.X _448_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _381_.Y _383_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _382_.Y _383_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _383_.Y _452_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _384_.Y _389_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _385_.Y _388_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _386_.Y _389_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _387_.Y _388_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _388_.Y _390_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _389_.Y _390_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _390_.X _453_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _391_.Y _392_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _392_.Y _454_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _393_.Y _394_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _394_.Y _456_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _395_.X _458_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _396_.X _460_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _397_.Y _398_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _398_.Y _461_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _399_.Y _400_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _400_.X _462_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _401_.Y _402_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _402_.X _463_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.Y _404_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.Y _405_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _404_.Y _405_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _405_.Y _464_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _406_.X _465_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _407_.X _466_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _408_.Y _410_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _409_.X _410_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _410_.X _467_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _412__9.Y _419_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_ext_clk.X clkbuf_1_0__f_ext_clk.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_ext_clk.X clkbuf_1_1__f_ext_clk.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _414__6.Y _434_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _266__7.Y _417_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _416__3.Y _459_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _268__4.Y _430_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _417_.Q _349_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _418_.Q _417_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _419_.Q _418_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _420_.Q _209_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _420_.Q _421_.D (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _421_.Q _210_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _421_.Q _212_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _422_.Q _314_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.Q _315_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _422_.Q _351_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _422_.Q _352_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _423_.Q _209_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _424_.Q _358_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Q _356_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _425_.Q _357_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _426_.Q _359_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _426_.Q _360_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _427_.Q _208_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _427_.Q _264_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _427_.Q _353_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _428_.Q _362_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _428_.Q fanout24.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.Q _256_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _429_.Q _292_.C_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.Q _324_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _429_.Q _325_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.Q _326_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _429_.Q _363_.C (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _430_.Q _292_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _430_.Q _297_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _430_.Q _324_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _430_.Q _325_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _430_.Q _326_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _431_.Q _292_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _431_.Q _325_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _431_.Q _326_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _431_.Q _365_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _432_.Q _251_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _432_.Q _310_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _432_.Q _311_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _433_.Q _254_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _433_.Q _318_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _433_.Q _322_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _433_.Q _367_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.Q _250_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _434_.Q _286_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.Q _287_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _434_.Q _318_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _434_.Q _322_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _435_.Q _255_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _435_.Q _287_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _435_.Q _322_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _435_.Q _368_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _436_.Q _257_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _436_.Q _328_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _436_.Q _329_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _436_.Q _330_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _436_.Q _403_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _437_.Q _302_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _437_.Q _303_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _437_.Q _328_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _437_.Q _329_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _437_.Q _330_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _437_.Q _372_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _438_.Q _302_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.Q _303_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _438_.Q _329_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.Q _330_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.Q _374_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _439_.Q _253_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _439_.Q _300_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _439_.Q _332_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _439_.Q _354_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _439_.Q _375_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _439_.Q _377_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _439_.Q _378_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _440_.Q _299_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _440_.Q _332_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _440_.Q _376_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _440_.Q _377_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _440_.Q _378_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _441_.Q _299_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _441_.Q _377_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _441_.Q _378_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _442_.Q _445_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _443_.Q _446_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _444_.Q _447_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _445_.Q fanout20.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _446_.Q _224_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _446_.Q _403_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _446_.Q _288_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _446_.Q _289_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _446_.Q fanout18.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _447_.Q _403_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _447_.Q _359_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _447_.Q _226_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _447_.Q fanout17.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _448_.Q _380_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _448_.Q _423_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _449_.Q _389_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _450_.Q _384_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _450_.Q _386_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _451_.Q _385_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _451_.Q _387_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _452_.Q _211_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _452_.Q _265_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _452_.Q _381_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _453_.Q _281_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _453_.Q fanout22.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _454_.Q _261_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _454_.Q _279_.C_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _454_.Q _340_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _454_.Q _341_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _454_.Q _342_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _454_.Q _391_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _455_.Q _279_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _455_.Q _284_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _455_.Q _340_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _455_.Q _341_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _455_.Q _342_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _456_.Q _279_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _456_.Q _341_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _456_.Q _342_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _456_.Q _393_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _457_.Q _248_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _457_.Q _314_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _457_.Q _315_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _458_.Q _260_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _458_.Q _334_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _458_.Q _338_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _458_.Q _395_.A0 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _247_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _459_.Q _273_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _459_.Q _274_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _459_.Q _334_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _459_.Q _338_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _460_.Q _259_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _274_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _338_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _396_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _262_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _461_.Q _344_.B (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _461_.Q _345_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _461_.Q _346_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _461_.Q _350_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _462_.Q _307_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _462_.Q _308_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _462_.Q _344_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _462_.Q _345_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _462_.Q _346_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _400_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _463_.Q _307_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _463_.Q _308_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _463_.Q _345_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _463_.Q _346_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _463_.Q _402_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _464_.Q _310_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _464_.Q _311_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _464_.Q _404_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _464_.Q _405_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _258_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _305_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _348_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _465_.Q _382_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _406_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _408_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _409_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _466_.Q _304_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _466_.Q _348_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _466_.Q _407_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _466_.Q _408_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _466_.Q _409_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _467_.Q _304_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _467_.Q _408_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _467_.Q _409_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _468_.Q _471_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _469_.Q _472_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _470_.Q _473_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _471_.Q fanout15.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _471_.Q fanout16.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _472_.Q _384_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _472_.Q _276_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _472_.Q _350_.A2 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _472_.Q _386_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _472_.Q fanout14.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _473_.Q _451_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _473_.Q _385_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _473_.Q _350_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _473_.Q fanout13.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271__1.Y _455_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout18.X _218_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout18.X _219_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout18.X _290_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout18.X _293_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout18.X _317_.A (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout18.X _319_.B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout18.X _228_.A0 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout18.X _320_.A1 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout18.X _356_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout18.X _357_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout18.X _425_.D (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout18.X _225_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout17.X _289_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout17.X _221_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout17.X _220_.A1 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout17.X _290_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout17.X _293_.A1 (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout17.X _319_.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout17.X _320_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout17.X _229_.A0 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout17.X _288_.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout17.X _426_.D (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout17.X _360_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout17.X _227_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout16.X _382_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout16.X _406_.S (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT fanout16.X _407_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout16.X _449_.D (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout16.X _350_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout16.X _239_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout16.X _240_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout15.X _280_.B1 (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT fanout15.X _336_.A2 (0.029:0.029:0.029) (0.027:0.027:0.027)) - (INTERCONNECT fanout15.X _234_.A1 (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT fanout15.X _333_.B (0.028:0.028:0.028) (0.026:0.026:0.026)) - (INTERCONNECT fanout15.X _335_.C (0.027:0.027:0.027) (0.025:0.025:0.025)) - (INTERCONNECT fanout15.X _233_.A1 (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT fanout15.X _277_.B1 (0.027:0.027:0.027) (0.025:0.025:0.025)) - (INTERCONNECT fanout15.X _276_.B1 (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT fanout15.X _313_.A_N (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout15.X _381_.A1 (0.019:0.019:0.019) (0.017:0.017:0.017)) - (INTERCONNECT fanout15.X _408_.A (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT fanout15.X _409_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout15.X ANTENNA__409__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout15.X ANTENNA__408__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout15.X ANTENNA__381__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout15.X ANTENNA__313__A_N.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout15.X ANTENNA__276__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout15.X ANTENNA__277__B1.DIODE (0.026:0.026:0.026) (0.024:0.024:0.024)) - (INTERCONNECT fanout15.X ANTENNA__233__A1.DIODE (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT fanout15.X ANTENNA__335__C.DIODE (0.028:0.028:0.028) (0.026:0.026:0.026)) - (INTERCONNECT fanout15.X ANTENNA__333__B.DIODE (0.028:0.028:0.028) (0.026:0.026:0.026)) - (INTERCONNECT fanout15.X ANTENNA__234__A1.DIODE (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT fanout15.X ANTENNA__336__A2.DIODE (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT fanout15.X ANTENNA__280__B1.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT fanout14.X _236_.A1 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout14.X _242_.A1 (0.022:0.022:0.022) (0.020:0.020:0.020)) - (INTERCONNECT fanout14.X _333_.A (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT fanout14.X _335_.B (0.022:0.022:0.022) (0.020:0.020:0.020)) - (INTERCONNECT fanout14.X _235_.A1 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout14.X _241_.A1 (0.022:0.022:0.022) (0.020:0.020:0.020)) - (INTERCONNECT fanout14.X _277_.A2 (0.021:0.021:0.021) (0.019:0.019:0.019)) - (INTERCONNECT fanout14.X _280_.A2 (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT fanout14.X _336_.A1 (0.023:0.023:0.023) (0.021:0.021:0.021)) - (INTERCONNECT fanout14.X _450_.D (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout14.X _275_.B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout14.X _245_.A0 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT fanout14.X ANTENNA__245__A0.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout14.X ANTENNA__275__B.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout14.X ANTENNA__450__D.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout14.X ANTENNA__336__A1.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT fanout14.X ANTENNA__280__A2.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT fanout14.X ANTENNA__277__A2.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT fanout14.X ANTENNA__241__A1.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT fanout14.X ANTENNA__235__A1.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT fanout14.X ANTENNA__335__B.DIODE (0.022:0.022:0.022) (0.020:0.020:0.020)) - (INTERCONNECT fanout14.X ANTENNA__333__A.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT fanout14.X ANTENNA__242__A1.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT fanout14.X ANTENNA__236__A1.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT fanout13.X _238_.A1 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT fanout13.X _243_.A1 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT fanout13.X _244_.A1 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout13.X _237_.A1 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT fanout13.X _335_.A (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT fanout13.X _336_.B1 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT fanout13.X _277_.A1 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout13.X _280_.A1 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout13.X _276_.A1 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT fanout13.X _275_.A (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT fanout13.X _246_.A0 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT fanout13.X _387_.A_N (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT fanout13.X ANTENNA__387__A_N.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT fanout13.X ANTENNA__246__A0.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout13.X ANTENNA__275__A.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT fanout13.X ANTENNA__276__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout13.X ANTENNA__280__A1.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout13.X ANTENNA__277__A1.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout13.X ANTENNA__336__B1.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT fanout13.X ANTENNA__335__A.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT fanout13.X ANTENNA__237__A1.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout13.X ANTENNA__244__A1.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout13.X ANTENNA__243__A1.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT fanout13.X ANTENNA__238__A1.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT user_clk_out_buffer.X user_clk (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT output11.X resetb_sync (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT input9.X _444_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input8.X _443_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input7.X _442_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input6.X _470_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input5.X _469_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input4.X _468_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input3.X fanout25.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input3.X fanout26.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input3.X fanout29.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input2.X _349_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input1.X _263_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _375_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout19.X _376_.S (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout19.X _377_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout19.X _378_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout19.X _317_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout19.X _320_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout19.X _290_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout19.X _319_.C (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout19.X _217_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout19.X _354_.A_N (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout19.X _353_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout19.X _293_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout20.X fanout19.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout20.X _216_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout20.X _424_.D (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout20.X _403_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout20.X _309_.A_N (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout20.X _289_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout20.X _223_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout20.X _222_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout21.X _230_.S (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT fanout21.X _238_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout21.X _283_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout21.X _284_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout21.X _391_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout21.X _393_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout21.X _306_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout21.X _207_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout21.X _232_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout21.X _231_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout21.X _401_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout21.X _244_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout22.X fanout21.A (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT fanout22.X _234_.S (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT fanout22.X _242_.S (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout22.X _399_.A1 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout22.X _236_.S (0.023:0.023:0.023) (0.021:0.021:0.021)) - (INTERCONNECT fanout22.X _400_.A1 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout22.X _397_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout22.X _398_.A1 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout22.X _390_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout22.X _351_.B1 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT fanout22.X _240_.S (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT fanout22.X _402_.A1 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT fanout22.X ANTENNA__402__A1.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT fanout22.X ANTENNA__240__S.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT fanout22.X ANTENNA__351__B1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT fanout22.X ANTENNA__390__A1.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout22.X ANTENNA__398__A1.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT fanout22.X ANTENNA__397__A1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout22.X ANTENNA__400__A1.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout22.X ANTENNA__236__S.DIODE (0.023:0.023:0.023) (0.021:0.021:0.021)) - (INTERCONNECT fanout22.X ANTENNA__399__A1.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout22.X ANTENNA__242__S.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout22.X ANTENNA__234__S.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT fanout22.X ANTENNA_fanout21_A.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT fanout23.X _219_.S (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout23.X _215_.S (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout23.X _296_.B1 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout23.X _301_.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout23.X _214_.S (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout23.X _213_.S (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout23.X _206_.A1 (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout23.X _297_.A_N (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout23.X _363_.A_N (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout23.X _365_.A_N (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout23.X _221_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout23.X _217_.S (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout24.X fanout23.A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout24.X _294_.B1 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout24.X _369_.A1 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout24.X _370_.A1 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout24.X _372_.A1 (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout24.X _374_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout24.X _404_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout24.X _223_.S (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout24.X _373_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout24.X _371_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout24.X _227_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout24.X _225_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout25.X _429_.RESET_B (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout25.X _430_.SET_B (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout25.X _432_.SET_B (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT fanout25.X _433_.RESET_B (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout25.X _434_.SET_B (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout25.X _435_.RESET_B (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout25.X _439_.SET_B (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT fanout25.X _440_.RESET_B (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT fanout25.X _441_.RESET_B (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout25.X _380_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout25.X _418_.SET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout25.X _419_.SET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout26.X _423_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout26.X _454_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout26.X _455_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout26.X _456_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout26.X _458_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout26.X _459_.SET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout26.X _460_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout26.X _462_.SET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout27.X _420_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout27.X _427_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout27.X _431_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout27.X _436_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout27.X _442_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout27.X _443_.SET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout27.X _444_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout27.X _445_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout27.X _446_.SET_B (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout27.X _447_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout27.X _464_.SET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout27.X _428_.RESET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout28.X _417_.SET_B (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout28.X _421_.RESET_B (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT fanout28.X _422_.SET_B (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout28.X _453_.RESET_B (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout28.X _457_.SET_B (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT fanout28.X _461_.RESET_B (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout28.X _463_.RESET_B (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout28.X _469_.SET_B (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT fanout28.X _472_.SET_B (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout28.X _452_.SET_B (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT fanout28.X _465_.SET_B (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT fanout28.X _466_.RESET_B (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT fanout28.X ANTENNA__466__RESET_B.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT fanout28.X ANTENNA__465__SET_B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X ANTENNA__452__SET_B.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT fanout28.X ANTENNA__472__SET_B.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout28.X ANTENNA__469__SET_B.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout28.X ANTENNA__463__RESET_B.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT fanout28.X ANTENNA__461__RESET_B.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout28.X ANTENNA__457__SET_B.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout28.X ANTENNA__453__RESET_B.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout28.X ANTENNA__422__SET_B.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT fanout28.X ANTENNA__421__RESET_B.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout28.X ANTENNA__417__SET_B.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout29.X fanout27.A (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout29.X _438_.RESET_B (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT fanout29.X _437_.SET_B (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT fanout29.X fanout28.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout29.X _473_.RESET_B (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout29.X _471_.RESET_B (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout29.X _470_.RESET_B (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT fanout29.X _468_.RESET_B (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT fanout29.X _467_.RESET_B (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout29.X ANTENNA__467__RESET_B.DIODE (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT fanout29.X ANTENNA__468__RESET_B.DIODE (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT fanout29.X ANTENNA__470__RESET_B.DIODE (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT fanout29.X ANTENNA__471__RESET_B.DIODE (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT fanout29.X ANTENNA__473__RESET_B.DIODE (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT fanout29.X ANTENNA_fanout28_A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout29.X ANTENNA__437__SET_B.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT fanout29.X ANTENNA__438__RESET_B.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT fanout29.X ANTENNA_fanout27_A.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _419__30.LO _419_.D (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_ext_clk.X _380_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_ext_clk.X _209_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0__037_.X clkbuf_1_0__f__037_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_0__037_.X clkbuf_1_1__f__037_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f__037_.X _210_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f__037_.X _212_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_net10.X clkbuf_1_0__f_net10.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_0_net10.X clkbuf_1_1__f_net10.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_net10.X core_clk (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT clkbuf_1_0__f_net10.X _412__9.A (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT clkbuf_1_1__f_net10.X _411__8.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_net10.X _266__7.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_0_pll_clk.X clkbuf_1_0__f_pll_clk.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_pll_clk.X clkbuf_1_1__f_pll_clk.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _414__6.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _268__4.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _448_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _441_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _440_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _439_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _435_.CLK_N (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _433_.CLK_N (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _429_.CLK_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _423_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _421_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _413__5.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _464_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _438_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _437_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _436_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _431_.CLK_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _428_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _427_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _426_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _425_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _424_.CLK (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _420_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _208_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_0_divider\.out.X clkbuf_1_0__f_divider\.out.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_divider\.out.X clkbuf_1_1__f_divider\.out.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _447_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _446_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _445_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _443_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _442_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_divider\.out.X _444_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_divider\.out.X _210_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_pll_clk90.X clkbuf_1_0__f_pll_clk90.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_pll_clk90.X clkbuf_1_1__f_pll_clk90.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _416__3.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _271__1.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _463_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _462_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _460_.CLK_N (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _458_.CLK_N (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _456_.CLK_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _454_.CLK_N (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _415__2.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _467_.CLK (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _466_.CLK (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _465_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _461_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _453_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _452_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _451_.CLK (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _450_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _449_.CLK (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _422_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _211_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_0_divider2\.out.X clkbuf_1_0__f_divider2\.out.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_0_divider2\.out.X clkbuf_1_1__f_divider2\.out.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_divider2\.out.X _472_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_divider2\.out.X _469_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider2\.out.X _212_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_divider2\.out.X _473_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_divider2\.out.X _471_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_divider2\.out.X _470_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_divider2\.out.X _468_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _206_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.138:0.138:0.138) (0.197:0.197:0.197)) - (IOPATH A1 X (0.156:0.156:0.156) (0.215:0.215:0.215)) - (IOPATH S X (0.183:0.187:0.191) (0.234:0.235:0.236)) - (IOPATH S X (0.141:0.142:0.143) (0.228:0.231:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _207_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.128:0.128:0.129) (0.190:0.190:0.190)) - (IOPATH A1 X (0.151:0.151:0.151) (0.214:0.214:0.214)) - (IOPATH S X (0.175:0.179:0.182) (0.229:0.229:0.230)) - (IOPATH S X (0.133:0.134:0.135) (0.222:0.225:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _208_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.082:0.082:0.082) (0.140:0.140:0.140)) - (IOPATH A1 X (0.075:0.075:0.075) (0.143:0.143:0.143)) - (IOPATH S X (0.126:0.127:0.129) (0.184:0.185:0.185)) - (IOPATH S X (0.089:0.089:0.089) (0.179:0.180:0.181)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _209_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.147:0.147:0.147) (0.201:0.201:0.201)) - (IOPATH A1 X (0.153:0.153:0.153) (0.211:0.211:0.211)) - (IOPATH S X (0.216:0.216:0.216) (0.251:0.251:0.251)) - (IOPATH S X (0.173:0.173:0.173) (0.251:0.251:0.251)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _210_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.102:0.102:0.102) (0.167:0.167:0.167)) - (IOPATH A1 X (0.104:0.104:0.104) (0.174:0.174:0.174)) - (IOPATH S X (0.161:0.161:0.161) (0.215:0.215:0.215)) - (IOPATH S X (0.118:0.118:0.118) (0.211:0.211:0.211)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _211_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.098:0.098:0.098) (0.156:0.156:0.156)) - (IOPATH A1 X (0.089:0.089:0.089) (0.159:0.159:0.159)) - (IOPATH S X (0.146:0.146:0.146) (0.200:0.200:0.200)) - (IOPATH S X (0.104:0.104:0.105) (0.198:0.198:0.198)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _212_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.104:0.104:0.104) (0.169:0.169:0.169)) - (IOPATH A1 X (0.106:0.106:0.106) (0.176:0.176:0.176)) - (IOPATH S X (0.163:0.163:0.163) (0.217:0.217:0.217)) - (IOPATH S X (0.120:0.120:0.120) (0.213:0.213:0.213)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _213_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.086:0.086:0.086) (0.154:0.154:0.154)) - (IOPATH A1 X (0.089:0.095:0.101) (0.159:0.161:0.163)) - (IOPATH S X (0.153:0.153:0.153) (0.204:0.204:0.204)) - (IOPATH S X (0.108:0.108:0.108) (0.204:0.204:0.204)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _214_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.079:0.085:0.091) (0.144:0.146:0.148)) - (IOPATH A1 X (0.093:0.093:0.093) (0.152:0.154:0.156)) - (IOPATH S X (0.145:0.145:0.145) (0.197:0.197:0.197)) - (IOPATH S X (0.101:0.101:0.101) (0.197:0.197:0.197)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _215_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.072:0.072:0.072) (0.137:0.138:0.138)) - (IOPATH A1 X (0.082:0.082:0.082) (0.146:0.147:0.148)) - (IOPATH S X (0.140:0.140:0.140) (0.190:0.190:0.190)) - (IOPATH S X (0.095:0.095:0.095) (0.190:0.190:0.190)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _216_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.069:0.069:0.069) (0.140:0.140:0.140)) - (IOPATH A1 X (0.095:0.095:0.095) (0.166:0.166:0.166)) - (IOPATH S X (0.129:0.133:0.136) (0.190:0.190:0.190)) - (IOPATH S X (0.109:0.109:0.109) (0.182:0.185:0.188)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _217_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.080:0.081:0.082) (0.151:0.151:0.151)) - (IOPATH A1 X (0.105:0.105:0.105) (0.173:0.173:0.173)) - (IOPATH S X (0.149:0.149:0.149) (0.200:0.200:0.200)) - (IOPATH S X (0.105:0.105:0.105) (0.200:0.200:0.200)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _218_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.076:0.081:0.087) (0.142:0.144:0.147)) - (IOPATH A1 X (0.100:0.100:0.100) (0.171:0.171:0.171)) - (IOPATH S X (0.135:0.139:0.142) (0.197:0.197:0.197)) - (IOPATH S X (0.115:0.115:0.115) (0.189:0.192:0.195)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _219_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.085:0.086:0.087) (0.156:0.156:0.156)) - (IOPATH A1 X (0.109:0.109:0.109) (0.180:0.180:0.180)) - (IOPATH S X (0.153:0.153:0.153) (0.203:0.203:0.203)) - (IOPATH S X (0.109:0.109:0.109) (0.204:0.204:0.204)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _220_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.069:0.070:0.070) (0.135:0.136:0.137)) - (IOPATH A1 X (0.094:0.094:0.094) (0.163:0.163:0.163)) - (IOPATH S X (0.130:0.134:0.137) (0.191:0.191:0.191)) - (IOPATH S X (0.110:0.110:0.110) (0.183:0.186:0.189)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _221_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.081:0.081:0.082) (0.151:0.151:0.151)) - (IOPATH A1 X (0.105:0.105:0.105) (0.174:0.174:0.174)) - (IOPATH S X (0.149:0.149:0.149) (0.200:0.200:0.200)) - (IOPATH S X (0.105:0.105:0.105) (0.200:0.200:0.200)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _222_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.069:0.069:0.069) (0.139:0.139:0.139)) - (IOPATH A1 X (0.093:0.093:0.093) (0.164:0.164:0.164)) - (IOPATH S X (0.119:0.128:0.136) (0.188:0.188:0.188)) - (IOPATH S X (0.103:0.103:0.103) (0.175:0.181:0.187)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _223_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.073:0.074:0.074) (0.145:0.145:0.145)) - (IOPATH A1 X (0.100:0.100:0.100) (0.172:0.172:0.172)) - (IOPATH S X (0.146:0.146:0.146) (0.195:0.195:0.195)) - (IOPATH S X (0.101:0.101:0.101) (0.197:0.197:0.197)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _224_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.077:0.084:0.090) (0.140:0.143:0.147)) - (IOPATH A1 X (0.099:0.099:0.099) (0.165:0.165:0.165)) - (IOPATH S X (0.122:0.131:0.139) (0.192:0.192:0.192)) - (IOPATH S X (0.106:0.106:0.106) (0.178:0.184:0.190)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _225_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.085:0.085:0.086) (0.156:0.157:0.157)) - (IOPATH A1 X (0.110:0.110:0.110) (0.181:0.181:0.181)) - (IOPATH S X (0.156:0.156:0.156) (0.206:0.206:0.206)) - (IOPATH S X (0.111:0.111:0.111) (0.208:0.208:0.208)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _226_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.070:0.071:0.071) (0.136:0.137:0.137)) - (IOPATH A1 X (0.094:0.094:0.094) (0.159:0.159:0.159)) - (IOPATH S X (0.122:0.130:0.138) (0.191:0.191:0.191)) - (IOPATH S X (0.105:0.105:0.105) (0.177:0.183:0.189)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _227_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.072:0.072:0.073) (0.142:0.142:0.143)) - (IOPATH A1 X (0.096:0.096:0.096) (0.165:0.165:0.165)) - (IOPATH S X (0.143:0.143:0.143) (0.192:0.192:0.192)) - (IOPATH S X (0.098:0.098:0.098) (0.194:0.194:0.194)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _228_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.095:0.095:0.095) (0.163:0.163:0.163)) - (IOPATH A1 X (0.072:0.072:0.072) (0.149:0.149:0.149)) - (IOPATH S X (0.138:0.140:0.141) (0.190:0.191:0.191)) - (IOPATH S X (0.094:0.094:0.094) (0.190:0.191:0.192)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _229_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.100:0.100:0.100) (0.167:0.167:0.167)) - (IOPATH A1 X (0.088:0.095:0.101) (0.155:0.158:0.161)) - (IOPATH S X (0.144:0.145:0.147) (0.197:0.197:0.197)) - (IOPATH S X (0.099:0.100:0.100) (0.197:0.198:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _230_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.078:0.078:0.078) (0.146:0.146:0.146)) - (IOPATH A1 X (0.084:0.091:0.097) (0.151:0.156:0.160)) - (IOPATH S X (0.149:0.149:0.149) (0.196:0.196:0.196)) - (IOPATH S X (0.103:0.103:0.103) (0.200:0.200:0.200)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _231_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.089:0.095:0.101) (0.152:0.154:0.156)) - (IOPATH A1 X (0.094:0.094:0.094) (0.158:0.159:0.161)) - (IOPATH S X (0.157:0.157:0.157) (0.204:0.204:0.204)) - (IOPATH S X (0.110:0.110:0.110) (0.207:0.207:0.207)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _232_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.090:0.091:0.091) (0.154:0.155:0.156)) - (IOPATH A1 X (0.101:0.101:0.101) (0.164:0.165:0.166)) - (IOPATH S X (0.159:0.159:0.159) (0.206:0.206:0.206)) - (IOPATH S X (0.113:0.113:0.113) (0.210:0.210:0.210)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _233_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.071:0.071:0.071) (0.143:0.143:0.143)) - (IOPATH A1 X (0.101:0.101:0.101) (0.183:0.183:0.183)) - (IOPATH S X (0.134:0.138:0.143) (0.188:0.188:0.188)) - (IOPATH S X (0.109:0.109:0.109) (0.186:0.190:0.193)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _234_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.120:0.121:0.121) (0.187:0.187:0.187)) - (IOPATH A1 X (0.151:0.151:0.151) (0.230:0.230:0.230)) - (IOPATH S X (0.211:0.211:0.211) (0.235:0.235:0.235)) - (IOPATH S X (0.154:0.154:0.154) (0.252:0.252:0.252)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _235_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.079:0.085:0.092) (0.140:0.144:0.148)) - (IOPATH A1 X (0.101:0.101:0.101) (0.183:0.183:0.183)) - (IOPATH S X (0.133:0.138:0.142) (0.187:0.187:0.187)) - (IOPATH S X (0.108:0.108:0.108) (0.185:0.189:0.192)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _236_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.089:0.090:0.090) (0.159:0.159:0.159)) - (IOPATH A1 X (0.121:0.121:0.121) (0.203:0.203:0.203)) - (IOPATH S X (0.180:0.180:0.180) (0.209:0.209:0.209)) - (IOPATH S X (0.123:0.123:0.123) (0.226:0.226:0.226)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _237_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.075:0.076:0.076) (0.140:0.141:0.142)) - (IOPATH A1 X (0.103:0.103:0.103) (0.184:0.184:0.184)) - (IOPATH S X (0.136:0.141:0.145) (0.190:0.190:0.190)) - (IOPATH S X (0.112:0.112:0.112) (0.189:0.192:0.196)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _238_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.086:0.087:0.087) (0.156:0.156:0.156)) - (IOPATH A1 X (0.117:0.117:0.117) (0.197:0.197:0.197)) - (IOPATH S X (0.159:0.159:0.159) (0.205:0.205:0.205)) - (IOPATH S X (0.113:0.113:0.113) (0.209:0.209:0.209)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _239_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.069:0.069:0.069) (0.137:0.137:0.137)) - (IOPATH A1 X (0.092:0.092:0.092) (0.162:0.162:0.162)) - (IOPATH S X (0.117:0.126:0.134) (0.188:0.188:0.188)) - (IOPATH S X (0.099:0.099:0.099) (0.173:0.179:0.185)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _240_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.072:0.072:0.073) (0.143:0.143:0.144)) - (IOPATH A1 X (0.098:0.098:0.098) (0.168:0.168:0.168)) - (IOPATH S X (0.163:0.163:0.163) (0.194:0.194:0.194)) - (IOPATH S X (0.107:0.107:0.107) (0.210:0.210:0.210)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _241_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.083:0.089:0.094) (0.148:0.152:0.157)) - (IOPATH A1 X (0.112:0.112:0.112) (0.196:0.196:0.196)) - (IOPATH S X (0.130:0.138:0.147) (0.202:0.202:0.202)) - (IOPATH S X (0.112:0.112:0.112) (0.187:0.193:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _242_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.078:0.079:0.079) (0.149:0.149:0.149)) - (IOPATH A1 X (0.107:0.107:0.107) (0.191:0.191:0.191)) - (IOPATH S X (0.165:0.165:0.165) (0.197:0.197:0.197)) - (IOPATH S X (0.109:0.109:0.109) (0.213:0.213:0.213)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _243_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.091:0.091:0.092) (0.156:0.157:0.158)) - (IOPATH A1 X (0.118:0.118:0.118) (0.199:0.199:0.199)) - (IOPATH S X (0.137:0.145:0.154) (0.208:0.208:0.208)) - (IOPATH S X (0.119:0.119:0.119) (0.193:0.200:0.206)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _244_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.106:0.107:0.107) (0.175:0.176:0.176)) - (IOPATH A1 X (0.131:0.131:0.131) (0.213:0.213:0.213)) - (IOPATH S X (0.174:0.174:0.174) (0.220:0.220:0.220)) - (IOPATH S X (0.128:0.128:0.128) (0.224:0.224:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _245_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.106:0.106:0.106) (0.186:0.186:0.186)) - (IOPATH A1 X (0.076:0.076:0.076) (0.153:0.153:0.153)) - (IOPATH S X (0.151:0.152:0.153) (0.197:0.197:0.197)) - (IOPATH S X (0.104:0.104:0.104) (0.202:0.203:0.204)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _246_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.101:0.101:0.101) (0.178:0.178:0.178)) - (IOPATH A1 X (0.076:0.081:0.086) (0.145:0.147:0.150)) - (IOPATH S X (0.147:0.148:0.149) (0.193:0.193:0.193)) - (IOPATH S X (0.100:0.100:0.100) (0.198:0.198:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _247_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.048:0.048:0.048) (0.050:0.050:0.050)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _248_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.052:0.052:0.052) (0.029:0.029:0.029)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _249_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.036:0.036:0.037) (0.016:0.016:0.016)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _250_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.041:0.041:0.041) (0.042:0.042:0.042)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _251_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.038:0.038:0.038) (0.030:0.030:0.030)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _252_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.035:0.035:0.035) (0.016:0.016:0.016)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _253_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.039:0.039:0.039) (0.040:0.040:0.040)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _254_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.054:0.054:0.054) (0.023:0.023:0.023)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _255_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.053:0.053:0.053) (0.045:0.045:0.045)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _256_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.041:0.041:0.041) (0.029:0.029:0.029)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _257_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.050:0.050:0.050) (0.042:0.042:0.042)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _258_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.036:0.036:0.036) (0.035:0.035:0.035)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _259_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.059:0.059:0.059) (0.053:0.053:0.053)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _260_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.057:0.057:0.057) (0.025:0.025:0.025)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _261_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.051:0.051:0.051) (0.040:0.040:0.040)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _262_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.050:0.050:0.050) (0.041:0.041:0.041)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _263_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.079:0.079:0.079) (0.034:0.034:0.034)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _264_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.041:0.041:0.041) (0.022:0.022:0.022)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _265_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.039:0.039:0.039) (0.018:0.018:0.018)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _411__8) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.025:0.025:0.025) (0.012:0.012:0.012)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _267_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.034:0.035:0.035) (0.016:0.016:0.016)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _413__5) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.030:0.030:0.030) (0.013:0.013:0.013)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _269_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.034:0.035:0.035) (0.016:0.016:0.016)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _270_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.040:0.040:0.041) (0.014:0.014:0.014)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _415__2) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.034:0.034:0.034) (0.016:0.016:0.016)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _272_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.037:0.037:0.037) (0.017:0.017:0.017)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _273_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.092:0.092:0.092) (0.147:0.147:0.147)) - (IOPATH A1 X (0.078:0.078:0.079) (0.151:0.151:0.151)) - (IOPATH S X (0.142:0.142:0.143) (0.191:0.191:0.191)) - (IOPATH S X (0.095:0.095:0.095) (0.192:0.193:0.194)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _274_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.248:0.248:0.248) (0.092:0.092:0.092)) - (IOPATH B Y (0.251:0.251:0.251) (0.097:0.097:0.097)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _275_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.141:0.141:0.141) (0.056:0.056:0.056)) - (IOPATH B Y (0.137:0.137:0.137) (0.049:0.049:0.049)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_4") - (INSTANCE _276_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.230:0.230:0.230) (0.235:0.235:0.235)) - (IOPATH A2 X (0.220:0.220:0.220) (0.208:0.208:0.208)) - (IOPATH B1 X (0.210:0.210:0.210) (0.170:0.170:0.170)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_4") - (INSTANCE _277_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.224:0.224:0.224) (0.109:0.109:0.109)) - (IOPATH A2 Y (0.222:0.222:0.222) (0.097:0.097:0.097)) - (IOPATH B1 Y (0.141:0.141:0.141) (0.087:0.087:0.087)) - (IOPATH C1 Y (0.093:0.093:0.093) (0.073:0.073:0.073)) - (IOPATH D1 Y (0.073:0.073:0.073) (0.063:0.063:0.063)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _278_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.052:0.057:0.062) (0.022:0.020:0.019)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3b_2") - (INSTANCE _279_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.341:0.341:0.341) (0.084:0.084:0.084)) - (IOPATH B Y (0.325:0.325:0.325) (0.079:0.079:0.079)) - (IOPATH C_N Y (0.333:0.333:0.333) (0.163:0.163:0.163)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_1") - (INSTANCE _280_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.128:0.128:0.128) (0.188:0.188:0.188)) - (IOPATH A2 X (0.112:0.112:0.112) (0.184:0.184:0.184)) - (IOPATH B1 X (0.103:0.103:0.103) (0.130:0.130:0.130)) - (IOPATH C1 X (0.105:0.105:0.105) (0.100:0.102:0.104)) - (IOPATH D1 X (0.097:0.097:0.097) (0.082:0.087:0.091)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a41oi_1") - (INSTANCE _281_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.149:0.151:0.152) (0.097:0.097:0.097)) - (IOPATH A2 Y (0.171:0.173:0.175) (0.096:0.096:0.096)) - (IOPATH A3 Y (0.176:0.180:0.185) (0.097:0.097:0.097)) - (IOPATH A4 Y (0.167:0.167:0.167) (0.074:0.074:0.074)) - (IOPATH B1 Y (0.080:0.080:0.080) (0.040:0.040:0.040)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _282_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.091:0.091:0.091) (0.040:0.040:0.040)) - (IOPATH A2 Y (0.077:0.079:0.081) (0.034:0.034:0.034)) - (IOPATH B1 Y (0.053:0.066:0.078) (0.030:0.031:0.032)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31oi_2") - (INSTANCE _283_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.184:0.186:0.188) (0.109:0.109:0.110)) - (IOPATH A2 Y (0.202:0.202:0.202) (0.079:0.079:0.079)) - (IOPATH A3 Y (0.211:0.211:0.211) (0.081:0.081:0.081)) - (IOPATH B1 Y (0.117:0.117:0.117) (0.051:0.051:0.051)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _284_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.093:0.093:0.093) (0.124:0.124:0.124)) - (IOPATH B Y (0.086:0.093:0.100) (0.055:0.061:0.067)) - (IOPATH C Y (0.092:0.092:0.092) (0.065:0.065:0.065)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _285_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.081:0.081:0.081) (0.035:0.035:0.035)) - (IOPATH A2 Y (0.086:0.096:0.106) (0.039:0.038:0.038)) - (IOPATH B1 Y (0.053:0.057:0.061) (0.027:0.028:0.028)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _286_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.090:0.090:0.090) (0.146:0.146:0.146)) - (IOPATH A1 X (0.077:0.077:0.077) (0.151:0.151:0.151)) - (IOPATH S X (0.145:0.146:0.147) (0.193:0.193:0.193)) - (IOPATH S X (0.098:0.098:0.098) (0.195:0.196:0.197)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _287_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.166:0.166:0.166) (0.065:0.065:0.065)) - (IOPATH B Y (0.169:0.169:0.169) (0.068:0.068:0.068)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _288_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.119:0.119:0.119) (0.050:0.050:0.050)) - (IOPATH B Y (0.112:0.112:0.112) (0.045:0.045:0.045)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_4") - (INSTANCE _289_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.170:0.170:0.170) (0.196:0.196:0.196)) - (IOPATH A2 X (0.165:0.165:0.165) (0.185:0.185:0.185)) - (IOPATH B1 X (0.153:0.153:0.153) (0.135:0.135:0.135)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_4") - (INSTANCE _290_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.205:0.205:0.205) (0.105:0.105:0.105)) - (IOPATH A2 Y (0.198:0.198:0.198) (0.093:0.093:0.093)) - (IOPATH B1 Y (0.115:0.115:0.115) (0.082:0.082:0.082)) - (IOPATH C1 Y (0.088:0.088:0.088) (0.070:0.070:0.070)) - (IOPATH D1 Y (0.067:0.067:0.067) (0.059:0.059:0.059)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _291_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.052:0.057:0.061) (0.023:0.022:0.021)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3b_2") - (INSTANCE _292_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.282:0.282:0.282) (0.072:0.072:0.072)) - (IOPATH B Y (0.261:0.261:0.261) (0.063:0.063:0.063)) - (IOPATH C_N Y (0.276:0.276:0.276) (0.152:0.152:0.152)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_1") - (INSTANCE _293_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.127:0.127:0.127) (0.175:0.175:0.175)) - (IOPATH A2 X (0.113:0.113:0.113) (0.169:0.169:0.169)) - (IOPATH B1 X (0.103:0.103:0.103) (0.110:0.110:0.110)) - (IOPATH C1 X (0.105:0.105:0.105) (0.092:0.094:0.096)) - (IOPATH D1 X (0.104:0.104:0.104) (0.080:0.084:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a41oi_1") - (INSTANCE _294_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.115:0.116:0.118) (0.072:0.072:0.072)) - (IOPATH A2 Y (0.134:0.136:0.138) (0.073:0.073:0.073)) - (IOPATH A3 Y (0.146:0.149:0.153) (0.082:0.082:0.082)) - (IOPATH A4 Y (0.142:0.142:0.142) (0.060:0.060:0.060)) - (IOPATH B1 Y (0.073:0.073:0.073) (0.031:0.031:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _295_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.099:0.099:0.099) (0.040:0.040:0.040)) - (IOPATH A2 Y (0.086:0.088:0.091) (0.037:0.038:0.038)) - (IOPATH B1 Y (0.059:0.067:0.075) (0.032:0.034:0.037)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31oi_2") - (INSTANCE _296_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.148:0.151:0.153) (0.086:0.086:0.086)) - (IOPATH A2 Y (0.174:0.174:0.174) (0.068:0.068:0.068)) - (IOPATH A3 Y (0.183:0.183:0.183) (0.070:0.070:0.070)) - (IOPATH B1 Y (0.097:0.097:0.097) (0.043:0.043:0.043)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _297_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.083:0.083:0.083) (0.112:0.112:0.112)) - (IOPATH B Y (0.075:0.081:0.086) (0.047:0.051:0.055)) - (IOPATH C Y (0.078:0.078:0.078) (0.055:0.055:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _298_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.094:0.094:0.094) (0.041:0.041:0.041)) - (IOPATH A2 Y (0.096:0.102:0.109) (0.044:0.046:0.047)) - (IOPATH B1 Y (0.060:0.064:0.067) (0.030:0.032:0.033)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _299_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.121:0.121:0.121) (0.051:0.051:0.051)) - (IOPATH B Y (0.100:0.100:0.100) (0.041:0.041:0.041)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _300_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.100:0.100:0.100) (0.079:0.079:0.079)) - (IOPATH B Y (0.098:0.101:0.104) (0.078:0.078:0.078)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _301_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.082:0.082:0.082) (0.150:0.150:0.150)) - (IOPATH B X (0.081:0.081:0.081) (0.133:0.135:0.137)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _302_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.120:0.120:0.120) (0.051:0.051:0.051)) - (IOPATH B Y (0.112:0.112:0.112) (0.044:0.044:0.044)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_2") - (INSTANCE _303_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.240:0.240:0.240) (0.064:0.064:0.064)) - (IOPATH B Y (0.226:0.226:0.226) (0.060:0.060:0.060)) - (IOPATH C Y (0.179:0.179:0.179) (0.036:0.036:0.036)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _304_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.123:0.123:0.123) (0.053:0.053:0.053)) - (IOPATH B Y (0.103:0.103:0.103) (0.041:0.041:0.041)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _305_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.125:0.125:0.125) (0.097:0.097:0.097)) - (IOPATH B Y (0.123:0.126:0.129) (0.097:0.097:0.097)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _306_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.079:0.079:0.079) (0.149:0.149:0.149)) - (IOPATH B X (0.080:0.080:0.080) (0.142:0.144:0.146)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _307_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.142:0.142:0.142) (0.062:0.062:0.062)) - (IOPATH B Y (0.128:0.128:0.128) (0.053:0.053:0.053)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_2") - (INSTANCE _308_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.215:0.215:0.215) (0.057:0.057:0.057)) - (IOPATH B Y (0.195:0.195:0.195) (0.052:0.052:0.052)) - (IOPATH C Y (0.149:0.149:0.149) (0.032:0.032:0.032)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_2") - (INSTANCE _309_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.159:0.159:0.159) (0.145:0.145:0.145)) - (IOPATH B X (0.086:0.086:0.086) (0.121:0.122:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _310_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.055:0.055:0.055) (0.036:0.036:0.036)) - (IOPATH B Y (0.057:0.057:0.057) (0.040:0.040:0.040)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _311_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.091:0.091:0.091) (0.151:0.151:0.151)) - (IOPATH B X (0.085:0.085:0.085) (0.136:0.136:0.136)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_2") - (INSTANCE _312_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.116:0.116:0.116) (0.148:0.148:0.148)) - (IOPATH A2 X (0.000:0.000:0.000)) - (IOPATH A3 X (0.120:0.120:0.121) (0.176:0.178:0.179)) - (IOPATH B1 X (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_2") - (INSTANCE _313_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.166:0.166:0.166) (0.141:0.141:0.141)) - (IOPATH B X (0.082:0.083:0.083) (0.119:0.119:0.119)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _314_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.057:0.057:0.057) (0.042:0.042:0.042)) - (IOPATH B Y (0.061:0.061:0.061) (0.045:0.045:0.045)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _315_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.095:0.095:0.095) (0.153:0.153:0.153)) - (IOPATH B X (0.091:0.091:0.091) (0.140:0.140:0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_2") - (INSTANCE _316_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.102:0.102:0.102) (0.138:0.138:0.138)) - (IOPATH A2 X (0.000:0.000:0.000)) - (IOPATH A3 X (0.105:0.105:0.106) (0.164:0.165:0.166)) - (IOPATH B1 X (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _317_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.085:0.085:0.085) (0.105:0.105:0.105)) - (IOPATH A Y (0.130:0.130:0.130) (0.055:0.055:0.055)) - (IOPATH B Y (0.083:0.083:0.083) (0.098:0.098:0.098)) - (IOPATH B Y (0.115:0.115:0.115) (0.048:0.048:0.048)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _318_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.079:0.079:0.079) (0.083:0.083:0.083)) - (IOPATH A Y (0.107:0.107:0.107) (0.049:0.049:0.049)) - (IOPATH B Y (0.080:0.080:0.080) (0.095:0.095:0.095)) - (IOPATH B Y (0.107:0.107:0.107) (0.045:0.045:0.045)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _319_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.151:0.151:0.151) (0.047:0.047:0.047)) - (IOPATH B Y (0.145:0.145:0.145) (0.042:0.042:0.042)) - (IOPATH C Y (0.119:0.119:0.119) (0.036:0.036:0.036)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _320_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.117:0.117:0.117) (0.153:0.153:0.153)) - (IOPATH A2 X (0.104:0.104:0.104) (0.141:0.141:0.141)) - (IOPATH B1 X (0.094:0.094:0.094) (0.097:0.097:0.097)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _321_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.099:0.100:0.101) (0.048:0.048:0.048)) - (IOPATH B Y (0.086:0.088:0.089) (0.036:0.036:0.036)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _322_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.084:0.084:0.084) (0.117:0.117:0.117)) - (IOPATH A2 X (0.076:0.076:0.076) (0.120:0.120:0.120)) - (IOPATH B1 X (0.066:0.066:0.066) (0.079:0.079:0.079)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _323_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.067:0.067:0.067) (0.099:0.099:0.099)) - (IOPATH A2 X (0.089:0.089:0.089) (0.123:0.125:0.126)) - (IOPATH B1 X (0.051:0.051:0.051) (0.066:0.067:0.068)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _324_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.075:0.075:0.075) (0.092:0.092:0.092)) - (IOPATH A Y (0.107:0.107:0.107) (0.046:0.046:0.046)) - (IOPATH B Y (0.074:0.074:0.074) (0.098:0.098:0.098)) - (IOPATH B Y (0.104:0.104:0.104) (0.037:0.037:0.037)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _325_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.091:0.091:0.091) (0.225:0.225:0.225)) - (IOPATH B X (0.089:0.089:0.089) (0.219:0.219:0.219)) - (IOPATH C X (0.078:0.078:0.078) (0.182:0.182:0.182)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _326_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.110:0.110:0.110) (0.056:0.056:0.056)) - (IOPATH A2 Y (0.112:0.112:0.112) (0.045:0.045:0.045)) - (IOPATH B1 Y (0.064:0.064:0.064) (0.034:0.034:0.034)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _327_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.038:0.038:0.039) (0.021:0.021:0.021)) - (IOPATH B Y (0.040:0.043:0.045) (0.027:0.028:0.029)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _328_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.091:0.091:0.091) (0.102:0.102:0.102)) - (IOPATH A Y (0.135:0.135:0.135) (0.060:0.060:0.060)) - (IOPATH B Y (0.090:0.090:0.090) (0.108:0.108:0.108)) - (IOPATH B Y (0.132:0.132:0.132) (0.055:0.055:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _329_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.108:0.108:0.108) (0.245:0.245:0.245)) - (IOPATH B X (0.111:0.111:0.111) (0.239:0.239:0.239)) - (IOPATH C X (0.105:0.105:0.105) (0.215:0.215:0.215)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _330_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.109:0.109:0.109) (0.055:0.055:0.055)) - (IOPATH A2 Y (0.110:0.110:0.110) (0.043:0.043:0.043)) - (IOPATH B1 Y (0.078:0.078:0.078) (0.034:0.034:0.034)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _331_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.047:0.047:0.047) (0.027:0.027:0.027)) - (IOPATH B Y (0.046:0.047:0.048) (0.030:0.031:0.032)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _332_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.100:0.100:0.100) (0.112:0.112:0.112)) - (IOPATH A Y (0.155:0.155:0.155) (0.068:0.068:0.068)) - (IOPATH B Y (0.097:0.097:0.097) (0.097:0.097:0.097)) - (IOPATH B Y (0.131:0.131:0.131) (0.060:0.060:0.060)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _333_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.093:0.093:0.093) (0.129:0.129:0.129)) - (IOPATH A Y (0.161:0.161:0.161) (0.064:0.064:0.064)) - (IOPATH B Y (0.091:0.091:0.091) (0.123:0.123:0.123)) - (IOPATH B Y (0.150:0.150:0.150) (0.056:0.056:0.056)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _334_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.087:0.087:0.087) (0.090:0.090:0.090)) - (IOPATH A Y (0.122:0.122:0.122) (0.056:0.056:0.056)) - (IOPATH B Y (0.087:0.087:0.087) (0.100:0.100:0.100)) - (IOPATH B Y (0.121:0.121:0.121) (0.051:0.051:0.051)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _335_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.161:0.161:0.161) (0.047:0.047:0.047)) - (IOPATH B Y (0.158:0.158:0.158) (0.039:0.039:0.039)) - (IOPATH C Y (0.136:0.136:0.136) (0.032:0.032:0.032)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _336_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.134:0.134:0.134) (0.178:0.178:0.178)) - (IOPATH A2 X (0.118:0.118:0.118) (0.172:0.172:0.172)) - (IOPATH B1 X (0.106:0.106:0.106) (0.122:0.122:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _337_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.081:0.082:0.083) (0.037:0.037:0.038)) - (IOPATH B Y (0.068:0.069:0.070) (0.029:0.029:0.029)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _338_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.090:0.090:0.090) (0.123:0.123:0.123)) - (IOPATH A2 X (0.080:0.080:0.080) (0.123:0.123:0.123)) - (IOPATH B1 X (0.069:0.069:0.069) (0.083:0.083:0.083)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _339_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.077:0.077:0.077) (0.106:0.106:0.106)) - (IOPATH A2 X (0.103:0.103:0.103) (0.139:0.140:0.141)) - (IOPATH B1 X (0.061:0.061:0.061) (0.072:0.074:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _340_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.104:0.104:0.104) (0.115:0.115:0.115)) - (IOPATH A Y (0.162:0.162:0.162) (0.073:0.073:0.073)) - (IOPATH B Y (0.102:0.102:0.102) (0.119:0.119:0.119)) - (IOPATH B Y (0.157:0.157:0.157) (0.068:0.068:0.068)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _341_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.093:0.093:0.093) (0.229:0.229:0.229)) - (IOPATH B X (0.091:0.091:0.091) (0.222:0.222:0.222)) - (IOPATH C X (0.084:0.084:0.084) (0.191:0.191:0.191)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _342_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.111:0.111:0.111) (0.055:0.055:0.055)) - (IOPATH A2 Y (0.111:0.111:0.111) (0.042:0.042:0.042)) - (IOPATH B1 Y (0.071:0.071:0.071) (0.033:0.033:0.033)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _343_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.052:0.052:0.052) (0.031:0.031:0.031)) - (IOPATH B Y (0.055:0.057:0.058) (0.038:0.040:0.041)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _344_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.083:0.083:0.083) (0.108:0.108:0.108)) - (IOPATH A Y (0.129:0.129:0.129) (0.053:0.053:0.053)) - (IOPATH B Y (0.077:0.077:0.077) (0.092:0.092:0.092)) - (IOPATH B Y (0.105:0.105:0.105) (0.042:0.042:0.042)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _345_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.104:0.104:0.104) (0.243:0.243:0.243)) - (IOPATH B X (0.089:0.089:0.089) (0.220:0.220:0.220)) - (IOPATH C X (0.093:0.093:0.093) (0.201:0.201:0.201)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _346_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.116:0.116:0.116) (0.056:0.056:0.056)) - (IOPATH A2 Y (0.097:0.097:0.097) (0.041:0.041:0.041)) - (IOPATH B1 Y (0.073:0.073:0.073) (0.033:0.033:0.033)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _347_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.055:0.055:0.055) (0.034:0.034:0.035)) - (IOPATH B Y (0.052:0.057:0.061) (0.039:0.040:0.042)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _348_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.078:0.078:0.078) (0.095:0.095:0.095)) - (IOPATH A Y (0.113:0.113:0.113) (0.048:0.048:0.048)) - (IOPATH B Y (0.075:0.075:0.075) (0.083:0.083:0.083)) - (IOPATH B Y (0.091:0.091:0.091) (0.041:0.041:0.041)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _349_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.210:0.210:0.210) (0.077:0.077:0.077)) - (IOPATH B Y (0.166:0.166:0.166) (0.050:0.050:0.050)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_1") - (INSTANCE _350_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.260:0.260:0.260) (0.133:0.133:0.133)) - (IOPATH A2 Y (0.258:0.258:0.258) (0.126:0.126:0.126)) - (IOPATH B1 Y (0.164:0.164:0.164) (0.112:0.112:0.112)) - (IOPATH C1 Y (0.146:0.146:0.146) (0.105:0.105:0.105)) - (IOPATH D1 Y (0.123:0.126:0.129) (0.107:0.107:0.107)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _351_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.114:0.118:0.122) (0.047:0.048:0.049)) - (IOPATH A2 Y (0.109:0.109:0.109) (0.050:0.050:0.050)) - (IOPATH B1 Y (0.093:0.093:0.093) (0.027:0.027:0.027)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _352_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.098:0.098:0.098) (0.051:0.051:0.051)) - (IOPATH A2 Y (0.110:0.113:0.117) (0.043:0.042:0.040)) - (IOPATH B1 Y (0.054:0.060:0.065) (0.030:0.031:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _353_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.107:0.107:0.107) (0.052:0.052:0.052)) - (IOPATH A2 Y (0.093:0.094:0.096) (0.040:0.041:0.041)) - (IOPATH B1 Y (0.054:0.054:0.054) (0.031:0.031:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4b_1") - (INSTANCE _354_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.091:0.091:0.091) (0.126:0.126:0.126)) - (IOPATH B Y (0.075:0.075:0.075) (0.066:0.066:0.066)) - (IOPATH C Y (0.075:0.078:0.081) (0.065:0.065:0.065)) - (IOPATH D Y (0.069:0.069:0.069) (0.058:0.058:0.058)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _355_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.043:0.045:0.047) (0.031:0.033:0.035)) - (IOPATH B Y (0.055:0.057:0.060) (0.036:0.037:0.037)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _356_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.073:0.073:0.073) (0.089:0.089:0.089)) - (IOPATH B Y (0.072:0.072:0.072) (0.041:0.041:0.041)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _357_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.088:0.088:0.088) (0.114:0.114:0.114)) - (IOPATH B Y (0.058:0.058:0.058) (0.043:0.043:0.043)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _358_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.050:0.052:0.054) (0.039:0.040:0.042)) - (IOPATH B Y (0.061:0.062:0.062) (0.047:0.047:0.047)) - (IOPATH C Y (0.061:0.061:0.061) (0.050:0.050:0.050)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _359_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.092:0.092:0.092) (0.039:0.039:0.039)) - (IOPATH B Y (0.069:0.069:0.069) (0.029:0.029:0.029)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _360_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.094:0.094:0.094) (0.107:0.107:0.107)) - (IOPATH B X (0.086:0.086:0.086) (0.100:0.100:0.100)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _361_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.086:0.089:0.091) (0.044:0.044:0.045)) - (IOPATH A2 Y (0.078:0.078:0.078) (0.033:0.033:0.034)) - (IOPATH B1 Y (0.059:0.061:0.064) (0.031:0.031:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _362_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.092:0.092:0.092) (0.136:0.136:0.136)) - (IOPATH A2 X (0.093:0.093:0.093) (0.131:0.133:0.136)) - (IOPATH B1 X (0.080:0.081:0.083) (0.112:0.113:0.113)) - (IOPATH B2 X (0.071:0.073:0.075) (0.095:0.098:0.101)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _363_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.087:0.087:0.087) (0.116:0.116:0.116)) - (IOPATH B Y (0.080:0.086:0.092) (0.051:0.056:0.061)) - (IOPATH C Y (0.093:0.093:0.093) (0.061:0.061:0.061)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _364_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.083:0.083:0.083) (0.036:0.036:0.036)) - (IOPATH A2 Y (0.085:0.091:0.098) (0.039:0.040:0.040)) - (IOPATH B1 Y (0.053:0.057:0.061) (0.028:0.028:0.029)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _365_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.075:0.075:0.075) (0.104:0.104:0.104)) - (IOPATH B Y (0.066:0.071:0.077) (0.040:0.042:0.044)) - (IOPATH C Y (0.063:0.063:0.063) (0.044:0.044:0.044)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _366_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.088:0.088:0.088) (0.038:0.038:0.038)) - (IOPATH A2 Y (0.090:0.096:0.103) (0.041:0.042:0.043)) - (IOPATH B1 Y (0.052:0.056:0.060) (0.026:0.028:0.029)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _367_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.093:0.093:0.093) (0.155:0.155:0.155)) - (IOPATH A1 X (0.076:0.077:0.077) (0.149:0.149:0.149)) - (IOPATH S X (0.141:0.142:0.143) (0.189:0.189:0.189)) - (IOPATH S X (0.095:0.095:0.095) (0.191:0.192:0.193)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _368_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.097:0.097:0.097) (0.158:0.158:0.158)) - (IOPATH A1 X (0.075:0.075:0.075) (0.149:0.149:0.149)) - (IOPATH S X (0.144:0.145:0.146) (0.192:0.192:0.192)) - (IOPATH S X (0.098:0.098:0.098) (0.195:0.195:0.196)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _369_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.103:0.103:0.103) (0.050:0.050:0.050)) - (IOPATH A2 Y (0.086:0.088:0.090) (0.039:0.039:0.039)) - (IOPATH B1 Y (0.050:0.050:0.050) (0.023:0.023:0.024)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31ai_1") - (INSTANCE _370_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.164:0.164:0.164) (0.055:0.055:0.055)) - (IOPATH A2 Y (0.143:0.143:0.143) (0.039:0.039:0.039)) - (IOPATH A3 Y (0.128:0.130:0.132) (0.043:0.043:0.043)) - (IOPATH B1 Y (0.035:0.040:0.044) (0.024:0.026:0.027)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _371_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.111:0.111:0.111) (0.054:0.054:0.054)) - (IOPATH A2 Y (0.093:0.096:0.098) (0.044:0.044:0.044)) - (IOPATH B1_N Y (0.069:0.069:0.070) (0.089:0.089:0.089)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _372_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.105:0.105:0.105) (0.201:0.201:0.201)) - (IOPATH A2 X (0.105:0.105:0.105) (0.195:0.195:0.195)) - (IOPATH A3 X (0.092:0.092:0.092) (0.156:0.158:0.160)) - (IOPATH B1 X (0.068:0.072:0.075) (0.064:0.067:0.070)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _373_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.134:0.134:0.134) (0.065:0.065:0.065)) - (IOPATH A2 Y (0.116:0.119:0.121) (0.056:0.056:0.056)) - (IOPATH B1_N Y (0.077:0.077:0.078) (0.093:0.093:0.094)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _374_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.106:0.106:0.106) (0.202:0.202:0.202)) - (IOPATH A2 X (0.105:0.105:0.105) (0.196:0.196:0.196)) - (IOPATH A3 X (0.093:0.093:0.093) (0.157:0.160:0.162)) - (IOPATH B1 X (0.073:0.076:0.078) (0.068:0.071:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _375_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.083:0.083:0.084) (0.154:0.154:0.154)) - (IOPATH A1 X (0.106:0.106:0.106) (0.165:0.165:0.165)) - (IOPATH S X (0.155:0.155:0.155) (0.203:0.203:0.203)) - (IOPATH S X (0.110:0.110:0.110) (0.206:0.206:0.206)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _376_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.083:0.083:0.083) (0.155:0.155:0.155)) - (IOPATH A1 X (0.107:0.107:0.107) (0.176:0.176:0.176)) - (IOPATH S X (0.153:0.153:0.153) (0.202:0.202:0.202)) - (IOPATH S X (0.108:0.108:0.108) (0.205:0.205:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor4_1") - (INSTANCE _377_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.211:0.211:0.211) (0.049:0.049:0.049)) - (IOPATH B Y (0.205:0.205:0.205) (0.047:0.047:0.047)) - (IOPATH C Y (0.174:0.174:0.174) (0.043:0.043:0.043)) - (IOPATH D Y (0.138:0.138:0.138) (0.035:0.035:0.035)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _378_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.108:0.108:0.108) (0.204:0.204:0.204)) - (IOPATH A2 X (0.106:0.106:0.106) (0.194:0.194:0.194)) - (IOPATH A3 X (0.094:0.094:0.094) (0.157:0.157:0.157)) - (IOPATH B1 X (0.078:0.078:0.078) (0.078:0.078:0.078)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _379_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.094:0.094:0.095) (0.144:0.146:0.149)) - (IOPATH B X (0.077:0.078:0.078) (0.129:0.131:0.134)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _380_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.093:0.093:0.093) (0.155:0.155:0.155)) - (IOPATH A1 X (0.081:0.081:0.081) (0.156:0.156:0.156)) - (IOPATH S X (0.150:0.150:0.150) (0.203:0.203:0.203)) - (IOPATH S X (0.110:0.110:0.110) (0.203:0.203:0.203)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _381_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.133:0.133:0.133) (0.060:0.060:0.060)) - (IOPATH A2 Y (0.111:0.112:0.113) (0.047:0.047:0.047)) - (IOPATH B1 Y (0.063:0.063:0.063) (0.036:0.036:0.036)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4b_1") - (INSTANCE _382_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.086:0.086:0.086) (0.123:0.123:0.123)) - (IOPATH B Y (0.068:0.068:0.068) (0.059:0.059:0.059)) - (IOPATH C Y (0.068:0.071:0.074) (0.060:0.060:0.060)) - (IOPATH D Y (0.063:0.063:0.063) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _383_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.041:0.045:0.049) (0.028:0.029:0.030)) - (IOPATH B Y (0.047:0.050:0.053) (0.030:0.031:0.032)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _384_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.086:0.086:0.086) (0.111:0.111:0.111)) - (IOPATH B Y (0.053:0.053:0.053) (0.040:0.040:0.040)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _385_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.079:0.079:0.079) (0.092:0.092:0.092)) - (IOPATH B Y (0.070:0.070:0.070) (0.047:0.047:0.047)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _386_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.081:0.081:0.081) (0.094:0.094:0.094)) - (IOPATH B Y (0.081:0.081:0.081) (0.050:0.050:0.050)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _387_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.089:0.089:0.089) (0.128:0.128:0.128)) - (IOPATH B Y (0.054:0.054:0.054) (0.040:0.040:0.040)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _388_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.089:0.091:0.093) (0.074:0.074:0.074)) - (IOPATH B Y (0.077:0.078:0.079) (0.058:0.059:0.059)) - (IOPATH C Y (0.074:0.075:0.075) (0.059:0.059:0.059)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _389_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.068:0.068:0.068) (0.054:0.054:0.054)) - (IOPATH B Y (0.080:0.083:0.087) (0.061:0.061:0.062)) - (IOPATH C Y (0.076:0.076:0.076) (0.063:0.063:0.063)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _390_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.110:0.110:0.110) (0.164:0.164:0.164)) - (IOPATH A2 X (0.097:0.097:0.097) (0.145:0.147:0.149)) - (IOPATH B1 X (0.086:0.087:0.087) (0.118:0.125:0.131)) - (IOPATH B2 X (0.076:0.076:0.077) (0.107:0.107:0.107)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _391_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.086:0.086:0.086) (0.118:0.118:0.118)) - (IOPATH B Y (0.077:0.084:0.092) (0.049:0.053:0.057)) - (IOPATH C Y (0.093:0.093:0.093) (0.057:0.057:0.057)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _392_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.092:0.092:0.092) (0.039:0.039:0.039)) - (IOPATH A2 Y (0.095:0.105:0.115) (0.044:0.044:0.044)) - (IOPATH B1 Y (0.057:0.061:0.065) (0.029:0.030:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _393_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.098:0.098:0.098) (0.129:0.129:0.129)) - (IOPATH B Y (0.091:0.098:0.105) (0.060:0.066:0.073)) - (IOPATH C Y (0.095:0.095:0.095) (0.069:0.069:0.069)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _394_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.084:0.084:0.084) (0.037:0.037:0.037)) - (IOPATH A2 Y (0.089:0.100:0.110) (0.041:0.040:0.040)) - (IOPATH B1 Y (0.058:0.062:0.066) (0.029:0.030:0.030)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _395_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.099:0.099:0.099) (0.162:0.162:0.162)) - (IOPATH A1 X (0.079:0.079:0.079) (0.153:0.154:0.154)) - (IOPATH S X (0.145:0.146:0.147) (0.194:0.194:0.194)) - (IOPATH S X (0.098:0.098:0.098) (0.196:0.197:0.198)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _396_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.094:0.094:0.094) (0.157:0.157:0.157)) - (IOPATH A1 X (0.077:0.077:0.077) (0.149:0.149:0.149)) - (IOPATH S X (0.139:0.140:0.141) (0.188:0.188:0.188)) - (IOPATH S X (0.093:0.093:0.093) (0.190:0.190:0.191)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _397_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.165:0.165:0.165) (0.081:0.081:0.081)) - (IOPATH A2 Y (0.145:0.147:0.149) (0.069:0.069:0.069)) - (IOPATH B1 Y (0.083:0.083:0.084) (0.038:0.038:0.039)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31ai_1") - (INSTANCE _398_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.259:0.259:0.259) (0.087:0.087:0.087)) - (IOPATH A2 Y (0.222:0.222:0.222) (0.058:0.058:0.058)) - (IOPATH A3 Y (0.222:0.223:0.225) (0.073:0.073:0.073)) - (IOPATH B1 Y (0.069:0.077:0.085) (0.048:0.051:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _399_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.156:0.156:0.156) (0.073:0.073:0.073)) - (IOPATH A2 Y (0.136:0.138:0.140) (0.061:0.061:0.061)) - (IOPATH B1_N Y (0.082:0.082:0.083) (0.096:0.097:0.097)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _400_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.116:0.116:0.116) (0.222:0.222:0.222)) - (IOPATH A2 X (0.113:0.113:0.113) (0.202:0.202:0.202)) - (IOPATH A3 X (0.101:0.101:0.101) (0.175:0.176:0.178)) - (IOPATH B1 X (0.080:0.082:0.085) (0.071:0.077:0.083)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _401_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.144:0.144:0.144) (0.070:0.070:0.070)) - (IOPATH A2 Y (0.139:0.141:0.142) (0.063:0.063:0.063)) - (IOPATH B1_N Y (0.091:0.091:0.091) (0.105:0.106:0.106)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _402_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.112:0.112:0.112) (0.220:0.220:0.220)) - (IOPATH A2 X (0.106:0.106:0.106) (0.195:0.195:0.195)) - (IOPATH A3 X (0.097:0.097:0.097) (0.173:0.175:0.177)) - (IOPATH B1 X (0.076:0.079:0.082) (0.070:0.076:0.081)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_1") - (INSTANCE _403_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.207:0.207:0.207) (0.107:0.107:0.107)) - (IOPATH A2 Y (0.202:0.202:0.202) (0.097:0.097:0.097)) - (IOPATH B1 Y (0.132:0.132:0.132) (0.087:0.087:0.087)) - (IOPATH C1 Y (0.114:0.114:0.114) (0.086:0.086:0.086)) - (IOPATH D1 Y (0.091:0.093:0.094) (0.076:0.077:0.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _404_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.100:0.103:0.105) (0.042:0.044:0.046)) - (IOPATH A2 Y (0.107:0.107:0.107) (0.050:0.050:0.050)) - (IOPATH B1 Y (0.073:0.073:0.073) (0.029:0.029:0.029)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _405_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.102:0.102:0.102) (0.053:0.053:0.053)) - (IOPATH A2 Y (0.101:0.104:0.106) (0.041:0.042:0.043)) - (IOPATH B1 Y (0.055:0.058:0.062) (0.031:0.031:0.032)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _406_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.083:0.083:0.084) (0.154:0.154:0.154)) - (IOPATH A1 X (0.104:0.104:0.104) (0.163:0.163:0.163)) - (IOPATH S X (0.157:0.157:0.157) (0.202:0.202:0.202)) - (IOPATH S X (0.109:0.109:0.109) (0.207:0.207:0.207)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _407_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.074:0.074:0.075) (0.145:0.145:0.146)) - (IOPATH A1 X (0.098:0.098:0.098) (0.165:0.165:0.165)) - (IOPATH S X (0.149:0.149:0.149) (0.194:0.194:0.194)) - (IOPATH S X (0.101:0.101:0.101) (0.199:0.199:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor4_1") - (INSTANCE _408_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.218:0.218:0.218) (0.050:0.050:0.050)) - (IOPATH B Y (0.194:0.194:0.194) (0.045:0.045:0.045)) - (IOPATH C Y (0.165:0.165:0.165) (0.041:0.041:0.041)) - (IOPATH D Y (0.128:0.128:0.128) (0.033:0.033:0.033)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _409_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.095:0.095:0.095) (0.205:0.205:0.205)) - (IOPATH A2 X (0.087:0.087:0.087) (0.176:0.176:0.176)) - (IOPATH A3 X (0.077:0.077:0.077) (0.141:0.141:0.141)) - (IOPATH B1 X (0.060:0.060:0.060) (0.066:0.066:0.066)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _410_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.087:0.087:0.087) (0.137:0.142:0.148)) - (IOPATH B X (0.064:0.065:0.065) (0.121:0.123:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _412__9) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.048:0.048:0.048) (0.002:0.002:0.002)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_ext_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (-0.012:-0.012:-0.012) (1.159:1.159:1.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _414__6) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.029:0.029:0.029) (0.011:0.011:0.011)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _266__7) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.028:0.028:0.028) (0.014:0.014:0.014)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _416__3) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.028:0.028:0.028) (0.012:0.012:0.012)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _268__4) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.029:0.029:0.029) (0.011:0.011:0.011)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _417_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.296:0.296:0.296) (0.211:0.211:0.211)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.101:0.101:0.101)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.087:-0.087:-0.087)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.013)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.044:0.044:0.044)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _418_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.299:0.299:0.299) (0.213:0.213:0.213)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.100:0.100:0.100)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.086:-0.086:-0.086)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.013)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.044:0.044:0.044)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _419_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.301:0.301:0.301) (0.216:0.216:0.216)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.102:0.102:0.102)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.087:-0.087:-0.087)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.006:-0.006:-0.006)) - (SETUP (posedge D) (posedge CLK) (0.030:0.030:0.030)) - (SETUP (negedge D) (posedge CLK) (0.036:0.036:0.036)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _420_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.274:0.274:0.274) (0.275:0.275:0.275)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.227:0.227:0.227)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (SETUP (posedge D) (posedge CLK) (0.035:0.035:0.035)) - (SETUP (negedge D) (posedge CLK) (0.074:0.074:0.074)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _421_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.234:0.234:0.234) (0.249:0.249:0.249)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.244:0.244:0.244)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.117:-0.117:-0.117)) - (HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041)) - (SETUP (negedge D) (posedge CLK) (0.084:0.084:0.084)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _422_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.360:0.360:0.360) (0.252:0.252:0.252)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.106:0.106:0.106)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.092:-0.092:-0.092)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.022:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.011:-0.015:-0.018)) - (SETUP (posedge D) (posedge CLK) (0.033:0.035:0.037)) - (SETUP (negedge D) (posedge CLK) (0.043:0.047:0.051)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _423_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.218:0.218:0.218) (0.238:0.238:0.238)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.239:0.239:0.239)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.121:-0.121:-0.121)) - (HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022)) - (HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032)) - (SETUP (posedge D) (posedge CLK) (0.037:0.037:0.037)) - (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _424_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.213:0.213:0.213) (0.204:0.204:0.204)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026)) - (HOLD (negedge D) (posedge CLK) (-0.059:-0.059:-0.059)) - (SETUP (posedge D) (posedge CLK) (0.039:0.039:0.039)) - (SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _425_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.227:0.227:0.227) (0.211:0.211:0.211)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.058:-0.058:-0.058)) - (SETUP (posedge D) (posedge CLK) (0.039:0.039:0.039)) - (SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _426_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.220:0.220:0.220) (0.207:0.207:0.207)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.056:-0.056:-0.056)) - (SETUP (posedge D) (posedge CLK) (0.038:0.038:0.038)) - (SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _427_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.350:0.350:0.350) (0.247:0.247:0.247)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.104:0.104:0.104)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.090:-0.090:-0.090)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.021)) - (HOLD (negedge D) (posedge CLK) (-0.010:-0.011:-0.012)) - (SETUP (posedge D) (posedge CLK) (0.032:0.033:0.033)) - (SETUP (negedge D) (posedge CLK) (0.042:0.043:0.044)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _428_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.231:0.231:0.231) (0.247:0.247:0.247)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.227:0.227:0.227)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.030:-0.031:-0.031)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.071)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _429_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.359:0.359:0.359) (0.278:0.278:0.278)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.310:0.310:0.310)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.181:-0.181:-0.181)) - (HOLD (posedge D) (negedge CLK_N) (0.058:0.057:0.056)) - (HOLD (negedge D) (negedge CLK_N) (-0.021:-0.024:-0.027)) - (SETUP (posedge D) (negedge CLK_N) (-0.031:-0.029:-0.027)) - (SETUP (negedge D) (negedge CLK_N) (0.074:0.077:0.080)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _430_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.387:0.387:0.387) (0.259:0.259:0.259)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.100:0.100:0.100)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.086:-0.086:-0.086)) - (HOLD (posedge D) (posedge CLK) (-0.022:-0.024:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.014:-0.017:-0.019)) - (SETUP (posedge D) (posedge CLK) (0.035:0.037:0.039)) - (SETUP (negedge D) (posedge CLK) (0.045:0.048:0.051)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _431_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.297:0.297:0.297) (0.242:0.242:0.242)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.303:0.303:0.303)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.183:-0.183:-0.183)) - (HOLD (posedge D) (negedge CLK_N) (0.056:0.055:0.054)) - (HOLD (negedge D) (negedge CLK_N) (-0.021:-0.025:-0.028)) - (SETUP (posedge D) (negedge CLK_N) (-0.029:-0.027:-0.025)) - (SETUP (negedge D) (negedge CLK_N) (0.074:0.078:0.082)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _432_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.374:0.374:0.374) (0.251:0.251:0.251)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.101:0.101:0.101)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.086:-0.086:-0.086)) - (HOLD (posedge D) (posedge CLK) (-0.022:-0.023:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.014:-0.016:-0.018)) - (SETUP (posedge D) (posedge CLK) (0.035:0.037:0.038)) - (SETUP (negedge D) (posedge CLK) (0.045:0.047:0.049)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _433_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.327:0.327:0.327) (0.261:0.261:0.261)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.310:0.310:0.310)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.181:-0.181:-0.181)) - (HOLD (posedge D) (negedge CLK_N) (0.059:0.059:0.059)) - (HOLD (negedge D) (negedge CLK_N) (-0.025:-0.025:-0.025)) - (SETUP (posedge D) (negedge CLK_N) (-0.033:-0.033:-0.033)) - (SETUP (negedge D) (negedge CLK_N) (0.078:0.078:0.078)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _434_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.353:0.353:0.353) (0.245:0.245:0.245)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.101:0.101:0.101)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.084:-0.084:-0.084)) - (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019)) - (HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.014)) - (SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033)) - (SETUP (negedge D) (posedge CLK) (0.051:0.051:0.052)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _435_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.332:0.332:0.332) (0.261:0.261:0.261)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.310:0.310:0.310)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.181:-0.181:-0.181)) - (HOLD (posedge D) (negedge CLK_N) (0.058:0.058:0.058)) - (HOLD (negedge D) (negedge CLK_N) (-0.025:-0.026:-0.026)) - (SETUP (posedge D) (negedge CLK_N) (-0.033:-0.033:-0.032)) - (SETUP (negedge D) (negedge CLK_N) (0.079:0.079:0.079)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _436_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.292:0.292:0.292) (0.298:0.298:0.298)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.227:0.227:0.227)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.110:-0.110:-0.110)) - (HOLD (posedge D) (posedge CLK) (-0.019:-0.023:-0.026)) - (HOLD (negedge D) (posedge CLK) (-0.024:-0.027:-0.031)) - (SETUP (posedge D) (posedge CLK) (0.035:0.040:0.045)) - (SETUP (negedge D) (posedge CLK) (0.067:0.071:0.075)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _437_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.432:0.432:0.432) (0.286:0.286:0.286)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.106:0.106:0.106)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.091:-0.091:-0.091)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (HOLD (negedge D) (posedge CLK) (-0.010:-0.012:-0.014)) - (SETUP (posedge D) (posedge CLK) (0.033:0.033:0.034)) - (SETUP (negedge D) (posedge CLK) (0.041:0.044:0.046)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _438_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.312:0.312:0.312) (0.294:0.294:0.294)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.242:0.242:0.242)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.117:-0.117:-0.117)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.021)) - (HOLD (negedge D) (posedge CLK) (-0.027:-0.030:-0.033)) - (SETUP (posedge D) (posedge CLK) (0.034:0.035:0.035)) - (SETUP (negedge D) (posedge CLK) (0.067:0.070:0.074)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _439_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.384:0.384:0.384) (0.267:0.267:0.267)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.107:0.107:0.107)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.090:-0.090:-0.090)) - (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019)) - (HOLD (negedge D) (posedge CLK) (-0.011:-0.011:-0.011)) - (SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033)) - (SETUP (negedge D) (posedge CLK) (0.050:0.050:0.050)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _440_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.301:0.301:0.301) (0.289:0.289:0.289)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.234:0.234:0.234)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.124:-0.124)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.074:0.074:0.075)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _441_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.254:0.254:0.254) (0.262:0.262:0.262)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.234:0.234:0.234)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125:-0.125:-0.125)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.035)) - (SETUP (negedge D) (posedge CLK) (0.073:0.073:0.073)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _442_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.197:0.197:0.197) (0.220:0.220:0.220)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.224:0.224:0.224)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.124:-0.124)) - (HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030)) - (HOLD (negedge D) (posedge CLK) (-0.063:-0.063:-0.063)) - (SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047)) - (SETUP (negedge D) (posedge CLK) (0.107:0.107:0.107)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _443_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.298:0.298:0.298) (0.214:0.214:0.214)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.101:0.101:0.101)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.087:-0.087:-0.087)) - (HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029)) - (HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043)) - (SETUP (negedge D) (posedge CLK) (0.073:0.073:0.073)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _444_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.196:0.196:0.196) (0.219:0.219:0.219)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.224:0.224:0.224)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.123:-0.123:-0.123)) - (HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030)) - (HOLD (negedge D) (posedge CLK) (-0.063:-0.063:-0.063)) - (SETUP (posedge D) (posedge CLK) (0.046:0.046:0.046)) - (SETUP (negedge D) (posedge CLK) (0.106:0.106:0.106)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _445_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.201:0.201:0.201) (0.223:0.223:0.223)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.224:0.224:0.224)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.124:-0.124)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _446_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.412:0.412:0.412) (0.272:0.272:0.272)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.101:0.101:0.101)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.087:-0.087:-0.087)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.011:-0.011:-0.011)) - (SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033)) - (SETUP (negedge D) (posedge CLK) (0.042:0.042:0.042)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _447_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.273:0.273:0.273) (0.270:0.270:0.270)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.224:0.224:0.224)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.124:-0.124)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.071)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _448_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.226:0.226:0.226) (0.212:0.212:0.212)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.017:-0.017:-0.017)) - (HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (SETUP (posedge D) (posedge CLK) (0.029:0.029:0.029)) - (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _449_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.210:0.210:0.210) (0.202:0.202:0.202)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.057:-0.057:-0.057)) - (SETUP (posedge D) (posedge CLK) (0.039:0.039:0.039)) - (SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _450_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.220:0.220:0.220) (0.208:0.208:0.208)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031)) - (HOLD (negedge D) (posedge CLK) (-0.073:-0.073:-0.073)) - (SETUP (posedge D) (posedge CLK) (0.046:0.046:0.046)) - (SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _451_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.218:0.218:0.218) (0.206:0.206:0.206)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.023:-0.023:-0.023)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.036:0.036:0.036)) - (SETUP (negedge D) (posedge CLK) (0.080:0.080:0.080)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _452_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.358:0.358:0.358) (0.252:0.252:0.252)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.106:0.106:0.106)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.092:-0.092:-0.092)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.009:-0.010:-0.012)) - (SETUP (posedge D) (posedge CLK) (0.032:0.032:0.032)) - (SETUP (negedge D) (posedge CLK) (0.040:0.042:0.043)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _453_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.258:0.258:0.258) (0.265:0.265:0.265)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.244:0.244:0.244)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.116:-0.116:-0.116)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.021)) - (HOLD (negedge D) (posedge CLK) (-0.030:-0.031:-0.031)) - (SETUP (posedge D) (posedge CLK) (0.034:0.035:0.035)) - (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.071)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _454_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.367:0.367:0.367) (0.282:0.282:0.282)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.314:0.314:0.314)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.176:-0.176:-0.176)) - (HOLD (posedge D) (negedge CLK_N) (0.056:0.055:0.054)) - (HOLD (negedge D) (negedge CLK_N) (-0.022:-0.026:-0.030)) - (SETUP (posedge D) (negedge CLK_N) (-0.029:-0.027:-0.025)) - (SETUP (negedge D) (negedge CLK_N) (0.075:0.079:0.084)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _455_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.405:0.405:0.405) (0.267:0.267:0.267)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.101:0.101:0.101)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.086:-0.086:-0.086)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.023:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.013:-0.016:-0.019)) - (SETUP (posedge D) (posedge CLK) (0.034:0.036:0.037)) - (SETUP (negedge D) (posedge CLK) (0.045:0.048:0.051)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _456_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.330:0.330:0.330) (0.262:0.262:0.262)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.313:0.313:0.313)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.176:-0.176:-0.176)) - (HOLD (posedge D) (negedge CLK_N) (0.056:0.055:0.054)) - (HOLD (negedge D) (negedge CLK_N) (-0.023:-0.026:-0.029)) - (SETUP (posedge D) (negedge CLK_N) (-0.029:-0.027:-0.025)) - (SETUP (negedge D) (negedge CLK_N) (0.076:0.079:0.083)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _457_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.355:0.355:0.355) (0.244:0.244:0.244)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.102:0.102:0.102)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.087:-0.087:-0.087)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.023:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.012:-0.015:-0.018)) - (SETUP (posedge D) (posedge CLK) (0.034:0.036:0.037)) - (SETUP (negedge D) (posedge CLK) (0.044:0.047:0.050)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _458_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.327:0.327:0.327) (0.260:0.260:0.260)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.314:0.314:0.314)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.176:-0.176:-0.176)) - (HOLD (posedge D) (negedge CLK_N) (0.057:0.057:0.057)) - (HOLD (negedge D) (negedge CLK_N) (-0.028:-0.028:-0.028)) - (SETUP (posedge D) (negedge CLK_N) (-0.031:-0.031:-0.031)) - (SETUP (negedge D) (negedge CLK_N) (0.081:0.081:0.081)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _459_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.363:0.363:0.363) (0.250:0.250:0.250)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.102:0.102:0.102)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.085:-0.085:-0.085)) - (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019)) - (HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.013)) - (SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033)) - (SETUP (negedge D) (posedge CLK) (0.051:0.051:0.051)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _460_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.338:0.338:0.338) (0.264:0.264:0.264)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.314:0.314:0.314)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.176:-0.176:-0.176)) - (HOLD (posedge D) (negedge CLK_N) (0.057:0.057:0.057)) - (HOLD (negedge D) (negedge CLK_N) (-0.026:-0.026:-0.026)) - (SETUP (posedge D) (negedge CLK_N) (-0.031:-0.031:-0.031)) - (SETUP (negedge D) (negedge CLK_N) (0.079:0.079:0.080)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _461_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.303:0.303:0.303) (0.334:0.334:0.334)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.244:0.244:0.244)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.083:-0.083:-0.083)) - (HOLD (posedge D) (posedge CLK) (-0.022:-0.028:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.031:-0.035:-0.040)) - (SETUP (posedge D) (posedge CLK) (0.040:0.048:0.056)) - (SETUP (negedge D) (posedge CLK) (0.075:0.080:0.085)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _462_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.441:0.441:0.441) (0.290:0.290:0.290)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.105:0.105:0.105)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.091:-0.091:-0.091)) - (HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022)) - (HOLD (negedge D) (posedge CLK) (-0.011:-0.013:-0.016)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.035)) - (SETUP (negedge D) (posedge CLK) (0.042:0.045:0.048)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _463_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.298:0.298:0.298) (0.286:0.286:0.286)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.243:0.243:0.243)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.116:-0.116:-0.116)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (HOLD (negedge D) (posedge CLK) (-0.028:-0.031:-0.034)) - (SETUP (posedge D) (posedge CLK) (0.035:0.035:0.035)) - (SETUP (negedge D) (posedge CLK) (0.068:0.071:0.074)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _464_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.365:0.365:0.365) (0.254:0.254:0.254)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.104:0.104:0.104)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.090:-0.090:-0.090)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.023:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.011:-0.014:-0.016)) - (SETUP (posedge D) (posedge CLK) (0.034:0.035:0.037)) - (SETUP (negedge D) (posedge CLK) (0.042:0.045:0.048)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _465_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.381:0.381:0.381) (0.265:0.265:0.265)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.107:0.107:0.107)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.090:-0.090:-0.090)) - (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019)) - (HOLD (negedge D) (posedge CLK) (-0.011:-0.011:-0.011)) - (SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033)) - (SETUP (negedge D) (posedge CLK) (0.050:0.050:0.050)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _466_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.289:0.289:0.289) (0.282:0.282:0.282)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.244:0.244:0.244)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.116:-0.116:-0.116)) - (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019)) - (HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.033)) - (SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033)) - (SETUP (negedge D) (posedge CLK) (0.073:0.073:0.073)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _467_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.244:0.244:0.244) (0.256:0.256:0.256)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.242:0.242:0.242)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.117:-0.117:-0.117)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _468_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.199:0.199:0.199) (0.222:0.222:0.222)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.238:0.238:0.238)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.113:-0.113:-0.113)) - (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027)) - (HOLD (negedge D) (posedge CLK) (-0.055:-0.055:-0.055)) - (SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043)) - (SETUP (negedge D) (posedge CLK) (0.097:0.097:0.097)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _469_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.297:0.297:0.297) (0.213:0.213:0.213)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.102:0.102:0.102)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.088:-0.088:-0.088)) - (HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029)) - (HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044)) - (SETUP (negedge D) (posedge CLK) (0.074:0.074:0.074)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _470_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.197:0.197:0.197) (0.220:0.220:0.220)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.238:0.238:0.238)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.113:-0.113:-0.113)) - (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027)) - (HOLD (negedge D) (posedge CLK) (-0.057:-0.057:-0.057)) - (SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043)) - (SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _471_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.248:0.248:0.248) (0.256:0.256:0.256)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.238:0.238:0.238)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.113:-0.113:-0.113)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _472_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.409:0.409:0.409) (0.270:0.270:0.270)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.102:0.102:0.102)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.088:-0.088:-0.088)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.011:-0.011:-0.011)) - (SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033)) - (SETUP (negedge D) (posedge CLK) (0.043:0.043:0.043)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _473_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.260:0.260:0.260) (0.263:0.263:0.263)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.238:0.238:0.238)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.113:-0.113:-0.113)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _271__1) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.029:0.029:0.029) (0.013:0.013:0.013)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout18) - (DELAY - (ABSOLUTE - (IOPATH A X (0.203:0.203:0.203) (0.169:0.169:0.169)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout17) - (DELAY - (ABSOLUTE - (IOPATH A X (0.187:0.187:0.187) (0.160:0.160:0.160)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE fanout16) - (DELAY - (ABSOLUTE - (IOPATH A X (0.162:0.162:0.162) (0.137:0.137:0.137)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout15) - (DELAY - (ABSOLUTE - (IOPATH A X (0.226:0.226:0.226) (0.180:0.180:0.180)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout14) - (DELAY - (ABSOLUTE - (IOPATH A X (0.253:0.253:0.253) (0.198:0.198:0.198)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout13) - (DELAY - (ABSOLUTE - (IOPATH A X (0.230:0.230:0.230) (0.183:0.183:0.183)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE user_clk_out_buffer) - (DELAY - (ABSOLUTE - (IOPATH A X (0.183:0.183:0.183) (0.165:0.166:0.167)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output11) - (DELAY - (ABSOLUTE - (IOPATH A X (0.178:0.178:0.178) (0.129:0.133:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input9) - (DELAY - (ABSOLUTE - (IOPATH A X (-0.253:-0.253:-0.253) (0.947:0.947:0.947)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input8) - (DELAY - (ABSOLUTE - (IOPATH A X (-0.261:-0.261:-0.261) (0.941:0.941:0.941)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input7) - (DELAY - (ABSOLUTE - (IOPATH A X (-0.243:-0.243:-0.243) (0.954:0.954:0.954)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input6) - (DELAY - (ABSOLUTE - (IOPATH A X (-0.302:-0.302:-0.302) (0.907:0.907:0.907)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input5) - (DELAY - (ABSOLUTE - (IOPATH A X (-0.261:-0.261:-0.261) (0.940:0.940:0.940)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input4) - (DELAY - (ABSOLUTE - (IOPATH A X (-0.323:-0.323:-0.323) (0.889:0.889:0.889)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input3) - (DELAY - (ABSOLUTE - (IOPATH A X (-0.274:-0.274:-0.274) (0.926:0.926:0.926)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input2) - (DELAY - (ABSOLUTE - (IOPATH A X (-0.270:-0.270:-0.270) (0.934:0.934:0.934)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input1) - (DELAY - (ABSOLUTE - (IOPATH A X (-0.279:-0.279:-0.279) (0.924:0.924:0.924)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout19) - (DELAY - (ABSOLUTE - (IOPATH A X (0.190:0.190:0.190) (0.168:0.168:0.168)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE fanout20) - (DELAY - (ABSOLUTE - (IOPATH A X (0.153:0.153:0.153) (0.127:0.127:0.127)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout21) - (DELAY - (ABSOLUTE - (IOPATH A X (0.205:0.205:0.205) (0.187:0.187:0.187)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout22) - (DELAY - (ABSOLUTE - (IOPATH A X (0.221:0.221:0.221) (0.178:0.178:0.178)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout23) - (DELAY - (ABSOLUTE - (IOPATH A X (0.172:0.172:0.172) (0.153:0.153:0.153)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout24) - (DELAY - (ABSOLUTE - (IOPATH A X (0.163:0.163:0.163) (0.143:0.143:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout25) - (DELAY - (ABSOLUTE - (IOPATH A X (0.176:0.176:0.176) (0.168:0.168:0.168)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE fanout26) - (DELAY - (ABSOLUTE - (IOPATH A X (0.192:0.192:0.192) (0.166:0.166:0.166)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout27) - (DELAY - (ABSOLUTE - (IOPATH A X (0.169:0.169:0.169) (0.164:0.164:0.164)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout28) - (DELAY - (ABSOLUTE - (IOPATH A X (0.203:0.203:0.203) (0.175:0.175:0.175)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout29) - (DELAY - (ABSOLUTE - (IOPATH A X (0.216:0.216:0.216) (0.195:0.195:0.195)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_ext_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.098:0.098:0.098) (0.130:0.130:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_ext_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.104:0.104:0.104) (0.135:0.135:0.135)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0__037_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.113:0.113:0.113) (0.124:0.125:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f__037_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.076:0.076:0.076) (0.089:0.089:0.089)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f__037_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.076:0.076:0.076) (0.089:0.089:0.089)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_net10) - (DELAY - (ABSOLUTE - (IOPATH A X (0.095:0.095:0.095) (0.109:0.110:0.110)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_net10) - (DELAY - (ABSOLUTE - (IOPATH A X (0.182:0.182:0.182) (0.159:0.159:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_net10) - (DELAY - (ABSOLUTE - (IOPATH A X (0.088:0.088:0.088) (0.099:0.099:0.099)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_pll_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (-0.010:-0.010:-0.010) (1.161:1.161:1.161)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_pll_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.132:0.132:0.132) (0.156:0.156:0.156)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_pll_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.127:0.127:0.127) (0.153:0.153:0.153)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_divider\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.098:0.098:0.098) (0.105:0.105:0.106)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_divider\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.083:0.083:0.083) (0.095:0.095:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_divider\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.080:0.080:0.080) (0.093:0.093:0.093)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_pll_clk90) - (DELAY - (ABSOLUTE - (IOPATH A X (-0.009:-0.009:-0.009) (1.162:1.162:1.162)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_pll_clk90) - (DELAY - (ABSOLUTE - (IOPATH A X (0.125:0.125:0.125) (0.151:0.151:0.151)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_pll_clk90) - (DELAY - (ABSOLUTE - (IOPATH A X (0.129:0.129:0.129) (0.154:0.154:0.154)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_divider2\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.090:0.090:0.090) (0.099:0.100:0.101)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_divider2\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.078:0.078:0.078) (0.091:0.091:0.091)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_divider2\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.081:0.081:0.081) (0.094:0.094:0.094)) - ) - ) - ) -) diff --git a/sdf/caravel_clocking/max/caravel_clocking.ss.sdf b/sdf/caravel_clocking/max/caravel_clocking.ss.sdf deleted file mode 100644 index 5e7a9274..00000000 --- a/sdf/caravel_clocking/max/caravel_clocking.ss.sdf +++ /dev/null @@ -1,4640 +0,0 @@ -(DELAYFILE - (SDFVERSION "3.0") - (DESIGN "caravel_clocking") - (DATE "Thu Oct 13 17:51:14 2022") - (VENDOR "Parallax") - (PROGRAM "STA") - (VERSION "2.3.1") - (DIVIDER .) - (VOLTAGE 1.600::1.600) - (PROCESS "1.000::1.000") - (TEMPERATURE 100.000::100.000) - (TIMESCALE 1ns) - (CELL - (CELLTYPE "caravel_clocking") - (INSTANCE) - (DELAY - (ABSOLUTE - (INTERCONNECT ext_clk clkbuf_0_ext_clk.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_clk ANTENNA_clkbuf_0_ext_clk_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_clk_sel input1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_clk_sel ANTENNA_input1_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ext_reset input2.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_reset ANTENNA_input2_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT pll_clk clkbuf_0_pll_clk.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT pll_clk ANTENNA_clkbuf_0_pll_clk_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT pll_clk90 clkbuf_0_pll_clk90.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT pll_clk90 ANTENNA_clkbuf_0_pll_clk90_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT resetb input3.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT resetb ANTENNA_input3_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[0] input4.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[0] ANTENNA_input4_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[1] input5.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[1] ANTENNA_input5_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT sel2[2] input6.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[2] ANTENNA_input6_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[0] input7.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[0] ANTENNA_input7_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT sel[1] input8.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[1] ANTENNA_input8_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT sel[2] input9.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[2] ANTENNA_input9_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _206_.X _286_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _206_.X _367_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _206_.X _368_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _207_.X _273_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _207_.X _395_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _207_.X _396_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _208_.X _309_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _209_.X clkbuf_0__037_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _210_.X clkbuf_0_net10.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _211_.X _313_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _212_.X user_clk_out_buffer.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _213_.X _367_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _214_.X _286_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _215_.X _368_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _216_.X _217_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _217_.X _267_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _218_.X _219_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _219_.X _252_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _220_.X _221_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _221_.X _269_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _222_.X _223_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _223_.X _369_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _224_.X _225_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _225_.X _371_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _226_.X _227_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _227_.X _373_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _228_.X _375_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _229_.X _376_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _230_.X _395_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _231_.X _273_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _232_.X _396_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _233_.X _234_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _234_.X _270_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _235_.X _236_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _236_.X _249_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _237_.X _238_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _238_.X _272_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _239_.X _240_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _240_.X _397_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _241_.X _242_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _242_.X _399_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _243_.X _244_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _244_.X _401_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _245_.X _406_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _246_.X _407_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _247_.Y _277_.D1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _247_.Y _283_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _248_.Y _281_.A4 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _248_.Y _282_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _249_.Y _285_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _250_.Y _290_.D1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _250_.Y _296_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _251_.Y _294_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _251_.Y _295_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _252_.Y _298_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _253_.Y _228_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _254_.Y _213_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _254_.Y _323_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _255_.Y _290_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _255_.Y _296_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _256_.Y _216_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _222_.A0 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _303_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _257_.Y _370_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _258_.Y _245_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _259_.Y _277_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _259_.Y _283_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _260_.Y _230_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _260_.Y _339_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _261_.Y _233_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _262_.Y _239_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _262_.Y _308_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _262_.Y _398_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _263_.Y _420_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _264_.Y _354_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _265_.Y _382_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _411__8.Y _418_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _267_.Y _364_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _413__5.Y _432_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _269_.Y _366_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _270_.Y _392_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _415__2.Y _457_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _272_.Y _394_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _273_.X _459_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _274_.Y _280_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _274_.Y _281_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _274_.Y _339_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _275_.Y _211_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _276_.X _281_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _276_.X _283_.A1 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _276_.X _306_.B (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _276_.X _316_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _276_.X _388_.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _276_.X _390_.A2 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT _276_.X _397_.A2 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _276_.X _398_.A3 (0.015:0.015:0.015) (0.014:0.015:0.015)) - (INTERCONNECT _276_.X _399_.A2 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _276_.X _400_.A3 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT _276_.X _401_.A2 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _276_.X _402_.A3 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _276_.X ANTENNA__402__A3.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _276_.X ANTENNA__401__A2.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT _276_.X ANTENNA__400__A3.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT _276_.X ANTENNA__399__A2.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _276_.X ANTENNA__398__A3.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT _276_.X ANTENNA__397__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _276_.X ANTENNA__390__A2.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _276_.X ANTENNA__388__A.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT _276_.X ANTENNA__316__A2.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT _276_.X ANTENNA__306__B.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _276_.X ANTENNA__283__A1.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _276_.X ANTENNA__281__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _277_.Y _278_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _277_.Y _284_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _277_.Y _391_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _277_.Y _393_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _278_.Y _207_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _279_.Y _233_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _279_.Y _235_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _279_.Y _237_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _279_.Y _280_.D1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _279_.Y _281_.A3 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _280_.X _282_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _281_.Y _282_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _282_.Y _457_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _283_.Y _285_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _283_.Y _392_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _283_.Y _394_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _284_.Y _285_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _285_.Y _455_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _286_.X _434_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _287_.Y _293_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _287_.Y _294_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _287_.Y _323_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _288_.Y _208_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _289_.X _294_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _289_.X _296_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _289_.X _301_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _289_.X _312_.A2 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _289_.X _361_.B1 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _289_.X _362_.A2 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _289_.X _369_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _289_.X _370_.A3 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _289_.X _371_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _289_.X _372_.A3 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _289_.X _373_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _289_.X _374_.A3 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _290_.Y _291_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _290_.Y _297_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _290_.Y _363_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _290_.Y _365_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _291_.Y _206_.S (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _292_.Y _216_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _292_.Y _218_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _292_.Y _220_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _292_.Y _293_.D1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _292_.Y _294_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _293_.X _295_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _294_.Y _295_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _295_.Y _432_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.Y _298_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _296_.Y _364_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _296_.Y _366_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _297_.Y _298_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _298_.Y _430_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _299_.Y _300_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _299_.Y _354_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _228_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _229_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _300_.Y _353_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _301_.X _206_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _302_.Y _403_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _303_.Y _222_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _303_.Y _224_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _303_.Y _226_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _304_.Y _305_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.Y _382_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _305_.Y _245_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _305_.Y _246_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _305_.Y _381_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _306_.X _207_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _307_.Y _350_.D1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _308_.Y _239_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _241_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _308_.Y _243_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _309_.X _312_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _310_.Y _312_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _311_.X _312_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _312_.X clkbuf_0_divider\.out.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _313_.X _316_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _314_.Y _316_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _315_.X _316_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _316_.X clkbuf_0_divider2\.out.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _317_.Y _213_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _318_.Y _214_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _319_.Y _321_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _320_.X _215_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _320_.X _321_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _321_.Y _214_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _322_.X _323_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _323_.X _215_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _324_.Y _218_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _325_.X _327_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _326_.Y _327_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _327_.Y _220_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _328_.Y _224_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _329_.X _331_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _330_.Y _331_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _331_.Y _226_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _332_.Y _229_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _333_.Y _230_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _334_.Y _231_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _335_.Y _337_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _336_.X _232_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _336_.X _337_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _337_.Y _231_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _338_.X _339_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _339_.X _232_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _340_.Y _235_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _341_.X _343_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _342_.Y _343_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _343_.Y _237_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _344_.Y _241_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _345_.X _347_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _346_.Y _347_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _347_.Y _243_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _348_.Y _246_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _349_.Y output11.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _350_.Y _351_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _350_.Y _352_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _351_.Y _352_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _352_.Y _422_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _353_.Y _355_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _354_.Y _355_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _355_.Y _427_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _356_.Y _358_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _357_.Y _358_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _358_.Y _362_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _359_.Y _361_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _360_.X _361_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _361_.Y _362_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _362_.X _428_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _363_.Y _364_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _364_.Y _429_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _365_.Y _366_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _366_.Y _431_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _367_.X _433_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _368_.X _435_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _369_.Y _370_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _370_.Y _436_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _371_.Y _372_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _372_.X _437_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _373_.Y _374_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _374_.X _438_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _375_.X _439_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _376_.X _440_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _377_.Y _379_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _378_.X _379_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _379_.X _441_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _380_.X _448_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _381_.Y _383_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _382_.Y _383_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _383_.Y _452_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _384_.Y _389_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _385_.Y _388_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _386_.Y _389_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _387_.Y _388_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _388_.Y _390_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _389_.Y _390_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _390_.X _453_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _391_.Y _392_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _392_.Y _454_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _393_.Y _394_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _394_.Y _456_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _395_.X _458_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _396_.X _460_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _397_.Y _398_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _398_.Y _461_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _399_.Y _400_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _400_.X _462_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _401_.Y _402_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _402_.X _463_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.Y _404_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.Y _405_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _404_.Y _405_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _405_.Y _464_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _406_.X _465_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _407_.X _466_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _408_.Y _410_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _409_.X _410_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _410_.X _467_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _412__9.Y _419_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_ext_clk.X clkbuf_1_0__f_ext_clk.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_ext_clk.X clkbuf_1_1__f_ext_clk.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _414__6.Y _434_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _266__7.Y _417_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _416__3.Y _459_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _268__4.Y _430_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _417_.Q _349_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _418_.Q _417_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _419_.Q _418_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _420_.Q _209_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _420_.Q _421_.D (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _421_.Q _210_.S (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _421_.Q _212_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _422_.Q _314_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.Q _315_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.Q _351_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _422_.Q _352_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _423_.Q _209_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _424_.Q _358_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Q _356_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _425_.Q _357_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _426_.Q _359_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _426_.Q _360_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _427_.Q _208_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _427_.Q _264_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _427_.Q _353_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _428_.Q _362_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _428_.Q fanout24.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.Q _256_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _429_.Q _292_.C_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.Q _324_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _429_.Q _325_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.Q _326_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _429_.Q _363_.C (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _430_.Q _292_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _430_.Q _297_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _430_.Q _324_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _430_.Q _325_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _430_.Q _326_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _431_.Q _292_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _431_.Q _325_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _431_.Q _326_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _431_.Q _365_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _432_.Q _251_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _432_.Q _310_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _432_.Q _311_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _433_.Q _254_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _433_.Q _318_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _433_.Q _322_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _433_.Q _367_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.Q _250_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _434_.Q _286_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.Q _287_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _434_.Q _318_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.Q _322_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _435_.Q _255_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _435_.Q _287_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _435_.Q _322_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _435_.Q _368_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _436_.Q _257_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _436_.Q _328_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _436_.Q _329_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _436_.Q _330_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _436_.Q _403_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _437_.Q _302_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _437_.Q _303_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _437_.Q _328_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _437_.Q _329_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _437_.Q _330_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _437_.Q _372_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _438_.Q _302_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.Q _303_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _438_.Q _329_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.Q _330_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.Q _374_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _439_.Q _253_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _439_.Q _300_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _439_.Q _332_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _439_.Q _354_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _439_.Q _375_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _439_.Q _377_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _439_.Q _378_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _440_.Q _299_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _440_.Q _332_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _440_.Q _376_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _440_.Q _377_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _440_.Q _378_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _441_.Q _299_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _441_.Q _377_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _441_.Q _378_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _442_.Q _445_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _443_.Q _446_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _444_.Q _447_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _445_.Q fanout20.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _446_.Q _224_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _446_.Q _403_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _446_.Q _288_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _446_.Q _289_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _446_.Q fanout18.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _447_.Q _403_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _447_.Q _359_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _447_.Q _226_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _447_.Q fanout17.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _448_.Q _380_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _448_.Q _423_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _449_.Q _389_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _450_.Q _384_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _450_.Q _386_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _451_.Q _385_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _451_.Q _387_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _452_.Q _211_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _452_.Q _265_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _452_.Q _381_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _453_.Q _281_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _453_.Q fanout22.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _454_.Q _261_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _454_.Q _279_.C_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _454_.Q _340_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _454_.Q _341_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _454_.Q _342_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _454_.Q _391_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _455_.Q _279_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _455_.Q _284_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _455_.Q _340_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _455_.Q _341_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _455_.Q _342_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _456_.Q _279_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _456_.Q _341_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _456_.Q _342_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _456_.Q _393_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _457_.Q _248_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _457_.Q _314_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _457_.Q _315_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _458_.Q _260_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _458_.Q _334_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _458_.Q _338_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _458_.Q _395_.A0 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _247_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _459_.Q _273_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _459_.Q _274_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _459_.Q _334_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _459_.Q _338_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _460_.Q _259_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _274_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _338_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _396_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _262_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _461_.Q _344_.B (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _461_.Q _345_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _461_.Q _346_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _461_.Q _350_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _462_.Q _307_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _462_.Q _308_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _462_.Q _344_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _462_.Q _345_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _462_.Q _346_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _400_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _463_.Q _307_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _463_.Q _308_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _463_.Q _345_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _463_.Q _346_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _463_.Q _402_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _464_.Q _310_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _464_.Q _311_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _464_.Q _404_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _464_.Q _405_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _258_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _305_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _348_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _465_.Q _382_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _406_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _408_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _409_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _466_.Q _304_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _466_.Q _348_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _466_.Q _407_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _466_.Q _408_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _466_.Q _409_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _467_.Q _304_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _467_.Q _408_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _467_.Q _409_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _468_.Q _471_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _469_.Q _472_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _470_.Q _473_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _471_.Q fanout15.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _471_.Q fanout16.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _472_.Q _384_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _472_.Q _276_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _472_.Q _350_.A2 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _472_.Q _386_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _472_.Q fanout14.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _473_.Q _451_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _473_.Q _385_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _473_.Q _350_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _473_.Q fanout13.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271__1.Y _455_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout18.X _218_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout18.X _219_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout18.X _290_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout18.X _293_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout18.X _317_.A (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout18.X _319_.B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout18.X _228_.A0 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout18.X _320_.A1 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout18.X _356_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout18.X _357_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout18.X _425_.D (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout18.X _225_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout17.X _289_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout17.X _221_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout17.X _220_.A1 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout17.X _290_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout17.X _293_.A1 (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout17.X _319_.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout17.X _320_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout17.X _229_.A0 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout17.X _288_.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout17.X _426_.D (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout17.X _360_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout17.X _227_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout16.X _382_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout16.X _406_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout16.X _407_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout16.X _449_.D (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout16.X _350_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout16.X _239_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout16.X _240_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout15.X _280_.B1 (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT fanout15.X _336_.A2 (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT fanout15.X _234_.A1 (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT fanout15.X _333_.B (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT fanout15.X _335_.C (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT fanout15.X _233_.A1 (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT fanout15.X _277_.B1 (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT fanout15.X _276_.B1 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout15.X _313_.A_N (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout15.X _381_.A1 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT fanout15.X _408_.A (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT fanout15.X _409_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout15.X ANTENNA__409__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout15.X ANTENNA__408__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout15.X ANTENNA__381__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout15.X ANTENNA__313__A_N.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout15.X ANTENNA__276__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout15.X ANTENNA__277__B1.DIODE (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT fanout15.X ANTENNA__233__A1.DIODE (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT fanout15.X ANTENNA__335__C.DIODE (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT fanout15.X ANTENNA__333__B.DIODE (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT fanout15.X ANTENNA__234__A1.DIODE (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT fanout15.X ANTENNA__336__A2.DIODE (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT fanout15.X ANTENNA__280__B1.DIODE (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT fanout14.X _236_.A1 (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT fanout14.X _242_.A1 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT fanout14.X _333_.A (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT fanout14.X _335_.B (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT fanout14.X _235_.A1 (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT fanout14.X _241_.A1 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT fanout14.X _277_.A2 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT fanout14.X _280_.A2 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT fanout14.X _336_.A1 (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT fanout14.X _450_.D (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout14.X _275_.B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout14.X _245_.A0 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout14.X ANTENNA__245__A0.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout14.X ANTENNA__275__B.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout14.X ANTENNA__450__D.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout14.X ANTENNA__336__A1.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT fanout14.X ANTENNA__280__A2.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT fanout14.X ANTENNA__277__A2.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout14.X ANTENNA__241__A1.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT fanout14.X ANTENNA__235__A1.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT fanout14.X ANTENNA__335__B.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT fanout14.X ANTENNA__333__A.DIODE (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT fanout14.X ANTENNA__242__A1.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT fanout14.X ANTENNA__236__A1.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT fanout13.X _238_.A1 (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT fanout13.X _243_.A1 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT fanout13.X _244_.A1 (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT fanout13.X _237_.A1 (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT fanout13.X _335_.A (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout13.X _336_.B1 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT fanout13.X _277_.A1 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout13.X _280_.A1 (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT fanout13.X _276_.A1 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT fanout13.X _275_.A (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT fanout13.X _246_.A0 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout13.X _387_.A_N (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT fanout13.X ANTENNA__387__A_N.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT fanout13.X ANTENNA__246__A0.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout13.X ANTENNA__275__A.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT fanout13.X ANTENNA__276__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout13.X ANTENNA__280__A1.DIODE (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT fanout13.X ANTENNA__277__A1.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout13.X ANTENNA__336__B1.DIODE (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT fanout13.X ANTENNA__335__A.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout13.X ANTENNA__237__A1.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT fanout13.X ANTENNA__244__A1.DIODE (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT fanout13.X ANTENNA__243__A1.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout13.X ANTENNA__238__A1.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT user_clk_out_buffer.X user_clk (0.024:0.024:0.024) (0.024:0.024:0.024)) - (INTERCONNECT output11.X resetb_sync (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT input9.X _444_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input8.X _443_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input7.X _442_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input6.X _470_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input5.X _469_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input4.X _468_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input3.X fanout25.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input3.X fanout26.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input3.X fanout29.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input2.X _349_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input1.X _263_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _375_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout19.X _376_.S (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout19.X _377_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout19.X _378_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout19.X _317_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout19.X _320_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout19.X _290_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout19.X _319_.C (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout19.X _217_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout19.X _354_.A_N (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout19.X _353_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout19.X _293_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout20.X fanout19.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout20.X _216_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout20.X _424_.D (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout20.X _403_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout20.X _309_.A_N (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout20.X _289_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout20.X _223_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout20.X _222_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout21.X _230_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout21.X _238_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout21.X _283_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout21.X _284_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout21.X _391_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout21.X _393_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout21.X _306_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout21.X _207_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout21.X _232_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout21.X _231_.S (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _401_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout21.X _244_.S (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT fanout22.X fanout21.A (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT fanout22.X _234_.S (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT fanout22.X _242_.S (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT fanout22.X _399_.A1 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout22.X _236_.S (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT fanout22.X _400_.A1 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout22.X _397_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout22.X _398_.A1 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout22.X _390_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout22.X _351_.B1 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT fanout22.X _240_.S (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT fanout22.X _402_.A1 (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT fanout22.X ANTENNA__402__A1.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT fanout22.X ANTENNA__240__S.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout22.X ANTENNA__351__B1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT fanout22.X ANTENNA__390__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout22.X ANTENNA__398__A1.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout22.X ANTENNA__397__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout22.X ANTENNA__400__A1.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT fanout22.X ANTENNA__236__S.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT fanout22.X ANTENNA__399__A1.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout22.X ANTENNA__242__S.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT fanout22.X ANTENNA__234__S.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT fanout22.X ANTENNA_fanout21_A.DIODE (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT fanout23.X _219_.S (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout23.X _215_.S (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout23.X _296_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout23.X _301_.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout23.X _214_.S (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout23.X _213_.S (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout23.X _206_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout23.X _297_.A_N (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout23.X _363_.A_N (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout23.X _365_.A_N (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout23.X _221_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout23.X _217_.S (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout24.X fanout23.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout24.X _294_.B1 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout24.X _369_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout24.X _370_.A1 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout24.X _372_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout24.X _374_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout24.X _404_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout24.X _223_.S (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout24.X _373_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout24.X _371_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout24.X _227_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout24.X _225_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout25.X _429_.RESET_B (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout25.X _430_.SET_B (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout25.X _432_.SET_B (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout25.X _433_.RESET_B (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT fanout25.X _434_.SET_B (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout25.X _435_.RESET_B (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout25.X _439_.SET_B (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout25.X _440_.RESET_B (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT fanout25.X _441_.RESET_B (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout25.X _380_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout25.X _418_.SET_B (0.008:0.008:0.008) (0.009:0.009:0.009)) - (INTERCONNECT fanout25.X _419_.SET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout26.X _423_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout26.X _454_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout26.X _455_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout26.X _456_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout26.X _458_.RESET_B (0.005:0.005:0.005) (0.006:0.006:0.006)) - (INTERCONNECT fanout26.X _459_.SET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout26.X _460_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout26.X _462_.SET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout27.X _420_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout27.X _427_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout27.X _431_.RESET_B (0.005:0.005:0.005) (0.006:0.006:0.006)) - (INTERCONNECT fanout27.X _436_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout27.X _442_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout27.X _443_.SET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout27.X _444_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout27.X _445_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout27.X _446_.SET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout27.X _447_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout27.X _464_.SET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout27.X _428_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X _417_.SET_B (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT fanout28.X _421_.RESET_B (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT fanout28.X _422_.SET_B (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT fanout28.X _453_.RESET_B (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT fanout28.X _457_.SET_B (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT fanout28.X _461_.RESET_B (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT fanout28.X _463_.RESET_B (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT fanout28.X _469_.SET_B (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT fanout28.X _472_.SET_B (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT fanout28.X _452_.SET_B (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT fanout28.X _465_.SET_B (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT fanout28.X _466_.RESET_B (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT fanout28.X ANTENNA__466__RESET_B.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT fanout28.X ANTENNA__465__SET_B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout28.X ANTENNA__452__SET_B.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT fanout28.X ANTENNA__472__SET_B.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT fanout28.X ANTENNA__469__SET_B.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT fanout28.X ANTENNA__463__RESET_B.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT fanout28.X ANTENNA__461__RESET_B.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout28.X ANTENNA__457__SET_B.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout28.X ANTENNA__453__RESET_B.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT fanout28.X ANTENNA__422__SET_B.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT fanout28.X ANTENNA__421__RESET_B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout28.X ANTENNA__417__SET_B.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout29.X fanout27.A (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout29.X _438_.RESET_B (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT fanout29.X _437_.SET_B (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT fanout29.X fanout28.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout29.X _473_.RESET_B (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT fanout29.X _471_.RESET_B (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT fanout29.X _470_.RESET_B (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT fanout29.X _468_.RESET_B (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT fanout29.X _467_.RESET_B (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT fanout29.X ANTENNA__467__RESET_B.DIODE (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT fanout29.X ANTENNA__468__RESET_B.DIODE (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT fanout29.X ANTENNA__470__RESET_B.DIODE (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT fanout29.X ANTENNA__471__RESET_B.DIODE (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT fanout29.X ANTENNA__473__RESET_B.DIODE (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT fanout29.X ANTENNA_fanout28_A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout29.X ANTENNA__437__SET_B.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT fanout29.X ANTENNA__438__RESET_B.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT fanout29.X ANTENNA_fanout27_A.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _419__30.LO _419_.D (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_ext_clk.X _380_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_ext_clk.X _209_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0__037_.X clkbuf_1_0__f__037_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_0__037_.X clkbuf_1_1__f__037_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f__037_.X _210_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f__037_.X _212_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_net10.X clkbuf_1_0__f_net10.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_0_net10.X clkbuf_1_1__f_net10.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_net10.X core_clk (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT clkbuf_1_0__f_net10.X _412__9.A (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT clkbuf_1_1__f_net10.X _411__8.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_net10.X _266__7.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_0_pll_clk.X clkbuf_1_0__f_pll_clk.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_pll_clk.X clkbuf_1_1__f_pll_clk.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _414__6.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _268__4.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _448_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _441_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _440_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _439_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _435_.CLK_N (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _433_.CLK_N (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _429_.CLK_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _423_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _421_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _413__5.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _464_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _438_.CLK (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _437_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _436_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _431_.CLK_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _428_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _427_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _426_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _425_.CLK (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _424_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _420_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _208_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_0_divider\.out.X clkbuf_1_0__f_divider\.out.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_divider\.out.X clkbuf_1_1__f_divider\.out.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _447_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _446_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _445_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _443_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _442_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_divider\.out.X _444_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_divider\.out.X _210_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_pll_clk90.X clkbuf_1_0__f_pll_clk90.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_pll_clk90.X clkbuf_1_1__f_pll_clk90.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _416__3.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _271__1.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _463_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _462_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _460_.CLK_N (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _458_.CLK_N (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _456_.CLK_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _454_.CLK_N (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _415__2.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _467_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _466_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _465_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _461_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _453_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _452_.CLK (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _451_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _450_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _449_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _422_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _211_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_0_divider2\.out.X clkbuf_1_0__f_divider2\.out.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_0_divider2\.out.X clkbuf_1_1__f_divider2\.out.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_divider2\.out.X _472_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_divider2\.out.X _469_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider2\.out.X _212_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider2\.out.X _473_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_divider2\.out.X _471_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_divider2\.out.X _470_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_divider2\.out.X _468_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _206_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.368:0.368:0.368) (0.788:0.788:0.788)) - (IOPATH A1 X (0.436:0.436:0.436) (0.835:0.835:0.835)) - (IOPATH S X (0.502:0.511:0.519) (0.848:0.850:0.851)) - (IOPATH S X (0.387:0.388:0.389) (0.824:0.831:0.839)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _207_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.345:0.346:0.347) (0.770:0.770:0.770)) - (IOPATH A1 X (0.438:0.438:0.438) (0.834:0.834:0.834)) - (IOPATH S X (0.484:0.493:0.502) (0.833:0.835:0.836)) - (IOPATH S X (0.369:0.371:0.372) (0.809:0.816:0.824)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _208_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.265:0.265:0.265) (0.633:0.633:0.633)) - (IOPATH A1 X (0.232:0.232:0.232) (0.636:0.636:0.636)) - (IOPATH S X (0.379:0.383:0.388) (0.741:0.742:0.742)) - (IOPATH S X (0.294:0.294:0.295) (0.680:0.684:0.687)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _209_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.393:0.393:0.393) (0.794:0.794:0.794)) - (IOPATH A1 X (0.420:0.420:0.420) (0.832:0.832:0.832)) - (IOPATH S X (0.610:0.610:0.610) (0.937:0.937:0.937)) - (IOPATH S X (0.483:0.483:0.483) (0.910:0.910:0.910)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _210_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.294:0.294:0.294) (0.700:0.700:0.700)) - (IOPATH A1 X (0.303:0.303:0.303) (0.724:0.724:0.724)) - (IOPATH S X (0.475:0.475:0.475) (0.801:0.801:0.801)) - (IOPATH S X (0.345:0.345:0.345) (0.785:0.785:0.785)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _211_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.308:0.308:0.308) (0.682:0.682:0.682)) - (IOPATH A1 X (0.269:0.269:0.269) (0.683:0.683:0.683)) - (IOPATH S X (0.430:0.430:0.431) (0.794:0.795:0.796)) - (IOPATH S X (0.336:0.337:0.339) (0.740:0.741:0.742)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _212_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.298:0.298:0.298) (0.705:0.705:0.705)) - (IOPATH A1 X (0.306:0.306:0.306) (0.729:0.729:0.729)) - (IOPATH S X (0.480:0.480:0.480) (0.806:0.806:0.806)) - (IOPATH S X (0.349:0.349:0.349) (0.790:0.790:0.790)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _213_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.262:0.262:0.262) (0.666:0.666:0.666)) - (IOPATH A1 X (0.268:0.295:0.322) (0.693:0.698:0.702)) - (IOPATH S X (0.456:0.456:0.456) (0.795:0.795:0.795)) - (IOPATH S X (0.333:0.333:0.333) (0.765:0.765:0.765)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _214_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.240:0.265:0.291) (0.645:0.648:0.651)) - (IOPATH A1 X (0.304:0.304:0.305) (0.664:0.670:0.675)) - (IOPATH S X (0.438:0.438:0.438) (0.771:0.771:0.771)) - (IOPATH S X (0.315:0.315:0.315) (0.741:0.741:0.741)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _215_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.221:0.223:0.225) (0.622:0.622:0.622)) - (IOPATH A1 X (0.261:0.261:0.262) (0.658:0.659:0.661)) - (IOPATH S X (0.424:0.424:0.424) (0.752:0.752:0.752)) - (IOPATH S X (0.301:0.301:0.301) (0.722:0.722:0.722)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _216_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.216:0.216:0.216) (0.622:0.622:0.622)) - (IOPATH A1 X (0.317:0.317:0.317) (0.709:0.709:0.709)) - (IOPATH S X (0.394:0.400:0.406) (0.855:0.855:0.856)) - (IOPATH S X (0.433:0.433:0.433) (0.696:0.701:0.706)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _217_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.248:0.250:0.252) (0.670:0.670:0.670)) - (IOPATH A1 X (0.339:0.339:0.339) (0.730:0.730:0.730)) - (IOPATH S X (0.451:0.451:0.451) (0.786:0.786:0.786)) - (IOPATH S X (0.327:0.327:0.327) (0.756:0.756:0.756)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _218_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.231:0.253:0.275) (0.636:0.640:0.645)) - (IOPATH A1 X (0.333:0.333:0.333) (0.720:0.720:0.720)) - (IOPATH S X (0.408:0.414:0.420) (0.875:0.875:0.875)) - (IOPATH S X (0.448:0.448:0.448) (0.715:0.720:0.725)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _219_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.262:0.263:0.265) (0.683:0.683:0.683)) - (IOPATH A1 X (0.358:0.358:0.358) (0.747:0.747:0.747)) - (IOPATH S X (0.458:0.458:0.458) (0.794:0.794:0.794)) - (IOPATH S X (0.335:0.335:0.335) (0.765:0.765:0.765)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _220_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.215:0.216:0.216) (0.616:0.618:0.619)) - (IOPATH A1 X (0.310:0.310:0.310) (0.696:0.696:0.696)) - (IOPATH S X (0.396:0.402:0.409) (0.859:0.859:0.859)) - (IOPATH S X (0.436:0.436:0.436) (0.699:0.704:0.709)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _221_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.249:0.251:0.253) (0.670:0.671:0.671)) - (IOPATH A1 X (0.340:0.340:0.340) (0.731:0.731:0.731)) - (IOPATH S X (0.450:0.450:0.450) (0.786:0.786:0.786)) - (IOPATH S X (0.327:0.327:0.327) (0.756:0.756:0.756)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _222_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.215:0.215:0.215) (0.619:0.619:0.619)) - (IOPATH A1 X (0.313:0.313:0.313) (0.705:0.705:0.705)) - (IOPATH S X (0.372:0.387:0.403) (0.815:0.816:0.816)) - (IOPATH S X (0.384:0.385:0.385) (0.676:0.689:0.702)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _223_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.228:0.230:0.232) (0.649:0.649:0.649)) - (IOPATH A1 X (0.330:0.330:0.330) (0.727:0.727:0.727)) - (IOPATH S X (0.444:0.444:0.444) (0.775:0.775:0.775)) - (IOPATH S X (0.321:0.321:0.321) (0.745:0.745:0.745)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _224_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.235:0.267:0.298) (0.636:0.641:0.645)) - (IOPATH A1 X (0.353:0.353:0.353) (0.714:0.714:0.714)) - (IOPATH S X (0.379:0.395:0.410) (0.825:0.825:0.826)) - (IOPATH S X (0.392:0.392:0.392) (0.686:0.699:0.711)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _225_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.258:0.260:0.262) (0.682:0.683:0.683)) - (IOPATH A1 X (0.358:0.358:0.358) (0.750:0.750:0.750)) - (IOPATH S X (0.470:0.470:0.470) (0.806:0.806:0.806)) - (IOPATH S X (0.346:0.346:0.346) (0.777:0.777:0.777)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _226_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.218:0.220:0.221) (0.620:0.621:0.621)) - (IOPATH A1 X (0.310:0.310:0.310) (0.696:0.696:0.696)) - (IOPATH S X (0.377:0.392:0.408) (0.822:0.823:0.823)) - (IOPATH S X (0.390:0.390:0.390) (0.683:0.696:0.709)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _227_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.223:0.225:0.227) (0.640:0.640:0.640)) - (IOPATH A1 X (0.314:0.314:0.314) (0.701:0.701:0.701)) - (IOPATH S X (0.438:0.438:0.438) (0.765:0.765:0.765)) - (IOPATH S X (0.315:0.315:0.315) (0.735:0.735:0.735)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _228_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.320:0.320:0.320) (0.688:0.688:0.688)) - (IOPATH A1 X (0.224:0.224:0.224) (0.652:0.652:0.652)) - (IOPATH S X (0.455:0.456:0.457) (0.749:0.750:0.751)) - (IOPATH S X (0.296:0.297:0.299) (0.750:0.751:0.752)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _229_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.325:0.325:0.325) (0.700:0.700:0.700)) - (IOPATH A1 X (0.267:0.301:0.336) (0.689:0.694:0.699)) - (IOPATH S X (0.469:0.470:0.471) (0.768:0.769:0.770)) - (IOPATH S X (0.310:0.311:0.312) (0.769:0.770:0.771)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _230_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.242:0.242:0.242) (0.641:0.641:0.641)) - (IOPATH A1 X (0.255:0.286:0.318) (0.673:0.681:0.689)) - (IOPATH S X (0.454:0.454:0.454) (0.782:0.782:0.782)) - (IOPATH S X (0.330:0.330:0.330) (0.753:0.753:0.753)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _231_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.264:0.294:0.323) (0.673:0.676:0.680)) - (IOPATH A1 X (0.294:0.294:0.294) (0.684:0.687:0.691)) - (IOPATH S X (0.472:0.472:0.472) (0.806:0.806:0.806)) - (IOPATH S X (0.348:0.348:0.348) (0.778:0.778:0.778)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _232_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.270:0.272:0.274) (0.673:0.674:0.674)) - (IOPATH A1 X (0.315:0.315:0.316) (0.715:0.716:0.717)) - (IOPATH S X (0.478:0.478:0.478) (0.812:0.812:0.812)) - (IOPATH S X (0.354:0.354:0.354) (0.784:0.784:0.784)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _233_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.223:0.223:0.223) (0.630:0.630:0.630)) - (IOPATH A1 X (0.374:0.374:0.374) (0.752:0.752:0.752)) - (IOPATH S X (0.415:0.420:0.424) (0.887:0.887:0.888)) - (IOPATH S X (0.475:0.475:0.475) (0.714:0.718:0.722)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _234_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.339:0.342:0.345) (0.769:0.769:0.769)) - (IOPATH A1 X (0.498:0.498:0.498) (0.887:0.887:0.887)) - (IOPATH S X (0.610:0.610:0.610) (0.940:0.940:0.940)) - (IOPATH S X (0.486:0.486:0.486) (0.915:0.915:0.915)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _235_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.244:0.280:0.317) (0.642:0.648:0.654)) - (IOPATH A1 X (0.379:0.379:0.379) (0.755:0.755:0.755)) - (IOPATH S X (0.414:0.418:0.423) (0.885:0.885:0.885)) - (IOPATH S X (0.473:0.474:0.474) (0.712:0.716:0.720)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _236_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.268:0.270:0.273) (0.691:0.691:0.691)) - (IOPATH A1 X (0.432:0.432:0.432) (0.814:0.814:0.814)) - (IOPATH S X (0.541:0.541:0.541) (0.863:0.863:0.863)) - (IOPATH S X (0.416:0.416:0.416) (0.838:0.838:0.838)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _237_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.233:0.234:0.235) (0.636:0.637:0.638)) - (IOPATH A1 X (0.377:0.377:0.377) (0.757:0.757:0.757)) - (IOPATH S X (0.421:0.425:0.430) (0.895:0.895:0.895)) - (IOPATH S X (0.481:0.481:0.481) (0.722:0.726:0.729)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _238_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.262:0.264:0.267) (0.684:0.684:0.684)) - (IOPATH A1 X (0.414:0.414:0.414) (0.797:0.797:0.797)) - (IOPATH S X (0.479:0.479:0.479) (0.811:0.811:0.811)) - (IOPATH S X (0.355:0.355:0.355) (0.783:0.783:0.783)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _239_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.214:0.214:0.214) (0.615:0.615:0.615)) - (IOPATH A1 X (0.306:0.306:0.306) (0.698:0.698:0.698)) - (IOPATH S X (0.364:0.382:0.399) (0.791:0.791:0.792)) - (IOPATH S X (0.355:0.355:0.355) (0.669:0.684:0.698)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _240_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.225:0.227:0.228) (0.644:0.644:0.645)) - (IOPATH A1 X (0.321:0.321:0.321) (0.717:0.717:0.717)) - (IOPATH S X (0.501:0.501:0.501) (0.817:0.817:0.817)) - (IOPATH S X (0.376:0.376:0.376) (0.792:0.792:0.792)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _241_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.251:0.277:0.303) (0.659:0.667:0.674)) - (IOPATH A1 X (0.410:0.410:0.410) (0.793:0.793:0.793)) - (IOPATH S X (0.395:0.413:0.430) (0.835:0.835:0.835)) - (IOPATH S X (0.387:0.387:0.387) (0.712:0.727:0.741)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _242_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.242:0.245:0.247) (0.660:0.660:0.660)) - (IOPATH A1 X (0.395:0.395:0.395) (0.774:0.774:0.774)) - (IOPATH S X (0.506:0.506:0.506) (0.822:0.822:0.822)) - (IOPATH S X (0.381:0.381:0.381) (0.798:0.798:0.798)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _243_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.273:0.274:0.274) (0.684:0.685:0.685)) - (IOPATH A1 X (0.416:0.416:0.416) (0.802:0.802:0.802)) - (IOPATH S X (0.411:0.429:0.446) (0.853:0.853:0.853)) - (IOPATH S X (0.403:0.403:0.403) (0.731:0.745:0.759)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _244_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.311:0.313:0.315) (0.734:0.734:0.734)) - (IOPATH A1 X (0.447:0.447:0.447) (0.835:0.835:0.835)) - (IOPATH S X (0.511:0.511:0.511) (0.849:0.849:0.849)) - (IOPATH S X (0.386:0.386:0.386) (0.821:0.821:0.821)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _245_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.393:0.393:0.393) (0.758:0.758:0.758)) - (IOPATH A1 X (0.233:0.233:0.233) (0.665:0.665:0.665)) - (IOPATH S X (0.507:0.508:0.508) (0.787:0.787:0.788)) - (IOPATH S X (0.334:0.335:0.335) (0.801:0.801:0.802)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _246_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.371:0.371:0.371) (0.736:0.736:0.736)) - (IOPATH A1 X (0.232:0.254:0.277) (0.651:0.655:0.659)) - (IOPATH S X (0.498:0.498:0.499) (0.773:0.774:0.775)) - (IOPATH S X (0.324:0.325:0.326) (0.787:0.788:0.788)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _247_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.120:0.120:0.120) (0.172:0.172:0.172)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _248_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.132:0.132:0.132) (0.135:0.135:0.135)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _249_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.097:0.097:0.097) (0.071:0.073:0.074)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _250_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.103:0.103:0.103) (0.149:0.149:0.149)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _251_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.106:0.106:0.106) (0.142:0.142:0.142)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _252_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.095:0.095:0.095) (0.067:0.068:0.070)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _253_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.099:0.099:0.099) (0.158:0.158:0.158)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _254_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.146:0.146:0.146) (0.137:0.137:0.137)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _255_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.146:0.146:0.146) (0.177:0.177:0.177)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _256_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.116:0.116:0.116) (0.162:0.162:0.162)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _257_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.132:0.132:0.132) (0.161:0.161:0.161)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _258_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.091:0.091:0.091) (0.147:0.147:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _259_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.162:0.162:0.162) (0.197:0.197:0.197)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _260_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.153:0.153:0.153) (0.144:0.144:0.144)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _261_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.139:0.139:0.139) (0.194:0.194:0.194)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _262_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.132:0.132:0.132) (0.149:0.149:0.149)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _263_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.156:0.156:0.156) (0.142:0.142:0.142)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _264_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.106:0.106:0.106) (0.109:0.109:0.109)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _265_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.101:0.101:0.101) (0.106:0.106:0.106)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _411__8) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.060:0.060:0.060) (0.049:0.049:0.049)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _267_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.093:0.094:0.094) (0.065:0.066:0.067)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _413__5) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.071:0.071:0.071) (0.059:0.059:0.059)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _269_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.093:0.094:0.094) (0.065:0.066:0.067)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _270_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.114:0.114:0.114) (0.093:0.094:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _415__2) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.079:0.079:0.079) (0.066:0.066:0.066)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _272_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.098:0.098:0.098) (0.070:0.072:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _273_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.299:0.299:0.299) (0.652:0.652:0.652)) - (IOPATH A1 X (0.246:0.247:0.248) (0.675:0.675:0.675)) - (IOPATH S X (0.446:0.447:0.447) (0.751:0.752:0.753)) - (IOPATH S X (0.300:0.301:0.301) (0.742:0.742:0.743)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _274_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.612:0.612:0.612) (0.283:0.283:0.283)) - (IOPATH B Y (0.628:0.628:0.628) (0.313:0.313:0.313)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _275_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.357:0.357:0.357) (0.258:0.258:0.258)) - (IOPATH B Y (0.344:0.344:0.344) (0.249:0.249:0.249)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_4") - (INSTANCE _276_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.687:0.687:0.687) (0.688:0.688:0.688)) - (IOPATH A2 X (0.640:0.640:0.640) (0.622:0.622:0.622)) - (IOPATH B1 X (0.687:0.687:0.687) (0.512:0.512:0.512)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_4") - (INSTANCE _277_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.525:0.525:0.525) (0.478:0.478:0.478)) - (IOPATH A2 Y (0.513:0.513:0.513) (0.433:0.433:0.433)) - (IOPATH B1 Y (0.336:0.336:0.336) (0.473:0.473:0.473)) - (IOPATH C1 Y (0.206:0.206:0.206) (0.339:0.339:0.339)) - (IOPATH D1 Y (0.164:0.164:0.164) (0.294:0.294:0.294)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _278_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.161:0.166:0.171) (0.096:0.128:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3b_2") - (INSTANCE _279_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.831:0.831:0.831) (0.293:0.293:0.293)) - (IOPATH B Y (0.792:0.792:0.792) (0.257:0.257:0.257)) - (IOPATH C_N Y (0.885:0.885:0.885) (0.528:0.528:0.528)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_1") - (INSTANCE _280_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.542:0.542:0.542) (0.529:0.529:0.529)) - (IOPATH A2 X (0.489:0.489:0.489) (0.522:0.522:0.522)) - (IOPATH B1 X (0.521:0.521:0.521) (0.371:0.371:0.371)) - (IOPATH C1 X (0.585:0.585:0.586) (0.296:0.299:0.301)) - (IOPATH D1 X (0.603:0.603:0.603) (0.250:0.255:0.259)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a41oi_1") - (INSTANCE _281_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.377:0.379:0.381) (0.505:0.505:0.505)) - (IOPATH A2 Y (0.435:0.438:0.440) (0.444:0.444:0.444)) - (IOPATH A3 Y (0.431:0.435:0.439) (0.544:0.544:0.544)) - (IOPATH A4 Y (0.399:0.399:0.399) (0.340:0.340:0.340)) - (IOPATH B1 Y (0.366:0.366:0.366) (0.153:0.153:0.153)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _282_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.223:0.223:0.223) (0.147:0.147:0.147)) - (IOPATH A2 Y (0.198:0.200:0.203) (0.127:0.128:0.130)) - (IOPATH B1 Y (0.138:0.189:0.239) (0.202:0.213:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31oi_2") - (INSTANCE _283_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.462:0.464:0.467) (0.428:0.428:0.428)) - (IOPATH A2 Y (0.464:0.464:0.464) (0.300:0.300:0.300)) - (IOPATH A3 Y (0.475:0.475:0.475) (0.307:0.307:0.307)) - (IOPATH B1 Y (0.459:0.459:0.459) (0.191:0.191:0.191)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _284_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.288:0.288:0.288) (0.439:0.439:0.439)) - (IOPATH B Y (0.252:0.260:0.269) (0.236:0.274:0.313)) - (IOPATH C Y (0.236:0.236:0.236) (0.310:0.310:0.310)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _285_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.198:0.198:0.199) (0.128:0.128:0.128)) - (IOPATH A2 Y (0.211:0.247:0.283) (0.206:0.214:0.222)) - (IOPATH B1 Y (0.175:0.179:0.184) (0.140:0.148:0.156)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _286_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.290:0.290:0.290) (0.649:0.649:0.649)) - (IOPATH A1 X (0.241:0.243:0.244) (0.672:0.672:0.673)) - (IOPATH S X (0.455:0.456:0.456) (0.763:0.763:0.764)) - (IOPATH S X (0.311:0.311:0.312) (0.751:0.751:0.751)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _287_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.413:0.413:0.413) (0.212:0.212:0.212)) - (IOPATH B Y (0.427:0.427:0.427) (0.240:0.240:0.240)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _288_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.298:0.298:0.298) (0.191:0.191:0.191)) - (IOPATH B Y (0.288:0.288:0.288) (0.213:0.213:0.213)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_4") - (INSTANCE _289_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.494:0.494:0.494) (0.563:0.563:0.563)) - (IOPATH A2 X (0.507:0.507:0.507) (0.546:0.546:0.546)) - (IOPATH B1 X (0.494:0.494:0.494) (0.398:0.398:0.398)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_4") - (INSTANCE _290_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.469:0.469:0.469) (0.422:0.422:0.422)) - (IOPATH A2 Y (0.449:0.449:0.449) (0.380:0.380:0.380)) - (IOPATH B1 Y (0.265:0.265:0.265) (0.409:0.409:0.409)) - (IOPATH C1 Y (0.194:0.194:0.194) (0.326:0.326:0.326)) - (IOPATH D1 Y (0.151:0.151:0.151) (0.280:0.280:0.280)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _291_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.160:0.166:0.171) (0.096:0.128:0.160)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3b_2") - (INSTANCE _292_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.686:0.686:0.686) (0.245:0.245:0.245)) - (IOPATH B Y (0.636:0.636:0.636) (0.193:0.193:0.193)) - (IOPATH C_N Y (0.741:0.741:0.741) (0.490:0.490:0.490)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_1") - (INSTANCE _293_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.500:0.500:0.500) (0.490:0.490:0.490)) - (IOPATH A2 X (0.450:0.450:0.450) (0.476:0.476:0.476)) - (IOPATH B1 X (0.475:0.475:0.475) (0.313:0.313:0.313)) - (IOPATH C1 X (0.513:0.513:0.513) (0.267:0.269:0.272)) - (IOPATH D1 X (0.568:0.568:0.568) (0.238:0.244:0.251)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a41oi_1") - (INSTANCE _294_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.286:0.288:0.290) (0.360:0.360:0.361)) - (IOPATH A2 Y (0.338:0.341:0.344) (0.327:0.327:0.327)) - (IOPATH A3 Y (0.353:0.358:0.364) (0.448:0.448:0.448)) - (IOPATH A4 Y (0.340:0.340:0.340) (0.277:0.277:0.277)) - (IOPATH B1 Y (0.319:0.319:0.319) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _295_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.242:0.242:0.242) (0.144:0.144:0.144)) - (IOPATH A2 Y (0.220:0.223:0.225) (0.141:0.142:0.143)) - (IOPATH B1 Y (0.152:0.187:0.222) (0.187:0.200:0.212)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31oi_2") - (INSTANCE _296_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.373:0.376:0.379) (0.316:0.316:0.316)) - (IOPATH A2 Y (0.403:0.403:0.403) (0.262:0.262:0.262)) - (IOPATH A3 Y (0.416:0.416:0.416) (0.267:0.267:0.267)) - (IOPATH B1 Y (0.393:0.393:0.393) (0.162:0.162:0.162)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _297_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.253:0.253:0.253) (0.391:0.391:0.391)) - (IOPATH B Y (0.222:0.231:0.239) (0.203:0.239:0.275)) - (IOPATH C Y (0.202:0.202:0.202) (0.265:0.265:0.265)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _298_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.230:0.231:0.231) (0.146:0.146:0.146)) - (IOPATH A2 Y (0.236:0.264:0.292) (0.208:0.217:0.226)) - (IOPATH B1 Y (0.182:0.186:0.191) (0.148:0.156:0.164)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _299_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.319:0.319:0.319) (0.211:0.211:0.211)) - (IOPATH B Y (0.264:0.264:0.264) (0.152:0.152:0.152)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _300_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.231:0.231:0.231) (0.297:0.297:0.297)) - (IOPATH B Y (0.212:0.218:0.224) (0.291:0.291:0.292)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _301_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.246:0.246:0.246) (0.549:0.549:0.549)) - (IOPATH B X (0.257:0.257:0.257) (0.502:0.505:0.507)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _302_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.318:0.318:0.318) (0.244:0.244:0.244)) - (IOPATH B Y (0.292:0.292:0.292) (0.204:0.204:0.204)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_2") - (INSTANCE _303_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.596:0.596:0.596) (0.262:0.262:0.262)) - (IOPATH B Y (0.558:0.558:0.558) (0.233:0.233:0.233)) - (IOPATH C Y (0.414:0.414:0.414) (0.104:0.104:0.104)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _304_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.323:0.323:0.323) (0.205:0.205:0.205)) - (IOPATH B Y (0.268:0.268:0.268) (0.146:0.146:0.146)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _305_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.286:0.286:0.286) (0.361:0.361:0.361)) - (IOPATH B Y (0.268:0.274:0.279) (0.362:0.362:0.362)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _306_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.252:0.252:0.252) (0.549:0.549:0.549)) - (IOPATH B X (0.307:0.307:0.307) (0.522:0.525:0.528)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _307_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.374:0.374:0.374) (0.278:0.278:0.278)) - (IOPATH B Y (0.332:0.332:0.332) (0.215:0.215:0.215)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_2") - (INSTANCE _308_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.537:0.537:0.537) (0.258:0.258:0.258)) - (IOPATH B Y (0.484:0.484:0.484) (0.206:0.206:0.206)) - (IOPATH C Y (0.346:0.346:0.346) (0.094:0.094:0.094)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_2") - (INSTANCE _309_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.510:0.510:0.510) (0.544:0.544:0.544)) - (IOPATH B X (0.283:0.285:0.286) (0.431:0.432:0.432)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _310_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.146:0.146:0.146) (0.185:0.185:0.185)) - (IOPATH B Y (0.145:0.145:0.145) (0.174:0.174:0.174)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _311_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.282:0.282:0.282) (0.569:0.569:0.569)) - (IOPATH B X (0.259:0.259:0.259) (0.519:0.519:0.519)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_2") - (INSTANCE _312_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.391:0.391:0.391) (0.449:0.449:0.449)) - (IOPATH A2 X (0.000:0.000:0.000)) - (IOPATH A3 X (0.413:0.414:0.414) (0.507:0.511:0.515)) - (IOPATH B1 X (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_2") - (INSTANCE _313_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.533:0.533:0.533) (0.572:0.572:0.572)) - (IOPATH B X (0.275:0.276:0.277) (0.426:0.426:0.427)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _314_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.148:0.148:0.148) (0.187:0.187:0.187)) - (IOPATH B Y (0.154:0.154:0.154) (0.186:0.186:0.186)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _315_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.277:0.277:0.277) (0.571:0.571:0.571)) - (IOPATH B X (0.268:0.268:0.268) (0.529:0.529:0.529)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_2") - (INSTANCE _316_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.359:0.359:0.359) (0.425:0.425:0.425)) - (IOPATH A2 X (0.000:0.000:0.000)) - (IOPATH A3 X (0.378:0.379:0.380) (0.485:0.486:0.488)) - (IOPATH B1 X (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _317_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.290:0.290:0.290) (0.296:0.296:0.296)) - (IOPATH A Y (0.327:0.327:0.327) (0.229:0.229:0.229)) - (IOPATH B Y (0.280:0.280:0.280) (0.271:0.271:0.271)) - (IOPATH B Y (0.287:0.287:0.287) (0.198:0.198:0.198)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _318_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.255:0.255:0.255) (0.236:0.236:0.236)) - (IOPATH A Y (0.267:0.267:0.267) (0.192:0.192:0.192)) - (IOPATH B Y (0.279:0.279:0.279) (0.271:0.271:0.271)) - (IOPATH B Y (0.279:0.279:0.279) (0.196:0.196:0.196)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _319_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.407:0.407:0.407) (0.186:0.186:0.186)) - (IOPATH B Y (0.392:0.392:0.392) (0.183:0.183:0.183)) - (IOPATH C Y (0.317:0.317:0.317) (0.162:0.162:0.162)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _320_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.385:0.385:0.385) (0.446:0.446:0.446)) - (IOPATH A2 X (0.339:0.339:0.339) (0.411:0.411:0.411)) - (IOPATH B1 X (0.365:0.365:0.365) (0.300:0.300:0.300)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _321_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.241:0.243:0.245) (0.197:0.197:0.197)) - (IOPATH B Y (0.224:0.225:0.227) (0.124:0.125:0.125)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _322_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.290:0.290:0.290) (0.351:0.351:0.351)) - (IOPATH A2 X (0.282:0.282:0.282) (0.365:0.365:0.365)) - (IOPATH B1 X (0.311:0.311:0.311) (0.256:0.256:0.256)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _323_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.216:0.216:0.216) (0.292:0.292:0.292)) - (IOPATH A2 X (0.350:0.350:0.350) (0.350:0.352:0.354)) - (IOPATH B1 X (0.149:0.150:0.150) (0.280:0.281:0.282)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _324_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.278:0.278:0.278) (0.266:0.266:0.266)) - (IOPATH A Y (0.278:0.278:0.278) (0.214:0.214:0.214)) - (IOPATH B Y (0.295:0.295:0.295) (0.280:0.280:0.280)) - (IOPATH B Y (0.274:0.274:0.274) (0.204:0.204:0.204)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _325_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.290:0.290:0.290) (0.904:0.904:0.904)) - (IOPATH B X (0.301:0.301:0.301) (0.877:0.877:0.877)) - (IOPATH C X (0.237:0.237:0.237) (0.760:0.760:0.760)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _326_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.293:0.293:0.293) (0.243:0.243:0.243)) - (IOPATH A2 Y (0.299:0.299:0.299) (0.227:0.227:0.227)) - (IOPATH B1 Y (0.185:0.185:0.185) (0.191:0.191:0.191)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _327_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.107:0.107:0.108) (0.083:0.083:0.084)) - (IOPATH B Y (0.105:0.107:0.110) (0.122:0.130:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _328_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.292:0.292:0.292) (0.294:0.294:0.294)) - (IOPATH A Y (0.343:0.343:0.343) (0.231:0.231:0.231)) - (IOPATH B Y (0.343:0.343:0.343) (0.313:0.313:0.313)) - (IOPATH B Y (0.344:0.344:0.344) (0.262:0.262:0.262)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _329_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.311:0.311:0.311) (0.963:0.963:0.963)) - (IOPATH B X (0.362:0.362:0.362) (0.940:0.940:0.940)) - (IOPATH C X (0.336:0.336:0.336) (0.859:0.859:0.859)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _330_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.285:0.285:0.285) (0.221:0.221:0.221)) - (IOPATH A2 Y (0.295:0.295:0.295) (0.239:0.239:0.239)) - (IOPATH B1 Y (0.226:0.226:0.226) (0.244:0.244:0.244)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _331_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.133:0.133:0.133) (0.112:0.112:0.113)) - (IOPATH B Y (0.113:0.118:0.124) (0.133:0.139:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _332_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.326:0.326:0.326) (0.331:0.331:0.331)) - (IOPATH A Y (0.396:0.396:0.396) (0.269:0.269:0.269)) - (IOPATH B Y (0.306:0.306:0.306) (0.275:0.275:0.275)) - (IOPATH B Y (0.326:0.326:0.326) (0.227:0.227:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _333_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.356:0.356:0.356) (0.364:0.364:0.364)) - (IOPATH A Y (0.408:0.408:0.408) (0.301:0.301:0.301)) - (IOPATH B Y (0.354:0.354:0.354) (0.339:0.339:0.339)) - (IOPATH B Y (0.376:0.376:0.376) (0.272:0.272:0.272)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _334_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.279:0.279:0.279) (0.258:0.258:0.258)) - (IOPATH A Y (0.304:0.304:0.304) (0.217:0.217:0.217)) - (IOPATH B Y (0.295:0.295:0.295) (0.288:0.288:0.288)) - (IOPATH B Y (0.311:0.311:0.311) (0.214:0.214:0.214)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _335_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.438:0.438:0.438) (0.243:0.243:0.243)) - (IOPATH B Y (0.428:0.428:0.428) (0.233:0.233:0.233)) - (IOPATH C Y (0.358:0.358:0.358) (0.211:0.211:0.211)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _336_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.473:0.473:0.473) (0.514:0.514:0.514)) - (IOPATH A2 X (0.426:0.426:0.426) (0.493:0.493:0.493)) - (IOPATH B1 X (0.455:0.455:0.455) (0.375:0.375:0.375)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _337_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.200:0.201:0.203) (0.174:0.175:0.176)) - (IOPATH B Y (0.182:0.183:0.184) (0.122:0.123:0.123)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _338_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.310:0.310:0.310) (0.365:0.365:0.365)) - (IOPATH A2 X (0.293:0.293:0.293) (0.375:0.375:0.375)) - (IOPATH B1 X (0.328:0.328:0.328) (0.271:0.271:0.271)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _339_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.240:0.240:0.240) (0.312:0.312:0.312)) - (IOPATH A2 X (0.453:0.453:0.454) (0.400:0.402:0.404)) - (IOPATH B1 X (0.173:0.174:0.175) (0.301:0.302:0.303)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _340_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.349:0.349:0.349) (0.339:0.339:0.339)) - (IOPATH A Y (0.414:0.414:0.414) (0.296:0.296:0.296)) - (IOPATH B Y (0.359:0.359:0.359) (0.344:0.344:0.344)) - (IOPATH B Y (0.405:0.405:0.405) (0.284:0.284:0.284)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _341_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.311:0.311:0.311) (0.920:0.920:0.920)) - (IOPATH B X (0.312:0.312:0.312) (0.888:0.888:0.888)) - (IOPATH C X (0.273:0.273:0.273) (0.784:0.784:0.784)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _342_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.297:0.297:0.297) (0.255:0.255:0.255)) - (IOPATH A2 Y (0.298:0.298:0.298) (0.229:0.229:0.229)) - (IOPATH B1 Y (0.207:0.207:0.207) (0.220:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _343_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.140:0.140:0.140) (0.116:0.116:0.116)) - (IOPATH B Y (0.131:0.135:0.139) (0.155:0.163:0.171)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _344_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.330:0.330:0.330) (0.316:0.316:0.316)) - (IOPATH A Y (0.339:0.339:0.339) (0.270:0.270:0.270)) - (IOPATH B Y (0.256:0.256:0.256) (0.259:0.259:0.259)) - (IOPATH B Y (0.268:0.268:0.268) (0.175:0.175:0.175)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _345_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.357:0.357:0.357) (0.966:0.966:0.966)) - (IOPATH B X (0.264:0.264:0.264) (0.879:0.879:0.879)) - (IOPATH C X (0.300:0.300:0.300) (0.818:0.818:0.818)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _346_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.312:0.312:0.312) (0.279:0.279:0.279)) - (IOPATH A2 Y (0.255:0.255:0.255) (0.175:0.175:0.175)) - (IOPATH B1 Y (0.211:0.211:0.211) (0.227:0.227:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _347_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.151:0.152:0.152) (0.128:0.129:0.130)) - (IOPATH B Y (0.124:0.134:0.144) (0.159:0.165:0.172)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _348_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.273:0.273:0.273) (0.277:0.277:0.277)) - (IOPATH A Y (0.293:0.293:0.293) (0.210:0.210:0.210)) - (IOPATH B Y (0.262:0.262:0.262) (0.229:0.229:0.229)) - (IOPATH B Y (0.231:0.231:0.231) (0.177:0.177:0.177)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _349_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.485:0.485:0.485) (0.233:0.233:0.233)) - (IOPATH B Y (0.405:0.405:0.405) (0.149:0.149:0.149)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_1") - (INSTANCE _350_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.632:0.632:0.632) (0.587:0.587:0.587)) - (IOPATH A2 Y (0.631:0.631:0.631) (0.571:0.571:0.571)) - (IOPATH B1 Y (0.357:0.357:0.357) (0.582:0.582:0.582)) - (IOPATH C1 Y (0.315:0.315:0.315) (0.542:0.542:0.542)) - (IOPATH D1 Y (0.246:0.252:0.259) (0.547:0.548:0.548)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _351_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.379:0.388:0.396) (0.204:0.261:0.319)) - (IOPATH A2 Y (0.271:0.271:0.271) (0.195:0.195:0.195)) - (IOPATH B1 Y (0.298:0.298:0.298) (0.190:0.190:0.190)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _352_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.254:0.254:0.254) (0.200:0.200:0.200)) - (IOPATH A2 Y (0.372:0.381:0.390) (0.186:0.235:0.283)) - (IOPATH B1 Y (0.146:0.163:0.180) (0.176:0.179:0.183)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _353_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.274:0.274:0.274) (0.216:0.216:0.216)) - (IOPATH A2 Y (0.264:0.265:0.266) (0.168:0.169:0.170)) - (IOPATH B1 Y (0.155:0.155:0.155) (0.176:0.176:0.176)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4b_1") - (INSTANCE _354_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.283:0.283:0.283) (0.460:0.460:0.460)) - (IOPATH B Y (0.190:0.190:0.190) (0.299:0.299:0.299)) - (IOPATH C Y (0.173:0.180:0.186) (0.311:0.312:0.312)) - (IOPATH D Y (0.154:0.154:0.154) (0.262:0.262:0.262)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _355_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.104:0.110:0.116) (0.136:0.144:0.151)) - (IOPATH B Y (0.178:0.179:0.180) (0.133:0.140:0.146)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _356_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.216:0.216:0.216) (0.313:0.313:0.313)) - (IOPATH B Y (0.185:0.185:0.185) (0.194:0.194:0.194)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _357_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.281:0.281:0.281) (0.388:0.388:0.388)) - (IOPATH B Y (0.141:0.141:0.141) (0.168:0.168:0.168)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _358_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.131:0.131:0.132) (0.164:0.167:0.170)) - (IOPATH B Y (0.157:0.159:0.161) (0.192:0.193:0.193)) - (IOPATH C Y (0.142:0.142:0.142) (0.209:0.209:0.209)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _359_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.248:0.248:0.248) (0.172:0.172:0.172)) - (IOPATH B Y (0.178:0.178:0.178) (0.109:0.109:0.109)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _360_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.313:0.313:0.313) (0.347:0.347:0.347)) - (IOPATH B X (0.269:0.269:0.269) (0.331:0.331:0.331)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _361_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.214:0.220:0.225) (0.175:0.175:0.175)) - (IOPATH A2 Y (0.198:0.199:0.199) (0.123:0.123:0.124)) - (IOPATH B1 Y (0.165:0.167:0.170) (0.203:0.203:0.203)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _362_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.329:0.329:0.329) (0.429:0.429:0.429)) - (IOPATH A2 X (0.349:0.349:0.349) (0.419:0.421:0.424)) - (IOPATH B1 X (0.289:0.293:0.297) (0.404:0.404:0.404)) - (IOPATH B2 X (0.276:0.283:0.290) (0.338:0.346:0.354)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _363_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.263:0.263:0.263) (0.407:0.407:0.407)) - (IOPATH B Y (0.234:0.242:0.251) (0.219:0.256:0.293)) - (IOPATH C Y (0.240:0.240:0.240) (0.295:0.295:0.295)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _364_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.200:0.201:0.201) (0.128:0.128:0.128)) - (IOPATH A2 Y (0.206:0.234:0.262) (0.189:0.198:0.206)) - (IOPATH B1 Y (0.170:0.174:0.178) (0.136:0.143:0.151)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _365_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.235:0.235:0.235) (0.362:0.362:0.362)) - (IOPATH B Y (0.203:0.210:0.218) (0.176:0.210:0.244)) - (IOPATH C Y (0.165:0.165:0.165) (0.204:0.204:0.204)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _366_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.213:0.213:0.213) (0.135:0.135:0.135)) - (IOPATH A2 Y (0.218:0.246:0.275) (0.198:0.206:0.215)) - (IOPATH B1 Y (0.155:0.159:0.164) (0.129:0.137:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _367_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.308:0.308:0.308) (0.674:0.674:0.674)) - (IOPATH A1 X (0.240:0.241:0.242) (0.666:0.666:0.667)) - (IOPATH S X (0.446:0.447:0.447) (0.750:0.751:0.751)) - (IOPATH S X (0.302:0.302:0.303) (0.738:0.738:0.739)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _368_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.321:0.321:0.321) (0.685:0.685:0.685)) - (IOPATH A1 X (0.234:0.235:0.236) (0.665:0.665:0.665)) - (IOPATH S X (0.453:0.454:0.454) (0.760:0.760:0.761)) - (IOPATH S X (0.309:0.309:0.310) (0.748:0.748:0.749)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _369_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.262:0.262:0.262) (0.207:0.207:0.207)) - (IOPATH A2 Y (0.224:0.226:0.229) (0.182:0.182:0.182)) - (IOPATH B1 Y (0.142:0.142:0.143) (0.121:0.123:0.125)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31ai_1") - (INSTANCE _370_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.437:0.437:0.437) (0.220:0.220:0.220)) - (IOPATH A2 Y (0.373:0.373:0.373) (0.137:0.137:0.137)) - (IOPATH A3 Y (0.344:0.346:0.348) (0.190:0.190:0.190)) - (IOPATH B1 Y (0.100:0.104:0.108) (0.150:0.157:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _371_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.287:0.287:0.287) (0.222:0.222:0.222)) - (IOPATH A2 Y (0.246:0.249:0.251) (0.197:0.197:0.197)) - (IOPATH B1_N Y (0.197:0.199:0.201) (0.353:0.353:0.354)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _372_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.351:0.351:0.351) (0.622:0.622:0.622)) - (IOPATH A2 X (0.391:0.391:0.391) (0.617:0.617:0.617)) - (IOPATH A3 X (0.314:0.314:0.314) (0.502:0.504:0.506)) - (IOPATH B1 X (0.275:0.295:0.315) (0.210:0.214:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _373_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.343:0.343:0.343) (0.253:0.253:0.253)) - (IOPATH A2 Y (0.302:0.304:0.307) (0.227:0.227:0.227)) - (IOPATH B1_N Y (0.210:0.211:0.213) (0.370:0.371:0.371)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _374_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.352:0.352:0.352) (0.624:0.624:0.624)) - (IOPATH A2 X (0.371:0.371:0.371) (0.616:0.616:0.616)) - (IOPATH A3 X (0.315:0.315:0.315) (0.504:0.506:0.509)) - (IOPATH B1 X (0.292:0.317:0.343) (0.227:0.232:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _375_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.255:0.257:0.258) (0.677:0.678:0.678)) - (IOPATH A1 X (0.340:0.340:0.340) (0.713:0.713:0.713)) - (IOPATH S X (0.469:0.469:0.469) (0.803:0.803:0.803)) - (IOPATH S X (0.346:0.346:0.346) (0.774:0.774:0.774)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _376_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.255:0.257:0.258) (0.678:0.678:0.679)) - (IOPATH A1 X (0.357:0.357:0.357) (0.746:0.746:0.746)) - (IOPATH S X (0.464:0.464:0.464) (0.799:0.799:0.799)) - (IOPATH S X (0.341:0.341:0.341) (0.770:0.770:0.770)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor4_1") - (INSTANCE _377_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.576:0.576:0.576) (0.186:0.186:0.186)) - (IOPATH B Y (0.569:0.569:0.569) (0.197:0.197:0.197)) - (IOPATH C Y (0.477:0.477:0.477) (0.170:0.170:0.170)) - (IOPATH D Y (0.379:0.379:0.379) (0.139:0.139:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _378_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.360:0.360:0.360) (0.629:0.629:0.629)) - (IOPATH A2 X (0.365:0.365:0.365) (0.610:0.610:0.610)) - (IOPATH A3 X (0.316:0.316:0.316) (0.504:0.504:0.504)) - (IOPATH B1 X (0.324:0.324:0.324) (0.244:0.244:0.244)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _379_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.333:0.334:0.335) (0.528:0.534:0.540)) - (IOPATH B X (0.206:0.207:0.208) (0.492:0.498:0.504)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _380_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.287:0.287:0.287) (0.673:0.673:0.673)) - (IOPATH A1 X (0.246:0.246:0.246) (0.676:0.676:0.676)) - (IOPATH S X (0.454:0.454:0.454) (0.813:0.813:0.813)) - (IOPATH S X (0.355:0.355:0.355) (0.764:0.764:0.764)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _381_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.346:0.346:0.346) (0.290:0.290:0.290)) - (IOPATH A2 Y (0.323:0.324:0.324) (0.204:0.205:0.206)) - (IOPATH B1 Y (0.176:0.176:0.176) (0.197:0.197:0.197)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4b_1") - (INSTANCE _382_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.274:0.274:0.274) (0.449:0.449:0.449)) - (IOPATH B Y (0.174:0.174:0.174) (0.272:0.272:0.272)) - (IOPATH C Y (0.160:0.165:0.171) (0.290:0.290:0.290)) - (IOPATH D Y (0.140:0.140:0.140) (0.235:0.235:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _383_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.103:0.111:0.118) (0.131:0.142:0.153)) - (IOPATH B Y (0.152:0.153:0.154) (0.115:0.121:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _384_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.302:0.302:0.302) (0.389:0.389:0.389)) - (IOPATH B Y (0.129:0.129:0.129) (0.154:0.154:0.154)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _385_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.220:0.220:0.220) (0.324:0.324:0.324)) - (IOPATH B Y (0.180:0.180:0.180) (0.194:0.194:0.194)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _386_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.227:0.227:0.227) (0.330:0.330:0.330)) - (IOPATH B Y (0.212:0.212:0.212) (0.244:0.244:0.244)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _387_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.324:0.324:0.324) (0.434:0.434:0.434)) - (IOPATH B Y (0.130:0.130:0.130) (0.154:0.154:0.154)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _388_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.223:0.226:0.228) (0.358:0.358:0.358)) - (IOPATH B Y (0.193:0.194:0.195) (0.242:0.244:0.245)) - (IOPATH C Y (0.181:0.183:0.186) (0.248:0.248:0.248)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _389_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.167:0.169:0.171) (0.225:0.225:0.226)) - (IOPATH B Y (0.203:0.206:0.209) (0.251:0.254:0.257)) - (IOPATH C Y (0.175:0.175:0.175) (0.262:0.262:0.262)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _390_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.440:0.440:0.440) (0.508:0.508:0.508)) - (IOPATH A2 X (0.415:0.415:0.415) (0.457:0.460:0.462)) - (IOPATH B1 X (0.313:0.316:0.318) (0.432:0.440:0.447)) - (IOPATH B2 X (0.282:0.286:0.290) (0.402:0.402:0.402)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _391_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.272:0.272:0.272) (0.413:0.413:0.413)) - (IOPATH B Y (0.233:0.241:0.250) (0.210:0.248:0.285)) - (IOPATH C Y (0.240:0.240:0.240) (0.291:0.291:0.291)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _392_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.223:0.223:0.224) (0.142:0.142:0.142)) - (IOPATH A2 Y (0.231:0.267:0.304) (0.219:0.227:0.236)) - (IOPATH B1 Y (0.175:0.180:0.184) (0.141:0.150:0.158)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _393_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.300:0.300:0.300) (0.456:0.456:0.456)) - (IOPATH B Y (0.264:0.273:0.282) (0.253:0.293:0.332)) - (IOPATH C Y (0.241:0.241:0.241) (0.307:0.307:0.307)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _394_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.204:0.204:0.205) (0.131:0.131:0.131)) - (IOPATH A2 Y (0.217:0.253:0.289) (0.209:0.217:0.226)) - (IOPATH B1 Y (0.191:0.194:0.198) (0.149:0.157:0.164)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _395_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.325:0.325:0.325) (0.695:0.695:0.695)) - (IOPATH A1 X (0.245:0.247:0.248) (0.678:0.678:0.678)) - (IOPATH S X (0.453:0.453:0.454) (0.761:0.762:0.762)) - (IOPATH S X (0.307:0.307:0.308) (0.751:0.752:0.752)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _396_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.322:0.322:0.322) (0.682:0.682:0.682)) - (IOPATH A1 X (0.242:0.243:0.244) (0.667:0.667:0.668)) - (IOPATH S X (0.439:0.440:0.440) (0.742:0.743:0.744)) - (IOPATH S X (0.293:0.294:0.295) (0.732:0.733:0.733)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _397_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.423:0.423:0.423) (0.334:0.334:0.334)) - (IOPATH A2 Y (0.373:0.376:0.378) (0.310:0.310:0.310)) - (IOPATH B1 Y (0.223:0.223:0.224) (0.184:0.185:0.187)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31ai_1") - (INSTANCE _398_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.689:0.689:0.689) (0.355:0.355:0.355)) - (IOPATH A2 Y (0.580:0.580:0.580) (0.202:0.202:0.202)) - (IOPATH A3 Y (0.587:0.589:0.591) (0.321:0.321:0.321)) - (IOPATH B1 Y (0.203:0.208:0.212) (0.265:0.281:0.296)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _399_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.404:0.404:0.404) (0.319:0.319:0.319)) - (IOPATH A2 Y (0.352:0.354:0.357) (0.293:0.293:0.293)) - (IOPATH B1_N Y (0.220:0.223:0.226) (0.381:0.382:0.382)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _400_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.425:0.425:0.425) (0.682:0.682:0.682)) - (IOPATH A2 X (0.416:0.416:0.416) (0.637:0.637:0.637)) - (IOPATH A3 X (0.388:0.388:0.388) (0.547:0.550:0.552)) - (IOPATH B1 X (0.311:0.339:0.367) (0.249:0.252:0.255)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _401_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.368:0.368:0.368) (0.270:0.270:0.270)) - (IOPATH A2 Y (0.358:0.361:0.363) (0.297:0.297:0.297)) - (IOPATH B1_N Y (0.255:0.256:0.258) (0.410:0.410:0.410)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _402_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.415:0.415:0.415) (0.675:0.675:0.675)) - (IOPATH A2 X (0.365:0.365:0.365) (0.611:0.611:0.611)) - (IOPATH A3 X (0.378:0.378:0.378) (0.541:0.543:0.545)) - (IOPATH B1 X (0.302:0.331:0.359) (0.245:0.246:0.246)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_1") - (INSTANCE _403_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.508:0.508:0.508) (0.476:0.476:0.476)) - (IOPATH A2 Y (0.498:0.498:0.498) (0.452:0.452:0.452)) - (IOPATH B1 Y (0.299:0.299:0.299) (0.464:0.464:0.464)) - (IOPATH C1 Y (0.256:0.256:0.256) (0.436:0.436:0.436)) - (IOPATH D1 Y (0.186:0.189:0.192) (0.400:0.401:0.401)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _404_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.312:0.319:0.326) (0.169:0.213:0.256)) - (IOPATH A2 Y (0.267:0.267:0.267) (0.196:0.196:0.196)) - (IOPATH B1 Y (0.240:0.240:0.240) (0.142:0.142:0.142)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _405_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.265:0.265:0.265) (0.210:0.210:0.210)) - (IOPATH A2 Y (0.315:0.323:0.331) (0.164:0.202:0.240)) - (IOPATH B1 Y (0.142:0.155:0.168) (0.170:0.173:0.175)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _406_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.256:0.258:0.260) (0.677:0.677:0.677)) - (IOPATH A1 X (0.334:0.334:0.334) (0.708:0.708:0.708)) - (IOPATH S X (0.481:0.481:0.481) (0.803:0.803:0.803)) - (IOPATH S X (0.347:0.347:0.347) (0.783:0.783:0.783)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _407_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.229:0.231:0.234) (0.647:0.648:0.648)) - (IOPATH A1 X (0.325:0.325:0.325) (0.711:0.711:0.711)) - (IOPATH S X (0.459:0.459:0.459) (0.776:0.776:0.776)) - (IOPATH S X (0.326:0.326:0.326) (0.756:0.756:0.756)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor4_1") - (INSTANCE _408_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.600:0.600:0.600) (0.249:0.249:0.249)) - (IOPATH B Y (0.541:0.541:0.541) (0.184:0.184:0.184)) - (IOPATH C Y (0.455:0.455:0.455) (0.165:0.165:0.165)) - (IOPATH D Y (0.353:0.353:0.353) (0.126:0.126:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _409_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.380:0.380:0.380) (0.638:0.638:0.638)) - (IOPATH A2 X (0.314:0.314:0.314) (0.561:0.561:0.561)) - (IOPATH A3 X (0.274:0.274:0.274) (0.463:0.463:0.463)) - (IOPATH B1 X (0.272:0.272:0.272) (0.206:0.206:0.206)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _410_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.309:0.310:0.312) (0.509:0.521:0.534)) - (IOPATH B X (0.170:0.172:0.173) (0.467:0.472:0.476)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _412__9) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.118:0.118:0.118) (0.100:0.100:0.100)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_ext_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (1.241:1.241:1.241) (1.593:1.593:1.593)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _414__6) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.070:0.070:0.070) (0.058:0.058:0.058)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _266__7) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.066:0.066:0.066) (0.054:0.054:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _416__3) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.068:0.068:0.068) (0.055:0.055:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _268__4) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.070:0.070:0.070) (0.058:0.058:0.058)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _417_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.050:1.050:1.050) (0.685:0.685:0.685)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.253:0.253:0.253)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.216:-0.216:-0.216)) - (HOLD (posedge D) (posedge CLK) (-0.078:-0.078:-0.078)) - (HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.013)) - (SETUP (posedge D) (posedge CLK) (0.131:0.131:0.131)) - (SETUP (negedge D) (posedge CLK) (0.155:0.155:0.155)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _418_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.056:1.056:1.056) (0.690:0.690:0.690)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.262:0.262:0.262)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.225:-0.225:-0.225)) - (HOLD (posedge D) (posedge CLK) (-0.078:-0.078:-0.078)) - (HOLD (negedge D) (posedge CLK) (-0.014:-0.014:-0.014)) - (SETUP (posedge D) (posedge CLK) (0.131:0.131:0.131)) - (SETUP (negedge D) (posedge CLK) (0.156:0.156:0.156)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _419_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.066:1.066:1.066) (0.701:0.701:0.701)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.271:0.271:0.271)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.235:-0.235:-0.235)) - (HOLD (posedge D) (posedge CLK) (-0.056:-0.056:-0.056)) - (HOLD (negedge D) (posedge CLK) (0.005:0.005:0.005)) - (SETUP (posedge D) (posedge CLK) (0.107:0.107:0.107)) - (SETUP (negedge D) (posedge CLK) (0.133:0.133:0.133)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _420_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.804:0.804:0.804) (0.876:0.876:0.876)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.728:0.728:0.728)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.401:-0.401:-0.401)) - (HOLD (posedge D) (posedge CLK) (-0.079:-0.079:-0.079)) - (HOLD (negedge D) (posedge CLK) (-0.091:-0.091:-0.091)) - (SETUP (posedge D) (posedge CLK) (0.135:0.135:0.135)) - (SETUP (negedge D) (posedge CLK) (0.270:0.270:0.270)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _421_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.716:0.716:0.716) (0.803:0.803:0.803)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.773:0.773:0.773)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.356:-0.356:-0.356)) - (HOLD (posedge D) (posedge CLK) (-0.112:-0.112:-0.112)) - (HOLD (negedge D) (posedge CLK) (-0.132:-0.132:-0.132)) - (SETUP (posedge D) (posedge CLK) (0.172:0.172:0.172)) - (SETUP (negedge D) (posedge CLK) (0.316:0.316:0.316)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _422_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.201:1.201:1.201) (0.798:0.798:0.798)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.274:0.274:0.274)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.237:-0.237:-0.237)) - (HOLD (posedge D) (posedge CLK) (-0.079:-0.087:-0.096)) - (HOLD (negedge D) (posedge CLK) (-0.002:-0.006:-0.010)) - (SETUP (posedge D) (posedge CLK) (0.132:0.142:0.151)) - (SETUP (negedge D) (posedge CLK) (0.144:0.149:0.154)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _423_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.687:0.687:0.687) (0.779:0.779:0.779)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.758:0.758:0.758)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.374:-0.374:-0.374)) - (HOLD (posedge D) (posedge CLK) (-0.089:-0.089:-0.089)) - (HOLD (negedge D) (posedge CLK) (-0.097:-0.097:-0.097)) - (SETUP (posedge D) (posedge CLK) (0.147:0.147:0.147)) - (SETUP (negedge D) (posedge CLK) (0.276:0.276:0.276)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _424_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.689:0.689:0.689) (0.622:0.622:0.622)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.121:-0.121:-0.121)) - (HOLD (negedge D) (posedge CLK) (-0.162:-0.162:-0.162)) - (SETUP (posedge D) (posedge CLK) (0.184:0.184:0.184)) - (SETUP (negedge D) (posedge CLK) (0.332:0.332:0.332)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _425_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.718:0.718:0.718) (0.641:0.641:0.641)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.122:-0.122:-0.122)) - (HOLD (negedge D) (posedge CLK) (-0.155:-0.155:-0.155)) - (SETUP (posedge D) (posedge CLK) (0.184:0.184:0.184)) - (SETUP (negedge D) (posedge CLK) (0.324:0.324:0.324)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _426_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.701:0.701:0.701) (0.629:0.629:0.629)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.115:-0.115:-0.115)) - (HOLD (negedge D) (posedge CLK) (-0.148:-0.148:-0.148)) - (SETUP (posedge D) (posedge CLK) (0.177:0.177:0.177)) - (SETUP (negedge D) (posedge CLK) (0.316:0.316:0.316)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _427_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.179:1.179:1.179) (0.784:0.784:0.784)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.289:0.289:0.289)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.253:-0.253:-0.253)) - (HOLD (posedge D) (posedge CLK) (-0.065:-0.067:-0.070)) - (HOLD (negedge D) (posedge CLK) (-0.003:-0.003:-0.004)) - (SETUP (posedge D) (posedge CLK) (0.117:0.119:0.122)) - (SETUP (negedge D) (posedge CLK) (0.144:0.146:0.147)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _428_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.714:0.714:0.714) (0.799:0.799:0.799)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.728:0.728:0.728)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.401:-0.401:-0.401)) - (HOLD (posedge D) (posedge CLK) (-0.079:-0.080:-0.080)) - (HOLD (negedge D) (posedge CLK) (-0.103:-0.103:-0.103)) - (SETUP (posedge D) (posedge CLK) (0.136:0.136:0.136)) - (SETUP (negedge D) (posedge CLK) (0.284:0.284:0.284)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _429_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (1.017:1.017:1.017) (0.866:0.866:0.866)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.964:0.964:0.964)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.538:-0.538:-0.538)) - (HOLD (posedge D) (negedge CLK_N) (0.142:0.138:0.135)) - (HOLD (negedge D) (negedge CLK_N) (-0.245:-0.247:-0.249)) - (SETUP (posedge D) (negedge CLK_N) (-0.047:-0.042:-0.038)) - (SETUP (negedge D) (negedge CLK_N) (0.372:0.374:0.377)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _430_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.247:1.247:1.247) (0.814:0.814:0.814)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.262:0.262:0.262)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.226:-0.226:-0.226)) - (HOLD (posedge D) (posedge CLK) (-0.095:-0.099:-0.104)) - (HOLD (negedge D) (posedge CLK) (-0.023:-0.025:-0.026)) - (SETUP (posedge D) (posedge CLK) (0.149:0.154:0.159)) - (SETUP (negedge D) (posedge CLK) (0.168:0.169:0.171)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _431_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.886:0.886:0.886) (0.769:0.769:0.769)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.947:0.947:0.947)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.551:-0.551:-0.551)) - (HOLD (posedge D) (negedge CLK_N) (0.137:0.133:0.129)) - (HOLD (negedge D) (negedge CLK_N) (-0.249:-0.251:-0.253)) - (SETUP (posedge D) (negedge CLK_N) (-0.042:-0.036:-0.031)) - (SETUP (negedge D) (negedge CLK_N) (0.377:0.379:0.381)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _432_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.220:1.220:1.220) (0.794:0.794:0.794)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.263:0.263:0.263)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.227:-0.227:-0.227)) - (HOLD (posedge D) (posedge CLK) (-0.093:-0.097:-0.102)) - (HOLD (negedge D) (posedge CLK) (-0.019:-0.023:-0.027)) - (SETUP (posedge D) (posedge CLK) (0.147:0.152:0.157)) - (SETUP (negedge D) (posedge CLK) (0.163:0.168:0.172)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _433_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.950:0.950:0.950) (0.821:0.821:0.821)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.964:0.964:0.964)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.538:-0.538:-0.538)) - (HOLD (posedge D) (negedge CLK_N) (0.157:0.156:0.155)) - (HOLD (negedge D) (negedge CLK_N) (-0.253:-0.254:-0.254)) - (SETUP (posedge D) (negedge CLK_N) (-0.066:-0.065:-0.064)) - (SETUP (negedge D) (negedge CLK_N) (0.381:0.381:0.381)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _434_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.242:1.242:1.242) (0.762:0.762:0.762)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.264:0.264:0.264)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.222:-0.222:-0.222)) - (HOLD (posedge D) (posedge CLK) (-0.071:-0.071:-0.072)) - (HOLD (negedge D) (posedge CLK) (-0.019:-0.019:-0.019)) - (SETUP (posedge D) (posedge CLK) (0.129:0.130:0.131)) - (SETUP (negedge D) (posedge CLK) (0.179:0.179:0.180)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _435_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.961:0.961:0.961) (0.823:0.823:0.823)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.964:0.964:0.964)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.538:-0.538:-0.538)) - (HOLD (posedge D) (negedge CLK_N) (0.155:0.154:0.154)) - (HOLD (negedge D) (negedge CLK_N) (-0.255:-0.256:-0.256)) - (SETUP (posedge D) (negedge CLK_N) (-0.064:-0.063:-0.062)) - (SETUP (negedge D) (negedge CLK_N) (0.383:0.383:0.384)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _436_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.877:0.877:0.877) (0.958:0.958:0.958)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.728:0.728:0.728)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.372:-0.372:-0.372)) - (HOLD (posedge D) (posedge CLK) (-0.074:-0.099:-0.124)) - (HOLD (negedge D) (posedge CLK) (-0.085:-0.090:-0.095)) - (SETUP (posedge D) (posedge CLK) (0.135:0.163:0.191)) - (SETUP (negedge D) (posedge CLK) (0.272:0.277:0.283)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _437_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.351:1.351:1.351) (0.892:0.892:0.892)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.275:0.275:0.275)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.238:-0.238:-0.238)) - (HOLD (posedge D) (posedge CLK) (-0.071:-0.071:-0.072)) - (HOLD (negedge D) (posedge CLK) (0.000:-0.003:-0.006)) - (SETUP (posedge D) (posedge CLK) (0.123:0.124:0.125)) - (SETUP (negedge D) (posedge CLK) (0.141:0.145:0.149)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _438_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.885:0.885:0.885) (0.929:0.929:0.929)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.765:0.765:0.765)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.357:-0.357:-0.357)) - (HOLD (posedge D) (posedge CLK) (-0.079:-0.080:-0.081)) - (HOLD (negedge D) (posedge CLK) (-0.090:-0.096:-0.102)) - (SETUP (posedge D) (posedge CLK) (0.136:0.137:0.137)) - (SETUP (negedge D) (posedge CLK) (0.269:0.275:0.282)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _439_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.322:1.322:1.322) (0.825:0.825:0.825)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.289:0.289:0.289)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.247:-0.247:-0.247)) - (HOLD (posedge D) (posedge CLK) (-0.063:-0.063:-0.064)) - (HOLD (negedge D) (posedge CLK) (-0.003:-0.003:-0.003)) - (SETUP (posedge D) (posedge CLK) (0.120:0.121:0.122)) - (SETUP (negedge D) (posedge CLK) (0.163:0.163:0.163)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _440_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.866:0.866:0.866) (0.918:0.918:0.918)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.746:0.746:0.746)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.389:-0.389:-0.389)) - (HOLD (posedge D) (posedge CLK) (-0.075:-0.076:-0.077)) - (HOLD (negedge D) (posedge CLK) (-0.108:-0.108:-0.108)) - (SETUP (posedge D) (posedge CLK) (0.131:0.132:0.133)) - (SETUP (negedge D) (posedge CLK) (0.289:0.289:0.289)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _441_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.767:0.767:0.767) (0.844:0.844:0.844)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.746:0.746:0.746)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.389:-0.389:-0.389)) - (HOLD (posedge D) (posedge CLK) (-0.077:-0.077:-0.077)) - (HOLD (negedge D) (posedge CLK) (-0.105:-0.105:-0.105)) - (SETUP (posedge D) (posedge CLK) (0.133:0.133:0.134)) - (SETUP (negedge D) (posedge CLK) (0.285:0.285:0.285)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _442_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.631:0.631:0.631) (0.725:0.725:0.725)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.715:0.715:0.715)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.387:-0.387:-0.387)) - (HOLD (posedge D) (posedge CLK) (-0.131:-0.131:-0.131)) - (HOLD (negedge D) (posedge CLK) (-0.157:-0.157:-0.157)) - (SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193)) - (SETUP (negedge D) (posedge CLK) (0.344:0.344:0.344)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _443_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.060:1.060:1.060) (0.696:0.696:0.696)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.276:0.276:0.276)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.241:-0.241:-0.241)) - (HOLD (posedge D) (posedge CLK) (-0.109:-0.109:-0.109)) - (HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (SETUP (posedge D) (posedge CLK) (0.166:0.166:0.166)) - (SETUP (negedge D) (posedge CLK) (0.188:0.188:0.188)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _444_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.627:0.627:0.627) (0.722:0.722:0.722)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.714:0.714:0.714)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.386:-0.386:-0.386)) - (HOLD (posedge D) (posedge CLK) (-0.126:-0.126:-0.126)) - (HOLD (negedge D) (posedge CLK) (-0.153:-0.153:-0.153)) - (SETUP (posedge D) (posedge CLK) (0.187:0.187:0.187)) - (SETUP (negedge D) (posedge CLK) (0.339:0.339:0.339)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _445_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.643:0.643:0.643) (0.735:0.735:0.735)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.715:0.715:0.715)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.387:-0.387:-0.387)) - (HOLD (posedge D) (posedge CLK) (-0.080:-0.080:-0.080)) - (HOLD (negedge D) (posedge CLK) (-0.101:-0.101:-0.101)) - (SETUP (posedge D) (posedge CLK) (0.137:0.137:0.137)) - (SETUP (negedge D) (posedge CLK) (0.281:0.281:0.281)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _446_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.305:1.305:1.305) (0.854:0.854:0.854)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.276:0.276:0.276)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.240:-0.240:-0.240)) - (HOLD (posedge D) (posedge CLK) (-0.072:-0.072:-0.072)) - (HOLD (negedge D) (posedge CLK) (-0.006:-0.006:-0.006)) - (SETUP (posedge D) (posedge CLK) (0.125:0.125:0.125)) - (SETUP (negedge D) (posedge CLK) (0.147:0.147:0.147)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _447_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.802:0.802:0.802) (0.866:0.866:0.866)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.715:0.715:0.715)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.387:-0.387:-0.387)) - (HOLD (posedge D) (posedge CLK) (-0.080:-0.080:-0.080)) - (HOLD (negedge D) (posedge CLK) (-0.101:-0.101:-0.101)) - (SETUP (posedge D) (posedge CLK) (0.136:0.136:0.136)) - (SETUP (negedge D) (posedge CLK) (0.281:0.281:0.281)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _448_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.717:0.717:0.717) (0.643:0.643:0.643)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.071:-0.071:-0.072)) - (HOLD (negedge D) (posedge CLK) (-0.117:-0.117:-0.118)) - (SETUP (posedge D) (posedge CLK) (0.127:0.128:0.129)) - (SETUP (negedge D) (posedge CLK) (0.282:0.282:0.283)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _449_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.684:0.684:0.684) (0.619:0.619:0.619)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.116:-0.116:-0.116)) - (HOLD (negedge D) (posedge CLK) (-0.156:-0.156:-0.156)) - (SETUP (posedge D) (posedge CLK) (0.178:0.178:0.178)) - (SETUP (negedge D) (posedge CLK) (0.325:0.325:0.325)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _450_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.705:0.705:0.705) (0.632:0.632:0.632)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.161:-0.161:-0.161)) - (HOLD (negedge D) (posedge CLK) (-0.200:-0.200:-0.200)) - (SETUP (posedge D) (posedge CLK) (0.228:0.228:0.228)) - (SETUP (negedge D) (posedge CLK) (0.373:0.373:0.373)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _451_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.699:0.699:0.699) (0.629:0.629:0.629)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.105:-0.105:-0.105)) - (HOLD (negedge D) (posedge CLK) (-0.138:-0.138:-0.138)) - (SETUP (posedge D) (posedge CLK) (0.166:0.166:0.166)) - (SETUP (negedge D) (posedge CLK) (0.305:0.305:0.305)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _452_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.197:1.197:1.197) (0.797:0.797:0.797)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.274:0.274:0.274)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.237:-0.237:-0.237)) - (HOLD (posedge D) (posedge CLK) (-0.062:-0.064:-0.066)) - (HOLD (negedge D) (posedge CLK) (0.002:0.000:-0.002)) - (SETUP (posedge D) (posedge CLK) (0.114:0.116:0.118)) - (SETUP (negedge D) (posedge CLK) (0.139:0.141:0.144)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _453_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.776:0.776:0.776) (0.853:0.853:0.853)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.771:0.771:0.771)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.353:-0.353:-0.353)) - (HOLD (posedge D) (posedge CLK) (-0.080:-0.080:-0.080)) - (HOLD (negedge D) (posedge CLK) (-0.103:-0.103:-0.103)) - (SETUP (posedge D) (posedge CLK) (0.136:0.136:0.137)) - (SETUP (negedge D) (posedge CLK) (0.283:0.283:0.284)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _454_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (1.032:1.032:1.032) (0.877:0.877:0.877)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.973:0.973:0.973)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.520:-0.520:-0.520)) - (HOLD (posedge D) (negedge CLK_N) (0.135:0.130:0.125)) - (HOLD (negedge D) (negedge CLK_N) (-0.254:-0.255:-0.256)) - (SETUP (posedge D) (negedge CLK_N) (-0.040:-0.033:-0.027)) - (SETUP (negedge D) (negedge CLK_N) (0.381:0.383:0.384)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _455_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.284:1.284:1.284) (0.838:0.838:0.838)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.258:0.258:0.258)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.221:-0.221:-0.221)) - (HOLD (posedge D) (posedge CLK) (-0.089:-0.093:-0.097)) - (HOLD (negedge D) (posedge CLK) (-0.020:-0.021:-0.022)) - (SETUP (posedge D) (posedge CLK) (0.143:0.148:0.152)) - (SETUP (negedge D) (posedge CLK) (0.164:0.165:0.166)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _456_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.956:0.956:0.956) (0.823:0.823:0.823)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.973:0.973:0.973)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.520:-0.520:-0.520)) - (HOLD (posedge D) (negedge CLK_N) (0.137:0.133:0.129)) - (HOLD (negedge D) (negedge CLK_N) (-0.250:-0.252:-0.253)) - (SETUP (posedge D) (negedge CLK_N) (-0.042:-0.036:-0.031)) - (SETUP (negedge D) (negedge CLK_N) (0.378:0.379:0.380)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _457_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.179:1.179:1.179) (0.775:0.775:0.775)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.255:0.255:0.255)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.217:-0.217:-0.217)) - (HOLD (posedge D) (posedge CLK) (-0.088:-0.092:-0.096)) - (HOLD (negedge D) (posedge CLK) (-0.015:-0.020:-0.025)) - (SETUP (posedge D) (posedge CLK) (0.142:0.146:0.151)) - (SETUP (negedge D) (posedge CLK) (0.157:0.164:0.170)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _458_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.950:0.950:0.950) (0.819:0.819:0.819)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.973:0.973:0.973)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.520:-0.520:-0.520)) - (HOLD (posedge D) (negedge CLK_N) (0.150:0.150:0.149)) - (HOLD (negedge D) (negedge CLK_N) (-0.259:-0.260:-0.260)) - (SETUP (posedge D) (negedge CLK_N) (-0.059:-0.058:-0.057)) - (SETUP (negedge D) (negedge CLK_N) (0.387:0.388:0.388)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _459_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.264:1.264:1.264) (0.774:0.774:0.774)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.259:0.259:0.259)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.216:-0.216:-0.216)) - (HOLD (posedge D) (posedge CLK) (-0.070:-0.071:-0.072)) - (HOLD (negedge D) (posedge CLK) (-0.019:-0.019:-0.019)) - (SETUP (posedge D) (posedge CLK) (0.129:0.129:0.130)) - (SETUP (negedge D) (posedge CLK) (0.179:0.179:0.179)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _460_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.974:0.974:0.974) (0.831:0.831:0.831)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.973:0.973:0.973)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.520:-0.520:-0.520)) - (HOLD (posedge D) (negedge CLK_N) (0.153:0.152:0.151)) - (HOLD (negedge D) (negedge CLK_N) (-0.255:-0.255:-0.256)) - (SETUP (posedge D) (negedge CLK_N) (-0.062:-0.061:-0.060)) - (SETUP (negedge D) (negedge CLK_N) (0.383:0.383:0.383)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _461_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.951:0.951:0.951) (1.107:1.107:1.107)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.772:0.772:0.772)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.302:-0.302:-0.302)) - (HOLD (posedge D) (posedge CLK) (-0.095:-0.139:-0.184)) - (HOLD (negedge D) (posedge CLK) (-0.117:-0.123:-0.129)) - (SETUP (posedge D) (posedge CLK) (0.155:0.204:0.254)) - (SETUP (negedge D) (posedge CLK) (0.304:0.311:0.317)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _462_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.371:1.371:1.371) (0.904:0.904:0.904)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.278:0.278:0.278)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.241:-0.241:-0.241)) - (HOLD (posedge D) (posedge CLK) (-0.076:-0.076:-0.077)) - (HOLD (negedge D) (posedge CLK) (-0.003:-0.006:-0.009)) - (SETUP (posedge D) (posedge CLK) (0.129:0.130:0.130)) - (SETUP (negedge D) (posedge CLK) (0.145:0.149:0.153)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _463_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.856:0.856:0.856) (0.907:0.907:0.907)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.768:0.768:0.768)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.350:-0.350:-0.350)) - (HOLD (posedge D) (posedge CLK) (-0.082:-0.082:-0.083)) - (HOLD (negedge D) (posedge CLK) (-0.092:-0.098:-0.104)) - (SETUP (posedge D) (posedge CLK) (0.139:0.139:0.140)) - (SETUP (negedge D) (posedge CLK) (0.271:0.278:0.284)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _464_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.211:1.211:1.211) (0.804:0.804:0.804)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.289:0.289:0.289)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.253:-0.253:-0.253)) - (HOLD (posedge D) (posedge CLK) (-0.080:-0.087:-0.093)) - (HOLD (negedge D) (posedge CLK) (-0.002:-0.005:-0.008)) - (SETUP (posedge D) (posedge CLK) (0.134:0.141:0.148)) - (SETUP (negedge D) (posedge CLK) (0.144:0.148:0.151)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _465_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.314:1.314:1.314) (0.818:0.818:0.818)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.276:0.276:0.276)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.233:-0.233:-0.233)) - (HOLD (posedge D) (posedge CLK) (-0.063:-0.064:-0.064)) - (HOLD (negedge D) (posedge CLK) (-0.005:-0.005:-0.005)) - (SETUP (posedge D) (posedge CLK) (0.121:0.122:0.122)) - (SETUP (negedge D) (posedge CLK) (0.164:0.164:0.165)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _466_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.839:0.839:0.839) (0.897:0.897:0.897)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.771:0.771:0.771)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.353:-0.353:-0.353)) - (HOLD (posedge D) (posedge CLK) (-0.072:-0.073:-0.074)) - (HOLD (negedge D) (posedge CLK) (-0.103:-0.104:-0.104)) - (SETUP (posedge D) (posedge CLK) (0.128:0.129:0.130)) - (SETUP (negedge D) (posedge CLK) (0.284:0.284:0.284)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _467_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.744:0.744:0.744) (0.823:0.823:0.823)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.766:0.766:0.766)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.358:-0.358:-0.358)) - (HOLD (posedge D) (posedge CLK) (-0.073:-0.074:-0.074)) - (HOLD (negedge D) (posedge CLK) (-0.102:-0.102:-0.102)) - (SETUP (posedge D) (posedge CLK) (0.129:0.130:0.130)) - (SETUP (negedge D) (posedge CLK) (0.282:0.282:0.282)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _468_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.635:0.635:0.635) (0.730:0.730:0.730)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.752:0.752:0.752)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.342:-0.342:-0.342)) - (HOLD (posedge D) (posedge CLK) (-0.101:-0.101:-0.101)) - (HOLD (negedge D) (posedge CLK) (-0.128:-0.128:-0.128)) - (SETUP (posedge D) (posedge CLK) (0.159:0.159:0.159)) - (SETUP (negedge D) (posedge CLK) (0.311:0.311:0.311)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _469_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.057:1.057:1.057) (0.693:0.693:0.693)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.258:0.258:0.258)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.221:-0.221:-0.221)) - (HOLD (posedge D) (posedge CLK) (-0.110:-0.110:-0.110)) - (HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (SETUP (posedge D) (posedge CLK) (0.167:0.167:0.167)) - (SETUP (negedge D) (posedge CLK) (0.190:0.190:0.190)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _470_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.630:0.630:0.630) (0.724:0.724:0.724)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.752:0.752:0.752)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.342:-0.342:-0.342)) - (HOLD (posedge D) (posedge CLK) (-0.106:-0.106:-0.106)) - (HOLD (negedge D) (posedge CLK) (-0.134:-0.134:-0.134)) - (SETUP (posedge D) (posedge CLK) (0.165:0.165:0.165)) - (SETUP (negedge D) (posedge CLK) (0.318:0.318:0.318)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _471_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.750:0.750:0.750) (0.828:0.828:0.828)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.752:0.752:0.752)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.342:-0.342:-0.342)) - (HOLD (posedge D) (posedge CLK) (-0.082:-0.082:-0.082)) - (HOLD (negedge D) (posedge CLK) (-0.103:-0.103:-0.103)) - (SETUP (posedge D) (posedge CLK) (0.138:0.138:0.138)) - (SETUP (negedge D) (posedge CLK) (0.283:0.283:0.283)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _472_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.299:1.299:1.299) (0.850:0.850:0.850)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.258:0.258:0.258)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.221:-0.221:-0.221)) - (HOLD (posedge D) (posedge CLK) (-0.074:-0.074:-0.074)) - (HOLD (negedge D) (posedge CLK) (-0.008:-0.008:-0.008)) - (SETUP (posedge D) (posedge CLK) (0.126:0.126:0.126)) - (SETUP (negedge D) (posedge CLK) (0.149:0.149:0.149)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _473_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.772:0.772:0.772) (0.845:0.845:0.845)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.752:0.752:0.752)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.342:-0.342:-0.342)) - (HOLD (posedge D) (posedge CLK) (-0.080:-0.080:-0.080)) - (HOLD (negedge D) (posedge CLK) (-0.101:-0.101:-0.101)) - (SETUP (posedge D) (posedge CLK) (0.137:0.137:0.137)) - (SETUP (negedge D) (posedge CLK) (0.281:0.281:0.281)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _271__1) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.070:0.070:0.070) (0.057:0.057:0.057)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout18) - (DELAY - (ABSOLUTE - (IOPATH A X (0.561:0.561:0.561) (0.465:0.465:0.465)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout17) - (DELAY - (ABSOLUTE - (IOPATH A X (0.494:0.494:0.494) (0.437:0.437:0.437)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE fanout16) - (DELAY - (ABSOLUTE - (IOPATH A X (0.410:0.410:0.410) (0.372:0.372:0.372)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout15) - (DELAY - (ABSOLUTE - (IOPATH A X (0.585:0.585:0.585) (0.508:0.508:0.508)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout14) - (DELAY - (ABSOLUTE - (IOPATH A X (0.681:0.681:0.681) (0.555:0.555:0.555)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout13) - (DELAY - (ABSOLUTE - (IOPATH A X (0.594:0.594:0.594) (0.513:0.513:0.513)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE user_clk_out_buffer) - (DELAY - (ABSOLUTE - (IOPATH A X (0.460:0.461:0.462) (0.448:0.449:0.449)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output11) - (DELAY - (ABSOLUTE - (IOPATH A X (0.549:0.550:0.550) (0.368:0.371:0.375)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input9) - (DELAY - (ABSOLUTE - (IOPATH A X (0.968:0.968:0.968) (1.087:1.087:1.087)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input8) - (DELAY - (ABSOLUTE - (IOPATH A X (0.950:0.950:0.950) (1.072:1.072:1.072)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input7) - (DELAY - (ABSOLUTE - (IOPATH A X (0.988:0.988:0.988) (1.101:1.101:1.101)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input6) - (DELAY - (ABSOLUTE - (IOPATH A X (0.872:0.872:0.872) (1.008:1.008:1.008)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input5) - (DELAY - (ABSOLUTE - (IOPATH A X (0.948:0.948:0.948) (1.070:1.070:1.070)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input4) - (DELAY - (ABSOLUTE - (IOPATH A X (0.839:0.839:0.839) (0.981:0.981:0.981)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input3) - (DELAY - (ABSOLUTE - (IOPATH A X (0.915:0.915:0.915) (1.038:1.038:1.038)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input2) - (DELAY - (ABSOLUTE - (IOPATH A X (0.927:0.927:0.927) (1.053:1.053:1.053)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.911:0.911:0.911) (1.037:1.037:1.037)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout19) - (DELAY - (ABSOLUTE - (IOPATH A X (0.504:0.504:0.504) (0.453:0.453:0.453)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE fanout20) - (DELAY - (ABSOLUTE - (IOPATH A X (0.367:0.367:0.367) (0.342:0.342:0.342)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout21) - (DELAY - (ABSOLUTE - (IOPATH A X (0.566:0.566:0.566) (0.492:0.492:0.492)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout22) - (DELAY - (ABSOLUTE - (IOPATH A X (0.572:0.572:0.572) (0.498:0.498:0.498)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout23) - (DELAY - (ABSOLUTE - (IOPATH A X (0.452:0.452:0.452) (0.404:0.404:0.404)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout24) - (DELAY - (ABSOLUTE - (IOPATH A X (0.422:0.422:0.422) (0.388:0.388:0.388)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout25) - (DELAY - (ABSOLUTE - (IOPATH A X (0.453:0.453:0.453) (0.411:0.411:0.411)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE fanout26) - (DELAY - (ABSOLUTE - (IOPATH A X (0.471:0.471:0.471) (0.431:0.431:0.431)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout27) - (DELAY - (ABSOLUTE - (IOPATH A X (0.487:0.487:0.487) (0.447:0.447:0.447)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout28) - (DELAY - (ABSOLUTE - (IOPATH A X (0.575:0.575:0.575) (0.493:0.493:0.493)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout29) - (DELAY - (ABSOLUTE - (IOPATH A X (0.542:0.542:0.542) (0.481:0.481:0.481)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_ext_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.245:0.245:0.245) (0.281:0.281:0.281)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_ext_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.258:0.258:0.258) (0.292:0.292:0.292)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0__037_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.322:0.323:0.323) (0.344:0.344:0.345)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f__037_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.202:0.202:0.202) (0.234:0.234:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f__037_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.201:0.201:0.201) (0.233:0.233:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_net10) - (DELAY - (ABSOLUTE - (IOPATH A X (0.261:0.262:0.263) (0.301:0.301:0.302)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_net10) - (DELAY - (ABSOLUTE - (IOPATH A X (0.429:0.429:0.429) (0.402:0.402:0.402)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_net10) - (DELAY - (ABSOLUTE - (IOPATH A X (0.228:0.228:0.228) (0.256:0.256:0.256)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_pll_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (1.245:1.245:1.245) (1.597:1.597:1.597)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_pll_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.319:0.319:0.319) (0.339:0.339:0.339)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_pll_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.308:0.308:0.308) (0.331:0.331:0.331)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_divider\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.269:0.269:0.269) (0.278:0.279:0.280)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_divider\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.216:0.216:0.216) (0.245:0.245:0.245)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_divider\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.212:0.212:0.212) (0.242:0.242:0.242)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_pll_clk90) - (DELAY - (ABSOLUTE - (IOPATH A X (1.247:1.247:1.247) (1.598:1.598:1.598)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_pll_clk90) - (DELAY - (ABSOLUTE - (IOPATH A X (0.305:0.305:0.305) (0.330:0.330:0.330)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_pll_clk90) - (DELAY - (ABSOLUTE - (IOPATH A X (0.311:0.311:0.311) (0.334:0.334:0.334)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_divider2\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.244:0.244:0.245) (0.262:0.263:0.264)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_divider2\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.206:0.206:0.206) (0.237:0.237:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_divider2\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.213:0.213:0.213) (0.243:0.243:0.243)) - ) - ) - ) -) diff --git a/sdf/caravel_clocking/max/caravel_clocking.tt.sdf b/sdf/caravel_clocking/max/caravel_clocking.tt.sdf deleted file mode 100644 index 01ff75cf..00000000 --- a/sdf/caravel_clocking/max/caravel_clocking.tt.sdf +++ /dev/null @@ -1,4640 +0,0 @@ -(DELAYFILE - (SDFVERSION "3.0") - (DESIGN "caravel_clocking") - (DATE "Thu Oct 13 17:51:14 2022") - (VENDOR "Parallax") - (PROGRAM "STA") - (VERSION "2.3.1") - (DIVIDER .) - (VOLTAGE 1.600::1.600) - (PROCESS "1.000::1.000") - (TEMPERATURE 100.000::100.000) - (TIMESCALE 1ns) - (CELL - (CELLTYPE "caravel_clocking") - (INSTANCE) - (DELAY - (ABSOLUTE - (INTERCONNECT ext_clk clkbuf_0_ext_clk.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_clk ANTENNA_clkbuf_0_ext_clk_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_clk_sel input1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_clk_sel ANTENNA_input1_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ext_reset input2.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_reset ANTENNA_input2_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT pll_clk clkbuf_0_pll_clk.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT pll_clk ANTENNA_clkbuf_0_pll_clk_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT pll_clk90 clkbuf_0_pll_clk90.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT pll_clk90 ANTENNA_clkbuf_0_pll_clk90_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT resetb input3.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT resetb ANTENNA_input3_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[0] input4.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[0] ANTENNA_input4_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[1] input5.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[1] ANTENNA_input5_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT sel2[2] input6.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[2] ANTENNA_input6_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[0] input7.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[0] ANTENNA_input7_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT sel[1] input8.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[1] ANTENNA_input8_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT sel[2] input9.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[2] ANTENNA_input9_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _206_.X _286_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _206_.X _367_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _206_.X _368_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _207_.X _273_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _207_.X _395_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _207_.X _396_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _208_.X _309_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _209_.X clkbuf_0__037_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _210_.X clkbuf_0_net10.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _211_.X _313_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _212_.X user_clk_out_buffer.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _213_.X _367_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _214_.X _286_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _215_.X _368_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _216_.X _217_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _217_.X _267_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _218_.X _219_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _219_.X _252_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _220_.X _221_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _221_.X _269_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _222_.X _223_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _223_.X _369_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _224_.X _225_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _225_.X _371_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _226_.X _227_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _227_.X _373_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _228_.X _375_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _229_.X _376_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _230_.X _395_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _231_.X _273_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _232_.X _396_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _233_.X _234_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _234_.X _270_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _235_.X _236_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _236_.X _249_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _237_.X _238_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _238_.X _272_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _239_.X _240_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _240_.X _397_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _241_.X _242_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _242_.X _399_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _243_.X _244_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _244_.X _401_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _245_.X _406_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _246_.X _407_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _247_.Y _277_.D1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _247_.Y _283_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _248_.Y _281_.A4 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _248_.Y _282_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _249_.Y _285_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _250_.Y _290_.D1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _250_.Y _296_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _251_.Y _294_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _251_.Y _295_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _252_.Y _298_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _253_.Y _228_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _254_.Y _213_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _254_.Y _323_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _255_.Y _290_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _255_.Y _296_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _256_.Y _216_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _222_.A0 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _303_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _257_.Y _370_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _258_.Y _245_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _259_.Y _277_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _259_.Y _283_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _260_.Y _230_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _260_.Y _339_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _261_.Y _233_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _262_.Y _239_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _262_.Y _308_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _262_.Y _398_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _263_.Y _420_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _264_.Y _354_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _265_.Y _382_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _411__8.Y _418_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _267_.Y _364_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _413__5.Y _432_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _269_.Y _366_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _270_.Y _392_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _415__2.Y _457_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _272_.Y _394_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _273_.X _459_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _274_.Y _280_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _274_.Y _281_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _274_.Y _339_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _275_.Y _211_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _276_.X _281_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _276_.X _283_.A1 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _276_.X _306_.B (0.021:0.021:0.021) (0.019:0.019:0.019)) - (INTERCONNECT _276_.X _316_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _276_.X _388_.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _276_.X _390_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _276_.X _397_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _276_.X _398_.A3 (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT _276_.X _399_.A2 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _276_.X _400_.A3 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT _276_.X _401_.A2 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT _276_.X _402_.A3 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _276_.X ANTENNA__402__A3.DIODE (0.021:0.021:0.021) (0.019:0.020:0.020)) - (INTERCONNECT _276_.X ANTENNA__401__A2.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT _276_.X ANTENNA__400__A3.DIODE (0.020:0.020:0.020) (0.018:0.018:0.018)) - (INTERCONNECT _276_.X ANTENNA__399__A2.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT _276_.X ANTENNA__398__A3.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _276_.X ANTENNA__397__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _276_.X ANTENNA__390__A2.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _276_.X ANTENNA__388__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _276_.X ANTENNA__316__A2.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT _276_.X ANTENNA__306__B.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT _276_.X ANTENNA__283__A1.DIODE (0.021:0.021:0.021) (0.019:0.020:0.020)) - (INTERCONNECT _276_.X ANTENNA__281__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _277_.Y _278_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _277_.Y _284_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _277_.Y _391_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _277_.Y _393_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _278_.Y _207_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _279_.Y _233_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _279_.Y _235_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _279_.Y _237_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _279_.Y _280_.D1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _279_.Y _281_.A3 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _280_.X _282_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _281_.Y _282_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _282_.Y _457_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _283_.Y _285_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _283_.Y _392_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _283_.Y _394_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _284_.Y _285_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _285_.Y _455_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _286_.X _434_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _287_.Y _293_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _287_.Y _294_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _287_.Y _323_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _288_.Y _208_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _289_.X _294_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _289_.X _296_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _289_.X _301_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _289_.X _312_.A2 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _289_.X _361_.B1 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _289_.X _362_.A2 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _289_.X _369_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _289_.X _370_.A3 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _289_.X _371_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _289_.X _372_.A3 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _289_.X _373_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _289_.X _374_.A3 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _290_.Y _291_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _290_.Y _297_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _290_.Y _363_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _290_.Y _365_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _291_.Y _206_.S (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _292_.Y _216_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _292_.Y _218_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _292_.Y _220_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _292_.Y _293_.D1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _292_.Y _294_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _293_.X _295_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _294_.Y _295_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _295_.Y _432_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.Y _298_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _296_.Y _364_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _296_.Y _366_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _297_.Y _298_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _298_.Y _430_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _299_.Y _300_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _299_.Y _354_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _228_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _229_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _300_.Y _353_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _301_.X _206_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _302_.Y _403_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _303_.Y _222_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _303_.Y _224_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _303_.Y _226_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _304_.Y _305_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.Y _382_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _305_.Y _245_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _305_.Y _246_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _305_.Y _381_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _306_.X _207_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _307_.Y _350_.D1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _308_.Y _239_.S (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _241_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _308_.Y _243_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _309_.X _312_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _310_.Y _312_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _311_.X _312_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _312_.X clkbuf_0_divider\.out.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _313_.X _316_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _314_.Y _316_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _315_.X _316_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _316_.X clkbuf_0_divider2\.out.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _317_.Y _213_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _318_.Y _214_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _319_.Y _321_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _320_.X _215_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _320_.X _321_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _321_.Y _214_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _322_.X _323_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _323_.X _215_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _324_.Y _218_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _325_.X _327_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _326_.Y _327_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _327_.Y _220_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _328_.Y _224_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _329_.X _331_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _330_.Y _331_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _331_.Y _226_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _332_.Y _229_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _333_.Y _230_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _334_.Y _231_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _335_.Y _337_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _336_.X _232_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _336_.X _337_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _337_.Y _231_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _338_.X _339_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _339_.X _232_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _340_.Y _235_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _341_.X _343_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _342_.Y _343_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _343_.Y _237_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _344_.Y _241_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _345_.X _347_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _346_.Y _347_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _347_.Y _243_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _348_.Y _246_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _349_.Y output11.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _350_.Y _351_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _350_.Y _352_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _351_.Y _352_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _352_.Y _422_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _353_.Y _355_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _354_.Y _355_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _355_.Y _427_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _356_.Y _358_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _357_.Y _358_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _358_.Y _362_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _359_.Y _361_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _360_.X _361_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _361_.Y _362_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _362_.X _428_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _363_.Y _364_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _364_.Y _429_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _365_.Y _366_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _366_.Y _431_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _367_.X _433_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _368_.X _435_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _369_.Y _370_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _370_.Y _436_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _371_.Y _372_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _372_.X _437_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _373_.Y _374_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _374_.X _438_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _375_.X _439_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _376_.X _440_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _377_.Y _379_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _378_.X _379_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _379_.X _441_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _380_.X _448_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _381_.Y _383_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _382_.Y _383_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _383_.Y _452_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _384_.Y _389_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _385_.Y _388_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _386_.Y _389_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _387_.Y _388_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _388_.Y _390_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _389_.Y _390_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _390_.X _453_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _391_.Y _392_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _392_.Y _454_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _393_.Y _394_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _394_.Y _456_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _395_.X _458_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _396_.X _460_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _397_.Y _398_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _398_.Y _461_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _399_.Y _400_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _400_.X _462_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _401_.Y _402_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _402_.X _463_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.Y _404_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.Y _405_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _404_.Y _405_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _405_.Y _464_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _406_.X _465_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _407_.X _466_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _408_.Y _410_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _409_.X _410_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _410_.X _467_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _412__9.Y _419_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_ext_clk.X clkbuf_1_0__f_ext_clk.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_ext_clk.X clkbuf_1_1__f_ext_clk.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _414__6.Y _434_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _266__7.Y _417_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _416__3.Y _459_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _268__4.Y _430_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _417_.Q _349_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _418_.Q _417_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _419_.Q _418_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _420_.Q _209_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _420_.Q _421_.D (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _421_.Q _210_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _421_.Q _212_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _422_.Q _314_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.Q _315_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.Q _351_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _422_.Q _352_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _423_.Q _209_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _424_.Q _358_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Q _356_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _425_.Q _357_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _426_.Q _359_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _426_.Q _360_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _427_.Q _208_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _427_.Q _264_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _427_.Q _353_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _428_.Q _362_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _428_.Q fanout24.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.Q _256_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _429_.Q _292_.C_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.Q _324_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _429_.Q _325_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.Q _326_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _429_.Q _363_.C (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _430_.Q _292_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _430_.Q _297_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _430_.Q _324_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _430_.Q _325_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _430_.Q _326_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _431_.Q _292_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _431_.Q _325_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _431_.Q _326_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _431_.Q _365_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _432_.Q _251_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _432_.Q _310_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _432_.Q _311_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _433_.Q _254_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _433_.Q _318_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _433_.Q _322_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _433_.Q _367_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.Q _250_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _434_.Q _286_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.Q _287_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _434_.Q _318_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _434_.Q _322_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _435_.Q _255_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _435_.Q _287_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _435_.Q _322_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _435_.Q _368_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _436_.Q _257_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _436_.Q _328_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _436_.Q _329_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _436_.Q _330_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _436_.Q _403_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _437_.Q _302_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _437_.Q _303_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _437_.Q _328_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _437_.Q _329_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _437_.Q _330_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _437_.Q _372_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _438_.Q _302_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.Q _303_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _438_.Q _329_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.Q _330_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.Q _374_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _439_.Q _253_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _439_.Q _300_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _439_.Q _332_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _439_.Q _354_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _439_.Q _375_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _439_.Q _377_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _439_.Q _378_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _440_.Q _299_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _440_.Q _332_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _440_.Q _376_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _440_.Q _377_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _440_.Q _378_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _441_.Q _299_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _441_.Q _377_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _441_.Q _378_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _442_.Q _445_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _443_.Q _446_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _444_.Q _447_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _445_.Q fanout20.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _446_.Q _224_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _446_.Q _403_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _446_.Q _288_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _446_.Q _289_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _446_.Q fanout18.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _447_.Q _403_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _447_.Q _359_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _447_.Q _226_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _447_.Q fanout17.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _448_.Q _380_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _448_.Q _423_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _449_.Q _389_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _450_.Q _384_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _450_.Q _386_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _451_.Q _385_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _451_.Q _387_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _452_.Q _211_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _452_.Q _265_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _452_.Q _381_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _453_.Q _281_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _453_.Q fanout22.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _454_.Q _261_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _454_.Q _279_.C_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _454_.Q _340_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _454_.Q _341_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _454_.Q _342_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _454_.Q _391_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _455_.Q _279_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _455_.Q _284_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _455_.Q _340_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _455_.Q _341_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _455_.Q _342_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _456_.Q _279_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _456_.Q _341_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _456_.Q _342_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _456_.Q _393_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _457_.Q _248_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _457_.Q _314_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _457_.Q _315_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _458_.Q _260_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _458_.Q _334_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _458_.Q _338_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _458_.Q _395_.A0 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _247_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _459_.Q _273_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _459_.Q _274_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _459_.Q _334_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _459_.Q _338_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _460_.Q _259_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _274_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _338_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _396_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _262_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _461_.Q _344_.B (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _461_.Q _345_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _461_.Q _346_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _461_.Q _350_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _462_.Q _307_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _462_.Q _308_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _462_.Q _344_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _462_.Q _345_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _462_.Q _346_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _400_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _463_.Q _307_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _463_.Q _308_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _463_.Q _345_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _463_.Q _346_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _463_.Q _402_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _464_.Q _310_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _464_.Q _311_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _464_.Q _404_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _464_.Q _405_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _258_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _305_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _348_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _465_.Q _382_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _406_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _408_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _409_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _466_.Q _304_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _466_.Q _348_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _466_.Q _407_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _466_.Q _408_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _466_.Q _409_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _467_.Q _304_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _467_.Q _408_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _467_.Q _409_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _468_.Q _471_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _469_.Q _472_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _470_.Q _473_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _471_.Q fanout15.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _471_.Q fanout16.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _472_.Q _384_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _472_.Q _276_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _472_.Q _350_.A2 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _472_.Q _386_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _472_.Q fanout14.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _473_.Q _451_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _473_.Q _385_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _473_.Q _350_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _473_.Q fanout13.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271__1.Y _455_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout18.X _218_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout18.X _219_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout18.X _290_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout18.X _293_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout18.X _317_.A (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout18.X _319_.B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout18.X _228_.A0 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout18.X _320_.A1 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout18.X _356_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout18.X _357_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout18.X _425_.D (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout18.X _225_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout17.X _289_.A1 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout17.X _221_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout17.X _220_.A1 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout17.X _290_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout17.X _293_.A1 (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout17.X _319_.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout17.X _320_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout17.X _229_.A0 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout17.X _288_.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout17.X _426_.D (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout17.X _360_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout17.X _227_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout16.X _382_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout16.X _406_.S (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT fanout16.X _407_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout16.X _449_.D (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout16.X _350_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout16.X _239_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout16.X _240_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout15.X _280_.B1 (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT fanout15.X _336_.A2 (0.029:0.029:0.029) (0.027:0.027:0.027)) - (INTERCONNECT fanout15.X _234_.A1 (0.026:0.026:0.026) (0.024:0.024:0.024)) - (INTERCONNECT fanout15.X _333_.B (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT fanout15.X _335_.C (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT fanout15.X _233_.A1 (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT fanout15.X _277_.B1 (0.027:0.027:0.027) (0.025:0.025:0.025)) - (INTERCONNECT fanout15.X _276_.B1 (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT fanout15.X _313_.A_N (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout15.X _381_.A1 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT fanout15.X _408_.A (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT fanout15.X _409_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout15.X ANTENNA__409__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout15.X ANTENNA__408__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout15.X ANTENNA__381__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout15.X ANTENNA__313__A_N.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout15.X ANTENNA__276__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout15.X ANTENNA__277__B1.DIODE (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT fanout15.X ANTENNA__233__A1.DIODE (0.026:0.026:0.026) (0.024:0.024:0.024)) - (INTERCONNECT fanout15.X ANTENNA__335__C.DIODE (0.028:0.028:0.028) (0.026:0.026:0.026)) - (INTERCONNECT fanout15.X ANTENNA__333__B.DIODE (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT fanout15.X ANTENNA__234__A1.DIODE (0.026:0.026:0.026) (0.024:0.024:0.024)) - (INTERCONNECT fanout15.X ANTENNA__336__A2.DIODE (0.029:0.029:0.029) (0.027:0.027:0.027)) - (INTERCONNECT fanout15.X ANTENNA__280__B1.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT fanout14.X _236_.A1 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout14.X _242_.A1 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT fanout14.X _333_.A (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT fanout14.X _335_.B (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT fanout14.X _235_.A1 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout14.X _241_.A1 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT fanout14.X _277_.A2 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT fanout14.X _280_.A2 (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT fanout14.X _336_.A1 (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT fanout14.X _450_.D (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout14.X _275_.B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout14.X _245_.A0 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout14.X ANTENNA__245__A0.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout14.X ANTENNA__275__B.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout14.X ANTENNA__450__D.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout14.X ANTENNA__336__A1.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT fanout14.X ANTENNA__280__A2.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT fanout14.X ANTENNA__277__A2.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT fanout14.X ANTENNA__241__A1.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT fanout14.X ANTENNA__235__A1.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT fanout14.X ANTENNA__335__B.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT fanout14.X ANTENNA__333__A.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT fanout14.X ANTENNA__242__A1.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT fanout14.X ANTENNA__236__A1.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT fanout13.X _238_.A1 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT fanout13.X _243_.A1 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT fanout13.X _244_.A1 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout13.X _237_.A1 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT fanout13.X _335_.A (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT fanout13.X _336_.B1 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT fanout13.X _277_.A1 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout13.X _280_.A1 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout13.X _276_.A1 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT fanout13.X _275_.A (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT fanout13.X _246_.A0 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT fanout13.X _387_.A_N (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT fanout13.X ANTENNA__387__A_N.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT fanout13.X ANTENNA__246__A0.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout13.X ANTENNA__275__A.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT fanout13.X ANTENNA__276__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout13.X ANTENNA__280__A1.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout13.X ANTENNA__277__A1.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout13.X ANTENNA__336__B1.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT fanout13.X ANTENNA__335__A.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT fanout13.X ANTENNA__237__A1.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout13.X ANTENNA__244__A1.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout13.X ANTENNA__243__A1.DIODE (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT fanout13.X ANTENNA__238__A1.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT user_clk_out_buffer.X user_clk (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT output11.X resetb_sync (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT input9.X _444_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input8.X _443_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input7.X _442_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input6.X _470_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input5.X _469_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input4.X _468_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input3.X fanout25.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input3.X fanout26.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input3.X fanout29.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input2.X _349_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input1.X _263_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _375_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout19.X _376_.S (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout19.X _377_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout19.X _378_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout19.X _317_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout19.X _320_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout19.X _290_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout19.X _319_.C (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout19.X _217_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout19.X _354_.A_N (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout19.X _353_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout19.X _293_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout20.X fanout19.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout20.X _216_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout20.X _424_.D (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout20.X _403_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout20.X _309_.A_N (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout20.X _289_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout20.X _223_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout20.X _222_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout21.X _230_.S (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT fanout21.X _238_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout21.X _283_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout21.X _284_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout21.X _391_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout21.X _393_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout21.X _306_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout21.X _207_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout21.X _232_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout21.X _231_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout21.X _401_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout21.X _244_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout22.X fanout21.A (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT fanout22.X _234_.S (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT fanout22.X _242_.S (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT fanout22.X _399_.A1 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout22.X _236_.S (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT fanout22.X _400_.A1 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout22.X _397_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout22.X _398_.A1 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout22.X _390_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout22.X _351_.B1 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT fanout22.X _240_.S (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT fanout22.X _402_.A1 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT fanout22.X ANTENNA__402__A1.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT fanout22.X ANTENNA__240__S.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout22.X ANTENNA__351__B1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT fanout22.X ANTENNA__390__A1.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout22.X ANTENNA__398__A1.DIODE (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT fanout22.X ANTENNA__397__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout22.X ANTENNA__400__A1.DIODE (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT fanout22.X ANTENNA__236__S.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT fanout22.X ANTENNA__399__A1.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout22.X ANTENNA__242__S.DIODE (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT fanout22.X ANTENNA__234__S.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT fanout22.X ANTENNA_fanout21_A.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT fanout23.X _219_.S (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout23.X _215_.S (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout23.X _296_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout23.X _301_.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout23.X _214_.S (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout23.X _213_.S (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout23.X _206_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout23.X _297_.A_N (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout23.X _363_.A_N (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout23.X _365_.A_N (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout23.X _221_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout23.X _217_.S (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout24.X fanout23.A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout24.X _294_.B1 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout24.X _369_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout24.X _370_.A1 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout24.X _372_.A1 (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout24.X _374_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout24.X _404_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout24.X _223_.S (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout24.X _373_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout24.X _371_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout24.X _227_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout24.X _225_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout25.X _429_.RESET_B (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT fanout25.X _430_.SET_B (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout25.X _432_.SET_B (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT fanout25.X _433_.RESET_B (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout25.X _434_.SET_B (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout25.X _435_.RESET_B (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout25.X _439_.SET_B (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT fanout25.X _440_.RESET_B (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT fanout25.X _441_.RESET_B (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout25.X _380_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout25.X _418_.SET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout25.X _419_.SET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout26.X _423_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout26.X _454_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout26.X _455_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout26.X _456_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout26.X _458_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout26.X _459_.SET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout26.X _460_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout26.X _462_.SET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout27.X _420_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout27.X _427_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout27.X _431_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout27.X _436_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout27.X _442_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout27.X _443_.SET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout27.X _444_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout27.X _445_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout27.X _446_.SET_B (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout27.X _447_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout27.X _464_.SET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout27.X _428_.RESET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout28.X _417_.SET_B (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout28.X _421_.RESET_B (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT fanout28.X _422_.SET_B (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout28.X _453_.RESET_B (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout28.X _457_.SET_B (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT fanout28.X _461_.RESET_B (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout28.X _463_.RESET_B (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout28.X _469_.SET_B (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT fanout28.X _472_.SET_B (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout28.X _452_.SET_B (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT fanout28.X _465_.SET_B (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT fanout28.X _466_.RESET_B (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT fanout28.X ANTENNA__466__RESET_B.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT fanout28.X ANTENNA__465__SET_B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X ANTENNA__452__SET_B.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT fanout28.X ANTENNA__472__SET_B.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout28.X ANTENNA__469__SET_B.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout28.X ANTENNA__463__RESET_B.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT fanout28.X ANTENNA__461__RESET_B.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout28.X ANTENNA__457__SET_B.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT fanout28.X ANTENNA__453__RESET_B.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT fanout28.X ANTENNA__422__SET_B.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout28.X ANTENNA__421__RESET_B.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout28.X ANTENNA__417__SET_B.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout29.X fanout27.A (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout29.X _438_.RESET_B (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT fanout29.X _437_.SET_B (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT fanout29.X fanout28.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout29.X _473_.RESET_B (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout29.X _471_.RESET_B (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT fanout29.X _470_.RESET_B (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout29.X _468_.RESET_B (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT fanout29.X _467_.RESET_B (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT fanout29.X ANTENNA__467__RESET_B.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT fanout29.X ANTENNA__468__RESET_B.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT fanout29.X ANTENNA__470__RESET_B.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout29.X ANTENNA__471__RESET_B.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT fanout29.X ANTENNA__473__RESET_B.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout29.X ANTENNA_fanout28_A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout29.X ANTENNA__437__SET_B.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT fanout29.X ANTENNA__438__RESET_B.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT fanout29.X ANTENNA_fanout27_A.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _419__30.LO _419_.D (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_ext_clk.X _380_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_ext_clk.X _209_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0__037_.X clkbuf_1_0__f__037_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_0__037_.X clkbuf_1_1__f__037_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f__037_.X _210_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f__037_.X _212_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_net10.X clkbuf_1_0__f_net10.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_0_net10.X clkbuf_1_1__f_net10.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_net10.X core_clk (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT clkbuf_1_0__f_net10.X _412__9.A (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT clkbuf_1_1__f_net10.X _411__8.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_net10.X _266__7.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_0_pll_clk.X clkbuf_1_0__f_pll_clk.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_pll_clk.X clkbuf_1_1__f_pll_clk.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _414__6.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _268__4.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _448_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _441_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _440_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _439_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _435_.CLK_N (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _433_.CLK_N (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _429_.CLK_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _423_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _421_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _413__5.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _464_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _438_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _437_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _436_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _431_.CLK_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _428_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _427_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _426_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _425_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _424_.CLK (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _420_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _208_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_0_divider\.out.X clkbuf_1_0__f_divider\.out.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_divider\.out.X clkbuf_1_1__f_divider\.out.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _447_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _446_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _445_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _443_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _442_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_divider\.out.X _444_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_divider\.out.X _210_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_pll_clk90.X clkbuf_1_0__f_pll_clk90.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_pll_clk90.X clkbuf_1_1__f_pll_clk90.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _416__3.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _271__1.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _463_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _462_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _460_.CLK_N (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _458_.CLK_N (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _456_.CLK_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _454_.CLK_N (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _415__2.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _467_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _466_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _465_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _461_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _453_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _452_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _451_.CLK (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _450_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _449_.CLK (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _422_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _211_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_0_divider2\.out.X clkbuf_1_0__f_divider2\.out.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_divider2\.out.X clkbuf_1_1__f_divider2\.out.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_divider2\.out.X _472_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_divider2\.out.X _469_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider2\.out.X _212_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider2\.out.X _473_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_divider2\.out.X _471_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_divider2\.out.X _470_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_divider2\.out.X _468_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _206_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.202:0.202:0.202) (0.352:0.352:0.352)) - (IOPATH A1 X (0.236:0.236:0.236) (0.381:0.381:0.381)) - (IOPATH S X (0.267:0.272:0.278) (0.385:0.386:0.386)) - (IOPATH S X (0.208:0.209:0.210) (0.372:0.376:0.380)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _207_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.189:0.189:0.189) (0.342:0.342:0.342)) - (IOPATH A1 X (0.233:0.233:0.233) (0.378:0.378:0.378)) - (IOPATH S X (0.256:0.261:0.267) (0.377:0.377:0.378)) - (IOPATH S X (0.198:0.198:0.199) (0.364:0.368:0.372)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _208_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.134:0.134:0.134) (0.275:0.275:0.275)) - (IOPATH A1 X (0.119:0.119:0.119) (0.280:0.280:0.280)) - (IOPATH S X (0.191:0.193:0.196) (0.324:0.324:0.324)) - (IOPATH S X (0.144:0.144:0.144) (0.301:0.303:0.305)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _209_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.216:0.216:0.216) (0.359:0.359:0.359)) - (IOPATH A1 X (0.228:0.228:0.228) (0.376:0.376:0.376)) - (IOPATH S X (0.320:0.320:0.320) (0.423:0.423:0.423)) - (IOPATH S X (0.259:0.259:0.259) (0.411:0.411:0.411)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _210_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.156:0.156:0.156) (0.312:0.312:0.312)) - (IOPATH A1 X (0.160:0.160:0.160) (0.325:0.325:0.325)) - (IOPATH S X (0.242:0.242:0.242) (0.362:0.362:0.362)) - (IOPATH S X (0.180:0.180:0.180) (0.351:0.351:0.351)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _211_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.157:0.157:0.157) (0.299:0.299:0.299)) - (IOPATH A1 X (0.139:0.139:0.139) (0.303:0.303:0.303)) - (IOPATH S X (0.220:0.220:0.221) (0.348:0.348:0.349)) - (IOPATH S X (0.167:0.167:0.168) (0.331:0.331:0.332)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _212_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.159:0.159:0.159) (0.315:0.315:0.315)) - (IOPATH A1 X (0.162:0.162:0.162) (0.328:0.328:0.328)) - (IOPATH S X (0.245:0.245:0.245) (0.365:0.365:0.365)) - (IOPATH S X (0.183:0.183:0.183) (0.354:0.354:0.354)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _213_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.134:0.134:0.134) (0.294:0.294:0.294)) - (IOPATH A1 X (0.140:0.152:0.164) (0.305:0.308:0.310)) - (IOPATH S X (0.232:0.232:0.232) (0.352:0.352:0.352)) - (IOPATH S X (0.170:0.170:0.170) (0.342:0.342:0.342)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _214_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.125:0.137:0.149) (0.282:0.284:0.285)) - (IOPATH A1 X (0.153:0.153:0.153) (0.294:0.297:0.300)) - (IOPATH S X (0.222:0.222:0.222) (0.341:0.341:0.341)) - (IOPATH S X (0.160:0.160:0.160) (0.331:0.331:0.331)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _215_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.113:0.114:0.114) (0.271:0.272:0.272)) - (IOPATH A1 X (0.132:0.132:0.132) (0.288:0.289:0.290)) - (IOPATH S X (0.214:0.214:0.214) (0.331:0.331:0.331)) - (IOPATH S X (0.152:0.152:0.152) (0.321:0.321:0.321)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _216_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.109:0.109:0.109) (0.273:0.273:0.273)) - (IOPATH A1 X (0.159:0.159:0.159) (0.314:0.314:0.314)) - (IOPATH S X (0.197:0.202:0.206) (0.356:0.356:0.356)) - (IOPATH S X (0.196:0.196:0.196) (0.308:0.311:0.315)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _217_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.127:0.127:0.128) (0.291:0.292:0.292)) - (IOPATH A1 X (0.171:0.171:0.171) (0.324:0.324:0.324)) - (IOPATH S X (0.228:0.228:0.228) (0.347:0.347:0.347)) - (IOPATH S X (0.166:0.166:0.166) (0.336:0.336:0.336)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _218_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.120:0.130:0.140) (0.278:0.281:0.284)) - (IOPATH A1 X (0.167:0.167:0.167) (0.322:0.322:0.322)) - (IOPATH S X (0.206:0.210:0.214) (0.367:0.367:0.367)) - (IOPATH S X (0.205:0.205:0.205) (0.318:0.322:0.325)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _219_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.134:0.135:0.136) (0.298:0.299:0.299)) - (IOPATH A1 X (0.181:0.181:0.181) (0.334:0.334:0.334)) - (IOPATH S X (0.233:0.233:0.233) (0.351:0.351:0.351)) - (IOPATH S X (0.171:0.171:0.171) (0.341:0.341:0.341)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _220_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.109:0.110:0.110) (0.267:0.269:0.270)) - (IOPATH A1 X (0.155:0.155:0.155) (0.309:0.309:0.309)) - (IOPATH S X (0.199:0.203:0.208) (0.358:0.358:0.358)) - (IOPATH S X (0.198:0.198:0.198) (0.310:0.313:0.316)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _221_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.127:0.128:0.129) (0.292:0.292:0.292)) - (IOPATH A1 X (0.172:0.172:0.172) (0.325:0.325:0.325)) - (IOPATH S X (0.228:0.228:0.228) (0.347:0.347:0.347)) - (IOPATH S X (0.166:0.166:0.166) (0.336:0.336:0.336)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _222_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.109:0.109:0.109) (0.272:0.272:0.272)) - (IOPATH A1 X (0.157:0.157:0.157) (0.312:0.312:0.312)) - (IOPATH S X (0.183:0.195:0.206) (0.348:0.348:0.348)) - (IOPATH S X (0.183:0.183:0.183) (0.296:0.305:0.314)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _223_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.116:0.117:0.118) (0.283:0.283:0.283)) - (IOPATH A1 X (0.167:0.167:0.167) (0.324:0.324:0.324)) - (IOPATH S X (0.223:0.223:0.223) (0.341:0.341:0.341)) - (IOPATH S X (0.161:0.161:0.161) (0.331:0.331:0.331)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _224_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.123:0.136:0.149) (0.276:0.280:0.285)) - (IOPATH A1 X (0.175:0.175:0.175) (0.314:0.314:0.314)) - (IOPATH S X (0.187:0.199:0.211) (0.353:0.353:0.353)) - (IOPATH S X (0.187:0.187:0.187) (0.301:0.310:0.319)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _225_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.133:0.134:0.135) (0.299:0.299:0.299)) - (IOPATH A1 X (0.181:0.181:0.181) (0.335:0.335:0.335)) - (IOPATH S X (0.237:0.237:0.237) (0.356:0.356:0.356)) - (IOPATH S X (0.176:0.176:0.176) (0.346:0.346:0.346)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _226_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.111:0.112:0.112) (0.270:0.270:0.270)) - (IOPATH A1 X (0.155:0.155:0.155) (0.306:0.306:0.306)) - (IOPATH S X (0.186:0.198:0.209) (0.352:0.352:0.352)) - (IOPATH S X (0.186:0.186:0.186) (0.300:0.309:0.318)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _227_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.113:0.114:0.115) (0.279:0.279:0.279)) - (IOPATH A1 X (0.158:0.158:0.158) (0.311:0.311:0.311)) - (IOPATH S X (0.219:0.219:0.219) (0.336:0.336:0.336)) - (IOPATH S X (0.157:0.157:0.157) (0.326:0.326:0.326)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _228_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.160:0.160:0.160) (0.307:0.307:0.307)) - (IOPATH A1 X (0.114:0.114:0.114) (0.289:0.289:0.289)) - (IOPATH S X (0.217:0.219:0.220) (0.332:0.332:0.332)) - (IOPATH S X (0.150:0.150:0.151) (0.325:0.327:0.328)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _229_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.164:0.164:0.164) (0.312:0.312:0.312)) - (IOPATH A1 X (0.140:0.154:0.168) (0.301:0.305:0.308)) - (IOPATH S X (0.225:0.227:0.228) (0.341:0.341:0.342)) - (IOPATH S X (0.157:0.158:0.158) (0.334:0.336:0.337)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _230_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.123:0.123:0.123) (0.283:0.283:0.283)) - (IOPATH A1 X (0.133:0.146:0.160) (0.295:0.300:0.306)) - (IOPATH S X (0.228:0.228:0.228) (0.344:0.344:0.344)) - (IOPATH S X (0.165:0.165:0.165) (0.335:0.335:0.335)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _231_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.139:0.151:0.164) (0.294:0.296:0.298)) - (IOPATH A1 X (0.150:0.150:0.150) (0.303:0.304:0.306)) - (IOPATH S X (0.238:0.238:0.238) (0.355:0.355:0.355)) - (IOPATH S X (0.176:0.176:0.176) (0.346:0.346:0.346)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _232_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.140:0.141:0.142) (0.297:0.297:0.297)) - (IOPATH A1 X (0.162:0.162:0.162) (0.315:0.316:0.317)) - (IOPATH S X (0.242:0.242:0.242) (0.359:0.359:0.359)) - (IOPATH S X (0.179:0.179:0.179) (0.349:0.349:0.349)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _233_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.112:0.112:0.112) (0.279:0.279:0.279)) - (IOPATH A1 X (0.182:0.182:0.182) (0.341:0.341:0.341)) - (IOPATH S X (0.207:0.212:0.216) (0.366:0.366:0.366)) - (IOPATH S X (0.212:0.212:0.213) (0.316:0.319:0.323)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _234_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.181:0.182:0.184) (0.340:0.340:0.341)) - (IOPATH A1 X (0.255:0.255:0.255) (0.406:0.406:0.406)) - (IOPATH S X (0.317:0.317:0.317) (0.415:0.415:0.415)) - (IOPATH S X (0.251:0.251:0.251) (0.415:0.415:0.415)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _235_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.127:0.142:0.156) (0.278:0.282:0.287)) - (IOPATH A1 X (0.183:0.183:0.183) (0.341:0.341:0.341)) - (IOPATH S X (0.206:0.211:0.215) (0.364:0.365:0.365)) - (IOPATH S X (0.211:0.211:0.212) (0.315:0.318:0.322)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _236_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.138:0.140:0.141) (0.303:0.303:0.304)) - (IOPATH A1 X (0.214:0.214:0.214) (0.371:0.371:0.371)) - (IOPATH S X (0.275:0.275:0.275) (0.378:0.378:0.378)) - (IOPATH S X (0.209:0.209:0.209) (0.378:0.378:0.378)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _237_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.119:0.119:0.120) (0.276:0.277:0.278)) - (IOPATH A1 X (0.185:0.185:0.185) (0.343:0.343:0.343)) - (IOPATH S X (0.210:0.215:0.220) (0.370:0.370:0.370)) - (IOPATH S X (0.216:0.216:0.216) (0.320:0.323:0.327)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _238_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.135:0.136:0.137) (0.299:0.299:0.299)) - (IOPATH A1 X (0.206:0.206:0.206) (0.362:0.362:0.362)) - (IOPATH S X (0.242:0.242:0.242) (0.358:0.358:0.358)) - (IOPATH S X (0.180:0.180:0.180) (0.348:0.348:0.348)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _239_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.108:0.108:0.108) (0.270:0.270:0.270)) - (IOPATH A1 X (0.154:0.154:0.154) (0.309:0.309:0.309)) - (IOPATH S X (0.179:0.191:0.203) (0.342:0.342:0.342)) - (IOPATH S X (0.173:0.173:0.173) (0.293:0.302:0.311)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _240_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.114:0.115:0.116) (0.281:0.281:0.281)) - (IOPATH A1 X (0.162:0.162:0.162) (0.320:0.320:0.320)) - (IOPATH S X (0.251:0.251:0.251) (0.355:0.355:0.355)) - (IOPATH S X (0.185:0.185:0.185) (0.355:0.355:0.355)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _241_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.130:0.142:0.154) (0.288:0.293:0.298)) - (IOPATH A1 X (0.200:0.200:0.200) (0.360:0.360:0.360)) - (IOPATH S X (0.197:0.209:0.221) (0.363:0.363:0.363)) - (IOPATH S X (0.191:0.191:0.191) (0.314:0.323:0.332)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _242_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.124:0.125:0.126) (0.288:0.289:0.289)) - (IOPATH A1 X (0.193:0.193:0.193) (0.352:0.352:0.352)) - (IOPATH S X (0.255:0.255:0.255) (0.358:0.358:0.358)) - (IOPATH S X (0.189:0.189:0.189) (0.359:0.359:0.359)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _243_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.141:0.142:0.143) (0.299:0.300:0.301)) - (IOPATH A1 X (0.208:0.208:0.208) (0.365:0.365:0.365)) - (IOPATH S X (0.207:0.219:0.231) (0.373:0.373:0.373)) - (IOPATH S X (0.201:0.201:0.201) (0.324:0.333:0.342)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _244_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.164:0.165:0.166) (0.327:0.327:0.327)) - (IOPATH A1 X (0.227:0.227:0.227) (0.384:0.384:0.384)) - (IOPATH S X (0.262:0.262:0.262) (0.380:0.380:0.380)) - (IOPATH S X (0.200:0.200:0.200) (0.371:0.371:0.371)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _245_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.191:0.191:0.191) (0.344:0.344:0.344)) - (IOPATH A1 X (0.119:0.119:0.119) (0.295:0.295:0.295)) - (IOPATH S X (0.240:0.241:0.242) (0.346:0.346:0.347)) - (IOPATH S X (0.167:0.168:0.168) (0.347:0.347:0.348)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _246_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.183:0.183:0.183) (0.333:0.333:0.333)) - (IOPATH A1 X (0.120:0.130:0.141) (0.286:0.288:0.290)) - (IOPATH S X (0.235:0.235:0.236) (0.339:0.340:0.340)) - (IOPATH S X (0.162:0.162:0.162) (0.340:0.341:0.341)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _247_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.068:0.068:0.068) (0.086:0.086:0.086)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _248_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.074:0.074:0.074) (0.059:0.059:0.059)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _249_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.052:0.052:0.052) (0.032:0.032:0.033)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _250_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.059:0.059:0.059) (0.073:0.073:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _251_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.057:0.057:0.057) (0.062:0.062:0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _252_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.051:0.051:0.051) (0.031:0.031:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _253_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.056:0.056:0.056) (0.073:0.073:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _254_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.079:0.079:0.079) (0.055:0.055:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _255_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.079:0.079:0.079) (0.084:0.084:0.084)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _256_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.062:0.062:0.062) (0.068:0.068:0.068)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _257_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.074:0.074:0.074) (0.076:0.076:0.076)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _258_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.051:0.051:0.051) (0.066:0.066:0.066)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _259_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.089:0.089:0.089) (0.096:0.096:0.096)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _260_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.083:0.083:0.083) (0.059:0.059:0.059)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _261_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.075:0.075:0.075) (0.086:0.086:0.086)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _262_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.073:0.073:0.073) (0.072:0.072:0.072)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _263_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.106:0.106:0.106) (0.065:0.065:0.065)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _264_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.058:0.058:0.058) (0.047:0.047:0.047)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _265_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.056:0.056:0.056) (0.044:0.044:0.044)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _411__8) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.036:0.036:0.036) (0.023:0.023:0.023)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _267_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.050:0.050:0.051) (0.030:0.031:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _413__5) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.043:0.043:0.043) (0.027:0.027:0.027)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _269_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.050:0.050:0.050) (0.030:0.031:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _270_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.059:0.060:0.060) (0.037:0.037:0.037)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _415__2) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.048:0.048:0.048) (0.031:0.031:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _272_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.053:0.053:0.053) (0.032:0.033:0.033)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _273_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.151:0.151:0.151) (0.287:0.287:0.287)) - (IOPATH A1 X (0.125:0.126:0.126) (0.295:0.295:0.295)) - (IOPATH S X (0.219:0.219:0.219) (0.333:0.333:0.333)) - (IOPATH S X (0.152:0.152:0.152) (0.326:0.326:0.326)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _274_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.356:0.356:0.356) (0.144:0.144:0.144)) - (IOPATH B Y (0.360:0.360:0.360) (0.158:0.158:0.158)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _275_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.205:0.205:0.205) (0.118:0.118:0.118)) - (IOPATH B Y (0.198:0.198:0.198) (0.109:0.109:0.109)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_4") - (INSTANCE _276_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.363:0.363:0.363) (0.362:0.362:0.362)) - (IOPATH A2 X (0.341:0.341:0.341) (0.321:0.321:0.321)) - (IOPATH B1 X (0.362:0.362:0.362) (0.269:0.269:0.269)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_4") - (INSTANCE _277_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.316:0.316:0.316) (0.206:0.206:0.206)) - (IOPATH A2 Y (0.310:0.310:0.310) (0.186:0.186:0.186)) - (IOPATH B1 Y (0.200:0.200:0.200) (0.211:0.211:0.211)) - (IOPATH C1 Y (0.129:0.129:0.129) (0.155:0.155:0.155)) - (IOPATH D1 Y (0.101:0.101:0.101) (0.135:0.135:0.135)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _278_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.085:0.089:0.092) (0.044:0.052:0.061)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3b_2") - (INSTANCE _279_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.487:0.487:0.487) (0.147:0.147:0.147)) - (IOPATH B Y (0.464:0.464:0.464) (0.130:0.130:0.130)) - (IOPATH C_N Y (0.502:0.502:0.502) (0.252:0.252:0.252)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_1") - (INSTANCE _280_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.241:0.241:0.241) (0.293:0.293:0.293)) - (IOPATH A2 X (0.215:0.215:0.215) (0.287:0.287:0.287)) - (IOPATH B1 X (0.236:0.236:0.236) (0.203:0.203:0.203)) - (IOPATH C1 X (0.255:0.255:0.255) (0.157:0.159:0.161)) - (IOPATH D1 X (0.262:0.262:0.262) (0.132:0.137:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a41oi_1") - (INSTANCE _281_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.216:0.218:0.219) (0.206:0.206:0.206)) - (IOPATH A2 Y (0.251:0.253:0.254) (0.189:0.189:0.189)) - (IOPATH A3 Y (0.253:0.257:0.262) (0.216:0.216:0.216)) - (IOPATH A4 Y (0.238:0.238:0.238) (0.136:0.136:0.136)) - (IOPATH B1 Y (0.211:0.211:0.211) (0.074:0.074:0.074)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _282_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.132:0.132:0.132) (0.066:0.066:0.066)) - (IOPATH A2 Y (0.115:0.116:0.118) (0.057:0.058:0.058)) - (IOPATH B1 Y (0.080:0.101:0.123) (0.091:0.095:0.099)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31oi_2") - (INSTANCE _283_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.268:0.269:0.271) (0.200:0.200:0.200)) - (IOPATH A2 Y (0.283:0.283:0.283) (0.133:0.133:0.133)) - (IOPATH A3 Y (0.294:0.294:0.294) (0.136:0.136:0.136)) - (IOPATH B1 Y (0.277:0.277:0.277) (0.093:0.093:0.093)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _284_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.150:0.150:0.150) (0.201:0.201:0.201)) - (IOPATH B Y (0.137:0.142:0.147) (0.101:0.118:0.136)) - (IOPATH C Y (0.132:0.132:0.132) (0.130:0.130:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _285_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.117:0.117:0.117) (0.058:0.058:0.058)) - (IOPATH A2 Y (0.125:0.140:0.155) (0.085:0.089:0.092)) - (IOPATH B1 Y (0.085:0.089:0.094) (0.065:0.068:0.072)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _286_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.147:0.147:0.147) (0.285:0.285:0.285)) - (IOPATH A1 X (0.123:0.123:0.124) (0.295:0.295:0.295)) - (IOPATH S X (0.224:0.224:0.225) (0.336:0.337:0.337)) - (IOPATH S X (0.157:0.157:0.157) (0.331:0.331:0.331)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _287_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.240:0.240:0.240) (0.107:0.107:0.107)) - (IOPATH B Y (0.243:0.243:0.243) (0.118:0.118:0.118)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _288_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.171:0.171:0.171) (0.092:0.092:0.092)) - (IOPATH B Y (0.160:0.160:0.160) (0.097:0.097:0.097)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_4") - (INSTANCE _289_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.260:0.260:0.260) (0.302:0.302:0.302)) - (IOPATH A2 X (0.264:0.264:0.264) (0.288:0.288:0.288)) - (IOPATH B1 X (0.263:0.263:0.263) (0.212:0.212:0.212)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_4") - (INSTANCE _290_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.285:0.285:0.285) (0.186:0.186:0.186)) - (IOPATH A2 Y (0.274:0.274:0.274) (0.168:0.168:0.168)) - (IOPATH B1 Y (0.159:0.159:0.159) (0.184:0.184:0.184)) - (IOPATH C1 Y (0.121:0.121:0.121) (0.149:0.149:0.149)) - (IOPATH D1 Y (0.093:0.093:0.093) (0.128:0.128:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _291_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.085:0.088:0.091) (0.045:0.054:0.063)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3b_2") - (INSTANCE _292_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.402:0.402:0.402) (0.123:0.123:0.123)) - (IOPATH B Y (0.373:0.373:0.373) (0.100:0.100:0.100)) - (IOPATH C_N Y (0.417:0.417:0.417) (0.234:0.234:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_1") - (INSTANCE _293_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.225:0.225:0.225) (0.271:0.271:0.271)) - (IOPATH A2 X (0.202:0.202:0.202) (0.262:0.262:0.262)) - (IOPATH B1 X (0.216:0.216:0.216) (0.170:0.170:0.170)) - (IOPATH C1 X (0.234:0.234:0.234) (0.143:0.146:0.149)) - (IOPATH D1 X (0.250:0.250:0.250) (0.127:0.132:0.136)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a41oi_1") - (INSTANCE _294_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.164:0.167:0.170) (0.151:0.151:0.151)) - (IOPATH A2 Y (0.194:0.196:0.198) (0.136:0.136:0.136)) - (IOPATH A3 Y (0.209:0.213:0.217) (0.174:0.174:0.174)) - (IOPATH A4 Y (0.203:0.203:0.203) (0.110:0.110:0.110)) - (IOPATH B1 Y (0.185:0.185:0.185) (0.066:0.066:0.066)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _295_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.143:0.143:0.143) (0.065:0.065:0.065)) - (IOPATH A2 Y (0.128:0.130:0.131) (0.063:0.063:0.064)) - (IOPATH B1 Y (0.089:0.101:0.114) (0.087:0.092:0.097)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31oi_2") - (INSTANCE _296_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.215:0.217:0.218) (0.147:0.147:0.147)) - (IOPATH A2 Y (0.245:0.245:0.245) (0.114:0.114:0.114)) - (IOPATH A3 Y (0.256:0.256:0.256) (0.118:0.118:0.118)) - (IOPATH B1 Y (0.239:0.239:0.239) (0.077:0.077:0.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _297_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.132:0.132:0.132) (0.181:0.181:0.181)) - (IOPATH B Y (0.119:0.123:0.128) (0.087:0.102:0.118)) - (IOPATH C Y (0.112:0.112:0.112) (0.110:0.110:0.110)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _298_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.136:0.136:0.136) (0.066:0.066:0.066)) - (IOPATH A2 Y (0.139:0.150:0.160) (0.090:0.094:0.098)) - (IOPATH B1 Y (0.092:0.096:0.101) (0.069:0.072:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _299_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.178:0.178:0.178) (0.099:0.099:0.099)) - (IOPATH B Y (0.147:0.147:0.147) (0.075:0.075:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _300_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.137:0.137:0.137) (0.136:0.136:0.136)) - (IOPATH B Y (0.131:0.135:0.139) (0.130:0.130:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _301_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.130:0.130:0.130) (0.248:0.248:0.248)) - (IOPATH B X (0.131:0.131:0.131) (0.223:0.224:0.226)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _302_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.177:0.177:0.177) (0.112:0.112:0.112)) - (IOPATH B Y (0.161:0.161:0.161) (0.093:0.093:0.093)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_2") - (INSTANCE _303_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.346:0.346:0.346) (0.127:0.127:0.127)) - (IOPATH B Y (0.324:0.324:0.324) (0.113:0.113:0.113)) - (IOPATH C Y (0.251:0.251:0.251) (0.055:0.055:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _304_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.181:0.181:0.181) (0.097:0.097:0.097)) - (IOPATH B Y (0.150:0.150:0.150) (0.072:0.072:0.072)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _305_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.171:0.171:0.171) (0.165:0.165:0.165)) - (IOPATH B Y (0.164:0.168:0.172) (0.162:0.162:0.162)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _306_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.129:0.129:0.129) (0.246:0.246:0.246)) - (IOPATH B X (0.148:0.148:0.148) (0.237:0.239:0.240)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _307_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.209:0.209:0.209) (0.128:0.128:0.128)) - (IOPATH B Y (0.186:0.186:0.186) (0.100:0.100:0.100)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_2") - (INSTANCE _308_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.310:0.310:0.310) (0.119:0.119:0.119)) - (IOPATH B Y (0.281:0.281:0.281) (0.098:0.098:0.098)) - (IOPATH C Y (0.210:0.210:0.210) (0.049:0.049:0.049)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_2") - (INSTANCE _309_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.250:0.250:0.250) (0.248:0.248:0.248)) - (IOPATH B X (0.138:0.139:0.139) (0.196:0.196:0.196)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _310_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.080:0.080:0.080) (0.079:0.079:0.079)) - (IOPATH B Y (0.081:0.081:0.081) (0.076:0.076:0.076)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _311_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.146:0.146:0.146) (0.253:0.253:0.253)) - (IOPATH B X (0.135:0.135:0.135) (0.229:0.229:0.229)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_2") - (INSTANCE _312_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.187:0.187:0.187) (0.233:0.233:0.233)) - (IOPATH A2 X (0.000:0.000:0.000)) - (IOPATH A3 X (0.194:0.195:0.195) (0.272:0.275:0.278)) - (IOPATH B1 X (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_2") - (INSTANCE _313_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.263:0.263:0.263) (0.255:0.255:0.255)) - (IOPATH B X (0.134:0.134:0.134) (0.193:0.193:0.193)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _314_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.082:0.082:0.082) (0.082:0.082:0.082)) - (IOPATH B Y (0.087:0.087:0.087) (0.082:0.082:0.082)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _315_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.147:0.147:0.147) (0.255:0.255:0.255)) - (IOPATH B X (0.143:0.143:0.143) (0.235:0.235:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_2") - (INSTANCE _316_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.168:0.168:0.168) (0.219:0.219:0.219)) - (IOPATH A2 X (0.000:0.000:0.000)) - (IOPATH A3 X (0.174:0.174:0.175) (0.258:0.259:0.261)) - (IOPATH B1 X (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _317_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.146:0.146:0.146) (0.160:0.160:0.160)) - (IOPATH A Y (0.190:0.190:0.190) (0.103:0.103:0.103)) - (IOPATH B Y (0.143:0.143:0.143) (0.150:0.150:0.150)) - (IOPATH B Y (0.166:0.166:0.166) (0.089:0.089:0.089)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _318_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.131:0.131:0.131) (0.128:0.128:0.128)) - (IOPATH A Y (0.157:0.157:0.157) (0.088:0.088:0.088)) - (IOPATH B Y (0.141:0.141:0.141) (0.148:0.148:0.148)) - (IOPATH B Y (0.158:0.158:0.158) (0.088:0.088:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _319_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.227:0.227:0.227) (0.088:0.088:0.088)) - (IOPATH B Y (0.217:0.217:0.217) (0.084:0.084:0.084)) - (IOPATH C Y (0.176:0.176:0.176) (0.073:0.073:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _320_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.192:0.192:0.192) (0.237:0.237:0.237)) - (IOPATH A2 X (0.169:0.169:0.169) (0.217:0.217:0.217)) - (IOPATH B1 X (0.184:0.184:0.184) (0.156:0.156:0.156)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _321_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.141:0.142:0.143) (0.089:0.089:0.089)) - (IOPATH B Y (0.127:0.128:0.129) (0.061:0.062:0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _322_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.139:0.139:0.139) (0.184:0.184:0.184)) - (IOPATH A2 X (0.133:0.133:0.133) (0.188:0.188:0.188)) - (IOPATH B1 X (0.149:0.149:0.149) (0.128:0.128:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _323_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.106:0.106:0.106) (0.154:0.154:0.154)) - (IOPATH A2 X (0.163:0.163:0.163) (0.188:0.191:0.193)) - (IOPATH B1 X (0.081:0.081:0.081) (0.148:0.149:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _324_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.136:0.136:0.136) (0.142:0.142:0.142)) - (IOPATH A Y (0.158:0.158:0.158) (0.094:0.094:0.094)) - (IOPATH B Y (0.142:0.142:0.142) (0.151:0.151:0.151)) - (IOPATH B Y (0.152:0.152:0.152) (0.087:0.087:0.087)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _325_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.150:0.150:0.150) (0.390:0.390:0.390)) - (IOPATH B X (0.153:0.153:0.153) (0.377:0.377:0.377)) - (IOPATH C X (0.125:0.125:0.125) (0.320:0.320:0.320)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _326_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.164:0.164:0.164) (0.108:0.108:0.108)) - (IOPATH A2 Y (0.163:0.163:0.163) (0.097:0.097:0.097)) - (IOPATH B1 Y (0.097:0.097:0.097) (0.089:0.089:0.089)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _327_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.057:0.057:0.057) (0.038:0.038:0.039)) - (IOPATH B Y (0.061:0.062:0.063) (0.052:0.055:0.059)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _328_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.150:0.150:0.150) (0.157:0.157:0.157)) - (IOPATH A Y (0.199:0.199:0.199) (0.106:0.106:0.106)) - (IOPATH B Y (0.170:0.170:0.170) (0.168:0.168:0.168)) - (IOPATH B Y (0.195:0.195:0.195) (0.118:0.118:0.118)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _329_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.166:0.166:0.166) (0.421:0.421:0.421)) - (IOPATH B X (0.188:0.188:0.188) (0.410:0.410:0.410)) - (IOPATH C X (0.174:0.174:0.174) (0.370:0.370:0.370)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _330_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.161:0.161:0.161) (0.098:0.098:0.098)) - (IOPATH A2 Y (0.162:0.162:0.162) (0.101:0.101:0.101)) - (IOPATH B1 Y (0.117:0.117:0.117) (0.109:0.109:0.109)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _331_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.070:0.070:0.071) (0.050:0.050:0.051)) - (IOPATH B Y (0.064:0.068:0.072) (0.057:0.060:0.063)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _332_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.169:0.169:0.169) (0.174:0.174:0.174)) - (IOPATH A Y (0.228:0.228:0.228) (0.125:0.125:0.125)) - (IOPATH B Y (0.162:0.162:0.162) (0.150:0.150:0.150)) - (IOPATH B Y (0.191:0.191:0.191) (0.106:0.106:0.106)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _333_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.173:0.173:0.173) (0.198:0.198:0.198)) - (IOPATH A Y (0.237:0.237:0.237) (0.133:0.133:0.133)) - (IOPATH B Y (0.173:0.173:0.173) (0.188:0.188:0.188)) - (IOPATH B Y (0.219:0.219:0.219) (0.120:0.120:0.120)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _334_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.143:0.143:0.143) (0.139:0.139:0.139)) - (IOPATH A Y (0.178:0.178:0.178) (0.099:0.099:0.099)) - (IOPATH B Y (0.151:0.151:0.151) (0.155:0.155:0.155)) - (IOPATH B Y (0.177:0.177:0.177) (0.097:0.097:0.097)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _335_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.244:0.244:0.244) (0.107:0.107:0.107)) - (IOPATH B Y (0.238:0.238:0.238) (0.097:0.097:0.097)) - (IOPATH C Y (0.201:0.201:0.201) (0.087:0.087:0.087)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _336_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.231:0.231:0.231) (0.274:0.274:0.274)) - (IOPATH A2 X (0.207:0.207:0.207) (0.263:0.263:0.263)) - (IOPATH B1 X (0.225:0.225:0.225) (0.196:0.196:0.196)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _337_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.117:0.118:0.119) (0.074:0.074:0.075)) - (IOPATH B Y (0.101:0.101:0.102) (0.056:0.056:0.056)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _338_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.148:0.148:0.148) (0.192:0.192:0.192)) - (IOPATH A2 X (0.139:0.139:0.139) (0.194:0.194:0.194)) - (IOPATH B1 X (0.158:0.158:0.158) (0.136:0.136:0.136)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _339_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.120:0.120:0.120) (0.165:0.165:0.165)) - (IOPATH A2 X (0.197:0.197:0.197) (0.213:0.215:0.217)) - (IOPATH B1 X (0.095:0.096:0.096) (0.159:0.160:0.160)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _340_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.180:0.180:0.180) (0.178:0.178:0.178)) - (IOPATH A Y (0.238:0.238:0.238) (0.137:0.137:0.137)) - (IOPATH B Y (0.184:0.184:0.184) (0.182:0.182:0.182)) - (IOPATH B Y (0.229:0.229:0.229) (0.131:0.131:0.131)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _341_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.159:0.159:0.159) (0.396:0.396:0.396)) - (IOPATH B X (0.158:0.158:0.158) (0.382:0.382:0.382)) - (IOPATH C X (0.140:0.140:0.140) (0.333:0.333:0.333)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _342_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.164:0.164:0.164) (0.112:0.112:0.112)) - (IOPATH A2 Y (0.162:0.162:0.162) (0.096:0.096:0.096)) - (IOPATH B1 Y (0.108:0.108:0.108) (0.099:0.099:0.099)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _343_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.075:0.075:0.075) (0.053:0.053:0.053)) - (IOPATH B Y (0.078:0.080:0.082) (0.068:0.072:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _344_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.158:0.158:0.158) (0.167:0.167:0.167)) - (IOPATH A Y (0.191:0.191:0.191) (0.118:0.118:0.118)) - (IOPATH B Y (0.132:0.132:0.132) (0.142:0.142:0.142)) - (IOPATH B Y (0.153:0.153:0.153) (0.079:0.079:0.079)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _345_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.181:0.181:0.181) (0.418:0.418:0.418)) - (IOPATH B X (0.140:0.140:0.140) (0.379:0.379:0.379)) - (IOPATH C X (0.154:0.154:0.154) (0.349:0.349:0.349)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _346_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.173:0.173:0.173) (0.120:0.120:0.120)) - (IOPATH A2 Y (0.142:0.142:0.142) (0.076:0.076:0.076)) - (IOPATH B1 Y (0.111:0.111:0.111) (0.102:0.102:0.102)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _347_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.080:0.080:0.080) (0.059:0.059:0.059)) - (IOPATH B Y (0.072:0.078:0.085) (0.069:0.073:0.076)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _348_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.136:0.136:0.136) (0.147:0.147:0.147)) - (IOPATH A Y (0.167:0.167:0.167) (0.093:0.093:0.093)) - (IOPATH B Y (0.132:0.132:0.132) (0.128:0.128:0.128)) - (IOPATH B Y (0.134:0.134:0.134) (0.078:0.078:0.078)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _349_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.296:0.296:0.296) (0.119:0.119:0.119)) - (IOPATH B Y (0.239:0.239:0.239) (0.077:0.077:0.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_1") - (INSTANCE _350_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.377:0.377:0.377) (0.244:0.244:0.244)) - (IOPATH A2 Y (0.373:0.373:0.373) (0.237:0.237:0.237)) - (IOPATH B1 Y (0.230:0.230:0.230) (0.245:0.245:0.245)) - (IOPATH C1 Y (0.203:0.203:0.203) (0.228:0.228:0.228)) - (IOPATH D1 Y (0.168:0.172:0.176) (0.233:0.233:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _351_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.187:0.191:0.195) (0.095:0.108:0.121)) - (IOPATH A2 Y (0.157:0.157:0.157) (0.088:0.088:0.088)) - (IOPATH B1 Y (0.172:0.172:0.172) (0.077:0.077:0.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _352_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.145:0.145:0.145) (0.090:0.090:0.090)) - (IOPATH A2 Y (0.182:0.186:0.191) (0.084:0.094:0.104)) - (IOPATH B1 Y (0.078:0.088:0.098) (0.079:0.082:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _353_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.156:0.156:0.156) (0.096:0.096:0.096)) - (IOPATH A2 Y (0.140:0.141:0.143) (0.075:0.075:0.076)) - (IOPATH B1 Y (0.082:0.082:0.082) (0.083:0.083:0.083)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4b_1") - (INSTANCE _354_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.147:0.147:0.147) (0.206:0.206:0.206)) - (IOPATH B Y (0.108:0.108:0.108) (0.124:0.124:0.124)) - (IOPATH C Y (0.104:0.108:0.113) (0.125:0.125:0.125)) - (IOPATH D Y (0.095:0.095:0.095) (0.105:0.105:0.105)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _355_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.060:0.063:0.066) (0.060:0.063:0.067)) - (IOPATH B Y (0.087:0.089:0.091) (0.060:0.063:0.066)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _356_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.116:0.116:0.116) (0.143:0.143:0.143)) - (IOPATH B Y (0.105:0.105:0.105) (0.083:0.083:0.083)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _357_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.144:0.144:0.144) (0.182:0.182:0.182)) - (IOPATH B Y (0.082:0.082:0.082) (0.075:0.075:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _358_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.072:0.074:0.076) (0.069:0.071:0.073)) - (IOPATH B Y (0.087:0.088:0.088) (0.081:0.081:0.081)) - (IOPATH C Y (0.085:0.085:0.085) (0.088:0.088:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _359_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.137:0.137:0.137) (0.077:0.077:0.077)) - (IOPATH B Y (0.100:0.100:0.100) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _360_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.157:0.157:0.157) (0.167:0.167:0.167)) - (IOPATH B X (0.136:0.136:0.136) (0.156:0.156:0.156)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _361_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.124:0.128:0.132) (0.078:0.078:0.078)) - (IOPATH A2 Y (0.113:0.113:0.113) (0.056:0.056:0.056)) - (IOPATH B1 Y (0.089:0.090:0.092) (0.092:0.092:0.092)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _362_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.162:0.162:0.162) (0.224:0.224:0.224)) - (IOPATH A2 X (0.170:0.170:0.170) (0.218:0.219:0.221)) - (IOPATH B1 X (0.144:0.146:0.148) (0.192:0.193:0.193)) - (IOPATH B2 X (0.138:0.141:0.145) (0.163:0.169:0.174)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _363_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.138:0.138:0.138) (0.187:0.187:0.187)) - (IOPATH B Y (0.126:0.130:0.135) (0.094:0.110:0.126)) - (IOPATH C Y (0.132:0.132:0.132) (0.123:0.123:0.123)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _364_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.119:0.119:0.119) (0.058:0.058:0.058)) - (IOPATH A2 Y (0.122:0.133:0.143) (0.081:0.084:0.088)) - (IOPATH B1 Y (0.084:0.088:0.092) (0.064:0.067:0.070)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _365_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.121:0.121:0.121) (0.168:0.168:0.168)) - (IOPATH B Y (0.107:0.112:0.116) (0.075:0.088:0.101)) - (IOPATH C Y (0.091:0.091:0.091) (0.085:0.085:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _366_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.126:0.126:0.126) (0.061:0.061:0.061)) - (IOPATH A2 Y (0.130:0.140:0.150) (0.084:0.088:0.092)) - (IOPATH B1 Y (0.080:0.084:0.088) (0.060:0.063:0.067)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _367_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.155:0.155:0.155) (0.297:0.297:0.297)) - (IOPATH A1 X (0.122:0.122:0.123) (0.290:0.291:0.291)) - (IOPATH S X (0.219:0.219:0.220) (0.330:0.330:0.330)) - (IOPATH S X (0.151:0.152:0.152) (0.324:0.324:0.324)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _368_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.162:0.162:0.162) (0.302:0.302:0.302)) - (IOPATH A1 X (0.119:0.119:0.120) (0.291:0.291:0.291)) - (IOPATH S X (0.223:0.224:0.224) (0.335:0.335:0.335)) - (IOPATH S X (0.156:0.156:0.156) (0.329:0.329:0.330)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _369_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.149:0.149:0.149) (0.092:0.092:0.092)) - (IOPATH A2 Y (0.126:0.128:0.129) (0.078:0.078:0.078)) - (IOPATH B1 Y (0.074:0.075:0.075) (0.055:0.056:0.057)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31ai_1") - (INSTANCE _370_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.246:0.246:0.246) (0.099:0.099:0.099)) - (IOPATH A2 Y (0.214:0.214:0.214) (0.063:0.063:0.063)) - (IOPATH A3 Y (0.193:0.194:0.195) (0.083:0.083:0.083)) - (IOPATH B1 Y (0.057:0.059:0.062) (0.067:0.072:0.076)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _371_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.162:0.162:0.162) (0.098:0.098:0.098)) - (IOPATH A2 Y (0.138:0.139:0.141) (0.084:0.084:0.084)) - (IOPATH B1_N Y (0.106:0.106:0.107) (0.159:0.160:0.160)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _372_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.171:0.171:0.171) (0.322:0.322:0.322)) - (IOPATH A2 X (0.188:0.188:0.188) (0.315:0.315:0.315)) - (IOPATH A3 X (0.153:0.153:0.153) (0.255:0.256:0.258)) - (IOPATH B1 X (0.138:0.148:0.157) (0.107:0.109:0.110)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _373_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.194:0.194:0.194) (0.114:0.114:0.114)) - (IOPATH A2 Y (0.170:0.172:0.173) (0.101:0.101:0.101)) - (IOPATH B1_N Y (0.115:0.116:0.117) (0.168:0.168:0.168)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _374_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.172:0.172:0.172) (0.324:0.324:0.324)) - (IOPATH A2 X (0.180:0.180:0.180) (0.315:0.315:0.315)) - (IOPATH A3 X (0.154:0.154:0.154) (0.257:0.259:0.260)) - (IOPATH B1 X (0.147:0.158:0.169) (0.115:0.117:0.119)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _375_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.131:0.132:0.132) (0.296:0.296:0.296)) - (IOPATH A1 X (0.172:0.172:0.172) (0.315:0.315:0.315)) - (IOPATH S X (0.237:0.237:0.237) (0.354:0.354:0.354)) - (IOPATH S X (0.175:0.175:0.175) (0.344:0.344:0.344)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _376_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.130:0.131:0.132) (0.296:0.296:0.297)) - (IOPATH A1 X (0.180:0.180:0.180) (0.330:0.330:0.330)) - (IOPATH S X (0.234:0.234:0.234) (0.352:0.352:0.352)) - (IOPATH S X (0.172:0.172:0.172) (0.342:0.342:0.342)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor4_1") - (INSTANCE _377_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.320:0.320:0.320) (0.089:0.089:0.089)) - (IOPATH B Y (0.312:0.312:0.312) (0.092:0.092:0.092)) - (IOPATH C Y (0.263:0.263:0.263) (0.079:0.079:0.079)) - (IOPATH D Y (0.207:0.207:0.207) (0.066:0.066:0.066)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _378_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.176:0.176:0.176) (0.326:0.326:0.326)) - (IOPATH A2 X (0.178:0.178:0.178) (0.313:0.313:0.313)) - (IOPATH A3 X (0.154:0.154:0.154) (0.257:0.257:0.257)) - (IOPATH B1 X (0.163:0.163:0.163) (0.123:0.123:0.123)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _379_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.163:0.164:0.164) (0.237:0.241:0.245)) - (IOPATH B X (0.115:0.115:0.115) (0.217:0.220:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _380_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.148:0.148:0.148) (0.295:0.295:0.295)) - (IOPATH A1 X (0.127:0.127:0.127) (0.299:0.299:0.299)) - (IOPATH S X (0.229:0.229:0.229) (0.358:0.358:0.358)) - (IOPATH S X (0.180:0.180:0.180) (0.339:0.339:0.339)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _381_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.197:0.197:0.197) (0.127:0.127:0.127)) - (IOPATH A2 Y (0.168:0.169:0.170) (0.090:0.090:0.090)) - (IOPATH B1 Y (0.094:0.094:0.094) (0.092:0.092:0.092)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4b_1") - (INSTANCE _382_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.141:0.141:0.141) (0.203:0.203:0.203)) - (IOPATH B Y (0.098:0.098:0.098) (0.113:0.113:0.113)) - (IOPATH C Y (0.095:0.099:0.103) (0.116:0.116:0.116)) - (IOPATH D Y (0.086:0.086:0.086) (0.095:0.095:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _383_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.059:0.063:0.068) (0.056:0.060:0.064)) - (IOPATH B Y (0.075:0.077:0.079) (0.051:0.054:0.057)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _384_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.151:0.151:0.151) (0.179:0.179:0.179)) - (IOPATH B Y (0.075:0.075:0.075) (0.069:0.069:0.069)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _385_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.120:0.120:0.120) (0.148:0.148:0.148)) - (IOPATH B Y (0.101:0.101:0.101) (0.085:0.085:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _386_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.124:0.124:0.124) (0.151:0.151:0.151)) - (IOPATH B Y (0.117:0.117:0.117) (0.105:0.105:0.105)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _387_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.160:0.160:0.160) (0.207:0.207:0.207)) - (IOPATH B Y (0.077:0.077:0.077) (0.069:0.069:0.069)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _388_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.129:0.130:0.132) (0.156:0.156:0.156)) - (IOPATH B Y (0.109:0.109:0.110) (0.102:0.102:0.103)) - (IOPATH C Y (0.104:0.105:0.106) (0.104:0.104:0.104)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _389_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.095:0.095:0.096) (0.095:0.095:0.095)) - (IOPATH B Y (0.113:0.117:0.121) (0.106:0.107:0.108)) - (IOPATH C Y (0.105:0.105:0.105) (0.110:0.110:0.110)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _390_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.212:0.212:0.212) (0.268:0.268:0.268)) - (IOPATH A2 X (0.197:0.197:0.197) (0.240:0.241:0.243)) - (IOPATH B1 X (0.157:0.158:0.160) (0.205:0.212:0.219)) - (IOPATH B2 X (0.143:0.145:0.147) (0.187:0.187:0.188)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _391_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.139:0.139:0.139) (0.189:0.189:0.189)) - (IOPATH B Y (0.125:0.130:0.135) (0.090:0.106:0.122)) - (IOPATH C Y (0.131:0.131:0.131) (0.120:0.120:0.120)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _392_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.132:0.132:0.132) (0.064:0.064:0.064)) - (IOPATH A2 Y (0.137:0.152:0.167) (0.092:0.096:0.100)) - (IOPATH B1 Y (0.088:0.092:0.097) (0.066:0.070:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _393_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.157:0.157:0.157) (0.208:0.208:0.208)) - (IOPATH B Y (0.144:0.150:0.155) (0.109:0.127:0.145)) - (IOPATH C Y (0.136:0.136:0.136) (0.129:0.129:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _394_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.121:0.121:0.121) (0.059:0.059:0.059)) - (IOPATH A2 Y (0.129:0.144:0.159) (0.087:0.091:0.095)) - (IOPATH B1 Y (0.092:0.096:0.101) (0.070:0.073:0.076)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _395_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.165:0.165:0.165) (0.308:0.308:0.308)) - (IOPATH A1 X (0.125:0.126:0.127) (0.298:0.298:0.298)) - (IOPATH S X (0.223:0.223:0.224) (0.338:0.338:0.338)) - (IOPATH S X (0.156:0.156:0.156) (0.331:0.331:0.332)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _396_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.161:0.161:0.161) (0.300:0.300:0.300)) - (IOPATH A1 X (0.123:0.123:0.123) (0.291:0.291:0.291)) - (IOPATH S X (0.215:0.215:0.216) (0.328:0.328:0.328)) - (IOPATH S X (0.148:0.148:0.148) (0.321:0.321:0.321)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _397_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.241:0.241:0.241) (0.152:0.152:0.152)) - (IOPATH A2 Y (0.212:0.214:0.215) (0.137:0.137:0.137)) - (IOPATH B1 Y (0.120:0.121:0.121) (0.084:0.085:0.086)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31ai_1") - (INSTANCE _398_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.389:0.389:0.389) (0.162:0.162:0.162)) - (IOPATH A2 Y (0.332:0.332:0.332) (0.093:0.093:0.093)) - (IOPATH A3 Y (0.331:0.332:0.334) (0.144:0.144:0.144)) - (IOPATH B1 Y (0.110:0.115:0.119) (0.120:0.127:0.135)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _399_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.230:0.230:0.230) (0.144:0.144:0.144)) - (IOPATH A2 Y (0.199:0.201:0.202) (0.128:0.128:0.128)) - (IOPATH B1_N Y (0.122:0.123:0.124) (0.174:0.174:0.174)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _400_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.205:0.205:0.205) (0.356:0.356:0.356)) - (IOPATH A2 X (0.200:0.200:0.200) (0.326:0.326:0.326)) - (IOPATH A3 X (0.184:0.184:0.184) (0.283:0.284:0.285)) - (IOPATH B1 X (0.158:0.170:0.181) (0.123:0.127:0.131)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _401_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.209:0.209:0.209) (0.122:0.122:0.122)) - (IOPATH A2 Y (0.203:0.204:0.206) (0.130:0.130:0.130)) - (IOPATH B1_N Y (0.138:0.139:0.140) (0.187:0.187:0.187)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _402_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.200:0.200:0.200) (0.352:0.352:0.352)) - (IOPATH A2 X (0.178:0.178:0.178) (0.313:0.313:0.313)) - (IOPATH A3 X (0.178:0.178:0.178) (0.280:0.281:0.282)) - (IOPATH B1 X (0.153:0.165:0.176) (0.121:0.124:0.127)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_1") - (INSTANCE _403_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.301:0.301:0.301) (0.198:0.198:0.198)) - (IOPATH A2 Y (0.293:0.293:0.293) (0.188:0.188:0.188)) - (IOPATH B1 Y (0.187:0.187:0.187) (0.196:0.196:0.196)) - (IOPATH C1 Y (0.161:0.161:0.161) (0.186:0.186:0.186)) - (IOPATH D1 Y (0.126:0.128:0.131) (0.170:0.170:0.170)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _404_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.160:0.163:0.166) (0.082:0.095:0.108)) - (IOPATH A2 Y (0.154:0.154:0.154) (0.088:0.088:0.088)) - (IOPATH B1 Y (0.139:0.139:0.139) (0.062:0.062:0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _405_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.151:0.151:0.151) (0.094:0.094:0.094)) - (IOPATH A2 Y (0.161:0.165:0.168) (0.078:0.089:0.100)) - (IOPATH B1 Y (0.081:0.087:0.093) (0.077:0.080:0.083)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _406_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.131:0.132:0.133) (0.296:0.296:0.296)) - (IOPATH A1 X (0.169:0.169:0.169) (0.313:0.313:0.313)) - (IOPATH S X (0.242:0.242:0.242) (0.353:0.353:0.353)) - (IOPATH S X (0.176:0.176:0.176) (0.348:0.348:0.348)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _407_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.117:0.118:0.119) (0.283:0.283:0.283)) - (IOPATH A1 X (0.164:0.164:0.164) (0.315:0.315:0.315)) - (IOPATH S X (0.230:0.230:0.230) (0.342:0.342:0.342)) - (IOPATH S X (0.164:0.164:0.164) (0.337:0.337:0.337)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor4_1") - (INSTANCE _408_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.333:0.333:0.333) (0.111:0.111:0.111)) - (IOPATH B Y (0.296:0.296:0.296) (0.085:0.085:0.085)) - (IOPATH C Y (0.251:0.251:0.251) (0.076:0.076:0.076)) - (IOPATH D Y (0.193:0.193:0.193) (0.060:0.060:0.060)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _409_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.176:0.176:0.176) (0.332:0.332:0.332)) - (IOPATH A2 X (0.149:0.149:0.149) (0.287:0.287:0.287)) - (IOPATH A3 X (0.130:0.130:0.130) (0.234:0.234:0.234)) - (IOPATH B1 X (0.134:0.134:0.134) (0.104:0.104:0.104)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _410_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.151:0.151:0.151) (0.227:0.235:0.243)) - (IOPATH B X (0.095:0.096:0.096) (0.204:0.207:0.210)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _412__9) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.068:0.068:0.068) (0.031:0.031:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_ext_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.618:0.618:0.618) (1.464:1.464:1.464)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _414__6) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.042:0.042:0.042) (0.025:0.025:0.025)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _266__7) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.040:0.040:0.040) (0.026:0.026:0.026)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _416__3) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.040:0.040:0.040) (0.025:0.025:0.025)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _268__4) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.042:0.042:0.042) (0.025:0.025:0.025)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _417_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.486:0.486:0.486) (0.339:0.339:0.339)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.130:0.130:0.130)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.111:-0.111:-0.111)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.014:-0.014:-0.014)) - (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059)) - (SETUP (negedge D) (posedge CLK) (0.069:0.069:0.069)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _418_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.490:0.490:0.490) (0.341:0.341:0.341)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.134:0.134:0.134)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.115:-0.115:-0.115)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.014:-0.014:-0.014)) - (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059)) - (SETUP (negedge D) (posedge CLK) (0.069:0.069:0.069)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _419_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.495:0.495:0.495) (0.347:0.347:0.347)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.139:0.139:0.139)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.120:-0.120:-0.120)) - (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027)) - (HOLD (negedge D) (posedge CLK) (-0.001:-0.001:-0.001)) - (SETUP (posedge D) (posedge CLK) (0.046:0.046:0.046)) - (SETUP (negedge D) (posedge CLK) (0.054:0.054:0.054)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _420_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.417:0.417:0.417) (0.429:0.429:0.429)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.363:0.363:0.363)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.203:-0.203:-0.203)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.111:0.111:0.111)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _421_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.364:0.364:0.364) (0.393:0.393:0.393)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.389:0.389:0.389)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185)) - (HOLD (posedge D) (posedge CLK) (-0.055:-0.055:-0.055)) - (HOLD (negedge D) (posedge CLK) (-0.062:-0.062:-0.062)) - (SETUP (posedge D) (posedge CLK) (0.082:0.082:0.082)) - (SETUP (negedge D) (posedge CLK) (0.132:0.132:0.132)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _422_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.575:0.575:0.575) (0.398:0.398:0.398)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.143:0.143:0.143)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.124:-0.124:-0.124)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.043:-0.048)) - (HOLD (negedge D) (posedge CLK) (-0.009:-0.013:-0.017)) - (SETUP (posedge D) (posedge CLK) (0.059:0.064:0.068)) - (SETUP (negedge D) (posedge CLK) (0.065:0.069:0.073)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _423_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.343:0.343:0.343) (0.378:0.378:0.378)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.381:0.381:0.381)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.192:-0.192:-0.192)) - (HOLD (posedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) - (SETUP (negedge D) (posedge CLK) (0.111:0.111:0.111)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _424_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.339:0.339:0.339) (0.318:0.318:0.318)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.060:-0.060:-0.060)) - (HOLD (negedge D) (posedge CLK) (-0.085:-0.085:-0.085)) - (SETUP (posedge D) (posedge CLK) (0.086:0.086:0.086)) - (SETUP (negedge D) (posedge CLK) (0.144:0.144:0.144)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _425_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.357:0.357:0.357) (0.329:0.329:0.329)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.059:-0.059:-0.059)) - (HOLD (negedge D) (posedge CLK) (-0.081:-0.081:-0.081)) - (SETUP (posedge D) (posedge CLK) (0.085:0.085:0.085)) - (SETUP (negedge D) (posedge CLK) (0.140:0.140:0.140)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _426_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.347:0.347:0.347) (0.322:0.322:0.322)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.056:-0.056:-0.056)) - (HOLD (negedge D) (posedge CLK) (-0.077:-0.077:-0.077)) - (SETUP (posedge D) (posedge CLK) (0.081:0.081:0.081)) - (SETUP (negedge D) (posedge CLK) (0.135:0.135:0.135)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _427_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.562:0.562:0.562) (0.391:0.391:0.391)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.150:0.150:0.150)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.131:-0.131:-0.131)) - (HOLD (posedge D) (posedge CLK) (-0.032:-0.033:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.006:-0.008:-0.009)) - (SETUP (posedge D) (posedge CLK) (0.052:0.053:0.054)) - (SETUP (negedge D) (posedge CLK) (0.061:0.063:0.065)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _428_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.361:0.361:0.361) (0.390:0.390:0.390)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.363:0.363:0.363)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.203:-0.203:-0.203)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.042:-0.043:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.061)) - (SETUP (negedge D) (posedge CLK) (0.110:0.112:0.113)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _429_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.537:0.537:0.537) (0.428:0.428:0.428)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.483:0.483:0.483)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.277:-0.277:-0.277)) - (HOLD (posedge D) (negedge CLK_N) (0.080:0.078:0.075)) - (HOLD (negedge D) (negedge CLK_N) (-0.072:-0.075:-0.077)) - (SETUP (posedge D) (negedge CLK_N) (-0.034:-0.030:-0.027)) - (SETUP (negedge D) (negedge CLK_N) (0.147:0.150:0.152)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _430_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.609:0.609:0.609) (0.407:0.407:0.407)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.134:0.134:0.134)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.115:-0.115:-0.115)) - (HOLD (posedge D) (posedge CLK) (-0.048:-0.051:-0.054)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.022:-0.024)) - (SETUP (posedge D) (posedge CLK) (0.069:0.072:0.076)) - (SETUP (negedge D) (posedge CLK) (0.077:0.078:0.080)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _431_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.454:0.454:0.454) (0.374:0.374:0.374)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.474:0.474:0.474)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.281:-0.281:-0.281)) - (HOLD (posedge D) (negedge CLK_N) (0.077:0.074:0.072)) - (HOLD (negedge D) (negedge CLK_N) (-0.076:-0.078:-0.080)) - (SETUP (posedge D) (negedge CLK_N) (-0.030:-0.026:-0.023)) - (SETUP (negedge D) (negedge CLK_N) (0.151:0.153:0.155)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _432_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.591:0.591:0.591) (0.396:0.396:0.396)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.135:0.135:0.135)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.116:-0.116:-0.116)) - (HOLD (posedge D) (posedge CLK) (-0.047:-0.050:-0.053)) - (HOLD (negedge D) (posedge CLK) (-0.017:-0.021:-0.025)) - (SETUP (posedge D) (posedge CLK) (0.068:0.071:0.075)) - (SETUP (negedge D) (posedge CLK) (0.072:0.077:0.082)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _433_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.495:0.495:0.495) (0.403:0.403:0.403)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.484:0.484:0.484)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.277:-0.277:-0.277)) - (HOLD (posedge D) (negedge CLK_N) (0.088:0.087:0.087)) - (HOLD (negedge D) (negedge CLK_N) (-0.076:-0.077:-0.077)) - (SETUP (posedge D) (negedge CLK_N) (-0.043:-0.043:-0.042)) - (SETUP (negedge D) (negedge CLK_N) (0.152:0.152:0.152)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _434_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.579:0.579:0.579) (0.386:0.386:0.386)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.135:0.135:0.135)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.114:-0.114:-0.114)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.017:-0.017:-0.017)) - (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.059)) - (SETUP (negedge D) (posedge CLK) (0.081:0.081:0.081)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _435_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.501:0.501:0.501) (0.403:0.403:0.403)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.484:0.484:0.484)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.277:-0.277:-0.277)) - (HOLD (posedge D) (negedge CLK_N) (0.087:0.087:0.086)) - (HOLD (negedge D) (negedge CLK_N) (-0.078:-0.078:-0.078)) - (SETUP (posedge D) (negedge CLK_N) (-0.042:-0.042:-0.041)) - (SETUP (negedge D) (negedge CLK_N) (0.153:0.153:0.153)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _436_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.451:0.451:0.451) (0.468:0.468:0.468)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.363:0.363:0.363)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.187:-0.187:-0.187)) - (HOLD (posedge D) (posedge CLK) (-0.033:-0.046:-0.059)) - (HOLD (negedge D) (posedge CLK) (-0.035:-0.038:-0.041)) - (SETUP (posedge D) (posedge CLK) (0.061:0.076:0.091)) - (SETUP (negedge D) (posedge CLK) (0.108:0.111:0.115)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _437_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.671:0.671:0.671) (0.449:0.449:0.449)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.143:0.143:0.143)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.124:-0.124:-0.124)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.006:-0.009:-0.012)) - (SETUP (posedge D) (posedge CLK) (0.055:0.056:0.056)) - (SETUP (negedge D) (posedge CLK) (0.061:0.064:0.067)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _438_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.468:0.468:0.468) (0.459:0.459:0.459)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.384:0.384:0.384)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.184:-0.184:-0.184)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.037:-0.041:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.106:0.110:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _439_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.625:0.625:0.625) (0.421:0.421:0.421)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.151:0.151:0.151)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.130:-0.130:-0.130)) - (HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.032)) - (HOLD (negedge D) (posedge CLK) (-0.007:-0.007:-0.007)) - (SETUP (posedge D) (posedge CLK) (0.053:0.054:0.054)) - (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.072)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _440_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.456:0.456:0.456) (0.452:0.452:0.452)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.373:0.373:0.373)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.199:-0.199:-0.199)) - (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.059)) - (SETUP (negedge D) (posedge CLK) (0.115:0.115:0.115)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _441_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.392:0.392:0.392) (0.412:0.412:0.412)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.373:0.373:0.373)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.199:-0.199:-0.199)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.059:0.060:0.060)) - (SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _442_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.312:0.312:0.312) (0.350:0.350:0.350)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.355:0.355:0.355)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.194:-0.194:-0.194)) - (HOLD (posedge D) (posedge CLK) (-0.066:-0.066:-0.066)) - (HOLD (negedge D) (posedge CLK) (-0.093:-0.093:-0.093)) - (SETUP (posedge D) (posedge CLK) (0.094:0.094:0.094)) - (SETUP (negedge D) (posedge CLK) (0.165:0.165:0.165)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _443_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.491:0.491:0.491) (0.344:0.344:0.344)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.141:0.141:0.141)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.123:-0.123:-0.123)) - (HOLD (posedge D) (posedge CLK) (-0.060:-0.060:-0.060)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.081:0.081:0.081)) - (SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _444_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.310:0.310:0.310) (0.348:0.348:0.348)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.354:0.354:0.354)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.193:-0.193:-0.193)) - (HOLD (posedge D) (posedge CLK) (-0.064:-0.064:-0.064)) - (HOLD (negedge D) (posedge CLK) (-0.092:-0.092:-0.092)) - (SETUP (posedge D) (posedge CLK) (0.092:0.092:0.092)) - (SETUP (negedge D) (posedge CLK) (0.163:0.163:0.163)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _445_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.319:0.319:0.319) (0.355:0.355:0.355)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.355:0.355:0.355)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.194:-0.194:-0.194)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _446_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.643:0.643:0.643) (0.428:0.428:0.428)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.141:0.141:0.141)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.123:-0.123:-0.123)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.010:-0.010:-0.010)) - (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056)) - (SETUP (negedge D) (posedge CLK) (0.064:0.064:0.064)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _447_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.416:0.416:0.416) (0.422:0.422:0.422)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.355:0.355:0.355)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.194:-0.194:-0.194)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _448_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.357:0.357:0.357) (0.330:0.330:0.330)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030)) - (HOLD (negedge D) (posedge CLK) (-0.055:-0.055:-0.055)) - (SETUP (posedge D) (posedge CLK) (0.052:0.053:0.053)) - (SETUP (negedge D) (posedge CLK) (0.112:0.112:0.112)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _449_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.335:0.335:0.335) (0.316:0.316:0.316)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.058:-0.058:-0.058)) - (HOLD (negedge D) (posedge CLK) (-0.082:-0.082:-0.082)) - (SETUP (posedge D) (posedge CLK) (0.083:0.083:0.083)) - (SETUP (negedge D) (posedge CLK) (0.141:0.141:0.141)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _450_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.349:0.349:0.349) (0.324:0.324:0.324)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.082:-0.082:-0.082)) - (HOLD (negedge D) (posedge CLK) (-0.110:-0.110:-0.110)) - (SETUP (posedge D) (posedge CLK) (0.110:0.110:0.110)) - (SETUP (negedge D) (posedge CLK) (0.170:0.170:0.170)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _451_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.345:0.345:0.345) (0.322:0.322:0.322)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (HOLD (negedge D) (posedge CLK) (-0.068:-0.068:-0.068)) - (SETUP (posedge D) (posedge CLK) (0.075:0.075:0.075)) - (SETUP (negedge D) (posedge CLK) (0.126:0.126:0.126)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _452_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.573:0.573:0.573) (0.398:0.398:0.398)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.143:0.143:0.143)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.124:-0.124:-0.124)) - (HOLD (posedge D) (posedge CLK) (-0.030:-0.031:-0.032)) - (HOLD (negedge D) (posedge CLK) (-0.003:-0.006:-0.008)) - (SETUP (posedge D) (posedge CLK) (0.050:0.051:0.052)) - (SETUP (negedge D) (posedge CLK) (0.058:0.060:0.063)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _453_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.397:0.397:0.397) (0.415:0.415:0.415)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.387:0.387:0.387)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.183:-0.183:-0.183)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.042:-0.043:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.110:0.112:0.113)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _454_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.548:0.548:0.548) (0.433:0.433:0.433)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.488:0.488:0.488)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.267:-0.267:-0.267)) - (HOLD (posedge D) (negedge CLK_N) (0.076:0.073:0.070)) - (HOLD (negedge D) (negedge CLK_N) (-0.077:-0.080:-0.083)) - (SETUP (posedge D) (negedge CLK_N) (-0.029:-0.025:-0.021)) - (SETUP (negedge D) (negedge CLK_N) (0.152:0.155:0.159)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _455_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.632:0.632:0.632) (0.420:0.420:0.420)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.132:0.132:0.132)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.113:-0.113:-0.113)) - (HOLD (posedge D) (posedge CLK) (-0.044:-0.047:-0.050)) - (HOLD (negedge D) (posedge CLK) (-0.017:-0.020:-0.023)) - (SETUP (posedge D) (posedge CLK) (0.065:0.068:0.071)) - (SETUP (negedge D) (posedge CLK) (0.073:0.076:0.079)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _456_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.499:0.499:0.499) (0.403:0.403:0.403)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.488:0.488:0.488)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.267:-0.267:-0.267)) - (HOLD (posedge D) (negedge CLK_N) (0.077:0.074:0.072)) - (HOLD (negedge D) (negedge CLK_N) (-0.075:-0.078:-0.082)) - (SETUP (posedge D) (negedge CLK_N) (-0.030:-0.027:-0.023)) - (SETUP (negedge D) (negedge CLK_N) (0.150:0.153:0.157)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _457_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.565:0.565:0.565) (0.386:0.386:0.386)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.131:0.131:0.131)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.112:-0.112:-0.112)) - (HOLD (posedge D) (posedge CLK) (-0.044:-0.047:-0.050)) - (HOLD (negedge D) (posedge CLK) (-0.014:-0.019:-0.025)) - (SETUP (posedge D) (posedge CLK) (0.065:0.068:0.071)) - (SETUP (negedge D) (posedge CLK) (0.069:0.075:0.082)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _458_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.495:0.495:0.495) (0.402:0.402:0.402)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.489:0.489:0.489)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.267:-0.267:-0.267)) - (HOLD (posedge D) (negedge CLK_N) (0.083:0.083:0.083)) - (HOLD (negedge D) (negedge CLK_N) (-0.081:-0.081:-0.082)) - (SETUP (posedge D) (negedge CLK_N) (-0.039:-0.038:-0.038)) - (SETUP (negedge D) (negedge CLK_N) (0.157:0.157:0.157)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _459_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.592:0.592:0.592) (0.393:0.393:0.393)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.133:0.133:0.133)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.111:-0.111:-0.111)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.017:-0.017:-0.017)) - (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.059)) - (SETUP (negedge D) (posedge CLK) (0.081:0.081:0.081)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _460_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.510:0.510:0.510) (0.407:0.407:0.407)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.489:0.489:0.489)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.267:-0.267:-0.267)) - (HOLD (posedge D) (negedge CLK_N) (0.085:0.085:0.084)) - (HOLD (negedge D) (negedge CLK_N) (-0.079:-0.079:-0.079)) - (SETUP (posedge D) (negedge CLK_N) (-0.041:-0.040:-0.040)) - (SETUP (negedge D) (negedge CLK_N) (0.154:0.154:0.154)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _461_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.478:0.478:0.478) (0.530:0.530:0.530)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.387:0.387:0.387)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.156:-0.156:-0.156)) - (HOLD (posedge D) (posedge CLK) (-0.044:-0.067:-0.091)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.054:-0.059)) - (SETUP (posedge D) (posedge CLK) (0.073:0.099:0.126)) - (SETUP (negedge D) (posedge CLK) (0.122:0.127:0.132)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _462_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.683:0.683:0.683) (0.454:0.454:0.454)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.144:0.144:0.144)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.125:-0.125:-0.125)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.008:-0.011:-0.014)) - (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059)) - (SETUP (negedge D) (posedge CLK) (0.063:0.067:0.070)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _463_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.450:0.450:0.450) (0.446:0.446:0.446)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.385:0.385:0.385)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.181:-0.181:-0.181)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.039:-0.043:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.062:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.107:0.111:0.115)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _464_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.582:0.582:0.582) (0.402:0.402:0.402)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.150:0.150:0.150)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.131:-0.131:-0.131)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.044:-0.047)) - (HOLD (negedge D) (posedge CLK) (-0.008:-0.011:-0.014)) - (SETUP (posedge D) (posedge CLK) (0.060:0.064:0.068)) - (SETUP (negedge D) (posedge CLK) (0.063:0.067:0.070)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _465_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.620:0.620:0.620) (0.417:0.417:0.417)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.144:0.144:0.144)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.122:-0.122:-0.122)) - (HOLD (posedge D) (posedge CLK) (-0.031:-0.032:-0.032)) - (HOLD (negedge D) (posedge CLK) (-0.008:-0.008:-0.008)) - (SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054)) - (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _466_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.439:0.439:0.439) (0.440:0.440:0.440)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.387:0.387:0.387)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.183:-0.183:-0.183)) - (HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.032)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.057)) - (SETUP (negedge D) (posedge CLK) (0.113:0.113:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _467_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.379:0.379:0.379) (0.402:0.402:0.402)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.384:0.384:0.384)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185)) - (HOLD (posedge D) (posedge CLK) (-0.032:-0.033:-0.033)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.057:0.058:0.058)) - (SETUP (negedge D) (posedge CLK) (0.112:0.112:0.112)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _468_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.315:0.315:0.315) (0.353:0.353:0.353)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.375:0.375:0.375)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.174:-0.174:-0.174)) - (HOLD (posedge D) (posedge CLK) (-0.053:-0.053:-0.053)) - (HOLD (negedge D) (posedge CLK) (-0.081:-0.081:-0.081)) - (SETUP (posedge D) (posedge CLK) (0.080:0.080:0.080)) - (SETUP (negedge D) (posedge CLK) (0.152:0.152:0.152)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _469_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.490:0.490:0.490) (0.342:0.342:0.342)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.132:0.132:0.132)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.113:-0.113:-0.113)) - (HOLD (posedge D) (posedge CLK) (-0.060:-0.060:-0.060)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.082:0.082:0.082)) - (SETUP (negedge D) (posedge CLK) (0.109:0.109:0.109)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _470_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.312:0.312:0.312) (0.350:0.350:0.350)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.375:0.375:0.375)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.174:-0.174:-0.174)) - (HOLD (posedge D) (posedge CLK) (-0.054:-0.054:-0.054)) - (HOLD (negedge D) (posedge CLK) (-0.084:-0.084:-0.084)) - (SETUP (posedge D) (posedge CLK) (0.081:0.081:0.081)) - (SETUP (negedge D) (posedge CLK) (0.155:0.155:0.155)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _471_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.382:0.382:0.382) (0.402:0.402:0.402)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.375:0.375:0.375)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.174:-0.174:-0.174)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.115:0.115:0.115)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _472_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.639:0.639:0.639) (0.425:0.425:0.425)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.132:0.132:0.132)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.113:-0.113:-0.113)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.011:-0.011:-0.011)) - (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057)) - (SETUP (negedge D) (posedge CLK) (0.065:0.065:0.065)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _473_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.398:0.398:0.398) (0.411:0.411:0.411)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.375:0.375:0.375)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.174:-0.174:-0.174)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _271__1) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.041:0.041:0.041) (0.026:0.026:0.026)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout18) - (DELAY - (ABSOLUTE - (IOPATH A X (0.311:0.311:0.311) (0.252:0.252:0.252)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout17) - (DELAY - (ABSOLUTE - (IOPATH A X (0.276:0.276:0.276) (0.239:0.239:0.239)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE fanout16) - (DELAY - (ABSOLUTE - (IOPATH A X (0.235:0.235:0.235) (0.201:0.201:0.201)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout15) - (DELAY - (ABSOLUTE - (IOPATH A X (0.330:0.330:0.330) (0.270:0.270:0.270)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout14) - (DELAY - (ABSOLUTE - (IOPATH A X (0.381:0.381:0.381) (0.296:0.296:0.296)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout13) - (DELAY - (ABSOLUTE - (IOPATH A X (0.335:0.335:0.335) (0.275:0.275:0.275)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE user_clk_out_buffer) - (DELAY - (ABSOLUTE - (IOPATH A X (0.265:0.265:0.266) (0.243:0.243:0.243)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output11) - (DELAY - (ABSOLUTE - (IOPATH A X (0.285:0.285:0.285) (0.195:0.198:0.202)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input9) - (DELAY - (ABSOLUTE - (IOPATH A X (0.297:0.297:0.297) (1.002:1.002:1.002)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input8) - (DELAY - (ABSOLUTE - (IOPATH A X (0.288:0.288:0.288) (0.993:0.993:0.993)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input7) - (DELAY - (ABSOLUTE - (IOPATH A X (0.309:0.309:0.309) (1.013:1.013:1.013)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input6) - (DELAY - (ABSOLUTE - (IOPATH A X (0.245:0.245:0.245) (0.951:0.951:0.951)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input5) - (DELAY - (ABSOLUTE - (IOPATH A X (0.287:0.287:0.287) (0.992:0.992:0.992)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input4) - (DELAY - (ABSOLUTE - (IOPATH A X (0.221:0.221:0.221) (0.925:0.925:0.925)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input3) - (DELAY - (ABSOLUTE - (IOPATH A X (0.272:0.272:0.272) (0.976:0.976:0.976)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input2) - (DELAY - (ABSOLUTE - (IOPATH A X (0.277:0.277:0.277) (0.983:0.983:0.983)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.269:0.269:0.269) (0.976:0.976:0.976)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout19) - (DELAY - (ABSOLUTE - (IOPATH A X (0.283:0.283:0.283) (0.250:0.250:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE fanout20) - (DELAY - (ABSOLUTE - (IOPATH A X (0.214:0.214:0.214) (0.187:0.187:0.187)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout21) - (DELAY - (ABSOLUTE - (IOPATH A X (0.315:0.315:0.315) (0.275:0.275:0.275)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout22) - (DELAY - (ABSOLUTE - (IOPATH A X (0.323:0.323:0.323) (0.266:0.266:0.266)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout23) - (DELAY - (ABSOLUTE - (IOPATH A X (0.253:0.253:0.253) (0.223:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout24) - (DELAY - (ABSOLUTE - (IOPATH A X (0.238:0.238:0.238) (0.213:0.213:0.213)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout25) - (DELAY - (ABSOLUTE - (IOPATH A X (0.256:0.256:0.256) (0.243:0.243:0.243)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE fanout26) - (DELAY - (ABSOLUTE - (IOPATH A X (0.274:0.274:0.274) (0.242:0.242:0.242)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout27) - (DELAY - (ABSOLUTE - (IOPATH A X (0.269:0.269:0.269) (0.247:0.247:0.247)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout28) - (DELAY - (ABSOLUTE - (IOPATH A X (0.317:0.317:0.317) (0.265:0.265:0.265)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout29) - (DELAY - (ABSOLUTE - (IOPATH A X (0.309:0.309:0.309) (0.283:0.283:0.283)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_ext_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.150:0.150:0.150) (0.187:0.187:0.187)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_ext_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.157:0.157:0.157) (0.193:0.193:0.193)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0__037_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.174:0.174:0.174) (0.185:0.186:0.186)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f__037_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.113:0.113:0.113) (0.132:0.132:0.132)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f__037_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.112:0.112:0.112) (0.131:0.131:0.131)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_net10) - (DELAY - (ABSOLUTE - (IOPATH A X (0.143:0.144:0.144) (0.162:0.162:0.163)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_net10) - (DELAY - (ABSOLUTE - (IOPATH A X (0.255:0.255:0.255) (0.229:0.229:0.229)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_net10) - (DELAY - (ABSOLUTE - (IOPATH A X (0.130:0.130:0.130) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_pll_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.622:0.622:0.622) (1.469:1.469:1.469)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_pll_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.196:0.196:0.196) (0.222:0.222:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_pll_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.189:0.189:0.189) (0.217:0.217:0.217)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_divider\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.147:0.147:0.147) (0.155:0.155:0.156)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_divider\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.121:0.121:0.121) (0.139:0.139:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_divider\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.118:0.118:0.118) (0.137:0.137:0.137)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_pll_clk90) - (DELAY - (ABSOLUTE - (IOPATH A X (0.624:0.624:0.624) (1.470:1.470:1.470)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_pll_clk90) - (DELAY - (ABSOLUTE - (IOPATH A X (0.187:0.187:0.187) (0.216:0.216:0.216)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_pll_clk90) - (DELAY - (ABSOLUTE - (IOPATH A X (0.191:0.191:0.191) (0.219:0.219:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_divider2\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.135:0.135:0.135) (0.146:0.147:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_divider2\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.115:0.115:0.115) (0.134:0.134:0.134)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_divider2\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.120:0.120:0.120) (0.138:0.138:0.138)) - ) - ) - ) -) diff --git a/sdf/caravel_clocking/min/caravel_clocking.ff.sdf b/sdf/caravel_clocking/min/caravel_clocking.ff.sdf deleted file mode 100644 index 7d6024f3..00000000 --- a/sdf/caravel_clocking/min/caravel_clocking.ff.sdf +++ /dev/null @@ -1,4640 +0,0 @@ -(DELAYFILE - (SDFVERSION "3.0") - (DESIGN "caravel_clocking") - (DATE "Thu Oct 13 17:51:10 2022") - (VENDOR "Parallax") - (PROGRAM "STA") - (VERSION "2.3.1") - (DIVIDER .) - (VOLTAGE 1.600::1.600) - (PROCESS "1.000::1.000") - (TEMPERATURE 100.000::100.000) - (TIMESCALE 1ns) - (CELL - (CELLTYPE "caravel_clocking") - (INSTANCE) - (DELAY - (ABSOLUTE - (INTERCONNECT ext_clk clkbuf_0_ext_clk.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_clk ANTENNA_clkbuf_0_ext_clk_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_clk_sel input1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_clk_sel ANTENNA_input1_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_reset input2.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_reset ANTENNA_input2_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT pll_clk clkbuf_0_pll_clk.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT pll_clk ANTENNA_clkbuf_0_pll_clk_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT pll_clk90 clkbuf_0_pll_clk90.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT pll_clk90 ANTENNA_clkbuf_0_pll_clk90_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT resetb input3.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT resetb ANTENNA_input3_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[0] input4.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[0] ANTENNA_input4_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[1] input5.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[1] ANTENNA_input5_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[2] input6.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[2] ANTENNA_input6_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[0] input7.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[0] ANTENNA_input7_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[1] input8.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[1] ANTENNA_input8_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[2] input9.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[2] ANTENNA_input9_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _206_.X _286_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _206_.X _367_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _206_.X _368_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _207_.X _273_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _207_.X _395_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _207_.X _396_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _208_.X _309_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _209_.X clkbuf_0__037_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _210_.X clkbuf_0_net10.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _211_.X _313_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _212_.X user_clk_out_buffer.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _213_.X _367_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _214_.X _286_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _215_.X _368_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _216_.X _217_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _217_.X _267_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _218_.X _219_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _219_.X _252_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _220_.X _221_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _221_.X _269_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _222_.X _223_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _223_.X _369_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _224_.X _225_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _225_.X _371_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _226_.X _227_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _227_.X _373_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _228_.X _375_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _229_.X _376_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _230_.X _395_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _231_.X _273_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _232_.X _396_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _233_.X _234_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _234_.X _270_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _235_.X _236_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _236_.X _249_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _237_.X _238_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _238_.X _272_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _239_.X _240_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _240_.X _397_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _241_.X _242_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _242_.X _399_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _243_.X _244_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _244_.X _401_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _245_.X _406_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _246_.X _407_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _247_.Y _277_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _247_.Y _283_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _248_.Y _281_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _248_.Y _282_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _249_.Y _285_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _250_.Y _290_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _250_.Y _296_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _251_.Y _294_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _251_.Y _295_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _252_.Y _298_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _253_.Y _228_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _254_.Y _213_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _254_.Y _323_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _255_.Y _290_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _255_.Y _296_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _256_.Y _216_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _222_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _303_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _370_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _258_.Y _245_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _259_.Y _277_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _259_.Y _283_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _260_.Y _230_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _260_.Y _339_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _261_.Y _233_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _262_.Y _239_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _262_.Y _308_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _262_.Y _398_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _263_.Y _420_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _264_.Y _354_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _265_.Y _382_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _411__8.Y _418_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _267_.Y _364_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _413__5.Y _432_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _269_.Y _366_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _270_.Y _392_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _415__2.Y _457_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _272_.Y _394_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _273_.X _459_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _274_.Y _280_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _274_.Y _281_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _274_.Y _339_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _275_.Y _211_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _276_.X _281_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _276_.X _283_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _276_.X _306_.B (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _276_.X _316_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _276_.X _388_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _276_.X _390_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _276_.X _397_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _276_.X _398_.A3 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _276_.X _399_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _276_.X _400_.A3 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _276_.X _401_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _276_.X _402_.A3 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _276_.X ANTENNA__402__A3.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _276_.X ANTENNA__401__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _276_.X ANTENNA__400__A3.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _276_.X ANTENNA__399__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _276_.X ANTENNA__398__A3.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _276_.X ANTENNA__397__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _276_.X ANTENNA__390__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _276_.X ANTENNA__388__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _276_.X ANTENNA__316__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _276_.X ANTENNA__306__B.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _276_.X ANTENNA__283__A1.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _276_.X ANTENNA__281__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _277_.Y _278_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _277_.Y _284_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _277_.Y _391_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _277_.Y _393_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _278_.Y _207_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _279_.Y _233_.S (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _279_.Y _235_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _279_.Y _237_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _279_.Y _280_.D1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _279_.Y _281_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _280_.X _282_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _281_.Y _282_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _282_.Y _457_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _283_.Y _285_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _283_.Y _392_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _283_.Y _394_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _284_.Y _285_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _285_.Y _455_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _286_.X _434_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _287_.Y _293_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _287_.Y _294_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _287_.Y _323_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _288_.Y _208_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _289_.X _294_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _296_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _301_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _312_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _361_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _362_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _369_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _370_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _289_.X _371_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _372_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _373_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _374_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _290_.Y _291_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _290_.Y _297_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _290_.Y _363_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _290_.Y _365_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _291_.Y _206_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.Y _216_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.Y _218_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.Y _220_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.Y _293_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.Y _294_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _293_.X _295_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _294_.Y _295_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _295_.Y _432_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.Y _298_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.Y _364_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.Y _366_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _297_.Y _298_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _298_.Y _430_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _299_.Y _300_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _299_.Y _354_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _228_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _229_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _353_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _301_.X _206_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _302_.Y _403_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _303_.Y _222_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _303_.Y _224_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _303_.Y _226_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.Y _305_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.Y _382_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _305_.Y _245_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _305_.Y _246_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _305_.Y _381_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _306_.X _207_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _307_.Y _350_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _239_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _241_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _243_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _309_.X _312_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _310_.Y _312_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _311_.X _312_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _312_.X clkbuf_0_divider\.out.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _313_.X _316_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _314_.Y _316_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _315_.X _316_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _316_.X clkbuf_0_divider2\.out.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _317_.Y _213_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _318_.Y _214_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _319_.Y _321_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _320_.X _215_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _320_.X _321_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _321_.Y _214_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _322_.X _323_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _323_.X _215_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _324_.Y _218_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _325_.X _327_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _326_.Y _327_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _327_.Y _220_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _328_.Y _224_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _329_.X _331_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _330_.Y _331_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _331_.Y _226_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _332_.Y _229_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _333_.Y _230_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _334_.Y _231_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _335_.Y _337_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _336_.X _232_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _336_.X _337_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _337_.Y _231_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _338_.X _339_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _339_.X _232_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _340_.Y _235_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _341_.X _343_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _342_.Y _343_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _343_.Y _237_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _344_.Y _241_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _345_.X _347_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _346_.Y _347_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _347_.Y _243_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _348_.Y _246_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _349_.Y output11.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _350_.Y _351_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _350_.Y _352_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _351_.Y _352_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _352_.Y _422_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _353_.Y _355_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _354_.Y _355_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _355_.Y _427_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _356_.Y _358_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _357_.Y _358_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _358_.Y _362_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _359_.Y _361_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _360_.X _361_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _361_.Y _362_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _362_.X _428_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _363_.Y _364_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _364_.Y _429_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _365_.Y _366_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _366_.Y _431_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _367_.X _433_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _368_.X _435_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _369_.Y _370_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _370_.Y _436_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _371_.Y _372_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _372_.X _437_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _373_.Y _374_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _374_.X _438_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _375_.X _439_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _376_.X _440_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _377_.Y _379_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _378_.X _379_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _379_.X _441_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _380_.X _448_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _381_.Y _383_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _382_.Y _383_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _383_.Y _452_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _384_.Y _389_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _385_.Y _388_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _386_.Y _389_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _387_.Y _388_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _388_.Y _390_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _389_.Y _390_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _390_.X _453_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _391_.Y _392_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _392_.Y _454_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _393_.Y _394_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _394_.Y _456_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _395_.X _458_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _396_.X _460_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _397_.Y _398_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _398_.Y _461_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _399_.Y _400_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _400_.X _462_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _401_.Y _402_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _402_.X _463_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.Y _404_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.Y _405_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _404_.Y _405_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _405_.Y _464_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _406_.X _465_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _407_.X _466_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _408_.Y _410_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _409_.X _410_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _410_.X _467_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _412__9.Y _419_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_ext_clk.X clkbuf_1_0__f_ext_clk.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_ext_clk.X clkbuf_1_1__f_ext_clk.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _414__6.Y _434_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _266__7.Y _417_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _416__3.Y _459_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _268__4.Y _430_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _417_.Q _349_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _418_.Q _417_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _419_.Q _418_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _420_.Q _209_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _420_.Q _421_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _421_.Q _210_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _421_.Q _212_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.Q _314_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.Q _315_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.Q _351_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.Q _352_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _423_.Q _209_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _424_.Q _358_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Q _356_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Q _357_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _426_.Q _359_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _426_.Q _360_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _427_.Q _208_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _427_.Q _264_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _427_.Q _353_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _428_.Q _362_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _428_.Q fanout24.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _429_.Q _256_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _429_.Q _292_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _429_.Q _324_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _429_.Q _325_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _429_.Q _326_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _429_.Q _363_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _430_.Q _292_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _430_.Q _297_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _430_.Q _324_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _430_.Q _325_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _430_.Q _326_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _431_.Q _292_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _431_.Q _325_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _431_.Q _326_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _431_.Q _365_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _432_.Q _251_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _432_.Q _310_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _432_.Q _311_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _433_.Q _254_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _433_.Q _318_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _433_.Q _322_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _433_.Q _367_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _434_.Q _250_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _434_.Q _286_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _434_.Q _287_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _434_.Q _318_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _434_.Q _322_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _435_.Q _255_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _435_.Q _287_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _435_.Q _322_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _435_.Q _368_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _436_.Q _257_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _436_.Q _328_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _436_.Q _329_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _436_.Q _330_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _436_.Q _403_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _437_.Q _302_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _437_.Q _303_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _437_.Q _328_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _437_.Q _329_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _437_.Q _330_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _437_.Q _372_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _438_.Q _302_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _438_.Q _303_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _438_.Q _329_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _438_.Q _330_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _438_.Q _374_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _439_.Q _253_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _439_.Q _300_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _439_.Q _332_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _439_.Q _354_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _439_.Q _375_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _439_.Q _377_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _439_.Q _378_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _440_.Q _299_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _440_.Q _332_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _440_.Q _376_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _440_.Q _377_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _440_.Q _378_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _441_.Q _299_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _441_.Q _377_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _441_.Q _378_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _442_.Q _445_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _443_.Q _446_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _444_.Q _447_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _445_.Q fanout20.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _446_.Q _224_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _446_.Q _403_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _446_.Q _288_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _446_.Q _289_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _446_.Q fanout18.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _447_.Q _403_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _447_.Q _359_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _447_.Q _226_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _447_.Q fanout17.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _448_.Q _380_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _448_.Q _423_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _449_.Q _389_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _450_.Q _384_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _450_.Q _386_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _451_.Q _385_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _451_.Q _387_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _452_.Q _211_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _452_.Q _265_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _452_.Q _381_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _453_.Q _281_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _453_.Q fanout22.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _454_.Q _261_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _454_.Q _279_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _454_.Q _340_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _454_.Q _341_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _454_.Q _342_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _454_.Q _391_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _279_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _284_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _340_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _341_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _342_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _279_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _341_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _342_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _393_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _457_.Q _248_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _457_.Q _314_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _457_.Q _315_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _260_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _334_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _338_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _395_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _459_.Q _247_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _459_.Q _273_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _459_.Q _274_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _459_.Q _334_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _459_.Q _338_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _460_.Q _259_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _460_.Q _274_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _460_.Q _338_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _460_.Q _396_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _461_.Q _262_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _344_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _345_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _346_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _350_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _462_.Q _307_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _462_.Q _308_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _462_.Q _344_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _462_.Q _345_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _462_.Q _346_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _462_.Q _400_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _463_.Q _307_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _463_.Q _308_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _463_.Q _345_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _463_.Q _346_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _463_.Q _402_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _464_.Q _310_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _464_.Q _311_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _464_.Q _404_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _464_.Q _405_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _258_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _305_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _348_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _382_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _406_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _408_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _409_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _466_.Q _304_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _466_.Q _348_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _466_.Q _407_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _466_.Q _408_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _466_.Q _409_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _304_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _408_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _409_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _468_.Q _471_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _469_.Q _472_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _470_.Q _473_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _471_.Q fanout15.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _471_.Q fanout16.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _472_.Q _384_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _472_.Q _276_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _472_.Q _350_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _472_.Q _386_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _472_.Q fanout14.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _473_.Q _451_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _385_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _350_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q fanout13.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _271__1.Y _455_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout18.X _218_.A1 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT fanout18.X _219_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout18.X _290_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout18.X _293_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout18.X _317_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout18.X _319_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout18.X _228_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout18.X _320_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout18.X _356_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout18.X _357_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout18.X _425_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout18.X _225_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout17.X _289_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout17.X _221_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT fanout17.X _220_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout17.X _290_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout17.X _293_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout17.X _319_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout17.X _320_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout17.X _229_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout17.X _288_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout17.X _426_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout17.X _360_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout17.X _227_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout16.X _382_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout16.X _406_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout16.X _407_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout16.X _449_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout16.X _350_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout16.X _239_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout16.X _240_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout15.X _280_.B1 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout15.X _336_.A2 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout15.X _234_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout15.X _333_.B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout15.X _335_.C (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout15.X _233_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout15.X _277_.B1 (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout15.X _276_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout15.X _313_.A_N (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout15.X _381_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout15.X _408_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout15.X _409_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout15.X ANTENNA__409__A1.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout15.X ANTENNA__408__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout15.X ANTENNA__381__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout15.X ANTENNA__313__A_N.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout15.X ANTENNA__276__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout15.X ANTENNA__277__B1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout15.X ANTENNA__233__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout15.X ANTENNA__335__C.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout15.X ANTENNA__333__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout15.X ANTENNA__234__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout15.X ANTENNA__336__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout15.X ANTENNA__280__B1.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X _236_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout14.X _242_.A1 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X _333_.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X _335_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X _235_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout14.X _241_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X _277_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X _280_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout14.X _336_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout14.X _450_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout14.X _275_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout14.X _245_.A0 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT fanout14.X ANTENNA__245__A0.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout14.X ANTENNA__275__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout14.X ANTENNA__450__D.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout14.X ANTENNA__336__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout14.X ANTENNA__280__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout14.X ANTENNA__277__A2.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout14.X ANTENNA__241__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X ANTENNA__235__A1.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X ANTENNA__335__B.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X ANTENNA__333__A.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X ANTENNA__242__A1.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X ANTENNA__236__A1.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X _238_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X _243_.A1 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT fanout13.X _244_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X _237_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X _335_.A (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout13.X _336_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X _277_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X _280_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X _276_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout13.X _275_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout13.X _246_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout13.X _387_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout13.X ANTENNA__387__A_N.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout13.X ANTENNA__246__A0.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout13.X ANTENNA__275__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout13.X ANTENNA__276__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout13.X ANTENNA__280__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X ANTENNA__277__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X ANTENNA__336__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X ANTENNA__335__A.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout13.X ANTENNA__237__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X ANTENNA__244__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X ANTENNA__243__A1.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout13.X ANTENNA__238__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT user_clk_out_buffer.X user_clk (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT output11.X resetb_sync (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input9.X _444_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input8.X _443_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input7.X _442_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input6.X _470_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input5.X _469_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input4.X _468_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input3.X fanout25.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input3.X fanout26.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input3.X fanout29.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input2.X _349_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input1.X _263_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout19.X _375_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _376_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _377_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _378_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _317_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _320_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout19.X _290_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout19.X _319_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout19.X _217_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout19.X _354_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _353_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _293_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout20.X fanout19.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout20.X _216_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout20.X _424_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout20.X _403_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout20.X _309_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout20.X _289_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout20.X _223_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout20.X _222_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _230_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout21.X _238_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _283_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout21.X _284_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _391_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _393_.A_N (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT fanout21.X _306_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _207_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _232_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout21.X _231_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout21.X _401_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout21.X _244_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout22.X fanout21.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout22.X _234_.S (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout22.X _242_.S (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout22.X _399_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout22.X _236_.S (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout22.X _400_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout22.X _397_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout22.X _398_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout22.X _390_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout22.X _351_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout22.X _240_.S (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT fanout22.X _402_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout22.X ANTENNA__402__A1.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout22.X ANTENNA__240__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout22.X ANTENNA__351__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout22.X ANTENNA__390__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout22.X ANTENNA__398__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout22.X ANTENNA__397__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout22.X ANTENNA__400__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout22.X ANTENNA__236__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout22.X ANTENNA__399__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout22.X ANTENNA__242__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout22.X ANTENNA__234__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout22.X ANTENNA_fanout21_A.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout23.X _219_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout23.X _215_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout23.X _296_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout23.X _301_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout23.X _214_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout23.X _213_.S (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT fanout23.X _206_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout23.X _297_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout23.X _363_.A_N (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT fanout23.X _365_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout23.X _221_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout23.X _217_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout24.X fanout23.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout24.X _294_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout24.X _369_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout24.X _370_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout24.X _372_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout24.X _374_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout24.X _404_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout24.X _223_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout24.X _373_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout24.X _371_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout24.X _227_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout24.X _225_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout25.X _429_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout25.X _430_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout25.X _432_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout25.X _433_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout25.X _434_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout25.X _435_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout25.X _439_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout25.X _440_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout25.X _441_.RESET_B (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT fanout25.X _380_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout25.X _418_.SET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout25.X _419_.SET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout26.X _423_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout26.X _454_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout26.X _455_.SET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout26.X _456_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout26.X _458_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout26.X _459_.SET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout26.X _460_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout26.X _462_.SET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout27.X _420_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout27.X _427_.SET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout27.X _431_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _436_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _442_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _443_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _444_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout27.X _445_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _446_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _447_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _464_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _428_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout28.X _417_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout28.X _421_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout28.X _422_.SET_B (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout28.X _453_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X _457_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout28.X _461_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X _463_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X _469_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout28.X _472_.SET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X _452_.SET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X _465_.SET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X _466_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X ANTENNA__466__RESET_B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X ANTENNA__465__SET_B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout28.X ANTENNA__452__SET_B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X ANTENNA__472__SET_B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X ANTENNA__469__SET_B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X ANTENNA__463__RESET_B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout28.X ANTENNA__461__RESET_B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X ANTENNA__457__SET_B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout28.X ANTENNA__453__RESET_B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X ANTENNA__422__SET_B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout28.X ANTENNA__421__RESET_B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout28.X ANTENNA__417__SET_B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout29.X fanout27.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout29.X _438_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X _437_.SET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X fanout28.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout29.X _473_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X _471_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X _470_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X _468_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X _467_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X ANTENNA__467__RESET_B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X ANTENNA__468__RESET_B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X ANTENNA__470__RESET_B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X ANTENNA__471__RESET_B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X ANTENNA__473__RESET_B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X ANTENNA_fanout28_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout29.X ANTENNA__437__SET_B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout29.X ANTENNA__438__RESET_B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X ANTENNA_fanout27_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _419__30.LO _419_.D (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_ext_clk.X _380_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_ext_clk.X _209_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0__037_.X clkbuf_1_0__f__037_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0__037_.X clkbuf_1_1__f__037_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f__037_.X _210_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f__037_.X _212_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_net10.X clkbuf_1_0__f_net10.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_net10.X clkbuf_1_1__f_net10.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_net10.X core_clk (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT clkbuf_1_0__f_net10.X _412__9.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_1__f_net10.X _411__8.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_net10.X _266__7.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_pll_clk.X clkbuf_1_0__f_pll_clk.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_pll_clk.X clkbuf_1_1__f_pll_clk.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _414__6.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _268__4.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _448_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _441_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _440_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _439_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _435_.CLK_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _433_.CLK_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _429_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _423_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _421_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _413__5.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _464_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _438_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _437_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _436_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _431_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _428_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _427_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _426_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _425_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _424_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _420_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _208_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_divider\.out.X clkbuf_1_0__f_divider\.out.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_divider\.out.X clkbuf_1_1__f_divider\.out.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _447_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _446_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _445_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _443_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _442_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider\.out.X _444_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider\.out.X _210_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_pll_clk90.X clkbuf_1_0__f_pll_clk90.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_pll_clk90.X clkbuf_1_1__f_pll_clk90.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _416__3.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _271__1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _463_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _462_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _460_.CLK_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _458_.CLK_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _456_.CLK_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _454_.CLK_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _415__2.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _467_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _466_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _465_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _461_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _453_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _452_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _451_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _450_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _449_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _422_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _211_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_divider2\.out.X clkbuf_1_0__f_divider2\.out.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_divider2\.out.X clkbuf_1_1__f_divider2\.out.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider2\.out.X _472_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider2\.out.X _469_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider2\.out.X _212_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider2\.out.X _473_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider2\.out.X _471_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider2\.out.X _470_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider2\.out.X _468_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _206_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.133:0.133:0.133) (0.193:0.193:0.193)) - (IOPATH A1 X (0.151:0.151:0.151) (0.210:0.210:0.210)) - (IOPATH S X (0.178:0.182:0.186) (0.231:0.232:0.232)) - (IOPATH S X (0.136:0.137:0.138) (0.225:0.227:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _207_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.124:0.124:0.125) (0.187:0.187:0.187)) - (IOPATH A1 X (0.147:0.147:0.147) (0.209:0.209:0.209)) - (IOPATH S X (0.171:0.174:0.178) (0.226:0.227:0.227)) - (IOPATH S X (0.129:0.130:0.131) (0.220:0.222:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _208_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.081:0.081:0.081) (0.139:0.139:0.139)) - (IOPATH A1 X (0.074:0.074:0.074) (0.143:0.143:0.143)) - (IOPATH S X (0.124:0.125:0.126) (0.184:0.184:0.184)) - (IOPATH S X (0.087:0.087:0.087) (0.177:0.178:0.179)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _209_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.140:0.140:0.140) (0.196:0.196:0.196)) - (IOPATH A1 X (0.145:0.145:0.145) (0.205:0.205:0.205)) - (IOPATH S X (0.206:0.206:0.206) (0.246:0.246:0.246)) - (IOPATH S X (0.163:0.163:0.163) (0.244:0.244:0.244)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _210_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.100:0.100:0.100) (0.165:0.165:0.165)) - (IOPATH A1 X (0.102:0.102:0.102) (0.172:0.172:0.172)) - (IOPATH S X (0.159:0.159:0.159) (0.213:0.213:0.213)) - (IOPATH S X (0.116:0.116:0.116) (0.209:0.209:0.209)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _211_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.094:0.094:0.094) (0.153:0.153:0.153)) - (IOPATH A1 X (0.086:0.086:0.086) (0.156:0.156:0.156)) - (IOPATH S X (0.141:0.141:0.141) (0.197:0.198:0.198)) - (IOPATH S X (0.100:0.100:0.100) (0.194:0.194:0.194)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _212_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.103:0.103:0.103) (0.167:0.167:0.167)) - (IOPATH A1 X (0.104:0.104:0.104) (0.174:0.174:0.174)) - (IOPATH S X (0.161:0.161:0.161) (0.215:0.215:0.215)) - (IOPATH S X (0.118:0.118:0.118) (0.211:0.211:0.211)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _213_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.083:0.083:0.083) (0.151:0.151:0.151)) - (IOPATH A1 X (0.086:0.092:0.098) (0.156:0.158:0.160)) - (IOPATH S X (0.148:0.148:0.148) (0.201:0.201:0.201)) - (IOPATH S X (0.105:0.105:0.105) (0.200:0.200:0.200)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _214_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.077:0.083:0.088) (0.142:0.144:0.145)) - (IOPATH A1 X (0.090:0.090:0.090) (0.149:0.151:0.153)) - (IOPATH S X (0.141:0.141:0.141) (0.194:0.194:0.194)) - (IOPATH S X (0.098:0.098:0.098) (0.193:0.193:0.193)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _215_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.070:0.070:0.071) (0.136:0.136:0.137)) - (IOPATH A1 X (0.080:0.080:0.080) (0.145:0.146:0.147)) - (IOPATH S X (0.137:0.137:0.137) (0.188:0.188:0.188)) - (IOPATH S X (0.093:0.093:0.093) (0.188:0.188:0.188)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _216_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.067:0.067:0.067) (0.138:0.138:0.138)) - (IOPATH A1 X (0.093:0.093:0.093) (0.161:0.161:0.161)) - (IOPATH S X (0.127:0.131:0.134) (0.190:0.190:0.190)) - (IOPATH S X (0.107:0.107:0.107) (0.180:0.183:0.186)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _217_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.080:0.081:0.081) (0.151:0.151:0.151)) - (IOPATH A1 X (0.104:0.104:0.104) (0.171:0.171:0.171)) - (IOPATH S X (0.147:0.147:0.147) (0.199:0.199:0.199)) - (IOPATH S X (0.104:0.104:0.104) (0.199:0.199:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _218_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.074:0.080:0.085) (0.140:0.142:0.145)) - (IOPATH A1 X (0.098:0.098:0.098) (0.166:0.166:0.166)) - (IOPATH S X (0.133:0.136:0.139) (0.195:0.195:0.195)) - (IOPATH S X (0.113:0.113:0.113) (0.186:0.189:0.192)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _219_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.084:0.085:0.086) (0.155:0.155:0.155)) - (IOPATH A1 X (0.108:0.108:0.108) (0.176:0.176:0.176)) - (IOPATH S X (0.150:0.150:0.150) (0.202:0.202:0.202)) - (IOPATH S X (0.107:0.107:0.107) (0.201:0.201:0.201)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _220_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.068:0.069:0.069) (0.134:0.135:0.136)) - (IOPATH A1 X (0.093:0.093:0.093) (0.159:0.159:0.159)) - (IOPATH S X (0.128:0.132:0.135) (0.191:0.191:0.191)) - (IOPATH S X (0.108:0.108:0.109) (0.182:0.184:0.187)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _221_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.080:0.081:0.082) (0.151:0.151:0.151)) - (IOPATH A1 X (0.104:0.104:0.104) (0.171:0.171:0.171)) - (IOPATH S X (0.147:0.147:0.147) (0.199:0.199:0.199)) - (IOPATH S X (0.104:0.104:0.104) (0.199:0.199:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _222_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.068:0.068:0.068) (0.138:0.138:0.138)) - (IOPATH A1 X (0.092:0.092:0.092) (0.160:0.160:0.160)) - (IOPATH S X (0.118:0.126:0.133) (0.188:0.188:0.188)) - (IOPATH S X (0.101:0.101:0.101) (0.173:0.179:0.185)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _223_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.072:0.072:0.073) (0.143:0.143:0.144)) - (IOPATH A1 X (0.097:0.097:0.097) (0.167:0.167:0.167)) - (IOPATH S X (0.141:0.141:0.141) (0.193:0.193:0.193)) - (IOPATH S X (0.098:0.098:0.098) (0.193:0.193:0.193)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _224_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.075:0.081:0.087) (0.138:0.141:0.144)) - (IOPATH A1 X (0.097:0.097:0.097) (0.160:0.160:0.160)) - (IOPATH S X (0.121:0.128:0.136) (0.191:0.191:0.191)) - (IOPATH S X (0.104:0.104:0.104) (0.176:0.182:0.187)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _225_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.082:0.082:0.083) (0.153:0.153:0.154)) - (IOPATH A1 X (0.106:0.106:0.106) (0.175:0.175:0.175)) - (IOPATH S X (0.151:0.151:0.151) (0.202:0.202:0.202)) - (IOPATH S X (0.107:0.107:0.107) (0.202:0.202:0.202)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _226_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.069:0.069:0.070) (0.135:0.135:0.136)) - (IOPATH A1 X (0.091:0.091:0.091) (0.155:0.155:0.155)) - (IOPATH S X (0.120:0.127:0.135) (0.190:0.190:0.190)) - (IOPATH S X (0.103:0.103:0.103) (0.175:0.181:0.187)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _227_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.070:0.070:0.071) (0.140:0.141:0.141)) - (IOPATH A1 X (0.094:0.094:0.094) (0.160:0.160:0.160)) - (IOPATH S X (0.139:0.139:0.139) (0.190:0.190:0.190)) - (IOPATH S X (0.096:0.096:0.096) (0.190:0.190:0.190)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _228_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.093:0.093:0.093) (0.158:0.158:0.158)) - (IOPATH A1 X (0.070:0.070:0.070) (0.146:0.146:0.146)) - (IOPATH S X (0.135:0.136:0.138) (0.188:0.188:0.189)) - (IOPATH S X (0.091:0.091:0.092) (0.187:0.188:0.189)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _229_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.097:0.097:0.097) (0.161:0.161:0.161)) - (IOPATH A1 X (0.084:0.091:0.097) (0.151:0.154:0.156)) - (IOPATH S X (0.140:0.141:0.142) (0.194:0.194:0.194)) - (IOPATH S X (0.095:0.096:0.096) (0.193:0.194:0.195)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _230_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.076:0.076:0.076) (0.144:0.144:0.144)) - (IOPATH A1 X (0.081:0.087:0.093) (0.148:0.152:0.155)) - (IOPATH S X (0.144:0.144:0.144) (0.194:0.194:0.194)) - (IOPATH S X (0.100:0.100:0.100) (0.195:0.195:0.195)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _231_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.085:0.090:0.096) (0.149:0.151:0.152)) - (IOPATH A1 X (0.090:0.090:0.090) (0.154:0.156:0.157)) - (IOPATH S X (0.151:0.151:0.151) (0.201:0.201:0.201)) - (IOPATH S X (0.106:0.106:0.106) (0.202:0.202:0.202)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _232_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.085:0.086:0.086) (0.150:0.151:0.152)) - (IOPATH A1 X (0.095:0.095:0.095) (0.160:0.161:0.162)) - (IOPATH S X (0.153:0.153:0.153) (0.203:0.203:0.203)) - (IOPATH S X (0.108:0.108:0.108) (0.204:0.204:0.204)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _233_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.069:0.069:0.069) (0.141:0.141:0.141)) - (IOPATH A1 X (0.098:0.098:0.098) (0.174:0.174:0.174)) - (IOPATH S X (0.132:0.135:0.139) (0.188:0.188:0.188)) - (IOPATH S X (0.108:0.108:0.108) (0.184:0.187:0.190)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _234_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.112:0.113:0.114) (0.181:0.182:0.182)) - (IOPATH A1 X (0.142:0.142:0.142) (0.217:0.217:0.217)) - (IOPATH S X (0.197:0.197:0.197) (0.230:0.230:0.230)) - (IOPATH S X (0.144:0.144:0.144) (0.241:0.241:0.241)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _235_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.077:0.083:0.090) (0.139:0.142:0.145)) - (IOPATH A1 X (0.098:0.098:0.098) (0.175:0.175:0.175)) - (IOPATH S X (0.131:0.135:0.139) (0.187:0.187:0.187)) - (IOPATH S X (0.108:0.108:0.108) (0.183:0.187:0.190)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _236_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.087:0.087:0.088) (0.157:0.157:0.157)) - (IOPATH A1 X (0.117:0.117:0.117) (0.194:0.194:0.194)) - (IOPATH S X (0.171:0.171:0.171) (0.207:0.207:0.207)) - (IOPATH S X (0.119:0.119:0.119) (0.218:0.218:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _237_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.073:0.073:0.074) (0.137:0.138:0.139)) - (IOPATH A1 X (0.099:0.099:0.099) (0.175:0.175:0.175)) - (IOPATH S X (0.133:0.137:0.141) (0.190:0.190:0.190)) - (IOPATH S X (0.110:0.110:0.110) (0.186:0.189:0.192)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _238_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.084:0.085:0.086) (0.155:0.155:0.155)) - (IOPATH A1 X (0.113:0.113:0.113) (0.189:0.189:0.189)) - (IOPATH S X (0.155:0.155:0.155) (0.204:0.204:0.204)) - (IOPATH S X (0.111:0.111:0.111) (0.205:0.205:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _239_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.068:0.068:0.068) (0.136:0.136:0.136)) - (IOPATH A1 X (0.091:0.091:0.091) (0.159:0.159:0.159)) - (IOPATH S X (0.116:0.124:0.132) (0.187:0.187:0.187)) - (IOPATH S X (0.098:0.098:0.098) (0.172:0.178:0.184)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _240_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.071:0.071:0.072) (0.142:0.142:0.143)) - (IOPATH A1 X (0.096:0.096:0.096) (0.165:0.165:0.165)) - (IOPATH S X (0.156:0.156:0.156) (0.193:0.193:0.193)) - (IOPATH S X (0.104:0.104:0.104) (0.204:0.204:0.204)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _241_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.079:0.085:0.091) (0.145:0.149:0.153)) - (IOPATH A1 X (0.107:0.107:0.107) (0.185:0.185:0.185)) - (IOPATH S X (0.126:0.134:0.142) (0.199:0.199:0.199)) - (IOPATH S X (0.109:0.109:0.109) (0.184:0.190:0.195)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _242_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.075:0.075:0.076) (0.146:0.146:0.146)) - (IOPATH A1 X (0.103:0.103:0.103) (0.180:0.180:0.180)) - (IOPATH S X (0.157:0.157:0.157) (0.194:0.194:0.194)) - (IOPATH S X (0.105:0.105:0.105) (0.205:0.205:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _243_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.085:0.086:0.086) (0.151:0.152:0.153)) - (IOPATH A1 X (0.112:0.112:0.112) (0.188:0.188:0.188)) - (IOPATH S X (0.132:0.140:0.148) (0.204:0.204:0.204)) - (IOPATH S X (0.114:0.114:0.114) (0.189:0.195:0.201)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _244_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.097:0.097:0.098) (0.167:0.167:0.167)) - (IOPATH A1 X (0.122:0.122:0.122) (0.198:0.198:0.198)) - (IOPATH S X (0.163:0.163:0.163) (0.213:0.213:0.213)) - (IOPATH S X (0.119:0.119:0.119) (0.214:0.214:0.214)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _245_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.102:0.102:0.102) (0.177:0.177:0.177)) - (IOPATH A1 X (0.074:0.074:0.074) (0.150:0.150:0.150)) - (IOPATH S X (0.146:0.147:0.148) (0.195:0.195:0.195)) - (IOPATH S X (0.101:0.101:0.101) (0.197:0.198:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _246_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.098:0.098:0.098) (0.170:0.170:0.170)) - (IOPATH A1 X (0.074:0.079:0.084) (0.143:0.145:0.147)) - (IOPATH S X (0.143:0.143:0.144) (0.191:0.191:0.191)) - (IOPATH S X (0.097:0.097:0.097) (0.193:0.194:0.194)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _247_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.046:0.046:0.046) (0.048:0.048:0.048)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _248_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.048:0.048:0.048) (0.027:0.027:0.027)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _249_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.035:0.035:0.035) (0.016:0.016:0.016)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _250_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.041:0.041:0.041) (0.042:0.042:0.042)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _251_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.037:0.037:0.037) (0.029:0.029:0.029)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _252_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.034:0.034:0.035) (0.016:0.016:0.016)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _253_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.036:0.036:0.036) (0.037:0.037:0.037)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _254_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.051:0.051:0.051) (0.022:0.022:0.022)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _255_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.052:0.052:0.052) (0.045:0.045:0.045)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _256_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.039:0.039:0.039) (0.028:0.028:0.028)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _257_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.048:0.048:0.048) (0.040:0.040:0.040)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _258_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.034:0.034:0.034) (0.033:0.033:0.033)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _259_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.057:0.057:0.057) (0.051:0.051:0.051)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _260_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.053:0.053:0.053) (0.024:0.024:0.024)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _261_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.046:0.046:0.046) (0.036:0.036:0.036)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _262_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.048:0.048:0.048) (0.038:0.038:0.038)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _263_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.073:0.073:0.073) (0.030:0.030:0.030)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _264_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.038:0.038:0.038) (0.020:0.020:0.020)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _265_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.037:0.037:0.037) (0.017:0.017:0.017)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _411__8) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.025:0.025:0.025) (0.012:0.012:0.012)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _267_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.034:0.034:0.034) (0.015:0.016:0.016)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _413__5) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.029:0.029:0.029) (0.013:0.013:0.013)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _269_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.034:0.034:0.034) (0.016:0.016:0.016)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _270_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.038:0.039:0.039) (0.014:0.014:0.014)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _415__2) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.032:0.032:0.032) (0.015:0.015:0.015)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _272_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.035:0.036:0.036) (0.016:0.017:0.017)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _273_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.090:0.090:0.090) (0.145:0.145:0.145)) - (IOPATH A1 X (0.077:0.077:0.077) (0.150:0.150:0.150)) - (IOPATH S X (0.140:0.141:0.142) (0.189:0.189:0.189)) - (IOPATH S X (0.093:0.093:0.093) (0.191:0.191:0.192)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _274_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.222:0.222:0.222) (0.085:0.085:0.085)) - (IOPATH B Y (0.224:0.224:0.224) (0.090:0.090:0.090)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _275_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.128:0.128:0.128) (0.051:0.051:0.051)) - (IOPATH B Y (0.123:0.123:0.123) (0.044:0.044:0.044)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_4") - (INSTANCE _276_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.220:0.220:0.220) (0.229:0.229:0.229)) - (IOPATH A2 X (0.211:0.211:0.211) (0.204:0.204:0.204)) - (IOPATH B1 X (0.201:0.201:0.201) (0.165:0.165:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_4") - (INSTANCE _277_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.212:0.212:0.212) (0.105:0.105:0.105)) - (IOPATH A2 Y (0.208:0.208:0.208) (0.093:0.093:0.093)) - (IOPATH B1 Y (0.130:0.130:0.130) (0.083:0.083:0.083)) - (IOPATH C1 Y (0.089:0.089:0.089) (0.070:0.070:0.070)) - (IOPATH D1 Y (0.069:0.069:0.069) (0.060:0.060:0.060)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _278_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.049:0.054:0.059) (0.021:0.020:0.018)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3b_2") - (INSTANCE _279_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.320:0.320:0.320) (0.080:0.080:0.080)) - (IOPATH B Y (0.303:0.303:0.303) (0.075:0.075:0.075)) - (IOPATH C_N Y (0.312:0.312:0.312) (0.158:0.158:0.158)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_1") - (INSTANCE _280_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.126:0.126:0.126) (0.181:0.181:0.181)) - (IOPATH A2 X (0.110:0.110:0.110) (0.176:0.176:0.176)) - (IOPATH B1 X (0.101:0.101:0.101) (0.122:0.122:0.122)) - (IOPATH C1 X (0.103:0.103:0.103) (0.096:0.097:0.099)) - (IOPATH D1 X (0.097:0.097:0.097) (0.080:0.084:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a41oi_1") - (INSTANCE _281_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.140:0.141:0.142) (0.091:0.091:0.091)) - (IOPATH A2 Y (0.161:0.163:0.165) (0.089:0.089:0.089)) - (IOPATH A3 Y (0.168:0.172:0.176) (0.093:0.093:0.093)) - (IOPATH A4 Y (0.159:0.159:0.159) (0.071:0.071:0.071)) - (IOPATH B1 Y (0.074:0.074:0.074) (0.037:0.037:0.037)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _282_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.088:0.088:0.088) (0.039:0.039:0.039)) - (IOPATH A2 Y (0.076:0.077:0.079) (0.033:0.033:0.033)) - (IOPATH B1 Y (0.050:0.062:0.074) (0.029:0.030:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31oi_2") - (INSTANCE _283_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.168:0.169:0.171) (0.100:0.100:0.100)) - (IOPATH A2 Y (0.189:0.189:0.189) (0.074:0.074:0.074)) - (IOPATH A3 Y (0.198:0.198:0.198) (0.076:0.076:0.076)) - (IOPATH B1 Y (0.107:0.107:0.107) (0.047:0.047:0.047)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _284_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.089:0.089:0.089) (0.118:0.118:0.118)) - (IOPATH B Y (0.080:0.087:0.093) (0.052:0.057:0.062)) - (IOPATH C Y (0.086:0.086:0.086) (0.061:0.061:0.061)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _285_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.079:0.080:0.080) (0.035:0.035:0.035)) - (IOPATH A2 Y (0.083:0.092:0.101) (0.038:0.037:0.037)) - (IOPATH B1 Y (0.051:0.055:0.059) (0.026:0.027:0.027)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _286_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.089:0.089:0.089) (0.145:0.145:0.145)) - (IOPATH A1 X (0.075:0.076:0.076) (0.150:0.150:0.150)) - (IOPATH S X (0.143:0.144:0.144) (0.191:0.191:0.191)) - (IOPATH S X (0.096:0.096:0.096) (0.193:0.194:0.195)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _287_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.154:0.154:0.154) (0.061:0.061:0.061)) - (IOPATH B Y (0.156:0.156:0.156) (0.064:0.064:0.064)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _288_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.112:0.112:0.112) (0.048:0.048:0.048)) - (IOPATH B Y (0.104:0.104:0.104) (0.042:0.042:0.042)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_4") - (INSTANCE _289_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.164:0.164:0.164) (0.191:0.191:0.191)) - (IOPATH A2 X (0.159:0.159:0.159) (0.180:0.180:0.180)) - (IOPATH B1 X (0.147:0.147:0.147) (0.129:0.129:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_4") - (INSTANCE _290_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.197:0.197:0.197) (0.101:0.101:0.101)) - (IOPATH A2 Y (0.190:0.190:0.190) (0.090:0.090:0.090)) - (IOPATH B1 Y (0.109:0.109:0.109) (0.079:0.079:0.079)) - (IOPATH C1 Y (0.085:0.085:0.085) (0.067:0.067:0.067)) - (IOPATH D1 Y (0.065:0.065:0.065) (0.057:0.057:0.057)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _291_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.050:0.054:0.058) (0.022:0.021:0.020)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3b_2") - (INSTANCE _292_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.271:0.271:0.271) (0.070:0.070:0.070)) - (IOPATH B Y (0.251:0.251:0.251) (0.060:0.060:0.060)) - (IOPATH C_N Y (0.265:0.265:0.265) (0.148:0.148:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_1") - (INSTANCE _293_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.124:0.124:0.124) (0.170:0.170:0.170)) - (IOPATH A2 X (0.110:0.110:0.110) (0.164:0.164:0.164)) - (IOPATH B1 X (0.101:0.101:0.101) (0.106:0.106:0.106)) - (IOPATH C1 X (0.102:0.102:0.102) (0.089:0.091:0.092)) - (IOPATH D1 X (0.102:0.102:0.102) (0.078:0.082:0.086)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a41oi_1") - (INSTANCE _294_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.111:0.112:0.113) (0.069:0.069:0.069)) - (IOPATH A2 Y (0.129:0.131:0.133) (0.071:0.071:0.071)) - (IOPATH A3 Y (0.142:0.146:0.149) (0.080:0.080:0.080)) - (IOPATH A4 Y (0.139:0.139:0.139) (0.059:0.059:0.059)) - (IOPATH B1 Y (0.068:0.068:0.068) (0.030:0.030:0.030)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _295_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.095:0.095:0.095) (0.038:0.038:0.038)) - (IOPATH A2 Y (0.082:0.084:0.086) (0.036:0.036:0.036)) - (IOPATH B1 Y (0.055:0.063:0.071) (0.030:0.032:0.034)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31oi_2") - (INSTANCE _296_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.141:0.143:0.145) (0.082:0.083:0.083)) - (IOPATH A2 Y (0.168:0.168:0.168) (0.065:0.065:0.065)) - (IOPATH A3 Y (0.177:0.177:0.177) (0.068:0.068:0.068)) - (IOPATH B1 Y (0.092:0.092:0.092) (0.040:0.040:0.040)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _297_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.080:0.080:0.080) (0.108:0.108:0.108)) - (IOPATH B Y (0.071:0.077:0.083) (0.046:0.049:0.053)) - (IOPATH C Y (0.075:0.075:0.075) (0.054:0.054:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _298_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.091:0.091:0.091) (0.039:0.039:0.039)) - (IOPATH A2 Y (0.092:0.098:0.104) (0.042:0.044:0.046)) - (IOPATH B1 Y (0.057:0.061:0.065) (0.029:0.030:0.032)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _299_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.115:0.115:0.115) (0.049:0.049:0.049)) - (IOPATH B Y (0.095:0.095:0.095) (0.038:0.038:0.038)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _300_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.095:0.095:0.095) (0.076:0.076:0.076)) - (IOPATH B Y (0.093:0.096:0.099) (0.074:0.074:0.074)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _301_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.079:0.079:0.079) (0.146:0.146:0.146)) - (IOPATH B X (0.078:0.078:0.078) (0.128:0.131:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _302_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.110:0.110:0.110) (0.047:0.047:0.047)) - (IOPATH B Y (0.100:0.100:0.100) (0.039:0.039:0.039)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_2") - (INSTANCE _303_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.230:0.230:0.230) (0.061:0.061:0.061)) - (IOPATH B Y (0.215:0.215:0.215) (0.057:0.057:0.057)) - (IOPATH C Y (0.169:0.169:0.169) (0.035:0.035:0.035)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _304_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.116:0.116:0.116) (0.050:0.050:0.050)) - (IOPATH B Y (0.098:0.098:0.098) (0.039:0.039:0.039)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _305_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.116:0.116:0.116) (0.089:0.089:0.089)) - (IOPATH B Y (0.114:0.117:0.120) (0.090:0.090:0.090)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _306_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.077:0.077:0.077) (0.145:0.145:0.145)) - (IOPATH B X (0.077:0.077:0.077) (0.135:0.136:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _307_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.128:0.128:0.128) (0.056:0.056:0.056)) - (IOPATH B Y (0.115:0.115:0.115) (0.048:0.048:0.048)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_2") - (INSTANCE _308_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.208:0.208:0.208) (0.055:0.055:0.055)) - (IOPATH B Y (0.189:0.189:0.189) (0.051:0.051:0.051)) - (IOPATH C Y (0.145:0.145:0.145) (0.031:0.031:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_2") - (INSTANCE _309_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.153:0.153:0.153) (0.143:0.143:0.143)) - (IOPATH B X (0.084:0.085:0.085) (0.120:0.120:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _310_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.052:0.052:0.052) (0.035:0.035:0.035)) - (IOPATH B Y (0.054:0.054:0.054) (0.038:0.038:0.038)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _311_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.088:0.088:0.088) (0.149:0.149:0.149)) - (IOPATH B X (0.081:0.081:0.081) (0.133:0.133:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_2") - (INSTANCE _312_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.112:0.112:0.112) (0.145:0.145:0.145)) - (IOPATH A2 X (0.000:0.000:0.000)) - (IOPATH A3 X (0.117:0.117:0.117) (0.172:0.174:0.176)) - (IOPATH B1 X (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_2") - (INSTANCE _313_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.160:0.160:0.160) (0.140:0.140:0.140)) - (IOPATH B X (0.081:0.082:0.082) (0.118:0.118:0.118)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _314_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.053:0.053:0.053) (0.039:0.039:0.039)) - (IOPATH B Y (0.057:0.057:0.057) (0.042:0.042:0.042)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _315_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.089:0.089:0.089) (0.149:0.149:0.149)) - (IOPATH B X (0.086:0.086:0.086) (0.136:0.136:0.136)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_2") - (INSTANCE _316_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.100:0.100:0.100) (0.137:0.137:0.137)) - (IOPATH A2 X (0.000:0.000:0.000)) - (IOPATH A3 X (0.104:0.104:0.105) (0.163:0.164:0.165)) - (IOPATH B1 X (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _317_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.083:0.083:0.083) (0.100:0.100:0.100)) - (IOPATH A Y (0.124:0.124:0.124) (0.053:0.053:0.053)) - (IOPATH B Y (0.081:0.081:0.081) (0.095:0.095:0.095)) - (IOPATH B Y (0.109:0.109:0.109) (0.046:0.046:0.046)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _318_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.077:0.077:0.077) (0.082:0.082:0.082)) - (IOPATH A Y (0.103:0.103:0.103) (0.047:0.047:0.047)) - (IOPATH B Y (0.078:0.078:0.078) (0.092:0.092:0.092)) - (IOPATH B Y (0.103:0.103:0.103) (0.044:0.044:0.044)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _319_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.144:0.144:0.144) (0.045:0.045:0.045)) - (IOPATH B Y (0.137:0.137:0.137) (0.040:0.040:0.040)) - (IOPATH C Y (0.112:0.112:0.112) (0.035:0.035:0.035)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _320_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.113:0.113:0.113) (0.148:0.148:0.148)) - (IOPATH A2 X (0.100:0.100:0.100) (0.136:0.136:0.136)) - (IOPATH B1 X (0.090:0.090:0.090) (0.092:0.092:0.092)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _321_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.091:0.092:0.093) (0.044:0.044:0.044)) - (IOPATH B Y (0.079:0.080:0.082) (0.033:0.033:0.033)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _322_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.083:0.083:0.083) (0.117:0.117:0.117)) - (IOPATH A2 X (0.076:0.076:0.076) (0.118:0.118:0.118)) - (IOPATH B1 X (0.065:0.065:0.065) (0.078:0.078:0.078)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _323_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.064:0.064:0.064) (0.096:0.096:0.096)) - (IOPATH A2 X (0.086:0.086:0.086) (0.120:0.121:0.122)) - (IOPATH B1 X (0.049:0.049:0.049) (0.064:0.065:0.066)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _324_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.075:0.075:0.075) (0.090:0.090:0.090)) - (IOPATH A Y (0.105:0.105:0.105) (0.045:0.045:0.045)) - (IOPATH B Y (0.073:0.073:0.073) (0.096:0.096:0.096)) - (IOPATH B Y (0.101:0.101:0.101) (0.037:0.037:0.037)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _325_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.089:0.089:0.089) (0.223:0.223:0.223)) - (IOPATH B X (0.088:0.088:0.088) (0.216:0.216:0.216)) - (IOPATH C X (0.076:0.076:0.076) (0.180:0.180:0.180)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _326_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.107:0.107:0.107) (0.055:0.055:0.055)) - (IOPATH A2 Y (0.108:0.108:0.108) (0.043:0.043:0.043)) - (IOPATH B1 Y (0.062:0.062:0.062) (0.033:0.033:0.033)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _327_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.038:0.038:0.038) (0.021:0.021:0.021)) - (IOPATH B Y (0.039:0.042:0.044) (0.027:0.028:0.028)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _328_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.087:0.087:0.087) (0.098:0.098:0.098)) - (IOPATH A Y (0.127:0.127:0.127) (0.055:0.055:0.055)) - (IOPATH B Y (0.086:0.086:0.086) (0.103:0.103:0.103)) - (IOPATH B Y (0.122:0.122:0.122) (0.051:0.051:0.051)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _329_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.101:0.101:0.101) (0.239:0.239:0.239)) - (IOPATH B X (0.105:0.105:0.105) (0.231:0.231:0.231)) - (IOPATH C X (0.099:0.099:0.099) (0.207:0.207:0.207)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _330_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.105:0.105:0.105) (0.052:0.052:0.052)) - (IOPATH A2 Y (0.105:0.105:0.105) (0.042:0.042:0.042)) - (IOPATH B1 Y (0.072:0.072:0.072) (0.033:0.033:0.033)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _331_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.045:0.045:0.045) (0.026:0.026:0.026)) - (IOPATH B Y (0.044:0.045:0.047) (0.029:0.030:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _332_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.094:0.094:0.094) (0.106:0.106:0.106)) - (IOPATH A Y (0.143:0.143:0.143) (0.063:0.063:0.063)) - (IOPATH B Y (0.091:0.091:0.091) (0.092:0.092:0.092)) - (IOPATH B Y (0.120:0.120:0.120) (0.055:0.055:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _333_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.089:0.089:0.089) (0.120:0.120:0.120)) - (IOPATH A Y (0.148:0.148:0.148) (0.059:0.059:0.059)) - (IOPATH B Y (0.087:0.087:0.087) (0.114:0.114:0.114)) - (IOPATH B Y (0.136:0.136:0.136) (0.051:0.051:0.051)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _334_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.084:0.084:0.084) (0.087:0.087:0.087)) - (IOPATH A Y (0.115:0.115:0.115) (0.053:0.053:0.053)) - (IOPATH B Y (0.083:0.083:0.083) (0.096:0.096:0.096)) - (IOPATH B Y (0.113:0.113:0.113) (0.049:0.049:0.049)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _335_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.152:0.152:0.152) (0.046:0.046:0.046)) - (IOPATH B Y (0.148:0.148:0.148) (0.038:0.038:0.038)) - (IOPATH C Y (0.124:0.124:0.124) (0.031:0.031:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _336_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.126:0.126:0.126) (0.167:0.167:0.167)) - (IOPATH A2 X (0.111:0.111:0.111) (0.159:0.159:0.159)) - (IOPATH B1 X (0.099:0.099:0.099) (0.112:0.112:0.112)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _337_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.076:0.077:0.078) (0.035:0.035:0.035)) - (IOPATH B Y (0.063:0.064:0.066) (0.027:0.027:0.027)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _338_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.088:0.088:0.088) (0.121:0.121:0.121)) - (IOPATH A2 X (0.079:0.079:0.079) (0.120:0.120:0.120)) - (IOPATH B1 X (0.068:0.068:0.068) (0.081:0.081:0.081)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _339_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.074:0.074:0.074) (0.104:0.104:0.104)) - (IOPATH A2 X (0.099:0.099:0.099) (0.134:0.135:0.136)) - (IOPATH B1 X (0.058:0.058:0.058) (0.070:0.071:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _340_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.098:0.098:0.098) (0.108:0.108:0.108)) - (IOPATH A Y (0.149:0.149:0.149) (0.067:0.067:0.067)) - (IOPATH B Y (0.096:0.096:0.096) (0.112:0.112:0.112)) - (IOPATH B Y (0.143:0.143:0.143) (0.061:0.061:0.061)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _341_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.091:0.091:0.091) (0.226:0.226:0.226)) - (IOPATH B X (0.089:0.089:0.089) (0.218:0.218:0.218)) - (IOPATH C X (0.082:0.082:0.082) (0.187:0.187:0.187)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _342_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.106:0.106:0.106) (0.053:0.053:0.053)) - (IOPATH A2 Y (0.106:0.106:0.106) (0.041:0.041:0.041)) - (IOPATH B1 Y (0.066:0.066:0.066) (0.032:0.032:0.032)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _343_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.048:0.049:0.049) (0.029:0.029:0.029)) - (IOPATH B Y (0.052:0.053:0.055) (0.035:0.037:0.039)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _344_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.081:0.081:0.081) (0.103:0.103:0.103)) - (IOPATH A Y (0.122:0.122:0.122) (0.051:0.051:0.051)) - (IOPATH B Y (0.074:0.074:0.074) (0.090:0.090:0.090)) - (IOPATH B Y (0.100:0.100:0.100) (0.040:0.040:0.040)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _345_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.101:0.101:0.101) (0.238:0.238:0.238)) - (IOPATH B X (0.086:0.086:0.086) (0.216:0.216:0.216)) - (IOPATH C X (0.090:0.090:0.090) (0.197:0.197:0.197)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _346_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.111:0.111:0.111) (0.054:0.054:0.054)) - (IOPATH A2 Y (0.094:0.094:0.094) (0.039:0.039:0.039)) - (IOPATH B1 Y (0.068:0.068:0.068) (0.032:0.032:0.032)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _347_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.052:0.052:0.052) (0.031:0.031:0.032)) - (IOPATH B Y (0.048:0.053:0.057) (0.036:0.038:0.039)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _348_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.077:0.077:0.077) (0.093:0.093:0.093)) - (IOPATH A Y (0.110:0.110:0.110) (0.047:0.047:0.047)) - (IOPATH B Y (0.074:0.074:0.074) (0.081:0.081:0.081)) - (IOPATH B Y (0.088:0.088:0.088) (0.040:0.040:0.040)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _349_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.200:0.200:0.200) (0.074:0.074:0.074)) - (IOPATH B Y (0.157:0.157:0.157) (0.048:0.048:0.048)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_1") - (INSTANCE _350_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.239:0.239:0.239) (0.122:0.122:0.122)) - (IOPATH A2 Y (0.235:0.235:0.235) (0.114:0.114:0.114)) - (IOPATH B1 Y (0.149:0.149:0.149) (0.102:0.102:0.102)) - (IOPATH C1 Y (0.133:0.133:0.133) (0.095:0.095:0.095)) - (IOPATH D1 Y (0.110:0.113:0.115) (0.095:0.095:0.096)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _351_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.107:0.110:0.113) (0.045:0.046:0.046)) - (IOPATH A2 Y (0.104:0.104:0.104) (0.048:0.048:0.048)) - (IOPATH B1 Y (0.085:0.085:0.085) (0.026:0.026:0.026)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _352_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.095:0.095:0.095) (0.049:0.049:0.049)) - (IOPATH A2 Y (0.103:0.106:0.110) (0.041:0.040:0.039)) - (IOPATH B1 Y (0.051:0.057:0.062) (0.029:0.030:0.030)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _353_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.102:0.102:0.102) (0.051:0.051:0.051)) - (IOPATH A2 Y (0.089:0.091:0.092) (0.039:0.039:0.039)) - (IOPATH B1 Y (0.052:0.052:0.052) (0.030:0.030:0.030)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4b_1") - (INSTANCE _354_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.088:0.088:0.088) (0.120:0.120:0.120)) - (IOPATH B Y (0.071:0.071:0.071) (0.062:0.062:0.062)) - (IOPATH C Y (0.071:0.074:0.077) (0.062:0.062:0.062)) - (IOPATH D Y (0.066:0.066:0.066) (0.055:0.055:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _355_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.041:0.043:0.045) (0.029:0.031:0.033)) - (IOPATH B Y (0.052:0.054:0.057) (0.033:0.035:0.036)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _356_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.071:0.071:0.071) (0.087:0.087:0.087)) - (IOPATH B Y (0.068:0.068:0.068) (0.039:0.039:0.039)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _357_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.085:0.085:0.085) (0.109:0.109:0.109)) - (IOPATH B Y (0.054:0.054:0.054) (0.040:0.040:0.040)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _358_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.048:0.050:0.052) (0.038:0.039:0.040)) - (IOPATH B Y (0.058:0.059:0.059) (0.044:0.045:0.045)) - (IOPATH C Y (0.058:0.058:0.058) (0.048:0.048:0.048)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _359_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.087:0.087:0.087) (0.037:0.037:0.037)) - (IOPATH B Y (0.064:0.064:0.064) (0.028:0.028:0.028)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _360_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.092:0.092:0.092) (0.103:0.103:0.103)) - (IOPATH B X (0.083:0.083:0.083) (0.097:0.097:0.097)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _361_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.084:0.087:0.089) (0.043:0.043:0.043)) - (IOPATH A2 Y (0.076:0.076:0.076) (0.032:0.033:0.033)) - (IOPATH B1 Y (0.056:0.058:0.060) (0.030:0.030:0.030)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _362_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.087:0.087:0.087) (0.132:0.132:0.132)) - (IOPATH A2 X (0.090:0.090:0.090) (0.126:0.128:0.131)) - (IOPATH B1 X (0.076:0.077:0.079) (0.110:0.110:0.110)) - (IOPATH B2 X (0.068:0.070:0.072) (0.092:0.095:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _363_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.084:0.084:0.084) (0.111:0.111:0.111)) - (IOPATH B Y (0.075:0.081:0.086) (0.048:0.053:0.057)) - (IOPATH C Y (0.088:0.088:0.088) (0.057:0.057:0.057)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _364_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.080:0.080:0.080) (0.035:0.035:0.035)) - (IOPATH A2 Y (0.081:0.087:0.093) (0.037:0.038:0.039)) - (IOPATH B1 Y (0.050:0.054:0.058) (0.026:0.027:0.028)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _365_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.074:0.074:0.074) (0.102:0.102:0.102)) - (IOPATH B Y (0.064:0.070:0.075) (0.039:0.042:0.044)) - (IOPATH C Y (0.062:0.062:0.062) (0.044:0.044:0.044)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _366_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.085:0.085:0.085) (0.037:0.037:0.037)) - (IOPATH A2 Y (0.086:0.092:0.098) (0.040:0.041:0.042)) - (IOPATH B1 Y (0.050:0.054:0.058) (0.025:0.027:0.028)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _367_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.092:0.092:0.092) (0.153:0.153:0.153)) - (IOPATH A1 X (0.075:0.075:0.075) (0.148:0.148:0.148)) - (IOPATH S X (0.140:0.141:0.142) (0.188:0.188:0.188)) - (IOPATH S X (0.093:0.093:0.093) (0.190:0.190:0.191)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _368_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.095:0.095:0.095) (0.156:0.156:0.156)) - (IOPATH A1 X (0.074:0.074:0.074) (0.148:0.148:0.148)) - (IOPATH S X (0.142:0.143:0.144) (0.190:0.190:0.190)) - (IOPATH S X (0.095:0.096:0.096) (0.192:0.193:0.194)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _369_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.099:0.099:0.099) (0.049:0.049:0.049)) - (IOPATH A2 Y (0.083:0.085:0.087) (0.039:0.039:0.039)) - (IOPATH B1 Y (0.049:0.049:0.049) (0.023:0.023:0.023)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31ai_1") - (INSTANCE _370_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.160:0.160:0.160) (0.054:0.054:0.054)) - (IOPATH A2 Y (0.141:0.141:0.141) (0.038:0.038:0.038)) - (IOPATH A3 Y (0.124:0.126:0.128) (0.043:0.043:0.043)) - (IOPATH B1 Y (0.035:0.039:0.043) (0.024:0.025:0.027)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _371_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.107:0.107:0.107) (0.053:0.053:0.053)) - (IOPATH A2 Y (0.090:0.092:0.094) (0.043:0.043:0.043)) - (IOPATH B1_N Y (0.067:0.068:0.068) (0.088:0.088:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _372_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.101:0.101:0.101) (0.196:0.196:0.196)) - (IOPATH A2 X (0.102:0.102:0.102) (0.190:0.190:0.190)) - (IOPATH A3 X (0.089:0.089:0.089) (0.151:0.154:0.156)) - (IOPATH B1 X (0.065:0.069:0.072) (0.062:0.065:0.068)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _373_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.124:0.124:0.124) (0.061:0.061:0.061)) - (IOPATH A2 Y (0.107:0.109:0.111) (0.052:0.052:0.052)) - (IOPATH B1_N Y (0.073:0.073:0.073) (0.090:0.090:0.091)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _374_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.101:0.101:0.101) (0.197:0.197:0.197)) - (IOPATH A2 X (0.101:0.101:0.101) (0.190:0.190:0.190)) - (IOPATH A3 X (0.089:0.089:0.089) (0.153:0.155:0.157)) - (IOPATH B1 X (0.069:0.071:0.074) (0.065:0.068:0.071)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _375_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.080:0.081:0.081) (0.151:0.152:0.152)) - (IOPATH A1 X (0.102:0.102:0.102) (0.162:0.162:0.162)) - (IOPATH S X (0.150:0.150:0.150) (0.201:0.201:0.201)) - (IOPATH S X (0.107:0.107:0.107) (0.202:0.202:0.202)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _376_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.080:0.080:0.080) (0.152:0.152:0.152)) - (IOPATH A1 X (0.104:0.104:0.104) (0.171:0.171:0.171)) - (IOPATH S X (0.148:0.148:0.148) (0.200:0.200:0.200)) - (IOPATH S X (0.105:0.105:0.105) (0.201:0.201:0.201)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor4_1") - (INSTANCE _377_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.200:0.200:0.200) (0.047:0.047:0.047)) - (IOPATH B Y (0.194:0.194:0.194) (0.044:0.044:0.044)) - (IOPATH C Y (0.163:0.163:0.163) (0.040:0.040:0.040)) - (IOPATH D Y (0.127:0.127:0.127) (0.033:0.033:0.033)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _378_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.104:0.104:0.104) (0.199:0.199:0.199)) - (IOPATH A2 X (0.102:0.102:0.102) (0.189:0.189:0.189)) - (IOPATH A3 X (0.090:0.090:0.090) (0.153:0.153:0.153)) - (IOPATH B1 X (0.074:0.074:0.074) (0.075:0.075:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _379_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.090:0.091:0.091) (0.140:0.143:0.146)) - (IOPATH B X (0.073:0.073:0.073) (0.126:0.128:0.131)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _380_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.088:0.088:0.088) (0.151:0.151:0.151)) - (IOPATH A1 X (0.078:0.078:0.078) (0.153:0.153:0.153)) - (IOPATH S X (0.144:0.144:0.144) (0.200:0.200:0.200)) - (IOPATH S X (0.106:0.106:0.106) (0.198:0.198:0.198)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _381_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.125:0.125:0.125) (0.058:0.058:0.058)) - (IOPATH A2 Y (0.105:0.105:0.106) (0.045:0.045:0.045)) - (IOPATH B1 Y (0.060:0.060:0.060) (0.034:0.034:0.034)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4b_1") - (INSTANCE _382_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.084:0.084:0.084) (0.119:0.119:0.119)) - (IOPATH B Y (0.066:0.066:0.066) (0.057:0.057:0.057)) - (IOPATH C Y (0.066:0.069:0.072) (0.058:0.058:0.058)) - (IOPATH D Y (0.061:0.061:0.061) (0.051:0.051:0.051)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _383_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.039:0.043:0.047) (0.027:0.028:0.030)) - (IOPATH B Y (0.046:0.049:0.051) (0.029:0.031:0.032)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _384_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.084:0.084:0.084) (0.106:0.106:0.106)) - (IOPATH B Y (0.051:0.051:0.051) (0.038:0.038:0.038)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _385_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.075:0.075:0.075) (0.089:0.089:0.089)) - (IOPATH B Y (0.066:0.066:0.066) (0.044:0.044:0.044)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _386_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.078:0.078:0.078) (0.091:0.091:0.091)) - (IOPATH B Y (0.075:0.075:0.075) (0.048:0.048:0.048)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _387_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.086:0.086:0.086) (0.121:0.121:0.121)) - (IOPATH B Y (0.051:0.051:0.051) (0.038:0.038:0.038)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _388_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.079:0.081:0.083) (0.066:0.066:0.066)) - (IOPATH B Y (0.071:0.072:0.073) (0.053:0.054:0.054)) - (IOPATH C Y (0.068:0.069:0.070) (0.055:0.055:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _389_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.061:0.062:0.062) (0.049:0.049:0.049)) - (IOPATH B Y (0.073:0.076:0.079) (0.055:0.056:0.057)) - (IOPATH C Y (0.070:0.070:0.070) (0.057:0.057:0.057)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _390_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.105:0.105:0.105) (0.157:0.157:0.157)) - (IOPATH A2 X (0.093:0.093:0.093) (0.138:0.140:0.142)) - (IOPATH B1 X (0.081:0.082:0.082) (0.114:0.119:0.125)) - (IOPATH B2 X (0.072:0.072:0.072) (0.102:0.102:0.102)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _391_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.083:0.083:0.083) (0.113:0.113:0.113)) - (IOPATH B Y (0.073:0.080:0.087) (0.046:0.050:0.054)) - (IOPATH C Y (0.087:0.087:0.087) (0.055:0.055:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _392_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.088:0.088:0.088) (0.038:0.038:0.038)) - (IOPATH A2 Y (0.090:0.099:0.108) (0.041:0.042:0.042)) - (IOPATH B1 Y (0.053:0.057:0.061) (0.027:0.029:0.030)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _393_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.093:0.093:0.093) (0.121:0.121:0.121)) - (IOPATH B Y (0.084:0.091:0.097) (0.055:0.061:0.066)) - (IOPATH C Y (0.087:0.087:0.087) (0.064:0.064:0.064)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _394_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.082:0.082:0.083) (0.036:0.036:0.036)) - (IOPATH A2 Y (0.086:0.095:0.104) (0.039:0.039:0.039)) - (IOPATH B1 Y (0.054:0.058:0.062) (0.028:0.028:0.029)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _395_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.096:0.096:0.096) (0.158:0.158:0.158)) - (IOPATH A1 X (0.077:0.077:0.077) (0.151:0.151:0.151)) - (IOPATH S X (0.142:0.143:0.144) (0.192:0.192:0.192)) - (IOPATH S X (0.095:0.095:0.095) (0.193:0.194:0.195)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _396_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.093:0.093:0.093) (0.155:0.155:0.155)) - (IOPATH A1 X (0.075:0.075:0.075) (0.148:0.148:0.148)) - (IOPATH S X (0.138:0.139:0.139) (0.187:0.187:0.187)) - (IOPATH S X (0.091:0.091:0.091) (0.188:0.189:0.189)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _397_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.150:0.150:0.150) (0.074:0.074:0.074)) - (IOPATH A2 Y (0.131:0.133:0.135) (0.063:0.063:0.063)) - (IOPATH B1 Y (0.076:0.076:0.076) (0.035:0.035:0.035)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31ai_1") - (INSTANCE _398_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.236:0.236:0.236) (0.079:0.079:0.079)) - (IOPATH A2 Y (0.203:0.203:0.203) (0.053:0.053:0.053)) - (IOPATH A3 Y (0.199:0.201:0.202) (0.066:0.066:0.067)) - (IOPATH B1 Y (0.062:0.069:0.075) (0.044:0.046:0.049)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _399_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.143:0.143:0.143) (0.067:0.067:0.067)) - (IOPATH A2 Y (0.123:0.125:0.127) (0.056:0.056:0.056)) - (IOPATH B1_N Y (0.077:0.077:0.078) (0.093:0.093:0.093)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _400_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.111:0.111:0.111) (0.213:0.213:0.213)) - (IOPATH A2 X (0.108:0.108:0.108) (0.196:0.196:0.196)) - (IOPATH A3 X (0.096:0.096:0.096) (0.166:0.168:0.170)) - (IOPATH B1 X (0.075:0.077:0.080) (0.068:0.073:0.078)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _401_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.132:0.132:0.132) (0.065:0.065:0.065)) - (IOPATH A2 Y (0.124:0.126:0.128) (0.056:0.056:0.056)) - (IOPATH B1_N Y (0.084:0.084:0.084) (0.099:0.100:0.100)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _402_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.107:0.107:0.107) (0.212:0.212:0.212)) - (IOPATH A2 X (0.102:0.102:0.102) (0.190:0.190:0.190)) - (IOPATH A3 X (0.093:0.093:0.093) (0.164:0.166:0.168)) - (IOPATH B1 X (0.072:0.074:0.077) (0.067:0.072:0.076)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_1") - (INSTANCE _403_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.196:0.196:0.196) (0.101:0.101:0.101)) - (IOPATH A2 Y (0.190:0.190:0.190) (0.092:0.092:0.092)) - (IOPATH B1 Y (0.123:0.123:0.123) (0.082:0.082:0.082)) - (IOPATH C1 Y (0.108:0.108:0.108) (0.080:0.080:0.080)) - (IOPATH D1 Y (0.084:0.086:0.087) (0.071:0.071:0.071)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _404_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.096:0.098:0.100) (0.040:0.042:0.045)) - (IOPATH A2 Y (0.103:0.103:0.103) (0.047:0.047:0.047)) - (IOPATH B1 Y (0.068:0.068:0.068) (0.028:0.028:0.028)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _405_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.099:0.099:0.099) (0.051:0.051:0.051)) - (IOPATH A2 Y (0.097:0.099:0.102) (0.040:0.041:0.042)) - (IOPATH B1 Y (0.052:0.056:0.060) (0.030:0.030:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _406_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.080:0.080:0.081) (0.151:0.151:0.151)) - (IOPATH A1 X (0.100:0.100:0.100) (0.160:0.160:0.160)) - (IOPATH S X (0.151:0.151:0.151) (0.199:0.199:0.199)) - (IOPATH S X (0.106:0.106:0.106) (0.202:0.202:0.202)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _407_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.072:0.072:0.073) (0.143:0.143:0.144)) - (IOPATH A1 X (0.096:0.096:0.096) (0.162:0.162:0.162)) - (IOPATH S X (0.144:0.144:0.144) (0.193:0.193:0.193)) - (IOPATH S X (0.099:0.099:0.099) (0.195:0.195:0.195)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor4_1") - (INSTANCE _408_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.206:0.206:0.206) (0.047:0.047:0.047)) - (IOPATH B Y (0.184:0.184:0.184) (0.043:0.043:0.043)) - (IOPATH C Y (0.156:0.156:0.156) (0.038:0.038:0.038)) - (IOPATH D Y (0.119:0.119:0.119) (0.031:0.031:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _409_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.093:0.093:0.093) (0.200:0.200:0.200)) - (IOPATH A2 X (0.087:0.087:0.087) (0.173:0.173:0.173)) - (IOPATH A3 X (0.076:0.076:0.076) (0.140:0.140:0.140)) - (IOPATH B1 X (0.059:0.059:0.059) (0.065:0.065:0.065)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _410_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.084:0.084:0.084) (0.134:0.139:0.144)) - (IOPATH B X (0.061:0.062:0.062) (0.119:0.121:0.123)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _412__9) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.046:0.046:0.046) (0.002:0.002:0.002)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_ext_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (-0.014:-0.014:-0.014) (1.158:1.158:1.158)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _414__6) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.028:0.028:0.028) (0.011:0.011:0.011)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _266__7) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.027:0.027:0.027) (0.014:0.014:0.014)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _416__3) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.027:0.027:0.027) (0.012:0.012:0.012)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _268__4) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.028:0.028:0.028) (0.011:0.011:0.011)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _417_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.295:0.295:0.295) (0.211:0.211:0.211)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.101:0.101:0.101)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.086:-0.086:-0.086)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (HOLD (negedge D) (posedge CLK) (-0.012:-0.012:-0.012)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.044:0.044:0.044)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _418_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.298:0.298:0.298) (0.212:0.212:0.212)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.100:0.100:0.100)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.085:-0.085:-0.085)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.013)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.044:0.044:0.044)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _419_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.300:0.300:0.300) (0.215:0.215:0.215)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.101:0.101:0.101)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.087:-0.087:-0.087)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.006:-0.006:-0.006)) - (SETUP (posedge D) (posedge CLK) (0.030:0.030:0.030)) - (SETUP (negedge D) (posedge CLK) (0.037:0.037:0.037)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _420_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.264:0.264:0.264) (0.269:0.269:0.269)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.224:0.224:0.224)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.129:-0.129:-0.129)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033)) - (SETUP (posedge D) (posedge CLK) (0.035:0.035:0.035)) - (SETUP (negedge D) (posedge CLK) (0.073:0.073:0.073)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _421_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.232:0.232:0.232) (0.248:0.248:0.248)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.239:0.239:0.239)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.120:-0.120:-0.120)) - (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (SETUP (posedge D) (posedge CLK) (0.040:0.040:0.040)) - (SETUP (negedge D) (posedge CLK) (0.082:0.082:0.082)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _422_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.354:0.354:0.354) (0.248:0.248:0.248)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.105:0.105:0.105)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.091:-0.091:-0.091)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.022:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.011:-0.014:-0.018)) - (SETUP (posedge D) (posedge CLK) (0.033:0.035:0.037)) - (SETUP (negedge D) (posedge CLK) (0.042:0.046:0.050)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _423_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.214:0.214:0.214) (0.235:0.235:0.235)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.235:0.235:0.235)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.123:-0.123:-0.123)) - (HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022)) - (HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031)) - (SETUP (posedge D) (posedge CLK) (0.036:0.036:0.036)) - (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.071)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _424_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.208:0.208:0.208) (0.200:0.200:0.200)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.056:-0.056:-0.056)) - (SETUP (posedge D) (posedge CLK) (0.038:0.038:0.038)) - (SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _425_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.220:0.220:0.220) (0.207:0.207:0.207)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.055:-0.055:-0.055)) - (SETUP (posedge D) (posedge CLK) (0.038:0.038:0.038)) - (SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _426_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.214:0.214:0.214) (0.204:0.204:0.204)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.053:-0.053:-0.053)) - (SETUP (posedge D) (posedge CLK) (0.037:0.037:0.037)) - (SETUP (negedge D) (posedge CLK) (0.084:0.084:0.084)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _427_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.346:0.346:0.346) (0.245:0.245:0.245)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.104:0.104:0.104)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.090:-0.090:-0.090)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.010:-0.011:-0.012)) - (SETUP (posedge D) (posedge CLK) (0.032:0.033:0.033)) - (SETUP (negedge D) (posedge CLK) (0.042:0.043:0.044)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _428_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.226:0.226:0.226) (0.243:0.243:0.243)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.224:0.224:0.224)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.129:-0.129:-0.129)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.031)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.070:0.070:0.071)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _429_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.351:0.351:0.351) (0.273:0.273:0.273)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.305:0.305:0.305)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.182:-0.182:-0.182)) - (HOLD (posedge D) (negedge CLK_N) (0.057:0.056:0.055)) - (HOLD (negedge D) (negedge CLK_N) (-0.021:-0.024:-0.027)) - (SETUP (posedge D) (negedge CLK_N) (-0.031:-0.029:-0.027)) - (SETUP (negedge D) (negedge CLK_N) (0.074:0.077:0.080)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _430_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.382:0.382:0.382) (0.256:0.256:0.256)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.100:0.100:0.100)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.086:-0.086:-0.086)) - (HOLD (posedge D) (posedge CLK) (-0.022:-0.023:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.014:-0.016:-0.019)) - (SETUP (posedge D) (posedge CLK) (0.035:0.037:0.038)) - (SETUP (negedge D) (posedge CLK) (0.045:0.048:0.051)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _431_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.294:0.294:0.294) (0.240:0.240:0.240)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.300:0.300:0.300)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.184:-0.184:-0.184)) - (HOLD (posedge D) (negedge CLK_N) (0.056:0.055:0.054)) - (HOLD (negedge D) (negedge CLK_N) (-0.021:-0.025:-0.028)) - (SETUP (posedge D) (negedge CLK_N) (-0.029:-0.027:-0.025)) - (SETUP (negedge D) (negedge CLK_N) (0.074:0.078:0.081)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _432_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.370:0.370:0.370) (0.249:0.249:0.249)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.100:0.100:0.100)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.086:-0.086:-0.086)) - (HOLD (posedge D) (posedge CLK) (-0.022:-0.023:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.013:-0.015:-0.017)) - (SETUP (posedge D) (posedge CLK) (0.035:0.036:0.038)) - (SETUP (negedge D) (posedge CLK) (0.044:0.047:0.049)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _433_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.321:0.321:0.321) (0.257:0.257:0.257)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.305:0.305:0.305)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.182:-0.182:-0.182)) - (HOLD (posedge D) (negedge CLK_N) (0.058:0.058:0.058)) - (HOLD (negedge D) (negedge CLK_N) (-0.025:-0.025:-0.026)) - (SETUP (posedge D) (negedge CLK_N) (-0.032:-0.032:-0.032)) - (SETUP (negedge D) (negedge CLK_N) (0.078:0.079:0.079)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _434_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.353:0.353:0.353) (0.245:0.245:0.245)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.101:0.101:0.101)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.084:-0.084:-0.084)) - (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019)) - (HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.013)) - (SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033)) - (SETUP (negedge D) (posedge CLK) (0.051:0.051:0.051)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _435_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.328:0.328:0.328) (0.259:0.259:0.259)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.305:0.305:0.305)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.182:-0.182:-0.182)) - (HOLD (posedge D) (negedge CLK_N) (0.058:0.058:0.058)) - (HOLD (negedge D) (negedge CLK_N) (-0.026:-0.026:-0.026)) - (SETUP (posedge D) (negedge CLK_N) (-0.032:-0.032:-0.032)) - (SETUP (negedge D) (negedge CLK_N) (0.079:0.079:0.079)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _436_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.286:0.286:0.286) (0.294:0.294:0.294)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.224:0.224:0.224)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.112:-0.112:-0.112)) - (HOLD (posedge D) (posedge CLK) (-0.019:-0.023:-0.026)) - (HOLD (negedge D) (posedge CLK) (-0.024:-0.027:-0.031)) - (SETUP (posedge D) (posedge CLK) (0.036:0.040:0.045)) - (SETUP (negedge D) (posedge CLK) (0.067:0.071:0.075)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _437_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.422:0.422:0.422) (0.281:0.281:0.281)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.105:0.105:0.105)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.091:-0.091:-0.091)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (HOLD (negedge D) (posedge CLK) (-0.009:-0.012:-0.014)) - (SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033)) - (SETUP (negedge D) (posedge CLK) (0.041:0.043:0.046)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _438_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.299:0.299:0.299) (0.287:0.287:0.287)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.235:0.235:0.235)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.121:-0.121:-0.121)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.027:-0.030:-0.033)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.067:0.070:0.073)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _439_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.379:0.379:0.379) (0.265:0.265:0.265)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.106:0.106:0.106)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.089:-0.089:-0.089)) - (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019)) - (HOLD (negedge D) (posedge CLK) (-0.011:-0.011:-0.011)) - (SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033)) - (SETUP (negedge D) (posedge CLK) (0.049:0.050:0.050)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _440_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.292:0.292:0.292) (0.284:0.284:0.284)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.230:0.230:0.230)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.127:-0.127:-0.127)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.074:0.074:0.074)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _441_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.249:0.249:0.249) (0.259:0.259:0.259)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.230:0.230:0.230)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.127:-0.127:-0.127)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.073:0.073:0.073)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _442_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.196:0.196:0.196) (0.219:0.219:0.219)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.221:0.221:0.221)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125:-0.125:-0.125)) - (HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029)) - (HOLD (negedge D) (posedge CLK) (-0.062:-0.062:-0.062)) - (SETUP (posedge D) (posedge CLK) (0.045:0.045:0.045)) - (SETUP (negedge D) (posedge CLK) (0.106:0.106:0.106)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _443_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.298:0.298:0.298) (0.214:0.214:0.214)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.101:0.101:0.101)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.087:-0.087:-0.087)) - (HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029)) - (HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043)) - (SETUP (negedge D) (posedge CLK) (0.073:0.073:0.073)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _444_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.194:0.194:0.194) (0.218:0.218:0.218)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.221:0.221:0.221)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125:-0.125:-0.125)) - (HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029)) - (HOLD (negedge D) (posedge CLK) (-0.062:-0.062:-0.062)) - (SETUP (posedge D) (posedge CLK) (0.045:0.045:0.045)) - (SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _445_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.199:0.199:0.199) (0.221:0.221:0.221)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.221:0.221:0.221)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125:-0.125:-0.125)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.071)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _446_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.399:0.399:0.399) (0.266:0.266:0.266)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.101:0.101:0.101)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.087:-0.087:-0.087)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.011:-0.011:-0.011)) - (SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033)) - (SETUP (negedge D) (posedge CLK) (0.042:0.042:0.042)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _447_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.264:0.264:0.264) (0.265:0.265:0.265)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.221:0.221:0.221)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125:-0.125:-0.125)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.071)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _448_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.220:0.220:0.220) (0.208:0.208:0.208)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.017:-0.017:-0.017)) - (HOLD (negedge D) (posedge CLK) (-0.041:-0.042:-0.042)) - (SETUP (posedge D) (posedge CLK) (0.029:0.029:0.029)) - (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _449_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.205:0.205:0.205) (0.199:0.199:0.199)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.055:-0.055:-0.055)) - (SETUP (posedge D) (posedge CLK) (0.038:0.038:0.038)) - (SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _450_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.215:0.215:0.215) (0.204:0.204:0.204)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029)) - (HOLD (negedge D) (posedge CLK) (-0.068:-0.068:-0.068)) - (SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044)) - (SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _451_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.213:0.213:0.213) (0.203:0.203:0.203)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.035:0.035:0.035)) - (SETUP (negedge D) (posedge CLK) (0.079:0.079:0.079)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _452_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.353:0.353:0.353) (0.249:0.249:0.249)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.105:0.105:0.105)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.091:-0.091:-0.091)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.009:-0.010:-0.012)) - (SETUP (posedge D) (posedge CLK) (0.032:0.032:0.032)) - (SETUP (negedge D) (posedge CLK) (0.040:0.042:0.043)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _453_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.248:0.248:0.248) (0.259:0.259:0.259)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.238:0.238:0.238)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.119:-0.119:-0.119)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.031)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.070:0.071:0.071)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _454_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.357:0.357:0.357) (0.276:0.276:0.276)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.309:0.309:0.309)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.178:-0.178:-0.178)) - (HOLD (posedge D) (negedge CLK_N) (0.056:0.055:0.053)) - (HOLD (negedge D) (negedge CLK_N) (-0.022:-0.026:-0.029)) - (SETUP (posedge D) (negedge CLK_N) (-0.029:-0.027:-0.025)) - (SETUP (negedge D) (negedge CLK_N) (0.075:0.079:0.083)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _455_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.396:0.396:0.396) (0.263:0.263:0.263)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.100:0.100:0.100)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.086:-0.086:-0.086)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.022:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.013:-0.016:-0.018)) - (SETUP (posedge D) (posedge CLK) (0.034:0.035:0.037)) - (SETUP (negedge D) (posedge CLK) (0.044:0.047:0.050)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _456_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.320:0.320:0.320) (0.256:0.256:0.256)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.309:0.309:0.309)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.178:-0.178:-0.178)) - (HOLD (posedge D) (negedge CLK_N) (0.056:0.055:0.054)) - (HOLD (negedge D) (negedge CLK_N) (-0.023:-0.026:-0.029)) - (SETUP (posedge D) (negedge CLK_N) (-0.029:-0.027:-0.025)) - (SETUP (negedge D) (negedge CLK_N) (0.076:0.079:0.082)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _457_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.349:0.349:0.349) (0.241:0.241:0.241)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.101:0.101:0.101)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.087:-0.087:-0.087)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.022:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.012:-0.015:-0.017)) - (SETUP (posedge D) (posedge CLK) (0.034:0.036:0.037)) - (SETUP (negedge D) (posedge CLK) (0.043:0.046:0.049)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _458_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.321:0.321:0.321) (0.256:0.256:0.256)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.309:0.309:0.309)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.178:-0.178:-0.178)) - (HOLD (posedge D) (negedge CLK_N) (0.056:0.056:0.056)) - (HOLD (negedge D) (negedge CLK_N) (-0.027:-0.028:-0.028)) - (SETUP (posedge D) (negedge CLK_N) (-0.030:-0.030:-0.030)) - (SETUP (negedge D) (negedge CLK_N) (0.081:0.081:0.081)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _459_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.361:0.361:0.361) (0.249:0.249:0.249)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.101:0.101:0.101)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.084:-0.084:-0.084)) - (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019)) - (HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.013)) - (SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033)) - (SETUP (negedge D) (posedge CLK) (0.051:0.051:0.051)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _460_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.333:0.333:0.333) (0.261:0.261:0.261)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.309:0.309:0.309)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.178:-0.178:-0.178)) - (HOLD (posedge D) (negedge CLK_N) (0.057:0.057:0.056)) - (HOLD (negedge D) (negedge CLK_N) (-0.026:-0.026:-0.027)) - (SETUP (posedge D) (negedge CLK_N) (-0.031:-0.031:-0.031)) - (SETUP (negedge D) (negedge CLK_N) (0.079:0.080:0.080)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _461_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.300:0.300:0.300) (0.331:0.331:0.331)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.239:0.239:0.239)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.088:-0.088:-0.088)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.027:-0.032)) - (HOLD (negedge D) (posedge CLK) (-0.029:-0.033:-0.037)) - (SETUP (posedge D) (posedge CLK) (0.040:0.047:0.054)) - (SETUP (negedge D) (posedge CLK) (0.073:0.078:0.083)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _462_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.429:0.429:0.429) (0.284:0.284:0.284)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.104:0.104:0.104)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.090:-0.090:-0.090)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (HOLD (negedge D) (posedge CLK) (-0.010:-0.013:-0.015)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.042:0.044:0.047)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _463_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.290:0.290:0.290) (0.281:0.281:0.281)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.238:0.238:0.238)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.119:-0.119:-0.119)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.021)) - (HOLD (negedge D) (posedge CLK) (-0.027:-0.030:-0.033)) - (SETUP (posedge D) (posedge CLK) (0.034:0.035:0.035)) - (SETUP (negedge D) (posedge CLK) (0.067:0.071:0.074)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _464_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.358:0.358:0.358) (0.251:0.251:0.251)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.104:0.104:0.104)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.090:-0.090:-0.090)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.022:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.011:-0.013:-0.016)) - (SETUP (posedge D) (posedge CLK) (0.034:0.035:0.037)) - (SETUP (negedge D) (posedge CLK) (0.042:0.045:0.048)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _465_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.376:0.376:0.376) (0.262:0.262:0.262)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.106:0.106:0.106)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.089:-0.089:-0.089)) - (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019)) - (HOLD (negedge D) (posedge CLK) (-0.011:-0.011:-0.011)) - (SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033)) - (SETUP (negedge D) (posedge CLK) (0.050:0.050:0.050)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _466_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.282:0.282:0.282) (0.277:0.277:0.277)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.238:0.238:0.238)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.119:-0.119:-0.119)) - (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019)) - (HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.033)) - (SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033)) - (SETUP (negedge D) (posedge CLK) (0.072:0.073:0.073)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _467_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.240:0.240:0.240) (0.252:0.252:0.252)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.236:0.236:0.236)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.121:-0.121:-0.121)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032)) - (SETUP (posedge D) (posedge CLK) (0.033:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _468_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.197:0.197:0.197) (0.220:0.220:0.220)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.232:0.232:0.232)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.117:-0.117:-0.117)) - (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027)) - (HOLD (negedge D) (posedge CLK) (-0.055:-0.055:-0.055)) - (SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043)) - (SETUP (negedge D) (posedge CLK) (0.097:0.097:0.097)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _469_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.297:0.297:0.297) (0.213:0.213:0.213)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.102:0.102:0.102)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.087:-0.087:-0.087)) - (HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029)) - (HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043)) - (SETUP (negedge D) (posedge CLK) (0.073:0.073:0.073)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _470_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.195:0.195:0.195) (0.219:0.219:0.219)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.232:0.232:0.232)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.117:-0.117:-0.117)) - (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027)) - (HOLD (negedge D) (posedge CLK) (-0.056:-0.056:-0.056)) - (SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043)) - (SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _471_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.238:0.238:0.238) (0.251:0.251:0.251)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.232:0.232:0.232)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.117:-0.117:-0.117)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _472_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.397:0.397:0.397) (0.264:0.264:0.264)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.102:0.102:0.102)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.087:-0.087:-0.087)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.011:-0.011:-0.011)) - (SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033)) - (SETUP (negedge D) (posedge CLK) (0.043:0.043:0.043)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _473_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.252:0.252:0.252) (0.258:0.258:0.258)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.232:0.232:0.232)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.117:-0.117:-0.117)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.071)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _271__1) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.028:0.028:0.028) (0.012:0.012:0.012)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout18) - (DELAY - (ABSOLUTE - (IOPATH A X (0.196:0.196:0.196) (0.164:0.164:0.164)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout17) - (DELAY - (ABSOLUTE - (IOPATH A X (0.179:0.179:0.179) (0.155:0.155:0.155)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE fanout16) - (DELAY - (ABSOLUTE - (IOPATH A X (0.152:0.152:0.152) (0.130:0.130:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout15) - (DELAY - (ABSOLUTE - (IOPATH A X (0.220:0.220:0.220) (0.180:0.180:0.180)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout14) - (DELAY - (ABSOLUTE - (IOPATH A X (0.243:0.243:0.243) (0.193:0.193:0.193)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout13) - (DELAY - (ABSOLUTE - (IOPATH A X (0.221:0.221:0.221) (0.182:0.182:0.182)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE user_clk_out_buffer) - (DELAY - (ABSOLUTE - (IOPATH A X (0.193:0.193:0.193) (0.174:0.175:0.176)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output11) - (DELAY - (ABSOLUTE - (IOPATH A X (0.188:0.188:0.188) (0.139:0.143:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input9) - (DELAY - (ABSOLUTE - (IOPATH A X (-0.265:-0.265:-0.265) (0.939:0.939:0.939)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input8) - (DELAY - (ABSOLUTE - (IOPATH A X (-0.271:-0.271:-0.271) (0.933:0.933:0.933)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input7) - (DELAY - (ABSOLUTE - (IOPATH A X (-0.259:-0.259:-0.259) (0.943:0.943:0.943)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input6) - (DELAY - (ABSOLUTE - (IOPATH A X (-0.312:-0.312:-0.312) (0.899:0.899:0.899)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input5) - (DELAY - (ABSOLUTE - (IOPATH A X (-0.271:-0.271:-0.271) (0.933:0.933:0.933)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input4) - (DELAY - (ABSOLUTE - (IOPATH A X (-0.328:-0.328:-0.328) (0.885:0.885:0.885)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input3) - (DELAY - (ABSOLUTE - (IOPATH A X (-0.278:-0.278:-0.278) (0.922:0.922:0.922)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input2) - (DELAY - (ABSOLUTE - (IOPATH A X (-0.279:-0.279:-0.279) (0.925:0.925:0.925)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input1) - (DELAY - (ABSOLUTE - (IOPATH A X (-0.287:-0.287:-0.287) (0.917:0.917:0.917)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout19) - (DELAY - (ABSOLUTE - (IOPATH A X (0.184:0.184:0.184) (0.163:0.163:0.163)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE fanout20) - (DELAY - (ABSOLUTE - (IOPATH A X (0.144:0.144:0.144) (0.122:0.122:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout21) - (DELAY - (ABSOLUTE - (IOPATH A X (0.194:0.194:0.194) (0.176:0.176:0.176)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout22) - (DELAY - (ABSOLUTE - (IOPATH A X (0.212:0.212:0.212) (0.176:0.176:0.176)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout23) - (DELAY - (ABSOLUTE - (IOPATH A X (0.168:0.168:0.168) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout24) - (DELAY - (ABSOLUTE - (IOPATH A X (0.158:0.158:0.158) (0.141:0.141:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout25) - (DELAY - (ABSOLUTE - (IOPATH A X (0.173:0.173:0.173) (0.169:0.169:0.169)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE fanout26) - (DELAY - (ABSOLUTE - (IOPATH A X (0.185:0.185:0.185) (0.163:0.163:0.163)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout27) - (DELAY - (ABSOLUTE - (IOPATH A X (0.165:0.165:0.165) (0.159:0.159:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout28) - (DELAY - (ABSOLUTE - (IOPATH A X (0.199:0.199:0.199) (0.174:0.174:0.174)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout29) - (DELAY - (ABSOLUTE - (IOPATH A X (0.209:0.209:0.209) (0.194:0.194:0.194)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_ext_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.098:0.098:0.098) (0.129:0.129:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_ext_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.102:0.102:0.102) (0.134:0.134:0.134)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0__037_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.111:0.111:0.111) (0.121:0.122:0.123)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f__037_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.075:0.075:0.075) (0.088:0.088:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f__037_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.075:0.075:0.075) (0.088:0.088:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_net10) - (DELAY - (ABSOLUTE - (IOPATH A X (0.094:0.094:0.094) (0.108:0.109:0.109)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_net10) - (DELAY - (ABSOLUTE - (IOPATH A X (0.187:0.187:0.187) (0.164:0.164:0.164)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_net10) - (DELAY - (ABSOLUTE - (IOPATH A X (0.088:0.088:0.088) (0.098:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_pll_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (-0.012:-0.012:-0.012) (1.159:1.159:1.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_pll_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.131:0.131:0.131) (0.155:0.155:0.155)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_pll_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.126:0.126:0.126) (0.152:0.152:0.152)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_divider\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.096:0.096:0.096) (0.103:0.104:0.105)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_divider\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.082:0.082:0.082) (0.094:0.094:0.094)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_divider\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.079:0.079:0.079) (0.092:0.092:0.092)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_pll_clk90) - (DELAY - (ABSOLUTE - (IOPATH A X (-0.011:-0.011:-0.011) (1.160:1.160:1.160)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_pll_clk90) - (DELAY - (ABSOLUTE - (IOPATH A X (0.125:0.125:0.125) (0.151:0.151:0.151)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_pll_clk90) - (DELAY - (ABSOLUTE - (IOPATH A X (0.127:0.127:0.127) (0.153:0.153:0.153)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_divider2\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.090:0.090:0.090) (0.099:0.099:0.100)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_divider2\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.077:0.077:0.077) (0.090:0.090:0.090)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_divider2\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.080:0.080:0.080) (0.093:0.093:0.093)) - ) - ) - ) -) diff --git a/sdf/caravel_clocking/min/caravel_clocking.ss.sdf b/sdf/caravel_clocking/min/caravel_clocking.ss.sdf deleted file mode 100644 index 1f4ea74f..00000000 --- a/sdf/caravel_clocking/min/caravel_clocking.ss.sdf +++ /dev/null @@ -1,4640 +0,0 @@ -(DELAYFILE - (SDFVERSION "3.0") - (DESIGN "caravel_clocking") - (DATE "Thu Oct 13 17:51:11 2022") - (VENDOR "Parallax") - (PROGRAM "STA") - (VERSION "2.3.1") - (DIVIDER .) - (VOLTAGE 1.600::1.600) - (PROCESS "1.000::1.000") - (TEMPERATURE 100.000::100.000) - (TIMESCALE 1ns) - (CELL - (CELLTYPE "caravel_clocking") - (INSTANCE) - (DELAY - (ABSOLUTE - (INTERCONNECT ext_clk clkbuf_0_ext_clk.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_clk ANTENNA_clkbuf_0_ext_clk_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_clk_sel input1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_clk_sel ANTENNA_input1_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_reset input2.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_reset ANTENNA_input2_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT pll_clk clkbuf_0_pll_clk.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT pll_clk ANTENNA_clkbuf_0_pll_clk_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT pll_clk90 clkbuf_0_pll_clk90.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT pll_clk90 ANTENNA_clkbuf_0_pll_clk90_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT resetb input3.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT resetb ANTENNA_input3_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[0] input4.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[0] ANTENNA_input4_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[1] input5.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[1] ANTENNA_input5_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[2] input6.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[2] ANTENNA_input6_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[0] input7.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[0] ANTENNA_input7_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[1] input8.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[1] ANTENNA_input8_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[2] input9.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[2] ANTENNA_input9_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _206_.X _286_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _206_.X _367_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _206_.X _368_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _207_.X _273_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _207_.X _395_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _207_.X _396_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _208_.X _309_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _209_.X clkbuf_0__037_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _210_.X clkbuf_0_net10.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _211_.X _313_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _212_.X user_clk_out_buffer.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _213_.X _367_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _214_.X _286_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _215_.X _368_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _216_.X _217_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _217_.X _267_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _218_.X _219_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _219_.X _252_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _220_.X _221_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _221_.X _269_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _222_.X _223_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _223_.X _369_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _224_.X _225_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _225_.X _371_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _226_.X _227_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _227_.X _373_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _228_.X _375_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _229_.X _376_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _230_.X _395_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _231_.X _273_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _232_.X _396_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _233_.X _234_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _234_.X _270_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _235_.X _236_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _236_.X _249_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _237_.X _238_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _238_.X _272_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _239_.X _240_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _240_.X _397_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _241_.X _242_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _242_.X _399_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _243_.X _244_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _244_.X _401_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _245_.X _406_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _246_.X _407_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _247_.Y _277_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _247_.Y _283_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _248_.Y _281_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _248_.Y _282_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _249_.Y _285_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _250_.Y _290_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _250_.Y _296_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _251_.Y _294_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _251_.Y _295_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _252_.Y _298_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _253_.Y _228_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _254_.Y _213_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _254_.Y _323_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _255_.Y _290_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _255_.Y _296_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _256_.Y _216_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _222_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _303_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _370_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _258_.Y _245_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _259_.Y _277_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _259_.Y _283_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _260_.Y _230_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _260_.Y _339_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _261_.Y _233_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _262_.Y _239_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _262_.Y _308_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _262_.Y _398_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _263_.Y _420_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _264_.Y _354_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _265_.Y _382_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _411__8.Y _418_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _267_.Y _364_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _413__5.Y _432_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _269_.Y _366_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _270_.Y _392_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _415__2.Y _457_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _272_.Y _394_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _273_.X _459_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _274_.Y _280_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _274_.Y _281_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _274_.Y _339_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _275_.Y _211_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _276_.X _281_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _276_.X _283_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _276_.X _306_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _276_.X _316_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _276_.X _388_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _276_.X _390_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _276_.X _397_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _276_.X _398_.A3 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _276_.X _399_.A2 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _276_.X _400_.A3 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _276_.X _401_.A2 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _276_.X _402_.A3 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _276_.X ANTENNA__402__A3.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _276_.X ANTENNA__401__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _276_.X ANTENNA__400__A3.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _276_.X ANTENNA__399__A2.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _276_.X ANTENNA__398__A3.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _276_.X ANTENNA__397__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _276_.X ANTENNA__390__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _276_.X ANTENNA__388__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _276_.X ANTENNA__316__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _276_.X ANTENNA__306__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _276_.X ANTENNA__283__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _276_.X ANTENNA__281__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _277_.Y _278_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _277_.Y _284_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _277_.Y _391_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _277_.Y _393_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _278_.Y _207_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _279_.Y _233_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _279_.Y _235_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _279_.Y _237_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _279_.Y _280_.D1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _279_.Y _281_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _280_.X _282_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _281_.Y _282_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _282_.Y _457_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _283_.Y _285_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _283_.Y _392_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _283_.Y _394_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _284_.Y _285_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _285_.Y _455_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _286_.X _434_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _287_.Y _293_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _287_.Y _294_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _287_.Y _323_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _288_.Y _208_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _289_.X _294_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _296_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _301_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _312_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _361_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _362_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _369_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _370_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _289_.X _371_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _372_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _373_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _374_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _290_.Y _291_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _290_.Y _297_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _290_.Y _363_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _290_.Y _365_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _291_.Y _206_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.Y _216_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.Y _218_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.Y _220_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.Y _293_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.Y _294_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _293_.X _295_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _294_.Y _295_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _295_.Y _432_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.Y _298_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.Y _364_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.Y _366_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _297_.Y _298_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _298_.Y _430_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _299_.Y _300_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _299_.Y _354_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _228_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _229_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _353_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _301_.X _206_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _302_.Y _403_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _303_.Y _222_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _303_.Y _224_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _303_.Y _226_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.Y _305_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.Y _382_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _305_.Y _245_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _305_.Y _246_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _305_.Y _381_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _306_.X _207_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _307_.Y _350_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _239_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _241_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _243_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _309_.X _312_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _310_.Y _312_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _311_.X _312_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _312_.X clkbuf_0_divider\.out.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _313_.X _316_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _314_.Y _316_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _315_.X _316_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _316_.X clkbuf_0_divider2\.out.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _317_.Y _213_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _318_.Y _214_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _319_.Y _321_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _320_.X _215_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _320_.X _321_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _321_.Y _214_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _322_.X _323_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _323_.X _215_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _324_.Y _218_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _325_.X _327_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _326_.Y _327_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _327_.Y _220_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _328_.Y _224_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _329_.X _331_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _330_.Y _331_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _331_.Y _226_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _332_.Y _229_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _333_.Y _230_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _334_.Y _231_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _335_.Y _337_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _336_.X _232_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _336_.X _337_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _337_.Y _231_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _338_.X _339_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _339_.X _232_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _340_.Y _235_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _341_.X _343_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _342_.Y _343_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _343_.Y _237_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _344_.Y _241_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _345_.X _347_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _346_.Y _347_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _347_.Y _243_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _348_.Y _246_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _349_.Y output11.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _350_.Y _351_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _350_.Y _352_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _351_.Y _352_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _352_.Y _422_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _353_.Y _355_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _354_.Y _355_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _355_.Y _427_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _356_.Y _358_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _357_.Y _358_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _358_.Y _362_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _359_.Y _361_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _360_.X _361_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _361_.Y _362_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _362_.X _428_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _363_.Y _364_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _364_.Y _429_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _365_.Y _366_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _366_.Y _431_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _367_.X _433_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _368_.X _435_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _369_.Y _370_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _370_.Y _436_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _371_.Y _372_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _372_.X _437_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _373_.Y _374_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _374_.X _438_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _375_.X _439_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _376_.X _440_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _377_.Y _379_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _378_.X _379_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _379_.X _441_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _380_.X _448_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _381_.Y _383_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _382_.Y _383_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _383_.Y _452_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _384_.Y _389_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _385_.Y _388_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _386_.Y _389_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _387_.Y _388_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _388_.Y _390_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _389_.Y _390_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _390_.X _453_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _391_.Y _392_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _392_.Y _454_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _393_.Y _394_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _394_.Y _456_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _395_.X _458_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _396_.X _460_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _397_.Y _398_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _398_.Y _461_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _399_.Y _400_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _400_.X _462_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _401_.Y _402_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _402_.X _463_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.Y _404_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.Y _405_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _404_.Y _405_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _405_.Y _464_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _406_.X _465_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _407_.X _466_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _408_.Y _410_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _409_.X _410_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _410_.X _467_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _412__9.Y _419_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_ext_clk.X clkbuf_1_0__f_ext_clk.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_ext_clk.X clkbuf_1_1__f_ext_clk.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _414__6.Y _434_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _266__7.Y _417_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _416__3.Y _459_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _268__4.Y _430_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _417_.Q _349_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _418_.Q _417_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _419_.Q _418_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _420_.Q _209_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _420_.Q _421_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _421_.Q _210_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _421_.Q _212_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.Q _314_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.Q _315_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.Q _351_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.Q _352_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _423_.Q _209_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _424_.Q _358_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Q _356_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Q _357_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _426_.Q _359_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _426_.Q _360_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _427_.Q _208_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _427_.Q _264_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _427_.Q _353_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _428_.Q _362_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _428_.Q fanout24.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _429_.Q _256_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _429_.Q _292_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _429_.Q _324_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _429_.Q _325_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _429_.Q _326_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _429_.Q _363_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _430_.Q _292_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _430_.Q _297_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _430_.Q _324_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _430_.Q _325_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _430_.Q _326_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _431_.Q _292_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _431_.Q _325_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _431_.Q _326_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _431_.Q _365_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _432_.Q _251_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _432_.Q _310_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _432_.Q _311_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _433_.Q _254_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _433_.Q _318_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _433_.Q _322_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _433_.Q _367_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _434_.Q _250_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _434_.Q _286_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _434_.Q _287_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _434_.Q _318_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _434_.Q _322_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _435_.Q _255_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _435_.Q _287_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _435_.Q _322_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _435_.Q _368_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _436_.Q _257_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _436_.Q _328_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _436_.Q _329_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _436_.Q _330_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _436_.Q _403_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _437_.Q _302_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _437_.Q _303_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _437_.Q _328_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _437_.Q _329_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _437_.Q _330_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _437_.Q _372_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _438_.Q _302_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _438_.Q _303_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _438_.Q _329_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _438_.Q _330_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _438_.Q _374_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _439_.Q _253_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _439_.Q _300_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _439_.Q _332_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _439_.Q _354_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _439_.Q _375_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _439_.Q _377_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _439_.Q _378_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _440_.Q _299_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _440_.Q _332_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _440_.Q _376_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _440_.Q _377_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _440_.Q _378_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _441_.Q _299_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _441_.Q _377_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _441_.Q _378_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _442_.Q _445_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _443_.Q _446_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _444_.Q _447_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _445_.Q fanout20.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _446_.Q _224_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _446_.Q _403_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _446_.Q _288_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _446_.Q _289_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _446_.Q fanout18.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _447_.Q _403_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _447_.Q _359_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _447_.Q _226_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _447_.Q fanout17.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _448_.Q _380_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _448_.Q _423_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _449_.Q _389_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _450_.Q _384_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _450_.Q _386_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _451_.Q _385_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _451_.Q _387_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _452_.Q _211_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _452_.Q _265_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _452_.Q _381_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _453_.Q _281_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _453_.Q fanout22.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _454_.Q _261_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _454_.Q _279_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _454_.Q _340_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _454_.Q _341_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _454_.Q _342_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _454_.Q _391_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _279_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _284_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _340_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _341_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _342_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _279_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _341_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _342_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _393_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _457_.Q _248_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _457_.Q _314_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _457_.Q _315_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _260_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _334_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _338_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _395_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _459_.Q _247_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _459_.Q _273_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _459_.Q _274_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _459_.Q _334_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _459_.Q _338_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _460_.Q _259_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _460_.Q _274_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _460_.Q _338_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _460_.Q _396_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _461_.Q _262_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _344_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _345_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _346_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _350_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _462_.Q _307_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _462_.Q _308_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _462_.Q _344_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _462_.Q _345_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _462_.Q _346_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _462_.Q _400_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _463_.Q _307_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _463_.Q _308_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _463_.Q _345_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _463_.Q _346_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _463_.Q _402_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _464_.Q _310_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _464_.Q _311_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _464_.Q _404_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _464_.Q _405_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _258_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _305_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _348_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _382_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _406_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _408_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _409_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _466_.Q _304_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _466_.Q _348_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _466_.Q _407_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _466_.Q _408_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _466_.Q _409_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _304_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _408_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _409_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _468_.Q _471_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _469_.Q _472_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _470_.Q _473_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _471_.Q fanout15.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _471_.Q fanout16.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _472_.Q _384_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _472_.Q _276_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _472_.Q _350_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _472_.Q _386_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _472_.Q fanout14.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _473_.Q _451_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _385_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _350_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q fanout13.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _271__1.Y _455_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout18.X _218_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout18.X _219_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout18.X _290_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout18.X _293_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout18.X _317_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout18.X _319_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout18.X _228_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout18.X _320_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout18.X _356_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout18.X _357_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout18.X _425_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout18.X _225_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout17.X _289_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout17.X _221_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT fanout17.X _220_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout17.X _290_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout17.X _293_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout17.X _319_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout17.X _320_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout17.X _229_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout17.X _288_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout17.X _426_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout17.X _360_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout17.X _227_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout16.X _382_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout16.X _406_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout16.X _407_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout16.X _449_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout16.X _350_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout16.X _239_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout16.X _240_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout15.X _280_.B1 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout15.X _336_.A2 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout15.X _234_.A1 (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout15.X _333_.B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout15.X _335_.C (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout15.X _233_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout15.X _277_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout15.X _276_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout15.X _313_.A_N (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout15.X _381_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout15.X _408_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout15.X _409_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout15.X ANTENNA__409__A1.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout15.X ANTENNA__408__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout15.X ANTENNA__381__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout15.X ANTENNA__313__A_N.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout15.X ANTENNA__276__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout15.X ANTENNA__277__B1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout15.X ANTENNA__233__A1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout15.X ANTENNA__335__C.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout15.X ANTENNA__333__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout15.X ANTENNA__234__A1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout15.X ANTENNA__336__A2.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout15.X ANTENNA__280__B1.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X _236_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout14.X _242_.A1 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X _333_.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X _335_.B (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X _235_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout14.X _241_.A1 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X _277_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X _280_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout14.X _336_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout14.X _450_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout14.X _275_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout14.X _245_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout14.X ANTENNA__245__A0.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout14.X ANTENNA__275__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout14.X ANTENNA__450__D.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout14.X ANTENNA__336__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout14.X ANTENNA__280__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout14.X ANTENNA__277__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X ANTENNA__241__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X ANTENNA__235__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout14.X ANTENNA__335__B.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X ANTENNA__333__A.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X ANTENNA__242__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout14.X ANTENNA__236__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout13.X _238_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X _243_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout13.X _244_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X _237_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X _335_.A (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout13.X _336_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X _277_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X _280_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X _276_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout13.X _275_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout13.X _246_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout13.X _387_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout13.X ANTENNA__387__A_N.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout13.X ANTENNA__246__A0.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout13.X ANTENNA__275__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout13.X ANTENNA__276__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout13.X ANTENNA__280__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X ANTENNA__277__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X ANTENNA__336__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X ANTENNA__335__A.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout13.X ANTENNA__237__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X ANTENNA__244__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X ANTENNA__243__A1.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout13.X ANTENNA__238__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT user_clk_out_buffer.X user_clk (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT output11.X resetb_sync (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input9.X _444_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input8.X _443_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input7.X _442_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input6.X _470_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input5.X _469_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input4.X _468_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input3.X fanout25.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input3.X fanout26.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input3.X fanout29.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input2.X _349_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input1.X _263_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout19.X _375_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _376_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _377_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _378_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _317_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _320_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout19.X _290_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout19.X _319_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout19.X _217_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout19.X _354_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _353_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _293_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout20.X fanout19.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout20.X _216_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout20.X _424_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout20.X _403_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout20.X _309_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout20.X _289_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout20.X _223_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout20.X _222_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _230_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout21.X _238_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _283_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout21.X _284_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _391_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _393_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout21.X _306_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _207_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _232_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout21.X _231_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout21.X _401_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout21.X _244_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout22.X fanout21.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout22.X _234_.S (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout22.X _242_.S (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout22.X _399_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout22.X _236_.S (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout22.X _400_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout22.X _397_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout22.X _398_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout22.X _390_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout22.X _351_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout22.X _240_.S (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT fanout22.X _402_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout22.X ANTENNA__402__A1.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout22.X ANTENNA__240__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout22.X ANTENNA__351__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout22.X ANTENNA__390__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout22.X ANTENNA__398__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout22.X ANTENNA__397__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout22.X ANTENNA__400__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout22.X ANTENNA__236__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout22.X ANTENNA__399__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout22.X ANTENNA__242__S.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout22.X ANTENNA__234__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout22.X ANTENNA_fanout21_A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout23.X _219_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout23.X _215_.S (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT fanout23.X _296_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout23.X _301_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT fanout23.X _214_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout23.X _213_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout23.X _206_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout23.X _297_.A_N (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT fanout23.X _363_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout23.X _365_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout23.X _221_.S (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT fanout23.X _217_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout24.X fanout23.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout24.X _294_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout24.X _369_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout24.X _370_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout24.X _372_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout24.X _374_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout24.X _404_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout24.X _223_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout24.X _373_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout24.X _371_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout24.X _227_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout24.X _225_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout25.X _429_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout25.X _430_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout25.X _432_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout25.X _433_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout25.X _434_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout25.X _435_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout25.X _439_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout25.X _440_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout25.X _441_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout25.X _380_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout25.X _418_.SET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout25.X _419_.SET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout26.X _423_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout26.X _454_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout26.X _455_.SET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout26.X _456_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout26.X _458_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout26.X _459_.SET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout26.X _460_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout26.X _462_.SET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout27.X _420_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout27.X _427_.SET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout27.X _431_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _436_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _442_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _443_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _444_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout27.X _445_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _446_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _447_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _464_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _428_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout28.X _417_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout28.X _421_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout28.X _422_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout28.X _453_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X _457_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout28.X _461_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X _463_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout28.X _469_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout28.X _472_.SET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X _452_.SET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X _465_.SET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X _466_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X ANTENNA__466__RESET_B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X ANTENNA__465__SET_B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout28.X ANTENNA__452__SET_B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X ANTENNA__472__SET_B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X ANTENNA__469__SET_B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X ANTENNA__463__RESET_B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout28.X ANTENNA__461__RESET_B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X ANTENNA__457__SET_B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout28.X ANTENNA__453__RESET_B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X ANTENNA__422__SET_B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout28.X ANTENNA__421__RESET_B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout28.X ANTENNA__417__SET_B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout29.X fanout27.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout29.X _438_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X _437_.SET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X fanout28.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout29.X _473_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X _471_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X _470_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X _468_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X _467_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X ANTENNA__467__RESET_B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X ANTENNA__468__RESET_B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X ANTENNA__470__RESET_B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X ANTENNA__471__RESET_B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X ANTENNA__473__RESET_B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X ANTENNA_fanout28_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout29.X ANTENNA__437__SET_B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout29.X ANTENNA__438__RESET_B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X ANTENNA_fanout27_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _419__30.LO _419_.D (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_ext_clk.X _380_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_ext_clk.X _209_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0__037_.X clkbuf_1_0__f__037_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0__037_.X clkbuf_1_1__f__037_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f__037_.X _210_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f__037_.X _212_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_net10.X clkbuf_1_0__f_net10.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_net10.X clkbuf_1_1__f_net10.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_net10.X core_clk (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT clkbuf_1_0__f_net10.X _412__9.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_1__f_net10.X _411__8.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_net10.X _266__7.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_pll_clk.X clkbuf_1_0__f_pll_clk.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_pll_clk.X clkbuf_1_1__f_pll_clk.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _414__6.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _268__4.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _448_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _441_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _440_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _439_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _435_.CLK_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _433_.CLK_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _429_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _423_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _421_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _413__5.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _464_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _438_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _437_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _436_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _431_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _428_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _427_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _426_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _425_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _424_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _420_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _208_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_divider\.out.X clkbuf_1_0__f_divider\.out.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_divider\.out.X clkbuf_1_1__f_divider\.out.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _447_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _446_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _445_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _443_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _442_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider\.out.X _444_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider\.out.X _210_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_pll_clk90.X clkbuf_1_0__f_pll_clk90.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_pll_clk90.X clkbuf_1_1__f_pll_clk90.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _416__3.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _271__1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _463_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _462_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _460_.CLK_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _458_.CLK_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _456_.CLK_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _454_.CLK_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _415__2.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _467_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _466_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _465_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _461_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _453_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _452_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _451_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _450_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _449_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _422_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _211_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_divider2\.out.X clkbuf_1_0__f_divider2\.out.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_divider2\.out.X clkbuf_1_1__f_divider2\.out.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider2\.out.X _472_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider2\.out.X _469_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider2\.out.X _212_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider2\.out.X _473_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider2\.out.X _471_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider2\.out.X _470_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider2\.out.X _468_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _206_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.356:0.356:0.356) (0.779:0.779:0.779)) - (IOPATH A1 X (0.422:0.422:0.422) (0.824:0.824:0.824)) - (IOPATH S X (0.491:0.499:0.508) (0.838:0.840:0.841)) - (IOPATH S X (0.375:0.376:0.378) (0.815:0.822:0.829)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _207_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.335:0.336:0.337) (0.760:0.760:0.760)) - (IOPATH A1 X (0.420:0.420:0.420) (0.818:0.818:0.818)) - (IOPATH S X (0.474:0.483:0.491) (0.821:0.823:0.824)) - (IOPATH S X (0.359:0.360:0.362) (0.798:0.805:0.812)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _208_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.260:0.260:0.260) (0.629:0.629:0.629)) - (IOPATH A1 X (0.229:0.229:0.229) (0.634:0.634:0.634)) - (IOPATH S X (0.374:0.378:0.381) (0.735:0.736:0.736)) - (IOPATH S X (0.288:0.288:0.288) (0.676:0.679:0.682)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _209_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.376:0.376:0.376) (0.780:0.780:0.780)) - (IOPATH A1 X (0.402:0.402:0.402) (0.817:0.817:0.817)) - (IOPATH S X (0.585:0.585:0.585) (0.916:0.916:0.916)) - (IOPATH S X (0.457:0.457:0.457) (0.889:0.889:0.889)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _210_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.289:0.289:0.289) (0.694:0.694:0.694)) - (IOPATH A1 X (0.298:0.298:0.298) (0.719:0.719:0.719)) - (IOPATH S X (0.469:0.469:0.469) (0.795:0.795:0.795)) - (IOPATH S X (0.339:0.339:0.339) (0.779:0.779:0.779)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _211_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.297:0.297:0.297) (0.672:0.672:0.672)) - (IOPATH A1 X (0.261:0.261:0.261) (0.674:0.674:0.674)) - (IOPATH S X (0.417:0.417:0.418) (0.779:0.780:0.781)) - (IOPATH S X (0.322:0.323:0.324) (0.727:0.727:0.728)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _212_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.294:0.294:0.294) (0.700:0.700:0.700)) - (IOPATH A1 X (0.302:0.302:0.302) (0.724:0.724:0.724)) - (IOPATH S X (0.475:0.475:0.475) (0.801:0.801:0.801)) - (IOPATH S X (0.344:0.344:0.344) (0.785:0.785:0.785)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _213_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.254:0.254:0.254) (0.658:0.658:0.658)) - (IOPATH A1 X (0.261:0.287:0.314) (0.685:0.689:0.693)) - (IOPATH S X (0.446:0.446:0.446) (0.784:0.784:0.784)) - (IOPATH S X (0.323:0.323:0.323) (0.755:0.755:0.755)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _214_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.233:0.258:0.283) (0.637:0.640:0.643)) - (IOPATH A1 X (0.291:0.291:0.291) (0.656:0.661:0.666)) - (IOPATH S X (0.429:0.429:0.429) (0.761:0.761:0.761)) - (IOPATH S X (0.306:0.306:0.306) (0.731:0.731:0.731)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _215_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.216:0.218:0.220) (0.618:0.618:0.618)) - (IOPATH A1 X (0.254:0.255:0.255) (0.653:0.654:0.655)) - (IOPATH S X (0.418:0.418:0.418) (0.746:0.746:0.746)) - (IOPATH S X (0.295:0.295:0.295) (0.716:0.716:0.716)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _216_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.213:0.213:0.213) (0.618:0.618:0.618)) - (IOPATH A1 X (0.304:0.304:0.304) (0.696:0.696:0.696)) - (IOPATH S X (0.389:0.395:0.401) (0.849:0.850:0.850)) - (IOPATH S X (0.427:0.427:0.427) (0.691:0.696:0.700)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _217_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.247:0.249:0.251) (0.670:0.670:0.670)) - (IOPATH A1 X (0.332:0.332:0.332) (0.724:0.724:0.724)) - (IOPATH S X (0.446:0.446:0.446) (0.782:0.782:0.782)) - (IOPATH S X (0.323:0.323:0.323) (0.752:0.752:0.752)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _218_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.227:0.249:0.271) (0.631:0.635:0.640)) - (IOPATH A1 X (0.319:0.319:0.319) (0.706:0.706:0.706)) - (IOPATH S X (0.402:0.407:0.413) (0.867:0.867:0.867)) - (IOPATH S X (0.440:0.440:0.440) (0.708:0.713:0.717)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _219_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.259:0.261:0.262) (0.680:0.680:0.680)) - (IOPATH A1 X (0.347:0.347:0.347) (0.738:0.738:0.738)) - (IOPATH S X (0.452:0.452:0.452) (0.789:0.789:0.789)) - (IOPATH S X (0.329:0.329:0.329) (0.760:0.760:0.760)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _220_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.212:0.213:0.214) (0.613:0.615:0.617)) - (IOPATH A1 X (0.300:0.300:0.300) (0.687:0.687:0.687)) - (IOPATH S X (0.392:0.397:0.403) (0.853:0.853:0.853)) - (IOPATH S X (0.429:0.429:0.429) (0.694:0.699:0.704)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _221_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.249:0.251:0.252) (0.671:0.671:0.671)) - (IOPATH A1 X (0.332:0.332:0.332) (0.725:0.725:0.725)) - (IOPATH S X (0.446:0.446:0.446) (0.782:0.782:0.782)) - (IOPATH S X (0.323:0.323:0.323) (0.752:0.752:0.752)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _222_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.212:0.212:0.212) (0.615:0.615:0.615)) - (IOPATH A1 X (0.302:0.302:0.302) (0.693:0.693:0.693)) - (IOPATH S X (0.369:0.383:0.397) (0.811:0.811:0.811)) - (IOPATH S X (0.379:0.380:0.380) (0.673:0.685:0.696)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _223_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.224:0.227:0.229) (0.645:0.645:0.645)) - (IOPATH A1 X (0.316:0.316:0.316) (0.712:0.712:0.712)) - (IOPATH S X (0.434:0.434:0.434) (0.765:0.765:0.765)) - (IOPATH S X (0.312:0.312:0.312) (0.735:0.735:0.735)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _224_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.228:0.257:0.287) (0.629:0.633:0.637)) - (IOPATH A1 X (0.336:0.336:0.336) (0.699:0.699:0.699)) - (IOPATH S X (0.374:0.389:0.403) (0.818:0.818:0.819)) - (IOPATH S X (0.385:0.385:0.385) (0.681:0.692:0.704)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _225_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.250:0.252:0.254) (0.675:0.675:0.675)) - (IOPATH A1 X (0.341:0.341:0.341) (0.734:0.734:0.734)) - (IOPATH S X (0.456:0.456:0.456) (0.794:0.794:0.794)) - (IOPATH S X (0.333:0.333:0.333) (0.764:0.764:0.764)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _226_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.215:0.216:0.218) (0.616:0.617:0.618)) - (IOPATH A1 X (0.297:0.297:0.297) (0.685:0.685:0.685)) - (IOPATH S X (0.372:0.387:0.401) (0.816:0.816:0.816)) - (IOPATH S X (0.383:0.383:0.383) (0.678:0.690:0.701)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _227_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.218:0.220:0.221) (0.635:0.635:0.636)) - (IOPATH A1 X (0.303:0.303:0.303) (0.690:0.690:0.690)) - (IOPATH S X (0.427:0.427:0.427) (0.755:0.755:0.755)) - (IOPATH S X (0.305:0.305:0.305) (0.724:0.724:0.724)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _228_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.307:0.307:0.307) (0.676:0.676:0.676)) - (IOPATH A1 X (0.219:0.219:0.219) (0.646:0.646:0.646)) - (IOPATH S X (0.445:0.446:0.447) (0.740:0.741:0.742)) - (IOPATH S X (0.289:0.290:0.291) (0.740:0.741:0.742)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _229_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.310:0.310:0.310) (0.685:0.685:0.685)) - (IOPATH A1 X (0.255:0.288:0.321) (0.674:0.679:0.684)) - (IOPATH S X (0.456:0.457:0.458) (0.754:0.755:0.757)) - (IOPATH S X (0.299:0.300:0.301) (0.755:0.756:0.756)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _230_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.235:0.235:0.235) (0.633:0.633:0.633)) - (IOPATH A1 X (0.246:0.276:0.306) (0.663:0.670:0.677)) - (IOPATH S X (0.440:0.440:0.440) (0.769:0.769:0.769)) - (IOPATH S X (0.317:0.317:0.317) (0.739:0.739:0.739)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _231_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.254:0.282:0.309) (0.661:0.665:0.668)) - (IOPATH A1 X (0.285:0.285:0.285) (0.673:0.677:0.681)) - (IOPATH S X (0.457:0.457:0.457) (0.791:0.791:0.791)) - (IOPATH S X (0.334:0.334:0.334) (0.762:0.762:0.762)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _232_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.258:0.260:0.262) (0.663:0.663:0.663)) - (IOPATH A1 X (0.298:0.298:0.299) (0.701:0.702:0.703)) - (IOPATH S X (0.461:0.461:0.461) (0.797:0.797:0.797)) - (IOPATH S X (0.338:0.338:0.338) (0.767:0.767:0.767)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _233_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.218:0.218:0.218) (0.624:0.624:0.624)) - (IOPATH A1 X (0.357:0.357:0.357) (0.735:0.735:0.735)) - (IOPATH S X (0.407:0.411:0.416) (0.874:0.874:0.874)) - (IOPATH S X (0.459:0.459:0.459) (0.706:0.710:0.714)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _234_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.322:0.324:0.327) (0.750:0.750:0.750)) - (IOPATH A1 X (0.465:0.465:0.465) (0.853:0.853:0.853)) - (IOPATH S X (0.578:0.578:0.578) (0.909:0.909:0.909)) - (IOPATH S X (0.454:0.454:0.454) (0.883:0.883:0.883)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _235_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.236:0.269:0.303) (0.634:0.640:0.646)) - (IOPATH A1 X (0.359:0.359:0.359) (0.736:0.736:0.736)) - (IOPATH S X (0.406:0.411:0.415) (0.873:0.873:0.873)) - (IOPATH S X (0.458:0.458:0.458) (0.705:0.709:0.713)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _236_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.263:0.266:0.269) (0.686:0.687:0.687)) - (IOPATH A1 X (0.409:0.409:0.409) (0.792:0.792:0.792)) - (IOPATH S X (0.522:0.522:0.522) (0.846:0.846:0.846)) - (IOPATH S X (0.397:0.397:0.397) (0.820:0.820:0.820)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _237_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.227:0.227:0.228) (0.628:0.629:0.630)) - (IOPATH A1 X (0.357:0.357:0.357) (0.738:0.738:0.738)) - (IOPATH S X (0.411:0.416:0.420) (0.880:0.880:0.880)) - (IOPATH S X (0.463:0.463:0.463) (0.712:0.716:0.720)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _238_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.258:0.260:0.263) (0.680:0.680:0.680)) - (IOPATH A1 X (0.394:0.394:0.394) (0.780:0.780:0.780)) - (IOPATH S X (0.467:0.467:0.467) (0.802:0.802:0.802)) - (IOPATH S X (0.344:0.344:0.344) (0.773:0.773:0.773)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _239_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.212:0.212:0.212) (0.613:0.613:0.613)) - (IOPATH A1 X (0.296:0.296:0.296) (0.688:0.688:0.688)) - (IOPATH S X (0.362:0.378:0.394) (0.791:0.791:0.791)) - (IOPATH S X (0.355:0.355:0.355) (0.667:0.680:0.694)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _240_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.223:0.224:0.226) (0.642:0.642:0.642)) - (IOPATH A1 X (0.309:0.309:0.309) (0.706:0.706:0.706)) - (IOPATH S X (0.484:0.484:0.484) (0.802:0.802:0.802)) - (IOPATH S X (0.360:0.360:0.360) (0.777:0.777:0.777)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _241_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.240:0.266:0.291) (0.647:0.654:0.660)) - (IOPATH A1 X (0.383:0.383:0.383) (0.765:0.765:0.765)) - (IOPATH S X (0.387:0.403:0.419) (0.824:0.824:0.824)) - (IOPATH S X (0.379:0.380:0.380) (0.701:0.714:0.727)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _242_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.233:0.236:0.238) (0.650:0.650:0.650)) - (IOPATH A1 X (0.371:0.371:0.371) (0.750:0.750:0.750)) - (IOPATH S X (0.486:0.486:0.486) (0.803:0.803:0.803)) - (IOPATH S X (0.361:0.361:0.361) (0.778:0.778:0.778)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _243_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.259:0.260:0.261) (0.669:0.670:0.670)) - (IOPATH A1 X (0.389:0.389:0.389) (0.777:0.777:0.777)) - (IOPATH S X (0.401:0.417:0.433) (0.842:0.842:0.843)) - (IOPATH S X (0.394:0.394:0.394) (0.719:0.732:0.745)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _244_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.288:0.290:0.292) (0.712:0.712:0.712)) - (IOPATH A1 X (0.412:0.412:0.412) (0.801:0.801:0.801)) - (IOPATH S X (0.484:0.484:0.484) (0.824:0.824:0.824)) - (IOPATH S X (0.361:0.361:0.361) (0.794:0.794:0.794)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _245_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.369:0.369:0.369) (0.734:0.734:0.734)) - (IOPATH A1 X (0.227:0.227:0.227) (0.657:0.657:0.657)) - (IOPATH S X (0.488:0.488:0.489) (0.773:0.774:0.774)) - (IOPATH S X (0.320:0.321:0.322) (0.782:0.782:0.782)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _246_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.351:0.351:0.351) (0.716:0.716:0.716)) - (IOPATH A1 X (0.227:0.250:0.272) (0.645:0.649:0.653)) - (IOPATH S X (0.479:0.480:0.480) (0.761:0.762:0.762)) - (IOPATH S X (0.311:0.312:0.313) (0.770:0.770:0.770)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _247_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.115:0.115:0.115) (0.165:0.165:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _248_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.123:0.123:0.123) (0.126:0.126:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _249_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.094:0.094:0.095) (0.068:0.070:0.071)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _250_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.102:0.102:0.102) (0.147:0.147:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _251_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.103:0.103:0.103) (0.138:0.138:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _252_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.094:0.095:0.095) (0.066:0.068:0.069)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _253_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.092:0.092:0.092) (0.149:0.149:0.149)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _254_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.139:0.139:0.139) (0.131:0.131:0.131)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _255_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.143:0.143:0.143) (0.173:0.173:0.173)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _256_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.112:0.112:0.112) (0.156:0.156:0.156)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _257_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.127:0.127:0.127) (0.154:0.154:0.154)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _258_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.086:0.086:0.086) (0.139:0.139:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _259_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.156:0.156:0.156) (0.189:0.189:0.189)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _260_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.144:0.144:0.144) (0.136:0.136:0.136)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _261_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.129:0.129:0.129) (0.179:0.179:0.179)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _262_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.127:0.127:0.127) (0.142:0.142:0.142)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _263_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.143:0.143:0.143) (0.130:0.130:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _264_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.100:0.100:0.100) (0.102:0.102:0.102)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _265_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.096:0.096:0.096) (0.099:0.099:0.099)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _411__8) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.059:0.059:0.059) (0.048:0.048:0.048)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _267_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.093:0.093:0.093) (0.065:0.066:0.067)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _413__5) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.069:0.069:0.069) (0.057:0.057:0.057)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _269_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.093:0.093:0.094) (0.065:0.066:0.067)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _270_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.109:0.109:0.109) (0.087:0.088:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _415__2) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.075:0.075:0.075) (0.062:0.062:0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _272_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.096:0.096:0.096) (0.068:0.070:0.071)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _273_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.293:0.293:0.293) (0.648:0.648:0.648)) - (IOPATH A1 X (0.241:0.242:0.243) (0.670:0.671:0.671)) - (IOPATH S X (0.444:0.445:0.445) (0.745:0.746:0.747)) - (IOPATH S X (0.294:0.295:0.296) (0.740:0.740:0.740)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _274_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.548:0.548:0.548) (0.259:0.259:0.259)) - (IOPATH B Y (0.561:0.561:0.561) (0.286:0.286:0.286)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _275_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.328:0.328:0.328) (0.233:0.233:0.233)) - (IOPATH B Y (0.311:0.311:0.311) (0.223:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_4") - (INSTANCE _276_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.646:0.646:0.646) (0.665:0.665:0.665)) - (IOPATH A2 X (0.601:0.601:0.601) (0.600:0.600:0.600)) - (IOPATH B1 X (0.646:0.646:0.646) (0.487:0.487:0.487)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_4") - (INSTANCE _277_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.500:0.500:0.500) (0.454:0.454:0.454)) - (IOPATH A2 Y (0.483:0.483:0.483) (0.408:0.408:0.408)) - (IOPATH B1 Y (0.313:0.313:0.313) (0.449:0.449:0.449)) - (IOPATH C1 Y (0.197:0.197:0.197) (0.326:0.326:0.326)) - (IOPATH D1 Y (0.156:0.156:0.156) (0.281:0.281:0.281)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _278_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.152:0.158:0.163) (0.091:0.121:0.151)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3b_2") - (INSTANCE _279_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.778:0.778:0.778) (0.273:0.273:0.273)) - (IOPATH B Y (0.738:0.738:0.738) (0.237:0.237:0.237)) - (IOPATH C_N Y (0.827:0.827:0.827) (0.510:0.510:0.510)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_1") - (INSTANCE _280_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.525:0.525:0.525) (0.515:0.515:0.515)) - (IOPATH A2 X (0.472:0.472:0.472) (0.503:0.503:0.503)) - (IOPATH B1 X (0.506:0.506:0.506) (0.353:0.353:0.353)) - (IOPATH C1 X (0.559:0.559:0.560) (0.282:0.284:0.286)) - (IOPATH D1 X (0.584:0.584:0.584) (0.242:0.247:0.251)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a41oi_1") - (INSTANCE _281_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.351:0.353:0.355) (0.467:0.467:0.467)) - (IOPATH A2 Y (0.411:0.414:0.416) (0.415:0.415:0.415)) - (IOPATH A3 Y (0.410:0.415:0.419) (0.515:0.515:0.515)) - (IOPATH A4 Y (0.382:0.382:0.382) (0.326:0.326:0.326)) - (IOPATH B1 Y (0.346:0.346:0.346) (0.140:0.140:0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _282_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.216:0.216:0.216) (0.142:0.142:0.142)) - (IOPATH A2 Y (0.193:0.196:0.198) (0.126:0.127:0.128)) - (IOPATH B1 Y (0.132:0.181:0.229) (0.197:0.207:0.217)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31oi_2") - (INSTANCE _283_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.426:0.429:0.432) (0.391:0.391:0.391)) - (IOPATH A2 Y (0.434:0.434:0.434) (0.281:0.281:0.281)) - (IOPATH A3 Y (0.447:0.447:0.447) (0.289:0.289:0.289)) - (IOPATH B1 Y (0.426:0.426:0.426) (0.177:0.177:0.177)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _284_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.273:0.273:0.273) (0.418:0.418:0.418)) - (IOPATH B Y (0.236:0.245:0.254) (0.221:0.258:0.295)) - (IOPATH C Y (0.221:0.221:0.221) (0.291:0.291:0.291)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _285_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.195:0.195:0.196) (0.126:0.126:0.126)) - (IOPATH A2 Y (0.204:0.237:0.270) (0.197:0.206:0.215)) - (IOPATH B1 Y (0.167:0.171:0.174) (0.134:0.142:0.149)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _286_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.286:0.286:0.286) (0.645:0.645:0.645)) - (IOPATH A1 X (0.237:0.239:0.240) (0.668:0.668:0.668)) - (IOPATH S X (0.449:0.450:0.450) (0.756:0.757:0.757)) - (IOPATH S X (0.304:0.305:0.305) (0.745:0.745:0.745)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _287_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.385:0.385:0.385) (0.202:0.202:0.202)) - (IOPATH B Y (0.397:0.397:0.397) (0.227:0.227:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _288_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.281:0.281:0.281) (0.180:0.180:0.180)) - (IOPATH B Y (0.267:0.267:0.267) (0.195:0.195:0.195)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_4") - (INSTANCE _289_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.473:0.473:0.473) (0.549:0.549:0.549)) - (IOPATH A2 X (0.480:0.480:0.480) (0.527:0.527:0.527)) - (IOPATH B1 X (0.469:0.469:0.469) (0.379:0.379:0.379)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_4") - (INSTANCE _290_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.451:0.451:0.451) (0.406:0.406:0.406)) - (IOPATH A2 Y (0.429:0.429:0.429) (0.363:0.363:0.363)) - (IOPATH B1 Y (0.253:0.253:0.253) (0.394:0.394:0.394)) - (IOPATH C1 Y (0.187:0.187:0.187) (0.315:0.315:0.315)) - (IOPATH D1 Y (0.146:0.146:0.146) (0.270:0.270:0.270)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _291_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.153:0.158:0.163) (0.092:0.122:0.153)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3b_2") - (INSTANCE _292_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.659:0.659:0.659) (0.234:0.234:0.234)) - (IOPATH B Y (0.611:0.611:0.611) (0.186:0.186:0.186)) - (IOPATH C_N Y (0.709:0.709:0.709) (0.478:0.478:0.478)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_1") - (INSTANCE _293_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.488:0.488:0.488) (0.479:0.479:0.479)) - (IOPATH A2 X (0.438:0.438:0.438) (0.463:0.463:0.463)) - (IOPATH B1 X (0.465:0.465:0.465) (0.303:0.303:0.303)) - (IOPATH C1 X (0.497:0.498:0.498) (0.258:0.260:0.262)) - (IOPATH D1 X (0.558:0.558:0.559) (0.232:0.238:0.244)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a41oi_1") - (INSTANCE _294_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.275:0.277:0.279) (0.343:0.343:0.343)) - (IOPATH A2 Y (0.326:0.329:0.332) (0.314:0.314:0.314)) - (IOPATH A3 Y (0.344:0.349:0.354) (0.437:0.438:0.438)) - (IOPATH A4 Y (0.332:0.332:0.332) (0.271:0.271:0.271)) - (IOPATH B1 Y (0.306:0.306:0.306) (0.143:0.143:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _295_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.232:0.232:0.232) (0.138:0.138:0.138)) - (IOPATH A2 Y (0.210:0.212:0.215) (0.135:0.135:0.136)) - (IOPATH B1 Y (0.142:0.178:0.214) (0.181:0.193:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31oi_2") - (INSTANCE _296_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.355:0.358:0.360) (0.298:0.298:0.298)) - (IOPATH A2 Y (0.388:0.388:0.388) (0.252:0.252:0.252)) - (IOPATH A3 Y (0.402:0.402:0.402) (0.258:0.258:0.258)) - (IOPATH B1 Y (0.375:0.375:0.375) (0.154:0.154:0.154)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _297_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.245:0.245:0.245) (0.381:0.381:0.381)) - (IOPATH B Y (0.213:0.221:0.229) (0.196:0.230:0.265)) - (IOPATH C Y (0.193:0.193:0.193) (0.255:0.255:0.255)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _298_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.224:0.224:0.224) (0.142:0.142:0.142)) - (IOPATH A2 Y (0.227:0.254:0.280) (0.200:0.208:0.217)) - (IOPATH B1 Y (0.176:0.181:0.185) (0.143:0.150:0.157)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _299_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.303:0.303:0.303) (0.199:0.199:0.199)) - (IOPATH B Y (0.251:0.251:0.251) (0.143:0.143:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _300_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.219:0.219:0.219) (0.280:0.280:0.280)) - (IOPATH B Y (0.202:0.207:0.213) (0.279:0.279:0.279)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _301_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.237:0.237:0.237) (0.538:0.538:0.538)) - (IOPATH B X (0.245:0.245:0.245) (0.491:0.493:0.496)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _302_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.292:0.292:0.292) (0.224:0.224:0.224)) - (IOPATH B Y (0.264:0.264:0.264) (0.184:0.184:0.184)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_2") - (INSTANCE _303_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.569:0.569:0.569) (0.247:0.247:0.247)) - (IOPATH B Y (0.530:0.530:0.530) (0.216:0.216:0.216)) - (IOPATH C Y (0.393:0.393:0.393) (0.100:0.100:0.100)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _304_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.306:0.306:0.306) (0.193:0.193:0.193)) - (IOPATH B Y (0.254:0.254:0.254) (0.137:0.137:0.137)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _305_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.263:0.263:0.263) (0.332:0.332:0.332)) - (IOPATH B Y (0.247:0.252:0.257) (0.337:0.337:0.337)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _306_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.241:0.241:0.241) (0.537:0.537:0.537)) - (IOPATH B X (0.288:0.288:0.289) (0.508:0.510:0.513)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _307_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.337:0.337:0.337) (0.251:0.251:0.251)) - (IOPATH B Y (0.298:0.298:0.298) (0.195:0.195:0.195)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_2") - (INSTANCE _308_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.520:0.520:0.520) (0.243:0.243:0.243)) - (IOPATH B Y (0.469:0.469:0.469) (0.197:0.197:0.197)) - (IOPATH C Y (0.336:0.336:0.336) (0.091:0.091:0.091)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_2") - (INSTANCE _309_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.494:0.494:0.494) (0.530:0.530:0.530)) - (IOPATH B X (0.278:0.280:0.281) (0.427:0.427:0.427)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _310_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.139:0.139:0.139) (0.178:0.178:0.178)) - (IOPATH B Y (0.137:0.137:0.137) (0.165:0.165:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _311_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.272:0.272:0.272) (0.560:0.560:0.560)) - (IOPATH B X (0.247:0.247:0.247) (0.509:0.509:0.509)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_2") - (INSTANCE _312_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.379:0.379:0.379) (0.440:0.440:0.440)) - (IOPATH A2 X (0.000:0.000:0.000)) - (IOPATH A3 X (0.403:0.403:0.404) (0.498:0.502:0.506)) - (IOPATH B1 X (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_2") - (INSTANCE _313_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.517:0.517:0.517) (0.559:0.559:0.559)) - (IOPATH B X (0.272:0.273:0.274) (0.422:0.422:0.423)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _314_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.137:0.137:0.137) (0.173:0.173:0.173)) - (IOPATH B Y (0.146:0.146:0.146) (0.176:0.176:0.176)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _315_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.261:0.261:0.261) (0.558:0.558:0.558)) - (IOPATH B X (0.254:0.254:0.254) (0.518:0.518:0.518)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_2") - (INSTANCE _316_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.353:0.353:0.353) (0.421:0.421:0.421)) - (IOPATH A2 X (0.000:0.000:0.000)) - (IOPATH A3 X (0.374:0.375:0.376) (0.480:0.482:0.483)) - (IOPATH B1 X (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _317_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.279:0.279:0.279) (0.282:0.282:0.282)) - (IOPATH A Y (0.311:0.311:0.311) (0.216:0.216:0.216)) - (IOPATH B Y (0.272:0.272:0.272) (0.262:0.262:0.262)) - (IOPATH B Y (0.274:0.274:0.274) (0.189:0.189:0.189)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _318_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.250:0.250:0.250) (0.231:0.231:0.231)) - (IOPATH A Y (0.258:0.258:0.258) (0.186:0.186:0.186)) - (IOPATH B Y (0.270:0.270:0.270) (0.263:0.263:0.263)) - (IOPATH B Y (0.266:0.266:0.266) (0.187:0.187:0.187)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _319_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.389:0.389:0.389) (0.177:0.177:0.177)) - (IOPATH B Y (0.372:0.372:0.372) (0.172:0.172:0.172)) - (IOPATH C Y (0.300:0.300:0.300) (0.155:0.155:0.155)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _320_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.368:0.368:0.368) (0.431:0.431:0.431)) - (IOPATH A2 X (0.326:0.326:0.326) (0.399:0.399:0.399)) - (IOPATH B1 X (0.349:0.349:0.349) (0.286:0.286:0.286)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _321_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.223:0.225:0.226) (0.188:0.189:0.189)) - (IOPATH B Y (0.205:0.207:0.208) (0.114:0.115:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _322_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.287:0.287:0.287) (0.349:0.349:0.349)) - (IOPATH A2 X (0.276:0.276:0.276) (0.359:0.359:0.359)) - (IOPATH B1 X (0.306:0.306:0.306) (0.252:0.252:0.252)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _323_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.208:0.208:0.208) (0.285:0.285:0.285)) - (IOPATH A2 X (0.334:0.334:0.334) (0.340:0.342:0.343)) - (IOPATH B1 X (0.143:0.144:0.145) (0.274:0.275:0.276)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _324_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.272:0.272:0.272) (0.261:0.261:0.261)) - (IOPATH A Y (0.272:0.272:0.272) (0.209:0.209:0.209)) - (IOPATH B Y (0.287:0.287:0.287) (0.273:0.273:0.273)) - (IOPATH B Y (0.266:0.266:0.266) (0.198:0.198:0.198)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _325_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.283:0.283:0.283) (0.896:0.896:0.896)) - (IOPATH B X (0.291:0.291:0.291) (0.868:0.868:0.868)) - (IOPATH C X (0.232:0.232:0.232) (0.754:0.754:0.754)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _326_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.284:0.284:0.284) (0.235:0.235:0.235)) - (IOPATH A2 Y (0.287:0.287:0.287) (0.217:0.217:0.217)) - (IOPATH B1 Y (0.179:0.179:0.179) (0.185:0.185:0.185)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _327_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.108:0.108:0.108) (0.081:0.082:0.082)) - (IOPATH B Y (0.102:0.105:0.108) (0.122:0.129:0.137)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _328_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.279:0.279:0.279) (0.282:0.282:0.282)) - (IOPATH A Y (0.321:0.321:0.321) (0.218:0.218:0.218)) - (IOPATH B Y (0.326:0.326:0.326) (0.297:0.297:0.297)) - (IOPATH B Y (0.318:0.318:0.318) (0.243:0.243:0.243)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _329_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.295:0.295:0.295) (0.944:0.944:0.944)) - (IOPATH B X (0.340:0.340:0.340) (0.918:0.918:0.918)) - (IOPATH C X (0.312:0.312:0.312) (0.834:0.834:0.834)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _330_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.275:0.275:0.275) (0.212:0.212:0.212)) - (IOPATH A2 Y (0.280:0.280:0.280) (0.226:0.226:0.226)) - (IOPATH B1 Y (0.211:0.211:0.211) (0.227:0.227:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _331_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.126:0.126:0.126) (0.105:0.105:0.105)) - (IOPATH B Y (0.109:0.114:0.119) (0.129:0.135:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _332_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.308:0.308:0.308) (0.313:0.313:0.313)) - (IOPATH A Y (0.366:0.366:0.366) (0.248:0.248:0.248)) - (IOPATH B Y (0.290:0.290:0.290) (0.260:0.260:0.260)) - (IOPATH B Y (0.299:0.299:0.299) (0.211:0.211:0.211)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _333_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.334:0.334:0.334) (0.340:0.340:0.340)) - (IOPATH A Y (0.377:0.377:0.377) (0.276:0.276:0.276)) - (IOPATH B Y (0.334:0.334:0.334) (0.319:0.319:0.319)) - (IOPATH B Y (0.347:0.347:0.347) (0.250:0.250:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _334_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.269:0.269:0.269) (0.249:0.249:0.249)) - (IOPATH A Y (0.288:0.288:0.288) (0.207:0.207:0.207)) - (IOPATH B Y (0.283:0.283:0.283) (0.275:0.275:0.275)) - (IOPATH B Y (0.292:0.292:0.292) (0.201:0.201:0.201)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _335_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.417:0.417:0.417) (0.224:0.224:0.224)) - (IOPATH B Y (0.403:0.403:0.403) (0.214:0.214:0.214)) - (IOPATH C Y (0.335:0.335:0.335) (0.196:0.196:0.196)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _336_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.440:0.440:0.440) (0.487:0.487:0.487)) - (IOPATH A2 X (0.397:0.397:0.397) (0.466:0.466:0.466)) - (IOPATH B1 X (0.423:0.423:0.423) (0.350:0.350:0.350)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _337_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.189:0.190:0.191) (0.169:0.169:0.170)) - (IOPATH B Y (0.169:0.170:0.171) (0.111:0.111:0.111)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _338_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.302:0.302:0.302) (0.360:0.360:0.360)) - (IOPATH A2 X (0.284:0.284:0.284) (0.366:0.366:0.366)) - (IOPATH B1 X (0.320:0.320:0.320) (0.264:0.264:0.264)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _339_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.231:0.231:0.231) (0.305:0.305:0.305)) - (IOPATH A2 X (0.425:0.425:0.425) (0.384:0.386:0.388)) - (IOPATH B1 X (0.166:0.166:0.167) (0.295:0.296:0.297)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _340_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.329:0.329:0.329) (0.319:0.319:0.319)) - (IOPATH A Y (0.380:0.380:0.380) (0.273:0.273:0.273)) - (IOPATH B Y (0.338:0.338:0.338) (0.324:0.324:0.324)) - (IOPATH B Y (0.370:0.370:0.370) (0.260:0.260:0.260)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _341_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.297:0.297:0.297) (0.907:0.907:0.907)) - (IOPATH B X (0.299:0.299:0.299) (0.874:0.874:0.874)) - (IOPATH C X (0.260:0.260:0.260) (0.771:0.771:0.771)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _342_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.284:0.284:0.284) (0.242:0.242:0.242)) - (IOPATH A2 Y (0.284:0.284:0.284) (0.217:0.217:0.217)) - (IOPATH B1 Y (0.194:0.194:0.194) (0.206:0.206:0.206)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _343_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.134:0.134:0.134) (0.108:0.108:0.108)) - (IOPATH B Y (0.122:0.126:0.130) (0.146:0.154:0.162)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _344_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.315:0.315:0.315) (0.301:0.301:0.301)) - (IOPATH A Y (0.320:0.320:0.320) (0.254:0.254:0.254)) - (IOPATH B Y (0.247:0.247:0.247) (0.252:0.252:0.252)) - (IOPATH B Y (0.256:0.256:0.256) (0.166:0.166:0.166)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _345_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.338:0.338:0.338) (0.950:0.950:0.950)) - (IOPATH B X (0.254:0.254:0.254) (0.869:0.869:0.869)) - (IOPATH C X (0.286:0.286:0.286) (0.804:0.804:0.804)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _346_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.298:0.298:0.298) (0.263:0.263:0.263)) - (IOPATH A2 Y (0.247:0.247:0.247) (0.168:0.168:0.168)) - (IOPATH B1 Y (0.200:0.200:0.200) (0.215:0.215:0.215)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _347_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.142:0.142:0.142) (0.117:0.118:0.118)) - (IOPATH B Y (0.115:0.125:0.134) (0.148:0.155:0.162)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _348_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.266:0.266:0.266) (0.269:0.269:0.269)) - (IOPATH A Y (0.285:0.285:0.285) (0.202:0.202:0.202)) - (IOPATH B Y (0.255:0.255:0.255) (0.224:0.224:0.224)) - (IOPATH B Y (0.224:0.224:0.224) (0.171:0.171:0.171)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _349_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.458:0.458:0.458) (0.221:0.221:0.221)) - (IOPATH B Y (0.382:0.382:0.382) (0.143:0.143:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_1") - (INSTANCE _350_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.580:0.580:0.580) (0.537:0.537:0.537)) - (IOPATH A2 Y (0.573:0.573:0.573) (0.518:0.518:0.518)) - (IOPATH B1 Y (0.324:0.324:0.324) (0.531:0.531:0.531)) - (IOPATH C1 Y (0.290:0.290:0.290) (0.495:0.495:0.495)) - (IOPATH D1 Y (0.220:0.226:0.232) (0.490:0.491:0.491)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _351_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.350:0.357:0.365) (0.190:0.241:0.293)) - (IOPATH A2 Y (0.260:0.260:0.260) (0.187:0.187:0.187)) - (IOPATH B1 Y (0.278:0.278:0.278) (0.175:0.175:0.175)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _352_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.247:0.247:0.247) (0.194:0.194:0.194)) - (IOPATH A2 Y (0.343:0.352:0.360) (0.176:0.219:0.261)) - (IOPATH B1 Y (0.140:0.154:0.168) (0.170:0.173:0.176)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _353_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.265:0.265:0.265) (0.208:0.208:0.208)) - (IOPATH A2 Y (0.254:0.255:0.256) (0.161:0.162:0.163)) - (IOPATH B1 Y (0.148:0.148:0.148) (0.169:0.169:0.169)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4b_1") - (INSTANCE _354_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.272:0.272:0.272) (0.442:0.442:0.442)) - (IOPATH B Y (0.179:0.179:0.179) (0.282:0.282:0.282)) - (IOPATH C Y (0.164:0.170:0.176) (0.298:0.298:0.298)) - (IOPATH D Y (0.146:0.146:0.146) (0.248:0.248:0.248)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _355_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.099:0.104:0.109) (0.131:0.138:0.146)) - (IOPATH B Y (0.168:0.168:0.169) (0.126:0.132:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _356_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.204:0.204:0.204) (0.303:0.303:0.303)) - (IOPATH B Y (0.172:0.172:0.172) (0.183:0.183:0.183)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _357_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.268:0.268:0.268) (0.372:0.372:0.372)) - (IOPATH B Y (0.130:0.130:0.130) (0.155:0.155:0.155)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _358_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.125:0.125:0.125) (0.156:0.159:0.162)) - (IOPATH B Y (0.150:0.152:0.154) (0.184:0.184:0.185)) - (IOPATH C Y (0.135:0.135:0.135) (0.199:0.199:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _359_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.233:0.233:0.233) (0.160:0.160:0.160)) - (IOPATH B Y (0.167:0.167:0.167) (0.101:0.101:0.101)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _360_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.302:0.302:0.302) (0.337:0.337:0.337)) - (IOPATH B X (0.260:0.260:0.260) (0.324:0.324:0.324)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _361_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.209:0.214:0.219) (0.169:0.169:0.169)) - (IOPATH A2 Y (0.194:0.194:0.195) (0.120:0.120:0.120)) - (IOPATH B1 Y (0.157:0.160:0.163) (0.193:0.193:0.194)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _362_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.317:0.317:0.317) (0.419:0.419:0.419)) - (IOPATH A2 X (0.333:0.333:0.334) (0.406:0.409:0.412)) - (IOPATH B1 X (0.279:0.283:0.286) (0.393:0.393:0.394)) - (IOPATH B2 X (0.268:0.275:0.282) (0.330:0.337:0.344)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _363_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.253:0.253:0.253) (0.392:0.392:0.392)) - (IOPATH B Y (0.221:0.229:0.238) (0.207:0.242:0.278)) - (IOPATH C Y (0.227:0.227:0.227) (0.279:0.279:0.279)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _364_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.194:0.195:0.195) (0.125:0.125:0.125)) - (IOPATH A2 Y (0.198:0.224:0.251) (0.181:0.189:0.197)) - (IOPATH B1 Y (0.160:0.164:0.167) (0.129:0.136:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _365_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.231:0.231:0.231) (0.357:0.357:0.357)) - (IOPATH B Y (0.197:0.204:0.212) (0.173:0.206:0.239)) - (IOPATH C Y (0.161:0.161:0.161) (0.202:0.202:0.202)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _366_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.206:0.207:0.207) (0.132:0.132:0.132)) - (IOPATH A2 Y (0.210:0.236:0.263) (0.189:0.197:0.205)) - (IOPATH B1 Y (0.151:0.155:0.160) (0.126:0.133:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _367_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.301:0.301:0.301) (0.669:0.669:0.669)) - (IOPATH A1 X (0.236:0.237:0.238) (0.663:0.663:0.663)) - (IOPATH S X (0.441:0.442:0.442) (0.745:0.746:0.746)) - (IOPATH S X (0.296:0.297:0.297) (0.733:0.734:0.734)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _368_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.315:0.315:0.315) (0.679:0.679:0.679)) - (IOPATH A1 X (0.230:0.232:0.233) (0.662:0.662:0.662)) - (IOPATH S X (0.447:0.447:0.448) (0.753:0.753:0.754)) - (IOPATH S X (0.302:0.302:0.303) (0.741:0.741:0.742)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _369_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.254:0.254:0.254) (0.200:0.200:0.200)) - (IOPATH A2 Y (0.217:0.219:0.222) (0.175:0.175:0.175)) - (IOPATH B1 Y (0.139:0.140:0.140) (0.119:0.120:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31ai_1") - (INSTANCE _370_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.428:0.428:0.428) (0.212:0.212:0.212)) - (IOPATH A2 Y (0.367:0.367:0.367) (0.134:0.134:0.134)) - (IOPATH A3 Y (0.335:0.338:0.340) (0.182:0.182:0.182)) - (IOPATH B1 Y (0.099:0.102:0.105) (0.149:0.156:0.164)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _371_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.279:0.279:0.279) (0.214:0.214:0.214)) - (IOPATH A2 Y (0.238:0.241:0.243) (0.189:0.189:0.189)) - (IOPATH B1_N Y (0.192:0.193:0.195) (0.349:0.349:0.349)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _372_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.338:0.338:0.338) (0.610:0.610:0.610)) - (IOPATH A2 X (0.375:0.375:0.375) (0.603:0.603:0.603)) - (IOPATH A3 X (0.300:0.300:0.301) (0.491:0.494:0.496)) - (IOPATH B1 X (0.268:0.288:0.308) (0.204:0.209:0.213)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _373_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.320:0.320:0.320) (0.237:0.237:0.237)) - (IOPATH A2 Y (0.279:0.282:0.285) (0.211:0.212:0.212)) - (IOPATH B1_N Y (0.199:0.201:0.203) (0.361:0.361:0.361)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _374_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.338:0.338:0.338) (0.611:0.611:0.611)) - (IOPATH A2 X (0.352:0.352:0.352) (0.600:0.600:0.600)) - (IOPATH A3 X (0.300:0.300:0.300) (0.492:0.495:0.497)) - (IOPATH B1 X (0.278:0.303:0.327) (0.216:0.220:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _375_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.248:0.250:0.251) (0.670:0.670:0.670)) - (IOPATH A1 X (0.328:0.328:0.328) (0.703:0.703:0.703)) - (IOPATH S X (0.458:0.458:0.458) (0.792:0.792:0.792)) - (IOPATH S X (0.335:0.335:0.335) (0.763:0.763:0.763)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _376_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.246:0.247:0.249) (0.667:0.668:0.668)) - (IOPATH A1 X (0.341:0.341:0.341) (0.731:0.731:0.731)) - (IOPATH S X (0.451:0.451:0.451) (0.787:0.787:0.787)) - (IOPATH S X (0.329:0.329:0.329) (0.757:0.757:0.757)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor4_1") - (INSTANCE _377_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.548:0.548:0.548) (0.176:0.176:0.176)) - (IOPATH B Y (0.540:0.540:0.540) (0.185:0.185:0.185)) - (IOPATH C Y (0.450:0.450:0.450) (0.161:0.161:0.161)) - (IOPATH D Y (0.352:0.352:0.352) (0.129:0.129:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _378_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.347:0.347:0.347) (0.618:0.618:0.618)) - (IOPATH A2 X (0.349:0.349:0.349) (0.597:0.597:0.597)) - (IOPATH A3 X (0.304:0.304:0.304) (0.495:0.495:0.495)) - (IOPATH B1 X (0.310:0.310:0.310) (0.234:0.234:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _379_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.320:0.321:0.322) (0.519:0.525:0.530)) - (IOPATH B X (0.195:0.196:0.197) (0.484:0.489:0.495)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _380_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.272:0.272:0.272) (0.660:0.660:0.660)) - (IOPATH A1 X (0.237:0.237:0.237) (0.666:0.666:0.666)) - (IOPATH S X (0.439:0.439:0.439) (0.796:0.796:0.796)) - (IOPATH S X (0.339:0.339:0.339) (0.748:0.748:0.748)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _381_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.327:0.327:0.327) (0.272:0.272:0.272)) - (IOPATH A2 Y (0.303:0.303:0.303) (0.192:0.193:0.194)) - (IOPATH B1 Y (0.168:0.168:0.168) (0.187:0.187:0.187)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4b_1") - (INSTANCE _382_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.264:0.264:0.264) (0.435:0.435:0.435)) - (IOPATH B Y (0.168:0.168:0.168) (0.263:0.263:0.263)) - (IOPATH C Y (0.155:0.160:0.165) (0.284:0.284:0.284)) - (IOPATH D Y (0.136:0.136:0.136) (0.230:0.230:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _383_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.098:0.106:0.113) (0.127:0.138:0.148)) - (IOPATH B Y (0.151:0.152:0.152) (0.113:0.119:0.125)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _384_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.285:0.285:0.285) (0.372:0.372:0.372)) - (IOPATH B Y (0.122:0.122:0.122) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _385_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.208:0.208:0.208) (0.313:0.313:0.313)) - (IOPATH B Y (0.168:0.168:0.168) (0.181:0.181:0.181)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _386_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.216:0.216:0.216) (0.321:0.321:0.321)) - (IOPATH B Y (0.196:0.196:0.196) (0.226:0.226:0.226)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _387_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.305:0.305:0.305) (0.413:0.413:0.413)) - (IOPATH B Y (0.122:0.122:0.122) (0.143:0.143:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _388_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.203:0.206:0.209) (0.320:0.320:0.320)) - (IOPATH B Y (0.177:0.179:0.180) (0.222:0.224:0.225)) - (IOPATH C Y (0.167:0.169:0.172) (0.228:0.228:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _389_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.153:0.155:0.157) (0.204:0.204:0.204)) - (IOPATH B Y (0.188:0.189:0.191) (0.230:0.232:0.234)) - (IOPATH C Y (0.160:0.160:0.160) (0.239:0.239:0.239)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _390_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.416:0.416:0.416) (0.489:0.489:0.489)) - (IOPATH A2 X (0.390:0.390:0.390) (0.439:0.442:0.444)) - (IOPATH B1 X (0.298:0.301:0.303) (0.415:0.422:0.429)) - (IOPATH B2 X (0.267:0.271:0.275) (0.384:0.384:0.384)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _391_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.259:0.259:0.259) (0.396:0.396:0.396)) - (IOPATH B Y (0.221:0.229:0.237) (0.200:0.235:0.271)) - (IOPATH C Y (0.227:0.227:0.227) (0.274:0.274:0.274)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _392_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.213:0.213:0.214) (0.136:0.136:0.136)) - (IOPATH A2 Y (0.218:0.252:0.285) (0.206:0.215:0.224)) - (IOPATH B1 Y (0.165:0.169:0.173) (0.134:0.142:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _393_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.281:0.281:0.281) (0.430:0.430:0.430)) - (IOPATH B Y (0.245:0.254:0.262) (0.233:0.271:0.308)) - (IOPATH C Y (0.221:0.221:0.221) (0.281:0.281:0.281)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _394_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.200:0.200:0.200) (0.128:0.128:0.128)) - (IOPATH A2 Y (0.209:0.242:0.275) (0.200:0.209:0.217)) - (IOPATH B1 Y (0.177:0.181:0.185) (0.140:0.148:0.155)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _395_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.314:0.314:0.314) (0.684:0.684:0.684)) - (IOPATH A1 X (0.239:0.240:0.241) (0.670:0.670:0.670)) - (IOPATH S X (0.448:0.449:0.449) (0.750:0.751:0.752)) - (IOPATH S X (0.298:0.299:0.300) (0.745:0.745:0.745)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _396_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.315:0.315:0.315) (0.675:0.675:0.675)) - (IOPATH A1 X (0.236:0.237:0.238) (0.663:0.663:0.663)) - (IOPATH S X (0.438:0.438:0.439) (0.736:0.737:0.738)) - (IOPATH S X (0.288:0.289:0.289) (0.730:0.731:0.731)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _397_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.388:0.388:0.388) (0.305:0.305:0.305)) - (IOPATH A2 Y (0.340:0.343:0.345) (0.279:0.279:0.280)) - (IOPATH B1 Y (0.206:0.206:0.206) (0.170:0.171:0.173)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31ai_1") - (INSTANCE _398_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.633:0.633:0.633) (0.323:0.323:0.323)) - (IOPATH A2 Y (0.532:0.532:0.532) (0.186:0.186:0.186)) - (IOPATH A3 Y (0.531:0.533:0.535) (0.289:0.289:0.289)) - (IOPATH B1 Y (0.182:0.186:0.190) (0.241:0.255:0.268)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _399_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.374:0.374:0.374) (0.293:0.293:0.293)) - (IOPATH A2 Y (0.322:0.325:0.328) (0.267:0.267:0.267)) - (IOPATH B1_N Y (0.208:0.211:0.213) (0.369:0.369:0.369)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _400_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.401:0.401:0.401) (0.663:0.663:0.663)) - (IOPATH A2 X (0.395:0.395:0.395) (0.620:0.620:0.620)) - (IOPATH A3 X (0.364:0.364:0.364) (0.530:0.532:0.534)) - (IOPATH B1 X (0.296:0.322:0.348) (0.235:0.238:0.242)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _401_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.338:0.338:0.338) (0.249:0.249:0.249)) - (IOPATH A2 Y (0.325:0.327:0.330) (0.268:0.268:0.268)) - (IOPATH B1_N Y (0.233:0.235:0.237) (0.390:0.390:0.390)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _402_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.393:0.393:0.393) (0.657:0.657:0.657)) - (IOPATH A2 X (0.349:0.349:0.349) (0.598:0.598:0.598)) - (IOPATH A3 X (0.355:0.355:0.355) (0.524:0.526:0.529)) - (IOPATH B1 X (0.288:0.313:0.339) (0.231:0.232:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_1") - (INSTANCE _403_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.481:0.481:0.481) (0.449:0.449:0.449)) - (IOPATH A2 Y (0.467:0.467:0.467) (0.423:0.423:0.423)) - (IOPATH B1 Y (0.277:0.277:0.277) (0.436:0.436:0.436)) - (IOPATH C1 Y (0.242:0.242:0.242) (0.413:0.413:0.413)) - (IOPATH D1 Y (0.171:0.175:0.179) (0.372:0.372:0.372)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _404_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.297:0.306:0.316) (0.161:0.205:0.249)) - (IOPATH A2 Y (0.257:0.257:0.257) (0.187:0.187:0.187)) - (IOPATH B1 Y (0.229:0.229:0.229) (0.135:0.135:0.135)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _405_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.256:0.256:0.256) (0.201:0.201:0.201)) - (IOPATH A2 Y (0.301:0.311:0.321) (0.157:0.196:0.234)) - (IOPATH B1 Y (0.137:0.149:0.162) (0.165:0.169:0.172)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _406_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.248:0.250:0.252) (0.667:0.667:0.668)) - (IOPATH A1 X (0.322:0.322:0.322) (0.697:0.697:0.697)) - (IOPATH S X (0.465:0.465:0.465) (0.788:0.788:0.788)) - (IOPATH S X (0.333:0.333:0.333) (0.766:0.766:0.766)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _407_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.224:0.226:0.228) (0.641:0.642:0.642)) - (IOPATH A1 X (0.314:0.314:0.314) (0.701:0.701:0.701)) - (IOPATH S X (0.446:0.446:0.446) (0.765:0.765:0.765)) - (IOPATH S X (0.314:0.314:0.314) (0.743:0.743:0.743)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor4_1") - (INSTANCE _408_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.568:0.568:0.568) (0.230:0.230:0.230)) - (IOPATH B Y (0.515:0.515:0.515) (0.174:0.174:0.174)) - (IOPATH C Y (0.430:0.430:0.430) (0.157:0.157:0.157)) - (IOPATH D Y (0.328:0.328:0.328) (0.118:0.118:0.118)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _409_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.365:0.365:0.365) (0.626:0.626:0.626)) - (IOPATH A2 X (0.306:0.306:0.306) (0.555:0.555:0.555)) - (IOPATH A3 X (0.268:0.268:0.268) (0.458:0.458:0.458)) - (IOPATH B1 X (0.266:0.266:0.266) (0.202:0.202:0.202)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _410_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.298:0.299:0.300) (0.499:0.511:0.522)) - (IOPATH B X (0.164:0.166:0.168) (0.459:0.464:0.468)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _412__9) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.117:0.117:0.117) (0.099:0.099:0.099)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_ext_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (1.239:1.239:1.239) (1.591:1.591:1.591)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _414__6) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.068:0.068:0.068) (0.056:0.056:0.056)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _266__7) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.064:0.064:0.064) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _416__3) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.066:0.066:0.066) (0.054:0.054:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _268__4) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.068:0.068:0.068) (0.056:0.056:0.056)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _417_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.048:1.048:1.048) (0.683:0.683:0.683)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.257:0.257:0.257)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.220:-0.220:-0.220)) - (HOLD (posedge D) (posedge CLK) (-0.078:-0.078:-0.078)) - (HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.013)) - (SETUP (posedge D) (posedge CLK) (0.131:0.131:0.131)) - (SETUP (negedge D) (posedge CLK) (0.155:0.155:0.155)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _418_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.052:1.052:1.052) (0.687:0.687:0.687)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.265:0.265:0.265)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.229:-0.229:-0.229)) - (HOLD (posedge D) (posedge CLK) (-0.078:-0.078:-0.078)) - (HOLD (negedge D) (posedge CLK) (-0.014:-0.014:-0.014)) - (SETUP (posedge D) (posedge CLK) (0.131:0.131:0.131)) - (SETUP (negedge D) (posedge CLK) (0.156:0.156:0.156)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _419_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.063:1.063:1.063) (0.698:0.698:0.698)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.274:0.274:0.274)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.238:-0.238:-0.238)) - (HOLD (posedge D) (posedge CLK) (-0.056:-0.056:-0.056)) - (HOLD (negedge D) (posedge CLK) (0.005:0.005:0.005)) - (SETUP (posedge D) (posedge CLK) (0.107:0.107:0.107)) - (SETUP (negedge D) (posedge CLK) (0.133:0.133:0.133)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _420_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.783:0.783:0.783) (0.860:0.860:0.860)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.721:0.721:0.721)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.406:-0.406:-0.406)) - (HOLD (posedge D) (posedge CLK) (-0.077:-0.077:-0.077)) - (HOLD (negedge D) (posedge CLK) (-0.089:-0.089:-0.089)) - (SETUP (posedge D) (posedge CLK) (0.133:0.133:0.133)) - (SETUP (negedge D) (posedge CLK) (0.268:0.268:0.268)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _421_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.711:0.711:0.711) (0.798:0.798:0.798)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.761:0.761:0.761)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.367:-0.367:-0.367)) - (HOLD (posedge D) (posedge CLK) (-0.107:-0.107:-0.107)) - (HOLD (negedge D) (posedge CLK) (-0.127:-0.127:-0.127)) - (SETUP (posedge D) (posedge CLK) (0.166:0.166:0.166)) - (SETUP (negedge D) (posedge CLK) (0.310:0.310:0.310)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _422_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.188:1.188:1.188) (0.788:0.788:0.788)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.277:0.277:0.277)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.240:-0.240:-0.240)) - (HOLD (posedge D) (posedge CLK) (-0.078:-0.087:-0.095)) - (HOLD (negedge D) (posedge CLK) (-0.002:-0.006:-0.010)) - (SETUP (posedge D) (posedge CLK) (0.132:0.141:0.150)) - (SETUP (negedge D) (posedge CLK) (0.144:0.149:0.154)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _423_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.678:0.678:0.678) (0.770:0.770:0.770)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.747:0.747:0.747)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.383:-0.383:-0.383)) - (HOLD (posedge D) (posedge CLK) (-0.086:-0.086:-0.086)) - (HOLD (negedge D) (posedge CLK) (-0.095:-0.095:-0.095)) - (SETUP (posedge D) (posedge CLK) (0.144:0.144:0.144)) - (SETUP (negedge D) (posedge CLK) (0.274:0.274:0.274)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _424_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.678:0.678:0.678) (0.614:0.614:0.614)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.115:-0.115:-0.115)) - (HOLD (negedge D) (posedge CLK) (-0.155:-0.155:-0.155)) - (SETUP (posedge D) (posedge CLK) (0.177:0.177:0.177)) - (SETUP (negedge D) (posedge CLK) (0.323:0.323:0.323)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _425_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.702:0.702:0.702) (0.629:0.629:0.629)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.116:-0.116:-0.116)) - (HOLD (negedge D) (posedge CLK) (-0.149:-0.149:-0.149)) - (SETUP (posedge D) (posedge CLK) (0.178:0.178:0.178)) - (SETUP (negedge D) (posedge CLK) (0.317:0.317:0.317)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _426_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.689:0.689:0.689) (0.621:0.621:0.621)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.111:-0.111:-0.111)) - (HOLD (negedge D) (posedge CLK) (-0.143:-0.143:-0.143)) - (SETUP (posedge D) (posedge CLK) (0.172:0.172:0.172)) - (SETUP (negedge D) (posedge CLK) (0.311:0.311:0.311)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _427_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.169:1.169:1.169) (0.777:0.777:0.777)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.289:0.289:0.289)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.254:-0.254:-0.254)) - (HOLD (posedge D) (posedge CLK) (-0.065:-0.067:-0.069)) - (HOLD (negedge D) (posedge CLK) (-0.002:-0.003:-0.004)) - (SETUP (posedge D) (posedge CLK) (0.117:0.119:0.122)) - (SETUP (negedge D) (posedge CLK) (0.144:0.145:0.147)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _428_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.704:0.704:0.704) (0.790:0.790:0.790)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.721:0.721:0.721)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.406:-0.406:-0.406)) - (HOLD (posedge D) (posedge CLK) (-0.078:-0.078:-0.078)) - (HOLD (negedge D) (posedge CLK) (-0.102:-0.102:-0.102)) - (SETUP (posedge D) (posedge CLK) (0.134:0.134:0.135)) - (SETUP (negedge D) (posedge CLK) (0.282:0.282:0.282)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _429_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.999:0.999:0.999) (0.853:0.853:0.853)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.955:0.955:0.955)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.546:-0.546:-0.546)) - (HOLD (posedge D) (negedge CLK_N) (0.141:0.138:0.134)) - (HOLD (negedge D) (negedge CLK_N) (-0.244:-0.246:-0.248)) - (SETUP (posedge D) (negedge CLK_N) (-0.047:-0.042:-0.037)) - (SETUP (negedge D) (negedge CLK_N) (0.371:0.373:0.376)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _430_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.235:1.235:1.235) (0.806:0.806:0.806)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.265:0.265:0.265)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.229:-0.229:-0.229)) - (HOLD (posedge D) (posedge CLK) (-0.094:-0.098:-0.102)) - (HOLD (negedge D) (posedge CLK) (-0.022:-0.024:-0.025)) - (SETUP (posedge D) (posedge CLK) (0.148:0.153:0.158)) - (SETUP (negedge D) (posedge CLK) (0.166:0.168:0.170)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _431_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.879:0.879:0.879) (0.763:0.763:0.763)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.941:0.941:0.941)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.556:-0.556:-0.556)) - (HOLD (posedge D) (negedge CLK_N) (0.137:0.133:0.130)) - (HOLD (negedge D) (negedge CLK_N) (-0.248:-0.250:-0.252)) - (SETUP (posedge D) (negedge CLK_N) (-0.042:-0.037:-0.032)) - (SETUP (negedge D) (negedge CLK_N) (0.375:0.377:0.380)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _432_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.211:1.211:1.211) (0.788:0.788:0.788)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.266:0.266:0.266)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.230:-0.230:-0.230)) - (HOLD (posedge D) (posedge CLK) (-0.091:-0.096:-0.101)) - (HOLD (negedge D) (posedge CLK) (-0.018:-0.022:-0.026)) - (SETUP (posedge D) (posedge CLK) (0.145:0.151:0.156)) - (SETUP (negedge D) (posedge CLK) (0.161:0.166:0.171)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _433_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.937:0.937:0.937) (0.811:0.811:0.811)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.955:0.955:0.955)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.546:-0.546:-0.546)) - (HOLD (posedge D) (negedge CLK_N) (0.155:0.155:0.154)) - (HOLD (negedge D) (negedge CLK_N) (-0.255:-0.255:-0.255)) - (SETUP (posedge D) (negedge CLK_N) (-0.064:-0.063:-0.062)) - (SETUP (negedge D) (negedge CLK_N) (0.382:0.383:0.383)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _434_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.239:1.239:1.239) (0.761:0.761:0.761)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.267:0.267:0.267)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.225:-0.225:-0.225)) - (HOLD (posedge D) (posedge CLK) (-0.071:-0.071:-0.072)) - (HOLD (negedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (SETUP (posedge D) (posedge CLK) (0.129:0.130:0.130)) - (SETUP (negedge D) (posedge CLK) (0.180:0.180:0.180)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _435_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.953:0.953:0.953) (0.816:0.816:0.816)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.955:0.955:0.955)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.546:-0.546:-0.546)) - (HOLD (posedge D) (negedge CLK_N) (0.154:0.153:0.153)) - (HOLD (negedge D) (negedge CLK_N) (-0.255:-0.256:-0.256)) - (SETUP (posedge D) (negedge CLK_N) (-0.063:-0.062:-0.061)) - (SETUP (negedge D) (negedge CLK_N) (0.383:0.383:0.384)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _436_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.864:0.864:0.864) (0.948:0.948:0.948)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.721:0.721:0.721)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.378:-0.378:-0.378)) - (HOLD (posedge D) (posedge CLK) (-0.074:-0.099:-0.125)) - (HOLD (negedge D) (posedge CLK) (-0.085:-0.090:-0.095)) - (SETUP (posedge D) (posedge CLK) (0.136:0.164:0.192)) - (SETUP (negedge D) (posedge CLK) (0.271:0.277:0.283)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _437_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.328:1.328:1.328) (0.877:0.877:0.877)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.278:0.278:0.278)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.242:-0.242:-0.242)) - (HOLD (posedge D) (posedge CLK) (-0.070:-0.070:-0.071)) - (HOLD (negedge D) (posedge CLK) (0.000:-0.003:-0.006)) - (SETUP (posedge D) (posedge CLK) (0.122:0.123:0.124)) - (SETUP (negedge D) (posedge CLK) (0.141:0.145:0.149)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _438_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.857:0.857:0.857) (0.908:0.908:0.908)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.751:0.751:0.751)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.371:-0.371:-0.371)) - (HOLD (posedge D) (posedge CLK) (-0.078:-0.079:-0.079)) - (HOLD (negedge D) (posedge CLK) (-0.089:-0.095:-0.101)) - (SETUP (posedge D) (posedge CLK) (0.134:0.135:0.136)) - (SETUP (negedge D) (posedge CLK) (0.267:0.274:0.280)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _439_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.308:1.308:1.308) (0.816:0.816:0.816)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.291:0.291:0.291)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.249:-0.249:-0.249)) - (HOLD (posedge D) (posedge CLK) (-0.062:-0.063:-0.064)) - (HOLD (negedge D) (posedge CLK) (-0.004:-0.004:-0.004)) - (SETUP (posedge D) (posedge CLK) (0.120:0.121:0.121)) - (SETUP (negedge D) (posedge CLK) (0.163:0.163:0.163)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _440_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.845:0.845:0.845) (0.902:0.902:0.902)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.736:0.736:0.736)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.396:-0.396:-0.396)) - (HOLD (posedge D) (posedge CLK) (-0.074:-0.075:-0.076)) - (HOLD (negedge D) (posedge CLK) (-0.107:-0.107:-0.108)) - (SETUP (posedge D) (posedge CLK) (0.130:0.131:0.132)) - (SETUP (negedge D) (posedge CLK) (0.288:0.288:0.288)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _441_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.754:0.754:0.754) (0.832:0.832:0.832)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.736:0.736:0.736)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.396:-0.396:-0.396)) - (HOLD (posedge D) (posedge CLK) (-0.075:-0.076:-0.076)) - (HOLD (negedge D) (posedge CLK) (-0.104:-0.104:-0.104)) - (SETUP (posedge D) (posedge CLK) (0.132:0.132:0.132)) - (SETUP (negedge D) (posedge CLK) (0.285:0.285:0.285)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _442_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.627:0.627:0.627) (0.722:0.722:0.722)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.710:0.710:0.710)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.393:-0.393:-0.393)) - (HOLD (posedge D) (posedge CLK) (-0.122:-0.122:-0.122)) - (HOLD (negedge D) (posedge CLK) (-0.150:-0.150:-0.150)) - (SETUP (posedge D) (posedge CLK) (0.183:0.183:0.183)) - (SETUP (negedge D) (posedge CLK) (0.335:0.335:0.335)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _443_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.058:1.058:1.058) (0.694:0.694:0.694)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.278:0.278:0.278)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.242:-0.242:-0.242)) - (HOLD (posedge D) (posedge CLK) (-0.105:-0.105:-0.105)) - (HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (SETUP (posedge D) (posedge CLK) (0.161:0.161:0.161)) - (SETUP (negedge D) (posedge CLK) (0.184:0.184:0.184)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _444_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.624:0.624:0.624) (0.719:0.719:0.719)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.708:0.708:0.708)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.391:-0.391:-0.391)) - (HOLD (posedge D) (posedge CLK) (-0.120:-0.120:-0.120)) - (HOLD (negedge D) (posedge CLK) (-0.149:-0.149:-0.149)) - (SETUP (posedge D) (posedge CLK) (0.181:0.181:0.181)) - (SETUP (negedge D) (posedge CLK) (0.335:0.335:0.335)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _445_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.638:0.638:0.638) (0.730:0.730:0.730)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.710:0.710:0.710)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.393:-0.393:-0.393)) - (HOLD (posedge D) (posedge CLK) (-0.080:-0.080:-0.080)) - (HOLD (negedge D) (posedge CLK) (-0.101:-0.101:-0.101)) - (SETUP (posedge D) (posedge CLK) (0.136:0.136:0.136)) - (SETUP (negedge D) (posedge CLK) (0.281:0.281:0.281)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _446_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.276:1.276:1.276) (0.836:0.836:0.836)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.278:0.278:0.278)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.242:-0.242:-0.242)) - (HOLD (posedge D) (posedge CLK) (-0.073:-0.073:-0.073)) - (HOLD (negedge D) (posedge CLK) (-0.006:-0.006:-0.006)) - (SETUP (posedge D) (posedge CLK) (0.126:0.126:0.126)) - (SETUP (negedge D) (posedge CLK) (0.147:0.147:0.147)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _447_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.781:0.781:0.781) (0.850:0.850:0.850)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.710:0.710:0.710)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.393:-0.393:-0.393)) - (HOLD (posedge D) (posedge CLK) (-0.080:-0.080:-0.080)) - (HOLD (negedge D) (posedge CLK) (-0.102:-0.102:-0.102)) - (SETUP (posedge D) (posedge CLK) (0.137:0.137:0.137)) - (SETUP (negedge D) (posedge CLK) (0.281:0.281:0.281)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _448_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.701:0.701:0.701) (0.632:0.632:0.632)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.070:-0.071:-0.071)) - (HOLD (negedge D) (posedge CLK) (-0.116:-0.116:-0.117)) - (SETUP (posedge D) (posedge CLK) (0.126:0.127:0.128)) - (SETUP (negedge D) (posedge CLK) (0.281:0.281:0.281)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _449_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.672:0.672:0.672) (0.611:0.611:0.611)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.111:-0.111:-0.111)) - (HOLD (negedge D) (posedge CLK) (-0.150:-0.150:-0.150)) - (SETUP (posedge D) (posedge CLK) (0.173:0.173:0.173)) - (SETUP (negedge D) (posedge CLK) (0.318:0.318:0.318)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _450_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.691:0.691:0.691) (0.623:0.623:0.623)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.151:-0.151:-0.151)) - (HOLD (negedge D) (posedge CLK) (-0.188:-0.188:-0.188)) - (SETUP (posedge D) (posedge CLK) (0.217:0.217:0.217)) - (SETUP (negedge D) (posedge CLK) (0.359:0.359:0.359)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _451_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.686:0.686:0.686) (0.619:0.619:0.619)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.101:-0.101:-0.101)) - (HOLD (negedge D) (posedge CLK) (-0.134:-0.134:-0.134)) - (SETUP (posedge D) (posedge CLK) (0.161:0.161:0.161)) - (SETUP (negedge D) (posedge CLK) (0.301:0.301:0.301)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _452_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.185:1.185:1.185) (0.788:0.788:0.788)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.277:0.277:0.277)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.240:-0.240:-0.240)) - (HOLD (posedge D) (posedge CLK) (-0.063:-0.065:-0.067)) - (HOLD (negedge D) (posedge CLK) (0.001:-0.001:-0.003)) - (SETUP (posedge D) (posedge CLK) (0.114:0.117:0.119)) - (SETUP (negedge D) (posedge CLK) (0.140:0.143:0.145)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _453_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.753:0.753:0.753) (0.833:0.833:0.833)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.758:0.758:0.758)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.364:-0.364:-0.364)) - (HOLD (posedge D) (posedge CLK) (-0.078:-0.078:-0.079)) - (HOLD (negedge D) (posedge CLK) (-0.102:-0.102:-0.102)) - (SETUP (posedge D) (posedge CLK) (0.134:0.135:0.135)) - (SETUP (negedge D) (posedge CLK) (0.282:0.282:0.283)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _454_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (1.011:1.011:1.011) (0.860:0.860:0.860)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.963:0.963:0.963)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.530:-0.530:-0.530)) - (HOLD (posedge D) (negedge CLK_N) (0.136:0.131:0.126)) - (HOLD (negedge D) (negedge CLK_N) (-0.251:-0.252:-0.254)) - (SETUP (posedge D) (negedge CLK_N) (-0.041:-0.035:-0.028)) - (SETUP (negedge D) (negedge CLK_N) (0.378:0.380:0.381)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _455_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.265:1.265:1.265) (0.825:0.825:0.825)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.261:0.261:0.261)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.225:-0.225:-0.225)) - (HOLD (posedge D) (posedge CLK) (-0.089:-0.093:-0.097)) - (HOLD (negedge D) (posedge CLK) (-0.020:-0.021:-0.022)) - (SETUP (posedge D) (posedge CLK) (0.143:0.147:0.152)) - (SETUP (negedge D) (posedge CLK) (0.164:0.165:0.166)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _456_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.935:0.935:0.935) (0.807:0.807:0.807)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.963:0.963:0.963)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.530:-0.530:-0.530)) - (HOLD (posedge D) (negedge CLK_N) (0.137:0.133:0.129)) - (HOLD (negedge D) (negedge CLK_N) (-0.250:-0.251:-0.253)) - (SETUP (posedge D) (negedge CLK_N) (-0.042:-0.036:-0.031)) - (SETUP (negedge D) (negedge CLK_N) (0.377:0.379:0.380)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _457_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.166:1.166:1.166) (0.766:0.766:0.766)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.258:0.258:0.258)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.221:-0.221:-0.221)) - (HOLD (posedge D) (posedge CLK) (-0.087:-0.092:-0.096)) - (HOLD (negedge D) (posedge CLK) (-0.015:-0.020:-0.025)) - (SETUP (posedge D) (posedge CLK) (0.141:0.146:0.151)) - (SETUP (negedge D) (posedge CLK) (0.158:0.164:0.170)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _458_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.936:0.936:0.936) (0.809:0.809:0.809)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.963:0.963:0.963)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.530:-0.530:-0.530)) - (HOLD (posedge D) (negedge CLK_N) (0.151:0.150:0.149)) - (HOLD (negedge D) (negedge CLK_N) (-0.258:-0.259:-0.259)) - (SETUP (posedge D) (negedge CLK_N) (-0.059:-0.058:-0.057)) - (SETUP (negedge D) (negedge CLK_N) (0.386:0.386:0.387)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _459_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.256:1.256:1.256) (0.770:0.770:0.770)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.263:0.263:0.263)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.220:-0.220:-0.220)) - (HOLD (posedge D) (posedge CLK) (-0.070:-0.071:-0.071)) - (HOLD (negedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (SETUP (posedge D) (posedge CLK) (0.129:0.129:0.130)) - (SETUP (negedge D) (posedge CLK) (0.180:0.180:0.180)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _460_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.963:0.963:0.963) (0.822:0.822:0.822)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.963:0.963:0.963)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.530:-0.530:-0.530)) - (HOLD (posedge D) (negedge CLK_N) (0.153:0.152:0.151)) - (HOLD (negedge D) (negedge CLK_N) (-0.256:-0.256:-0.257)) - (SETUP (posedge D) (negedge CLK_N) (-0.062:-0.061:-0.060)) - (SETUP (negedge D) (negedge CLK_N) (0.384:0.384:0.384)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _461_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.941:0.941:0.941) (1.098:1.098:1.098)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.760:0.760:0.760)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.316:-0.316:-0.316)) - (HOLD (posedge D) (posedge CLK) (-0.091:-0.132:-0.172)) - (HOLD (negedge D) (posedge CLK) (-0.112:-0.117:-0.123)) - (SETUP (posedge D) (posedge CLK) (0.151:0.196:0.240)) - (SETUP (negedge D) (posedge CLK) (0.298:0.304:0.311)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _462_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.343:1.343:1.343) (0.886:0.886:0.886)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.280:0.280:0.280)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.244:-0.244:-0.244)) - (HOLD (posedge D) (posedge CLK) (-0.074:-0.075:-0.075)) - (HOLD (negedge D) (posedge CLK) (-0.002:-0.006:-0.009)) - (SETUP (posedge D) (posedge CLK) (0.127:0.127:0.128)) - (SETUP (negedge D) (posedge CLK) (0.144:0.148:0.152)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _463_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.838:0.838:0.838) (0.893:0.893:0.893)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.756:0.756:0.756)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.362:-0.362:-0.362)) - (HOLD (posedge D) (posedge CLK) (-0.080:-0.081:-0.081)) - (HOLD (negedge D) (posedge CLK) (-0.091:-0.097:-0.103)) - (SETUP (posedge D) (posedge CLK) (0.137:0.137:0.138)) - (SETUP (negedge D) (posedge CLK) (0.270:0.276:0.283)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _464_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.197:1.197:1.197) (0.795:0.795:0.795)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.289:0.289:0.289)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.254:-0.254:-0.254)) - (HOLD (posedge D) (posedge CLK) (-0.080:-0.087:-0.093)) - (HOLD (negedge D) (posedge CLK) (-0.003:-0.005:-0.008)) - (SETUP (posedge D) (posedge CLK) (0.133:0.141:0.148)) - (SETUP (negedge D) (posedge CLK) (0.144:0.148:0.151)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _465_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.300:1.300:1.300) (0.810:0.810:0.810)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.278:0.278:0.278)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.236:-0.236:-0.236)) - (HOLD (posedge D) (posedge CLK) (-0.063:-0.063:-0.064)) - (HOLD (negedge D) (posedge CLK) (-0.005:-0.005:-0.005)) - (SETUP (posedge D) (posedge CLK) (0.121:0.121:0.122)) - (SETUP (negedge D) (posedge CLK) (0.164:0.164:0.164)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _466_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.823:0.823:0.823) (0.884:0.884:0.884)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.758:0.758:0.758)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.364:-0.364:-0.364)) - (HOLD (posedge D) (posedge CLK) (-0.072:-0.073:-0.074)) - (HOLD (negedge D) (posedge CLK) (-0.105:-0.105:-0.105)) - (SETUP (posedge D) (posedge CLK) (0.128:0.129:0.130)) - (SETUP (negedge D) (posedge CLK) (0.286:0.286:0.286)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _467_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.733:0.733:0.733) (0.813:0.813:0.813)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.751:0.751:0.751)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.372:-0.372:-0.372)) - (HOLD (posedge D) (posedge CLK) (-0.073:-0.073:-0.074)) - (HOLD (negedge D) (posedge CLK) (-0.102:-0.102:-0.102)) - (SETUP (posedge D) (posedge CLK) (0.129:0.129:0.129)) - (SETUP (negedge D) (posedge CLK) (0.282:0.282:0.282)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _468_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.630:0.630:0.630) (0.725:0.725:0.725)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.738:0.738:0.738)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.357:-0.357:-0.357)) - (HOLD (posedge D) (posedge CLK) (-0.099:-0.099:-0.099)) - (HOLD (negedge D) (posedge CLK) (-0.127:-0.127:-0.127)) - (SETUP (posedge D) (posedge CLK) (0.158:0.158:0.158)) - (SETUP (negedge D) (posedge CLK) (0.309:0.309:0.309)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _469_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.055:1.055:1.055) (0.691:0.691:0.691)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.262:0.262:0.262)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.225:-0.225:-0.225)) - (HOLD (posedge D) (posedge CLK) (-0.106:-0.106:-0.106)) - (HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (SETUP (posedge D) (posedge CLK) (0.162:0.162:0.162)) - (SETUP (negedge D) (posedge CLK) (0.186:0.186:0.186)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _470_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.626:0.626:0.626) (0.721:0.721:0.721)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.738:0.738:0.738)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.357:-0.357:-0.357)) - (HOLD (posedge D) (posedge CLK) (-0.104:-0.104:-0.104)) - (HOLD (negedge D) (posedge CLK) (-0.132:-0.132:-0.132)) - (SETUP (posedge D) (posedge CLK) (0.163:0.163:0.163)) - (SETUP (negedge D) (posedge CLK) (0.315:0.315:0.315)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _471_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.727:0.727:0.727) (0.808:0.808:0.808)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.738:0.738:0.738)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.357:-0.357:-0.357)) - (HOLD (posedge D) (posedge CLK) (-0.081:-0.081:-0.081)) - (HOLD (negedge D) (posedge CLK) (-0.102:-0.102:-0.102)) - (SETUP (posedge D) (posedge CLK) (0.137:0.137:0.137)) - (SETUP (negedge D) (posedge CLK) (0.282:0.282:0.282)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _472_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.271:1.271:1.271) (0.832:0.832:0.832)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.262:0.262:0.262)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.225:-0.225:-0.225)) - (HOLD (posedge D) (posedge CLK) (-0.074:-0.074:-0.074)) - (HOLD (negedge D) (posedge CLK) (-0.008:-0.008:-0.008)) - (SETUP (posedge D) (posedge CLK) (0.126:0.126:0.126)) - (SETUP (negedge D) (posedge CLK) (0.149:0.149:0.149)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _473_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.756:0.756:0.756) (0.833:0.833:0.833)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.738:0.738:0.738)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.357:-0.357:-0.357)) - (HOLD (posedge D) (posedge CLK) (-0.080:-0.080:-0.080)) - (HOLD (negedge D) (posedge CLK) (-0.101:-0.101:-0.101)) - (SETUP (posedge D) (posedge CLK) (0.137:0.137:0.137)) - (SETUP (negedge D) (posedge CLK) (0.281:0.281:0.281)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _271__1) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.068:0.068:0.068) (0.055:0.055:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout18) - (DELAY - (ABSOLUTE - (IOPATH A X (0.530:0.530:0.530) (0.444:0.444:0.444)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout17) - (DELAY - (ABSOLUTE - (IOPATH A X (0.468:0.468:0.468) (0.419:0.419:0.419)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE fanout16) - (DELAY - (ABSOLUTE - (IOPATH A X (0.383:0.383:0.383) (0.351:0.351:0.351)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout15) - (DELAY - (ABSOLUTE - (IOPATH A X (0.558:0.558:0.558) (0.491:0.491:0.491)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout14) - (DELAY - (ABSOLUTE - (IOPATH A X (0.639:0.639:0.639) (0.527:0.527:0.527)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout13) - (DELAY - (ABSOLUTE - (IOPATH A X (0.563:0.563:0.563) (0.492:0.492:0.492)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE user_clk_out_buffer) - (DELAY - (ABSOLUTE - (IOPATH A X (0.467:0.468:0.469) (0.455:0.455:0.456)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output11) - (DELAY - (ABSOLUTE - (IOPATH A X (0.548:0.548:0.548) (0.374:0.377:0.381)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input9) - (DELAY - (ABSOLUTE - (IOPATH A X (0.937:0.937:0.937) (1.063:1.063:1.063)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input8) - (DELAY - (ABSOLUTE - (IOPATH A X (0.923:0.923:0.923) (1.049:1.049:1.049)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input7) - (DELAY - (ABSOLUTE - (IOPATH A X (0.954:0.954:0.954) (1.077:1.077:1.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input6) - (DELAY - (ABSOLUTE - (IOPATH A X (0.857:0.857:0.857) (0.996:0.996:0.996)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input5) - (DELAY - (ABSOLUTE - (IOPATH A X (0.923:0.923:0.923) (1.049:1.049:1.049)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input4) - (DELAY - (ABSOLUTE - (IOPATH A X (0.827:0.827:0.827) (0.971:0.971:0.971)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input3) - (DELAY - (ABSOLUTE - (IOPATH A X (0.909:0.909:0.909) (1.032:1.032:1.032)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input2) - (DELAY - (ABSOLUTE - (IOPATH A X (0.911:0.911:0.911) (1.039:1.039:1.039)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.898:0.898:0.898) (1.026:1.026:1.026)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout19) - (DELAY - (ABSOLUTE - (IOPATH A X (0.481:0.481:0.481) (0.434:0.434:0.434)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE fanout20) - (DELAY - (ABSOLUTE - (IOPATH A X (0.347:0.347:0.347) (0.325:0.325:0.325)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout21) - (DELAY - (ABSOLUTE - (IOPATH A X (0.531:0.531:0.531) (0.466:0.466:0.466)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout22) - (DELAY - (ABSOLUTE - (IOPATH A X (0.540:0.540:0.540) (0.477:0.477:0.477)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout23) - (DELAY - (ABSOLUTE - (IOPATH A X (0.438:0.438:0.438) (0.394:0.394:0.394)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout24) - (DELAY - (ABSOLUTE - (IOPATH A X (0.407:0.407:0.407) (0.377:0.377:0.377)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout25) - (DELAY - (ABSOLUTE - (IOPATH A X (0.437:0.437:0.437) (0.404:0.404:0.404)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE fanout26) - (DELAY - (ABSOLUTE - (IOPATH A X (0.450:0.450:0.450) (0.419:0.419:0.419)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout27) - (DELAY - (ABSOLUTE - (IOPATH A X (0.461:0.461:0.461) (0.427:0.427:0.427)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout28) - (DELAY - (ABSOLUTE - (IOPATH A X (0.544:0.544:0.544) (0.473:0.473:0.473)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout29) - (DELAY - (ABSOLUTE - (IOPATH A X (0.518:0.518:0.518) (0.465:0.465:0.465)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_ext_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.244:0.244:0.244) (0.280:0.280:0.280)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_ext_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.255:0.255:0.255) (0.289:0.289:0.289)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0__037_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.311:0.312:0.312) (0.336:0.336:0.337)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f__037_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.200:0.200:0.200) (0.232:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f__037_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.199:0.199:0.199) (0.232:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_net10) - (DELAY - (ABSOLUTE - (IOPATH A X (0.258:0.259:0.260) (0.298:0.299:0.299)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_net10) - (DELAY - (ABSOLUTE - (IOPATH A X (0.433:0.433:0.433) (0.407:0.407:0.407)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_net10) - (DELAY - (ABSOLUTE - (IOPATH A X (0.226:0.226:0.226) (0.254:0.254:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_pll_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (1.242:1.242:1.242) (1.594:1.594:1.594)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_pll_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.314:0.314:0.314) (0.336:0.336:0.336)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_pll_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.305:0.305:0.305) (0.329:0.329:0.329)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_divider\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.262:0.262:0.262) (0.273:0.274:0.275)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_divider\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.213:0.213:0.213) (0.243:0.243:0.243)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_divider\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.208:0.208:0.208) (0.240:0.240:0.240)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_pll_clk90) - (DELAY - (ABSOLUTE - (IOPATH A X (1.243:1.243:1.243) (1.595:1.595:1.595)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_pll_clk90) - (DELAY - (ABSOLUTE - (IOPATH A X (0.302:0.302:0.302) (0.327:0.327:0.327)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_pll_clk90) - (DELAY - (ABSOLUTE - (IOPATH A X (0.307:0.307:0.307) (0.331:0.331:0.331)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_divider2\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.243:0.243:0.243) (0.261:0.262:0.263)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_divider2\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.204:0.204:0.204) (0.235:0.235:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_divider2\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.210:0.210:0.210) (0.241:0.241:0.241)) - ) - ) - ) -) diff --git a/sdf/caravel_clocking/min/caravel_clocking.tt.sdf b/sdf/caravel_clocking/min/caravel_clocking.tt.sdf deleted file mode 100644 index c792bcdc..00000000 --- a/sdf/caravel_clocking/min/caravel_clocking.tt.sdf +++ /dev/null @@ -1,4640 +0,0 @@ -(DELAYFILE - (SDFVERSION "3.0") - (DESIGN "caravel_clocking") - (DATE "Thu Oct 13 17:51:11 2022") - (VENDOR "Parallax") - (PROGRAM "STA") - (VERSION "2.3.1") - (DIVIDER .) - (VOLTAGE 1.600::1.600) - (PROCESS "1.000::1.000") - (TEMPERATURE 100.000::100.000) - (TIMESCALE 1ns) - (CELL - (CELLTYPE "caravel_clocking") - (INSTANCE) - (DELAY - (ABSOLUTE - (INTERCONNECT ext_clk clkbuf_0_ext_clk.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_clk ANTENNA_clkbuf_0_ext_clk_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_clk_sel input1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_clk_sel ANTENNA_input1_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_reset input2.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_reset ANTENNA_input2_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT pll_clk clkbuf_0_pll_clk.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT pll_clk ANTENNA_clkbuf_0_pll_clk_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT pll_clk90 clkbuf_0_pll_clk90.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT pll_clk90 ANTENNA_clkbuf_0_pll_clk90_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT resetb input3.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT resetb ANTENNA_input3_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[0] input4.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[0] ANTENNA_input4_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[1] input5.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[1] ANTENNA_input5_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[2] input6.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[2] ANTENNA_input6_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[0] input7.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[0] ANTENNA_input7_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[1] input8.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[1] ANTENNA_input8_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[2] input9.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[2] ANTENNA_input9_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _206_.X _286_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _206_.X _367_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _206_.X _368_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _207_.X _273_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _207_.X _395_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _207_.X _396_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _208_.X _309_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _209_.X clkbuf_0__037_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _210_.X clkbuf_0_net10.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _211_.X _313_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _212_.X user_clk_out_buffer.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _213_.X _367_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _214_.X _286_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _215_.X _368_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _216_.X _217_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _217_.X _267_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _218_.X _219_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _219_.X _252_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _220_.X _221_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _221_.X _269_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _222_.X _223_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _223_.X _369_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _224_.X _225_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _225_.X _371_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _226_.X _227_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _227_.X _373_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _228_.X _375_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _229_.X _376_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _230_.X _395_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _231_.X _273_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _232_.X _396_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _233_.X _234_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _234_.X _270_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _235_.X _236_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _236_.X _249_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _237_.X _238_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _238_.X _272_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _239_.X _240_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _240_.X _397_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _241_.X _242_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _242_.X _399_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _243_.X _244_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _244_.X _401_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _245_.X _406_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _246_.X _407_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _247_.Y _277_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _247_.Y _283_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _248_.Y _281_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _248_.Y _282_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _249_.Y _285_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _250_.Y _290_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _250_.Y _296_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _251_.Y _294_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _251_.Y _295_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _252_.Y _298_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _253_.Y _228_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _254_.Y _213_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _254_.Y _323_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _255_.Y _290_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _255_.Y _296_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _256_.Y _216_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _222_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _303_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _370_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _258_.Y _245_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _259_.Y _277_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _259_.Y _283_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _260_.Y _230_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _260_.Y _339_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _261_.Y _233_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _262_.Y _239_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _262_.Y _308_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _262_.Y _398_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _263_.Y _420_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _264_.Y _354_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _265_.Y _382_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _411__8.Y _418_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _267_.Y _364_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _413__5.Y _432_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _269_.Y _366_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _270_.Y _392_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _415__2.Y _457_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _272_.Y _394_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _273_.X _459_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _274_.Y _280_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _274_.Y _281_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _274_.Y _339_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _275_.Y _211_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _276_.X _281_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _276_.X _283_.A1 (0.005:0.005:0.005) (0.004:0.005:0.005)) - (INTERCONNECT _276_.X _306_.B (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _276_.X _316_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _276_.X _388_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _276_.X _390_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _276_.X _397_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _276_.X _398_.A3 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _276_.X _399_.A2 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _276_.X _400_.A3 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _276_.X _401_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _276_.X _402_.A3 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _276_.X ANTENNA__402__A3.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _276_.X ANTENNA__401__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _276_.X ANTENNA__400__A3.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _276_.X ANTENNA__399__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _276_.X ANTENNA__398__A3.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _276_.X ANTENNA__397__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _276_.X ANTENNA__390__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _276_.X ANTENNA__388__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _276_.X ANTENNA__316__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _276_.X ANTENNA__306__B.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _276_.X ANTENNA__283__A1.DIODE (0.005:0.005:0.005) (0.004:0.004:0.005)) - (INTERCONNECT _276_.X ANTENNA__281__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _277_.Y _278_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _277_.Y _284_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _277_.Y _391_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _277_.Y _393_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _278_.Y _207_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _279_.Y _233_.S (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _279_.Y _235_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _279_.Y _237_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _279_.Y _280_.D1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _279_.Y _281_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _280_.X _282_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _281_.Y _282_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _282_.Y _457_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _283_.Y _285_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _283_.Y _392_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _283_.Y _394_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _284_.Y _285_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _285_.Y _455_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _286_.X _434_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _287_.Y _293_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _287_.Y _294_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _287_.Y _323_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _288_.Y _208_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _289_.X _294_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _296_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _301_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _312_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _361_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _362_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _369_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _370_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _289_.X _371_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _372_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _373_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _374_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _290_.Y _291_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _290_.Y _297_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _290_.Y _363_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _290_.Y _365_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _291_.Y _206_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.Y _216_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.Y _218_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.Y _220_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.Y _293_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.Y _294_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _293_.X _295_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _294_.Y _295_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _295_.Y _432_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.Y _298_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.Y _364_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.Y _366_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _297_.Y _298_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _298_.Y _430_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _299_.Y _300_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _299_.Y _354_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _228_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _229_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _353_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _301_.X _206_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _302_.Y _403_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _303_.Y _222_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _303_.Y _224_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _303_.Y _226_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.Y _305_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.Y _382_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _305_.Y _245_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _305_.Y _246_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _305_.Y _381_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _306_.X _207_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _307_.Y _350_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _239_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _241_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _243_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _309_.X _312_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _310_.Y _312_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _311_.X _312_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _312_.X clkbuf_0_divider\.out.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _313_.X _316_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _314_.Y _316_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _315_.X _316_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _316_.X clkbuf_0_divider2\.out.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _317_.Y _213_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _318_.Y _214_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _319_.Y _321_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _320_.X _215_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _320_.X _321_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _321_.Y _214_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _322_.X _323_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _323_.X _215_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _324_.Y _218_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _325_.X _327_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _326_.Y _327_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _327_.Y _220_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _328_.Y _224_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _329_.X _331_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _330_.Y _331_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _331_.Y _226_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _332_.Y _229_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _333_.Y _230_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _334_.Y _231_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _335_.Y _337_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _336_.X _232_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _336_.X _337_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _337_.Y _231_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _338_.X _339_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _339_.X _232_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _340_.Y _235_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _341_.X _343_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _342_.Y _343_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _343_.Y _237_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _344_.Y _241_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _345_.X _347_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _346_.Y _347_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _347_.Y _243_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _348_.Y _246_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _349_.Y output11.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _350_.Y _351_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _350_.Y _352_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _351_.Y _352_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _352_.Y _422_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _353_.Y _355_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _354_.Y _355_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _355_.Y _427_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _356_.Y _358_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _357_.Y _358_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _358_.Y _362_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _359_.Y _361_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _360_.X _361_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _361_.Y _362_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _362_.X _428_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _363_.Y _364_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _364_.Y _429_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _365_.Y _366_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _366_.Y _431_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _367_.X _433_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _368_.X _435_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _369_.Y _370_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _370_.Y _436_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _371_.Y _372_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _372_.X _437_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _373_.Y _374_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _374_.X _438_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _375_.X _439_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _376_.X _440_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _377_.Y _379_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _378_.X _379_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _379_.X _441_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _380_.X _448_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _381_.Y _383_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _382_.Y _383_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _383_.Y _452_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _384_.Y _389_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _385_.Y _388_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _386_.Y _389_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _387_.Y _388_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _388_.Y _390_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _389_.Y _390_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _390_.X _453_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _391_.Y _392_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _392_.Y _454_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _393_.Y _394_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _394_.Y _456_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _395_.X _458_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _396_.X _460_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _397_.Y _398_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _398_.Y _461_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _399_.Y _400_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _400_.X _462_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _401_.Y _402_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _402_.X _463_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.Y _404_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.Y _405_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _404_.Y _405_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _405_.Y _464_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _406_.X _465_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _407_.X _466_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _408_.Y _410_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _409_.X _410_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _410_.X _467_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _412__9.Y _419_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_ext_clk.X clkbuf_1_0__f_ext_clk.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_ext_clk.X clkbuf_1_1__f_ext_clk.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _414__6.Y _434_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _266__7.Y _417_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _416__3.Y _459_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _268__4.Y _430_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _417_.Q _349_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _418_.Q _417_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _419_.Q _418_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _420_.Q _209_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _420_.Q _421_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _421_.Q _210_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _421_.Q _212_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.Q _314_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.Q _315_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.Q _351_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.Q _352_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _423_.Q _209_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _424_.Q _358_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Q _356_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Q _357_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _426_.Q _359_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _426_.Q _360_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _427_.Q _208_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _427_.Q _264_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _427_.Q _353_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _428_.Q _362_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _428_.Q fanout24.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _429_.Q _256_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _429_.Q _292_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _429_.Q _324_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _429_.Q _325_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _429_.Q _326_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _429_.Q _363_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _430_.Q _292_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _430_.Q _297_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _430_.Q _324_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _430_.Q _325_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _430_.Q _326_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _431_.Q _292_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _431_.Q _325_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _431_.Q _326_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _431_.Q _365_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _432_.Q _251_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _432_.Q _310_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _432_.Q _311_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _433_.Q _254_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _433_.Q _318_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _433_.Q _322_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _433_.Q _367_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _434_.Q _250_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _434_.Q _286_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _434_.Q _287_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _434_.Q _318_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _434_.Q _322_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _435_.Q _255_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _435_.Q _287_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _435_.Q _322_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _435_.Q _368_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _436_.Q _257_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _436_.Q _328_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _436_.Q _329_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _436_.Q _330_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _436_.Q _403_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _437_.Q _302_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _437_.Q _303_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _437_.Q _328_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _437_.Q _329_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _437_.Q _330_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _437_.Q _372_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _438_.Q _302_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _438_.Q _303_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _438_.Q _329_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _438_.Q _330_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _438_.Q _374_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _439_.Q _253_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _439_.Q _300_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _439_.Q _332_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _439_.Q _354_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _439_.Q _375_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _439_.Q _377_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _439_.Q _378_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _440_.Q _299_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _440_.Q _332_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _440_.Q _376_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _440_.Q _377_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _440_.Q _378_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _441_.Q _299_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _441_.Q _377_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _441_.Q _378_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _442_.Q _445_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _443_.Q _446_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _444_.Q _447_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _445_.Q fanout20.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _446_.Q _224_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _446_.Q _403_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _446_.Q _288_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _446_.Q _289_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _446_.Q fanout18.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _447_.Q _403_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _447_.Q _359_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _447_.Q _226_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _447_.Q fanout17.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _448_.Q _380_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _448_.Q _423_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _449_.Q _389_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _450_.Q _384_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _450_.Q _386_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _451_.Q _385_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _451_.Q _387_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _452_.Q _211_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _452_.Q _265_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _452_.Q _381_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _453_.Q _281_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _453_.Q fanout22.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _454_.Q _261_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _454_.Q _279_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _454_.Q _340_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _454_.Q _341_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _454_.Q _342_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _454_.Q _391_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _279_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _284_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _340_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _341_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _342_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _279_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _341_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _342_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _393_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _457_.Q _248_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _457_.Q _314_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _457_.Q _315_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _260_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _334_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _338_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _395_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _459_.Q _247_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _459_.Q _273_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _459_.Q _274_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _459_.Q _334_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _459_.Q _338_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _460_.Q _259_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _460_.Q _274_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _460_.Q _338_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _460_.Q _396_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _461_.Q _262_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _344_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _345_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _346_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _350_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _462_.Q _307_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _462_.Q _308_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _462_.Q _344_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _462_.Q _345_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _462_.Q _346_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _462_.Q _400_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _463_.Q _307_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _463_.Q _308_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _463_.Q _345_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _463_.Q _346_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _463_.Q _402_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _464_.Q _310_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _464_.Q _311_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _464_.Q _404_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _464_.Q _405_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _258_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _305_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _348_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _382_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _406_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _408_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _409_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _466_.Q _304_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _466_.Q _348_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _466_.Q _407_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _466_.Q _408_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _466_.Q _409_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _304_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _408_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _409_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _468_.Q _471_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _469_.Q _472_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _470_.Q _473_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _471_.Q fanout15.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _471_.Q fanout16.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _472_.Q _384_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _472_.Q _276_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _472_.Q _350_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _472_.Q _386_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _472_.Q fanout14.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _473_.Q _451_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _385_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _350_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q fanout13.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _271__1.Y _455_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout18.X _218_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout18.X _219_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout18.X _290_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout18.X _293_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout18.X _317_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout18.X _319_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout18.X _228_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout18.X _320_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout18.X _356_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout18.X _357_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout18.X _425_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout18.X _225_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout17.X _289_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout17.X _221_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT fanout17.X _220_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout17.X _290_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout17.X _293_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout17.X _319_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout17.X _320_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout17.X _229_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout17.X _288_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout17.X _426_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout17.X _360_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout17.X _227_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout16.X _382_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout16.X _406_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout16.X _407_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout16.X _449_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout16.X _350_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout16.X _239_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout16.X _240_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout15.X _280_.B1 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout15.X _336_.A2 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout15.X _234_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout15.X _333_.B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout15.X _335_.C (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout15.X _233_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout15.X _277_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout15.X _276_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout15.X _313_.A_N (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout15.X _381_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout15.X _408_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout15.X _409_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout15.X ANTENNA__409__A1.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout15.X ANTENNA__408__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout15.X ANTENNA__381__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout15.X ANTENNA__313__A_N.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout15.X ANTENNA__276__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout15.X ANTENNA__277__B1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout15.X ANTENNA__233__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout15.X ANTENNA__335__C.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout15.X ANTENNA__333__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout15.X ANTENNA__234__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout15.X ANTENNA__336__A2.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout15.X ANTENNA__280__B1.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X _236_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout14.X _242_.A1 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X _333_.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X _335_.B (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X _235_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout14.X _241_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X _277_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X _280_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout14.X _336_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout14.X _450_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout14.X _275_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout14.X _245_.A0 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT fanout14.X ANTENNA__245__A0.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout14.X ANTENNA__275__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout14.X ANTENNA__450__D.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout14.X ANTENNA__336__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout14.X ANTENNA__280__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout14.X ANTENNA__277__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X ANTENNA__241__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X ANTENNA__235__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout14.X ANTENNA__335__B.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X ANTENNA__333__A.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X ANTENNA__242__A1.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X ANTENNA__236__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout13.X _238_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X _243_.A1 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT fanout13.X _244_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X _237_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X _335_.A (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout13.X _336_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X _277_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X _280_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X _276_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout13.X _275_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout13.X _246_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout13.X _387_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout13.X ANTENNA__387__A_N.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout13.X ANTENNA__246__A0.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout13.X ANTENNA__275__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout13.X ANTENNA__276__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout13.X ANTENNA__280__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X ANTENNA__277__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X ANTENNA__336__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X ANTENNA__335__A.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout13.X ANTENNA__237__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X ANTENNA__244__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X ANTENNA__243__A1.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout13.X ANTENNA__238__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT user_clk_out_buffer.X user_clk (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT output11.X resetb_sync (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input9.X _444_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input8.X _443_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input7.X _442_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input6.X _470_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input5.X _469_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input4.X _468_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input3.X fanout25.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input3.X fanout26.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input3.X fanout29.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input2.X _349_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input1.X _263_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout19.X _375_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _376_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _377_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _378_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _317_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _320_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout19.X _290_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout19.X _319_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout19.X _217_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout19.X _354_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _353_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _293_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout20.X fanout19.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout20.X _216_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout20.X _424_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout20.X _403_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout20.X _309_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout20.X _289_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout20.X _223_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout20.X _222_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _230_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout21.X _238_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _283_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout21.X _284_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _391_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _393_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout21.X _306_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _207_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _232_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout21.X _231_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout21.X _401_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout21.X _244_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout22.X fanout21.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout22.X _234_.S (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout22.X _242_.S (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout22.X _399_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout22.X _236_.S (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout22.X _400_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout22.X _397_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout22.X _398_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout22.X _390_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout22.X _351_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout22.X _240_.S (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT fanout22.X _402_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout22.X ANTENNA__402__A1.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout22.X ANTENNA__240__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout22.X ANTENNA__351__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout22.X ANTENNA__390__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout22.X ANTENNA__398__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout22.X ANTENNA__397__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout22.X ANTENNA__400__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout22.X ANTENNA__236__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout22.X ANTENNA__399__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout22.X ANTENNA__242__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout22.X ANTENNA__234__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout22.X ANTENNA_fanout21_A.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout23.X _219_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout23.X _215_.S (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT fanout23.X _296_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout23.X _301_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT fanout23.X _214_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout23.X _213_.S (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT fanout23.X _206_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout23.X _297_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout23.X _363_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout23.X _365_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout23.X _221_.S (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT fanout23.X _217_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout24.X fanout23.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout24.X _294_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout24.X _369_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout24.X _370_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout24.X _372_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout24.X _374_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout24.X _404_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout24.X _223_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout24.X _373_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout24.X _371_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout24.X _227_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout24.X _225_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout25.X _429_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout25.X _430_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout25.X _432_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout25.X _433_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout25.X _434_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout25.X _435_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout25.X _439_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout25.X _440_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout25.X _441_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout25.X _380_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout25.X _418_.SET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout25.X _419_.SET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout26.X _423_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout26.X _454_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout26.X _455_.SET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout26.X _456_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout26.X _458_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout26.X _459_.SET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout26.X _460_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout26.X _462_.SET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout27.X _420_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout27.X _427_.SET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout27.X _431_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _436_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _442_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _443_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _444_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout27.X _445_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _446_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _447_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _464_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _428_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout28.X _417_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout28.X _421_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout28.X _422_.SET_B (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout28.X _453_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X _457_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout28.X _461_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X _463_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X _469_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout28.X _472_.SET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X _452_.SET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X _465_.SET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X _466_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X ANTENNA__466__RESET_B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X ANTENNA__465__SET_B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout28.X ANTENNA__452__SET_B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X ANTENNA__472__SET_B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X ANTENNA__469__SET_B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X ANTENNA__463__RESET_B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout28.X ANTENNA__461__RESET_B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X ANTENNA__457__SET_B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout28.X ANTENNA__453__RESET_B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X ANTENNA__422__SET_B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout28.X ANTENNA__421__RESET_B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout28.X ANTENNA__417__SET_B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout29.X fanout27.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout29.X _438_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X _437_.SET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X fanout28.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout29.X _473_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X _471_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X _470_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X _468_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X _467_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X ANTENNA__467__RESET_B.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X ANTENNA__468__RESET_B.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X ANTENNA__470__RESET_B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X ANTENNA__471__RESET_B.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X ANTENNA__473__RESET_B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X ANTENNA_fanout28_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout29.X ANTENNA__437__SET_B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout29.X ANTENNA__438__RESET_B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X ANTENNA_fanout27_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _419__30.LO _419_.D (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_ext_clk.X _380_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_ext_clk.X _209_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0__037_.X clkbuf_1_0__f__037_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0__037_.X clkbuf_1_1__f__037_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f__037_.X _210_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f__037_.X _212_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_net10.X clkbuf_1_0__f_net10.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_net10.X clkbuf_1_1__f_net10.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_net10.X core_clk (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT clkbuf_1_0__f_net10.X _412__9.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_1__f_net10.X _411__8.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_net10.X _266__7.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_pll_clk.X clkbuf_1_0__f_pll_clk.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_pll_clk.X clkbuf_1_1__f_pll_clk.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _414__6.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _268__4.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _448_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _441_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _440_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _439_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _435_.CLK_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _433_.CLK_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _429_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _423_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _421_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _413__5.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _464_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _438_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _437_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _436_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _431_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _428_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _427_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _426_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _425_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _424_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _420_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _208_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_divider\.out.X clkbuf_1_0__f_divider\.out.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_divider\.out.X clkbuf_1_1__f_divider\.out.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _447_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _446_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _445_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _443_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _442_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider\.out.X _444_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider\.out.X _210_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_pll_clk90.X clkbuf_1_0__f_pll_clk90.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_pll_clk90.X clkbuf_1_1__f_pll_clk90.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _416__3.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _271__1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _463_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _462_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _460_.CLK_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _458_.CLK_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _456_.CLK_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _454_.CLK_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _415__2.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _467_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _466_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _465_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _461_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _453_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _452_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _451_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _450_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _449_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _422_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _211_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_divider2\.out.X clkbuf_1_0__f_divider2\.out.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_divider2\.out.X clkbuf_1_1__f_divider2\.out.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider2\.out.X _472_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider2\.out.X _469_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider2\.out.X _212_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider2\.out.X _473_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider2\.out.X _471_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider2\.out.X _470_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider2\.out.X _468_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _206_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.195:0.195:0.195) (0.347:0.347:0.347)) - (IOPATH A1 X (0.228:0.228:0.228) (0.374:0.374:0.374)) - (IOPATH S X (0.260:0.265:0.271) (0.379:0.380:0.381)) - (IOPATH S X (0.202:0.202:0.203) (0.367:0.371:0.375)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _207_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.183:0.183:0.184) (0.338:0.338:0.338)) - (IOPATH A1 X (0.224:0.224:0.224) (0.371:0.371:0.371)) - (IOPATH S X (0.250:0.255:0.261) (0.372:0.373:0.373)) - (IOPATH S X (0.192:0.193:0.193) (0.359:0.363:0.367)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _208_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.132:0.132:0.132) (0.273:0.273:0.273)) - (IOPATH A1 X (0.117:0.117:0.117) (0.279:0.279:0.279)) - (IOPATH S X (0.188:0.190:0.192) (0.322:0.322:0.322)) - (IOPATH S X (0.142:0.142:0.142) (0.299:0.300:0.302)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _209_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.206:0.206:0.206) (0.351:0.351:0.351)) - (IOPATH A1 X (0.217:0.217:0.217) (0.368:0.368:0.368)) - (IOPATH S X (0.306:0.306:0.306) (0.413:0.413:0.413)) - (IOPATH S X (0.245:0.245:0.245) (0.400:0.400:0.400)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _210_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.153:0.153:0.153) (0.310:0.310:0.310)) - (IOPATH A1 X (0.157:0.157:0.157) (0.322:0.322:0.322)) - (IOPATH S X (0.239:0.239:0.239) (0.359:0.359:0.359)) - (IOPATH S X (0.177:0.177:0.177) (0.348:0.348:0.348)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _211_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.152:0.152:0.152) (0.295:0.295:0.295)) - (IOPATH A1 X (0.135:0.135:0.135) (0.299:0.299:0.299)) - (IOPATH S X (0.213:0.213:0.214) (0.343:0.343:0.343)) - (IOPATH S X (0.160:0.161:0.161) (0.325:0.325:0.326)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _212_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.156:0.156:0.156) (0.313:0.313:0.313)) - (IOPATH A1 X (0.160:0.160:0.160) (0.325:0.325:0.325)) - (IOPATH S X (0.242:0.242:0.242) (0.362:0.362:0.362)) - (IOPATH S X (0.181:0.181:0.181) (0.351:0.351:0.351)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _213_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.129:0.129:0.129) (0.290:0.290:0.290)) - (IOPATH A1 X (0.135:0.148:0.160) (0.301:0.303:0.305)) - (IOPATH S X (0.225:0.225:0.225) (0.347:0.347:0.347)) - (IOPATH S X (0.164:0.164:0.164) (0.336:0.336:0.336)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _214_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.121:0.133:0.144) (0.279:0.280:0.282)) - (IOPATH A1 X (0.147:0.147:0.147) (0.290:0.293:0.295)) - (IOPATH S X (0.216:0.216:0.216) (0.337:0.337:0.337)) - (IOPATH S X (0.155:0.155:0.155) (0.326:0.326:0.326)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _215_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.110:0.111:0.112) (0.269:0.269:0.270)) - (IOPATH A1 X (0.129:0.129:0.129) (0.286:0.286:0.287)) - (IOPATH S X (0.210:0.210:0.210) (0.329:0.329:0.329)) - (IOPATH S X (0.149:0.149:0.149) (0.317:0.317:0.317)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _216_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.107:0.107:0.107) (0.271:0.271:0.271)) - (IOPATH A1 X (0.153:0.153:0.153) (0.308:0.308:0.308)) - (IOPATH S X (0.195:0.199:0.203) (0.354:0.354:0.354)) - (IOPATH S X (0.192:0.192:0.192) (0.305:0.308:0.312)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _217_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.126:0.127:0.128) (0.291:0.291:0.291)) - (IOPATH A1 X (0.168:0.168:0.168) (0.322:0.322:0.322)) - (IOPATH S X (0.225:0.225:0.225) (0.345:0.345:0.345)) - (IOPATH S X (0.164:0.164:0.164) (0.334:0.334:0.334)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _218_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.118:0.128:0.138) (0.275:0.278:0.281)) - (IOPATH A1 X (0.161:0.161:0.161) (0.314:0.314:0.314)) - (IOPATH S X (0.202:0.206:0.210) (0.363:0.363:0.363)) - (IOPATH S X (0.200:0.200:0.200) (0.314:0.318:0.321)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _219_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.133:0.133:0.134) (0.297:0.297:0.297)) - (IOPATH A1 X (0.176:0.176:0.176) (0.328:0.328:0.328)) - (IOPATH S X (0.229:0.229:0.229) (0.350:0.350:0.350)) - (IOPATH S X (0.168:0.168:0.168) (0.338:0.338:0.338)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _220_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.107:0.108:0.109) (0.266:0.267:0.268)) - (IOPATH A1 X (0.151:0.151:0.151) (0.305:0.305:0.305)) - (IOPATH S X (0.196:0.200:0.204) (0.355:0.355:0.355)) - (IOPATH S X (0.194:0.194:0.194) (0.307:0.310:0.313)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _221_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.126:0.127:0.128) (0.292:0.292:0.292)) - (IOPATH A1 X (0.168:0.168:0.168) (0.322:0.322:0.322)) - (IOPATH S X (0.225:0.225:0.225) (0.345:0.345:0.345)) - (IOPATH S X (0.164:0.164:0.164) (0.334:0.334:0.334)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _222_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.107:0.107:0.107) (0.270:0.270:0.270)) - (IOPATH A1 X (0.152:0.152:0.152) (0.306:0.306:0.306)) - (IOPATH S X (0.181:0.192:0.202) (0.346:0.346:0.346)) - (IOPATH S X (0.180:0.180:0.180) (0.294:0.302:0.311)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _223_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.114:0.115:0.115) (0.280:0.281:0.281)) - (IOPATH A1 X (0.160:0.160:0.160) (0.317:0.317:0.317)) - (IOPATH S X (0.218:0.218:0.218) (0.337:0.337:0.337)) - (IOPATH S X (0.156:0.156:0.156) (0.327:0.327:0.327)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _224_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.118:0.131:0.143) (0.273:0.276:0.280)) - (IOPATH A1 X (0.166:0.166:0.166) (0.307:0.307:0.307)) - (IOPATH S X (0.185:0.195:0.206) (0.350:0.350:0.350)) - (IOPATH S X (0.183:0.183:0.183) (0.299:0.307:0.315)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _225_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.128:0.129:0.130) (0.294:0.294:0.294)) - (IOPATH A1 X (0.173:0.173:0.173) (0.327:0.327:0.327)) - (IOPATH S X (0.230:0.230:0.230) (0.350:0.350:0.350)) - (IOPATH S X (0.169:0.169:0.169) (0.340:0.340:0.340)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _226_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.109:0.109:0.110) (0.267:0.268:0.268)) - (IOPATH A1 X (0.149:0.149:0.149) (0.300:0.300:0.300)) - (IOPATH S X (0.184:0.194:0.205) (0.349:0.349:0.349)) - (IOPATH S X (0.182:0.182:0.182) (0.297:0.305:0.313)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _227_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.111:0.111:0.112) (0.276:0.276:0.276)) - (IOPATH A1 X (0.152:0.152:0.152) (0.306:0.306:0.306)) - (IOPATH S X (0.214:0.214:0.214) (0.332:0.332:0.332)) - (IOPATH S X (0.153:0.153:0.153) (0.321:0.321:0.321)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _228_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.154:0.154:0.154) (0.300:0.300:0.300)) - (IOPATH A1 X (0.111:0.111:0.111) (0.285:0.285:0.285)) - (IOPATH S X (0.212:0.214:0.215) (0.328:0.329:0.329)) - (IOPATH S X (0.146:0.146:0.147) (0.320:0.322:0.323)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _229_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.157:0.157:0.157) (0.306:0.306:0.306)) - (IOPATH A1 X (0.133:0.146:0.160) (0.295:0.298:0.302)) - (IOPATH S X (0.219:0.220:0.222) (0.336:0.337:0.337)) - (IOPATH S X (0.152:0.153:0.153) (0.328:0.330:0.331)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _230_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.119:0.119:0.119) (0.279:0.279:0.279)) - (IOPATH A1 X (0.128:0.141:0.154) (0.291:0.295:0.299)) - (IOPATH S X (0.222:0.222:0.222) (0.339:0.339:0.339)) - (IOPATH S X (0.159:0.159:0.159) (0.329:0.329:0.329)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _231_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.132:0.145:0.157) (0.288:0.290:0.292)) - (IOPATH A1 X (0.143:0.143:0.144) (0.297:0.299:0.301)) - (IOPATH S X (0.230:0.230:0.230) (0.349:0.349:0.349)) - (IOPATH S X (0.168:0.168:0.168) (0.339:0.339:0.339)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _232_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.133:0.134:0.135) (0.291:0.291:0.291)) - (IOPATH A1 X (0.152:0.152:0.152) (0.308:0.308:0.309)) - (IOPATH S X (0.233:0.233:0.233) (0.351:0.351:0.351)) - (IOPATH S X (0.171:0.171:0.171) (0.342:0.342:0.342)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _233_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.110:0.110:0.110) (0.275:0.275:0.275)) - (IOPATH A1 X (0.175:0.175:0.175) (0.329:0.329:0.329)) - (IOPATH S X (0.203:0.207:0.211) (0.361:0.361:0.361)) - (IOPATH S X (0.206:0.206:0.206) (0.312:0.315:0.318)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _234_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.171:0.172:0.173) (0.333:0.333:0.333)) - (IOPATH A1 X (0.239:0.239:0.239) (0.389:0.389:0.389)) - (IOPATH S X (0.297:0.297:0.297) (0.403:0.403:0.403)) - (IOPATH S X (0.233:0.233:0.233) (0.399:0.399:0.399)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _235_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.123:0.136:0.150) (0.274:0.278:0.283)) - (IOPATH A1 X (0.176:0.176:0.176) (0.330:0.330:0.330)) - (IOPATH S X (0.202:0.206:0.210) (0.361:0.361:0.361)) - (IOPATH S X (0.205:0.205:0.205) (0.312:0.315:0.318)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _236_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.135:0.137:0.138) (0.300:0.300:0.301)) - (IOPATH A1 X (0.205:0.205:0.205) (0.358:0.358:0.358)) - (IOPATH S X (0.263:0.263:0.263) (0.370:0.370:0.370)) - (IOPATH S X (0.199:0.199:0.199) (0.367:0.367:0.367)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _237_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.115:0.116:0.116) (0.272:0.273:0.274)) - (IOPATH A1 X (0.176:0.176:0.176) (0.330:0.330:0.330)) - (IOPATH S X (0.206:0.210:0.214) (0.364:0.364:0.365)) - (IOPATH S X (0.208:0.208:0.209) (0.315:0.318:0.321)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _238_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.132:0.134:0.135) (0.297:0.297:0.297)) - (IOPATH A1 X (0.197:0.197:0.197) (0.350:0.350:0.350)) - (IOPATH S X (0.237:0.237:0.237) (0.354:0.354:0.354)) - (IOPATH S X (0.174:0.174:0.174) (0.344:0.344:0.344)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _239_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.107:0.107:0.107) (0.268:0.268:0.268)) - (IOPATH A1 X (0.149:0.149:0.149) (0.304:0.304:0.304)) - (IOPATH S X (0.178:0.189:0.201) (0.341:0.341:0.341)) - (IOPATH S X (0.172:0.173:0.173) (0.292:0.300:0.309)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _240_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.112:0.113:0.114) (0.279:0.279:0.279)) - (IOPATH A1 X (0.157:0.157:0.157) (0.313:0.313:0.313)) - (IOPATH S X (0.241:0.241:0.241) (0.349:0.349:0.349)) - (IOPATH S X (0.177:0.177:0.177) (0.346:0.346:0.346)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _241_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.125:0.136:0.148) (0.283:0.287:0.292)) - (IOPATH A1 X (0.190:0.190:0.190) (0.345:0.345:0.345)) - (IOPATH S X (0.193:0.204:0.215) (0.359:0.359:0.359)) - (IOPATH S X (0.187:0.187:0.187) (0.309:0.318:0.326)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _242_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.119:0.120:0.121) (0.283:0.284:0.284)) - (IOPATH A1 X (0.183:0.183:0.183) (0.337:0.337:0.337)) - (IOPATH S X (0.242:0.242:0.242) (0.350:0.350:0.350)) - (IOPATH S X (0.178:0.178:0.178) (0.346:0.346:0.346)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _243_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.133:0.134:0.135) (0.291:0.292:0.293)) - (IOPATH A1 X (0.194:0.194:0.194) (0.349:0.349:0.349)) - (IOPATH S X (0.201:0.212:0.223) (0.367:0.367:0.367)) - (IOPATH S X (0.195:0.195:0.195) (0.317:0.326:0.334)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _244_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.150:0.151:0.152) (0.314:0.315:0.315)) - (IOPATH A1 X (0.208:0.208:0.208) (0.363:0.363:0.363)) - (IOPATH S X (0.248:0.248:0.248) (0.367:0.367:0.367)) - (IOPATH S X (0.185:0.185:0.185) (0.357:0.357:0.357)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _245_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.183:0.183:0.183) (0.331:0.331:0.331)) - (IOPATH A1 X (0.116:0.116:0.116) (0.291:0.291:0.291)) - (IOPATH S X (0.232:0.233:0.233) (0.341:0.341:0.341)) - (IOPATH S X (0.161:0.161:0.162) (0.338:0.339:0.340)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _246_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.173:0.173:0.173) (0.321:0.321:0.321)) - (IOPATH A1 X (0.117:0.128:0.139) (0.283:0.285:0.287)) - (IOPATH S X (0.227:0.227:0.228) (0.334:0.334:0.335)) - (IOPATH S X (0.155:0.156:0.156) (0.332:0.333:0.333)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _247_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.066:0.066:0.066) (0.083:0.083:0.083)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _248_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.069:0.069:0.069) (0.055:0.055:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _249_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.051:0.051:0.051) (0.031:0.031:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _250_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.058:0.058:0.058) (0.073:0.073:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _251_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.056:0.056:0.056) (0.060:0.060:0.060)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _252_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.050:0.050:0.050) (0.030:0.030:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _253_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.052:0.052:0.052) (0.068:0.068:0.068)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _254_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.075:0.075:0.075) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _255_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.077:0.077:0.077) (0.082:0.082:0.082)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _256_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.060:0.060:0.060) (0.065:0.065:0.065)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _257_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.070:0.070:0.070) (0.072:0.072:0.072)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _258_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.049:0.049:0.049) (0.063:0.063:0.063)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _259_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.085:0.085:0.085) (0.092:0.092:0.092)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _260_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.078:0.078:0.078) (0.055:0.055:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _261_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.069:0.069:0.069) (0.079:0.079:0.079)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _262_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.070:0.070:0.070) (0.069:0.069:0.069)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _263_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.098:0.098:0.098) (0.060:0.060:0.060)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _264_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.055:0.055:0.055) (0.044:0.044:0.044)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _265_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.053:0.053:0.053) (0.042:0.042:0.042)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _411__8) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.035:0.035:0.035) (0.022:0.022:0.022)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _267_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.050:0.050:0.050) (0.030:0.030:0.030)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _413__5) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.041:0.041:0.041) (0.026:0.026:0.026)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _269_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.050:0.050:0.050) (0.030:0.030:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _270_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.057:0.057:0.057) (0.035:0.035:0.035)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _415__2) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.045:0.045:0.045) (0.029:0.029:0.029)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _272_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.052:0.052:0.052) (0.031:0.032:0.032)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _273_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.148:0.148:0.148) (0.284:0.284:0.284)) - (IOPATH A1 X (0.122:0.123:0.123) (0.293:0.293:0.293)) - (IOPATH S X (0.216:0.216:0.217) (0.330:0.330:0.331)) - (IOPATH S X (0.149:0.149:0.149) (0.323:0.323:0.324)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _274_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.319:0.319:0.319) (0.132:0.132:0.132)) - (IOPATH B Y (0.322:0.322:0.322) (0.144:0.144:0.144)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _275_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.187:0.187:0.187) (0.108:0.108:0.108)) - (IOPATH B Y (0.178:0.178:0.178) (0.100:0.100:0.100)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_4") - (INSTANCE _276_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.343:0.343:0.343) (0.351:0.351:0.351)) - (IOPATH A2 X (0.323:0.323:0.323) (0.313:0.313:0.313)) - (IOPATH B1 X (0.343:0.343:0.343) (0.257:0.257:0.257)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_4") - (INSTANCE _277_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.300:0.300:0.300) (0.196:0.196:0.196)) - (IOPATH A2 Y (0.291:0.291:0.291) (0.177:0.177:0.177)) - (IOPATH B1 Y (0.185:0.185:0.185) (0.201:0.201:0.201)) - (IOPATH C1 Y (0.123:0.123:0.123) (0.149:0.149:0.149)) - (IOPATH D1 Y (0.096:0.096:0.096) (0.128:0.128:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _278_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.081:0.084:0.087) (0.042:0.050:0.058)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3b_2") - (INSTANCE _279_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.456:0.456:0.456) (0.137:0.137:0.137)) - (IOPATH B Y (0.433:0.433:0.433) (0.120:0.120:0.120)) - (IOPATH C_N Y (0.469:0.469:0.469) (0.243:0.243:0.243)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_1") - (INSTANCE _280_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.233:0.233:0.233) (0.283:0.283:0.283)) - (IOPATH A2 X (0.209:0.209:0.209) (0.276:0.276:0.276)) - (IOPATH B1 X (0.229:0.229:0.229) (0.191:0.191:0.191)) - (IOPATH C1 X (0.245:0.245:0.245) (0.150:0.152:0.154)) - (IOPATH D1 X (0.254:0.254:0.254) (0.128:0.132:0.136)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a41oi_1") - (INSTANCE _281_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.202:0.203:0.205) (0.190:0.190:0.190)) - (IOPATH A2 Y (0.236:0.238:0.239) (0.176:0.176:0.176)) - (IOPATH A3 Y (0.242:0.245:0.249) (0.203:0.203:0.204)) - (IOPATH A4 Y (0.228:0.228:0.228) (0.130:0.130:0.130)) - (IOPATH B1 Y (0.199:0.199:0.199) (0.068:0.068:0.068)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _282_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.128:0.128:0.128) (0.064:0.064:0.064)) - (IOPATH A2 Y (0.112:0.114:0.116) (0.056:0.056:0.057)) - (IOPATH B1 Y (0.076:0.096:0.115) (0.088:0.092:0.096)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31oi_2") - (INSTANCE _283_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.245:0.247:0.248) (0.183:0.183:0.183)) - (IOPATH A2 Y (0.265:0.265:0.265) (0.124:0.124:0.124)) - (IOPATH A3 Y (0.276:0.276:0.276) (0.128:0.128:0.128)) - (IOPATH B1 Y (0.258:0.258:0.258) (0.085:0.085:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _284_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.142:0.142:0.142) (0.192:0.192:0.192)) - (IOPATH B Y (0.128:0.133:0.138) (0.095:0.111:0.127)) - (IOPATH C Y (0.123:0.123:0.123) (0.121:0.121:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _285_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.115:0.115:0.116) (0.057:0.057:0.057)) - (IOPATH A2 Y (0.121:0.135:0.148) (0.081:0.085:0.088)) - (IOPATH B1 Y (0.081:0.086:0.090) (0.062:0.066:0.069)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _286_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.146:0.146:0.146) (0.283:0.283:0.283)) - (IOPATH A1 X (0.121:0.121:0.122) (0.292:0.292:0.293)) - (IOPATH S X (0.221:0.221:0.221) (0.334:0.334:0.334)) - (IOPATH S X (0.153:0.153:0.154) (0.327:0.327:0.328)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _287_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.223:0.223:0.223) (0.101:0.101:0.101)) - (IOPATH B Y (0.225:0.225:0.225) (0.111:0.111:0.111)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _288_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.162:0.162:0.162) (0.086:0.086:0.086)) - (IOPATH B Y (0.149:0.149:0.149) (0.088:0.088:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_4") - (INSTANCE _289_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.249:0.249:0.249) (0.296:0.296:0.296)) - (IOPATH A2 X (0.251:0.251:0.251) (0.279:0.279:0.279)) - (IOPATH B1 X (0.250:0.250:0.250) (0.203:0.203:0.203)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_4") - (INSTANCE _290_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.275:0.275:0.275) (0.179:0.179:0.179)) - (IOPATH A2 Y (0.261:0.261:0.261) (0.161:0.161:0.161)) - (IOPATH B1 Y (0.153:0.153:0.153) (0.177:0.177:0.177)) - (IOPATH C1 Y (0.117:0.117:0.117) (0.144:0.144:0.144)) - (IOPATH D1 Y (0.089:0.089:0.089) (0.123:0.123:0.123)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _291_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.081:0.084:0.087) (0.042:0.051:0.059)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3b_2") - (INSTANCE _292_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.386:0.386:0.386) (0.118:0.118:0.118)) - (IOPATH B Y (0.358:0.358:0.358) (0.097:0.097:0.097)) - (IOPATH C_N Y (0.399:0.399:0.399) (0.230:0.230:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_1") - (INSTANCE _293_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.220:0.220:0.220) (0.267:0.267:0.267)) - (IOPATH A2 X (0.197:0.197:0.197) (0.255:0.255:0.255)) - (IOPATH B1 X (0.212:0.212:0.212) (0.166:0.166:0.166)) - (IOPATH C1 X (0.226:0.226:0.226) (0.139:0.142:0.144)) - (IOPATH D1 X (0.245:0.245:0.245) (0.124:0.128:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a41oi_1") - (INSTANCE _294_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.158:0.161:0.163) (0.142:0.142:0.142)) - (IOPATH A2 Y (0.189:0.191:0.193) (0.130:0.130:0.130)) - (IOPATH A3 Y (0.203:0.207:0.211) (0.169:0.169:0.169)) - (IOPATH A4 Y (0.199:0.199:0.199) (0.107:0.107:0.107)) - (IOPATH B1 Y (0.179:0.179:0.179) (0.063:0.063:0.063)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _295_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.137:0.137:0.137) (0.063:0.063:0.063)) - (IOPATH A2 Y (0.122:0.123:0.125) (0.060:0.061:0.061)) - (IOPATH B1 Y (0.083:0.096:0.108) (0.083:0.088:0.093)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31oi_2") - (INSTANCE _296_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.206:0.208:0.210) (0.138:0.138:0.138)) - (IOPATH A2 Y (0.236:0.236:0.236) (0.110:0.110:0.110)) - (IOPATH A3 Y (0.247:0.247:0.247) (0.114:0.114:0.114)) - (IOPATH B1 Y (0.228:0.228:0.228) (0.074:0.074:0.074)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _297_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.128:0.128:0.128) (0.175:0.175:0.175)) - (IOPATH B Y (0.114:0.118:0.123) (0.084:0.099:0.113)) - (IOPATH C Y (0.108:0.108:0.108) (0.106:0.106:0.106)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _298_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.132:0.132:0.132) (0.064:0.064:0.064)) - (IOPATH A2 Y (0.134:0.144:0.153) (0.086:0.090:0.094)) - (IOPATH B1 Y (0.088:0.092:0.097) (0.066:0.069:0.072)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _299_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.169:0.169:0.169) (0.093:0.093:0.093)) - (IOPATH B Y (0.139:0.139:0.139) (0.070:0.070:0.070)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _300_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.130:0.130:0.130) (0.129:0.129:0.129)) - (IOPATH B Y (0.125:0.128:0.132) (0.124:0.124:0.124)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _301_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.125:0.125:0.125) (0.243:0.243:0.243)) - (IOPATH B X (0.126:0.126:0.126) (0.219:0.221:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _302_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.162:0.162:0.162) (0.102:0.102:0.102)) - (IOPATH B Y (0.146:0.146:0.146) (0.083:0.083:0.083)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_2") - (INSTANCE _303_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.330:0.330:0.330) (0.119:0.119:0.119)) - (IOPATH B Y (0.309:0.309:0.309) (0.105:0.105:0.105)) - (IOPATH C Y (0.238:0.238:0.238) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _304_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.171:0.171:0.171) (0.092:0.092:0.092)) - (IOPATH B Y (0.142:0.142:0.142) (0.068:0.068:0.068)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _305_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.157:0.157:0.157) (0.152:0.152:0.152)) - (IOPATH B Y (0.152:0.156:0.159) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _306_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.124:0.124:0.124) (0.241:0.241:0.241)) - (IOPATH B X (0.141:0.141:0.141) (0.227:0.229:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _307_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.188:0.188:0.188) (0.116:0.116:0.116)) - (IOPATH B Y (0.166:0.166:0.166) (0.091:0.091:0.091)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_2") - (INSTANCE _308_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.300:0.300:0.300) (0.113:0.113:0.113)) - (IOPATH B Y (0.272:0.272:0.272) (0.094:0.094:0.094)) - (IOPATH C Y (0.203:0.203:0.203) (0.047:0.047:0.047)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_2") - (INSTANCE _309_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.243:0.243:0.243) (0.243:0.243:0.243)) - (IOPATH B X (0.136:0.136:0.137) (0.194:0.195:0.195)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _310_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.076:0.076:0.076) (0.075:0.075:0.075)) - (IOPATH B Y (0.077:0.077:0.077) (0.072:0.072:0.072)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _311_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.141:0.141:0.141) (0.248:0.248:0.248)) - (IOPATH B X (0.130:0.130:0.130) (0.223:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_2") - (INSTANCE _312_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.181:0.181:0.181) (0.229:0.229:0.229)) - (IOPATH A2 X (0.000:0.000:0.000)) - (IOPATH A3 X (0.189:0.189:0.190) (0.268:0.271:0.274)) - (IOPATH B1 X (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_2") - (INSTANCE _313_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.254:0.254:0.254) (0.250:0.250:0.250)) - (IOPATH B X (0.132:0.133:0.133) (0.191:0.191:0.192)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _314_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.076:0.076:0.076) (0.076:0.076:0.076)) - (IOPATH B Y (0.082:0.082:0.082) (0.077:0.077:0.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _315_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.140:0.140:0.140) (0.248:0.248:0.248)) - (IOPATH B X (0.135:0.135:0.135) (0.228:0.228:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_2") - (INSTANCE _316_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.165:0.165:0.165) (0.217:0.217:0.217)) - (IOPATH A2 X (0.000:0.000:0.000)) - (IOPATH A3 X (0.172:0.172:0.173) (0.255:0.257:0.258)) - (IOPATH B1 X (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _317_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.141:0.141:0.141) (0.153:0.153:0.153)) - (IOPATH A Y (0.180:0.180:0.180) (0.098:0.098:0.098)) - (IOPATH B Y (0.139:0.139:0.139) (0.147:0.147:0.147)) - (IOPATH B Y (0.159:0.159:0.159) (0.085:0.085:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _318_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.128:0.128:0.128) (0.126:0.126:0.126)) - (IOPATH A Y (0.152:0.152:0.152) (0.085:0.085:0.085)) - (IOPATH B Y (0.137:0.137:0.137) (0.143:0.143:0.143)) - (IOPATH B Y (0.151:0.151:0.151) (0.084:0.084:0.084)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _319_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.217:0.217:0.217) (0.083:0.083:0.083)) - (IOPATH B Y (0.205:0.205:0.205) (0.079:0.079:0.079)) - (IOPATH C Y (0.167:0.167:0.167) (0.069:0.069:0.069)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _320_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.183:0.183:0.183) (0.228:0.228:0.228)) - (IOPATH A2 X (0.162:0.162:0.162) (0.211:0.211:0.211)) - (IOPATH B1 X (0.176:0.176:0.176) (0.149:0.149:0.149)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _321_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.130:0.131:0.133) (0.084:0.084:0.084)) - (IOPATH B Y (0.116:0.117:0.118) (0.056:0.056:0.057)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _322_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.138:0.138:0.138) (0.183:0.183:0.183)) - (IOPATH A2 X (0.131:0.131:0.131) (0.185:0.185:0.185)) - (IOPATH B1 X (0.147:0.147:0.147) (0.126:0.126:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _323_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.102:0.102:0.102) (0.150:0.150:0.150)) - (IOPATH A2 X (0.154:0.154:0.155) (0.183:0.185:0.188)) - (IOPATH B1 X (0.078:0.078:0.078) (0.145:0.146:0.146)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _324_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.133:0.133:0.133) (0.139:0.139:0.139)) - (IOPATH A Y (0.155:0.155:0.155) (0.092:0.092:0.092)) - (IOPATH B Y (0.139:0.139:0.139) (0.149:0.149:0.149)) - (IOPATH B Y (0.149:0.149:0.149) (0.084:0.084:0.084)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _325_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.147:0.147:0.147) (0.386:0.386:0.386)) - (IOPATH B X (0.149:0.149:0.149) (0.374:0.374:0.374)) - (IOPATH C X (0.123:0.123:0.123) (0.318:0.318:0.318)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _326_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.158:0.158:0.158) (0.104:0.104:0.104)) - (IOPATH A2 Y (0.158:0.158:0.158) (0.092:0.092:0.092)) - (IOPATH B1 Y (0.093:0.093:0.093) (0.087:0.087:0.087)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _327_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.056:0.056:0.056) (0.037:0.038:0.038)) - (IOPATH B Y (0.059:0.060:0.061) (0.051:0.054:0.058)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _328_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.143:0.143:0.143) (0.150:0.150:0.150)) - (IOPATH A Y (0.186:0.186:0.186) (0.099:0.099:0.099)) - (IOPATH B Y (0.161:0.161:0.161) (0.160:0.160:0.160)) - (IOPATH B Y (0.180:0.180:0.180) (0.108:0.108:0.108)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _329_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.157:0.157:0.157) (0.411:0.411:0.411)) - (IOPATH B X (0.176:0.176:0.176) (0.398:0.398:0.398)) - (IOPATH C X (0.162:0.162:0.162) (0.358:0.358:0.358)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _330_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.155:0.155:0.155) (0.094:0.094:0.094)) - (IOPATH A2 Y (0.154:0.154:0.154) (0.095:0.095:0.095)) - (IOPATH B1 Y (0.111:0.111:0.111) (0.102:0.102:0.102)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _331_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.067:0.067:0.067) (0.047:0.047:0.047)) - (IOPATH B Y (0.062:0.065:0.069) (0.055:0.058:0.060)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _332_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.159:0.159:0.159) (0.165:0.165:0.165)) - (IOPATH A Y (0.210:0.210:0.210) (0.114:0.114:0.114)) - (IOPATH B Y (0.153:0.153:0.153) (0.143:0.143:0.143)) - (IOPATH B Y (0.175:0.175:0.175) (0.097:0.097:0.097)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _333_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.164:0.164:0.164) (0.183:0.183:0.183)) - (IOPATH A Y (0.218:0.218:0.218) (0.123:0.123:0.123)) - (IOPATH B Y (0.164:0.164:0.164) (0.176:0.176:0.176)) - (IOPATH B Y (0.199:0.199:0.199) (0.110:0.110:0.110)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _334_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.138:0.138:0.138) (0.134:0.134:0.134)) - (IOPATH A Y (0.169:0.169:0.169) (0.094:0.094:0.094)) - (IOPATH B Y (0.145:0.145:0.145) (0.149:0.149:0.149)) - (IOPATH B Y (0.166:0.166:0.166) (0.091:0.091:0.091)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _335_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.230:0.230:0.230) (0.100:0.100:0.100)) - (IOPATH B Y (0.222:0.222:0.222) (0.092:0.092:0.092)) - (IOPATH C Y (0.185:0.185:0.185) (0.081:0.081:0.081)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _336_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.217:0.217:0.217) (0.259:0.259:0.259)) - (IOPATH A2 X (0.194:0.194:0.194) (0.246:0.246:0.246)) - (IOPATH B1 X (0.209:0.209:0.209) (0.181:0.181:0.181)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _337_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.111:0.112:0.112) (0.072:0.072:0.072)) - (IOPATH B Y (0.093:0.094:0.095) (0.051:0.051:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _338_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.145:0.145:0.145) (0.189:0.189:0.189)) - (IOPATH A2 X (0.135:0.135:0.135) (0.189:0.189:0.189)) - (IOPATH B1 X (0.154:0.154:0.154) (0.132:0.132:0.132)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _339_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.115:0.115:0.115) (0.161:0.161:0.161)) - (IOPATH A2 X (0.187:0.187:0.187) (0.205:0.207:0.208)) - (IOPATH B1 X (0.091:0.091:0.091) (0.155:0.156:0.157)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _340_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.169:0.169:0.169) (0.168:0.168:0.168)) - (IOPATH A Y (0.219:0.219:0.219) (0.126:0.126:0.126)) - (IOPATH B Y (0.172:0.172:0.172) (0.173:0.173:0.173)) - (IOPATH B Y (0.209:0.209:0.209) (0.120:0.120:0.120)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _341_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.153:0.153:0.153) (0.391:0.391:0.391)) - (IOPATH B X (0.152:0.152:0.152) (0.376:0.376:0.376)) - (IOPATH C X (0.134:0.134:0.134) (0.327:0.327:0.327)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _342_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.158:0.158:0.158) (0.106:0.106:0.106)) - (IOPATH A2 Y (0.154:0.154:0.154) (0.091:0.091:0.091)) - (IOPATH B1 Y (0.101:0.101:0.101) (0.094:0.094:0.094)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _343_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.071:0.071:0.071) (0.049:0.049:0.050)) - (IOPATH B Y (0.073:0.074:0.076) (0.064:0.067:0.071)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _344_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.152:0.152:0.152) (0.159:0.159:0.159)) - (IOPATH A Y (0.181:0.181:0.181) (0.111:0.111:0.111)) - (IOPATH B Y (0.128:0.128:0.128) (0.138:0.138:0.138)) - (IOPATH B Y (0.146:0.146:0.146) (0.075:0.075:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _345_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.173:0.173:0.173) (0.410:0.410:0.410)) - (IOPATH B X (0.135:0.135:0.135) (0.373:0.373:0.373)) - (IOPATH C X (0.148:0.148:0.148) (0.342:0.342:0.342)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _346_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.165:0.165:0.165) (0.115:0.115:0.115)) - (IOPATH A2 Y (0.137:0.137:0.137) (0.074:0.074:0.074)) - (IOPATH B1 Y (0.105:0.105:0.105) (0.097:0.097:0.097)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _347_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.075:0.075:0.075) (0.054:0.054:0.054)) - (IOPATH B Y (0.066:0.073:0.079) (0.065:0.068:0.071)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _348_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.132:0.132:0.132) (0.143:0.143:0.143)) - (IOPATH A Y (0.162:0.162:0.162) (0.090:0.090:0.090)) - (IOPATH B Y (0.129:0.129:0.129) (0.125:0.125:0.125)) - (IOPATH B Y (0.130:0.130:0.130) (0.076:0.076:0.076)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _349_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.282:0.282:0.282) (0.113:0.113:0.113)) - (IOPATH B Y (0.225:0.225:0.225) (0.073:0.073:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_1") - (INSTANCE _350_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.346:0.346:0.346) (0.224:0.224:0.224)) - (IOPATH A2 Y (0.341:0.341:0.341) (0.215:0.215:0.215)) - (IOPATH B1 Y (0.209:0.209:0.209) (0.224:0.224:0.224)) - (IOPATH C1 Y (0.186:0.186:0.186) (0.208:0.208:0.208)) - (IOPATH D1 Y (0.151:0.155:0.159) (0.209:0.209:0.209)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _351_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.174:0.178:0.181) (0.089:0.102:0.115)) - (IOPATH A2 Y (0.150:0.150:0.150) (0.084:0.084:0.084)) - (IOPATH B1 Y (0.159:0.159:0.159) (0.070:0.070:0.070)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _352_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.140:0.140:0.140) (0.087:0.087:0.087)) - (IOPATH A2 Y (0.170:0.174:0.178) (0.080:0.090:0.100)) - (IOPATH B1 Y (0.075:0.084:0.093) (0.075:0.078:0.081)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _353_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.151:0.151:0.151) (0.093:0.093:0.093)) - (IOPATH A2 Y (0.134:0.136:0.137) (0.073:0.073:0.073)) - (IOPATH B1 Y (0.078:0.078:0.078) (0.079:0.079:0.079)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4b_1") - (INSTANCE _354_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.141:0.141:0.141) (0.199:0.199:0.199)) - (IOPATH B Y (0.101:0.101:0.101) (0.117:0.117:0.117)) - (IOPATH C Y (0.098:0.102:0.106) (0.119:0.119:0.119)) - (IOPATH D Y (0.090:0.090:0.090) (0.100:0.100:0.100)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _355_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.057:0.060:0.062) (0.057:0.060:0.064)) - (IOPATH B Y (0.082:0.084:0.086) (0.057:0.059:0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _356_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.110:0.110:0.110) (0.139:0.139:0.139)) - (IOPATH B Y (0.098:0.098:0.098) (0.079:0.079:0.079)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _357_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.138:0.138:0.138) (0.174:0.174:0.174)) - (IOPATH B Y (0.076:0.076:0.076) (0.069:0.069:0.069)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _358_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.069:0.070:0.072) (0.066:0.068:0.069)) - (IOPATH B Y (0.083:0.084:0.084) (0.077:0.077:0.078)) - (IOPATH C Y (0.081:0.081:0.081) (0.084:0.084:0.084)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _359_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.128:0.128:0.128) (0.072:0.072:0.072)) - (IOPATH B Y (0.094:0.094:0.094) (0.049:0.049:0.049)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _360_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.152:0.152:0.152) (0.163:0.163:0.163)) - (IOPATH B X (0.132:0.132:0.132) (0.153:0.153:0.153)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _361_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.121:0.125:0.128) (0.075:0.076:0.076)) - (IOPATH A2 Y (0.111:0.111:0.111) (0.054:0.055:0.055)) - (IOPATH B1 Y (0.086:0.088:0.090) (0.088:0.088:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _362_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.155:0.155:0.155) (0.219:0.219:0.219)) - (IOPATH A2 X (0.162:0.162:0.162) (0.213:0.215:0.216)) - (IOPATH B1 X (0.138:0.140:0.142) (0.187:0.187:0.187)) - (IOPATH B2 X (0.132:0.136:0.140) (0.158:0.164:0.169)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _363_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.133:0.133:0.133) (0.180:0.180:0.180)) - (IOPATH B Y (0.119:0.123:0.128) (0.089:0.104:0.119)) - (IOPATH C Y (0.126:0.126:0.126) (0.115:0.115:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _364_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.116:0.116:0.116) (0.057:0.057:0.057)) - (IOPATH A2 Y (0.118:0.127:0.137) (0.077:0.081:0.084)) - (IOPATH B1 Y (0.079:0.083:0.087) (0.060:0.063:0.067)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _365_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.119:0.119:0.119) (0.165:0.165:0.165)) - (IOPATH B Y (0.104:0.109:0.113) (0.074:0.087:0.099)) - (IOPATH C Y (0.089:0.089:0.089) (0.084:0.084:0.084)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _366_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.123:0.123:0.123) (0.060:0.060:0.060)) - (IOPATH A2 Y (0.125:0.134:0.144) (0.081:0.085:0.088)) - (IOPATH B1 Y (0.077:0.082:0.086) (0.058:0.062:0.065)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _367_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.152:0.152:0.152) (0.294:0.294:0.294)) - (IOPATH A1 X (0.120:0.120:0.120) (0.289:0.289:0.289)) - (IOPATH S X (0.216:0.216:0.217) (0.328:0.328:0.328)) - (IOPATH S X (0.149:0.149:0.149) (0.321:0.322:0.322)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _368_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.158:0.158:0.158) (0.299:0.299:0.299)) - (IOPATH A1 X (0.117:0.118:0.118) (0.289:0.289:0.289)) - (IOPATH S X (0.220:0.220:0.220) (0.332:0.332:0.332)) - (IOPATH S X (0.152:0.152:0.152) (0.326:0.326:0.326)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _369_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.145:0.145:0.145) (0.089:0.089:0.089)) - (IOPATH A2 Y (0.124:0.125:0.127) (0.075:0.075:0.075)) - (IOPATH B1 Y (0.073:0.073:0.073) (0.054:0.055:0.056)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31ai_1") - (INSTANCE _370_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.242:0.242:0.242) (0.096:0.096:0.096)) - (IOPATH A2 Y (0.210:0.210:0.210) (0.062:0.062:0.062)) - (IOPATH A3 Y (0.190:0.191:0.192) (0.080:0.080:0.080)) - (IOPATH B1 Y (0.056:0.058:0.060) (0.066:0.070:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _371_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.158:0.158:0.158) (0.095:0.095:0.095)) - (IOPATH A2 Y (0.135:0.136:0.138) (0.081:0.081:0.081)) - (IOPATH B1_N Y (0.103:0.104:0.104) (0.157:0.157:0.157)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _372_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.165:0.165:0.165) (0.316:0.316:0.316)) - (IOPATH A2 X (0.180:0.180:0.180) (0.307:0.307:0.307)) - (IOPATH A3 X (0.146:0.146:0.146) (0.251:0.252:0.254)) - (IOPATH B1 X (0.134:0.144:0.153) (0.104:0.106:0.107)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _373_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.182:0.182:0.182) (0.107:0.107:0.107)) - (IOPATH A2 Y (0.159:0.161:0.162) (0.093:0.093:0.094)) - (IOPATH B1_N Y (0.109:0.110:0.111) (0.163:0.163:0.163)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _374_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.165:0.165:0.165) (0.318:0.318:0.318)) - (IOPATH A2 X (0.171:0.171:0.171) (0.307:0.307:0.307)) - (IOPATH A3 X (0.146:0.146:0.146) (0.252:0.254:0.255)) - (IOPATH B1 X (0.140:0.151:0.161) (0.110:0.111:0.113)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _375_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.127:0.127:0.128) (0.292:0.292:0.292)) - (IOPATH A1 X (0.166:0.166:0.166) (0.310:0.310:0.310)) - (IOPATH S X (0.231:0.231:0.231) (0.349:0.349:0.349)) - (IOPATH S X (0.169:0.169:0.169) (0.339:0.339:0.339)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _376_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.126:0.126:0.127) (0.292:0.292:0.292)) - (IOPATH A1 X (0.172:0.172:0.172) (0.323:0.323:0.323)) - (IOPATH S X (0.228:0.228:0.228) (0.347:0.347:0.347)) - (IOPATH S X (0.166:0.166:0.166) (0.337:0.337:0.337)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor4_1") - (INSTANCE _377_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.305:0.305:0.305) (0.084:0.084:0.084)) - (IOPATH B Y (0.295:0.295:0.295) (0.086:0.086:0.086)) - (IOPATH C Y (0.248:0.248:0.248) (0.075:0.075:0.075)) - (IOPATH D Y (0.192:0.192:0.192) (0.061:0.061:0.061)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _378_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.169:0.169:0.169) (0.321:0.321:0.321)) - (IOPATH A2 X (0.170:0.170:0.170) (0.305:0.305:0.305)) - (IOPATH A3 X (0.148:0.148:0.148) (0.251:0.251:0.251)) - (IOPATH B1 X (0.156:0.156:0.156) (0.118:0.118:0.118)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _379_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.157:0.157:0.157) (0.232:0.236:0.239)) - (IOPATH B X (0.108:0.108:0.109) (0.212:0.215:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _380_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.140:0.140:0.140) (0.289:0.289:0.289)) - (IOPATH A1 X (0.122:0.122:0.122) (0.294:0.294:0.294)) - (IOPATH S X (0.221:0.221:0.221) (0.351:0.351:0.351)) - (IOPATH S X (0.172:0.172:0.172) (0.332:0.332:0.332)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _381_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.184:0.184:0.184) (0.119:0.119:0.119)) - (IOPATH A2 Y (0.158:0.159:0.160) (0.084:0.085:0.085)) - (IOPATH B1 Y (0.089:0.089:0.089) (0.088:0.088:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4b_1") - (INSTANCE _382_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.137:0.137:0.137) (0.197:0.197:0.197)) - (IOPATH B Y (0.095:0.095:0.095) (0.109:0.109:0.109)) - (IOPATH C Y (0.092:0.096:0.100) (0.113:0.113:0.113)) - (IOPATH D Y (0.084:0.084:0.084) (0.093:0.093:0.093)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _383_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.056:0.060:0.065) (0.054:0.058:0.062)) - (IOPATH B Y (0.073:0.075:0.077) (0.051:0.053:0.056)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _384_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.143:0.143:0.143) (0.172:0.172:0.172)) - (IOPATH B Y (0.071:0.071:0.071) (0.065:0.065:0.065)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _385_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.114:0.114:0.114) (0.144:0.144:0.144)) - (IOPATH B Y (0.094:0.094:0.094) (0.080:0.080:0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _386_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.118:0.118:0.118) (0.147:0.147:0.147)) - (IOPATH B Y (0.108:0.108:0.108) (0.097:0.097:0.097)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _387_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.151:0.151:0.151) (0.194:0.194:0.194)) - (IOPATH B Y (0.072:0.072:0.072) (0.064:0.064:0.064)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _388_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.116:0.117:0.119) (0.139:0.139:0.139)) - (IOPATH B Y (0.100:0.101:0.101) (0.093:0.094:0.095)) - (IOPATH C Y (0.096:0.097:0.098) (0.096:0.096:0.096)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _389_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.086:0.087:0.088) (0.086:0.086:0.087)) - (IOPATH B Y (0.104:0.107:0.110) (0.097:0.098:0.099)) - (IOPATH C Y (0.096:0.096:0.096) (0.100:0.100:0.100)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _390_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.200:0.200:0.200) (0.257:0.257:0.257)) - (IOPATH A2 X (0.186:0.186:0.186) (0.229:0.231:0.232)) - (IOPATH B1 X (0.148:0.150:0.151) (0.196:0.203:0.209)) - (IOPATH B2 X (0.134:0.136:0.138) (0.178:0.179:0.179)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _391_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.133:0.133:0.133) (0.183:0.183:0.183)) - (IOPATH B Y (0.118:0.123:0.128) (0.086:0.101:0.116)) - (IOPATH C Y (0.124:0.124:0.124) (0.113:0.113:0.113)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _392_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.126:0.126:0.126) (0.061:0.061:0.061)) - (IOPATH A2 Y (0.129:0.143:0.157) (0.086:0.090:0.094)) - (IOPATH B1 Y (0.083:0.087:0.091) (0.063:0.066:0.069)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _393_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.147:0.147:0.147) (0.197:0.197:0.197)) - (IOPATH B Y (0.133:0.138:0.143) (0.100:0.117:0.134)) - (IOPATH C Y (0.124:0.124:0.124) (0.118:0.118:0.118)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _394_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.119:0.119:0.119) (0.058:0.058:0.058)) - (IOPATH A2 Y (0.124:0.138:0.151) (0.083:0.087:0.090)) - (IOPATH B1 Y (0.086:0.090:0.095) (0.066:0.069:0.072)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _395_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.159:0.159:0.159) (0.302:0.302:0.302)) - (IOPATH A1 X (0.122:0.122:0.123) (0.294:0.294:0.294)) - (IOPATH S X (0.219:0.219:0.219) (0.334:0.334:0.334)) - (IOPATH S X (0.152:0.152:0.152) (0.326:0.327:0.327)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _396_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.157:0.157:0.157) (0.297:0.297:0.297)) - (IOPATH A1 X (0.120:0.120:0.121) (0.289:0.289:0.289)) - (IOPATH S X (0.213:0.213:0.213) (0.326:0.326:0.326)) - (IOPATH S X (0.145:0.146:0.146) (0.318:0.319:0.319)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _397_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.220:0.220:0.220) (0.138:0.138:0.138)) - (IOPATH A2 Y (0.192:0.194:0.195) (0.125:0.125:0.125)) - (IOPATH B1 Y (0.110:0.111:0.111) (0.078:0.078:0.079)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31ai_1") - (INSTANCE _398_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.355:0.355:0.355) (0.146:0.146:0.146)) - (IOPATH A2 Y (0.304:0.304:0.304) (0.086:0.086:0.086)) - (IOPATH A3 Y (0.298:0.299:0.301) (0.130:0.130:0.130)) - (IOPATH B1 Y (0.099:0.103:0.107) (0.110:0.116:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _399_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.210:0.210:0.210) (0.130:0.130:0.130)) - (IOPATH A2 Y (0.181:0.182:0.184) (0.116:0.116:0.116)) - (IOPATH B1_N Y (0.115:0.116:0.117) (0.167:0.168:0.168)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _400_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.193:0.193:0.193) (0.343:0.343:0.343)) - (IOPATH A2 X (0.191:0.191:0.191) (0.317:0.317:0.317)) - (IOPATH A3 X (0.174:0.174:0.174) (0.271:0.272:0.273)) - (IOPATH B1 X (0.150:0.161:0.172) (0.117:0.120:0.123)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _401_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.193:0.193:0.193) (0.113:0.113:0.113)) - (IOPATH A2 Y (0.182:0.184:0.185) (0.117:0.117:0.117)) - (IOPATH B1_N Y (0.127:0.128:0.128) (0.177:0.178:0.178)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _402_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.188:0.188:0.188) (0.340:0.340:0.340)) - (IOPATH A2 X (0.170:0.170:0.170) (0.306:0.306:0.306)) - (IOPATH A3 X (0.169:0.169:0.169) (0.268:0.269:0.271)) - (IOPATH B1 X (0.145:0.156:0.167) (0.115:0.117:0.119)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_1") - (INSTANCE _403_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.285:0.285:0.285) (0.187:0.187:0.187)) - (IOPATH A2 Y (0.276:0.276:0.276) (0.176:0.176:0.176)) - (IOPATH B1 Y (0.175:0.175:0.175) (0.184:0.184:0.184)) - (IOPATH C1 Y (0.152:0.152:0.152) (0.176:0.176:0.176)) - (IOPATH D1 Y (0.116:0.119:0.121) (0.158:0.158:0.158)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _404_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.152:0.155:0.159) (0.079:0.091:0.103)) - (IOPATH A2 Y (0.148:0.148:0.148) (0.085:0.085:0.085)) - (IOPATH B1 Y (0.133:0.133:0.133) (0.059:0.059:0.059)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _405_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.146:0.146:0.146) (0.091:0.091:0.091)) - (IOPATH A2 Y (0.154:0.158:0.161) (0.075:0.085:0.095)) - (IOPATH B1 Y (0.078:0.084:0.089) (0.074:0.077:0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _406_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.126:0.128:0.129) (0.292:0.292:0.292)) - (IOPATH A1 X (0.163:0.163:0.163) (0.307:0.307:0.307)) - (IOPATH S X (0.233:0.233:0.233) (0.348:0.348:0.348)) - (IOPATH S X (0.169:0.169:0.169) (0.340:0.340:0.340)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _407_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.114:0.115:0.116) (0.280:0.280:0.280)) - (IOPATH A1 X (0.158:0.158:0.158) (0.309:0.309:0.309)) - (IOPATH S X (0.223:0.223:0.223) (0.338:0.338:0.338)) - (IOPATH S X (0.158:0.158:0.158) (0.330:0.330:0.330)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor4_1") - (INSTANCE _408_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.313:0.313:0.313) (0.103:0.103:0.103)) - (IOPATH B Y (0.281:0.281:0.281) (0.080:0.080:0.080)) - (IOPATH C Y (0.236:0.236:0.236) (0.072:0.072:0.072)) - (IOPATH D Y (0.179:0.179:0.179) (0.056:0.056:0.056)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _409_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.170:0.170:0.170) (0.324:0.324:0.324)) - (IOPATH A2 X (0.146:0.146:0.146) (0.283:0.283:0.283)) - (IOPATH A3 X (0.127:0.127:0.127) (0.232:0.232:0.232)) - (IOPATH B1 X (0.131:0.131:0.131) (0.102:0.102:0.102)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _410_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.145:0.146:0.146) (0.223:0.230:0.237)) - (IOPATH B X (0.091:0.092:0.092) (0.201:0.204:0.207)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _412__9) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.067:0.067:0.067) (0.030:0.030:0.030)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_ext_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.615:0.615:0.615) (1.462:1.462:1.462)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _414__6) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.040:0.040:0.040) (0.024:0.024:0.024)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _266__7) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.038:0.038:0.038) (0.025:0.025:0.025)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _416__3) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.039:0.039:0.039) (0.024:0.024:0.024)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _268__4) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.040:0.040:0.040) (0.024:0.024:0.024)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _417_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.485:0.485:0.485) (0.338:0.338:0.338)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.132:0.132:0.132)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.113:-0.113:-0.113)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.014:-0.014:-0.014)) - (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059)) - (SETUP (negedge D) (posedge CLK) (0.069:0.069:0.069)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _418_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.488:0.488:0.488) (0.340:0.340:0.340)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.135:0.135:0.135)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.117:-0.117:-0.117)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.014:-0.014:-0.014)) - (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059)) - (SETUP (negedge D) (posedge CLK) (0.069:0.069:0.069)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _419_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.493:0.493:0.493) (0.345:0.345:0.345)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.140:0.140:0.140)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.122:-0.122:-0.122)) - (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027)) - (HOLD (negedge D) (posedge CLK) (-0.001:-0.001:-0.001)) - (SETUP (posedge D) (posedge CLK) (0.046:0.046:0.046)) - (SETUP (negedge D) (posedge CLK) (0.054:0.054:0.054)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _420_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.404:0.404:0.404) (0.420:0.420:0.420)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.205:-0.205)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.109:0.109:0.109)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _421_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.361:0.361:0.361) (0.390:0.390:0.390)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.380:0.380:0.380)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.190:-0.190:-0.190)) - (HOLD (posedge D) (posedge CLK) (-0.052:-0.052:-0.052)) - (HOLD (negedge D) (posedge CLK) (-0.059:-0.059:-0.059)) - (SETUP (posedge D) (posedge CLK) (0.079:0.079:0.079)) - (SETUP (negedge D) (posedge CLK) (0.129:0.129:0.129)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _422_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.567:0.567:0.567) (0.393:0.393:0.393)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.144:0.144:0.144)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.125:-0.125:-0.125)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.043:-0.047)) - (HOLD (negedge D) (posedge CLK) (-0.009:-0.013:-0.016)) - (SETUP (posedge D) (posedge CLK) (0.059:0.063:0.067)) - (SETUP (negedge D) (posedge CLK) (0.064:0.068:0.072)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _423_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.338:0.338:0.338) (0.373:0.373:0.373)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.374:0.374:0.374)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.195:-0.195:-0.195)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.110:0.110:0.110)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _424_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.332:0.332:0.332) (0.313:0.313:0.313)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.057:-0.057:-0.057)) - (HOLD (negedge D) (posedge CLK) (-0.081:-0.081:-0.081)) - (SETUP (posedge D) (posedge CLK) (0.082:0.082:0.082)) - (SETUP (negedge D) (posedge CLK) (0.139:0.139:0.139)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _425_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.347:0.347:0.347) (0.322:0.322:0.322)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.056:-0.056:-0.056)) - (HOLD (negedge D) (posedge CLK) (-0.077:-0.077:-0.077)) - (SETUP (posedge D) (posedge CLK) (0.082:0.082:0.082)) - (SETUP (negedge D) (posedge CLK) (0.136:0.136:0.136)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _426_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.340:0.340:0.340) (0.317:0.317:0.317)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.053:-0.053:-0.053)) - (HOLD (negedge D) (posedge CLK) (-0.075:-0.075:-0.075)) - (SETUP (posedge D) (posedge CLK) (0.078:0.078:0.078)) - (SETUP (negedge D) (posedge CLK) (0.133:0.133:0.133)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _427_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.557:0.557:0.557) (0.388:0.388:0.388)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.150:0.150:0.150)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.132:-0.132:-0.132)) - (HOLD (posedge D) (posedge CLK) (-0.032:-0.033:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.006:-0.008:-0.009)) - (SETUP (posedge D) (posedge CLK) (0.052:0.053:0.054)) - (SETUP (negedge D) (posedge CLK) (0.061:0.063:0.064)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _428_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.355:0.355:0.355) (0.384:0.384:0.384)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.205:-0.205)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.041:-0.042:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.059:0.060:0.060)) - (SETUP (negedge D) (posedge CLK) (0.109:0.111:0.113)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _429_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.527:0.527:0.527) (0.421:0.421:0.421)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.477:0.477:0.477)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.280:-0.280:-0.280)) - (HOLD (posedge D) (negedge CLK_N) (0.080:0.077:0.075)) - (HOLD (negedge D) (negedge CLK_N) (-0.073:-0.075:-0.077)) - (SETUP (posedge D) (negedge CLK_N) (-0.033:-0.030:-0.027)) - (SETUP (negedge D) (negedge CLK_N) (0.148:0.150:0.152)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _430_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.602:0.602:0.602) (0.403:0.403:0.403)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.136:0.136:0.136)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.117:-0.117:-0.117)) - (HOLD (posedge D) (posedge CLK) (-0.047:-0.050:-0.053)) - (HOLD (negedge D) (posedge CLK) (-0.020:-0.022:-0.023)) - (SETUP (posedge D) (posedge CLK) (0.068:0.071:0.075)) - (SETUP (negedge D) (posedge CLK) (0.076:0.078:0.079)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _431_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.450:0.450:0.450) (0.371:0.371:0.371)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.470:0.470:0.470)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.283:-0.283:-0.283)) - (HOLD (posedge D) (negedge CLK_N) (0.077:0.074:0.072)) - (HOLD (negedge D) (negedge CLK_N) (-0.076:-0.078:-0.079)) - (SETUP (posedge D) (negedge CLK_N) (-0.030:-0.027:-0.023)) - (SETUP (negedge D) (negedge CLK_N) (0.150:0.152:0.155)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _432_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.585:0.585:0.585) (0.393:0.393:0.393)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.136:0.136:0.136)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.117:-0.117:-0.117)) - (HOLD (posedge D) (posedge CLK) (-0.046:-0.049:-0.052)) - (HOLD (negedge D) (posedge CLK) (-0.016:-0.020:-0.025)) - (SETUP (posedge D) (posedge CLK) (0.067:0.070:0.073)) - (SETUP (negedge D) (posedge CLK) (0.071:0.076:0.081)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _433_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.487:0.487:0.487) (0.397:0.397:0.397)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.477:0.477:0.477)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.280:-0.280:-0.280)) - (HOLD (posedge D) (negedge CLK_N) (0.086:0.086:0.086)) - (HOLD (negedge D) (negedge CLK_N) (-0.077:-0.077:-0.078)) - (SETUP (posedge D) (negedge CLK_N) (-0.042:-0.042:-0.041)) - (SETUP (negedge D) (negedge CLK_N) (0.152:0.153:0.153)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _434_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.578:0.578:0.578) (0.386:0.386:0.386)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.137:0.137:0.137)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.115:-0.115:-0.115)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.017:-0.017:-0.017)) - (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058)) - (SETUP (negedge D) (posedge CLK) (0.081:0.081:0.081)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _435_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.496:0.496:0.496) (0.399:0.399:0.399)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.477:0.477:0.477)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.280:-0.280:-0.280)) - (HOLD (posedge D) (negedge CLK_N) (0.086:0.085:0.085)) - (HOLD (negedge D) (negedge CLK_N) (-0.078:-0.078:-0.079)) - (SETUP (posedge D) (negedge CLK_N) (-0.041:-0.041:-0.040)) - (SETUP (negedge D) (negedge CLK_N) (0.154:0.154:0.154)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _436_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.443:0.443:0.443) (0.462:0.462:0.462)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.189:-0.189:-0.189)) - (HOLD (posedge D) (posedge CLK) (-0.033:-0.046:-0.060)) - (HOLD (negedge D) (posedge CLK) (-0.035:-0.038:-0.041)) - (SETUP (posedge D) (posedge CLK) (0.062:0.077:0.092)) - (SETUP (negedge D) (posedge CLK) (0.108:0.111:0.115)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _437_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.658:0.658:0.658) (0.441:0.441:0.441)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.145:0.145:0.145)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.126:-0.126:-0.126)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.006:-0.009:-0.012)) - (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055)) - (SETUP (negedge D) (posedge CLK) (0.060:0.064:0.067)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _438_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.451:0.451:0.451) (0.447:0.447:0.447)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.375:0.375:0.375)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.191:-0.191:-0.191)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.037:-0.041:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060)) - (SETUP (negedge D) (posedge CLK) (0.105:0.109:0.113)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _439_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.616:0.616:0.616) (0.416:0.416:0.416)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.151:0.151:0.151)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.130:-0.130:-0.130)) - (HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.032)) - (HOLD (negedge D) (posedge CLK) (-0.008:-0.008:-0.008)) - (SETUP (posedge D) (posedge CLK) (0.053:0.054:0.054)) - (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _440_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.443:0.443:0.443) (0.443:0.443:0.443)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.367:0.367:0.367)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.202:-0.202:-0.202)) - (HOLD (posedge D) (posedge CLK) (-0.032:-0.033:-0.033)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.057:0.058:0.058)) - (SETUP (negedge D) (posedge CLK) (0.115:0.115:0.115)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _441_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.385:0.385:0.385) (0.406:0.406:0.406)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.367:0.367:0.367)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.202:-0.202:-0.202)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059)) - (SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _442_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.311:0.311:0.311) (0.349:0.349:0.349)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.351:0.351:0.351)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.196:-0.196:-0.196)) - (HOLD (posedge D) (posedge CLK) (-0.062:-0.062:-0.062)) - (HOLD (negedge D) (posedge CLK) (-0.090:-0.090:-0.090)) - (SETUP (posedge D) (posedge CLK) (0.089:0.089:0.089)) - (SETUP (negedge D) (posedge CLK) (0.162:0.162:0.162)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _443_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.490:0.490:0.490) (0.343:0.343:0.343)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.142:0.142:0.142)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.124:-0.124:-0.124)) - (HOLD (posedge D) (posedge CLK) (-0.057:-0.057:-0.057)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.079:0.079:0.079)) - (SETUP (negedge D) (posedge CLK) (0.107:0.107:0.107)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _444_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.309:0.309:0.309) (0.347:0.347:0.347)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.351:0.351:0.351)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.195:-0.195:-0.195)) - (HOLD (posedge D) (posedge CLK) (-0.060:-0.060:-0.060)) - (HOLD (negedge D) (posedge CLK) (-0.090:-0.090:-0.090)) - (SETUP (posedge D) (posedge CLK) (0.088:0.088:0.088)) - (SETUP (negedge D) (posedge CLK) (0.162:0.162:0.162)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _445_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.316:0.316:0.316) (0.352:0.352:0.352)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.351:0.351:0.351)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.196:-0.196:-0.196)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _446_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.625:0.625:0.625) (0.418:0.418:0.418)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.142:0.142:0.142)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.124:-0.124:-0.124)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.010:-0.010:-0.010)) - (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056)) - (SETUP (negedge D) (posedge CLK) (0.064:0.064:0.064)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _447_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.403:0.403:0.403) (0.414:0.414:0.414)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.351:0.351:0.351)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.196:-0.196:-0.196)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _448_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.347:0.347:0.347) (0.324:0.324:0.324)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.030)) - (HOLD (negedge D) (posedge CLK) (-0.054:-0.054:-0.055)) - (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052)) - (SETUP (negedge D) (posedge CLK) (0.111:0.112:0.112)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _449_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.328:0.328:0.328) (0.311:0.311:0.311)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.055:-0.055:-0.055)) - (HOLD (negedge D) (posedge CLK) (-0.078:-0.078:-0.078)) - (SETUP (posedge D) (posedge CLK) (0.080:0.080:0.080)) - (SETUP (negedge D) (posedge CLK) (0.137:0.137:0.137)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _450_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.341:0.341:0.341) (0.319:0.319:0.319)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.076:-0.076:-0.076)) - (HOLD (negedge D) (posedge CLK) (-0.101:-0.101:-0.101)) - (SETUP (posedge D) (posedge CLK) (0.103:0.103:0.103)) - (SETUP (negedge D) (posedge CLK) (0.161:0.161:0.161)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _451_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.337:0.337:0.337) (0.317:0.317:0.317)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (HOLD (negedge D) (posedge CLK) (-0.066:-0.066:-0.066)) - (SETUP (posedge D) (posedge CLK) (0.072:0.072:0.072)) - (SETUP (negedge D) (posedge CLK) (0.124:0.124:0.124)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _452_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.566:0.566:0.566) (0.393:0.393:0.393)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.144:0.144:0.144)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.125:-0.125:-0.125)) - (HOLD (posedge D) (posedge CLK) (-0.031:-0.032:-0.033)) - (HOLD (negedge D) (posedge CLK) (-0.004:-0.006:-0.008)) - (SETUP (posedge D) (posedge CLK) (0.050:0.051:0.052)) - (SETUP (negedge D) (posedge CLK) (0.059:0.061:0.063)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _453_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.384:0.384:0.384) (0.406:0.406:0.406)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.379:0.379:0.379)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.188:-0.188:-0.188)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.041:-0.042:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060)) - (SETUP (negedge D) (posedge CLK) (0.110:0.111:0.113)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _454_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.534:0.534:0.534) (0.425:0.425:0.425)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.482:0.482:0.482)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.270:-0.270:-0.270)) - (HOLD (posedge D) (negedge CLK_N) (0.076:0.073:0.070)) - (HOLD (negedge D) (negedge CLK_N) (-0.076:-0.079:-0.082)) - (SETUP (posedge D) (negedge CLK_N) (-0.029:-0.025:-0.022)) - (SETUP (negedge D) (negedge CLK_N) (0.151:0.154:0.157)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _455_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.620:0.620:0.620) (0.413:0.413:0.413)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.134:0.134:0.134)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.115:-0.115:-0.115)) - (HOLD (posedge D) (posedge CLK) (-0.044:-0.047:-0.050)) - (HOLD (negedge D) (posedge CLK) (-0.017:-0.020:-0.022)) - (SETUP (posedge D) (posedge CLK) (0.065:0.068:0.071)) - (SETUP (negedge D) (posedge CLK) (0.073:0.075:0.078)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _456_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.485:0.485:0.485) (0.394:0.394:0.394)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.482:0.482:0.482)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.270:-0.270:-0.270)) - (HOLD (posedge D) (negedge CLK_N) (0.077:0.074:0.072)) - (HOLD (negedge D) (negedge CLK_N) (-0.075:-0.078:-0.081)) - (SETUP (posedge D) (negedge CLK_N) (-0.030:-0.027:-0.023)) - (SETUP (negedge D) (negedge CLK_N) (0.150:0.153:0.156)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _457_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.557:0.557:0.557) (0.381:0.381:0.381)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.133:0.133:0.133)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.114:-0.114:-0.114)) - (HOLD (posedge D) (posedge CLK) (-0.044:-0.047:-0.049)) - (HOLD (negedge D) (posedge CLK) (-0.014:-0.019:-0.025)) - (SETUP (posedge D) (posedge CLK) (0.065:0.068:0.070)) - (SETUP (negedge D) (posedge CLK) (0.069:0.075:0.081)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _458_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.486:0.486:0.486) (0.396:0.396:0.396)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.482:0.482:0.482)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.270:-0.270:-0.270)) - (HOLD (posedge D) (negedge CLK_N) (0.083:0.083:0.082)) - (HOLD (negedge D) (negedge CLK_N) (-0.081:-0.081:-0.081)) - (SETUP (posedge D) (negedge CLK_N) (-0.038:-0.038:-0.038)) - (SETUP (negedge D) (negedge CLK_N) (0.156:0.156:0.156)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _459_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.588:0.588:0.588) (0.391:0.391:0.391)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.134:0.134:0.134)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.113:-0.113:-0.113)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.017:-0.017:-0.017)) - (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058)) - (SETUP (negedge D) (posedge CLK) (0.080:0.081:0.081)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _460_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.502:0.502:0.502) (0.402:0.402:0.402)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.482:0.482:0.482)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.270:-0.270:-0.270)) - (HOLD (posedge D) (negedge CLK_N) (0.084:0.084:0.083)) - (HOLD (negedge D) (negedge CLK_N) (-0.079:-0.079:-0.079)) - (SETUP (posedge D) (negedge CLK_N) (-0.040:-0.039:-0.039)) - (SETUP (negedge D) (negedge CLK_N) (0.154:0.154:0.154)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _461_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.472:0.472:0.472) (0.526:0.526:0.526)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.379:0.379:0.379)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.162:-0.162:-0.162)) - (HOLD (posedge D) (posedge CLK) (-0.042:-0.063:-0.084)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.051:-0.055)) - (SETUP (posedge D) (posedge CLK) (0.071:0.095:0.119)) - (SETUP (negedge D) (posedge CLK) (0.119:0.124:0.129)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _462_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.667:0.667:0.667) (0.445:0.445:0.445)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.145:0.145:0.145)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.126:-0.126:-0.126)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.008:-0.011:-0.014)) - (SETUP (posedge D) (posedge CLK) (0.057:0.058:0.058)) - (SETUP (negedge D) (posedge CLK) (0.063:0.066:0.069)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _463_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.438:0.438:0.438) (0.438:0.438:0.438)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.378:0.378:0.378)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.186:-0.186:-0.186)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.038:-0.042:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.061:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.106:0.110:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _464_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.573:0.573:0.573) (0.396:0.396:0.396)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.150:0.150:0.150)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.132:-0.132:-0.132)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.043:-0.047)) - (HOLD (negedge D) (posedge CLK) (-0.008:-0.011:-0.014)) - (SETUP (posedge D) (posedge CLK) (0.060:0.064:0.067)) - (SETUP (negedge D) (posedge CLK) (0.063:0.066:0.070)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _465_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.612:0.612:0.612) (0.412:0.412:0.412)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.145:0.145:0.145)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.124:-0.124:-0.124)) - (HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.032)) - (HOLD (negedge D) (posedge CLK) (-0.008:-0.009:-0.009)) - (SETUP (posedge D) (posedge CLK) (0.053:0.054:0.054)) - (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.073)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _466_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.429:0.429:0.429) (0.433:0.433:0.433)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.379:0.379:0.379)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.188:-0.188:-0.188)) - (HOLD (posedge D) (posedge CLK) (-0.031:-0.032:-0.032)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.057)) - (SETUP (negedge D) (posedge CLK) (0.113:0.114:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _467_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.373:0.373:0.373) (0.398:0.398:0.398)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.375:0.375:0.375)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.191:-0.191:-0.191)) - (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.033)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057)) - (SETUP (negedge D) (posedge CLK) (0.112:0.112:0.112)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _468_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.312:0.312:0.312) (0.350:0.350:0.350)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.367:0.367:0.367)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.181:-0.181:-0.181)) - (HOLD (posedge D) (posedge CLK) (-0.053:-0.053:-0.053)) - (HOLD (negedge D) (posedge CLK) (-0.080:-0.080:-0.080)) - (SETUP (posedge D) (posedge CLK) (0.080:0.080:0.080)) - (SETUP (negedge D) (posedge CLK) (0.151:0.151:0.151)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _469_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.488:0.488:0.488) (0.341:0.341:0.341)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.135:0.135:0.135)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.116:-0.116:-0.116)) - (HOLD (posedge D) (posedge CLK) (-0.058:-0.058:-0.058)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.079:0.079:0.079)) - (SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _470_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.310:0.310:0.310) (0.348:0.348:0.348)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.367:0.367:0.367)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.181:-0.181:-0.181)) - (HOLD (posedge D) (posedge CLK) (-0.054:-0.054:-0.054)) - (HOLD (negedge D) (posedge CLK) (-0.082:-0.082:-0.082)) - (SETUP (posedge D) (posedge CLK) (0.081:0.081:0.081)) - (SETUP (negedge D) (posedge CLK) (0.153:0.153:0.153)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _471_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.369:0.369:0.369) (0.393:0.393:0.393)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.367:0.367:0.367)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.181:-0.181:-0.181)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _472_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.622:0.622:0.622) (0.416:0.416:0.416)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.135:0.135:0.135)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.116:-0.116:-0.116)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.011:-0.011:-0.011)) - (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057)) - (SETUP (negedge D) (posedge CLK) (0.065:0.065:0.065)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _473_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.388:0.388:0.388) (0.404:0.404:0.404)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.367:0.367:0.367)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.181:-0.181:-0.181)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _271__1) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.040:0.040:0.040) (0.025:0.025:0.025)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout18) - (DELAY - (ABSOLUTE - (IOPATH A X (0.296:0.296:0.296) (0.243:0.243:0.243)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout17) - (DELAY - (ABSOLUTE - (IOPATH A X (0.263:0.263:0.263) (0.230:0.230:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE fanout16) - (DELAY - (ABSOLUTE - (IOPATH A X (0.220:0.220:0.220) (0.190:0.190:0.190)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout15) - (DELAY - (ABSOLUTE - (IOPATH A X (0.318:0.318:0.318) (0.266:0.266:0.266)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout14) - (DELAY - (ABSOLUTE - (IOPATH A X (0.360:0.360:0.360) (0.286:0.286:0.286)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout13) - (DELAY - (ABSOLUTE - (IOPATH A X (0.321:0.321:0.321) (0.268:0.268:0.268)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE user_clk_out_buffer) - (DELAY - (ABSOLUTE - (IOPATH A X (0.273:0.274:0.274) (0.252:0.252:0.253)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output11) - (DELAY - (ABSOLUTE - (IOPATH A X (0.293:0.293:0.293) (0.205:0.208:0.211)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input9) - (DELAY - (ABSOLUTE - (IOPATH A X (0.282:0.282:0.282) (0.989:0.989:0.989)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input8) - (DELAY - (ABSOLUTE - (IOPATH A X (0.275:0.275:0.275) (0.982:0.982:0.982)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input7) - (DELAY - (ABSOLUTE - (IOPATH A X (0.290:0.290:0.290) (0.996:0.996:0.996)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input6) - (DELAY - (ABSOLUTE - (IOPATH A X (0.234:0.234:0.234) (0.939:0.939:0.939)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input5) - (DELAY - (ABSOLUTE - (IOPATH A X (0.275:0.275:0.275) (0.982:0.982:0.982)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input4) - (DELAY - (ABSOLUTE - (IOPATH A X (0.216:0.216:0.216) (0.920:0.920:0.920)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input3) - (DELAY - (ABSOLUTE - (IOPATH A X (0.269:0.269:0.269) (0.972:0.972:0.972)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input2) - (DELAY - (ABSOLUTE - (IOPATH A X (0.269:0.269:0.269) (0.977:0.977:0.977)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.262:0.262:0.262) (0.966:0.966:0.966)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout19) - (DELAY - (ABSOLUTE - (IOPATH A X (0.272:0.272:0.272) (0.241:0.241:0.241)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE fanout20) - (DELAY - (ABSOLUTE - (IOPATH A X (0.202:0.202:0.202) (0.178:0.178:0.178)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout21) - (DELAY - (ABSOLUTE - (IOPATH A X (0.295:0.295:0.295) (0.258:0.258:0.258)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout22) - (DELAY - (ABSOLUTE - (IOPATH A X (0.307:0.307:0.307) (0.259:0.259:0.259)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout23) - (DELAY - (ABSOLUTE - (IOPATH A X (0.246:0.246:0.246) (0.220:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout24) - (DELAY - (ABSOLUTE - (IOPATH A X (0.230:0.230:0.230) (0.208:0.208:0.208)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout25) - (DELAY - (ABSOLUTE - (IOPATH A X (0.250:0.250:0.250) (0.241:0.241:0.241)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE fanout26) - (DELAY - (ABSOLUTE - (IOPATH A X (0.262:0.262:0.262) (0.238:0.238:0.238)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout27) - (DELAY - (ABSOLUTE - (IOPATH A X (0.257:0.257:0.257) (0.238:0.238:0.238)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout28) - (DELAY - (ABSOLUTE - (IOPATH A X (0.305:0.305:0.305) (0.258:0.258:0.258)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout29) - (DELAY - (ABSOLUTE - (IOPATH A X (0.297:0.297:0.297) (0.277:0.277:0.277)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_ext_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.149:0.149:0.149) (0.186:0.186:0.186)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_ext_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.156:0.156:0.156) (0.192:0.192:0.192)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0__037_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.168:0.168:0.168) (0.181:0.181:0.181)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f__037_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.111:0.111:0.111) (0.130:0.130:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f__037_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.111:0.111:0.111) (0.130:0.130:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_net10) - (DELAY - (ABSOLUTE - (IOPATH A X (0.142:0.142:0.142) (0.161:0.162:0.162)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_net10) - (DELAY - (ABSOLUTE - (IOPATH A X (0.260:0.260:0.260) (0.234:0.234:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_net10) - (DELAY - (ABSOLUTE - (IOPATH A X (0.128:0.128:0.128) (0.144:0.144:0.144)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_pll_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.619:0.619:0.619) (1.465:1.465:1.465)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_pll_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.193:0.193:0.193) (0.220:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_pll_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.187:0.187:0.187) (0.216:0.216:0.216)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_divider\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.144:0.144:0.144) (0.152:0.153:0.154)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_divider\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.120:0.120:0.120) (0.138:0.138:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_divider\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.116:0.116:0.116) (0.135:0.135:0.135)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_pll_clk90) - (DELAY - (ABSOLUTE - (IOPATH A X (0.620:0.620:0.620) (1.467:1.467:1.467)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_pll_clk90) - (DELAY - (ABSOLUTE - (IOPATH A X (0.185:0.185:0.185) (0.214:0.214:0.214)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_pll_clk90) - (DELAY - (ABSOLUTE - (IOPATH A X (0.189:0.189:0.189) (0.217:0.217:0.217)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_divider2\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.134:0.134:0.134) (0.146:0.147:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_divider2\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.114:0.114:0.114) (0.133:0.133:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_divider2\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.118:0.118:0.118) (0.136:0.136:0.136)) - ) - ) - ) -) diff --git a/sdf/caravel_clocking/nom/caravel_clocking.ff.sdf b/sdf/caravel_clocking/nom/caravel_clocking.ff.sdf deleted file mode 100644 index 40417122..00000000 --- a/sdf/caravel_clocking/nom/caravel_clocking.ff.sdf +++ /dev/null @@ -1,4640 +0,0 @@ -(DELAYFILE - (SDFVERSION "3.0") - (DESIGN "caravel_clocking") - (DATE "Thu Oct 13 17:51:17 2022") - (VENDOR "Parallax") - (PROGRAM "STA") - (VERSION "2.3.1") - (DIVIDER .) - (VOLTAGE 1.600::1.600) - (PROCESS "1.000::1.000") - (TEMPERATURE 100.000::100.000) - (TIMESCALE 1ns) - (CELL - (CELLTYPE "caravel_clocking") - (INSTANCE) - (DELAY - (ABSOLUTE - (INTERCONNECT ext_clk clkbuf_0_ext_clk.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_clk ANTENNA_clkbuf_0_ext_clk_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_clk_sel input1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_clk_sel ANTENNA_input1_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_reset input2.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_reset ANTENNA_input2_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT pll_clk clkbuf_0_pll_clk.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT pll_clk ANTENNA_clkbuf_0_pll_clk_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT pll_clk90 clkbuf_0_pll_clk90.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT pll_clk90 ANTENNA_clkbuf_0_pll_clk90_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT resetb input3.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT resetb ANTENNA_input3_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[0] input4.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[0] ANTENNA_input4_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[1] input5.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[1] ANTENNA_input5_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[2] input6.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[2] ANTENNA_input6_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[0] input7.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[0] ANTENNA_input7_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[1] input8.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[1] ANTENNA_input8_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[2] input9.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[2] ANTENNA_input9_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _206_.X _286_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _206_.X _367_.S (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _206_.X _368_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _207_.X _273_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _207_.X _395_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _207_.X _396_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _208_.X _309_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _209_.X clkbuf_0__037_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _210_.X clkbuf_0_net10.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _211_.X _313_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _212_.X user_clk_out_buffer.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _213_.X _367_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _214_.X _286_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _215_.X _368_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _216_.X _217_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _217_.X _267_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _218_.X _219_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _219_.X _252_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _220_.X _221_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _221_.X _269_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _222_.X _223_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _223_.X _369_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _224_.X _225_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _225_.X _371_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _226_.X _227_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _227_.X _373_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _228_.X _375_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _229_.X _376_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _230_.X _395_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _231_.X _273_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _232_.X _396_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _233_.X _234_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _234_.X _270_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _235_.X _236_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _236_.X _249_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _237_.X _238_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _238_.X _272_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _239_.X _240_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _240_.X _397_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _241_.X _242_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _242_.X _399_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _243_.X _244_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _244_.X _401_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _245_.X _406_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _246_.X _407_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _247_.Y _277_.D1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _247_.Y _283_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _248_.Y _281_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _248_.Y _282_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _249_.Y _285_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _250_.Y _290_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _250_.Y _296_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _251_.Y _294_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _251_.Y _295_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _252_.Y _298_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _253_.Y _228_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _254_.Y _213_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _254_.Y _323_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _255_.Y _290_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _255_.Y _296_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _256_.Y _216_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _222_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _303_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _370_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _258_.Y _245_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _259_.Y _277_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _259_.Y _283_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _260_.Y _230_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _260_.Y _339_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _261_.Y _233_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _262_.Y _239_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _262_.Y _308_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _262_.Y _398_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _263_.Y _420_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _264_.Y _354_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _265_.Y _382_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _411__8.Y _418_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _267_.Y _364_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _413__5.Y _432_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _269_.Y _366_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _270_.Y _392_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _415__2.Y _457_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _272_.Y _394_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _273_.X _459_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _274_.Y _280_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _274_.Y _281_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _274_.Y _339_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _275_.Y _211_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _276_.X _281_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _276_.X _283_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _276_.X _306_.B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _276_.X _316_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _276_.X _388_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _276_.X _390_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _276_.X _397_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _276_.X _398_.A3 (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _276_.X _399_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _276_.X _400_.A3 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _276_.X _401_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _276_.X _402_.A3 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _276_.X ANTENNA__402__A3.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _276_.X ANTENNA__401__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _276_.X ANTENNA__400__A3.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _276_.X ANTENNA__399__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _276_.X ANTENNA__398__A3.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _276_.X ANTENNA__397__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _276_.X ANTENNA__390__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _276_.X ANTENNA__388__A.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _276_.X ANTENNA__316__A2.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _276_.X ANTENNA__306__B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _276_.X ANTENNA__283__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _276_.X ANTENNA__281__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _277_.Y _278_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _277_.Y _284_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _277_.Y _391_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _277_.Y _393_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _278_.Y _207_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _279_.Y _233_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _279_.Y _235_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _279_.Y _237_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _279_.Y _280_.D1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _279_.Y _281_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _280_.X _282_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _281_.Y _282_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _282_.Y _457_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _283_.Y _285_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _283_.Y _392_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _283_.Y _394_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _284_.Y _285_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _285_.Y _455_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _286_.X _434_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _287_.Y _293_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _287_.Y _294_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _287_.Y _323_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _288_.Y _208_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _289_.X _294_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _296_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _289_.X _301_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _289_.X _312_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _361_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _289_.X _362_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _289_.X _369_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _370_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _371_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _289_.X _372_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _289_.X _373_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _289_.X _374_.A3 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _290_.Y _291_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _290_.Y _297_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _290_.Y _363_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _290_.Y _365_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _291_.Y _206_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.Y _216_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.Y _218_.S (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _292_.Y _220_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.Y _293_.D1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _292_.Y _294_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _293_.X _295_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _294_.Y _295_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _295_.Y _432_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.Y _298_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.Y _364_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.Y _366_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _297_.Y _298_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _298_.Y _430_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _299_.Y _300_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _299_.Y _354_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _228_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _229_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _353_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _301_.X _206_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _302_.Y _403_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _303_.Y _222_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _303_.Y _224_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _303_.Y _226_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.Y _305_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.Y _382_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _305_.Y _245_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _305_.Y _246_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _305_.Y _381_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _306_.X _207_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _307_.Y _350_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _239_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _241_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _243_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _309_.X _312_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _310_.Y _312_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _311_.X _312_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _312_.X clkbuf_0_divider\.out.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _313_.X _316_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _314_.Y _316_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _315_.X _316_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _316_.X clkbuf_0_divider2\.out.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _317_.Y _213_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _318_.Y _214_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _319_.Y _321_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _320_.X _215_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _320_.X _321_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _321_.Y _214_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _322_.X _323_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _323_.X _215_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _324_.Y _218_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _325_.X _327_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _326_.Y _327_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _327_.Y _220_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _328_.Y _224_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _329_.X _331_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _330_.Y _331_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _331_.Y _226_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _332_.Y _229_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _333_.Y _230_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _334_.Y _231_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _335_.Y _337_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _336_.X _232_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _336_.X _337_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _337_.Y _231_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _338_.X _339_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _339_.X _232_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _340_.Y _235_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _341_.X _343_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _342_.Y _343_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _343_.Y _237_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _344_.Y _241_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _345_.X _347_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _346_.Y _347_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _347_.Y _243_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _348_.Y _246_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _349_.Y output11.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _350_.Y _351_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _350_.Y _352_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _351_.Y _352_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _352_.Y _422_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _353_.Y _355_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _354_.Y _355_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _355_.Y _427_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _356_.Y _358_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _357_.Y _358_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _358_.Y _362_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _359_.Y _361_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _360_.X _361_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _361_.Y _362_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _362_.X _428_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _363_.Y _364_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _364_.Y _429_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _365_.Y _366_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _366_.Y _431_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _367_.X _433_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _368_.X _435_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _369_.Y _370_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _370_.Y _436_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _371_.Y _372_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _372_.X _437_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _373_.Y _374_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _374_.X _438_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _375_.X _439_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _376_.X _440_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _377_.Y _379_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _378_.X _379_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _379_.X _441_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _380_.X _448_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _381_.Y _383_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _382_.Y _383_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _383_.Y _452_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _384_.Y _389_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _385_.Y _388_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _386_.Y _389_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _387_.Y _388_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _388_.Y _390_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _389_.Y _390_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _390_.X _453_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _391_.Y _392_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _392_.Y _454_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _393_.Y _394_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _394_.Y _456_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _395_.X _458_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _396_.X _460_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _397_.Y _398_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _398_.Y _461_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _399_.Y _400_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _400_.X _462_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _401_.Y _402_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _402_.X _463_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.Y _404_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.Y _405_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _404_.Y _405_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _405_.Y _464_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _406_.X _465_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _407_.X _466_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _408_.Y _410_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _409_.X _410_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _410_.X _467_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _412__9.Y _419_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_ext_clk.X clkbuf_1_0__f_ext_clk.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_ext_clk.X clkbuf_1_1__f_ext_clk.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _414__6.Y _434_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _266__7.Y _417_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _416__3.Y _459_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _268__4.Y _430_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _417_.Q _349_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _418_.Q _417_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _419_.Q _418_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _420_.Q _209_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _420_.Q _421_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _421_.Q _210_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _421_.Q _212_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.Q _314_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.Q _315_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.Q _351_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.Q _352_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _423_.Q _209_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _424_.Q _358_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Q _356_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Q _357_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _426_.Q _359_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _426_.Q _360_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _427_.Q _208_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _427_.Q _264_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _427_.Q _353_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _428_.Q _362_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _428_.Q fanout24.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _429_.Q _256_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.Q _292_.C_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.Q _324_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.Q _325_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.Q _326_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.Q _363_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _430_.Q _292_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _430_.Q _297_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _430_.Q _324_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _430_.Q _325_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _430_.Q _326_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _431_.Q _292_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _431_.Q _325_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _431_.Q _326_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _431_.Q _365_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _432_.Q _251_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _432_.Q _310_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _432_.Q _311_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _433_.Q _254_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _433_.Q _318_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _433_.Q _322_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _433_.Q _367_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _434_.Q _250_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.Q _286_.A0 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _434_.Q _287_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.Q _318_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.Q _322_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _435_.Q _255_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _435_.Q _287_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _435_.Q _322_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _435_.Q _368_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _436_.Q _257_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _436_.Q _328_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _436_.Q _329_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _436_.Q _330_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _436_.Q _403_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _437_.Q _302_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _437_.Q _303_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _437_.Q _328_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _437_.Q _329_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _437_.Q _330_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _437_.Q _372_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.Q _302_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.Q _303_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.Q _329_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.Q _330_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.Q _374_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _439_.Q _253_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _439_.Q _300_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _439_.Q _332_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _439_.Q _354_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _439_.Q _375_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _439_.Q _377_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _439_.Q _378_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _440_.Q _299_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _440_.Q _332_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _440_.Q _376_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _440_.Q _377_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _440_.Q _378_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _441_.Q _299_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _441_.Q _377_.D (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _441_.Q _378_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _442_.Q _445_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _443_.Q _446_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _444_.Q _447_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _445_.Q fanout20.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _446_.Q _224_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _446_.Q _403_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _446_.Q _288_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _446_.Q _289_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _446_.Q fanout18.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _447_.Q _403_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _447_.Q _359_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _447_.Q _226_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _447_.Q fanout17.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _448_.Q _380_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _448_.Q _423_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _449_.Q _389_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _450_.Q _384_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _450_.Q _386_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _451_.Q _385_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _451_.Q _387_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _452_.Q _211_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _452_.Q _265_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _452_.Q _381_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _453_.Q _281_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _453_.Q fanout22.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _454_.Q _261_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _454_.Q _279_.C_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _454_.Q _340_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _454_.Q _341_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _454_.Q _342_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _454_.Q _391_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _455_.Q _279_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _455_.Q _284_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _455_.Q _340_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _455_.Q _341_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _455_.Q _342_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _456_.Q _279_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _456_.Q _341_.C (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _342_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _456_.Q _393_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _457_.Q _248_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _457_.Q _314_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _457_.Q _315_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _260_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _458_.Q _334_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _338_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _458_.Q _395_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _247_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _273_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _274_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _334_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _338_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _259_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _274_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _460_.Q _338_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _460_.Q _396_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _461_.Q _262_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _461_.Q _344_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _345_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _461_.Q _346_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _461_.Q _350_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _307_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _308_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _344_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _345_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _346_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _462_.Q _400_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _463_.Q _307_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _463_.Q _308_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _463_.Q _345_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _463_.Q _346_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _463_.Q _402_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _464_.Q _310_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _464_.Q _311_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _464_.Q _404_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _464_.Q _405_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _258_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _305_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _348_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _382_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _406_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _408_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _409_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _466_.Q _304_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _466_.Q _348_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _466_.Q _407_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _466_.Q _408_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _466_.Q _409_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _304_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _408_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _409_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _468_.Q _471_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _469_.Q _472_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _470_.Q _473_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _471_.Q fanout15.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _471_.Q fanout16.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _472_.Q _384_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _472_.Q _276_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _472_.Q _350_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _472_.Q _386_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _472_.Q fanout14.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _473_.Q _451_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _385_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _350_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q fanout13.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _271__1.Y _455_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout18.X _218_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout18.X _219_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout18.X _290_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout18.X _293_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout18.X _317_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout18.X _319_.B (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout18.X _228_.A0 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout18.X _320_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout18.X _356_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout18.X _357_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout18.X _425_.D (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout18.X _225_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout17.X _289_.A1 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT fanout17.X _221_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout17.X _220_.A1 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT fanout17.X _290_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout17.X _293_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout17.X _319_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout17.X _320_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout17.X _229_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout17.X _288_.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT fanout17.X _426_.D (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout17.X _360_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout17.X _227_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout16.X _382_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout16.X _406_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout16.X _407_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout16.X _449_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout16.X _350_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout16.X _239_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout16.X _240_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout15.X _280_.B1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout15.X _336_.A2 (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT fanout15.X _234_.A1 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT fanout15.X _333_.B (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT fanout15.X _335_.C (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout15.X _233_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout15.X _277_.B1 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT fanout15.X _276_.B1 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout15.X _313_.A_N (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout15.X _381_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout15.X _408_.A (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout15.X _409_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout15.X ANTENNA__409__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout15.X ANTENNA__408__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout15.X ANTENNA__381__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout15.X ANTENNA__313__A_N.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout15.X ANTENNA__276__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout15.X ANTENNA__277__B1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT fanout15.X ANTENNA__233__A1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT fanout15.X ANTENNA__335__C.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT fanout15.X ANTENNA__333__B.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT fanout15.X ANTENNA__234__A1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT fanout15.X ANTENNA__336__A2.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT fanout15.X ANTENNA__280__B1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout14.X _236_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout14.X _242_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout14.X _333_.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout14.X _335_.B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout14.X _235_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout14.X _241_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout14.X _277_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT fanout14.X _280_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout14.X _336_.A1 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout14.X _450_.D (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout14.X _275_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout14.X _245_.A0 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X ANTENNA__245__A0.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT fanout14.X ANTENNA__275__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X ANTENNA__450__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout14.X ANTENNA__336__A1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout14.X ANTENNA__280__A2.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout14.X ANTENNA__277__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout14.X ANTENNA__241__A1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout14.X ANTENNA__235__A1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout14.X ANTENNA__335__B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout14.X ANTENNA__333__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout14.X ANTENNA__242__A1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout14.X ANTENNA__236__A1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout13.X _238_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout13.X _243_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout13.X _244_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout13.X _237_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout13.X _335_.A (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout13.X _336_.B1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout13.X _277_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout13.X _280_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout13.X _276_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout13.X _275_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout13.X _246_.A0 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout13.X _387_.A_N (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout13.X ANTENNA__387__A_N.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X ANTENNA__246__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout13.X ANTENNA__275__A.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X ANTENNA__276__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout13.X ANTENNA__280__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout13.X ANTENNA__277__A1.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout13.X ANTENNA__336__B1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout13.X ANTENNA__335__A.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout13.X ANTENNA__237__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout13.X ANTENNA__244__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout13.X ANTENNA__243__A1.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout13.X ANTENNA__238__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT user_clk_out_buffer.X user_clk (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT output11.X resetb_sync (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT input9.X _444_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input8.X _443_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input7.X _442_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input6.X _470_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input5.X _469_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input4.X _468_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input3.X fanout25.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input3.X fanout26.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input3.X fanout29.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input2.X _349_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input1.X _263_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout19.X _375_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout19.X _376_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout19.X _377_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout19.X _378_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout19.X _317_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _320_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _290_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _319_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _217_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _354_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout19.X _353_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout19.X _293_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout20.X fanout19.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout20.X _216_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout20.X _424_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout20.X _403_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout20.X _309_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout20.X _289_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout20.X _223_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout20.X _222_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _230_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _238_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _283_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _284_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _391_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _393_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _306_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _207_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _232_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _231_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _401_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _244_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout22.X fanout21.A (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT fanout22.X _234_.S (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout22.X _242_.S (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout22.X _399_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout22.X _236_.S (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout22.X _400_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout22.X _397_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout22.X _398_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout22.X _390_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout22.X _351_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout22.X _240_.S (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout22.X _402_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout22.X ANTENNA__402__A1.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT fanout22.X ANTENNA__240__S.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout22.X ANTENNA__351__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout22.X ANTENNA__390__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout22.X ANTENNA__398__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout22.X ANTENNA__397__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout22.X ANTENNA__400__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout22.X ANTENNA__236__S.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout22.X ANTENNA__399__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout22.X ANTENNA__242__S.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout22.X ANTENNA__234__S.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout22.X ANTENNA_fanout21_A.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT fanout23.X _219_.S (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT fanout23.X _215_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout23.X _296_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout23.X _301_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout23.X _214_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout23.X _213_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout23.X _206_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout23.X _297_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout23.X _363_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout23.X _365_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout23.X _221_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout23.X _217_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout24.X fanout23.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout24.X _294_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout24.X _369_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout24.X _370_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout24.X _372_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout24.X _374_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout24.X _404_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout24.X _223_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout24.X _373_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout24.X _371_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout24.X _227_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout24.X _225_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout25.X _429_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout25.X _430_.SET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout25.X _432_.SET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout25.X _433_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout25.X _434_.SET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout25.X _435_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout25.X _439_.SET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout25.X _440_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout25.X _441_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout25.X _380_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout25.X _418_.SET_B (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout25.X _419_.SET_B (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout26.X _423_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout26.X _454_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout26.X _455_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout26.X _456_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout26.X _458_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout26.X _459_.SET_B (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT fanout26.X _460_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout26.X _462_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _420_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _427_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _431_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout27.X _436_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout27.X _442_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout27.X _443_.SET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout27.X _444_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout27.X _445_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout27.X _446_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout27.X _447_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout27.X _464_.SET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout27.X _428_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout28.X _417_.SET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X _421_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout28.X _422_.SET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X _453_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X _457_.SET_B (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout28.X _461_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X _463_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X _469_.SET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X _472_.SET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X _452_.SET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout28.X _465_.SET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout28.X _466_.RESET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout28.X ANTENNA__466__RESET_B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout28.X ANTENNA__465__SET_B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout28.X ANTENNA__452__SET_B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout28.X ANTENNA__472__SET_B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X ANTENNA__469__SET_B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X ANTENNA__463__RESET_B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X ANTENNA__461__RESET_B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X ANTENNA__457__SET_B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout28.X ANTENNA__453__RESET_B.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X ANTENNA__422__SET_B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X ANTENNA__421__RESET_B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X ANTENNA__417__SET_B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X fanout27.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X _438_.RESET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout29.X _437_.SET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout29.X fanout28.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout29.X _473_.RESET_B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout29.X _471_.RESET_B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout29.X _470_.RESET_B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout29.X _468_.RESET_B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout29.X _467_.RESET_B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout29.X ANTENNA__467__RESET_B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout29.X ANTENNA__468__RESET_B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout29.X ANTENNA__470__RESET_B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout29.X ANTENNA__471__RESET_B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout29.X ANTENNA__473__RESET_B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout29.X ANTENNA_fanout28_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout29.X ANTENNA__437__SET_B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout29.X ANTENNA__438__RESET_B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout29.X ANTENNA_fanout27_A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _419__30.LO _419_.D (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_ext_clk.X _380_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_ext_clk.X _209_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0__037_.X clkbuf_1_0__f__037_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0__037_.X clkbuf_1_1__f__037_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f__037_.X _210_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f__037_.X _212_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_net10.X clkbuf_1_0__f_net10.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_net10.X clkbuf_1_1__f_net10.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_net10.X core_clk (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT clkbuf_1_0__f_net10.X _412__9.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT clkbuf_1_1__f_net10.X _411__8.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_net10.X _266__7.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_pll_clk.X clkbuf_1_0__f_pll_clk.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_pll_clk.X clkbuf_1_1__f_pll_clk.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _414__6.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _268__4.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _448_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _441_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _440_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _439_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _435_.CLK_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _433_.CLK_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _429_.CLK_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _423_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _421_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _413__5.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _464_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _438_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _437_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _436_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _431_.CLK_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _428_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _427_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _426_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _425_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _424_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _420_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _208_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_divider\.out.X clkbuf_1_0__f_divider\.out.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_divider\.out.X clkbuf_1_1__f_divider\.out.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _447_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _446_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _445_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _443_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _442_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_divider\.out.X _444_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider\.out.X _210_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_pll_clk90.X clkbuf_1_0__f_pll_clk90.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_pll_clk90.X clkbuf_1_1__f_pll_clk90.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _416__3.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _271__1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _463_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _462_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _460_.CLK_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _458_.CLK_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _456_.CLK_N (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _454_.CLK_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _415__2.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _467_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _466_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _465_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _461_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _453_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _452_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _451_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _450_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _449_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _422_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _211_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_0_divider2\.out.X clkbuf_1_0__f_divider2\.out.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_divider2\.out.X clkbuf_1_1__f_divider2\.out.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_divider2\.out.X _472_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider2\.out.X _469_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider2\.out.X _212_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider2\.out.X _473_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider2\.out.X _471_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider2\.out.X _470_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider2\.out.X _468_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _206_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.136:0.136:0.136) (0.195:0.195:0.195)) - (IOPATH A1 X (0.154:0.154:0.154) (0.213:0.213:0.213)) - (IOPATH S X (0.181:0.185:0.189) (0.233:0.234:0.234)) - (IOPATH S X (0.139:0.140:0.141) (0.227:0.229:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _207_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.126:0.126:0.127) (0.188:0.188:0.188)) - (IOPATH A1 X (0.149:0.149:0.149) (0.211:0.211:0.211)) - (IOPATH S X (0.173:0.176:0.180) (0.227:0.228:0.229)) - (IOPATH S X (0.131:0.132:0.132) (0.221:0.224:0.226)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _208_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.081:0.081:0.081) (0.139:0.139:0.139)) - (IOPATH A1 X (0.075:0.075:0.075) (0.143:0.143:0.143)) - (IOPATH S X (0.125:0.126:0.128) (0.184:0.184:0.184)) - (IOPATH S X (0.088:0.088:0.088) (0.178:0.179:0.180)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _209_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.144:0.144:0.144) (0.199:0.199:0.199)) - (IOPATH A1 X (0.149:0.149:0.149) (0.209:0.209:0.209)) - (IOPATH S X (0.212:0.212:0.212) (0.249:0.249:0.249)) - (IOPATH S X (0.168:0.168:0.168) (0.248:0.248:0.248)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _210_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.101:0.101:0.101) (0.166:0.166:0.166)) - (IOPATH A1 X (0.103:0.103:0.103) (0.173:0.173:0.173)) - (IOPATH S X (0.160:0.160:0.160) (0.214:0.214:0.214)) - (IOPATH S X (0.117:0.117:0.117) (0.210:0.210:0.210)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _211_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.097:0.097:0.097) (0.155:0.155:0.155)) - (IOPATH A1 X (0.088:0.088:0.088) (0.158:0.158:0.158)) - (IOPATH S X (0.144:0.144:0.144) (0.199:0.199:0.200)) - (IOPATH S X (0.102:0.103:0.103) (0.197:0.197:0.197)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _212_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.104:0.104:0.104) (0.168:0.168:0.168)) - (IOPATH A1 X (0.105:0.105:0.105) (0.175:0.175:0.175)) - (IOPATH S X (0.163:0.163:0.163) (0.216:0.216:0.216)) - (IOPATH S X (0.119:0.119:0.119) (0.212:0.212:0.212)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _213_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.085:0.085:0.085) (0.153:0.153:0.153)) - (IOPATH A1 X (0.088:0.094:0.100) (0.158:0.160:0.162)) - (IOPATH S X (0.150:0.150:0.150) (0.203:0.203:0.203)) - (IOPATH S X (0.107:0.107:0.107) (0.202:0.202:0.202)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _214_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.078:0.084:0.090) (0.143:0.145:0.147)) - (IOPATH A1 X (0.092:0.092:0.092) (0.151:0.153:0.155)) - (IOPATH S X (0.143:0.143:0.143) (0.195:0.195:0.195)) - (IOPATH S X (0.100:0.100:0.100) (0.195:0.195:0.195)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _215_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.071:0.071:0.071) (0.136:0.137:0.137)) - (IOPATH A1 X (0.081:0.081:0.081) (0.145:0.147:0.148)) - (IOPATH S X (0.138:0.138:0.138) (0.189:0.189:0.189)) - (IOPATH S X (0.094:0.094:0.094) (0.189:0.189:0.189)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _216_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.068:0.068:0.068) (0.139:0.139:0.139)) - (IOPATH A1 X (0.094:0.094:0.094) (0.163:0.163:0.163)) - (IOPATH S X (0.128:0.132:0.135) (0.190:0.190:0.190)) - (IOPATH S X (0.108:0.108:0.108) (0.181:0.184:0.187)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _217_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.080:0.081:0.082) (0.151:0.151:0.151)) - (IOPATH A1 X (0.105:0.105:0.105) (0.172:0.172:0.172)) - (IOPATH S X (0.148:0.148:0.148) (0.200:0.200:0.200)) - (IOPATH S X (0.105:0.105:0.105) (0.199:0.199:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _218_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.075:0.081:0.086) (0.141:0.144:0.147)) - (IOPATH A1 X (0.099:0.099:0.099) (0.169:0.169:0.169)) - (IOPATH S X (0.134:0.138:0.141) (0.197:0.197:0.197)) - (IOPATH S X (0.115:0.115:0.115) (0.188:0.191:0.194)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _219_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.085:0.086:0.086) (0.155:0.156:0.156)) - (IOPATH A1 X (0.109:0.109:0.109) (0.178:0.178:0.178)) - (IOPATH S X (0.151:0.151:0.151) (0.203:0.203:0.203)) - (IOPATH S X (0.108:0.108:0.108) (0.203:0.203:0.203)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _220_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.069:0.069:0.070) (0.135:0.136:0.137)) - (IOPATH A1 X (0.094:0.094:0.094) (0.161:0.161:0.161)) - (IOPATH S X (0.129:0.133:0.137) (0.191:0.191:0.191)) - (IOPATH S X (0.110:0.110:0.110) (0.183:0.185:0.188)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _221_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.080:0.081:0.082) (0.151:0.151:0.151)) - (IOPATH A1 X (0.105:0.105:0.105) (0.172:0.172:0.172)) - (IOPATH S X (0.148:0.148:0.148) (0.200:0.200:0.200)) - (IOPATH S X (0.104:0.104:0.104) (0.199:0.199:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _222_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.068:0.068:0.068) (0.139:0.139:0.139)) - (IOPATH A1 X (0.093:0.093:0.093) (0.162:0.162:0.162)) - (IOPATH S X (0.119:0.127:0.135) (0.188:0.188:0.188)) - (IOPATH S X (0.102:0.102:0.102) (0.174:0.180:0.186)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _223_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.073:0.073:0.074) (0.144:0.144:0.144)) - (IOPATH A1 X (0.099:0.099:0.099) (0.169:0.169:0.169)) - (IOPATH S X (0.143:0.143:0.143) (0.194:0.194:0.194)) - (IOPATH S X (0.099:0.099:0.099) (0.195:0.195:0.195)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _224_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.076:0.082:0.088) (0.139:0.142:0.145)) - (IOPATH A1 X (0.098:0.098:0.098) (0.162:0.162:0.162)) - (IOPATH S X (0.122:0.129:0.137) (0.191:0.191:0.191)) - (IOPATH S X (0.105:0.105:0.105) (0.177:0.183:0.189)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _225_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.084:0.084:0.085) (0.155:0.155:0.155)) - (IOPATH A1 X (0.109:0.109:0.109) (0.179:0.179:0.179)) - (IOPATH S X (0.154:0.154:0.154) (0.204:0.204:0.204)) - (IOPATH S X (0.110:0.110:0.110) (0.205:0.205:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _226_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.070:0.070:0.070) (0.135:0.136:0.136)) - (IOPATH A1 X (0.093:0.093:0.093) (0.157:0.157:0.157)) - (IOPATH S X (0.121:0.129:0.136) (0.190:0.190:0.190)) - (IOPATH S X (0.104:0.104:0.104) (0.176:0.182:0.188)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _227_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.070:0.071:0.071) (0.141:0.141:0.141)) - (IOPATH A1 X (0.095:0.095:0.095) (0.162:0.162:0.162)) - (IOPATH S X (0.141:0.141:0.141) (0.191:0.191:0.191)) - (IOPATH S X (0.097:0.097:0.097) (0.192:0.192:0.192)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _228_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.094:0.094:0.094) (0.161:0.161:0.161)) - (IOPATH A1 X (0.071:0.071:0.071) (0.148:0.148:0.148)) - (IOPATH S X (0.137:0.138:0.139) (0.189:0.190:0.190)) - (IOPATH S X (0.092:0.093:0.093) (0.189:0.190:0.191)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _229_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.098:0.098:0.098) (0.163:0.163:0.163)) - (IOPATH A1 X (0.086:0.093:0.100) (0.153:0.156:0.158)) - (IOPATH S X (0.142:0.143:0.144) (0.195:0.195:0.196)) - (IOPATH S X (0.097:0.098:0.098) (0.195:0.196:0.197)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _230_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.077:0.077:0.077) (0.145:0.145:0.145)) - (IOPATH A1 X (0.082:0.089:0.095) (0.150:0.154:0.157)) - (IOPATH S X (0.146:0.146:0.146) (0.195:0.195:0.195)) - (IOPATH S X (0.101:0.101:0.101) (0.197:0.197:0.197)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _231_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.087:0.093:0.099) (0.151:0.153:0.155)) - (IOPATH A1 X (0.092:0.092:0.092) (0.156:0.158:0.159)) - (IOPATH S X (0.154:0.154:0.154) (0.202:0.202:0.202)) - (IOPATH S X (0.109:0.109:0.109) (0.205:0.205:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _232_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.088:0.088:0.089) (0.152:0.153:0.154)) - (IOPATH A1 X (0.098:0.098:0.098) (0.162:0.163:0.164)) - (IOPATH S X (0.156:0.156:0.156) (0.204:0.204:0.204)) - (IOPATH S X (0.111:0.111:0.111) (0.207:0.207:0.207)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _233_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.070:0.070:0.070) (0.142:0.142:0.142)) - (IOPATH A1 X (0.100:0.100:0.100) (0.178:0.178:0.178)) - (IOPATH S X (0.133:0.137:0.141) (0.188:0.188:0.188)) - (IOPATH S X (0.109:0.109:0.109) (0.185:0.188:0.192)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _234_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.117:0.117:0.118) (0.184:0.185:0.185)) - (IOPATH A1 X (0.147:0.147:0.147) (0.223:0.223:0.223)) - (IOPATH S X (0.204:0.204:0.204) (0.233:0.233:0.233)) - (IOPATH S X (0.150:0.150:0.150) (0.247:0.247:0.247)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _235_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.078:0.084:0.091) (0.140:0.143:0.147)) - (IOPATH A1 X (0.100:0.100:0.100) (0.179:0.179:0.179)) - (IOPATH S X (0.132:0.137:0.141) (0.187:0.187:0.187)) - (IOPATH S X (0.108:0.108:0.108) (0.185:0.188:0.191)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _236_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.088:0.088:0.089) (0.158:0.158:0.158)) - (IOPATH A1 X (0.119:0.119:0.119) (0.198:0.198:0.198)) - (IOPATH S X (0.175:0.175:0.175) (0.208:0.208:0.208)) - (IOPATH S X (0.121:0.121:0.121) (0.222:0.222:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _237_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.074:0.074:0.075) (0.138:0.139:0.140)) - (IOPATH A1 X (0.101:0.101:0.101) (0.179:0.179:0.179)) - (IOPATH S X (0.135:0.139:0.143) (0.190:0.190:0.190)) - (IOPATH S X (0.111:0.111:0.111) (0.187:0.190:0.194)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _238_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.085:0.086:0.087) (0.155:0.155:0.156)) - (IOPATH A1 X (0.115:0.115:0.115) (0.192:0.192:0.192)) - (IOPATH S X (0.157:0.157:0.157) (0.204:0.204:0.204)) - (IOPATH S X (0.112:0.112:0.112) (0.207:0.207:0.207)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _239_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.068:0.068:0.068) (0.137:0.137:0.137)) - (IOPATH A1 X (0.092:0.092:0.092) (0.161:0.161:0.161)) - (IOPATH S X (0.117:0.125:0.133) (0.187:0.187:0.187)) - (IOPATH S X (0.099:0.099:0.099) (0.172:0.178:0.185)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _240_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.071:0.072:0.072) (0.143:0.143:0.143)) - (IOPATH A1 X (0.097:0.097:0.097) (0.167:0.167:0.167)) - (IOPATH S X (0.159:0.159:0.159) (0.194:0.194:0.194)) - (IOPATH S X (0.105:0.105:0.105) (0.207:0.207:0.207)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _241_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.081:0.087:0.093) (0.147:0.151:0.155)) - (IOPATH A1 X (0.110:0.110:0.110) (0.190:0.190:0.190)) - (IOPATH S X (0.128:0.136:0.145) (0.200:0.200:0.200)) - (IOPATH S X (0.110:0.110:0.110) (0.185:0.191:0.197)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _242_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.076:0.077:0.077) (0.147:0.147:0.147)) - (IOPATH A1 X (0.105:0.105:0.105) (0.184:0.184:0.184)) - (IOPATH S X (0.161:0.161:0.161) (0.195:0.195:0.195)) - (IOPATH S X (0.107:0.107:0.107) (0.208:0.208:0.208)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _243_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.088:0.088:0.089) (0.153:0.154:0.155)) - (IOPATH A1 X (0.115:0.115:0.115) (0.193:0.193:0.193)) - (IOPATH S X (0.134:0.142:0.151) (0.206:0.206:0.206)) - (IOPATH S X (0.116:0.116:0.116) (0.191:0.197:0.203)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _244_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.101:0.101:0.101) (0.170:0.171:0.171)) - (IOPATH A1 X (0.126:0.126:0.126) (0.204:0.204:0.204)) - (IOPATH S X (0.168:0.168:0.168) (0.216:0.216:0.216)) - (IOPATH S X (0.122:0.122:0.122) (0.218:0.218:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _245_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.105:0.105:0.105) (0.181:0.181:0.181)) - (IOPATH A1 X (0.075:0.075:0.075) (0.152:0.152:0.152)) - (IOPATH S X (0.149:0.150:0.151) (0.197:0.197:0.197)) - (IOPATH S X (0.102:0.103:0.103) (0.200:0.201:0.202)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _246_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.099:0.099:0.099) (0.174:0.174:0.174)) - (IOPATH A1 X (0.075:0.080:0.085) (0.144:0.146:0.149)) - (IOPATH S X (0.145:0.146:0.147) (0.192:0.192:0.192)) - (IOPATH S X (0.098:0.098:0.099) (0.195:0.196:0.197)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _247_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.047:0.047:0.047) (0.049:0.049:0.049)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _248_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.050:0.050:0.050) (0.028:0.028:0.028)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _249_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.035:0.036:0.036) (0.016:0.016:0.016)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _250_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.041:0.041:0.041) (0.042:0.042:0.042)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _251_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.038:0.038:0.038) (0.030:0.030:0.030)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _252_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.035:0.035:0.035) (0.016:0.016:0.016)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _253_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.038:0.038:0.038) (0.038:0.038:0.038)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _254_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.052:0.052:0.052) (0.023:0.023:0.023)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _255_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.052:0.052:0.052) (0.045:0.045:0.045)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _256_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.040:0.040:0.040) (0.028:0.028:0.028)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _257_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.049:0.049:0.049) (0.041:0.041:0.041)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _258_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.035:0.035:0.035) (0.034:0.034:0.034)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _259_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.058:0.058:0.058) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _260_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.055:0.055:0.055) (0.025:0.025:0.025)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _261_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.048:0.048:0.048) (0.038:0.038:0.038)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _262_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.049:0.049:0.049) (0.039:0.039:0.039)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _263_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.076:0.076:0.076) (0.033:0.033:0.033)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _264_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.040:0.040:0.040) (0.021:0.021:0.021)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _265_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.038:0.038:0.038) (0.018:0.018:0.018)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _411__8) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.025:0.025:0.025) (0.012:0.012:0.012)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _267_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.034:0.034:0.034) (0.016:0.016:0.016)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _413__5) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.030:0.030:0.030) (0.013:0.013:0.013)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _269_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.034:0.034:0.034) (0.016:0.016:0.016)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _270_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.039:0.040:0.040) (0.014:0.014:0.014)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _415__2) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.033:0.033:0.033) (0.016:0.016:0.016)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _272_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.036:0.036:0.036) (0.017:0.017:0.017)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _273_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.091:0.091:0.091) (0.146:0.146:0.146)) - (IOPATH A1 X (0.077:0.078:0.078) (0.151:0.151:0.151)) - (IOPATH S X (0.141:0.142:0.142) (0.190:0.190:0.190)) - (IOPATH S X (0.094:0.094:0.094) (0.192:0.192:0.193)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _274_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.237:0.237:0.237) (0.089:0.089:0.089)) - (IOPATH B Y (0.240:0.240:0.240) (0.094:0.094:0.094)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _275_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.134:0.134:0.134) (0.053:0.053:0.053)) - (IOPATH B Y (0.129:0.129:0.129) (0.046:0.046:0.046)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_4") - (INSTANCE _276_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.227:0.227:0.227) (0.233:0.233:0.233)) - (IOPATH A2 X (0.217:0.217:0.217) (0.208:0.208:0.208)) - (IOPATH B1 X (0.207:0.207:0.207) (0.169:0.169:0.169)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_4") - (INSTANCE _277_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.217:0.217:0.217) (0.106:0.106:0.106)) - (IOPATH A2 Y (0.214:0.214:0.214) (0.095:0.095:0.095)) - (IOPATH B1 Y (0.134:0.134:0.134) (0.085:0.085:0.085)) - (IOPATH C1 Y (0.091:0.091:0.091) (0.071:0.071:0.071)) - (IOPATH D1 Y (0.071:0.071:0.071) (0.061:0.061:0.061)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _278_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.050:0.055:0.060) (0.022:0.020:0.019)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3b_2") - (INSTANCE _279_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.332:0.332:0.332) (0.083:0.083:0.083)) - (IOPATH B Y (0.316:0.316:0.316) (0.078:0.078:0.078)) - (IOPATH C_N Y (0.324:0.324:0.324) (0.161:0.161:0.161)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_1") - (INSTANCE _280_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.127:0.127:0.127) (0.184:0.184:0.184)) - (IOPATH A2 X (0.111:0.111:0.111) (0.180:0.180:0.180)) - (IOPATH B1 X (0.102:0.102:0.102) (0.126:0.126:0.126)) - (IOPATH C1 X (0.105:0.105:0.105) (0.098:0.100:0.102)) - (IOPATH D1 X (0.097:0.097:0.097) (0.081:0.085:0.090)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a41oi_1") - (INSTANCE _281_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.146:0.147:0.148) (0.096:0.096:0.096)) - (IOPATH A2 Y (0.167:0.169:0.170) (0.093:0.093:0.093)) - (IOPATH A3 Y (0.173:0.177:0.181) (0.096:0.096:0.096)) - (IOPATH A4 Y (0.164:0.164:0.164) (0.073:0.073:0.073)) - (IOPATH B1 Y (0.077:0.077:0.077) (0.038:0.038:0.038)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _282_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.089:0.089:0.089) (0.039:0.039:0.039)) - (IOPATH A2 Y (0.076:0.078:0.080) (0.033:0.034:0.034)) - (IOPATH B1 Y (0.051:0.064:0.076) (0.029:0.030:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31oi_2") - (INSTANCE _283_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.175:0.177:0.179) (0.104:0.104:0.105)) - (IOPATH A2 Y (0.195:0.195:0.195) (0.076:0.076:0.076)) - (IOPATH A3 Y (0.204:0.204:0.204) (0.078:0.078:0.078)) - (IOPATH B1 Y (0.112:0.112:0.112) (0.049:0.049:0.049)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _284_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.092:0.092:0.092) (0.121:0.121:0.121)) - (IOPATH B Y (0.083:0.090:0.097) (0.054:0.059:0.065)) - (IOPATH C Y (0.089:0.089:0.089) (0.064:0.064:0.064)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _285_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.080:0.080:0.080) (0.035:0.035:0.035)) - (IOPATH A2 Y (0.084:0.094:0.104) (0.038:0.038:0.037)) - (IOPATH B1 Y (0.052:0.056:0.060) (0.027:0.027:0.028)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _286_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.089:0.089:0.089) (0.146:0.146:0.146)) - (IOPATH A1 X (0.076:0.076:0.076) (0.150:0.150:0.151)) - (IOPATH S X (0.144:0.145:0.146) (0.192:0.192:0.192)) - (IOPATH S X (0.097:0.097:0.097) (0.194:0.195:0.196)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _287_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.161:0.161:0.161) (0.064:0.064:0.064)) - (IOPATH B Y (0.163:0.163:0.163) (0.066:0.066:0.066)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _288_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.115:0.115:0.115) (0.049:0.049:0.049)) - (IOPATH B Y (0.107:0.107:0.107) (0.043:0.043:0.043)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_4") - (INSTANCE _289_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.167:0.167:0.167) (0.194:0.194:0.194)) - (IOPATH A2 X (0.163:0.163:0.163) (0.183:0.183:0.183)) - (IOPATH B1 X (0.151:0.151:0.151) (0.133:0.133:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_4") - (INSTANCE _290_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.201:0.201:0.201) (0.103:0.103:0.103)) - (IOPATH A2 Y (0.194:0.194:0.194) (0.092:0.092:0.092)) - (IOPATH B1 Y (0.112:0.112:0.112) (0.080:0.080:0.080)) - (IOPATH C1 Y (0.086:0.086:0.086) (0.068:0.068:0.068)) - (IOPATH D1 Y (0.066:0.066:0.066) (0.058:0.058:0.058)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _291_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.051:0.055:0.060) (0.023:0.022:0.021)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3b_2") - (INSTANCE _292_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.276:0.276:0.276) (0.071:0.071:0.071)) - (IOPATH B Y (0.256:0.256:0.256) (0.062:0.062:0.062)) - (IOPATH C_N Y (0.270:0.270:0.270) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_1") - (INSTANCE _293_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.126:0.126:0.126) (0.172:0.172:0.172)) - (IOPATH A2 X (0.112:0.112:0.112) (0.166:0.166:0.166)) - (IOPATH B1 X (0.102:0.102:0.102) (0.108:0.108:0.108)) - (IOPATH C1 X (0.103:0.103:0.104) (0.091:0.092:0.094)) - (IOPATH D1 X (0.103:0.103:0.103) (0.079:0.083:0.087)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a41oi_1") - (INSTANCE _294_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.113:0.114:0.116) (0.070:0.071:0.071)) - (IOPATH A2 Y (0.131:0.133:0.135) (0.072:0.072:0.072)) - (IOPATH A3 Y (0.144:0.148:0.151) (0.081:0.081:0.081)) - (IOPATH A4 Y (0.140:0.140:0.140) (0.059:0.059:0.059)) - (IOPATH B1 Y (0.070:0.070:0.070) (0.031:0.031:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _295_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.096:0.096:0.096) (0.039:0.039:0.039)) - (IOPATH A2 Y (0.084:0.086:0.088) (0.036:0.037:0.037)) - (IOPATH B1 Y (0.057:0.065:0.073) (0.031:0.033:0.035)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31oi_2") - (INSTANCE _296_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.145:0.147:0.149) (0.085:0.085:0.085)) - (IOPATH A2 Y (0.172:0.172:0.172) (0.067:0.067:0.067)) - (IOPATH A3 Y (0.181:0.181:0.181) (0.069:0.069:0.069)) - (IOPATH B1 Y (0.095:0.095:0.095) (0.042:0.042:0.042)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _297_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.082:0.082:0.082) (0.110:0.110:0.110)) - (IOPATH B Y (0.073:0.079:0.085) (0.047:0.051:0.055)) - (IOPATH C Y (0.077:0.077:0.077) (0.055:0.055:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _298_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.093:0.093:0.093) (0.040:0.040:0.040)) - (IOPATH A2 Y (0.094:0.100:0.107) (0.043:0.045:0.047)) - (IOPATH B1 Y (0.059:0.062:0.066) (0.030:0.031:0.032)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _299_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.118:0.118:0.118) (0.050:0.050:0.050)) - (IOPATH B Y (0.098:0.098:0.098) (0.040:0.040:0.040)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _300_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.098:0.098:0.098) (0.078:0.078:0.078)) - (IOPATH B Y (0.096:0.099:0.102) (0.076:0.076:0.076)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _301_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.081:0.081:0.081) (0.148:0.148:0.148)) - (IOPATH B X (0.079:0.079:0.079) (0.131:0.133:0.135)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _302_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.115:0.115:0.115) (0.049:0.049:0.049)) - (IOPATH B Y (0.106:0.106:0.106) (0.041:0.041:0.041)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_2") - (INSTANCE _303_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.236:0.236:0.236) (0.063:0.063:0.063)) - (IOPATH B Y (0.221:0.221:0.221) (0.059:0.059:0.059)) - (IOPATH C Y (0.174:0.174:0.174) (0.036:0.036:0.036)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _304_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.119:0.119:0.119) (0.051:0.051:0.051)) - (IOPATH B Y (0.100:0.100:0.100) (0.040:0.040:0.040)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _305_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.121:0.121:0.121) (0.093:0.093:0.093)) - (IOPATH B Y (0.119:0.122:0.125) (0.094:0.094:0.094)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _306_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.078:0.078:0.078) (0.147:0.147:0.147)) - (IOPATH B X (0.078:0.079:0.079) (0.138:0.139:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _307_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.135:0.135:0.135) (0.060:0.060:0.060)) - (IOPATH B Y (0.122:0.122:0.122) (0.051:0.051:0.051)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_2") - (INSTANCE _308_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.211:0.211:0.211) (0.056:0.056:0.056)) - (IOPATH B Y (0.192:0.192:0.192) (0.052:0.052:0.052)) - (IOPATH C Y (0.147:0.147:0.147) (0.032:0.032:0.032)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_2") - (INSTANCE _309_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.156:0.156:0.156) (0.144:0.144:0.144)) - (IOPATH B X (0.085:0.085:0.086) (0.121:0.121:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _310_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.053:0.053:0.053) (0.035:0.035:0.035)) - (IOPATH B Y (0.055:0.055:0.055) (0.039:0.039:0.039)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _311_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.089:0.089:0.089) (0.150:0.150:0.150)) - (IOPATH B X (0.083:0.083:0.083) (0.135:0.135:0.135)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_2") - (INSTANCE _312_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.114:0.114:0.114) (0.146:0.147:0.147)) - (IOPATH A2 X (0.000:0.000:0.000)) - (IOPATH A3 X (0.118:0.118:0.119) (0.174:0.176:0.177)) - (IOPATH B1 X (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_2") - (INSTANCE _313_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.163:0.163:0.163) (0.140:0.140:0.140)) - (IOPATH B X (0.082:0.082:0.082) (0.119:0.119:0.119)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _314_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.055:0.055:0.055) (0.040:0.040:0.040)) - (IOPATH B Y (0.059:0.059:0.059) (0.043:0.043:0.043)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _315_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.092:0.092:0.092) (0.151:0.151:0.151)) - (IOPATH B X (0.088:0.088:0.088) (0.138:0.138:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_2") - (INSTANCE _316_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.101:0.101:0.101) (0.138:0.138:0.138)) - (IOPATH A2 X (0.000:0.000:0.000)) - (IOPATH A3 X (0.104:0.105:0.105) (0.164:0.165:0.165)) - (IOPATH B1 X (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _317_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.084:0.084:0.084) (0.103:0.103:0.103)) - (IOPATH A Y (0.128:0.128:0.128) (0.054:0.054:0.054)) - (IOPATH B Y (0.082:0.082:0.082) (0.097:0.097:0.097)) - (IOPATH B Y (0.112:0.112:0.112) (0.047:0.047:0.047)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _318_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.078:0.078:0.078) (0.083:0.083:0.083)) - (IOPATH A Y (0.105:0.105:0.105) (0.048:0.048:0.048)) - (IOPATH B Y (0.079:0.079:0.079) (0.093:0.093:0.093)) - (IOPATH B Y (0.105:0.105:0.105) (0.044:0.044:0.044)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _319_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.148:0.148:0.148) (0.046:0.046:0.046)) - (IOPATH B Y (0.142:0.142:0.142) (0.041:0.041:0.041)) - (IOPATH C Y (0.116:0.116:0.116) (0.036:0.036:0.036)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _320_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.116:0.116:0.116) (0.151:0.151:0.151)) - (IOPATH A2 X (0.103:0.103:0.103) (0.139:0.139:0.139)) - (IOPATH B1 X (0.092:0.092:0.092) (0.095:0.095:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _321_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.095:0.096:0.097) (0.046:0.046:0.046)) - (IOPATH B Y (0.083:0.084:0.086) (0.035:0.035:0.035)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _322_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.083:0.083:0.083) (0.117:0.117:0.117)) - (IOPATH A2 X (0.076:0.076:0.076) (0.119:0.119:0.119)) - (IOPATH B1 X (0.066:0.066:0.066) (0.078:0.078:0.078)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _323_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.066:0.066:0.066) (0.098:0.098:0.098)) - (IOPATH A2 X (0.087:0.087:0.087) (0.121:0.123:0.124)) - (IOPATH B1 X (0.050:0.050:0.050) (0.064:0.066:0.067)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _324_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.075:0.075:0.075) (0.091:0.091:0.091)) - (IOPATH A Y (0.106:0.106:0.106) (0.046:0.046:0.046)) - (IOPATH B Y (0.073:0.073:0.073) (0.097:0.097:0.097)) - (IOPATH B Y (0.103:0.103:0.103) (0.037:0.037:0.037)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _325_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.090:0.090:0.090) (0.224:0.224:0.224)) - (IOPATH B X (0.089:0.089:0.089) (0.218:0.218:0.218)) - (IOPATH C X (0.077:0.077:0.077) (0.182:0.182:0.182)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _326_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.109:0.109:0.109) (0.056:0.056:0.056)) - (IOPATH A2 Y (0.110:0.110:0.110) (0.044:0.044:0.044)) - (IOPATH B1 Y (0.064:0.064:0.064) (0.034:0.034:0.034)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _327_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.038:0.038:0.038) (0.021:0.021:0.021)) - (IOPATH B Y (0.040:0.042:0.045) (0.027:0.028:0.029)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _328_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.089:0.089:0.089) (0.100:0.100:0.100)) - (IOPATH A Y (0.131:0.131:0.131) (0.057:0.057:0.057)) - (IOPATH B Y (0.088:0.088:0.088) (0.105:0.105:0.105)) - (IOPATH B Y (0.127:0.127:0.127) (0.053:0.053:0.053)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _329_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.105:0.105:0.105) (0.242:0.242:0.242)) - (IOPATH B X (0.108:0.108:0.108) (0.235:0.235:0.235)) - (IOPATH C X (0.102:0.102:0.102) (0.211:0.211:0.211)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _330_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.107:0.107:0.107) (0.053:0.053:0.053)) - (IOPATH A2 Y (0.107:0.107:0.107) (0.043:0.043:0.043)) - (IOPATH B1 Y (0.075:0.075:0.075) (0.033:0.033:0.033)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _331_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.046:0.046:0.046) (0.026:0.026:0.026)) - (IOPATH B Y (0.045:0.046:0.048) (0.030:0.031:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _332_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.097:0.097:0.097) (0.109:0.109:0.109)) - (IOPATH A Y (0.149:0.149:0.149) (0.065:0.065:0.065)) - (IOPATH B Y (0.094:0.094:0.094) (0.095:0.095:0.095)) - (IOPATH B Y (0.125:0.125:0.125) (0.058:0.058:0.058)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _333_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.091:0.091:0.091) (0.124:0.124:0.124)) - (IOPATH A Y (0.154:0.154:0.154) (0.062:0.062:0.062)) - (IOPATH B Y (0.089:0.089:0.089) (0.118:0.118:0.118)) - (IOPATH B Y (0.142:0.142:0.142) (0.053:0.053:0.053)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _334_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.086:0.086:0.086) (0.089:0.089:0.089)) - (IOPATH A Y (0.119:0.119:0.119) (0.054:0.054:0.054)) - (IOPATH B Y (0.085:0.085:0.085) (0.098:0.098:0.098)) - (IOPATH B Y (0.117:0.117:0.117) (0.050:0.050:0.050)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _335_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.156:0.156:0.156) (0.046:0.046:0.046)) - (IOPATH B Y (0.152:0.152:0.152) (0.039:0.039:0.039)) - (IOPATH C Y (0.129:0.129:0.129) (0.032:0.032:0.032)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _336_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.130:0.130:0.130) (0.172:0.172:0.172)) - (IOPATH A2 X (0.115:0.115:0.115) (0.165:0.165:0.165)) - (IOPATH B1 X (0.103:0.103:0.103) (0.117:0.117:0.117)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _337_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.079:0.080:0.081) (0.036:0.036:0.036)) - (IOPATH B Y (0.065:0.066:0.068) (0.028:0.028:0.028)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _338_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.089:0.089:0.089) (0.122:0.122:0.122)) - (IOPATH A2 X (0.079:0.079:0.079) (0.122:0.122:0.122)) - (IOPATH B1 X (0.069:0.069:0.069) (0.082:0.082:0.082)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _339_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.076:0.076:0.076) (0.105:0.105:0.105)) - (IOPATH A2 X (0.102:0.102:0.102) (0.137:0.138:0.139)) - (IOPATH B1 X (0.060:0.060:0.060) (0.071:0.073:0.074)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _340_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.100:0.100:0.100) (0.111:0.111:0.111)) - (IOPATH A Y (0.155:0.155:0.155) (0.070:0.070:0.070)) - (IOPATH B Y (0.098:0.098:0.098) (0.115:0.115:0.115)) - (IOPATH B Y (0.149:0.149:0.149) (0.064:0.064:0.064)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _341_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.092:0.092:0.092) (0.228:0.228:0.228)) - (IOPATH B X (0.090:0.090:0.090) (0.220:0.220:0.220)) - (IOPATH C X (0.083:0.083:0.083) (0.189:0.189:0.189)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _342_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.109:0.109:0.109) (0.054:0.054:0.054)) - (IOPATH A2 Y (0.108:0.108:0.108) (0.041:0.041:0.041)) - (IOPATH B1 Y (0.068:0.068:0.068) (0.033:0.033:0.033)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _343_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.050:0.050:0.050) (0.030:0.030:0.030)) - (IOPATH B Y (0.053:0.055:0.057) (0.037:0.038:0.040)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _344_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.082:0.082:0.082) (0.106:0.106:0.106)) - (IOPATH A Y (0.125:0.125:0.125) (0.052:0.052:0.052)) - (IOPATH B Y (0.075:0.075:0.075) (0.091:0.091:0.091)) - (IOPATH B Y (0.102:0.102:0.102) (0.041:0.041:0.041)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _345_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.103:0.103:0.103) (0.240:0.240:0.240)) - (IOPATH B X (0.088:0.088:0.088) (0.218:0.218:0.218)) - (IOPATH C X (0.092:0.092:0.092) (0.199:0.199:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _346_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.113:0.113:0.113) (0.055:0.055:0.055)) - (IOPATH A2 Y (0.096:0.096:0.096) (0.040:0.040:0.040)) - (IOPATH B1 Y (0.071:0.071:0.071) (0.032:0.032:0.032)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _347_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.053:0.053:0.053) (0.033:0.033:0.033)) - (IOPATH B Y (0.050:0.055:0.059) (0.037:0.039:0.041)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _348_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.077:0.077:0.077) (0.094:0.094:0.094)) - (IOPATH A Y (0.111:0.111:0.111) (0.048:0.048:0.048)) - (IOPATH B Y (0.075:0.075:0.075) (0.082:0.082:0.082)) - (IOPATH B Y (0.089:0.089:0.089) (0.040:0.040:0.040)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _349_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.207:0.207:0.207) (0.076:0.076:0.076)) - (IOPATH B Y (0.163:0.163:0.163) (0.050:0.050:0.050)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_1") - (INSTANCE _350_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.250:0.250:0.250) (0.127:0.127:0.127)) - (IOPATH A2 Y (0.247:0.247:0.247) (0.120:0.120:0.120)) - (IOPATH B1 Y (0.157:0.157:0.157) (0.107:0.107:0.107)) - (IOPATH C1 Y (0.140:0.140:0.140) (0.100:0.100:0.100)) - (IOPATH D1 Y (0.117:0.120:0.122) (0.102:0.102:0.102)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _351_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.110:0.114:0.117) (0.046:0.047:0.048)) - (IOPATH A2 Y (0.106:0.106:0.106) (0.049:0.049:0.049)) - (IOPATH B1 Y (0.089:0.089:0.089) (0.027:0.027:0.027)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _352_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.097:0.097:0.097) (0.050:0.050:0.050)) - (IOPATH A2 Y (0.106:0.110:0.114) (0.042:0.041:0.040)) - (IOPATH B1 Y (0.053:0.058:0.064) (0.030:0.030:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _353_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.104:0.104:0.104) (0.052:0.052:0.052)) - (IOPATH A2 Y (0.091:0.092:0.094) (0.040:0.040:0.040)) - (IOPATH B1 Y (0.053:0.053:0.053) (0.030:0.030:0.030)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4b_1") - (INSTANCE _354_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.089:0.089:0.089) (0.123:0.123:0.123)) - (IOPATH B Y (0.073:0.073:0.073) (0.064:0.064:0.064)) - (IOPATH C Y (0.073:0.076:0.079) (0.063:0.063:0.063)) - (IOPATH D Y (0.067:0.067:0.067) (0.056:0.056:0.056)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _355_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.042:0.044:0.046) (0.030:0.032:0.034)) - (IOPATH B Y (0.053:0.056:0.058) (0.034:0.035:0.036)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _356_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.072:0.072:0.072) (0.088:0.088:0.088)) - (IOPATH B Y (0.070:0.070:0.070) (0.040:0.040:0.040)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _357_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.086:0.086:0.086) (0.112:0.112:0.112)) - (IOPATH B Y (0.056:0.056:0.056) (0.041:0.041:0.041)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _358_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.049:0.051:0.053) (0.038:0.039:0.041)) - (IOPATH B Y (0.060:0.060:0.060) (0.045:0.046:0.046)) - (IOPATH C Y (0.060:0.060:0.060) (0.049:0.049:0.049)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _359_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.089:0.089:0.089) (0.038:0.038:0.038)) - (IOPATH B Y (0.066:0.066:0.066) (0.028:0.028:0.028)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _360_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.093:0.093:0.093) (0.105:0.105:0.105)) - (IOPATH B X (0.084:0.084:0.084) (0.098:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _361_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.085:0.088:0.090) (0.044:0.044:0.044)) - (IOPATH A2 Y (0.077:0.077:0.077) (0.033:0.033:0.033)) - (IOPATH B1 Y (0.057:0.059:0.062) (0.030:0.030:0.030)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _362_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.089:0.089:0.089) (0.134:0.134:0.134)) - (IOPATH A2 X (0.092:0.092:0.092) (0.128:0.131:0.133)) - (IOPATH B1 X (0.078:0.079:0.081) (0.111:0.111:0.111)) - (IOPATH B2 X (0.070:0.072:0.074) (0.093:0.096:0.099)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _363_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.085:0.085:0.085) (0.113:0.113:0.113)) - (IOPATH B Y (0.077:0.083:0.089) (0.050:0.054:0.059)) - (IOPATH C Y (0.090:0.090:0.090) (0.059:0.059:0.059)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _364_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.081:0.081:0.081) (0.035:0.035:0.035)) - (IOPATH A2 Y (0.083:0.089:0.095) (0.038:0.039:0.039)) - (IOPATH B1 Y (0.052:0.055:0.059) (0.027:0.027:0.028)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _365_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.074:0.074:0.074) (0.103:0.103:0.103)) - (IOPATH B Y (0.065:0.071:0.076) (0.040:0.042:0.044)) - (IOPATH C Y (0.063:0.063:0.063) (0.044:0.044:0.044)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _366_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.086:0.086:0.086) (0.037:0.037:0.037)) - (IOPATH A2 Y (0.088:0.094:0.100) (0.040:0.042:0.043)) - (IOPATH B1 Y (0.051:0.055:0.059) (0.026:0.027:0.029)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _367_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.093:0.093:0.093) (0.154:0.154:0.154)) - (IOPATH A1 X (0.076:0.076:0.076) (0.148:0.148:0.148)) - (IOPATH S X (0.141:0.142:0.143) (0.188:0.188:0.188)) - (IOPATH S X (0.094:0.094:0.094) (0.191:0.191:0.192)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _368_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.096:0.096:0.096) (0.157:0.157:0.157)) - (IOPATH A1 X (0.074:0.074:0.075) (0.148:0.149:0.149)) - (IOPATH S X (0.143:0.144:0.145) (0.191:0.191:0.191)) - (IOPATH S X (0.097:0.097:0.097) (0.194:0.194:0.195)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _369_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.101:0.101:0.101) (0.050:0.050:0.050)) - (IOPATH A2 Y (0.084:0.086:0.089) (0.039:0.039:0.039)) - (IOPATH B1 Y (0.049:0.049:0.050) (0.023:0.023:0.023)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31ai_1") - (INSTANCE _370_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.162:0.162:0.162) (0.054:0.054:0.054)) - (IOPATH A2 Y (0.142:0.142:0.142) (0.038:0.038:0.038)) - (IOPATH A3 Y (0.126:0.128:0.130) (0.043:0.043:0.043)) - (IOPATH B1 Y (0.035:0.039:0.043) (0.024:0.026:0.027)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _371_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.109:0.109:0.109) (0.054:0.054:0.054)) - (IOPATH A2 Y (0.092:0.094:0.096) (0.044:0.044:0.044)) - (IOPATH B1_N Y (0.069:0.069:0.069) (0.089:0.089:0.089)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _372_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.103:0.103:0.103) (0.198:0.198:0.198)) - (IOPATH A2 X (0.103:0.103:0.103) (0.192:0.192:0.192)) - (IOPATH A3 X (0.090:0.090:0.090) (0.153:0.155:0.157)) - (IOPATH B1 X (0.067:0.070:0.073) (0.063:0.066:0.068)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _373_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.128:0.128:0.128) (0.063:0.063:0.063)) - (IOPATH A2 Y (0.111:0.113:0.115) (0.054:0.054:0.054)) - (IOPATH B1_N Y (0.075:0.075:0.075) (0.091:0.092:0.092)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _374_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.103:0.103:0.103) (0.200:0.200:0.200)) - (IOPATH A2 X (0.102:0.102:0.102) (0.193:0.193:0.193)) - (IOPATH A3 X (0.091:0.091:0.091) (0.155:0.157:0.159)) - (IOPATH B1 X (0.070:0.073:0.076) (0.066:0.069:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _375_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.082:0.082:0.083) (0.153:0.153:0.153)) - (IOPATH A1 X (0.105:0.105:0.105) (0.164:0.164:0.164)) - (IOPATH S X (0.153:0.153:0.153) (0.202:0.202:0.202)) - (IOPATH S X (0.108:0.108:0.108) (0.204:0.204:0.204)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _376_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.081:0.082:0.082) (0.153:0.153:0.153)) - (IOPATH A1 X (0.105:0.105:0.105) (0.174:0.174:0.174)) - (IOPATH S X (0.151:0.151:0.151) (0.201:0.201:0.201)) - (IOPATH S X (0.106:0.106:0.106) (0.203:0.203:0.203)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor4_1") - (INSTANCE _377_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.206:0.206:0.206) (0.048:0.048:0.048)) - (IOPATH B Y (0.199:0.199:0.199) (0.046:0.046:0.046)) - (IOPATH C Y (0.169:0.169:0.169) (0.042:0.042:0.042)) - (IOPATH D Y (0.133:0.133:0.133) (0.034:0.034:0.034)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _378_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.106:0.106:0.106) (0.201:0.201:0.201)) - (IOPATH A2 X (0.104:0.104:0.104) (0.192:0.192:0.192)) - (IOPATH A3 X (0.093:0.093:0.093) (0.155:0.155:0.155)) - (IOPATH B1 X (0.077:0.077:0.077) (0.076:0.076:0.076)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _379_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.092:0.092:0.093) (0.142:0.145:0.147)) - (IOPATH B X (0.075:0.075:0.076) (0.127:0.130:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _380_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.091:0.091:0.091) (0.153:0.153:0.153)) - (IOPATH A1 X (0.079:0.079:0.079) (0.154:0.154:0.154)) - (IOPATH S X (0.147:0.147:0.147) (0.202:0.202:0.202)) - (IOPATH S X (0.108:0.108:0.108) (0.200:0.200:0.200)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _381_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.129:0.129:0.129) (0.059:0.059:0.059)) - (IOPATH A2 Y (0.108:0.109:0.110) (0.046:0.046:0.046)) - (IOPATH B1 Y (0.061:0.061:0.061) (0.035:0.035:0.035)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4b_1") - (INSTANCE _382_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.085:0.085:0.085) (0.121:0.121:0.121)) - (IOPATH B Y (0.067:0.067:0.067) (0.058:0.058:0.058)) - (IOPATH C Y (0.067:0.070:0.073) (0.059:0.059:0.059)) - (IOPATH D Y (0.062:0.062:0.062) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _383_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.040:0.044:0.048) (0.028:0.029:0.030)) - (IOPATH B Y (0.047:0.049:0.052) (0.030:0.031:0.032)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _384_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.085:0.085:0.085) (0.109:0.109:0.109)) - (IOPATH B Y (0.052:0.052:0.052) (0.039:0.039:0.039)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _385_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.077:0.077:0.077) (0.091:0.091:0.091)) - (IOPATH B Y (0.068:0.068:0.068) (0.045:0.045:0.045)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _386_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.080:0.080:0.080) (0.093:0.093:0.093)) - (IOPATH B Y (0.078:0.078:0.078) (0.049:0.049:0.049)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _387_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.087:0.087:0.087) (0.125:0.125:0.125)) - (IOPATH B Y (0.052:0.052:0.052) (0.039:0.039:0.039)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _388_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.084:0.086:0.088) (0.070:0.070:0.070)) - (IOPATH B Y (0.074:0.075:0.076) (0.056:0.056:0.057)) - (IOPATH C Y (0.071:0.072:0.072) (0.057:0.057:0.057)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _389_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.064:0.064:0.065) (0.051:0.051:0.051)) - (IOPATH B Y (0.076:0.080:0.083) (0.058:0.058:0.059)) - (IOPATH C Y (0.073:0.073:0.073) (0.060:0.060:0.060)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _390_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.107:0.107:0.107) (0.160:0.160:0.160)) - (IOPATH A2 X (0.095:0.095:0.095) (0.141:0.143:0.145)) - (IOPATH B1 X (0.084:0.084:0.084) (0.116:0.122:0.127)) - (IOPATH B2 X (0.074:0.074:0.074) (0.104:0.104:0.105)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _391_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.084:0.084:0.084) (0.115:0.115:0.115)) - (IOPATH B Y (0.075:0.082:0.089) (0.047:0.051:0.055)) - (IOPATH C Y (0.090:0.090:0.090) (0.056:0.056:0.056)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _392_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.089:0.089:0.090) (0.038:0.038:0.039)) - (IOPATH A2 Y (0.092:0.102:0.111) (0.042:0.043:0.043)) - (IOPATH B1 Y (0.055:0.059:0.063) (0.028:0.029:0.030)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _393_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.095:0.095:0.095) (0.124:0.124:0.124)) - (IOPATH B Y (0.087:0.094:0.101) (0.057:0.063:0.069)) - (IOPATH C Y (0.090:0.090:0.090) (0.066:0.066:0.066)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _394_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.083:0.083:0.083) (0.036:0.036:0.036)) - (IOPATH A2 Y (0.088:0.097:0.107) (0.040:0.040:0.040)) - (IOPATH B1 Y (0.056:0.060:0.064) (0.029:0.029:0.029)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _395_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.098:0.098:0.098) (0.160:0.160:0.160)) - (IOPATH A1 X (0.078:0.078:0.078) (0.152:0.152:0.152)) - (IOPATH S X (0.143:0.144:0.145) (0.193:0.193:0.193)) - (IOPATH S X (0.097:0.097:0.097) (0.195:0.195:0.196)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _396_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.094:0.094:0.094) (0.156:0.156:0.156)) - (IOPATH A1 X (0.076:0.076:0.076) (0.148:0.148:0.148)) - (IOPATH S X (0.138:0.139:0.140) (0.187:0.187:0.187)) - (IOPATH S X (0.092:0.092:0.092) (0.189:0.189:0.190)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _397_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.158:0.158:0.158) (0.078:0.078:0.078)) - (IOPATH A2 Y (0.138:0.140:0.142) (0.067:0.067:0.067)) - (IOPATH B1 Y (0.080:0.080:0.080) (0.037:0.037:0.037)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31ai_1") - (INSTANCE _398_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.247:0.247:0.247) (0.083:0.083:0.083)) - (IOPATH A2 Y (0.213:0.213:0.213) (0.056:0.056:0.056)) - (IOPATH A3 Y (0.210:0.211:0.213) (0.070:0.070:0.070)) - (IOPATH B1 Y (0.066:0.073:0.080) (0.046:0.049:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _399_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.149:0.149:0.149) (0.070:0.070:0.070)) - (IOPATH A2 Y (0.129:0.131:0.133) (0.058:0.058:0.058)) - (IOPATH B1_N Y (0.079:0.079:0.080) (0.095:0.095:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _400_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.113:0.113:0.113) (0.217:0.217:0.217)) - (IOPATH A2 X (0.110:0.110:0.110) (0.199:0.199:0.199)) - (IOPATH A3 X (0.098:0.098:0.098) (0.170:0.171:0.173)) - (IOPATH B1 X (0.077:0.080:0.083) (0.069:0.075:0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _401_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.137:0.137:0.137) (0.067:0.067:0.067)) - (IOPATH A2 Y (0.130:0.132:0.134) (0.059:0.059:0.059)) - (IOPATH B1_N Y (0.087:0.087:0.087) (0.102:0.102:0.103)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _402_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.110:0.110:0.110) (0.215:0.215:0.215)) - (IOPATH A2 X (0.104:0.104:0.104) (0.192:0.192:0.192)) - (IOPATH A3 X (0.095:0.095:0.095) (0.168:0.170:0.172)) - (IOPATH B1 X (0.074:0.076:0.079) (0.069:0.074:0.078)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_1") - (INSTANCE _403_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.202:0.202:0.202) (0.104:0.104:0.104)) - (IOPATH A2 Y (0.196:0.196:0.196) (0.095:0.095:0.095)) - (IOPATH B1 Y (0.128:0.128:0.128) (0.084:0.084:0.084)) - (IOPATH C1 Y (0.111:0.111:0.111) (0.083:0.083:0.083)) - (IOPATH D1 Y (0.088:0.089:0.091) (0.074:0.074:0.074)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _404_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.098:0.100:0.103) (0.041:0.043:0.045)) - (IOPATH A2 Y (0.105:0.105:0.105) (0.049:0.049:0.049)) - (IOPATH B1 Y (0.070:0.070:0.070) (0.028:0.028:0.028)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _405_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.101:0.101:0.101) (0.052:0.052:0.052)) - (IOPATH A2 Y (0.099:0.101:0.104) (0.041:0.042:0.043)) - (IOPATH B1 Y (0.054:0.057:0.061) (0.030:0.031:0.032)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _406_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.082:0.082:0.082) (0.152:0.153:0.153)) - (IOPATH A1 X (0.102:0.102:0.102) (0.161:0.161:0.161)) - (IOPATH S X (0.154:0.154:0.154) (0.201:0.201:0.201)) - (IOPATH S X (0.108:0.108:0.108) (0.204:0.204:0.204)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _407_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.073:0.073:0.073) (0.144:0.144:0.144)) - (IOPATH A1 X (0.097:0.097:0.097) (0.163:0.163:0.163)) - (IOPATH S X (0.146:0.146:0.146) (0.193:0.193:0.193)) - (IOPATH S X (0.100:0.100:0.100) (0.197:0.197:0.197)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor4_1") - (INSTANCE _408_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.211:0.211:0.211) (0.048:0.048:0.048)) - (IOPATH B Y (0.189:0.189:0.189) (0.043:0.043:0.043)) - (IOPATH C Y (0.160:0.160:0.160) (0.039:0.039:0.039)) - (IOPATH D Y (0.123:0.123:0.123) (0.032:0.032:0.032)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _409_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.094:0.094:0.094) (0.202:0.202:0.202)) - (IOPATH A2 X (0.087:0.087:0.087) (0.175:0.175:0.175)) - (IOPATH A3 X (0.076:0.076:0.076) (0.140:0.140:0.140)) - (IOPATH B1 X (0.060:0.060:0.060) (0.066:0.066:0.066)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _410_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.085:0.085:0.085) (0.136:0.141:0.146)) - (IOPATH B X (0.063:0.063:0.064) (0.120:0.122:0.124)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _412__9) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.047:0.047:0.047) (0.002:0.002:0.002)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_ext_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (-0.013:-0.013:-0.013) (1.158:1.158:1.158)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _414__6) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.029:0.029:0.029) (0.011:0.011:0.011)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _266__7) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.028:0.028:0.028) (0.014:0.014:0.014)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _416__3) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.028:0.028:0.028) (0.012:0.012:0.012)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _268__4) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.029:0.029:0.029) (0.011:0.011:0.011)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _417_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.295:0.295:0.295) (0.211:0.211:0.211)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.101:0.101:0.101)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.087:-0.087:-0.087)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.013)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.044:0.044:0.044)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _418_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.298:0.298:0.298) (0.213:0.213:0.213)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.100:0.100:0.100)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.085:-0.085:-0.085)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.013)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.044:0.044:0.044)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _419_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.301:0.301:0.301) (0.216:0.216:0.216)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.102:0.102:0.102)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.087:-0.087:-0.087)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.006:-0.006:-0.006)) - (SETUP (posedge D) (posedge CLK) (0.030:0.030:0.030)) - (SETUP (negedge D) (posedge CLK) (0.037:0.037:0.037)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _420_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.269:0.269:0.269) (0.272:0.272:0.272)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.226:0.226:0.226)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033)) - (SETUP (posedge D) (posedge CLK) (0.035:0.035:0.035)) - (SETUP (negedge D) (posedge CLK) (0.074:0.074:0.074)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _421_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.233:0.233:0.233) (0.248:0.248:0.248)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.241:0.241:0.241)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.119:-0.119:-0.119)) - (HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026)) - (HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041)) - (SETUP (negedge D) (posedge CLK) (0.083:0.083:0.083)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _422_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.357:0.357:0.357) (0.250:0.250:0.250)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.106:0.106:0.106)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.091:-0.091:-0.091)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.022:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.011:-0.014:-0.018)) - (SETUP (posedge D) (posedge CLK) (0.033:0.035:0.037)) - (SETUP (negedge D) (posedge CLK) (0.042:0.046:0.050)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _423_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.216:0.216:0.216) (0.237:0.237:0.237)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.237:0.237:0.237)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.122:-0.122:-0.122)) - (HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022)) - (HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031)) - (SETUP (posedge D) (posedge CLK) (0.037:0.037:0.037)) - (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _424_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.211:0.211:0.211) (0.202:0.202:0.202)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.058:-0.058:-0.058)) - (SETUP (posedge D) (posedge CLK) (0.039:0.039:0.039)) - (SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _425_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.223:0.223:0.223) (0.209:0.209:0.209)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.057:-0.057:-0.057)) - (SETUP (posedge D) (posedge CLK) (0.038:0.038:0.038)) - (SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _426_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.217:0.217:0.217) (0.205:0.205:0.205)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.054:-0.054:-0.054)) - (SETUP (posedge D) (posedge CLK) (0.037:0.037:0.037)) - (SETUP (negedge D) (posedge CLK) (0.085:0.085:0.085)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _427_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.349:0.349:0.349) (0.246:0.246:0.246)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.104:0.104:0.104)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.090:-0.090:-0.090)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.010:-0.011:-0.012)) - (SETUP (posedge D) (posedge CLK) (0.032:0.033:0.033)) - (SETUP (negedge D) (posedge CLK) (0.042:0.043:0.044)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _428_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.228:0.228:0.228) (0.245:0.245:0.245)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.226:0.226:0.226)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.031)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.070:0.071:0.071)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _429_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.355:0.355:0.355) (0.276:0.276:0.276)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.307:0.307:0.307)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.182:-0.182:-0.182)) - (HOLD (posedge D) (negedge CLK_N) (0.057:0.056:0.055)) - (HOLD (negedge D) (negedge CLK_N) (-0.021:-0.024:-0.027)) - (SETUP (posedge D) (negedge CLK_N) (-0.031:-0.029:-0.027)) - (SETUP (negedge D) (negedge CLK_N) (0.074:0.077:0.080)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _430_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.385:0.385:0.385) (0.258:0.258:0.258)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.100:0.100:0.100)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.086:-0.086:-0.086)) - (HOLD (posedge D) (posedge CLK) (-0.022:-0.024:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.014:-0.016:-0.019)) - (SETUP (posedge D) (posedge CLK) (0.035:0.037:0.038)) - (SETUP (negedge D) (posedge CLK) (0.045:0.048:0.051)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _431_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.296:0.296:0.296) (0.241:0.241:0.241)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.301:0.301:0.301)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.184:-0.184:-0.184)) - (HOLD (posedge D) (negedge CLK_N) (0.056:0.055:0.054)) - (HOLD (negedge D) (negedge CLK_N) (-0.021:-0.025:-0.028)) - (SETUP (posedge D) (negedge CLK_N) (-0.029:-0.027:-0.025)) - (SETUP (negedge D) (negedge CLK_N) (0.074:0.078:0.082)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _432_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.372:0.372:0.372) (0.250:0.250:0.250)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.100:0.100:0.100)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.086:-0.086:-0.086)) - (HOLD (posedge D) (posedge CLK) (-0.022:-0.023:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.013:-0.015:-0.017)) - (SETUP (posedge D) (posedge CLK) (0.035:0.036:0.038)) - (SETUP (negedge D) (posedge CLK) (0.045:0.047:0.049)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _433_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.324:0.324:0.324) (0.259:0.259:0.259)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.307:0.307:0.307)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.182:-0.182:-0.182)) - (HOLD (posedge D) (negedge CLK_N) (0.058:0.058:0.058)) - (HOLD (negedge D) (negedge CLK_N) (-0.025:-0.025:-0.025)) - (SETUP (posedge D) (negedge CLK_N) (-0.032:-0.032:-0.032)) - (SETUP (negedge D) (negedge CLK_N) (0.078:0.078:0.079)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _434_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.353:0.353:0.353) (0.245:0.245:0.245)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.101:0.101:0.101)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.084:-0.084:-0.084)) - (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019)) - (HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.013)) - (SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033)) - (SETUP (negedge D) (posedge CLK) (0.051:0.051:0.052)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _435_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.330:0.330:0.330) (0.260:0.260:0.260)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.307:0.307:0.307)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.182:-0.182:-0.182)) - (HOLD (posedge D) (negedge CLK_N) (0.058:0.058:0.058)) - (HOLD (negedge D) (negedge CLK_N) (-0.026:-0.026:-0.026)) - (SETUP (posedge D) (negedge CLK_N) (-0.032:-0.032:-0.032)) - (SETUP (negedge D) (negedge CLK_N) (0.079:0.079:0.079)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _436_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.289:0.289:0.289) (0.296:0.296:0.296)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.226:0.226:0.226)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.111:-0.111:-0.111)) - (HOLD (posedge D) (posedge CLK) (-0.019:-0.023:-0.026)) - (HOLD (negedge D) (posedge CLK) (-0.024:-0.027:-0.031)) - (SETUP (posedge D) (posedge CLK) (0.035:0.040:0.045)) - (SETUP (negedge D) (posedge CLK) (0.067:0.071:0.075)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _437_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.428:0.428:0.428) (0.284:0.284:0.284)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.105:0.105:0.105)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.091:-0.091:-0.091)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (HOLD (negedge D) (posedge CLK) (-0.009:-0.012:-0.014)) - (SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033)) - (SETUP (negedge D) (posedge CLK) (0.041:0.043:0.046)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _438_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.305:0.305:0.305) (0.291:0.291:0.291)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.238:0.238:0.238)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.119:-0.119:-0.119)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.027:-0.030:-0.033)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.035)) - (SETUP (negedge D) (posedge CLK) (0.067:0.070:0.073)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _439_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.382:0.382:0.382) (0.266:0.266:0.266)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.106:0.106:0.106)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.090:-0.090:-0.090)) - (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019)) - (HOLD (negedge D) (posedge CLK) (-0.011:-0.011:-0.011)) - (SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033)) - (SETUP (negedge D) (posedge CLK) (0.050:0.050:0.050)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _440_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.297:0.297:0.297) (0.287:0.287:0.287)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.232:0.232:0.232)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.126:-0.126:-0.126)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.074:0.074:0.074)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _441_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.252:0.252:0.252) (0.261:0.261:0.261)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.232:0.232:0.232)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.126:-0.126:-0.126)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.073:0.073:0.073)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _442_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.196:0.196:0.196) (0.220:0.220:0.220)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.223:0.223:0.223)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125:-0.125:-0.125)) - (HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030)) - (HOLD (negedge D) (posedge CLK) (-0.063:-0.063:-0.063)) - (SETUP (posedge D) (posedge CLK) (0.046:0.046:0.046)) - (SETUP (negedge D) (posedge CLK) (0.106:0.106:0.106)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _443_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.298:0.298:0.298) (0.214:0.214:0.214)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.101:0.101:0.101)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.087:-0.087:-0.087)) - (HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029)) - (HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043)) - (SETUP (negedge D) (posedge CLK) (0.073:0.073:0.073)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _444_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.195:0.195:0.195) (0.218:0.218:0.218)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.222:0.222:0.222)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.124:-0.124)) - (HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029)) - (HOLD (negedge D) (posedge CLK) (-0.063:-0.063:-0.063)) - (SETUP (posedge D) (posedge CLK) (0.046:0.046:0.046)) - (SETUP (negedge D) (posedge CLK) (0.106:0.106:0.106)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _445_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.200:0.200:0.200) (0.222:0.222:0.222)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.223:0.223:0.223)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125:-0.125:-0.125)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.071)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _446_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.405:0.405:0.405) (0.269:0.269:0.269)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.101:0.101:0.101)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.087:-0.087:-0.087)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.011:-0.011:-0.011)) - (SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033)) - (SETUP (negedge D) (posedge CLK) (0.042:0.042:0.042)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _447_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.269:0.269:0.269) (0.268:0.268:0.268)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.223:0.223:0.223)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125:-0.125:-0.125)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.071)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _448_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.223:0.223:0.223) (0.210:0.210:0.210)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.017:-0.017:-0.017)) - (HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (SETUP (posedge D) (posedge CLK) (0.029:0.029:0.029)) - (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _449_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.208:0.208:0.208) (0.201:0.201:0.201)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.056:-0.056:-0.056)) - (SETUP (posedge D) (posedge CLK) (0.038:0.038:0.038)) - (SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _450_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.218:0.218:0.218) (0.206:0.206:0.206)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030)) - (HOLD (negedge D) (posedge CLK) (-0.071:-0.071:-0.071)) - (SETUP (posedge D) (posedge CLK) (0.045:0.045:0.045)) - (SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _451_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.215:0.215:0.215) (0.204:0.204:0.204)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.035:0.035:0.035)) - (SETUP (negedge D) (posedge CLK) (0.079:0.079:0.079)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _452_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.356:0.356:0.356) (0.250:0.250:0.250)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.106:0.106:0.106)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.091:-0.091:-0.091)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.009:-0.010:-0.012)) - (SETUP (posedge D) (posedge CLK) (0.032:0.032:0.032)) - (SETUP (negedge D) (posedge CLK) (0.040:0.042:0.043)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _453_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.253:0.253:0.253) (0.262:0.262:0.262)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.241:0.241:0.241)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.118:-0.118:-0.118)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.030:-0.031:-0.031)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.035)) - (SETUP (negedge D) (posedge CLK) (0.070:0.071:0.071)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _454_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.362:0.362:0.362) (0.280:0.280:0.280)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.311:0.311:0.311)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.177:-0.177:-0.177)) - (HOLD (posedge D) (negedge CLK_N) (0.056:0.055:0.054)) - (HOLD (negedge D) (negedge CLK_N) (-0.022:-0.026:-0.030)) - (SETUP (posedge D) (negedge CLK_N) (-0.029:-0.027:-0.025)) - (SETUP (negedge D) (negedge CLK_N) (0.075:0.079:0.083)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _455_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.401:0.401:0.401) (0.266:0.266:0.266)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.101:0.101:0.101)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.086:-0.086:-0.086)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.022:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.013:-0.016:-0.019)) - (SETUP (posedge D) (posedge CLK) (0.034:0.036:0.037)) - (SETUP (negedge D) (posedge CLK) (0.044:0.047:0.050)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _456_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.325:0.325:0.325) (0.258:0.258:0.258)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.311:0.311:0.311)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.177:-0.177:-0.177)) - (HOLD (posedge D) (negedge CLK_N) (0.056:0.055:0.054)) - (HOLD (negedge D) (negedge CLK_N) (-0.023:-0.026:-0.029)) - (SETUP (posedge D) (negedge CLK_N) (-0.029:-0.027:-0.025)) - (SETUP (negedge D) (negedge CLK_N) (0.076:0.079:0.083)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _457_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.352:0.352:0.352) (0.243:0.243:0.243)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.102:0.102:0.102)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.087:-0.087:-0.087)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.023:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.012:-0.015:-0.018)) - (SETUP (posedge D) (posedge CLK) (0.034:0.036:0.037)) - (SETUP (negedge D) (posedge CLK) (0.043:0.046:0.049)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _458_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.324:0.324:0.324) (0.259:0.259:0.259)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.311:0.311:0.311)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.177:-0.177:-0.177)) - (HOLD (posedge D) (negedge CLK_N) (0.057:0.056:0.056)) - (HOLD (negedge D) (negedge CLK_N) (-0.027:-0.028:-0.028)) - (SETUP (posedge D) (negedge CLK_N) (-0.030:-0.030:-0.030)) - (SETUP (negedge D) (negedge CLK_N) (0.081:0.081:0.081)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _459_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.362:0.362:0.362) (0.250:0.250:0.250)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.101:0.101:0.101)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.084:-0.084:-0.084)) - (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019)) - (HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.013)) - (SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033)) - (SETUP (negedge D) (posedge CLK) (0.051:0.051:0.051)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _460_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.335:0.335:0.335) (0.263:0.263:0.263)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.311:0.311:0.311)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.177:-0.177:-0.177)) - (HOLD (posedge D) (negedge CLK_N) (0.057:0.057:0.057)) - (HOLD (negedge D) (negedge CLK_N) (-0.026:-0.026:-0.027)) - (SETUP (posedge D) (negedge CLK_N) (-0.031:-0.031:-0.031)) - (SETUP (negedge D) (negedge CLK_N) (0.079:0.080:0.080)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _461_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.302:0.302:0.302) (0.333:0.333:0.333)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.241:0.241:0.241)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.086:-0.086:-0.086)) - (HOLD (posedge D) (posedge CLK) (-0.022:-0.027:-0.033)) - (HOLD (negedge D) (posedge CLK) (-0.030:-0.034:-0.039)) - (SETUP (posedge D) (posedge CLK) (0.040:0.048:0.055)) - (SETUP (negedge D) (posedge CLK) (0.074:0.079:0.084)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _462_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.435:0.435:0.435) (0.288:0.288:0.288)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.105:0.105:0.105)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.090:-0.090:-0.090)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.022)) - (HOLD (negedge D) (posedge CLK) (-0.011:-0.013:-0.015)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.042:0.045:0.047)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _463_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.294:0.294:0.294) (0.284:0.284:0.284)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.240:0.240:0.240)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.117:-0.117:-0.117)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (HOLD (negedge D) (posedge CLK) (-0.028:-0.031:-0.034)) - (SETUP (posedge D) (posedge CLK) (0.035:0.035:0.035)) - (SETUP (negedge D) (posedge CLK) (0.068:0.071:0.074)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _464_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.362:0.362:0.362) (0.252:0.252:0.252)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.104:0.104:0.104)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.090:-0.090:-0.090)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.022:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.011:-0.013:-0.016)) - (SETUP (posedge D) (posedge CLK) (0.034:0.035:0.037)) - (SETUP (negedge D) (posedge CLK) (0.042:0.045:0.048)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _465_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.378:0.378:0.378) (0.264:0.264:0.264)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.107:0.107:0.107)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.090:-0.090:-0.090)) - (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019)) - (HOLD (negedge D) (posedge CLK) (-0.011:-0.011:-0.011)) - (SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033)) - (SETUP (negedge D) (posedge CLK) (0.050:0.050:0.050)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _466_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.286:0.286:0.286) (0.280:0.280:0.280)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.241:0.241:0.241)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.118:-0.118:-0.118)) - (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019)) - (HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.033)) - (SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033)) - (SETUP (negedge D) (posedge CLK) (0.073:0.073:0.073)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _467_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.242:0.242:0.242) (0.254:0.254:0.254)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.239:0.239:0.239)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.120:-0.120:-0.120)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _468_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.198:0.198:0.198) (0.221:0.221:0.221)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.235:0.235:0.235)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.115:-0.115:-0.115)) - (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027)) - (HOLD (negedge D) (posedge CLK) (-0.055:-0.055:-0.055)) - (SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043)) - (SETUP (negedge D) (posedge CLK) (0.097:0.097:0.097)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _469_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.297:0.297:0.297) (0.213:0.213:0.213)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.102:0.102:0.102)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.087:-0.087:-0.087)) - (HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029)) - (HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043)) - (SETUP (negedge D) (posedge CLK) (0.073:0.073:0.073)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _470_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.196:0.196:0.196) (0.219:0.219:0.219)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.235:0.235:0.235)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.116:-0.116:-0.116)) - (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027)) - (HOLD (negedge D) (posedge CLK) (-0.057:-0.057:-0.057)) - (SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043)) - (SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _471_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.242:0.242:0.242) (0.253:0.253:0.253)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.235:0.235:0.235)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.116:-0.116:-0.116)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _472_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.402:0.402:0.402) (0.267:0.267:0.267)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.102:0.102:0.102)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.087:-0.087:-0.087)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.011:-0.011:-0.011)) - (SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033)) - (SETUP (negedge D) (posedge CLK) (0.043:0.043:0.043)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _473_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.256:0.256:0.256) (0.260:0.260:0.260)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.235:0.235:0.235)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.116:-0.116:-0.116)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _271__1) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.029:0.029:0.029) (0.012:0.012:0.012)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout18) - (DELAY - (ABSOLUTE - (IOPATH A X (0.201:0.201:0.201) (0.168:0.168:0.168)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout17) - (DELAY - (ABSOLUTE - (IOPATH A X (0.184:0.184:0.184) (0.158:0.158:0.158)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE fanout16) - (DELAY - (ABSOLUTE - (IOPATH A X (0.158:0.158:0.158) (0.134:0.134:0.134)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout15) - (DELAY - (ABSOLUTE - (IOPATH A X (0.226:0.226:0.226) (0.183:0.183:0.183)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout14) - (DELAY - (ABSOLUTE - (IOPATH A X (0.250:0.250:0.250) (0.198:0.198:0.198)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout13) - (DELAY - (ABSOLUTE - (IOPATH A X (0.228:0.228:0.228) (0.185:0.185:0.185)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE user_clk_out_buffer) - (DELAY - (ABSOLUTE - (IOPATH A X (0.190:0.190:0.190) (0.172:0.173:0.174)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output11) - (DELAY - (ABSOLUTE - (IOPATH A X (0.186:0.186:0.186) (0.136:0.141:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input9) - (DELAY - (ABSOLUTE - (IOPATH A X (-0.258:-0.258:-0.258) (0.943:0.943:0.943)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input8) - (DELAY - (ABSOLUTE - (IOPATH A X (-0.265:-0.265:-0.265) (0.938:0.938:0.938)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input7) - (DELAY - (ABSOLUTE - (IOPATH A X (-0.251:-0.251:-0.251) (0.949:0.949:0.949)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input6) - (DELAY - (ABSOLUTE - (IOPATH A X (-0.308:-0.308:-0.308) (0.902:0.902:0.902)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input5) - (DELAY - (ABSOLUTE - (IOPATH A X (-0.266:-0.266:-0.266) (0.937:0.937:0.937)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input4) - (DELAY - (ABSOLUTE - (IOPATH A X (-0.326:-0.326:-0.326) (0.887:0.887:0.887)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input3) - (DELAY - (ABSOLUTE - (IOPATH A X (-0.276:-0.276:-0.276) (0.924:0.924:0.924)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input2) - (DELAY - (ABSOLUTE - (IOPATH A X (-0.271:-0.271:-0.271) (0.933:0.933:0.933)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input1) - (DELAY - (ABSOLUTE - (IOPATH A X (-0.284:-0.284:-0.284) (0.920:0.920:0.920)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout19) - (DELAY - (ABSOLUTE - (IOPATH A X (0.188:0.188:0.188) (0.166:0.166:0.166)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE fanout20) - (DELAY - (ABSOLUTE - (IOPATH A X (0.149:0.149:0.149) (0.125:0.125:0.125)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout21) - (DELAY - (ABSOLUTE - (IOPATH A X (0.199:0.199:0.199) (0.181:0.181:0.181)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout22) - (DELAY - (ABSOLUTE - (IOPATH A X (0.218:0.218:0.218) (0.179:0.179:0.179)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout23) - (DELAY - (ABSOLUTE - (IOPATH A X (0.171:0.171:0.171) (0.152:0.152:0.152)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout24) - (DELAY - (ABSOLUTE - (IOPATH A X (0.161:0.161:0.161) (0.143:0.143:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout25) - (DELAY - (ABSOLUTE - (IOPATH A X (0.176:0.176:0.176) (0.170:0.170:0.170)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE fanout26) - (DELAY - (ABSOLUTE - (IOPATH A X (0.189:0.189:0.189) (0.165:0.165:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout27) - (DELAY - (ABSOLUTE - (IOPATH A X (0.168:0.168:0.168) (0.162:0.162:0.162)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout28) - (DELAY - (ABSOLUTE - (IOPATH A X (0.203:0.203:0.203) (0.176:0.176:0.176)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout29) - (DELAY - (ABSOLUTE - (IOPATH A X (0.214:0.214:0.214) (0.196:0.196:0.196)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_ext_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.098:0.098:0.098) (0.130:0.130:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_ext_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.103:0.103:0.103) (0.134:0.134:0.134)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0__037_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.112:0.112:0.112) (0.122:0.123:0.125)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f__037_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.076:0.076:0.076) (0.089:0.089:0.089)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f__037_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.075:0.075:0.075) (0.088:0.088:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_net10) - (DELAY - (ABSOLUTE - (IOPATH A X (0.095:0.095:0.095) (0.109:0.109:0.110)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_net10) - (DELAY - (ABSOLUTE - (IOPATH A X (0.185:0.185:0.185) (0.162:0.162:0.162)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_net10) - (DELAY - (ABSOLUTE - (IOPATH A X (0.088:0.088:0.088) (0.099:0.099:0.099)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_pll_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (-0.011:-0.011:-0.011) (1.160:1.160:1.160)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_pll_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.132:0.132:0.132) (0.155:0.155:0.155)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_pll_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.127:0.127:0.127) (0.152:0.152:0.152)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_divider\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.097:0.097:0.097) (0.104:0.105:0.106)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_divider\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.082:0.082:0.082) (0.094:0.094:0.094)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_divider\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.080:0.080:0.080) (0.092:0.092:0.092)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_pll_clk90) - (DELAY - (ABSOLUTE - (IOPATH A X (-0.010:-0.010:-0.010) (1.161:1.161:1.161)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_pll_clk90) - (DELAY - (ABSOLUTE - (IOPATH A X (0.125:0.125:0.125) (0.151:0.151:0.151)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_pll_clk90) - (DELAY - (ABSOLUTE - (IOPATH A X (0.128:0.128:0.128) (0.153:0.153:0.153)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_divider2\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.090:0.090:0.090) (0.099:0.100:0.101)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_divider2\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.077:0.077:0.077) (0.090:0.090:0.090)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_divider2\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.081:0.081:0.081) (0.093:0.093:0.093)) - ) - ) - ) -) diff --git a/sdf/caravel_clocking/nom/caravel_clocking.ss.sdf b/sdf/caravel_clocking/nom/caravel_clocking.ss.sdf deleted file mode 100644 index 4f8f5582..00000000 --- a/sdf/caravel_clocking/nom/caravel_clocking.ss.sdf +++ /dev/null @@ -1,4640 +0,0 @@ -(DELAYFILE - (SDFVERSION "3.0") - (DESIGN "caravel_clocking") - (DATE "Thu Oct 13 17:51:17 2022") - (VENDOR "Parallax") - (PROGRAM "STA") - (VERSION "2.3.1") - (DIVIDER .) - (VOLTAGE 1.600::1.600) - (PROCESS "1.000::1.000") - (TEMPERATURE 100.000::100.000) - (TIMESCALE 1ns) - (CELL - (CELLTYPE "caravel_clocking") - (INSTANCE) - (DELAY - (ABSOLUTE - (INTERCONNECT ext_clk clkbuf_0_ext_clk.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_clk ANTENNA_clkbuf_0_ext_clk_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_clk_sel input1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_clk_sel ANTENNA_input1_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_reset input2.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_reset ANTENNA_input2_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT pll_clk clkbuf_0_pll_clk.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT pll_clk ANTENNA_clkbuf_0_pll_clk_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT pll_clk90 clkbuf_0_pll_clk90.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT pll_clk90 ANTENNA_clkbuf_0_pll_clk90_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT resetb input3.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT resetb ANTENNA_input3_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[0] input4.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[0] ANTENNA_input4_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[1] input5.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[1] ANTENNA_input5_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[2] input6.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[2] ANTENNA_input6_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[0] input7.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[0] ANTENNA_input7_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[1] input8.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[1] ANTENNA_input8_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[2] input9.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[2] ANTENNA_input9_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _206_.X _286_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _206_.X _367_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _206_.X _368_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _207_.X _273_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _207_.X _395_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _207_.X _396_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _208_.X _309_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _209_.X clkbuf_0__037_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _210_.X clkbuf_0_net10.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _211_.X _313_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _212_.X user_clk_out_buffer.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _213_.X _367_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _214_.X _286_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _215_.X _368_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _216_.X _217_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _217_.X _267_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _218_.X _219_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _219_.X _252_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _220_.X _221_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _221_.X _269_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _222_.X _223_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _223_.X _369_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _224_.X _225_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _225_.X _371_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _226_.X _227_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _227_.X _373_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _228_.X _375_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _229_.X _376_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _230_.X _395_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _231_.X _273_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _232_.X _396_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _233_.X _234_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _234_.X _270_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _235_.X _236_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _236_.X _249_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _237_.X _238_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _238_.X _272_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _239_.X _240_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _240_.X _397_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _241_.X _242_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _242_.X _399_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _243_.X _244_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _244_.X _401_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _245_.X _406_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _246_.X _407_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _247_.Y _277_.D1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _247_.Y _283_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _248_.Y _281_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _248_.Y _282_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _249_.Y _285_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _250_.Y _290_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _250_.Y _296_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _251_.Y _294_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _251_.Y _295_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _252_.Y _298_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _253_.Y _228_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _254_.Y _213_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _254_.Y _323_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _255_.Y _290_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _255_.Y _296_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _256_.Y _216_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _222_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _303_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _370_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _258_.Y _245_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _259_.Y _277_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _259_.Y _283_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _260_.Y _230_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _260_.Y _339_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _261_.Y _233_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _262_.Y _239_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _262_.Y _308_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _262_.Y _398_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _263_.Y _420_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _264_.Y _354_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _265_.Y _382_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _411__8.Y _418_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _267_.Y _364_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _413__5.Y _432_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _269_.Y _366_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _270_.Y _392_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _415__2.Y _457_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _272_.Y _394_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _273_.X _459_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _274_.Y _280_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _274_.Y _281_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _274_.Y _339_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _275_.Y _211_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _276_.X _281_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _276_.X _283_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _276_.X _306_.B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _276_.X _316_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _276_.X _388_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _276_.X _390_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _276_.X _397_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _276_.X _398_.A3 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _276_.X _399_.A2 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _276_.X _400_.A3 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _276_.X _401_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _276_.X _402_.A3 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _276_.X ANTENNA__402__A3.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _276_.X ANTENNA__401__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _276_.X ANTENNA__400__A3.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _276_.X ANTENNA__399__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _276_.X ANTENNA__398__A3.DIODE (0.008:0.008:0.008) (0.007:0.007:0.008)) - (INTERCONNECT _276_.X ANTENNA__397__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _276_.X ANTENNA__390__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _276_.X ANTENNA__388__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _276_.X ANTENNA__316__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _276_.X ANTENNA__306__B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _276_.X ANTENNA__283__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _276_.X ANTENNA__281__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _277_.Y _278_.A (0.001:0.001:0.001) (0.000:0.001:0.001)) - (INTERCONNECT _277_.Y _284_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _277_.Y _391_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _277_.Y _393_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _278_.Y _207_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _279_.Y _233_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _279_.Y _235_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _279_.Y _237_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _279_.Y _280_.D1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _279_.Y _281_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _280_.X _282_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _281_.Y _282_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _282_.Y _457_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _283_.Y _285_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _283_.Y _392_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _283_.Y _394_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _284_.Y _285_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _285_.Y _455_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _286_.X _434_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _287_.Y _293_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _287_.Y _294_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _287_.Y _323_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _288_.Y _208_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _289_.X _294_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _296_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _289_.X _301_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _289_.X _312_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _361_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _289_.X _362_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _289_.X _369_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _370_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _371_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _289_.X _372_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _289_.X _373_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _289_.X _374_.A3 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _290_.Y _291_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _290_.Y _297_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _290_.Y _363_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _290_.Y _365_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _291_.Y _206_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.Y _216_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.Y _218_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.Y _220_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.Y _293_.D1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _292_.Y _294_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _293_.X _295_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _294_.Y _295_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _295_.Y _432_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.Y _298_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.Y _364_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.Y _366_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _297_.Y _298_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _298_.Y _430_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _299_.Y _300_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _299_.Y _354_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _228_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _229_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _353_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _301_.X _206_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _302_.Y _403_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _303_.Y _222_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _303_.Y _224_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _303_.Y _226_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.Y _305_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.Y _382_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _305_.Y _245_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _305_.Y _246_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _305_.Y _381_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _306_.X _207_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _307_.Y _350_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _239_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _241_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _243_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _309_.X _312_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _310_.Y _312_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _311_.X _312_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _312_.X clkbuf_0_divider\.out.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _313_.X _316_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _314_.Y _316_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _315_.X _316_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _316_.X clkbuf_0_divider2\.out.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _317_.Y _213_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _318_.Y _214_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _319_.Y _321_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _320_.X _215_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _320_.X _321_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _321_.Y _214_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _322_.X _323_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _323_.X _215_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _324_.Y _218_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _325_.X _327_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _326_.Y _327_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _327_.Y _220_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _328_.Y _224_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _329_.X _331_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _330_.Y _331_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _331_.Y _226_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _332_.Y _229_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _333_.Y _230_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _334_.Y _231_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _335_.Y _337_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _336_.X _232_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _336_.X _337_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _337_.Y _231_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _338_.X _339_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _339_.X _232_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _340_.Y _235_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _341_.X _343_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _342_.Y _343_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _343_.Y _237_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _344_.Y _241_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _345_.X _347_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _346_.Y _347_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _347_.Y _243_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _348_.Y _246_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _349_.Y output11.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _350_.Y _351_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _350_.Y _352_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _351_.Y _352_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _352_.Y _422_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _353_.Y _355_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _354_.Y _355_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _355_.Y _427_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _356_.Y _358_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _357_.Y _358_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _358_.Y _362_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _359_.Y _361_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _360_.X _361_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _361_.Y _362_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _362_.X _428_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _363_.Y _364_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _364_.Y _429_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _365_.Y _366_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _366_.Y _431_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _367_.X _433_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _368_.X _435_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _369_.Y _370_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _370_.Y _436_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _371_.Y _372_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _372_.X _437_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _373_.Y _374_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _374_.X _438_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _375_.X _439_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _376_.X _440_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _377_.Y _379_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _378_.X _379_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _379_.X _441_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _380_.X _448_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _381_.Y _383_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _382_.Y _383_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _383_.Y _452_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _384_.Y _389_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _385_.Y _388_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _386_.Y _389_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _387_.Y _388_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _388_.Y _390_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _389_.Y _390_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _390_.X _453_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _391_.Y _392_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _392_.Y _454_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _393_.Y _394_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _394_.Y _456_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _395_.X _458_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _396_.X _460_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _397_.Y _398_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _398_.Y _461_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _399_.Y _400_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _400_.X _462_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _401_.Y _402_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _402_.X _463_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.Y _404_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.Y _405_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _404_.Y _405_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _405_.Y _464_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _406_.X _465_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _407_.X _466_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _408_.Y _410_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _409_.X _410_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _410_.X _467_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _412__9.Y _419_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_ext_clk.X clkbuf_1_0__f_ext_clk.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_ext_clk.X clkbuf_1_1__f_ext_clk.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _414__6.Y _434_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _266__7.Y _417_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _416__3.Y _459_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _268__4.Y _430_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _417_.Q _349_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _418_.Q _417_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _419_.Q _418_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _420_.Q _209_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _420_.Q _421_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _421_.Q _210_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _421_.Q _212_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.Q _314_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.Q _315_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.Q _351_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.Q _352_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _423_.Q _209_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _424_.Q _358_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Q _356_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Q _357_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _426_.Q _359_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _426_.Q _360_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _427_.Q _208_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _427_.Q _264_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _427_.Q _353_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _428_.Q _362_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _428_.Q fanout24.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _429_.Q _256_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.Q _292_.C_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.Q _324_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.Q _325_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.Q _326_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.Q _363_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _430_.Q _292_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _430_.Q _297_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _430_.Q _324_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _430_.Q _325_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _430_.Q _326_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _431_.Q _292_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _431_.Q _325_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _431_.Q _326_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _431_.Q _365_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _432_.Q _251_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _432_.Q _310_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _432_.Q _311_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _433_.Q _254_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _433_.Q _318_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _433_.Q _322_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _433_.Q _367_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _434_.Q _250_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.Q _286_.A0 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _434_.Q _287_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.Q _318_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.Q _322_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _435_.Q _255_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _435_.Q _287_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _435_.Q _322_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _435_.Q _368_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _436_.Q _257_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _436_.Q _328_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _436_.Q _329_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _436_.Q _330_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _436_.Q _403_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _437_.Q _302_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _437_.Q _303_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _437_.Q _328_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _437_.Q _329_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _437_.Q _330_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _437_.Q _372_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.Q _302_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.Q _303_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.Q _329_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.Q _330_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.Q _374_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _439_.Q _253_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _439_.Q _300_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _439_.Q _332_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _439_.Q _354_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _439_.Q _375_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _439_.Q _377_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _439_.Q _378_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _440_.Q _299_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _440_.Q _332_.A (0.000:0.000:0.000) (0.001:0.001:0.001)) - (INTERCONNECT _440_.Q _376_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _440_.Q _377_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _440_.Q _378_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _441_.Q _299_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _441_.Q _377_.D (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _441_.Q _378_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _442_.Q _445_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _443_.Q _446_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _444_.Q _447_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _445_.Q fanout20.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _446_.Q _224_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _446_.Q _403_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _446_.Q _288_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _446_.Q _289_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _446_.Q fanout18.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _447_.Q _403_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _447_.Q _359_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _447_.Q _226_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _447_.Q fanout17.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _448_.Q _380_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _448_.Q _423_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _449_.Q _389_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _450_.Q _384_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _450_.Q _386_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _451_.Q _385_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _451_.Q _387_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _452_.Q _211_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _452_.Q _265_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _452_.Q _381_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _453_.Q _281_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _453_.Q fanout22.A (0.000:0.000:0.000) (0.001:0.001:0.001)) - (INTERCONNECT _454_.Q _261_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _454_.Q _279_.C_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _454_.Q _340_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _454_.Q _341_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _454_.Q _342_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _454_.Q _391_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _455_.Q _279_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _455_.Q _284_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _455_.Q _340_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _455_.Q _341_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _455_.Q _342_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _456_.Q _279_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _456_.Q _341_.C (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _342_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _456_.Q _393_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _457_.Q _248_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _457_.Q _314_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _457_.Q _315_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _260_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _458_.Q _334_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _338_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _458_.Q _395_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _247_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _273_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _274_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _334_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _338_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _259_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _274_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _460_.Q _338_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _460_.Q _396_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _461_.Q _262_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _461_.Q _344_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _345_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _461_.Q _346_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _461_.Q _350_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _307_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _308_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _344_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _345_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _346_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _462_.Q _400_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _463_.Q _307_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _463_.Q _308_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _463_.Q _345_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _463_.Q _346_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _463_.Q _402_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _464_.Q _310_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _464_.Q _311_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _464_.Q _404_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _464_.Q _405_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _258_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _305_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _348_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _382_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _406_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _408_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _409_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _466_.Q _304_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _466_.Q _348_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _466_.Q _407_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _466_.Q _408_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _466_.Q _409_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _304_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _408_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _409_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _468_.Q _471_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _469_.Q _472_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _470_.Q _473_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _471_.Q fanout15.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _471_.Q fanout16.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _472_.Q _384_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _472_.Q _276_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _472_.Q _350_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _472_.Q _386_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _472_.Q fanout14.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _473_.Q _451_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _385_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _350_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q fanout13.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _271__1.Y _455_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout18.X _218_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout18.X _219_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout18.X _290_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout18.X _293_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout18.X _317_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout18.X _319_.B (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout18.X _228_.A0 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout18.X _320_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout18.X _356_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout18.X _357_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout18.X _425_.D (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout18.X _225_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout17.X _289_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout17.X _221_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout17.X _220_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout17.X _290_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout17.X _293_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout17.X _319_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout17.X _320_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout17.X _229_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout17.X _288_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout17.X _426_.D (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout17.X _360_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout17.X _227_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout16.X _382_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout16.X _406_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout16.X _407_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout16.X _449_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout16.X _350_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout16.X _239_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout16.X _240_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout15.X _280_.B1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout15.X _336_.A2 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT fanout15.X _234_.A1 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT fanout15.X _333_.B (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT fanout15.X _335_.C (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT fanout15.X _233_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout15.X _277_.B1 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout15.X _276_.B1 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout15.X _313_.A_N (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout15.X _381_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout15.X _408_.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout15.X _409_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout15.X ANTENNA__409__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout15.X ANTENNA__408__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout15.X ANTENNA__381__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout15.X ANTENNA__313__A_N.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout15.X ANTENNA__276__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout15.X ANTENNA__277__B1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT fanout15.X ANTENNA__233__A1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT fanout15.X ANTENNA__335__C.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT fanout15.X ANTENNA__333__B.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT fanout15.X ANTENNA__234__A1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT fanout15.X ANTENNA__336__A2.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT fanout15.X ANTENNA__280__B1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout14.X _236_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout14.X _242_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout14.X _333_.A (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout14.X _335_.B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout14.X _235_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout14.X _241_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout14.X _277_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT fanout14.X _280_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout14.X _336_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout14.X _450_.D (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout14.X _275_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout14.X _245_.A0 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X ANTENNA__245__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout14.X ANTENNA__275__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X ANTENNA__450__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout14.X ANTENNA__336__A1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout14.X ANTENNA__280__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout14.X ANTENNA__277__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout14.X ANTENNA__241__A1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout14.X ANTENNA__235__A1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout14.X ANTENNA__335__B.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout14.X ANTENNA__333__A.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout14.X ANTENNA__242__A1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout14.X ANTENNA__236__A1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout13.X _238_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT fanout13.X _243_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout13.X _244_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout13.X _237_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT fanout13.X _335_.A (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout13.X _336_.B1 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT fanout13.X _277_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout13.X _280_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout13.X _276_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout13.X _275_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout13.X _246_.A0 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout13.X _387_.A_N (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout13.X ANTENNA__387__A_N.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout13.X ANTENNA__246__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout13.X ANTENNA__275__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout13.X ANTENNA__276__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout13.X ANTENNA__280__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout13.X ANTENNA__277__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout13.X ANTENNA__336__B1.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT fanout13.X ANTENNA__335__A.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout13.X ANTENNA__237__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout13.X ANTENNA__244__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout13.X ANTENNA__243__A1.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout13.X ANTENNA__238__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT user_clk_out_buffer.X user_clk (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT output11.X resetb_sync (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT input9.X _444_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input8.X _443_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input7.X _442_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input6.X _470_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input5.X _469_.D (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT input4.X _468_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input3.X fanout25.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input3.X fanout26.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input3.X fanout29.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input2.X _349_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input1.X _263_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout19.X _375_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout19.X _376_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout19.X _377_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout19.X _378_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout19.X _317_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _320_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _290_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _319_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _217_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _354_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout19.X _353_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout19.X _293_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout20.X fanout19.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout20.X _216_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout20.X _424_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout20.X _403_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout20.X _309_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout20.X _289_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout20.X _223_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout20.X _222_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _230_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _238_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _283_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _284_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _391_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _393_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _306_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _207_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _232_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _231_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _401_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _244_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout22.X fanout21.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout22.X _234_.S (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout22.X _242_.S (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout22.X _399_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout22.X _236_.S (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout22.X _400_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout22.X _397_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout22.X _398_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout22.X _390_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout22.X _351_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout22.X _240_.S (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout22.X _402_.A1 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout22.X ANTENNA__402__A1.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT fanout22.X ANTENNA__240__S.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout22.X ANTENNA__351__B1.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout22.X ANTENNA__390__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout22.X ANTENNA__398__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout22.X ANTENNA__397__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout22.X ANTENNA__400__A1.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT fanout22.X ANTENNA__236__S.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout22.X ANTENNA__399__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout22.X ANTENNA__242__S.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT fanout22.X ANTENNA__234__S.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout22.X ANTENNA_fanout21_A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout23.X _219_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout23.X _215_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout23.X _296_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout23.X _301_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout23.X _214_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout23.X _213_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout23.X _206_.A1 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT fanout23.X _297_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout23.X _363_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout23.X _365_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout23.X _221_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout23.X _217_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout24.X fanout23.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout24.X _294_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout24.X _369_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout24.X _370_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout24.X _372_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout24.X _374_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout24.X _404_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout24.X _223_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout24.X _373_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout24.X _371_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout24.X _227_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout24.X _225_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout25.X _429_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout25.X _430_.SET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout25.X _432_.SET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout25.X _433_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout25.X _434_.SET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout25.X _435_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout25.X _439_.SET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout25.X _440_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout25.X _441_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout25.X _380_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout25.X _418_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout25.X _419_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout26.X _423_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout26.X _454_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout26.X _455_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout26.X _456_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout26.X _458_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout26.X _459_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout26.X _460_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout26.X _462_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _420_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _427_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _431_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout27.X _436_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout27.X _442_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout27.X _443_.SET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout27.X _444_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _445_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout27.X _446_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout27.X _447_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout27.X _464_.SET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout27.X _428_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout28.X _417_.SET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X _421_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout28.X _422_.SET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X _453_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X _457_.SET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout28.X _461_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X _463_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X _469_.SET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X _472_.SET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X _452_.SET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout28.X _465_.SET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X _466_.RESET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout28.X ANTENNA__466__RESET_B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout28.X ANTENNA__465__SET_B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout28.X ANTENNA__452__SET_B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout28.X ANTENNA__472__SET_B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X ANTENNA__469__SET_B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X ANTENNA__463__RESET_B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout28.X ANTENNA__461__RESET_B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X ANTENNA__457__SET_B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout28.X ANTENNA__453__RESET_B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X ANTENNA__422__SET_B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X ANTENNA__421__RESET_B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X ANTENNA__417__SET_B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X fanout27.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X _438_.RESET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout29.X _437_.SET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout29.X fanout28.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout29.X _473_.RESET_B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout29.X _471_.RESET_B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout29.X _470_.RESET_B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout29.X _468_.RESET_B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout29.X _467_.RESET_B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout29.X ANTENNA__467__RESET_B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout29.X ANTENNA__468__RESET_B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout29.X ANTENNA__470__RESET_B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout29.X ANTENNA__471__RESET_B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout29.X ANTENNA__473__RESET_B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout29.X ANTENNA_fanout28_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout29.X ANTENNA__437__SET_B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout29.X ANTENNA__438__RESET_B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout29.X ANTENNA_fanout27_A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _419__30.LO _419_.D (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_ext_clk.X _380_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_ext_clk.X _209_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0__037_.X clkbuf_1_0__f__037_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0__037_.X clkbuf_1_1__f__037_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f__037_.X _210_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f__037_.X _212_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_net10.X clkbuf_1_0__f_net10.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_net10.X clkbuf_1_1__f_net10.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_net10.X core_clk (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT clkbuf_1_0__f_net10.X _412__9.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT clkbuf_1_1__f_net10.X _411__8.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_net10.X _266__7.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_pll_clk.X clkbuf_1_0__f_pll_clk.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_pll_clk.X clkbuf_1_1__f_pll_clk.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _414__6.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _268__4.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _448_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _441_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _440_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _439_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _435_.CLK_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _433_.CLK_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _429_.CLK_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _423_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _421_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _413__5.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _464_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _438_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _437_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _436_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _431_.CLK_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _428_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _427_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _426_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _425_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _424_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _420_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _208_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_divider\.out.X clkbuf_1_0__f_divider\.out.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_divider\.out.X clkbuf_1_1__f_divider\.out.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _447_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _446_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _445_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _443_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _442_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_divider\.out.X _444_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider\.out.X _210_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_pll_clk90.X clkbuf_1_0__f_pll_clk90.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_pll_clk90.X clkbuf_1_1__f_pll_clk90.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _416__3.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _271__1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _463_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _462_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _460_.CLK_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _458_.CLK_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _456_.CLK_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _454_.CLK_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _415__2.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _467_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _466_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _465_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _461_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _453_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _452_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _451_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _450_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _449_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _422_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _211_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_divider2\.out.X clkbuf_1_0__f_divider2\.out.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_divider2\.out.X clkbuf_1_1__f_divider2\.out.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_divider2\.out.X _472_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider2\.out.X _469_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider2\.out.X _212_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider2\.out.X _473_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider2\.out.X _471_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider2\.out.X _470_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider2\.out.X _468_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _206_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.363:0.363:0.363) (0.784:0.784:0.784)) - (IOPATH A1 X (0.430:0.430:0.430) (0.830:0.830:0.830)) - (IOPATH S X (0.497:0.506:0.514) (0.844:0.845:0.847)) - (IOPATH S X (0.382:0.383:0.384) (0.820:0.827:0.835)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _207_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.340:0.341:0.342) (0.764:0.764:0.764)) - (IOPATH A1 X (0.429:0.429:0.429) (0.826:0.826:0.826)) - (IOPATH S X (0.479:0.488:0.497) (0.827:0.829:0.830)) - (IOPATH S X (0.364:0.365:0.367) (0.803:0.811:0.818)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _208_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.262:0.262:0.262) (0.631:0.631:0.631)) - (IOPATH A1 X (0.231:0.231:0.231) (0.635:0.635:0.635)) - (IOPATH S X (0.377:0.380:0.384) (0.739:0.739:0.739)) - (IOPATH S X (0.291:0.291:0.291) (0.678:0.682:0.685)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _209_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.386:0.386:0.386) (0.788:0.788:0.788)) - (IOPATH A1 X (0.412:0.412:0.412) (0.826:0.826:0.826)) - (IOPATH S X (0.599:0.599:0.599) (0.927:0.927:0.927)) - (IOPATH S X (0.471:0.471:0.471) (0.900:0.900:0.900)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _210_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.291:0.291:0.291) (0.697:0.697:0.697)) - (IOPATH A1 X (0.300:0.300:0.300) (0.721:0.721:0.721)) - (IOPATH S X (0.472:0.472:0.472) (0.797:0.797:0.797)) - (IOPATH S X (0.342:0.342:0.342) (0.782:0.782:0.782)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _211_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.305:0.305:0.305) (0.680:0.680:0.680)) - (IOPATH A1 X (0.267:0.267:0.267) (0.681:0.681:0.681)) - (IOPATH S X (0.424:0.425:0.426) (0.788:0.789:0.790)) - (IOPATH S X (0.330:0.331:0.332) (0.736:0.736:0.737)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _212_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.296:0.296:0.296) (0.703:0.703:0.703)) - (IOPATH A1 X (0.304:0.304:0.304) (0.727:0.727:0.727)) - (IOPATH S X (0.477:0.477:0.477) (0.804:0.804:0.804)) - (IOPATH S X (0.347:0.347:0.347) (0.788:0.788:0.788)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _213_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.259:0.259:0.259) (0.663:0.663:0.663)) - (IOPATH A1 X (0.266:0.292:0.318) (0.690:0.695:0.699)) - (IOPATH S X (0.452:0.452:0.452) (0.791:0.791:0.791)) - (IOPATH S X (0.329:0.329:0.329) (0.761:0.761:0.761)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _214_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.237:0.262:0.287) (0.641:0.644:0.648)) - (IOPATH A1 X (0.298:0.298:0.298) (0.661:0.666:0.671)) - (IOPATH S X (0.433:0.433:0.433) (0.767:0.767:0.767)) - (IOPATH S X (0.311:0.311:0.311) (0.736:0.736:0.736)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _215_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.218:0.220:0.222) (0.619:0.620:0.620)) - (IOPATH A1 X (0.258:0.258:0.259) (0.655:0.657:0.658)) - (IOPATH S X (0.421:0.421:0.421) (0.749:0.749:0.749)) - (IOPATH S X (0.298:0.298:0.298) (0.719:0.719:0.719)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _216_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.214:0.214:0.214) (0.620:0.620:0.620)) - (IOPATH A1 X (0.311:0.311:0.311) (0.703:0.703:0.703)) - (IOPATH S X (0.392:0.398:0.404) (0.851:0.851:0.851)) - (IOPATH S X (0.428:0.428:0.428) (0.694:0.698:0.703)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _217_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.248:0.250:0.252) (0.670:0.670:0.670)) - (IOPATH A1 X (0.336:0.336:0.336) (0.727:0.727:0.727)) - (IOPATH S X (0.448:0.448:0.448) (0.784:0.784:0.784)) - (IOPATH S X (0.325:0.325:0.325) (0.754:0.754:0.754)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _218_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.230:0.251:0.273) (0.634:0.639:0.643)) - (IOPATH A1 X (0.327:0.327:0.327) (0.714:0.714:0.714)) - (IOPATH S X (0.405:0.411:0.418) (0.870:0.870:0.870)) - (IOPATH S X (0.442:0.442:0.442) (0.713:0.718:0.723)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _219_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.261:0.263:0.264) (0.682:0.682:0.682)) - (IOPATH A1 X (0.353:0.353:0.353) (0.743:0.743:0.743)) - (IOPATH S X (0.455:0.455:0.455) (0.793:0.793:0.793)) - (IOPATH S X (0.333:0.333:0.333) (0.763:0.763:0.763)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _220_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.214:0.215:0.215) (0.615:0.617:0.618)) - (IOPATH A1 X (0.306:0.306:0.306) (0.692:0.692:0.692)) - (IOPATH S X (0.394:0.400:0.407) (0.855:0.855:0.855)) - (IOPATH S X (0.431:0.431:0.431) (0.697:0.702:0.707)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _221_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.249:0.251:0.252) (0.670:0.670:0.671)) - (IOPATH A1 X (0.336:0.336:0.336) (0.728:0.728:0.728)) - (IOPATH S X (0.448:0.448:0.448) (0.784:0.784:0.784)) - (IOPATH S X (0.325:0.325:0.325) (0.754:0.754:0.754)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _222_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.214:0.214:0.214) (0.617:0.617:0.617)) - (IOPATH A1 X (0.308:0.308:0.308) (0.699:0.699:0.699)) - (IOPATH S X (0.370:0.385:0.400) (0.811:0.812:0.812)) - (IOPATH S X (0.380:0.380:0.380) (0.675:0.687:0.699)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _223_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.227:0.228:0.230) (0.647:0.647:0.647)) - (IOPATH A1 X (0.324:0.324:0.324) (0.720:0.720:0.720)) - (IOPATH S X (0.440:0.440:0.440) (0.770:0.770:0.770)) - (IOPATH S X (0.317:0.317:0.317) (0.741:0.741:0.741)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _224_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.232:0.262:0.292) (0.632:0.637:0.641)) - (IOPATH A1 X (0.343:0.343:0.343) (0.706:0.706:0.706)) - (IOPATH S X (0.377:0.392:0.407) (0.820:0.820:0.821)) - (IOPATH S X (0.386:0.387:0.387) (0.683:0.696:0.708)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _225_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.255:0.257:0.259) (0.679:0.679:0.679)) - (IOPATH A1 X (0.351:0.351:0.351) (0.743:0.743:0.743)) - (IOPATH S X (0.464:0.464:0.464) (0.801:0.801:0.801)) - (IOPATH S X (0.341:0.341:0.341) (0.771:0.771:0.771)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _226_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.216:0.218:0.219) (0.618:0.619:0.619)) - (IOPATH A1 X (0.303:0.303:0.303) (0.691:0.691:0.691)) - (IOPATH S X (0.375:0.390:0.405) (0.817:0.817:0.818)) - (IOPATH S X (0.384:0.385:0.385) (0.681:0.693:0.705)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _227_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.220:0.222:0.224) (0.637:0.637:0.637)) - (IOPATH A1 X (0.308:0.308:0.308) (0.695:0.695:0.695)) - (IOPATH S X (0.432:0.432:0.432) (0.759:0.759:0.759)) - (IOPATH S X (0.310:0.310:0.310) (0.729:0.729:0.729)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _228_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.314:0.314:0.314) (0.682:0.682:0.682)) - (IOPATH A1 X (0.222:0.222:0.222) (0.649:0.649:0.649)) - (IOPATH S X (0.450:0.451:0.452) (0.745:0.746:0.747)) - (IOPATH S X (0.293:0.294:0.295) (0.745:0.746:0.747)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _229_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.317:0.317:0.317) (0.692:0.692:0.692)) - (IOPATH A1 X (0.261:0.294:0.326) (0.681:0.686:0.691)) - (IOPATH S X (0.462:0.463:0.464) (0.761:0.762:0.763)) - (IOPATH S X (0.304:0.306:0.307) (0.761:0.762:0.763)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _230_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.238:0.238:0.238) (0.636:0.636:0.636)) - (IOPATH A1 X (0.250:0.280:0.311) (0.668:0.675:0.682)) - (IOPATH S X (0.446:0.446:0.446) (0.774:0.774:0.774)) - (IOPATH S X (0.323:0.323:0.323) (0.745:0.745:0.745)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _231_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.260:0.288:0.317) (0.668:0.671:0.675)) - (IOPATH A1 X (0.288:0.289:0.289) (0.679:0.683:0.687)) - (IOPATH S X (0.465:0.465:0.465) (0.799:0.799:0.799)) - (IOPATH S X (0.341:0.341:0.341) (0.770:0.770:0.770)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _232_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.265:0.267:0.269) (0.669:0.669:0.669)) - (IOPATH A1 X (0.307:0.307:0.308) (0.708:0.709:0.710)) - (IOPATH S X (0.469:0.469:0.469) (0.805:0.805:0.805)) - (IOPATH S X (0.346:0.346:0.346) (0.776:0.776:0.776)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _233_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.221:0.221:0.221) (0.628:0.628:0.628)) - (IOPATH A1 X (0.365:0.365:0.365) (0.743:0.743:0.743)) - (IOPATH S X (0.411:0.416:0.421) (0.882:0.882:0.882)) - (IOPATH S X (0.468:0.468:0.468) (0.710:0.714:0.718)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _234_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.331:0.334:0.337) (0.760:0.761:0.761)) - (IOPATH A1 X (0.482:0.482:0.482) (0.870:0.870:0.870)) - (IOPATH S X (0.594:0.594:0.594) (0.925:0.925:0.925)) - (IOPATH S X (0.470:0.470:0.470) (0.899:0.899:0.899)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _235_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.240:0.274:0.309) (0.638:0.644:0.650)) - (IOPATH A1 X (0.369:0.369:0.369) (0.745:0.745:0.745)) - (IOPATH S X (0.410:0.415:0.420) (0.880:0.880:0.881)) - (IOPATH S X (0.467:0.467:0.467) (0.709:0.713:0.717)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _236_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.265:0.267:0.270) (0.688:0.688:0.688)) - (IOPATH A1 X (0.419:0.419:0.419) (0.802:0.802:0.802)) - (IOPATH S X (0.530:0.530:0.530) (0.853:0.853:0.853)) - (IOPATH S X (0.405:0.405:0.405) (0.828:0.828:0.828)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _237_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.230:0.230:0.231) (0.631:0.632:0.634)) - (IOPATH A1 X (0.366:0.366:0.366) (0.745:0.745:0.745)) - (IOPATH S X (0.415:0.420:0.425) (0.888:0.888:0.888)) - (IOPATH S X (0.473:0.473:0.473) (0.716:0.720:0.724)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _238_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.260:0.262:0.264) (0.682:0.682:0.682)) - (IOPATH A1 X (0.403:0.403:0.403) (0.786:0.786:0.786)) - (IOPATH S X (0.473:0.473:0.473) (0.806:0.806:0.806)) - (IOPATH S X (0.349:0.349:0.349) (0.777:0.777:0.777)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _239_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.213:0.213:0.213) (0.614:0.614:0.614)) - (IOPATH A1 X (0.302:0.302:0.302) (0.693:0.693:0.693)) - (IOPATH S X (0.363:0.380:0.397) (0.789:0.789:0.789)) - (IOPATH S X (0.353:0.353:0.353) (0.668:0.682:0.696)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _240_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.224:0.225:0.227) (0.643:0.643:0.643)) - (IOPATH A1 X (0.315:0.315:0.315) (0.712:0.712:0.712)) - (IOPATH S X (0.492:0.492:0.492) (0.809:0.809:0.809)) - (IOPATH S X (0.367:0.367:0.367) (0.784:0.784:0.784)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _241_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.246:0.271:0.296) (0.653:0.660:0.667)) - (IOPATH A1 X (0.396:0.396:0.396) (0.778:0.778:0.778)) - (IOPATH S X (0.391:0.408:0.425) (0.828:0.828:0.828)) - (IOPATH S X (0.381:0.381:0.381) (0.707:0.720:0.734)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _242_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.237:0.240:0.242) (0.654:0.654:0.654)) - (IOPATH A1 X (0.381:0.381:0.381) (0.760:0.760:0.760)) - (IOPATH S X (0.494:0.494:0.494) (0.811:0.811:0.811)) - (IOPATH S X (0.369:0.369:0.369) (0.786:0.786:0.786)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _243_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.265:0.266:0.267) (0.676:0.676:0.677)) - (IOPATH A1 X (0.401:0.401:0.401) (0.787:0.787:0.787)) - (IOPATH S X (0.405:0.422:0.439) (0.845:0.845:0.845)) - (IOPATH S X (0.395:0.395:0.395) (0.724:0.738:0.751)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _244_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.297:0.299:0.301) (0.720:0.721:0.721)) - (IOPATH A1 X (0.426:0.426:0.426) (0.814:0.814:0.814)) - (IOPATH S X (0.495:0.495:0.495) (0.834:0.834:0.834)) - (IOPATH S X (0.372:0.372:0.372) (0.805:0.805:0.805)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _245_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.381:0.381:0.381) (0.746:0.746:0.746)) - (IOPATH A1 X (0.231:0.231:0.231) (0.662:0.662:0.662)) - (IOPATH S X (0.499:0.499:0.500) (0.781:0.782:0.783)) - (IOPATH S X (0.328:0.329:0.330) (0.793:0.793:0.793)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _246_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.361:0.361:0.361) (0.724:0.724:0.724)) - (IOPATH A1 X (0.230:0.252:0.274) (0.648:0.652:0.656)) - (IOPATH S X (0.489:0.489:0.490) (0.767:0.768:0.769)) - (IOPATH S X (0.318:0.319:0.320) (0.779:0.779:0.780)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _247_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.118:0.118:0.118) (0.169:0.169:0.169)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _248_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.128:0.128:0.128) (0.131:0.131:0.131)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _249_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.095:0.096:0.096) (0.070:0.071:0.072)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _250_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.103:0.103:0.103) (0.148:0.148:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _251_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.105:0.105:0.105) (0.140:0.140:0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _252_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.094:0.094:0.094) (0.067:0.068:0.069)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _253_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.096:0.096:0.096) (0.153:0.153:0.153)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _254_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.142:0.142:0.142) (0.134:0.134:0.134)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _255_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.145:0.145:0.145) (0.175:0.175:0.175)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _256_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.114:0.114:0.114) (0.159:0.159:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _257_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.130:0.130:0.130) (0.158:0.158:0.158)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _258_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.089:0.089:0.089) (0.143:0.143:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _259_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.159:0.159:0.159) (0.193:0.193:0.193)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _260_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.149:0.149:0.149) (0.140:0.140:0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _261_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.133:0.133:0.133) (0.186:0.186:0.186)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _262_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.129:0.129:0.129) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _263_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.150:0.150:0.150) (0.136:0.136:0.136)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _264_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.103:0.103:0.103) (0.106:0.106:0.106)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _265_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.099:0.099:0.099) (0.103:0.103:0.103)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _411__8) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.060:0.060:0.060) (0.049:0.049:0.049)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _267_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.092:0.093:0.093) (0.065:0.066:0.067)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _413__5) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.070:0.070:0.070) (0.058:0.058:0.058)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _269_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.093:0.093:0.093) (0.065:0.066:0.067)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _270_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.113:0.113:0.113) (0.090:0.091:0.092)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _415__2) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.077:0.077:0.077) (0.064:0.064:0.064)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _272_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.097:0.097:0.097) (0.069:0.071:0.072)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _273_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.296:0.296:0.296) (0.650:0.650:0.650)) - (IOPATH A1 X (0.244:0.245:0.246) (0.673:0.673:0.674)) - (IOPATH S X (0.445:0.445:0.446) (0.748:0.749:0.750)) - (IOPATH S X (0.297:0.298:0.298) (0.740:0.741:0.741)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _274_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.585:0.585:0.585) (0.272:0.272:0.272)) - (IOPATH B Y (0.599:0.599:0.599) (0.301:0.301:0.301)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _275_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.340:0.340:0.340) (0.244:0.244:0.244)) - (IOPATH B Y (0.325:0.325:0.325) (0.234:0.234:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_4") - (INSTANCE _276_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.668:0.668:0.668) (0.677:0.677:0.677)) - (IOPATH A2 X (0.622:0.622:0.622) (0.612:0.612:0.612)) - (IOPATH B1 X (0.668:0.668:0.668) (0.501:0.501:0.501)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_4") - (INSTANCE _277_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.510:0.510:0.510) (0.465:0.465:0.465)) - (IOPATH A2 Y (0.496:0.496:0.496) (0.419:0.419:0.419)) - (IOPATH B1 Y (0.323:0.323:0.323) (0.460:0.460:0.460)) - (IOPATH C1 Y (0.201:0.201:0.201) (0.332:0.332:0.332)) - (IOPATH D1 Y (0.159:0.159:0.159) (0.287:0.287:0.287)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _278_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.157:0.162:0.167) (0.094:0.125:0.156)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3b_2") - (INSTANCE _279_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.808:0.808:0.808) (0.285:0.285:0.285)) - (IOPATH B Y (0.768:0.768:0.768) (0.247:0.247:0.247)) - (IOPATH C_N Y (0.860:0.860:0.860) (0.520:0.520:0.520)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_1") - (INSTANCE _280_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.533:0.533:0.533) (0.520:0.520:0.520)) - (IOPATH A2 X (0.480:0.480:0.480) (0.512:0.512:0.512)) - (IOPATH B1 X (0.513:0.513:0.513) (0.361:0.361:0.361)) - (IOPATH C1 X (0.575:0.575:0.575) (0.290:0.292:0.295)) - (IOPATH D1 X (0.595:0.595:0.595) (0.246:0.251:0.255)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a41oi_1") - (INSTANCE _281_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.366:0.368:0.370) (0.490:0.490:0.490)) - (IOPATH A2 Y (0.425:0.427:0.430) (0.430:0.430:0.431)) - (IOPATH A3 Y (0.422:0.426:0.431) (0.532:0.532:0.532)) - (IOPATH A4 Y (0.392:0.392:0.392) (0.334:0.334:0.334)) - (IOPATH B1 Y (0.358:0.358:0.358) (0.147:0.147:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _282_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.220:0.220:0.220) (0.144:0.144:0.144)) - (IOPATH A2 Y (0.195:0.198:0.200) (0.126:0.127:0.128)) - (IOPATH B1 Y (0.135:0.184:0.233) (0.198:0.209:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31oi_2") - (INSTANCE _283_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.444:0.446:0.448) (0.408:0.409:0.409)) - (IOPATH A2 Y (0.449:0.449:0.449) (0.291:0.291:0.291)) - (IOPATH A3 Y (0.461:0.461:0.461) (0.298:0.298:0.298)) - (IOPATH B1 Y (0.442:0.442:0.442) (0.183:0.183:0.183)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _284_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.281:0.281:0.281) (0.429:0.429:0.429)) - (IOPATH B Y (0.244:0.253:0.262) (0.229:0.267:0.305)) - (IOPATH C Y (0.230:0.230:0.230) (0.302:0.302:0.302)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _285_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.197:0.197:0.197) (0.127:0.127:0.127)) - (IOPATH A2 Y (0.208:0.242:0.276) (0.200:0.208:0.217)) - (IOPATH B1 Y (0.172:0.176:0.180) (0.137:0.145:0.153)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _286_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.288:0.288:0.288) (0.647:0.647:0.647)) - (IOPATH A1 X (0.239:0.241:0.242) (0.670:0.670:0.670)) - (IOPATH S X (0.452:0.453:0.453) (0.760:0.760:0.761)) - (IOPATH S X (0.308:0.308:0.309) (0.748:0.748:0.748)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _287_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.401:0.401:0.401) (0.207:0.207:0.207)) - (IOPATH B Y (0.414:0.414:0.414) (0.234:0.234:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _288_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.289:0.289:0.289) (0.185:0.185:0.185)) - (IOPATH B Y (0.277:0.277:0.277) (0.203:0.203:0.203)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_4") - (INSTANCE _289_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.484:0.484:0.484) (0.556:0.556:0.556)) - (IOPATH A2 X (0.494:0.494:0.494) (0.537:0.537:0.537)) - (IOPATH B1 X (0.483:0.483:0.483) (0.389:0.389:0.389)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_4") - (INSTANCE _290_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.460:0.460:0.460) (0.415:0.415:0.415)) - (IOPATH A2 Y (0.439:0.439:0.439) (0.372:0.372:0.372)) - (IOPATH B1 Y (0.260:0.260:0.260) (0.402:0.402:0.402)) - (IOPATH C1 Y (0.191:0.191:0.191) (0.321:0.321:0.321)) - (IOPATH D1 Y (0.149:0.149:0.149) (0.275:0.275:0.275)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _291_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.157:0.162:0.168) (0.094:0.126:0.157)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3b_2") - (INSTANCE _292_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.672:0.672:0.672) (0.240:0.240:0.240)) - (IOPATH B Y (0.623:0.623:0.623) (0.190:0.190:0.190)) - (IOPATH C_N Y (0.725:0.725:0.725) (0.484:0.484:0.484)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_1") - (INSTANCE _293_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.494:0.494:0.494) (0.485:0.485:0.485)) - (IOPATH A2 X (0.444:0.444:0.444) (0.469:0.469:0.469)) - (IOPATH B1 X (0.470:0.470:0.470) (0.308:0.308:0.308)) - (IOPATH C1 X (0.505:0.505:0.505) (0.262:0.265:0.267)) - (IOPATH D1 X (0.560:0.561:0.561) (0.235:0.241:0.247)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a41oi_1") - (INSTANCE _294_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.280:0.282:0.284) (0.351:0.351:0.351)) - (IOPATH A2 Y (0.332:0.335:0.338) (0.320:0.320:0.321)) - (IOPATH A3 Y (0.348:0.354:0.359) (0.440:0.440:0.440)) - (IOPATH A4 Y (0.336:0.336:0.336) (0.274:0.274:0.274)) - (IOPATH B1 Y (0.312:0.312:0.312) (0.146:0.146:0.146)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _295_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.236:0.236:0.236) (0.141:0.141:0.141)) - (IOPATH A2 Y (0.214:0.217:0.219) (0.137:0.138:0.139)) - (IOPATH B1 Y (0.147:0.182:0.217) (0.182:0.195:0.208)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31oi_2") - (INSTANCE _296_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.365:0.368:0.371) (0.308:0.308:0.308)) - (IOPATH A2 Y (0.397:0.397:0.397) (0.257:0.257:0.257)) - (IOPATH A3 Y (0.410:0.410:0.410) (0.263:0.263:0.263)) - (IOPATH B1 Y (0.385:0.385:0.385) (0.159:0.159:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _297_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.250:0.250:0.250) (0.386:0.386:0.386)) - (IOPATH B Y (0.218:0.226:0.235) (0.200:0.236:0.271)) - (IOPATH C Y (0.198:0.198:0.198) (0.261:0.261:0.261)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _298_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.228:0.228:0.228) (0.144:0.144:0.144)) - (IOPATH A2 Y (0.232:0.259:0.287) (0.205:0.213:0.222)) - (IOPATH B1 Y (0.179:0.183:0.187) (0.146:0.153:0.161)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _299_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.311:0.311:0.311) (0.205:0.205:0.205)) - (IOPATH B Y (0.257:0.257:0.257) (0.148:0.148:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _300_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.226:0.226:0.226) (0.290:0.290:0.290)) - (IOPATH B Y (0.208:0.213:0.219) (0.284:0.284:0.285)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _301_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.242:0.242:0.242) (0.543:0.543:0.543)) - (IOPATH B X (0.251:0.251:0.251) (0.497:0.499:0.502)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _302_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.305:0.305:0.305) (0.234:0.234:0.234)) - (IOPATH B Y (0.278:0.278:0.278) (0.194:0.194:0.194)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_2") - (INSTANCE _303_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.584:0.584:0.584) (0.255:0.255:0.255)) - (IOPATH B Y (0.545:0.545:0.545) (0.224:0.224:0.224)) - (IOPATH C Y (0.405:0.405:0.405) (0.102:0.102:0.102)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _304_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.313:0.313:0.313) (0.199:0.199:0.199)) - (IOPATH B Y (0.260:0.260:0.260) (0.141:0.141:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _305_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.275:0.275:0.275) (0.347:0.347:0.347)) - (IOPATH B Y (0.258:0.263:0.268) (0.348:0.348:0.348)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _306_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.246:0.246:0.246) (0.543:0.543:0.543)) - (IOPATH B X (0.297:0.297:0.298) (0.515:0.517:0.520)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _307_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.356:0.356:0.356) (0.265:0.265:0.265)) - (IOPATH B Y (0.315:0.315:0.315) (0.205:0.205:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_2") - (INSTANCE _308_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.528:0.528:0.528) (0.251:0.251:0.251)) - (IOPATH B Y (0.477:0.477:0.477) (0.202:0.202:0.202)) - (IOPATH C Y (0.340:0.340:0.340) (0.092:0.092:0.092)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_2") - (INSTANCE _309_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.503:0.503:0.503) (0.538:0.538:0.538)) - (IOPATH B X (0.281:0.282:0.283) (0.429:0.429:0.429)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _310_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.143:0.143:0.143) (0.181:0.181:0.181)) - (IOPATH B Y (0.141:0.141:0.141) (0.169:0.169:0.169)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _311_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.277:0.277:0.277) (0.565:0.565:0.565)) - (IOPATH B X (0.253:0.253:0.253) (0.514:0.514:0.514)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_2") - (INSTANCE _312_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.384:0.384:0.384) (0.444:0.444:0.444)) - (IOPATH A2 X (0.000:0.000:0.000)) - (IOPATH A3 X (0.408:0.408:0.408) (0.502:0.506:0.510)) - (IOPATH B1 X (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_2") - (INSTANCE _313_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.524:0.524:0.524) (0.565:0.565:0.565)) - (IOPATH B X (0.274:0.275:0.276) (0.425:0.425:0.426)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _314_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.142:0.142:0.142) (0.180:0.180:0.180)) - (IOPATH B Y (0.150:0.150:0.150) (0.180:0.180:0.180)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _315_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.269:0.269:0.269) (0.565:0.565:0.565)) - (IOPATH B X (0.260:0.260:0.260) (0.523:0.523:0.523)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_2") - (INSTANCE _316_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.356:0.356:0.356) (0.423:0.423:0.423)) - (IOPATH A2 X (0.000:0.000:0.000)) - (IOPATH A3 X (0.376:0.377:0.378) (0.482:0.484:0.485)) - (IOPATH B1 X (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _317_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.285:0.285:0.285) (0.289:0.289:0.289)) - (IOPATH A Y (0.320:0.320:0.320) (0.223:0.223:0.223)) - (IOPATH B Y (0.277:0.277:0.277) (0.267:0.267:0.267)) - (IOPATH B Y (0.281:0.281:0.281) (0.194:0.194:0.194)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _318_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.253:0.253:0.253) (0.234:0.234:0.234)) - (IOPATH A Y (0.263:0.263:0.263) (0.189:0.189:0.189)) - (IOPATH B Y (0.275:0.275:0.275) (0.267:0.267:0.267)) - (IOPATH B Y (0.273:0.273:0.273) (0.192:0.192:0.192)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _319_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.399:0.399:0.399) (0.182:0.182:0.182)) - (IOPATH B Y (0.383:0.383:0.383) (0.178:0.178:0.178)) - (IOPATH C Y (0.309:0.309:0.309) (0.159:0.159:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _320_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.378:0.378:0.378) (0.439:0.439:0.439)) - (IOPATH A2 X (0.334:0.334:0.334) (0.406:0.406:0.406)) - (IOPATH B1 X (0.358:0.358:0.358) (0.294:0.294:0.294)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _321_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.232:0.234:0.236) (0.191:0.192:0.192)) - (IOPATH B Y (0.215:0.216:0.218) (0.120:0.120:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _322_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.288:0.288:0.288) (0.350:0.350:0.350)) - (IOPATH A2 X (0.279:0.279:0.279) (0.362:0.362:0.362)) - (IOPATH B1 X (0.309:0.309:0.309) (0.254:0.254:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _323_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.212:0.212:0.212) (0.288:0.288:0.288)) - (IOPATH A2 X (0.341:0.341:0.341) (0.345:0.346:0.348)) - (IOPATH B1 X (0.146:0.146:0.147) (0.276:0.277:0.278)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _324_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.275:0.275:0.275) (0.264:0.264:0.264)) - (IOPATH A Y (0.276:0.276:0.276) (0.212:0.212:0.212)) - (IOPATH B Y (0.291:0.291:0.291) (0.277:0.277:0.277)) - (IOPATH B Y (0.270:0.270:0.270) (0.201:0.201:0.201)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _325_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.287:0.287:0.287) (0.901:0.901:0.901)) - (IOPATH B X (0.297:0.297:0.297) (0.873:0.873:0.873)) - (IOPATH C X (0.235:0.235:0.235) (0.758:0.758:0.758)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _326_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.289:0.289:0.289) (0.240:0.240:0.240)) - (IOPATH A2 Y (0.294:0.294:0.294) (0.223:0.223:0.223)) - (IOPATH B1 Y (0.182:0.182:0.182) (0.188:0.188:0.188)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _327_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.107:0.107:0.107) (0.082:0.083:0.083)) - (IOPATH B Y (0.103:0.106:0.109) (0.121:0.129:0.137)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _328_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.285:0.285:0.285) (0.287:0.287:0.287)) - (IOPATH A Y (0.331:0.331:0.331) (0.224:0.224:0.224)) - (IOPATH B Y (0.335:0.335:0.335) (0.305:0.305:0.305)) - (IOPATH B Y (0.331:0.331:0.331) (0.252:0.252:0.252)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _329_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.304:0.304:0.304) (0.954:0.954:0.954)) - (IOPATH B X (0.352:0.352:0.352) (0.930:0.930:0.930)) - (IOPATH C X (0.324:0.324:0.324) (0.847:0.847:0.847)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _330_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.280:0.280:0.280) (0.217:0.217:0.217)) - (IOPATH A2 Y (0.288:0.288:0.288) (0.233:0.233:0.233)) - (IOPATH B1 Y (0.219:0.219:0.219) (0.236:0.236:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _331_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.130:0.130:0.130) (0.109:0.109:0.109)) - (IOPATH B Y (0.110:0.116:0.121) (0.131:0.136:0.142)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _332_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.317:0.317:0.317) (0.322:0.322:0.322)) - (IOPATH A Y (0.381:0.381:0.381) (0.259:0.259:0.259)) - (IOPATH B Y (0.298:0.298:0.298) (0.267:0.267:0.267)) - (IOPATH B Y (0.313:0.313:0.313) (0.219:0.219:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _333_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.344:0.344:0.344) (0.350:0.350:0.350)) - (IOPATH A Y (0.392:0.392:0.392) (0.288:0.288:0.288)) - (IOPATH B Y (0.343:0.343:0.343) (0.328:0.328:0.328)) - (IOPATH B Y (0.360:0.360:0.360) (0.260:0.260:0.260)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _334_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.274:0.274:0.274) (0.254:0.254:0.254)) - (IOPATH A Y (0.296:0.296:0.296) (0.212:0.212:0.212)) - (IOPATH B Y (0.289:0.289:0.289) (0.282:0.282:0.282)) - (IOPATH B Y (0.302:0.302:0.302) (0.208:0.208:0.208)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _335_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.426:0.426:0.426) (0.232:0.232:0.232)) - (IOPATH B Y (0.414:0.414:0.414) (0.222:0.222:0.222)) - (IOPATH C Y (0.345:0.345:0.345) (0.203:0.203:0.203)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _336_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.456:0.456:0.456) (0.500:0.500:0.500)) - (IOPATH A2 X (0.411:0.411:0.411) (0.478:0.478:0.478)) - (IOPATH B1 X (0.439:0.439:0.439) (0.361:0.361:0.361)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _337_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.194:0.195:0.196) (0.170:0.171:0.171)) - (IOPATH B Y (0.175:0.176:0.178) (0.116:0.117:0.117)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _338_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.306:0.306:0.306) (0.363:0.363:0.363)) - (IOPATH A2 X (0.288:0.288:0.288) (0.371:0.371:0.371)) - (IOPATH B1 X (0.324:0.324:0.324) (0.267:0.267:0.267)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _339_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.236:0.236:0.236) (0.309:0.309:0.309)) - (IOPATH A2 X (0.442:0.442:0.443) (0.393:0.395:0.397)) - (IOPATH B1 X (0.170:0.171:0.171) (0.298:0.299:0.300)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _340_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.339:0.339:0.339) (0.329:0.329:0.329)) - (IOPATH A Y (0.395:0.395:0.395) (0.284:0.284:0.284)) - (IOPATH B Y (0.348:0.348:0.348) (0.333:0.333:0.333)) - (IOPATH B Y (0.386:0.386:0.386) (0.271:0.271:0.271)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _341_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.305:0.305:0.305) (0.914:0.914:0.914)) - (IOPATH B X (0.306:0.306:0.306) (0.881:0.881:0.881)) - (IOPATH C X (0.266:0.266:0.266) (0.777:0.777:0.777)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _342_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.291:0.291:0.291) (0.249:0.249:0.249)) - (IOPATH A2 Y (0.291:0.291:0.291) (0.223:0.223:0.223)) - (IOPATH B1 Y (0.200:0.200:0.200) (0.213:0.213:0.213)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _343_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.136:0.136:0.137) (0.112:0.112:0.113)) - (IOPATH B Y (0.127:0.131:0.135) (0.151:0.158:0.166)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _344_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.323:0.323:0.323) (0.309:0.309:0.309)) - (IOPATH A Y (0.330:0.330:0.330) (0.262:0.262:0.262)) - (IOPATH B Y (0.251:0.251:0.251) (0.255:0.255:0.255)) - (IOPATH B Y (0.262:0.262:0.262) (0.170:0.170:0.170)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _345_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.349:0.349:0.349) (0.959:0.959:0.959)) - (IOPATH B X (0.260:0.260:0.260) (0.875:0.875:0.875)) - (IOPATH C X (0.294:0.294:0.294) (0.812:0.812:0.812)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _346_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.306:0.306:0.306) (0.271:0.271:0.271)) - (IOPATH A2 Y (0.251:0.251:0.251) (0.171:0.171:0.171)) - (IOPATH B1 Y (0.207:0.207:0.207) (0.222:0.222:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _347_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.147:0.147:0.147) (0.123:0.123:0.124)) - (IOPATH B Y (0.119:0.129:0.139) (0.153:0.160:0.166)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _348_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.270:0.270:0.270) (0.273:0.273:0.273)) - (IOPATH A Y (0.289:0.289:0.289) (0.206:0.206:0.206)) - (IOPATH B Y (0.258:0.258:0.258) (0.226:0.226:0.226)) - (IOPATH B Y (0.227:0.227:0.227) (0.174:0.174:0.174)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _349_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.475:0.475:0.475) (0.230:0.230:0.230)) - (IOPATH B Y (0.396:0.396:0.396) (0.147:0.147:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_1") - (INSTANCE _350_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.606:0.606:0.606) (0.563:0.563:0.563)) - (IOPATH A2 Y (0.602:0.602:0.602) (0.544:0.544:0.544)) - (IOPATH B1 Y (0.341:0.341:0.341) (0.557:0.557:0.557)) - (IOPATH C1 Y (0.303:0.303:0.303) (0.519:0.519:0.519)) - (IOPATH D1 Y (0.234:0.240:0.246) (0.519:0.520:0.521)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _351_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.364:0.372:0.380) (0.197:0.251:0.306)) - (IOPATH A2 Y (0.265:0.265:0.265) (0.190:0.190:0.190)) - (IOPATH B1 Y (0.286:0.286:0.286) (0.181:0.181:0.181)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _352_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.251:0.251:0.251) (0.196:0.196:0.196)) - (IOPATH A2 Y (0.358:0.367:0.375) (0.181:0.227:0.272)) - (IOPATH B1 Y (0.143:0.159:0.174) (0.172:0.175:0.178)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _353_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.269:0.269:0.269) (0.212:0.212:0.212)) - (IOPATH A2 Y (0.258:0.259:0.260) (0.164:0.165:0.166)) - (IOPATH B1 Y (0.151:0.151:0.151) (0.172:0.172:0.172)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4b_1") - (INSTANCE _354_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.277:0.277:0.277) (0.450:0.450:0.450)) - (IOPATH B Y (0.184:0.184:0.184) (0.289:0.289:0.289)) - (IOPATH C Y (0.168:0.174:0.180) (0.302:0.303:0.303)) - (IOPATH D Y (0.149:0.149:0.149) (0.254:0.254:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _355_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.101:0.107:0.112) (0.132:0.140:0.147)) - (IOPATH B Y (0.172:0.173:0.174) (0.129:0.135:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _356_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.209:0.209:0.209) (0.307:0.307:0.307)) - (IOPATH B Y (0.178:0.178:0.178) (0.188:0.188:0.188)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _357_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.275:0.275:0.275) (0.379:0.379:0.379)) - (IOPATH B Y (0.135:0.135:0.135) (0.161:0.161:0.161)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _358_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.128:0.128:0.128) (0.160:0.163:0.166)) - (IOPATH B Y (0.153:0.155:0.157) (0.188:0.188:0.189)) - (IOPATH C Y (0.139:0.139:0.139) (0.204:0.204:0.204)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _359_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.239:0.239:0.239) (0.166:0.166:0.166)) - (IOPATH B Y (0.172:0.172:0.172) (0.105:0.105:0.105)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _360_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.307:0.307:0.307) (0.342:0.342:0.342)) - (IOPATH B X (0.264:0.264:0.264) (0.328:0.328:0.328)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _361_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.211:0.217:0.222) (0.171:0.171:0.172)) - (IOPATH A2 Y (0.196:0.196:0.197) (0.121:0.122:0.122)) - (IOPATH B1 Y (0.160:0.163:0.167) (0.198:0.198:0.198)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _362_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.323:0.323:0.323) (0.424:0.424:0.424)) - (IOPATH A2 X (0.341:0.341:0.341) (0.412:0.415:0.418)) - (IOPATH B1 X (0.284:0.288:0.292) (0.398:0.399:0.399)) - (IOPATH B2 X (0.272:0.278:0.285) (0.334:0.342:0.349)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _363_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.258:0.258:0.258) (0.399:0.399:0.399)) - (IOPATH B Y (0.227:0.235:0.244) (0.212:0.248:0.284)) - (IOPATH C Y (0.233:0.233:0.233) (0.286:0.286:0.286)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _364_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.197:0.197:0.197) (0.126:0.126:0.126)) - (IOPATH A2 Y (0.201:0.229:0.256) (0.185:0.193:0.201)) - (IOPATH B1 Y (0.164:0.168:0.172) (0.132:0.139:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _365_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.234:0.234:0.234) (0.360:0.360:0.360)) - (IOPATH B Y (0.200:0.208:0.215) (0.175:0.208:0.242)) - (IOPATH C Y (0.163:0.163:0.163) (0.203:0.203:0.203)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _366_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.209:0.210:0.210) (0.133:0.133:0.134)) - (IOPATH A2 Y (0.214:0.242:0.269) (0.193:0.202:0.210)) - (IOPATH B1 Y (0.152:0.157:0.161) (0.127:0.135:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _367_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.305:0.305:0.305) (0.672:0.672:0.672)) - (IOPATH A1 X (0.238:0.240:0.241) (0.665:0.665:0.666)) - (IOPATH S X (0.444:0.445:0.445) (0.748:0.749:0.749)) - (IOPATH S X (0.299:0.300:0.300) (0.736:0.736:0.737)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _368_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.318:0.318:0.318) (0.683:0.683:0.683)) - (IOPATH A1 X (0.232:0.233:0.235) (0.663:0.663:0.663)) - (IOPATH S X (0.450:0.451:0.451) (0.757:0.757:0.758)) - (IOPATH S X (0.306:0.306:0.307) (0.745:0.745:0.746)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _369_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.258:0.258:0.258) (0.204:0.204:0.204)) - (IOPATH A2 Y (0.220:0.223:0.226) (0.179:0.179:0.179)) - (IOPATH B1 Y (0.141:0.141:0.141) (0.120:0.122:0.123)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31ai_1") - (INSTANCE _370_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.432:0.432:0.432) (0.216:0.216:0.216)) - (IOPATH A2 Y (0.370:0.370:0.370) (0.135:0.135:0.135)) - (IOPATH A3 Y (0.339:0.341:0.344) (0.186:0.186:0.186)) - (IOPATH B1 Y (0.099:0.103:0.107) (0.149:0.156:0.163)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _371_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.284:0.284:0.284) (0.219:0.219:0.219)) - (IOPATH A2 Y (0.243:0.245:0.248) (0.193:0.193:0.193)) - (IOPATH B1_N Y (0.195:0.197:0.198) (0.352:0.352:0.352)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _372_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.345:0.345:0.345) (0.615:0.615:0.615)) - (IOPATH A2 X (0.383:0.383:0.383) (0.610:0.610:0.610)) - (IOPATH A3 X (0.307:0.307:0.307) (0.496:0.499:0.501)) - (IOPATH B1 X (0.271:0.291:0.310) (0.206:0.210:0.215)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _373_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.330:0.330:0.330) (0.244:0.244:0.244)) - (IOPATH A2 Y (0.289:0.292:0.294) (0.219:0.219:0.219)) - (IOPATH B1_N Y (0.203:0.205:0.207) (0.364:0.364:0.364)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _374_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.345:0.345:0.345) (0.617:0.617:0.617)) - (IOPATH A2 X (0.361:0.361:0.361) (0.608:0.608:0.608)) - (IOPATH A3 X (0.307:0.307:0.307) (0.498:0.501:0.503)) - (IOPATH B1 X (0.284:0.309:0.334) (0.221:0.225:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _375_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.252:0.254:0.255) (0.675:0.675:0.675)) - (IOPATH A1 X (0.335:0.335:0.335) (0.709:0.709:0.709)) - (IOPATH S X (0.464:0.464:0.464) (0.799:0.799:0.799)) - (IOPATH S X (0.341:0.341:0.341) (0.770:0.770:0.770)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _376_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.250:0.252:0.253) (0.673:0.673:0.673)) - (IOPATH A1 X (0.349:0.349:0.349) (0.738:0.738:0.738)) - (IOPATH S X (0.458:0.458:0.458) (0.793:0.793:0.793)) - (IOPATH S X (0.335:0.335:0.335) (0.764:0.764:0.764)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor4_1") - (INSTANCE _377_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.563:0.563:0.563) (0.181:0.181:0.181)) - (IOPATH B Y (0.555:0.555:0.555) (0.191:0.191:0.191)) - (IOPATH C Y (0.464:0.464:0.464) (0.166:0.166:0.166)) - (IOPATH D Y (0.366:0.366:0.366) (0.134:0.134:0.134)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _378_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.354:0.354:0.354) (0.624:0.624:0.624)) - (IOPATH A2 X (0.357:0.357:0.357) (0.604:0.604:0.604)) - (IOPATH A3 X (0.311:0.311:0.311) (0.500:0.500:0.500)) - (IOPATH B1 X (0.318:0.318:0.318) (0.240:0.240:0.240)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _379_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.325:0.326:0.327) (0.524:0.529:0.535)) - (IOPATH B X (0.201:0.202:0.203) (0.488:0.494:0.500)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _380_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.280:0.280:0.280) (0.667:0.667:0.667)) - (IOPATH A1 X (0.242:0.242:0.242) (0.672:0.672:0.672)) - (IOPATH S X (0.446:0.446:0.446) (0.805:0.805:0.805)) - (IOPATH S X (0.347:0.347:0.347) (0.756:0.756:0.756)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _381_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.336:0.336:0.336) (0.281:0.281:0.281)) - (IOPATH A2 Y (0.313:0.314:0.314) (0.199:0.199:0.200)) - (IOPATH B1 Y (0.173:0.173:0.173) (0.193:0.193:0.193)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4b_1") - (INSTANCE _382_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.270:0.270:0.270) (0.443:0.443:0.443)) - (IOPATH B Y (0.171:0.171:0.171) (0.267:0.267:0.267)) - (IOPATH C Y (0.157:0.163:0.168) (0.286:0.286:0.286)) - (IOPATH D Y (0.138:0.138:0.138) (0.233:0.233:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _383_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.101:0.108:0.116) (0.129:0.139:0.149)) - (IOPATH B Y (0.151:0.152:0.153) (0.114:0.119:0.125)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _384_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.293:0.293:0.293) (0.380:0.380:0.380)) - (IOPATH B Y (0.126:0.126:0.126) (0.149:0.149:0.149)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _385_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.213:0.213:0.213) (0.318:0.318:0.318)) - (IOPATH B Y (0.174:0.174:0.174) (0.187:0.187:0.187)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _386_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.222:0.222:0.222) (0.326:0.326:0.326)) - (IOPATH B Y (0.204:0.204:0.204) (0.235:0.235:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _387_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.314:0.314:0.314) (0.421:0.421:0.421)) - (IOPATH B Y (0.125:0.125:0.125) (0.148:0.148:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _388_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.213:0.216:0.218) (0.338:0.338:0.338)) - (IOPATH B Y (0.185:0.186:0.187) (0.231:0.233:0.235)) - (IOPATH C Y (0.174:0.176:0.178) (0.238:0.238:0.238)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _389_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.159:0.161:0.163) (0.213:0.214:0.214)) - (IOPATH B Y (0.195:0.197:0.200) (0.240:0.242:0.244)) - (IOPATH C Y (0.167:0.167:0.167) (0.250:0.250:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _390_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.426:0.426:0.426) (0.497:0.497:0.497)) - (IOPATH A2 X (0.401:0.401:0.401) (0.447:0.450:0.452)) - (IOPATH B1 X (0.305:0.307:0.310) (0.423:0.430:0.437)) - (IOPATH B2 X (0.273:0.277:0.281) (0.392:0.392:0.392)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _391_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.265:0.265:0.265) (0.404:0.404:0.404)) - (IOPATH B Y (0.226:0.234:0.243) (0.204:0.241:0.277)) - (IOPATH C Y (0.233:0.233:0.233) (0.282:0.282:0.282)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _392_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.218:0.218:0.218) (0.139:0.139:0.139)) - (IOPATH A2 Y (0.224:0.259:0.294) (0.211:0.219:0.228)) - (IOPATH B1 Y (0.169:0.174:0.178) (0.137:0.145:0.153)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _393_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.289:0.289:0.289) (0.442:0.442:0.442)) - (IOPATH B Y (0.253:0.262:0.271) (0.242:0.280:0.318)) - (IOPATH C Y (0.229:0.229:0.229) (0.292:0.292:0.292)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _394_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.202:0.202:0.203) (0.130:0.130:0.130)) - (IOPATH A2 Y (0.213:0.248:0.282) (0.203:0.211:0.220)) - (IOPATH B1 Y (0.183:0.187:0.191) (0.144:0.152:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _395_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.319:0.319:0.319) (0.689:0.689:0.689)) - (IOPATH A1 X (0.242:0.243:0.244) (0.673:0.673:0.674)) - (IOPATH S X (0.450:0.450:0.451) (0.755:0.756:0.757)) - (IOPATH S X (0.302:0.303:0.303) (0.747:0.748:0.748)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _396_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.318:0.318:0.318) (0.678:0.678:0.678)) - (IOPATH A1 X (0.239:0.240:0.241) (0.665:0.665:0.665)) - (IOPATH S X (0.438:0.439:0.439) (0.739:0.739:0.740)) - (IOPATH S X (0.290:0.291:0.292) (0.731:0.731:0.732)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _397_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.406:0.406:0.406) (0.319:0.319:0.319)) - (IOPATH A2 Y (0.358:0.360:0.362) (0.295:0.295:0.295)) - (IOPATH B1 Y (0.215:0.216:0.216) (0.177:0.179:0.181)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31ai_1") - (INSTANCE _398_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.660:0.660:0.660) (0.338:0.338:0.338)) - (IOPATH A2 Y (0.556:0.556:0.556) (0.194:0.194:0.194)) - (IOPATH A3 Y (0.558:0.560:0.563) (0.304:0.304:0.305)) - (IOPATH B1 Y (0.193:0.197:0.201) (0.254:0.268:0.283)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _399_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.388:0.388:0.388) (0.304:0.304:0.304)) - (IOPATH A2 Y (0.337:0.339:0.341) (0.279:0.279:0.279)) - (IOPATH B1_N Y (0.214:0.217:0.219) (0.375:0.375:0.375)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _400_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.411:0.411:0.411) (0.671:0.671:0.671)) - (IOPATH A2 X (0.406:0.406:0.406) (0.628:0.628:0.628)) - (IOPATH A3 X (0.375:0.375:0.375) (0.538:0.540:0.542)) - (IOPATH B1 X (0.303:0.330:0.357) (0.242:0.245:0.248)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _401_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.351:0.351:0.351) (0.258:0.258:0.258)) - (IOPATH A2 Y (0.339:0.342:0.344) (0.281:0.281:0.281)) - (IOPATH B1_N Y (0.242:0.244:0.246) (0.398:0.398:0.398)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _402_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.403:0.403:0.403) (0.665:0.665:0.665)) - (IOPATH A2 X (0.357:0.357:0.357) (0.605:0.605:0.605)) - (IOPATH A3 X (0.366:0.366:0.366) (0.532:0.534:0.536)) - (IOPATH B1 X (0.294:0.321:0.348) (0.238:0.238:0.238)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_1") - (INSTANCE _403_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.495:0.495:0.495) (0.463:0.463:0.463)) - (IOPATH A2 Y (0.482:0.482:0.482) (0.438:0.438:0.438)) - (IOPATH B1 Y (0.289:0.289:0.289) (0.451:0.451:0.451)) - (IOPATH C1 Y (0.249:0.249:0.249) (0.425:0.425:0.425)) - (IOPATH D1 Y (0.178:0.182:0.186) (0.387:0.387:0.387)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _404_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.305:0.312:0.319) (0.165:0.208:0.250)) - (IOPATH A2 Y (0.262:0.262:0.262) (0.192:0.192:0.192)) - (IOPATH B1 Y (0.235:0.235:0.235) (0.139:0.139:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _405_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.261:0.261:0.261) (0.206:0.206:0.206)) - (IOPATH A2 Y (0.309:0.317:0.324) (0.161:0.198:0.235)) - (IOPATH B1 Y (0.140:0.152:0.165) (0.167:0.170:0.173)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _406_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.252:0.255:0.257) (0.672:0.673:0.673)) - (IOPATH A1 X (0.328:0.328:0.328) (0.702:0.702:0.702)) - (IOPATH S X (0.473:0.473:0.473) (0.796:0.796:0.796)) - (IOPATH S X (0.340:0.340:0.340) (0.775:0.775:0.775)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _407_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.226:0.228:0.231) (0.644:0.644:0.644)) - (IOPATH A1 X (0.319:0.319:0.319) (0.706:0.706:0.706)) - (IOPATH S X (0.453:0.453:0.453) (0.770:0.770:0.770)) - (IOPATH S X (0.319:0.319:0.319) (0.749:0.749:0.749)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor4_1") - (INSTANCE _408_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.581:0.581:0.581) (0.238:0.238:0.238)) - (IOPATH B Y (0.526:0.526:0.526) (0.178:0.178:0.178)) - (IOPATH C Y (0.440:0.440:0.440) (0.160:0.160:0.160)) - (IOPATH D Y (0.338:0.338:0.338) (0.121:0.121:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _409_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.372:0.372:0.372) (0.631:0.631:0.631)) - (IOPATH A2 X (0.310:0.310:0.310) (0.558:0.558:0.558)) - (IOPATH A3 X (0.271:0.271:0.271) (0.460:0.460:0.460)) - (IOPATH B1 X (0.269:0.269:0.269) (0.204:0.204:0.204)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _410_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.301:0.302:0.303) (0.503:0.515:0.527)) - (IOPATH B X (0.167:0.168:0.170) (0.463:0.467:0.471)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _412__9) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.118:0.118:0.118) (0.099:0.099:0.099)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_ext_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (1.240:1.240:1.240) (1.592:1.592:1.592)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _414__6) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.069:0.069:0.069) (0.057:0.057:0.057)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _266__7) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.066:0.066:0.066) (0.053:0.053:0.053)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _416__3) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.066:0.066:0.066) (0.055:0.055:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _268__4) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.069:0.069:0.069) (0.057:0.057:0.057)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _417_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.049:1.049:1.049) (0.684:0.684:0.684)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.255:0.255:0.255)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.218:-0.218:-0.218)) - (HOLD (posedge D) (posedge CLK) (-0.078:-0.078:-0.078)) - (HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.013)) - (SETUP (posedge D) (posedge CLK) (0.131:0.131:0.131)) - (SETUP (negedge D) (posedge CLK) (0.155:0.155:0.155)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _418_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.054:1.054:1.054) (0.688:0.688:0.688)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.263:0.263:0.263)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.227:-0.227:-0.227)) - (HOLD (posedge D) (posedge CLK) (-0.078:-0.078:-0.078)) - (HOLD (negedge D) (posedge CLK) (-0.014:-0.014:-0.014)) - (SETUP (posedge D) (posedge CLK) (0.131:0.131:0.131)) - (SETUP (negedge D) (posedge CLK) (0.156:0.156:0.156)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _419_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.065:1.065:1.065) (0.700:0.700:0.700)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.272:0.272:0.272)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.236:-0.236:-0.236)) - (HOLD (posedge D) (posedge CLK) (-0.056:-0.056:-0.056)) - (HOLD (negedge D) (posedge CLK) (0.005:0.005:0.005)) - (SETUP (posedge D) (posedge CLK) (0.107:0.107:0.107)) - (SETUP (negedge D) (posedge CLK) (0.133:0.133:0.133)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _420_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.795:0.795:0.795) (0.869:0.869:0.869)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.725:0.725:0.725)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.403:-0.403:-0.403)) - (HOLD (posedge D) (posedge CLK) (-0.078:-0.078:-0.078)) - (HOLD (negedge D) (posedge CLK) (-0.090:-0.090:-0.090)) - (SETUP (posedge D) (posedge CLK) (0.134:0.134:0.134)) - (SETUP (negedge D) (posedge CLK) (0.269:0.269:0.269)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _421_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.714:0.714:0.714) (0.800:0.800:0.800)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.766:0.766:0.766)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.362:-0.362:-0.362)) - (HOLD (posedge D) (posedge CLK) (-0.109:-0.109:-0.109)) - (HOLD (negedge D) (posedge CLK) (-0.130:-0.130:-0.130)) - (SETUP (posedge D) (posedge CLK) (0.169:0.169:0.169)) - (SETUP (negedge D) (posedge CLK) (0.313:0.313:0.313)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _422_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.195:1.195:1.195) (0.794:0.794:0.794)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.276:0.276:0.276)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.239:-0.239:-0.239)) - (HOLD (posedge D) (posedge CLK) (-0.079:-0.087:-0.095)) - (HOLD (negedge D) (posedge CLK) (-0.002:-0.006:-0.010)) - (SETUP (posedge D) (posedge CLK) (0.132:0.141:0.150)) - (SETUP (negedge D) (posedge CLK) (0.144:0.149:0.154)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _423_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.683:0.683:0.683) (0.775:0.775:0.775)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.752:0.752:0.752)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.379:-0.379:-0.379)) - (HOLD (posedge D) (posedge CLK) (-0.088:-0.088:-0.088)) - (HOLD (negedge D) (posedge CLK) (-0.096:-0.096:-0.096)) - (SETUP (posedge D) (posedge CLK) (0.146:0.146:0.146)) - (SETUP (negedge D) (posedge CLK) (0.275:0.275:0.275)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _424_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.684:0.684:0.684) (0.618:0.618:0.618)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.118:-0.118:-0.118)) - (HOLD (negedge D) (posedge CLK) (-0.159:-0.159:-0.159)) - (SETUP (posedge D) (posedge CLK) (0.180:0.180:0.180)) - (SETUP (negedge D) (posedge CLK) (0.328:0.328:0.328)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _425_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.709:0.709:0.709) (0.634:0.634:0.634)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.119:-0.119:-0.119)) - (HOLD (negedge D) (posedge CLK) (-0.152:-0.152:-0.152)) - (SETUP (posedge D) (posedge CLK) (0.181:0.181:0.181)) - (SETUP (negedge D) (posedge CLK) (0.320:0.320:0.320)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _426_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.695:0.695:0.695) (0.625:0.625:0.625)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.113:-0.113:-0.113)) - (HOLD (negedge D) (posedge CLK) (-0.146:-0.146:-0.146)) - (SETUP (posedge D) (posedge CLK) (0.175:0.175:0.175)) - (SETUP (negedge D) (posedge CLK) (0.313:0.313:0.313)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _427_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.174:1.174:1.174) (0.780:0.780:0.780)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.289:0.289:0.289)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.254:-0.254:-0.254)) - (HOLD (posedge D) (posedge CLK) (-0.065:-0.067:-0.069)) - (HOLD (negedge D) (posedge CLK) (-0.002:-0.003:-0.004)) - (SETUP (posedge D) (posedge CLK) (0.117:0.119:0.122)) - (SETUP (negedge D) (posedge CLK) (0.144:0.145:0.146)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _428_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.708:0.708:0.708) (0.794:0.794:0.794)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.725:0.725:0.725)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.403:-0.403:-0.403)) - (HOLD (posedge D) (posedge CLK) (-0.079:-0.079:-0.079)) - (HOLD (negedge D) (posedge CLK) (-0.103:-0.103:-0.103)) - (SETUP (posedge D) (posedge CLK) (0.135:0.135:0.136)) - (SETUP (negedge D) (posedge CLK) (0.283:0.283:0.283)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _429_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (1.008:1.008:1.008) (0.860:0.860:0.860)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.959:0.959:0.959)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.542:-0.542:-0.542)) - (HOLD (posedge D) (negedge CLK_N) (0.141:0.138:0.135)) - (HOLD (negedge D) (negedge CLK_N) (-0.244:-0.247:-0.249)) - (SETUP (posedge D) (negedge CLK_N) (-0.047:-0.042:-0.038)) - (SETUP (negedge D) (negedge CLK_N) (0.372:0.374:0.376)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _430_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.242:1.242:1.242) (0.810:0.810:0.810)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.264:0.264:0.264)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.227:-0.227:-0.227)) - (HOLD (posedge D) (posedge CLK) (-0.094:-0.099:-0.103)) - (HOLD (negedge D) (posedge CLK) (-0.023:-0.024:-0.025)) - (SETUP (posedge D) (posedge CLK) (0.149:0.154:0.159)) - (SETUP (negedge D) (posedge CLK) (0.167:0.169:0.171)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _431_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.883:0.883:0.883) (0.766:0.766:0.766)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.944:0.944:0.944)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.553:-0.553:-0.553)) - (HOLD (posedge D) (negedge CLK_N) (0.137:0.133:0.129)) - (HOLD (negedge D) (negedge CLK_N) (-0.249:-0.251:-0.253)) - (SETUP (posedge D) (negedge CLK_N) (-0.042:-0.037:-0.032)) - (SETUP (negedge D) (negedge CLK_N) (0.376:0.378:0.380)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _432_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.216:1.216:1.216) (0.792:0.792:0.792)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.265:0.265:0.265)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.228:-0.228:-0.228)) - (HOLD (posedge D) (posedge CLK) (-0.092:-0.096:-0.101)) - (HOLD (negedge D) (posedge CLK) (-0.019:-0.022:-0.026)) - (SETUP (posedge D) (posedge CLK) (0.146:0.151:0.156)) - (SETUP (negedge D) (posedge CLK) (0.162:0.167:0.171)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _433_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.944:0.944:0.944) (0.816:0.816:0.816)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.959:0.959:0.959)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.542:-0.542:-0.542)) - (HOLD (posedge D) (negedge CLK_N) (0.156:0.155:0.154)) - (HOLD (negedge D) (negedge CLK_N) (-0.254:-0.254:-0.254)) - (SETUP (posedge D) (negedge CLK_N) (-0.065:-0.064:-0.063)) - (SETUP (negedge D) (negedge CLK_N) (0.381:0.381:0.382)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _434_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.241:1.241:1.241) (0.761:0.761:0.761)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.266:0.266:0.266)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.223:-0.223:-0.223)) - (HOLD (posedge D) (posedge CLK) (-0.071:-0.071:-0.072)) - (HOLD (negedge D) (posedge CLK) (-0.019:-0.019:-0.019)) - (SETUP (posedge D) (posedge CLK) (0.129:0.130:0.130)) - (SETUP (negedge D) (posedge CLK) (0.179:0.179:0.180)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _435_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.957:0.957:0.957) (0.819:0.819:0.819)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.959:0.959:0.959)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.542:-0.542:-0.542)) - (HOLD (posedge D) (negedge CLK_N) (0.155:0.154:0.153)) - (HOLD (negedge D) (negedge CLK_N) (-0.256:-0.256:-0.256)) - (SETUP (posedge D) (negedge CLK_N) (-0.063:-0.062:-0.061)) - (SETUP (negedge D) (negedge CLK_N) (0.383:0.383:0.384)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _436_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.871:0.871:0.871) (0.954:0.954:0.954)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.725:0.725:0.725)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.375:-0.375:-0.375)) - (HOLD (posedge D) (posedge CLK) (-0.074:-0.099:-0.124)) - (HOLD (negedge D) (posedge CLK) (-0.085:-0.090:-0.095)) - (SETUP (posedge D) (posedge CLK) (0.135:0.163:0.191)) - (SETUP (negedge D) (posedge CLK) (0.272:0.277:0.283)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _437_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.341:1.341:1.341) (0.885:0.885:0.885)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.277:0.277:0.277)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.240:-0.240:-0.240)) - (HOLD (posedge D) (posedge CLK) (-0.070:-0.071:-0.071)) - (HOLD (negedge D) (posedge CLK) (0.000:-0.003:-0.006)) - (SETUP (posedge D) (posedge CLK) (0.123:0.123:0.124)) - (SETUP (negedge D) (posedge CLK) (0.141:0.145:0.149)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _438_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.871:0.871:0.871) (0.919:0.919:0.919)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.757:0.757:0.757)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.365:-0.365:-0.365)) - (HOLD (posedge D) (posedge CLK) (-0.079:-0.079:-0.080)) - (HOLD (negedge D) (posedge CLK) (-0.089:-0.095:-0.101)) - (SETUP (posedge D) (posedge CLK) (0.135:0.136:0.136)) - (SETUP (negedge D) (posedge CLK) (0.268:0.275:0.281)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _439_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.315:1.315:1.315) (0.820:0.820:0.820)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.290:0.290:0.290)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.248:-0.248:-0.248)) - (HOLD (posedge D) (posedge CLK) (-0.063:-0.063:-0.064)) - (HOLD (negedge D) (posedge CLK) (-0.004:-0.004:-0.004)) - (SETUP (posedge D) (posedge CLK) (0.120:0.121:0.122)) - (SETUP (negedge D) (posedge CLK) (0.163:0.163:0.163)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _440_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.856:0.856:0.856) (0.910:0.910:0.910)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.740:0.740:0.740)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.393:-0.393:-0.393)) - (HOLD (posedge D) (posedge CLK) (-0.075:-0.075:-0.076)) - (HOLD (negedge D) (posedge CLK) (-0.108:-0.108:-0.108)) - (SETUP (posedge D) (posedge CLK) (0.131:0.132:0.133)) - (SETUP (negedge D) (posedge CLK) (0.289:0.289:0.289)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _441_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.761:0.761:0.761) (0.838:0.838:0.838)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.740:0.740:0.740)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.393:-0.393:-0.393)) - (HOLD (posedge D) (posedge CLK) (-0.076:-0.076:-0.077)) - (HOLD (negedge D) (posedge CLK) (-0.105:-0.105:-0.105)) - (SETUP (posedge D) (posedge CLK) (0.132:0.133:0.133)) - (SETUP (negedge D) (posedge CLK) (0.285:0.285:0.285)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _442_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.629:0.629:0.629) (0.724:0.724:0.724)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.713:0.713:0.713)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.390:-0.390:-0.390)) - (HOLD (posedge D) (posedge CLK) (-0.126:-0.126:-0.126)) - (HOLD (negedge D) (posedge CLK) (-0.153:-0.153:-0.153)) - (SETUP (posedge D) (posedge CLK) (0.187:0.187:0.187)) - (SETUP (negedge D) (posedge CLK) (0.340:0.340:0.340)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _443_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.059:1.059:1.059) (0.695:0.695:0.695)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.277:0.277:0.277)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.241:-0.241:-0.241)) - (HOLD (posedge D) (posedge CLK) (-0.108:-0.108:-0.108)) - (HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (SETUP (posedge D) (posedge CLK) (0.164:0.164:0.164)) - (SETUP (negedge D) (posedge CLK) (0.187:0.187:0.187)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _444_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.625:0.625:0.625) (0.720:0.720:0.720)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.711:0.711:0.711)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.388:-0.388:-0.388)) - (HOLD (posedge D) (posedge CLK) (-0.123:-0.123:-0.123)) - (HOLD (negedge D) (posedge CLK) (-0.151:-0.151:-0.151)) - (SETUP (posedge D) (posedge CLK) (0.183:0.183:0.183)) - (SETUP (negedge D) (posedge CLK) (0.337:0.337:0.337)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _445_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.640:0.640:0.640) (0.733:0.733:0.733)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.713:0.713:0.713)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.390:-0.390:-0.390)) - (HOLD (posedge D) (posedge CLK) (-0.080:-0.080:-0.080)) - (HOLD (negedge D) (posedge CLK) (-0.101:-0.101:-0.101)) - (SETUP (posedge D) (posedge CLK) (0.137:0.137:0.137)) - (SETUP (negedge D) (posedge CLK) (0.281:0.281:0.281)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _446_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.289:1.289:1.289) (0.844:0.844:0.844)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.277:0.277:0.277)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.241:-0.241:-0.241)) - (HOLD (posedge D) (posedge CLK) (-0.072:-0.072:-0.072)) - (HOLD (negedge D) (posedge CLK) (-0.006:-0.006:-0.006)) - (SETUP (posedge D) (posedge CLK) (0.125:0.125:0.125)) - (SETUP (negedge D) (posedge CLK) (0.147:0.147:0.147)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _447_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.791:0.791:0.791) (0.858:0.858:0.858)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.712:0.712:0.712)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.390:-0.390:-0.390)) - (HOLD (posedge D) (posedge CLK) (-0.080:-0.080:-0.080)) - (HOLD (negedge D) (posedge CLK) (-0.101:-0.101:-0.101)) - (SETUP (posedge D) (posedge CLK) (0.136:0.136:0.136)) - (SETUP (negedge D) (posedge CLK) (0.281:0.281:0.281)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _448_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.709:0.709:0.709) (0.637:0.637:0.637)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.070:-0.071:-0.072)) - (HOLD (negedge D) (posedge CLK) (-0.117:-0.117:-0.118)) - (SETUP (posedge D) (posedge CLK) (0.127:0.128:0.128)) - (SETUP (negedge D) (posedge CLK) (0.282:0.282:0.282)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _449_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.678:0.678:0.678) (0.615:0.615:0.615)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.114:-0.114:-0.114)) - (HOLD (negedge D) (posedge CLK) (-0.153:-0.153:-0.153)) - (SETUP (posedge D) (posedge CLK) (0.176:0.176:0.176)) - (SETUP (negedge D) (posedge CLK) (0.322:0.322:0.322)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _450_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.698:0.698:0.698) (0.627:0.627:0.627)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.156:-0.156:-0.156)) - (HOLD (negedge D) (posedge CLK) (-0.193:-0.193:-0.193)) - (SETUP (posedge D) (posedge CLK) (0.222:0.222:0.222)) - (SETUP (negedge D) (posedge CLK) (0.365:0.365:0.365)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _451_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.692:0.692:0.692) (0.623:0.623:0.623)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.103:-0.103:-0.103)) - (HOLD (negedge D) (posedge CLK) (-0.136:-0.136:-0.136)) - (SETUP (posedge D) (posedge CLK) (0.163:0.163:0.163)) - (SETUP (negedge D) (posedge CLK) (0.303:0.303:0.303)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _452_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.192:1.192:1.192) (0.794:0.794:0.794)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.276:0.276:0.276)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.239:-0.239:-0.239)) - (HOLD (posedge D) (posedge CLK) (-0.062:-0.064:-0.066)) - (HOLD (negedge D) (posedge CLK) (0.001:-0.000:-0.002)) - (SETUP (posedge D) (posedge CLK) (0.114:0.116:0.119)) - (SETUP (negedge D) (posedge CLK) (0.140:0.142:0.144)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _453_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.764:0.764:0.764) (0.842:0.842:0.842)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.764:0.764:0.764)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.359:-0.359:-0.359)) - (HOLD (posedge D) (posedge CLK) (-0.079:-0.079:-0.079)) - (HOLD (negedge D) (posedge CLK) (-0.102:-0.103:-0.103)) - (SETUP (posedge D) (posedge CLK) (0.135:0.135:0.136)) - (SETUP (negedge D) (posedge CLK) (0.283:0.283:0.283)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _454_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (1.022:1.022:1.022) (0.869:0.869:0.869)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.968:0.968:0.968)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.525:-0.525:-0.525)) - (HOLD (posedge D) (negedge CLK_N) (0.136:0.131:0.126)) - (HOLD (negedge D) (negedge CLK_N) (-0.252:-0.253:-0.255)) - (SETUP (posedge D) (negedge CLK_N) (-0.040:-0.034:-0.028)) - (SETUP (negedge D) (negedge CLK_N) (0.380:0.381:0.382)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _455_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.275:1.275:1.275) (0.832:0.832:0.832)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.260:0.260:0.260)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.223:-0.223:-0.223)) - (HOLD (posedge D) (posedge CLK) (-0.089:-0.093:-0.097)) - (HOLD (negedge D) (posedge CLK) (-0.020:-0.021:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.143:0.147:0.152)) - (SETUP (negedge D) (posedge CLK) (0.163:0.164:0.165)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _456_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.944:0.944:0.944) (0.814:0.814:0.814)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.968:0.968:0.968)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.525:-0.525:-0.525)) - (HOLD (posedge D) (negedge CLK_N) (0.137:0.133:0.129)) - (HOLD (negedge D) (negedge CLK_N) (-0.249:-0.251:-0.252)) - (SETUP (posedge D) (negedge CLK_N) (-0.042:-0.037:-0.032)) - (SETUP (negedge D) (negedge CLK_N) (0.377:0.378:0.380)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _457_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.173:1.173:1.173) (0.771:0.771:0.771)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.256:0.256:0.256)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.219:-0.219:-0.219)) - (HOLD (posedge D) (posedge CLK) (-0.088:-0.091:-0.095)) - (HOLD (negedge D) (posedge CLK) (-0.015:-0.020:-0.025)) - (SETUP (posedge D) (posedge CLK) (0.142:0.146:0.150)) - (SETUP (negedge D) (posedge CLK) (0.157:0.164:0.170)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _458_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.943:0.943:0.943) (0.814:0.814:0.814)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.968:0.968:0.968)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.525:-0.525:-0.525)) - (HOLD (posedge D) (negedge CLK_N) (0.150:0.150:0.149)) - (HOLD (negedge D) (negedge CLK_N) (-0.259:-0.259:-0.259)) - (SETUP (posedge D) (negedge CLK_N) (-0.059:-0.058:-0.057)) - (SETUP (negedge D) (negedge CLK_N) (0.387:0.387:0.387)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _459_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.260:1.260:1.260) (0.772:0.772:0.772)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.261:0.261:0.261)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.218:-0.218:-0.218)) - (HOLD (posedge D) (posedge CLK) (-0.070:-0.071:-0.072)) - (HOLD (negedge D) (posedge CLK) (-0.019:-0.019:-0.019)) - (SETUP (posedge D) (posedge CLK) (0.129:0.129:0.130)) - (SETUP (negedge D) (posedge CLK) (0.179:0.179:0.179)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _460_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.968:0.968:0.968) (0.826:0.826:0.826)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.968:0.968:0.968)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.525:-0.525:-0.525)) - (HOLD (posedge D) (negedge CLK_N) (0.153:0.152:0.151)) - (HOLD (negedge D) (negedge CLK_N) (-0.255:-0.255:-0.256)) - (SETUP (posedge D) (negedge CLK_N) (-0.062:-0.061:-0.060)) - (SETUP (negedge D) (negedge CLK_N) (0.383:0.383:0.383)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _461_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.946:0.946:0.946) (1.103:1.103:1.103)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.765:0.765:0.765)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.310:-0.310:-0.310)) - (HOLD (posedge D) (posedge CLK) (-0.093:-0.135:-0.178)) - (HOLD (negedge D) (posedge CLK) (-0.115:-0.120:-0.126)) - (SETUP (posedge D) (posedge CLK) (0.153:0.200:0.247)) - (SETUP (negedge D) (posedge CLK) (0.301:0.308:0.314)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _462_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.357:1.357:1.357) (0.895:0.895:0.895)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.279:0.279:0.279)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.243:-0.243:-0.243)) - (HOLD (posedge D) (posedge CLK) (-0.075:-0.075:-0.076)) - (HOLD (negedge D) (posedge CLK) (-0.003:-0.006:-0.009)) - (SETUP (posedge D) (posedge CLK) (0.128:0.128:0.129)) - (SETUP (negedge D) (posedge CLK) (0.144:0.148:0.153)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _463_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.848:0.848:0.848) (0.901:0.901:0.901)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.762:0.762:0.762)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.357:-0.357:-0.357)) - (HOLD (posedge D) (posedge CLK) (-0.081:-0.082:-0.082)) - (HOLD (negedge D) (posedge CLK) (-0.092:-0.097:-0.103)) - (SETUP (posedge D) (posedge CLK) (0.138:0.138:0.139)) - (SETUP (negedge D) (posedge CLK) (0.270:0.277:0.284)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _464_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.204:1.204:1.204) (0.800:0.800:0.800)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.289:0.289:0.289)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.254:-0.254:-0.254)) - (HOLD (posedge D) (posedge CLK) (-0.080:-0.086:-0.093)) - (HOLD (negedge D) (posedge CLK) (-0.003:-0.005:-0.008)) - (SETUP (posedge D) (posedge CLK) (0.134:0.141:0.147)) - (SETUP (negedge D) (posedge CLK) (0.144:0.148:0.151)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _465_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.306:1.306:1.306) (0.814:0.814:0.814)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.277:0.277:0.277)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.234:-0.234:-0.234)) - (HOLD (posedge D) (posedge CLK) (-0.063:-0.064:-0.064)) - (HOLD (negedge D) (posedge CLK) (-0.005:-0.005:-0.005)) - (SETUP (posedge D) (posedge CLK) (0.121:0.121:0.122)) - (SETUP (negedge D) (posedge CLK) (0.164:0.164:0.165)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _466_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.831:0.831:0.831) (0.890:0.890:0.890)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.764:0.764:0.764)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.359:-0.359:-0.359)) - (HOLD (posedge D) (posedge CLK) (-0.072:-0.073:-0.074)) - (HOLD (negedge D) (posedge CLK) (-0.104:-0.104:-0.104)) - (SETUP (posedge D) (posedge CLK) (0.128:0.129:0.130)) - (SETUP (negedge D) (posedge CLK) (0.284:0.284:0.284)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _467_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.738:0.738:0.738) (0.817:0.817:0.817)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.758:0.758:0.758)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.366:-0.366:-0.366)) - (HOLD (posedge D) (posedge CLK) (-0.073:-0.073:-0.074)) - (HOLD (negedge D) (posedge CLK) (-0.102:-0.102:-0.102)) - (SETUP (posedge D) (posedge CLK) (0.129:0.129:0.130)) - (SETUP (negedge D) (posedge CLK) (0.282:0.282:0.282)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _468_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.633:0.633:0.633) (0.727:0.727:0.727)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.744:0.744:0.744)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.350:-0.350:-0.350)) - (HOLD (posedge D) (posedge CLK) (-0.100:-0.100:-0.100)) - (HOLD (negedge D) (posedge CLK) (-0.127:-0.127:-0.127)) - (SETUP (posedge D) (posedge CLK) (0.158:0.158:0.158)) - (SETUP (negedge D) (posedge CLK) (0.310:0.310:0.310)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _469_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.056:1.056:1.056) (0.692:0.692:0.692)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.260:0.260:0.260)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.223:-0.223:-0.223)) - (HOLD (posedge D) (posedge CLK) (-0.108:-0.108:-0.108)) - (HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (SETUP (posedge D) (posedge CLK) (0.164:0.164:0.164)) - (SETUP (negedge D) (posedge CLK) (0.188:0.188:0.188)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _470_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.628:0.628:0.628) (0.723:0.723:0.723)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.744:0.744:0.744)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.350:-0.350:-0.350)) - (HOLD (posedge D) (posedge CLK) (-0.105:-0.105:-0.105)) - (HOLD (negedge D) (posedge CLK) (-0.133:-0.133:-0.133)) - (SETUP (posedge D) (posedge CLK) (0.164:0.164:0.164)) - (SETUP (negedge D) (posedge CLK) (0.316:0.316:0.316)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _471_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.737:0.737:0.737) (0.817:0.817:0.817)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.744:0.744:0.744)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.350:-0.350:-0.350)) - (HOLD (posedge D) (posedge CLK) (-0.081:-0.081:-0.081)) - (HOLD (negedge D) (posedge CLK) (-0.103:-0.103:-0.103)) - (SETUP (posedge D) (posedge CLK) (0.138:0.138:0.138)) - (SETUP (negedge D) (posedge CLK) (0.282:0.282:0.282)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _472_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (1.284:1.284:1.284) (0.840:0.840:0.840)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.260:0.260:0.260)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.223:-0.223:-0.223)) - (HOLD (posedge D) (posedge CLK) (-0.074:-0.074:-0.074)) - (HOLD (negedge D) (posedge CLK) (-0.008:-0.008:-0.008)) - (SETUP (posedge D) (posedge CLK) (0.126:0.126:0.126)) - (SETUP (negedge D) (posedge CLK) (0.149:0.149:0.149)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _473_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.764:0.764:0.764) (0.839:0.839:0.839)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.744:0.744:0.744)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.350:-0.350:-0.350)) - (HOLD (posedge D) (posedge CLK) (-0.080:-0.080:-0.080)) - (HOLD (negedge D) (posedge CLK) (-0.101:-0.101:-0.101)) - (SETUP (posedge D) (posedge CLK) (0.137:0.137:0.137)) - (SETUP (negedge D) (posedge CLK) (0.281:0.281:0.281)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _271__1) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.068:0.068:0.068) (0.056:0.056:0.056)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout18) - (DELAY - (ABSOLUTE - (IOPATH A X (0.547:0.547:0.547) (0.456:0.456:0.456)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout17) - (DELAY - (ABSOLUTE - (IOPATH A X (0.481:0.481:0.481) (0.429:0.429:0.429)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE fanout16) - (DELAY - (ABSOLUTE - (IOPATH A X (0.397:0.397:0.397) (0.362:0.362:0.362)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout15) - (DELAY - (ABSOLUTE - (IOPATH A X (0.575:0.575:0.575) (0.503:0.503:0.503)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout14) - (DELAY - (ABSOLUTE - (IOPATH A X (0.661:0.661:0.661) (0.543:0.543:0.543)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout13) - (DELAY - (ABSOLUTE - (IOPATH A X (0.581:0.581:0.581) (0.505:0.505:0.505)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE user_clk_out_buffer) - (DELAY - (ABSOLUTE - (IOPATH A X (0.466:0.467:0.468) (0.454:0.454:0.454)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output11) - (DELAY - (ABSOLUTE - (IOPATH A X (0.552:0.552:0.552) (0.374:0.377:0.381)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input9) - (DELAY - (ABSOLUTE - (IOPATH A X (0.955:0.955:0.955) (1.077:1.077:1.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input8) - (DELAY - (ABSOLUTE - (IOPATH A X (0.938:0.938:0.938) (1.062:1.062:1.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input7) - (DELAY - (ABSOLUTE - (IOPATH A X (0.972:0.972:0.972) (1.090:1.090:1.090)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input6) - (DELAY - (ABSOLUTE - (IOPATH A X (0.863:0.863:0.863) (1.001:1.001:1.001)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input5) - (DELAY - (ABSOLUTE - (IOPATH A X (0.935:0.935:0.935) (1.059:1.059:1.059)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input4) - (DELAY - (ABSOLUTE - (IOPATH A X (0.833:0.833:0.833) (0.975:0.975:0.975)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input3) - (DELAY - (ABSOLUTE - (IOPATH A X (0.912:0.912:0.912) (1.035:1.035:1.035)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input2) - (DELAY - (ABSOLUTE - (IOPATH A X (0.923:0.923:0.923) (1.049:1.049:1.049)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.903:0.903:0.903) (1.031:1.031:1.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout19) - (DELAY - (ABSOLUTE - (IOPATH A X (0.493:0.493:0.493) (0.444:0.444:0.444)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE fanout20) - (DELAY - (ABSOLUTE - (IOPATH A X (0.358:0.358:0.358) (0.334:0.334:0.334)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout21) - (DELAY - (ABSOLUTE - (IOPATH A X (0.547:0.547:0.547) (0.478:0.478:0.478)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout22) - (DELAY - (ABSOLUTE - (IOPATH A X (0.558:0.558:0.558) (0.491:0.491:0.491)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout23) - (DELAY - (ABSOLUTE - (IOPATH A X (0.445:0.445:0.445) (0.400:0.400:0.400)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout24) - (DELAY - (ABSOLUTE - (IOPATH A X (0.415:0.415:0.415) (0.383:0.383:0.383)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout25) - (DELAY - (ABSOLUTE - (IOPATH A X (0.447:0.447:0.447) (0.409:0.409:0.409)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE fanout26) - (DELAY - (ABSOLUTE - (IOPATH A X (0.460:0.460:0.460) (0.425:0.425:0.425)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout27) - (DELAY - (ABSOLUTE - (IOPATH A X (0.473:0.473:0.473) (0.437:0.437:0.437)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout28) - (DELAY - (ABSOLUTE - (IOPATH A X (0.561:0.561:0.561) (0.484:0.484:0.484)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout29) - (DELAY - (ABSOLUTE - (IOPATH A X (0.531:0.531:0.531) (0.475:0.475:0.475)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_ext_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.245:0.245:0.245) (0.280:0.280:0.280)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_ext_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.257:0.257:0.257) (0.291:0.291:0.291)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0__037_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.317:0.318:0.318) (0.340:0.341:0.341)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f__037_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.201:0.201:0.201) (0.233:0.233:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f__037_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.200:0.200:0.200) (0.233:0.233:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_net10) - (DELAY - (ABSOLUTE - (IOPATH A X (0.260:0.261:0.262) (0.300:0.300:0.300)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_net10) - (DELAY - (ABSOLUTE - (IOPATH A X (0.432:0.432:0.432) (0.405:0.406:0.406)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_net10) - (DELAY - (ABSOLUTE - (IOPATH A X (0.227:0.227:0.227) (0.255:0.255:0.255)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_pll_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (1.244:1.244:1.244) (1.595:1.595:1.595)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_pll_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.316:0.316:0.316) (0.337:0.337:0.337)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_pll_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.307:0.307:0.307) (0.330:0.330:0.330)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_divider\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.265:0.265:0.265) (0.275:0.276:0.278)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_divider\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.215:0.215:0.215) (0.244:0.244:0.244)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_divider\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.210:0.210:0.210) (0.241:0.241:0.241)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_pll_clk90) - (DELAY - (ABSOLUTE - (IOPATH A X (1.245:1.245:1.245) (1.597:1.597:1.597)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_pll_clk90) - (DELAY - (ABSOLUTE - (IOPATH A X (0.304:0.304:0.304) (0.328:0.328:0.328)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_pll_clk90) - (DELAY - (ABSOLUTE - (IOPATH A X (0.310:0.310:0.310) (0.332:0.332:0.332)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_divider2\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.244:0.244:0.244) (0.261:0.263:0.264)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_divider2\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.205:0.205:0.205) (0.236:0.236:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_divider2\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.212:0.212:0.212) (0.242:0.242:0.242)) - ) - ) - ) -) diff --git a/sdf/caravel_clocking/nom/caravel_clocking.tt.sdf b/sdf/caravel_clocking/nom/caravel_clocking.tt.sdf deleted file mode 100644 index b8c1e667..00000000 --- a/sdf/caravel_clocking/nom/caravel_clocking.tt.sdf +++ /dev/null @@ -1,4640 +0,0 @@ -(DELAYFILE - (SDFVERSION "3.0") - (DESIGN "caravel_clocking") - (DATE "Thu Oct 13 17:51:17 2022") - (VENDOR "Parallax") - (PROGRAM "STA") - (VERSION "2.3.1") - (DIVIDER .) - (VOLTAGE 1.600::1.600) - (PROCESS "1.000::1.000") - (TEMPERATURE 100.000::100.000) - (TIMESCALE 1ns) - (CELL - (CELLTYPE "caravel_clocking") - (INSTANCE) - (DELAY - (ABSOLUTE - (INTERCONNECT ext_clk clkbuf_0_ext_clk.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_clk ANTENNA_clkbuf_0_ext_clk_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_clk_sel input1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_clk_sel ANTENNA_input1_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_reset input2.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ext_reset ANTENNA_input2_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT pll_clk clkbuf_0_pll_clk.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT pll_clk ANTENNA_clkbuf_0_pll_clk_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT pll_clk90 clkbuf_0_pll_clk90.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT pll_clk90 ANTENNA_clkbuf_0_pll_clk90_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT resetb input3.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT resetb ANTENNA_input3_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[0] input4.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[0] ANTENNA_input4_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[1] input5.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[1] ANTENNA_input5_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[2] input6.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel2[2] ANTENNA_input6_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[0] input7.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[0] ANTENNA_input7_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[1] input8.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[1] ANTENNA_input8_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[2] input9.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT sel[2] ANTENNA_input9_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _206_.X _286_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _206_.X _367_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _206_.X _368_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _207_.X _273_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _207_.X _395_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _207_.X _396_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _208_.X _309_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _209_.X clkbuf_0__037_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _210_.X clkbuf_0_net10.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _211_.X _313_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _212_.X user_clk_out_buffer.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _213_.X _367_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _214_.X _286_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _215_.X _368_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _216_.X _217_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _217_.X _267_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _218_.X _219_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _219_.X _252_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _220_.X _221_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _221_.X _269_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _222_.X _223_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _223_.X _369_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _224_.X _225_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _225_.X _371_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _226_.X _227_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _227_.X _373_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _228_.X _375_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _229_.X _376_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _230_.X _395_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _231_.X _273_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _232_.X _396_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _233_.X _234_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _234_.X _270_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _235_.X _236_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _236_.X _249_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _237_.X _238_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _238_.X _272_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _239_.X _240_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _240_.X _397_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _241_.X _242_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _242_.X _399_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _243_.X _244_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _244_.X _401_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _245_.X _406_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _246_.X _407_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _247_.Y _277_.D1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _247_.Y _283_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _248_.Y _281_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _248_.Y _282_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _249_.Y _285_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _250_.Y _290_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _250_.Y _296_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _251_.Y _294_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _251_.Y _295_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _252_.Y _298_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _253_.Y _228_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _254_.Y _213_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _254_.Y _323_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _255_.Y _290_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _255_.Y _296_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _256_.Y _216_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _222_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _303_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _370_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _258_.Y _245_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _259_.Y _277_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _259_.Y _283_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _260_.Y _230_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _260_.Y _339_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _261_.Y _233_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _262_.Y _239_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _262_.Y _308_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _262_.Y _398_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _263_.Y _420_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _264_.Y _354_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _265_.Y _382_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _411__8.Y _418_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _267_.Y _364_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _413__5.Y _432_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _269_.Y _366_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _270_.Y _392_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _415__2.Y _457_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _272_.Y _394_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _273_.X _459_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _274_.Y _280_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _274_.Y _281_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _274_.Y _339_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _275_.Y _211_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _276_.X _281_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _276_.X _283_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _276_.X _306_.B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _276_.X _316_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _276_.X _388_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _276_.X _390_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _276_.X _397_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _276_.X _398_.A3 (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _276_.X _399_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _276_.X _400_.A3 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _276_.X _401_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _276_.X _402_.A3 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _276_.X ANTENNA__402__A3.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _276_.X ANTENNA__401__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _276_.X ANTENNA__400__A3.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _276_.X ANTENNA__399__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _276_.X ANTENNA__398__A3.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _276_.X ANTENNA__397__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _276_.X ANTENNA__390__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _276_.X ANTENNA__388__A.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _276_.X ANTENNA__316__A2.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _276_.X ANTENNA__306__B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _276_.X ANTENNA__283__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _276_.X ANTENNA__281__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _277_.Y _278_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _277_.Y _284_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _277_.Y _391_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _277_.Y _393_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _278_.Y _207_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _279_.Y _233_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _279_.Y _235_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _279_.Y _237_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _279_.Y _280_.D1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _279_.Y _281_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _280_.X _282_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _281_.Y _282_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _282_.Y _457_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _283_.Y _285_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _283_.Y _392_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _283_.Y _394_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _284_.Y _285_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _285_.Y _455_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _286_.X _434_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _287_.Y _293_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _287_.Y _294_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _287_.Y _323_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _288_.Y _208_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _289_.X _294_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _296_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _289_.X _301_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _289_.X _312_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _361_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _289_.X _362_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _289_.X _369_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _370_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _371_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _289_.X _372_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _289_.X _373_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _289_.X _374_.A3 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _290_.Y _291_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _290_.Y _297_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _290_.Y _363_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _290_.Y _365_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _291_.Y _206_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.Y _216_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.Y _218_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.Y _220_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.Y _293_.D1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _292_.Y _294_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _293_.X _295_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _294_.Y _295_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _295_.Y _432_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.Y _298_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.Y _364_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.Y _366_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _297_.Y _298_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _298_.Y _430_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _299_.Y _300_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _299_.Y _354_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _228_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _229_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _353_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _301_.X _206_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _302_.Y _403_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _303_.Y _222_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _303_.Y _224_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _303_.Y _226_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.Y _305_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.Y _382_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _305_.Y _245_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _305_.Y _246_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _305_.Y _381_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _306_.X _207_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _307_.Y _350_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _239_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _241_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _243_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _309_.X _312_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _310_.Y _312_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _311_.X _312_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _312_.X clkbuf_0_divider\.out.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _313_.X _316_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _314_.Y _316_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _315_.X _316_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _316_.X clkbuf_0_divider2\.out.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _317_.Y _213_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _318_.Y _214_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _319_.Y _321_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _320_.X _215_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _320_.X _321_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _321_.Y _214_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _322_.X _323_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _323_.X _215_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _324_.Y _218_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _325_.X _327_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _326_.Y _327_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _327_.Y _220_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _328_.Y _224_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _329_.X _331_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _330_.Y _331_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _331_.Y _226_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _332_.Y _229_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _333_.Y _230_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _334_.Y _231_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _335_.Y _337_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _336_.X _232_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _336_.X _337_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _337_.Y _231_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _338_.X _339_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _339_.X _232_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _340_.Y _235_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _341_.X _343_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _342_.Y _343_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _343_.Y _237_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _344_.Y _241_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _345_.X _347_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _346_.Y _347_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _347_.Y _243_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _348_.Y _246_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _349_.Y output11.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _350_.Y _351_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _350_.Y _352_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _351_.Y _352_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _352_.Y _422_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _353_.Y _355_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _354_.Y _355_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _355_.Y _427_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _356_.Y _358_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _357_.Y _358_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _358_.Y _362_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _359_.Y _361_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _360_.X _361_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _361_.Y _362_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _362_.X _428_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _363_.Y _364_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _364_.Y _429_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _365_.Y _366_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _366_.Y _431_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _367_.X _433_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _368_.X _435_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _369_.Y _370_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _370_.Y _436_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _371_.Y _372_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _372_.X _437_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _373_.Y _374_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _374_.X _438_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _375_.X _439_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _376_.X _440_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _377_.Y _379_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _378_.X _379_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _379_.X _441_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _380_.X _448_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _381_.Y _383_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _382_.Y _383_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _383_.Y _452_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _384_.Y _389_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _385_.Y _388_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _386_.Y _389_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _387_.Y _388_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _388_.Y _390_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _389_.Y _390_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _390_.X _453_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _391_.Y _392_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _392_.Y _454_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _393_.Y _394_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _394_.Y _456_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _395_.X _458_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _396_.X _460_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _397_.Y _398_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _398_.Y _461_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _399_.Y _400_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _400_.X _462_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _401_.Y _402_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _402_.X _463_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.Y _404_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.Y _405_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _404_.Y _405_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _405_.Y _464_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _406_.X _465_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _407_.X _466_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _408_.Y _410_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _409_.X _410_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _410_.X _467_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _412__9.Y _419_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_ext_clk.X clkbuf_1_0__f_ext_clk.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_ext_clk.X clkbuf_1_1__f_ext_clk.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _414__6.Y _434_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _266__7.Y _417_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _416__3.Y _459_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _268__4.Y _430_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _417_.Q _349_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _418_.Q _417_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _419_.Q _418_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _420_.Q _209_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _420_.Q _421_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _421_.Q _210_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _421_.Q _212_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.Q _314_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.Q _315_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.Q _351_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.Q _352_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _423_.Q _209_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _424_.Q _358_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Q _356_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Q _357_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _426_.Q _359_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _426_.Q _360_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _427_.Q _208_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _427_.Q _264_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _427_.Q _353_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _428_.Q _362_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _428_.Q fanout24.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _429_.Q _256_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.Q _292_.C_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.Q _324_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.Q _325_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.Q _326_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.Q _363_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _430_.Q _292_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _430_.Q _297_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _430_.Q _324_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _430_.Q _325_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _430_.Q _326_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _431_.Q _292_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _431_.Q _325_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _431_.Q _326_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _431_.Q _365_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _432_.Q _251_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _432_.Q _310_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _432_.Q _311_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _433_.Q _254_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _433_.Q _318_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _433_.Q _322_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _433_.Q _367_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _434_.Q _250_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.Q _286_.A0 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _434_.Q _287_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.Q _318_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.Q _322_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _435_.Q _255_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _435_.Q _287_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _435_.Q _322_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _435_.Q _368_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _436_.Q _257_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _436_.Q _328_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _436_.Q _329_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _436_.Q _330_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _436_.Q _403_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _437_.Q _302_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _437_.Q _303_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _437_.Q _328_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _437_.Q _329_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _437_.Q _330_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _437_.Q _372_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.Q _302_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.Q _303_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.Q _329_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.Q _330_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.Q _374_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _439_.Q _253_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _439_.Q _300_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _439_.Q _332_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _439_.Q _354_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _439_.Q _375_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _439_.Q _377_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _439_.Q _378_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _440_.Q _299_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _440_.Q _332_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _440_.Q _376_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _440_.Q _377_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _440_.Q _378_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _441_.Q _299_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _441_.Q _377_.D (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _441_.Q _378_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _442_.Q _445_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _443_.Q _446_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _444_.Q _447_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _445_.Q fanout20.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _446_.Q _224_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _446_.Q _403_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _446_.Q _288_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _446_.Q _289_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _446_.Q fanout18.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _447_.Q _403_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _447_.Q _359_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _447_.Q _226_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _447_.Q fanout17.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _448_.Q _380_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _448_.Q _423_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _449_.Q _389_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _450_.Q _384_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _450_.Q _386_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _451_.Q _385_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _451_.Q _387_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _452_.Q _211_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _452_.Q _265_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _452_.Q _381_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _453_.Q _281_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _453_.Q fanout22.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _454_.Q _261_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _454_.Q _279_.C_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _454_.Q _340_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _454_.Q _341_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _454_.Q _342_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _454_.Q _391_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _455_.Q _279_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _455_.Q _284_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _455_.Q _340_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _455_.Q _341_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _455_.Q _342_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _456_.Q _279_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _456_.Q _341_.C (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _342_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _456_.Q _393_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _457_.Q _248_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _457_.Q _314_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _457_.Q _315_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _260_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _458_.Q _334_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _338_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _458_.Q _395_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _247_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _273_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _274_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _334_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _338_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _259_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _274_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _460_.Q _338_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _460_.Q _396_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _461_.Q _262_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _461_.Q _344_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _345_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _461_.Q _346_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _461_.Q _350_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _307_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _308_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _344_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _345_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _346_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _462_.Q _400_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _463_.Q _307_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _463_.Q _308_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _463_.Q _345_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _463_.Q _346_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _463_.Q _402_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _464_.Q _310_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _464_.Q _311_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _464_.Q _404_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _464_.Q _405_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _258_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _305_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _348_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _382_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _406_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _408_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _409_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _466_.Q _304_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _466_.Q _348_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _466_.Q _407_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _466_.Q _408_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _466_.Q _409_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _304_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _408_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _409_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _468_.Q _471_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _469_.Q _472_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _470_.Q _473_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _471_.Q fanout15.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _471_.Q fanout16.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _472_.Q _384_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _472_.Q _276_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _472_.Q _350_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _472_.Q _386_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _472_.Q fanout14.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _473_.Q _451_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _385_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _350_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q fanout13.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _271__1.Y _455_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout18.X _218_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout18.X _219_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout18.X _290_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout18.X _293_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout18.X _317_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout18.X _319_.B (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout18.X _228_.A0 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout18.X _320_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout18.X _356_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout18.X _357_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout18.X _425_.D (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout18.X _225_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout17.X _289_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout17.X _221_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout17.X _220_.A1 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT fanout17.X _290_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout17.X _293_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout17.X _319_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout17.X _320_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout17.X _229_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout17.X _288_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout17.X _426_.D (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout17.X _360_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout17.X _227_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout16.X _382_.A_N (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT fanout16.X _406_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout16.X _407_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout16.X _449_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout16.X _350_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout16.X _239_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout16.X _240_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout15.X _280_.B1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout15.X _336_.A2 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT fanout15.X _234_.A1 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT fanout15.X _333_.B (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT fanout15.X _335_.C (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT fanout15.X _233_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout15.X _277_.B1 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout15.X _276_.B1 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout15.X _313_.A_N (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout15.X _381_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout15.X _408_.A (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout15.X _409_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout15.X ANTENNA__409__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout15.X ANTENNA__408__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout15.X ANTENNA__381__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout15.X ANTENNA__313__A_N.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout15.X ANTENNA__276__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout15.X ANTENNA__277__B1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT fanout15.X ANTENNA__233__A1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT fanout15.X ANTENNA__335__C.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT fanout15.X ANTENNA__333__B.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT fanout15.X ANTENNA__234__A1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT fanout15.X ANTENNA__336__A2.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT fanout15.X ANTENNA__280__B1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout14.X _236_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout14.X _242_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout14.X _333_.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout14.X _335_.B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout14.X _235_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout14.X _241_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout14.X _277_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT fanout14.X _280_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout14.X _336_.A1 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout14.X _450_.D (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout14.X _275_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout14.X _245_.A0 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X ANTENNA__245__A0.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT fanout14.X ANTENNA__275__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout14.X ANTENNA__450__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout14.X ANTENNA__336__A1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout14.X ANTENNA__280__A2.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout14.X ANTENNA__277__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout14.X ANTENNA__241__A1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout14.X ANTENNA__235__A1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout14.X ANTENNA__335__B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout14.X ANTENNA__333__A.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout14.X ANTENNA__242__A1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout14.X ANTENNA__236__A1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout13.X _238_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout13.X _243_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout13.X _244_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout13.X _237_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout13.X _335_.A (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout13.X _336_.B1 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT fanout13.X _277_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout13.X _280_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout13.X _276_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout13.X _275_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout13.X _246_.A0 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout13.X _387_.A_N (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout13.X ANTENNA__387__A_N.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X ANTENNA__246__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout13.X ANTENNA__275__A.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout13.X ANTENNA__276__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout13.X ANTENNA__280__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout13.X ANTENNA__277__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout13.X ANTENNA__336__B1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout13.X ANTENNA__335__A.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout13.X ANTENNA__237__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout13.X ANTENNA__244__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout13.X ANTENNA__243__A1.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout13.X ANTENNA__238__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT user_clk_out_buffer.X user_clk (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT output11.X resetb_sync (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT input9.X _444_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input8.X _443_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input7.X _442_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input6.X _470_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input5.X _469_.D (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT input4.X _468_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input3.X fanout25.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input3.X fanout26.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input3.X fanout29.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input2.X _349_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input1.X _263_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout19.X _375_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout19.X _376_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout19.X _377_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout19.X _378_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout19.X _317_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _320_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _290_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _319_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _217_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout19.X _354_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout19.X _353_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout19.X _293_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout20.X fanout19.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout20.X _216_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout20.X _424_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout20.X _403_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout20.X _309_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout20.X _289_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout20.X _223_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout20.X _222_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _230_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _238_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _283_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _284_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _391_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _393_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _306_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _207_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _232_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _231_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _401_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _244_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout22.X fanout21.A (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT fanout22.X _234_.S (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout22.X _242_.S (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout22.X _399_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout22.X _236_.S (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout22.X _400_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout22.X _397_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout22.X _398_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout22.X _390_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout22.X _351_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout22.X _240_.S (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout22.X _402_.A1 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout22.X ANTENNA__402__A1.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT fanout22.X ANTENNA__240__S.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout22.X ANTENNA__351__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout22.X ANTENNA__390__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout22.X ANTENNA__398__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout22.X ANTENNA__397__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout22.X ANTENNA__400__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout22.X ANTENNA__236__S.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout22.X ANTENNA__399__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout22.X ANTENNA__242__S.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT fanout22.X ANTENNA__234__S.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout22.X ANTENNA_fanout21_A.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT fanout23.X _219_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout23.X _215_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout23.X _296_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout23.X _301_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout23.X _214_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout23.X _213_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout23.X _206_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout23.X _297_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout23.X _363_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout23.X _365_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout23.X _221_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout23.X _217_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout24.X fanout23.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout24.X _294_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout24.X _369_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout24.X _370_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout24.X _372_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout24.X _374_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout24.X _404_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout24.X _223_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout24.X _373_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout24.X _371_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout24.X _227_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout24.X _225_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout25.X _429_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout25.X _430_.SET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout25.X _432_.SET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout25.X _433_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout25.X _434_.SET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout25.X _435_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout25.X _439_.SET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout25.X _440_.RESET_B (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout25.X _441_.RESET_B (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout25.X _380_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout25.X _418_.SET_B (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout25.X _419_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout26.X _423_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout26.X _454_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout26.X _455_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout26.X _456_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout26.X _458_.RESET_B (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT fanout26.X _459_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout26.X _460_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout26.X _462_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _420_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _427_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _431_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout27.X _436_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout27.X _442_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout27.X _443_.SET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout27.X _444_.RESET_B (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _445_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout27.X _446_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout27.X _447_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout27.X _464_.SET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout27.X _428_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout28.X _417_.SET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X _421_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout28.X _422_.SET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X _453_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X _457_.SET_B (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout28.X _461_.RESET_B (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X _463_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X _469_.SET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X _472_.SET_B (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X _452_.SET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout28.X _465_.SET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout28.X _466_.RESET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout28.X ANTENNA__466__RESET_B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout28.X ANTENNA__465__SET_B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout28.X ANTENNA__452__SET_B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout28.X ANTENNA__472__SET_B.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X ANTENNA__469__SET_B.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X ANTENNA__463__RESET_B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X ANTENNA__461__RESET_B.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X ANTENNA__457__SET_B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout28.X ANTENNA__453__RESET_B.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X ANTENNA__422__SET_B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout28.X ANTENNA__421__RESET_B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout28.X ANTENNA__417__SET_B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X fanout27.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout29.X _438_.RESET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout29.X _437_.SET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout29.X fanout28.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout29.X _473_.RESET_B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout29.X _471_.RESET_B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout29.X _470_.RESET_B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout29.X _468_.RESET_B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout29.X _467_.RESET_B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout29.X ANTENNA__467__RESET_B.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout29.X ANTENNA__468__RESET_B.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout29.X ANTENNA__470__RESET_B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout29.X ANTENNA__471__RESET_B.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout29.X ANTENNA__473__RESET_B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout29.X ANTENNA_fanout28_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout29.X ANTENNA__437__SET_B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout29.X ANTENNA__438__RESET_B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout29.X ANTENNA_fanout27_A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _419__30.LO _419_.D (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_ext_clk.X _380_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_ext_clk.X _209_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0__037_.X clkbuf_1_0__f__037_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0__037_.X clkbuf_1_1__f__037_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f__037_.X _210_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f__037_.X _212_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_net10.X clkbuf_1_0__f_net10.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_net10.X clkbuf_1_1__f_net10.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_net10.X core_clk (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT clkbuf_1_0__f_net10.X _412__9.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT clkbuf_1_1__f_net10.X _411__8.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_net10.X _266__7.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_pll_clk.X clkbuf_1_0__f_pll_clk.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_pll_clk.X clkbuf_1_1__f_pll_clk.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _414__6.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _268__4.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _448_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _441_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _440_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _439_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _435_.CLK_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _433_.CLK_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _429_.CLK_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _423_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk.X _421_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _413__5.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _464_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _438_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _437_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _436_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _431_.CLK_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _428_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _427_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _426_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _425_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _424_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _420_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk.X _208_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_divider\.out.X clkbuf_1_0__f_divider\.out.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_divider\.out.X clkbuf_1_1__f_divider\.out.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _447_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _446_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _445_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _443_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider\.out.X _442_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_divider\.out.X _444_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider\.out.X _210_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_pll_clk90.X clkbuf_1_0__f_pll_clk90.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_pll_clk90.X clkbuf_1_1__f_pll_clk90.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _416__3.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _271__1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _463_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _462_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _460_.CLK_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _458_.CLK_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _456_.CLK_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_pll_clk90.X _454_.CLK_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _415__2.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _467_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _466_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _465_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _461_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _453_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _452_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _451_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _450_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _449_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _422_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_pll_clk90.X _211_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_0_divider2\.out.X clkbuf_1_0__f_divider2\.out.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_divider2\.out.X clkbuf_1_1__f_divider2\.out.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_divider2\.out.X _472_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider2\.out.X _469_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_divider2\.out.X _212_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider2\.out.X _473_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider2\.out.X _471_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider2\.out.X _470_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_divider2\.out.X _468_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _206_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.199:0.199:0.199) (0.350:0.350:0.350)) - (IOPATH A1 X (0.232:0.232:0.232) (0.377:0.377:0.377)) - (IOPATH S X (0.264:0.269:0.275) (0.383:0.383:0.384)) - (IOPATH S X (0.206:0.206:0.207) (0.370:0.374:0.378)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _207_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.186:0.186:0.186) (0.340:0.340:0.340)) - (IOPATH A1 X (0.228:0.228:0.228) (0.374:0.374:0.374)) - (IOPATH S X (0.253:0.258:0.263) (0.374:0.375:0.376)) - (IOPATH S X (0.195:0.195:0.196) (0.362:0.366:0.370)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _208_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.133:0.133:0.133) (0.274:0.274:0.274)) - (IOPATH A1 X (0.118:0.118:0.118) (0.280:0.280:0.280)) - (IOPATH S X (0.189:0.192:0.194) (0.323:0.323:0.323)) - (IOPATH S X (0.143:0.143:0.143) (0.300:0.302:0.304)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _209_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.212:0.212:0.212) (0.355:0.355:0.355)) - (IOPATH A1 X (0.223:0.223:0.223) (0.373:0.373:0.373)) - (IOPATH S X (0.314:0.314:0.314) (0.419:0.419:0.419)) - (IOPATH S X (0.253:0.253:0.253) (0.407:0.407:0.407)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _210_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.155:0.155:0.155) (0.311:0.311:0.311)) - (IOPATH A1 X (0.159:0.159:0.159) (0.324:0.324:0.324)) - (IOPATH S X (0.241:0.241:0.241) (0.360:0.360:0.360)) - (IOPATH S X (0.179:0.179:0.179) (0.349:0.349:0.349)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _211_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.156:0.156:0.156) (0.298:0.298:0.298)) - (IOPATH A1 X (0.138:0.138:0.138) (0.302:0.302:0.302)) - (IOPATH S X (0.218:0.218:0.218) (0.346:0.346:0.347)) - (IOPATH S X (0.164:0.164:0.165) (0.329:0.329:0.329)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _212_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.158:0.158:0.158) (0.314:0.314:0.314)) - (IOPATH A1 X (0.161:0.161:0.161) (0.327:0.327:0.327)) - (IOPATH S X (0.244:0.244:0.244) (0.364:0.364:0.364)) - (IOPATH S X (0.182:0.182:0.182) (0.353:0.353:0.353)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _213_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.132:0.132:0.132) (0.293:0.293:0.293)) - (IOPATH A1 X (0.138:0.150:0.162) (0.303:0.306:0.308)) - (IOPATH S X (0.229:0.229:0.229) (0.350:0.350:0.350)) - (IOPATH S X (0.168:0.168:0.168) (0.339:0.339:0.339)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _214_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.123:0.135:0.147) (0.281:0.282:0.284)) - (IOPATH A1 X (0.151:0.151:0.151) (0.293:0.295:0.298)) - (IOPATH S X (0.219:0.219:0.219) (0.340:0.340:0.340)) - (IOPATH S X (0.157:0.157:0.157) (0.328:0.328:0.328)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _215_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.111:0.112:0.113) (0.270:0.270:0.271)) - (IOPATH A1 X (0.131:0.131:0.131) (0.287:0.288:0.288)) - (IOPATH S X (0.211:0.211:0.211) (0.330:0.330:0.330)) - (IOPATH S X (0.150:0.150:0.150) (0.319:0.319:0.319)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _216_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.108:0.108:0.108) (0.272:0.272:0.272)) - (IOPATH A1 X (0.156:0.156:0.156) (0.311:0.311:0.311)) - (IOPATH S X (0.196:0.200:0.205) (0.355:0.355:0.355)) - (IOPATH S X (0.194:0.194:0.194) (0.307:0.310:0.313)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _217_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.126:0.127:0.128) (0.291:0.291:0.292)) - (IOPATH A1 X (0.170:0.170:0.170) (0.322:0.322:0.322)) - (IOPATH S X (0.226:0.226:0.226) (0.346:0.346:0.346)) - (IOPATH S X (0.165:0.165:0.165) (0.335:0.335:0.335)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _218_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.119:0.129:0.139) (0.277:0.280:0.283)) - (IOPATH A1 X (0.165:0.165:0.165) (0.318:0.318:0.318)) - (IOPATH S X (0.204:0.209:0.213) (0.365:0.365:0.365)) - (IOPATH S X (0.203:0.203:0.203) (0.317:0.320:0.324)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _219_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.134:0.135:0.135) (0.298:0.298:0.299)) - (IOPATH A1 X (0.179:0.179:0.179) (0.331:0.331:0.331)) - (IOPATH S X (0.231:0.231:0.231) (0.351:0.351:0.351)) - (IOPATH S X (0.169:0.169:0.169) (0.339:0.339:0.339)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _220_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.109:0.109:0.110) (0.267:0.268:0.269)) - (IOPATH A1 X (0.153:0.153:0.153) (0.306:0.306:0.306)) - (IOPATH S X (0.198:0.202:0.206) (0.357:0.357:0.357)) - (IOPATH S X (0.196:0.196:0.196) (0.309:0.312:0.315)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _221_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.127:0.128:0.128) (0.292:0.292:0.292)) - (IOPATH A1 X (0.170:0.170:0.170) (0.323:0.323:0.323)) - (IOPATH S X (0.226:0.226:0.226) (0.346:0.346:0.346)) - (IOPATH S X (0.165:0.165:0.165) (0.335:0.335:0.335)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _222_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.108:0.108:0.108) (0.271:0.271:0.271)) - (IOPATH A1 X (0.155:0.155:0.155) (0.310:0.310:0.310)) - (IOPATH S X (0.182:0.193:0.204) (0.347:0.347:0.347)) - (IOPATH S X (0.181:0.181:0.181) (0.295:0.304:0.312)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _223_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.115:0.116:0.117) (0.282:0.282:0.282)) - (IOPATH A1 X (0.164:0.164:0.164) (0.321:0.321:0.321)) - (IOPATH S X (0.221:0.221:0.221) (0.339:0.339:0.339)) - (IOPATH S X (0.158:0.158:0.158) (0.330:0.330:0.330)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _224_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.120:0.133:0.146) (0.274:0.278:0.282)) - (IOPATH A1 X (0.170:0.170:0.170) (0.310:0.310:0.310)) - (IOPATH S X (0.186:0.197:0.208) (0.352:0.352:0.352)) - (IOPATH S X (0.185:0.185:0.185) (0.300:0.309:0.317)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _225_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.131:0.132:0.133) (0.297:0.297:0.297)) - (IOPATH A1 X (0.178:0.178:0.178) (0.331:0.331:0.331)) - (IOPATH S X (0.235:0.235:0.235) (0.353:0.353:0.353)) - (IOPATH S X (0.172:0.172:0.172) (0.344:0.344:0.344)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _226_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.110:0.111:0.111) (0.268:0.269:0.269)) - (IOPATH A1 X (0.152:0.152:0.152) (0.303:0.303:0.303)) - (IOPATH S X (0.185:0.196:0.207) (0.350:0.350:0.350)) - (IOPATH S X (0.184:0.184:0.184) (0.299:0.307:0.316)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _227_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.112:0.112:0.113) (0.277:0.277:0.277)) - (IOPATH A1 X (0.155:0.155:0.155) (0.308:0.308:0.308)) - (IOPATH S X (0.217:0.217:0.217) (0.333:0.333:0.333)) - (IOPATH S X (0.154:0.154:0.154) (0.324:0.324:0.324)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _228_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.157:0.157:0.157) (0.303:0.303:0.303)) - (IOPATH A1 X (0.113:0.113:0.113) (0.287:0.287:0.287)) - (IOPATH S X (0.215:0.216:0.218) (0.330:0.330:0.331)) - (IOPATH S X (0.148:0.148:0.149) (0.323:0.324:0.325)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _229_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.160:0.160:0.160) (0.308:0.308:0.308)) - (IOPATH A1 X (0.136:0.150:0.163) (0.298:0.301:0.305)) - (IOPATH S X (0.222:0.223:0.225) (0.339:0.339:0.339)) - (IOPATH S X (0.155:0.155:0.156) (0.331:0.333:0.334)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _230_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.121:0.121:0.121) (0.281:0.281:0.281)) - (IOPATH A1 X (0.130:0.143:0.156) (0.293:0.297:0.302)) - (IOPATH S X (0.224:0.224:0.224) (0.341:0.341:0.341)) - (IOPATH S X (0.162:0.162:0.162) (0.331:0.331:0.331)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _231_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.136:0.148:0.161) (0.291:0.293:0.295)) - (IOPATH A1 X (0.147:0.147:0.147) (0.300:0.302:0.304)) - (IOPATH S X (0.234:0.234:0.234) (0.352:0.352:0.352)) - (IOPATH S X (0.172:0.172:0.172) (0.342:0.342:0.342)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _232_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.137:0.138:0.139) (0.294:0.294:0.294)) - (IOPATH A1 X (0.157:0.157:0.157) (0.312:0.312:0.313)) - (IOPATH S X (0.237:0.237:0.237) (0.355:0.355:0.355)) - (IOPATH S X (0.175:0.175:0.175) (0.345:0.345:0.345)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _233_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.111:0.111:0.111) (0.277:0.277:0.277)) - (IOPATH A1 X (0.180:0.180:0.180) (0.334:0.334:0.334)) - (IOPATH S X (0.205:0.210:0.214) (0.364:0.364:0.364)) - (IOPATH S X (0.209:0.210:0.210) (0.314:0.318:0.321)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _234_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.177:0.178:0.179) (0.337:0.337:0.337)) - (IOPATH A1 X (0.249:0.249:0.249) (0.398:0.398:0.398)) - (IOPATH S X (0.307:0.307:0.307) (0.409:0.409:0.409)) - (IOPATH S X (0.243:0.243:0.243) (0.407:0.407:0.407)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _235_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.125:0.139:0.153) (0.276:0.280:0.285)) - (IOPATH A1 X (0.180:0.180:0.180) (0.336:0.336:0.336)) - (IOPATH S X (0.205:0.209:0.213) (0.363:0.363:0.363)) - (IOPATH S X (0.209:0.209:0.209) (0.313:0.317:0.320)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _236_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.137:0.138:0.139) (0.302:0.302:0.302)) - (IOPATH A1 X (0.210:0.210:0.210) (0.364:0.364:0.364)) - (IOPATH S X (0.268:0.268:0.268) (0.373:0.373:0.373)) - (IOPATH S X (0.204:0.204:0.204) (0.372:0.372:0.372)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _237_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.117:0.117:0.118) (0.274:0.275:0.276)) - (IOPATH A1 X (0.181:0.181:0.181) (0.335:0.335:0.335)) - (IOPATH S X (0.208:0.212:0.217) (0.367:0.367:0.367)) - (IOPATH S X (0.212:0.212:0.213) (0.317:0.321:0.324)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _238_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.133:0.134:0.136) (0.298:0.298:0.298)) - (IOPATH A1 X (0.202:0.202:0.202) (0.355:0.355:0.355)) - (IOPATH S X (0.239:0.239:0.239) (0.356:0.356:0.356)) - (IOPATH S X (0.177:0.177:0.177) (0.346:0.346:0.346)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _239_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.108:0.108:0.108) (0.269:0.269:0.269)) - (IOPATH A1 X (0.152:0.152:0.152) (0.307:0.307:0.307)) - (IOPATH S X (0.179:0.191:0.202) (0.341:0.341:0.341)) - (IOPATH S X (0.172:0.172:0.172) (0.292:0.301:0.310)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _240_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.113:0.114:0.115) (0.280:0.280:0.280)) - (IOPATH A1 X (0.160:0.160:0.160) (0.317:0.317:0.317)) - (IOPATH S X (0.246:0.246:0.246) (0.352:0.352:0.352)) - (IOPATH S X (0.181:0.181:0.181) (0.350:0.350:0.350)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _241_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.128:0.139:0.151) (0.285:0.290:0.295)) - (IOPATH A1 X (0.195:0.195:0.195) (0.352:0.352:0.352)) - (IOPATH S X (0.195:0.206:0.218) (0.360:0.360:0.360)) - (IOPATH S X (0.188:0.188:0.188) (0.311:0.320:0.329)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _242_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.121:0.122:0.123) (0.286:0.286:0.286)) - (IOPATH A1 X (0.188:0.188:0.188) (0.344:0.344:0.344)) - (IOPATH S X (0.248:0.248:0.248) (0.353:0.353:0.353)) - (IOPATH S X (0.183:0.183:0.183) (0.352:0.352:0.352)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _243_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.137:0.137:0.138) (0.295:0.296:0.296)) - (IOPATH A1 X (0.201:0.201:0.201) (0.356:0.356:0.356)) - (IOPATH S X (0.203:0.215:0.226) (0.369:0.369:0.369)) - (IOPATH S X (0.196:0.196:0.196) (0.320:0.329:0.338)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _244_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.156:0.157:0.158) (0.319:0.319:0.320)) - (IOPATH A1 X (0.217:0.217:0.217) (0.372:0.372:0.372)) - (IOPATH S X (0.253:0.253:0.253) (0.372:0.372:0.372)) - (IOPATH S X (0.191:0.191:0.191) (0.362:0.362:0.362)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _245_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.188:0.188:0.188) (0.338:0.338:0.338)) - (IOPATH A1 X (0.118:0.118:0.118) (0.294:0.294:0.294)) - (IOPATH S X (0.237:0.237:0.238) (0.344:0.345:0.345)) - (IOPATH S X (0.164:0.165:0.165) (0.343:0.344:0.345)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _246_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.178:0.178:0.178) (0.327:0.327:0.327)) - (IOPATH A1 X (0.118:0.129:0.139) (0.284:0.286:0.289)) - (IOPATH S X (0.231:0.231:0.232) (0.337:0.337:0.337)) - (IOPATH S X (0.159:0.159:0.159) (0.336:0.337:0.337)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _247_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.067:0.067:0.067) (0.085:0.085:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _248_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.072:0.072:0.072) (0.057:0.057:0.057)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _249_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.051:0.051:0.052) (0.031:0.032:0.032)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _250_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.059:0.059:0.059) (0.073:0.073:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _251_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.056:0.056:0.056) (0.061:0.061:0.061)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _252_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.051:0.051:0.051) (0.031:0.031:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _253_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.054:0.054:0.054) (0.071:0.071:0.071)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _254_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.077:0.077:0.077) (0.054:0.054:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _255_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.078:0.078:0.078) (0.083:0.083:0.083)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _256_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.061:0.061:0.061) (0.066:0.066:0.066)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _257_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.072:0.072:0.072) (0.074:0.074:0.074)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _258_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.050:0.050:0.050) (0.064:0.064:0.064)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _259_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.087:0.087:0.087) (0.094:0.094:0.094)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _260_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.081:0.081:0.081) (0.057:0.057:0.057)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _261_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.072:0.072:0.072) (0.082:0.082:0.082)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _262_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.071:0.071:0.071) (0.070:0.070:0.070)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _263_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.103:0.103:0.103) (0.063:0.063:0.063)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _264_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.057:0.057:0.057) (0.046:0.046:0.046)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _265_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.055:0.055:0.055) (0.043:0.043:0.043)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _411__8) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.035:0.035:0.035) (0.023:0.023:0.023)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _267_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.050:0.050:0.050) (0.030:0.030:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _413__5) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.042:0.042:0.042) (0.026:0.026:0.026)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _269_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.050:0.050:0.050) (0.030:0.030:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _270_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.058:0.058:0.058) (0.036:0.036:0.036)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _415__2) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.047:0.047:0.047) (0.030:0.030:0.030)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _272_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.052:0.052:0.052) (0.032:0.032:0.033)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _273_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.149:0.149:0.149) (0.285:0.285:0.285)) - (IOPATH A1 X (0.124:0.124:0.125) (0.294:0.294:0.294)) - (IOPATH S X (0.218:0.218:0.218) (0.331:0.332:0.332)) - (IOPATH S X (0.150:0.150:0.151) (0.324:0.325:0.325)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _274_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.340:0.340:0.340) (0.139:0.139:0.139)) - (IOPATH B Y (0.344:0.344:0.344) (0.152:0.152:0.152)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _275_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.195:0.195:0.195) (0.113:0.113:0.113)) - (IOPATH B Y (0.187:0.187:0.187) (0.105:0.105:0.105)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_4") - (INSTANCE _276_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.355:0.355:0.355) (0.358:0.358:0.358)) - (IOPATH A2 X (0.333:0.333:0.333) (0.318:0.318:0.318)) - (IOPATH B1 X (0.355:0.355:0.355) (0.264:0.264:0.264)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_4") - (INSTANCE _277_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.307:0.307:0.307) (0.201:0.201:0.201)) - (IOPATH A2 Y (0.300:0.300:0.300) (0.181:0.181:0.181)) - (IOPATH B1 Y (0.192:0.192:0.192) (0.206:0.206:0.206)) - (IOPATH C1 Y (0.126:0.126:0.126) (0.152:0.152:0.152)) - (IOPATH D1 Y (0.098:0.098:0.098) (0.131:0.131:0.131)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _278_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.083:0.086:0.089) (0.043:0.051:0.059)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3b_2") - (INSTANCE _279_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.474:0.474:0.474) (0.143:0.143:0.143)) - (IOPATH B Y (0.451:0.451:0.451) (0.125:0.125:0.125)) - (IOPATH C_N Y (0.488:0.488:0.488) (0.248:0.248:0.248)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_1") - (INSTANCE _280_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.237:0.237:0.237) (0.288:0.288:0.288)) - (IOPATH A2 X (0.212:0.212:0.212) (0.281:0.281:0.281)) - (IOPATH B1 X (0.233:0.233:0.233) (0.197:0.197:0.197)) - (IOPATH C1 X (0.250:0.251:0.251) (0.154:0.156:0.158)) - (IOPATH D1 X (0.258:0.258:0.259) (0.130:0.135:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a41oi_1") - (INSTANCE _281_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.210:0.212:0.213) (0.199:0.199:0.199)) - (IOPATH A2 Y (0.245:0.246:0.247) (0.184:0.184:0.184)) - (IOPATH A3 Y (0.249:0.253:0.257) (0.211:0.211:0.211)) - (IOPATH A4 Y (0.234:0.234:0.234) (0.133:0.133:0.133)) - (IOPATH B1 Y (0.206:0.206:0.206) (0.072:0.072:0.072)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _282_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.130:0.130:0.130) (0.065:0.065:0.065)) - (IOPATH A2 Y (0.113:0.115:0.117) (0.056:0.057:0.057)) - (IOPATH B1 Y (0.078:0.099:0.119) (0.089:0.093:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31oi_2") - (INSTANCE _283_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.256:0.257:0.258) (0.192:0.192:0.192)) - (IOPATH A2 Y (0.274:0.274:0.274) (0.128:0.128:0.128)) - (IOPATH A3 Y (0.285:0.285:0.285) (0.132:0.132:0.132)) - (IOPATH B1 Y (0.267:0.267:0.267) (0.089:0.089:0.089)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _284_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.146:0.146:0.146) (0.196:0.196:0.196)) - (IOPATH B Y (0.132:0.137:0.142) (0.098:0.115:0.132)) - (IOPATH C Y (0.128:0.128:0.128) (0.126:0.126:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _285_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.116:0.116:0.117) (0.057:0.057:0.057)) - (IOPATH A2 Y (0.123:0.137:0.152) (0.083:0.087:0.090)) - (IOPATH B1 Y (0.083:0.088:0.092) (0.064:0.067:0.071)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _286_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.147:0.147:0.147) (0.284:0.284:0.284)) - (IOPATH A1 X (0.122:0.122:0.123) (0.293:0.294:0.294)) - (IOPATH S X (0.223:0.223:0.223) (0.335:0.335:0.335)) - (IOPATH S X (0.155:0.155:0.155) (0.329:0.329:0.329)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _287_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.232:0.232:0.232) (0.105:0.105:0.105)) - (IOPATH B Y (0.236:0.236:0.236) (0.115:0.115:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _288_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.166:0.166:0.166) (0.089:0.089:0.089)) - (IOPATH B Y (0.154:0.154:0.154) (0.092:0.092:0.092)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_4") - (INSTANCE _289_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.255:0.255:0.255) (0.299:0.299:0.299)) - (IOPATH A2 X (0.258:0.258:0.258) (0.284:0.284:0.284)) - (IOPATH B1 X (0.257:0.257:0.257) (0.209:0.209:0.209)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_4") - (INSTANCE _290_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.280:0.280:0.280) (0.183:0.183:0.183)) - (IOPATH A2 Y (0.268:0.268:0.268) (0.164:0.164:0.164)) - (IOPATH B1 Y (0.156:0.156:0.156) (0.181:0.181:0.181)) - (IOPATH C1 Y (0.119:0.119:0.119) (0.146:0.146:0.146)) - (IOPATH D1 Y (0.091:0.091:0.091) (0.126:0.126:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _291_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.083:0.086:0.089) (0.044:0.053:0.061)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3b_2") - (INSTANCE _292_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.394:0.394:0.394) (0.120:0.120:0.120)) - (IOPATH B Y (0.365:0.365:0.365) (0.098:0.098:0.098)) - (IOPATH C_N Y (0.408:0.408:0.408) (0.231:0.231:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_1") - (INSTANCE _293_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.222:0.222:0.222) (0.268:0.268:0.268)) - (IOPATH A2 X (0.200:0.200:0.200) (0.258:0.258:0.258)) - (IOPATH B1 X (0.214:0.214:0.214) (0.167:0.167:0.167)) - (IOPATH C1 X (0.230:0.230:0.230) (0.141:0.144:0.147)) - (IOPATH D1 X (0.247:0.248:0.248) (0.126:0.130:0.134)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a41oi_1") - (INSTANCE _294_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.161:0.164:0.166) (0.147:0.147:0.147)) - (IOPATH A2 Y (0.192:0.193:0.195) (0.133:0.133:0.133)) - (IOPATH A3 Y (0.206:0.210:0.214) (0.172:0.172:0.172)) - (IOPATH A4 Y (0.201:0.201:0.201) (0.109:0.109:0.109)) - (IOPATH B1 Y (0.182:0.182:0.182) (0.064:0.064:0.064)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _295_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.140:0.140:0.140) (0.064:0.064:0.064)) - (IOPATH A2 Y (0.124:0.126:0.128) (0.061:0.062:0.062)) - (IOPATH B1 Y (0.086:0.098:0.111) (0.085:0.090:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31oi_2") - (INSTANCE _296_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.212:0.213:0.215) (0.143:0.143:0.143)) - (IOPATH A2 Y (0.241:0.241:0.241) (0.113:0.113:0.113)) - (IOPATH A3 Y (0.252:0.252:0.252) (0.116:0.116:0.116)) - (IOPATH B1 Y (0.234:0.234:0.234) (0.076:0.076:0.076)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _297_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.130:0.130:0.130) (0.178:0.178:0.178)) - (IOPATH B Y (0.117:0.121:0.126) (0.086:0.101:0.116)) - (IOPATH C Y (0.110:0.110:0.110) (0.108:0.108:0.108)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _298_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.134:0.135:0.135) (0.065:0.065:0.065)) - (IOPATH A2 Y (0.137:0.147:0.157) (0.088:0.092:0.096)) - (IOPATH B1 Y (0.090:0.095:0.099) (0.068:0.071:0.074)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _299_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.173:0.173:0.173) (0.096:0.096:0.096)) - (IOPATH B Y (0.143:0.143:0.143) (0.073:0.073:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _300_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.134:0.134:0.134) (0.133:0.133:0.133)) - (IOPATH B Y (0.128:0.132:0.136) (0.127:0.127:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _301_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.127:0.127:0.127) (0.245:0.245:0.245)) - (IOPATH B X (0.129:0.129:0.129) (0.221:0.223:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _302_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.170:0.170:0.170) (0.107:0.107:0.107)) - (IOPATH B Y (0.154:0.154:0.154) (0.088:0.088:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_2") - (INSTANCE _303_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.339:0.339:0.339) (0.124:0.124:0.124)) - (IOPATH B Y (0.317:0.317:0.317) (0.109:0.109:0.109)) - (IOPATH C Y (0.245:0.245:0.245) (0.054:0.054:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _304_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.175:0.175:0.175) (0.095:0.095:0.095)) - (IOPATH B Y (0.145:0.145:0.145) (0.070:0.070:0.070)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _305_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.164:0.164:0.164) (0.158:0.158:0.158)) - (IOPATH B Y (0.159:0.162:0.166) (0.156:0.156:0.156)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _306_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.127:0.127:0.127) (0.244:0.244:0.244)) - (IOPATH B X (0.145:0.145:0.145) (0.232:0.233:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _307_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.199:0.199:0.199) (0.123:0.123:0.123)) - (IOPATH B Y (0.176:0.176:0.176) (0.096:0.096:0.096)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_2") - (INSTANCE _308_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.305:0.305:0.305) (0.117:0.117:0.117)) - (IOPATH B Y (0.277:0.277:0.277) (0.096:0.096:0.096)) - (IOPATH C Y (0.206:0.206:0.206) (0.048:0.048:0.048)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_2") - (INSTANCE _309_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.247:0.247:0.247) (0.246:0.246:0.246)) - (IOPATH B X (0.137:0.138:0.138) (0.195:0.195:0.196)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _310_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.078:0.078:0.078) (0.077:0.077:0.077)) - (IOPATH B Y (0.079:0.079:0.079) (0.074:0.074:0.074)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _311_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.144:0.144:0.144) (0.250:0.250:0.250)) - (IOPATH B X (0.133:0.133:0.133) (0.226:0.226:0.226)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_2") - (INSTANCE _312_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.183:0.184:0.184) (0.231:0.231:0.231)) - (IOPATH A2 X (0.000:0.000:0.000)) - (IOPATH A3 X (0.191:0.192:0.192) (0.270:0.273:0.276)) - (IOPATH B1 X (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_2") - (INSTANCE _313_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.258:0.258:0.258) (0.253:0.253:0.253)) - (IOPATH B X (0.133:0.134:0.134) (0.192:0.192:0.193)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _314_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.079:0.079:0.079) (0.079:0.079:0.079)) - (IOPATH B Y (0.084:0.084:0.084) (0.080:0.080:0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _315_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.143:0.143:0.143) (0.252:0.252:0.252)) - (IOPATH B X (0.139:0.139:0.139) (0.232:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_2") - (INSTANCE _316_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.167:0.167:0.167) (0.218:0.218:0.218)) - (IOPATH A2 X (0.000:0.000:0.000)) - (IOPATH A3 X (0.173:0.173:0.174) (0.256:0.258:0.260)) - (IOPATH B1 X (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _317_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.144:0.144:0.144) (0.157:0.157:0.157)) - (IOPATH A Y (0.186:0.186:0.186) (0.101:0.101:0.101)) - (IOPATH B Y (0.142:0.142:0.142) (0.148:0.148:0.148)) - (IOPATH B Y (0.163:0.163:0.163) (0.088:0.088:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _318_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.130:0.130:0.130) (0.127:0.127:0.127)) - (IOPATH A Y (0.154:0.154:0.154) (0.087:0.087:0.087)) - (IOPATH B Y (0.139:0.139:0.139) (0.145:0.145:0.145)) - (IOPATH B Y (0.155:0.155:0.155) (0.086:0.086:0.086)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _319_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.222:0.222:0.222) (0.085:0.085:0.085)) - (IOPATH B Y (0.212:0.212:0.212) (0.081:0.081:0.081)) - (IOPATH C Y (0.171:0.171:0.171) (0.071:0.071:0.071)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _320_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.188:0.188:0.188) (0.233:0.233:0.233)) - (IOPATH A2 X (0.167:0.167:0.167) (0.214:0.214:0.214)) - (IOPATH B1 X (0.181:0.181:0.181) (0.152:0.152:0.152)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _321_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.136:0.137:0.138) (0.086:0.086:0.086)) - (IOPATH B Y (0.122:0.123:0.124) (0.059:0.059:0.059)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _322_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.139:0.139:0.139) (0.184:0.184:0.184)) - (IOPATH A2 X (0.132:0.132:0.132) (0.187:0.187:0.187)) - (IOPATH B1 X (0.148:0.148:0.148) (0.127:0.127:0.127)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _323_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.104:0.104:0.104) (0.152:0.152:0.152)) - (IOPATH A2 X (0.159:0.159:0.159) (0.186:0.188:0.190)) - (IOPATH B1 X (0.079:0.079:0.080) (0.146:0.147:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _324_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.135:0.135:0.135) (0.141:0.141:0.141)) - (IOPATH A Y (0.157:0.157:0.157) (0.093:0.093:0.093)) - (IOPATH B Y (0.141:0.141:0.141) (0.149:0.149:0.149)) - (IOPATH B Y (0.150:0.150:0.150) (0.085:0.085:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _325_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.149:0.149:0.149) (0.388:0.388:0.388)) - (IOPATH B X (0.151:0.151:0.151) (0.375:0.375:0.375)) - (IOPATH C X (0.124:0.124:0.124) (0.320:0.320:0.320)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _326_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.162:0.162:0.162) (0.107:0.107:0.107)) - (IOPATH A2 Y (0.160:0.160:0.160) (0.095:0.095:0.095)) - (IOPATH B1 Y (0.095:0.095:0.095) (0.088:0.088:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _327_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.057:0.057:0.057) (0.038:0.038:0.038)) - (IOPATH B Y (0.060:0.061:0.062) (0.052:0.055:0.058)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _328_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.146:0.146:0.146) (0.154:0.154:0.154)) - (IOPATH A Y (0.192:0.192:0.192) (0.102:0.102:0.102)) - (IOPATH B Y (0.166:0.166:0.166) (0.164:0.164:0.164)) - (IOPATH B Y (0.187:0.187:0.187) (0.112:0.112:0.112)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _329_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.162:0.162:0.162) (0.416:0.416:0.416)) - (IOPATH B X (0.182:0.182:0.182) (0.404:0.404:0.404)) - (IOPATH C X (0.168:0.168:0.168) (0.365:0.365:0.365)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _330_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.158:0.158:0.158) (0.096:0.096:0.096)) - (IOPATH A2 Y (0.158:0.158:0.158) (0.098:0.098:0.098)) - (IOPATH B1 Y (0.115:0.115:0.115) (0.106:0.106:0.106)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _331_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.069:0.069:0.069) (0.049:0.049:0.049)) - (IOPATH B Y (0.063:0.067:0.071) (0.056:0.059:0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _332_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.164:0.164:0.164) (0.170:0.170:0.170)) - (IOPATH A Y (0.219:0.219:0.219) (0.120:0.120:0.120)) - (IOPATH B Y (0.157:0.157:0.157) (0.147:0.147:0.147)) - (IOPATH B Y (0.183:0.183:0.183) (0.102:0.102:0.102)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _333_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.169:0.169:0.169) (0.190:0.190:0.190)) - (IOPATH A Y (0.227:0.227:0.227) (0.129:0.129:0.129)) - (IOPATH B Y (0.169:0.169:0.169) (0.181:0.181:0.181)) - (IOPATH B Y (0.208:0.208:0.208) (0.116:0.116:0.116)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _334_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.141:0.141:0.141) (0.137:0.137:0.137)) - (IOPATH A Y (0.174:0.174:0.174) (0.097:0.097:0.097)) - (IOPATH B Y (0.148:0.148:0.148) (0.153:0.153:0.153)) - (IOPATH B Y (0.172:0.172:0.172) (0.094:0.094:0.094)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _335_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.237:0.237:0.237) (0.104:0.104:0.104)) - (IOPATH B Y (0.230:0.230:0.230) (0.095:0.095:0.095)) - (IOPATH C Y (0.192:0.192:0.192) (0.084:0.084:0.084)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _336_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.224:0.224:0.224) (0.266:0.266:0.266)) - (IOPATH A2 X (0.201:0.201:0.201) (0.254:0.254:0.254)) - (IOPATH B1 X (0.218:0.218:0.218) (0.188:0.188:0.188)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _337_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.114:0.115:0.116) (0.072:0.073:0.073)) - (IOPATH B Y (0.097:0.098:0.098) (0.054:0.054:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _338_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.147:0.147:0.147) (0.191:0.191:0.191)) - (IOPATH A2 X (0.137:0.137:0.137) (0.191:0.191:0.191)) - (IOPATH B1 X (0.155:0.155:0.155) (0.134:0.134:0.134)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _339_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.118:0.118:0.118) (0.163:0.163:0.163)) - (IOPATH A2 X (0.193:0.193:0.193) (0.210:0.211:0.213)) - (IOPATH B1 X (0.093:0.094:0.094) (0.157:0.158:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _340_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.174:0.174:0.174) (0.172:0.172:0.172)) - (IOPATH A Y (0.227:0.227:0.227) (0.131:0.131:0.131)) - (IOPATH B Y (0.178:0.178:0.178) (0.177:0.177:0.177)) - (IOPATH B Y (0.218:0.218:0.218) (0.125:0.125:0.125)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _341_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.157:0.157:0.157) (0.394:0.394:0.394)) - (IOPATH B X (0.155:0.155:0.155) (0.379:0.379:0.379)) - (IOPATH C X (0.136:0.136:0.136) (0.330:0.330:0.330)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _342_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.161:0.161:0.161) (0.109:0.109:0.109)) - (IOPATH A2 Y (0.158:0.158:0.158) (0.094:0.094:0.094)) - (IOPATH B1 Y (0.105:0.105:0.105) (0.096:0.096:0.096)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _343_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.073:0.073:0.073) (0.051:0.051:0.052)) - (IOPATH B Y (0.075:0.077:0.079) (0.066:0.070:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _344_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.156:0.156:0.156) (0.163:0.163:0.163)) - (IOPATH A Y (0.186:0.186:0.186) (0.115:0.115:0.115)) - (IOPATH B Y (0.130:0.130:0.130) (0.140:0.140:0.140)) - (IOPATH B Y (0.149:0.149:0.149) (0.077:0.077:0.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _345_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.179:0.179:0.179) (0.414:0.414:0.414)) - (IOPATH B X (0.138:0.138:0.138) (0.376:0.376:0.376)) - (IOPATH C X (0.152:0.152:0.152) (0.346:0.346:0.346)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _346_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.169:0.169:0.169) (0.118:0.118:0.118)) - (IOPATH A2 Y (0.140:0.140:0.140) (0.075:0.075:0.075)) - (IOPATH B1 Y (0.108:0.108:0.108) (0.100:0.100:0.100)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _347_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.078:0.078:0.078) (0.056:0.057:0.057)) - (IOPATH B Y (0.069:0.075:0.082) (0.067:0.070:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _348_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.134:0.134:0.134) (0.145:0.145:0.145)) - (IOPATH A Y (0.164:0.164:0.164) (0.092:0.092:0.092)) - (IOPATH B Y (0.130:0.130:0.130) (0.126:0.126:0.126)) - (IOPATH B Y (0.131:0.131:0.131) (0.077:0.077:0.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _349_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.291:0.291:0.291) (0.117:0.117:0.117)) - (IOPATH B Y (0.234:0.234:0.234) (0.076:0.076:0.076)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_1") - (INSTANCE _350_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.362:0.362:0.362) (0.234:0.234:0.234)) - (IOPATH A2 Y (0.357:0.357:0.357) (0.227:0.227:0.227)) - (IOPATH B1 Y (0.220:0.220:0.220) (0.235:0.235:0.235)) - (IOPATH C1 Y (0.195:0.195:0.195) (0.218:0.218:0.218)) - (IOPATH D1 Y (0.160:0.164:0.168) (0.221:0.222:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _351_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.180:0.184:0.188) (0.092:0.105:0.118)) - (IOPATH A2 Y (0.153:0.153:0.153) (0.086:0.086:0.086)) - (IOPATH B1 Y (0.165:0.165:0.165) (0.073:0.073:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _352_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.142:0.142:0.142) (0.089:0.089:0.089)) - (IOPATH A2 Y (0.176:0.180:0.184) (0.082:0.092:0.102)) - (IOPATH B1 Y (0.077:0.086:0.095) (0.077:0.080:0.083)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _353_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.152:0.152:0.152) (0.094:0.094:0.094)) - (IOPATH A2 Y (0.137:0.138:0.140) (0.074:0.074:0.074)) - (IOPATH B1 Y (0.080:0.080:0.080) (0.081:0.081:0.081)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4b_1") - (INSTANCE _354_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.143:0.143:0.143) (0.202:0.202:0.202)) - (IOPATH B Y (0.104:0.104:0.104) (0.120:0.120:0.120)) - (IOPATH C Y (0.101:0.105:0.109) (0.121:0.121:0.121)) - (IOPATH D Y (0.092:0.092:0.092) (0.102:0.102:0.102)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _355_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.058:0.061:0.064) (0.058:0.062:0.065)) - (IOPATH B Y (0.084:0.086:0.088) (0.058:0.061:0.064)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _356_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.112:0.112:0.112) (0.141:0.141:0.141)) - (IOPATH B Y (0.101:0.101:0.101) (0.081:0.081:0.081)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _357_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.141:0.141:0.141) (0.178:0.178:0.178)) - (IOPATH B Y (0.079:0.079:0.079) (0.072:0.072:0.072)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _358_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.070:0.072:0.074) (0.067:0.069:0.071)) - (IOPATH B Y (0.085:0.085:0.086) (0.079:0.079:0.079)) - (IOPATH C Y (0.083:0.083:0.083) (0.086:0.086:0.086)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _359_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.132:0.132:0.132) (0.074:0.074:0.074)) - (IOPATH B Y (0.097:0.097:0.097) (0.050:0.050:0.050)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _360_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.154:0.154:0.154) (0.164:0.164:0.164)) - (IOPATH B X (0.134:0.134:0.134) (0.155:0.155:0.155)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _361_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.123:0.126:0.130) (0.076:0.077:0.077)) - (IOPATH A2 Y (0.112:0.112:0.112) (0.055:0.055:0.055)) - (IOPATH B1 Y (0.087:0.089:0.091) (0.090:0.090:0.090)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _362_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.158:0.158:0.158) (0.221:0.221:0.221)) - (IOPATH A2 X (0.166:0.166:0.166) (0.216:0.217:0.219)) - (IOPATH B1 X (0.141:0.143:0.145) (0.190:0.190:0.190)) - (IOPATH B2 X (0.135:0.139:0.142) (0.161:0.166:0.172)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _363_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.135:0.135:0.135) (0.183:0.183:0.183)) - (IOPATH B Y (0.122:0.126:0.131) (0.091:0.107:0.122)) - (IOPATH C Y (0.128:0.128:0.128) (0.119:0.119:0.119)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _364_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.117:0.117:0.117) (0.057:0.057:0.057)) - (IOPATH A2 Y (0.120:0.130:0.140) (0.079:0.082:0.086)) - (IOPATH B1 Y (0.081:0.085:0.089) (0.062:0.065:0.068)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _365_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.120:0.120:0.120) (0.166:0.166:0.166)) - (IOPATH B Y (0.106:0.110:0.114) (0.075:0.088:0.100)) - (IOPATH C Y (0.090:0.090:0.090) (0.085:0.085:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _366_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.124:0.124:0.125) (0.061:0.061:0.061)) - (IOPATH A2 Y (0.127:0.137:0.147) (0.083:0.087:0.090)) - (IOPATH B1 Y (0.078:0.083:0.087) (0.059:0.062:0.066)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _367_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.153:0.153:0.153) (0.296:0.296:0.296)) - (IOPATH A1 X (0.121:0.121:0.122) (0.290:0.290:0.290)) - (IOPATH S X (0.218:0.218:0.218) (0.329:0.329:0.329)) - (IOPATH S X (0.150:0.150:0.151) (0.323:0.323:0.323)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _368_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.160:0.160:0.160) (0.301:0.301:0.301)) - (IOPATH A1 X (0.118:0.119:0.119) (0.290:0.290:0.290)) - (IOPATH S X (0.222:0.222:0.222) (0.334:0.334:0.334)) - (IOPATH S X (0.154:0.154:0.154) (0.328:0.328:0.328)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _369_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.147:0.147:0.147) (0.090:0.090:0.090)) - (IOPATH A2 Y (0.125:0.126:0.128) (0.077:0.077:0.077)) - (IOPATH B1 Y (0.074:0.074:0.074) (0.055:0.056:0.056)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31ai_1") - (INSTANCE _370_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.244:0.244:0.244) (0.097:0.097:0.097)) - (IOPATH A2 Y (0.212:0.212:0.212) (0.063:0.063:0.063)) - (IOPATH A3 Y (0.191:0.192:0.194) (0.082:0.082:0.082)) - (IOPATH B1 Y (0.056:0.058:0.061) (0.066:0.071:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _371_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.161:0.161:0.161) (0.096:0.096:0.096)) - (IOPATH A2 Y (0.137:0.138:0.140) (0.083:0.083:0.083)) - (IOPATH B1_N Y (0.105:0.105:0.106) (0.159:0.159:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _372_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.167:0.167:0.167) (0.319:0.319:0.319)) - (IOPATH A2 X (0.184:0.184:0.184) (0.311:0.311:0.311)) - (IOPATH A3 X (0.149:0.149:0.149) (0.253:0.254:0.255)) - (IOPATH B1 X (0.136:0.145:0.155) (0.106:0.107:0.108)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _373_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.188:0.188:0.188) (0.110:0.110:0.110)) - (IOPATH A2 Y (0.164:0.165:0.167) (0.097:0.097:0.097)) - (IOPATH B1_N Y (0.112:0.112:0.113) (0.165:0.165:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _374_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.168:0.168:0.168) (0.321:0.321:0.321)) - (IOPATH A2 X (0.175:0.175:0.175) (0.311:0.311:0.311)) - (IOPATH A3 X (0.150:0.150:0.150) (0.255:0.256:0.257)) - (IOPATH B1 X (0.143:0.154:0.164) (0.112:0.114:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _375_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.129:0.130:0.131) (0.294:0.295:0.295)) - (IOPATH A1 X (0.169:0.169:0.169) (0.313:0.313:0.313)) - (IOPATH S X (0.234:0.234:0.234) (0.352:0.352:0.352)) - (IOPATH S X (0.172:0.172:0.172) (0.342:0.342:0.342)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _376_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.128:0.129:0.129) (0.294:0.294:0.294)) - (IOPATH A1 X (0.176:0.176:0.176) (0.327:0.327:0.327)) - (IOPATH S X (0.230:0.230:0.230) (0.349:0.349:0.349)) - (IOPATH S X (0.169:0.169:0.169) (0.339:0.339:0.339)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor4_1") - (INSTANCE _377_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.312:0.312:0.312) (0.086:0.086:0.086)) - (IOPATH B Y (0.304:0.304:0.304) (0.089:0.089:0.089)) - (IOPATH C Y (0.256:0.256:0.256) (0.077:0.077:0.077)) - (IOPATH D Y (0.200:0.200:0.200) (0.064:0.064:0.064)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _378_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.173:0.173:0.173) (0.323:0.323:0.323)) - (IOPATH A2 X (0.174:0.174:0.174) (0.309:0.309:0.309)) - (IOPATH A3 X (0.152:0.152:0.152) (0.254:0.254:0.254)) - (IOPATH B1 X (0.160:0.160:0.160) (0.121:0.121:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _379_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.159:0.160:0.160) (0.235:0.239:0.242)) - (IOPATH B X (0.111:0.112:0.112) (0.215:0.218:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _380_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.144:0.144:0.144) (0.292:0.292:0.292)) - (IOPATH A1 X (0.124:0.124:0.124) (0.296:0.296:0.296)) - (IOPATH S X (0.225:0.225:0.225) (0.354:0.354:0.354)) - (IOPATH S X (0.176:0.176:0.176) (0.336:0.336:0.336)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _381_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.190:0.190:0.190) (0.123:0.123:0.123)) - (IOPATH A2 Y (0.163:0.164:0.165) (0.087:0.087:0.088)) - (IOPATH B1 Y (0.092:0.092:0.092) (0.091:0.091:0.091)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4b_1") - (INSTANCE _382_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.139:0.139:0.139) (0.200:0.200:0.200)) - (IOPATH B Y (0.096:0.096:0.096) (0.111:0.111:0.111)) - (IOPATH C Y (0.093:0.097:0.101) (0.115:0.115:0.115)) - (IOPATH D Y (0.086:0.086:0.086) (0.094:0.094:0.094)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _383_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.057:0.062:0.066) (0.055:0.059:0.063)) - (IOPATH B Y (0.074:0.076:0.078) (0.051:0.054:0.056)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _384_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.147:0.147:0.147) (0.175:0.175:0.175)) - (IOPATH B Y (0.074:0.074:0.074) (0.067:0.067:0.067)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _385_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.117:0.117:0.117) (0.146:0.146:0.146)) - (IOPATH B Y (0.097:0.097:0.097) (0.082:0.082:0.082)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _386_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.122:0.122:0.122) (0.149:0.149:0.149)) - (IOPATH B Y (0.113:0.113:0.113) (0.101:0.101:0.101)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _387_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.156:0.156:0.156) (0.200:0.200:0.200)) - (IOPATH B Y (0.074:0.074:0.074) (0.066:0.066:0.066)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _388_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.122:0.124:0.125) (0.148:0.148:0.148)) - (IOPATH B Y (0.104:0.105:0.105) (0.097:0.098:0.099)) - (IOPATH C Y (0.100:0.101:0.102) (0.100:0.100:0.100)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _389_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.090:0.091:0.091) (0.090:0.090:0.090)) - (IOPATH B Y (0.108:0.112:0.115) (0.101:0.102:0.103)) - (IOPATH C Y (0.100:0.100:0.100) (0.104:0.104:0.104)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _390_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.206:0.206:0.206) (0.262:0.262:0.262)) - (IOPATH A2 X (0.192:0.192:0.192) (0.234:0.235:0.237)) - (IOPATH B1 X (0.152:0.154:0.155) (0.200:0.207:0.214)) - (IOPATH B2 X (0.138:0.140:0.142) (0.182:0.182:0.183)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _391_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.136:0.136:0.136) (0.185:0.185:0.185)) - (IOPATH B Y (0.121:0.126:0.131) (0.088:0.103:0.118)) - (IOPATH C Y (0.127:0.127:0.127) (0.116:0.116:0.116)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _392_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.129:0.129:0.129) (0.063:0.063:0.063)) - (IOPATH A2 Y (0.133:0.147:0.161) (0.089:0.093:0.097)) - (IOPATH B1 Y (0.085:0.089:0.094) (0.064:0.068:0.071)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _393_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.151:0.151:0.151) (0.202:0.202:0.202)) - (IOPATH B Y (0.138:0.143:0.148) (0.104:0.121:0.138)) - (IOPATH C Y (0.129:0.129:0.129) (0.123:0.123:0.123)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _394_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.120:0.120:0.120) (0.059:0.059:0.059)) - (IOPATH A2 Y (0.127:0.141:0.155) (0.085:0.089:0.092)) - (IOPATH B1 Y (0.089:0.093:0.097) (0.068:0.071:0.074)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _395_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.162:0.162:0.162) (0.305:0.305:0.305)) - (IOPATH A1 X (0.123:0.124:0.125) (0.295:0.296:0.296)) - (IOPATH S X (0.221:0.221:0.221) (0.335:0.336:0.336)) - (IOPATH S X (0.154:0.154:0.154) (0.329:0.329:0.329)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _396_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.159:0.159:0.159) (0.298:0.298:0.298)) - (IOPATH A1 X (0.121:0.122:0.122) (0.290:0.290:0.290)) - (IOPATH S X (0.214:0.214:0.214) (0.327:0.327:0.327)) - (IOPATH S X (0.146:0.147:0.147) (0.320:0.320:0.320)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _397_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.231:0.231:0.231) (0.145:0.145:0.145)) - (IOPATH A2 Y (0.203:0.204:0.206) (0.132:0.132:0.132)) - (IOPATH B1 Y (0.116:0.116:0.116) (0.081:0.082:0.083)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31ai_1") - (INSTANCE _398_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.372:0.372:0.372) (0.154:0.154:0.154)) - (IOPATH A2 Y (0.318:0.318:0.318) (0.090:0.090:0.090)) - (IOPATH A3 Y (0.314:0.315:0.317) (0.138:0.138:0.138)) - (IOPATH B1 Y (0.104:0.109:0.113) (0.115:0.122:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _399_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.219:0.219:0.219) (0.137:0.137:0.137)) - (IOPATH A2 Y (0.189:0.191:0.192) (0.123:0.123:0.123)) - (IOPATH B1_N Y (0.118:0.119:0.121) (0.170:0.170:0.171)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _400_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.199:0.199:0.199) (0.349:0.349:0.349)) - (IOPATH A2 X (0.196:0.196:0.196) (0.321:0.321:0.321)) - (IOPATH A3 X (0.179:0.179:0.179) (0.276:0.277:0.279)) - (IOPATH B1 X (0.154:0.165:0.176) (0.120:0.123:0.127)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _401_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.199:0.199:0.199) (0.117:0.117:0.117)) - (IOPATH A2 Y (0.191:0.192:0.194) (0.124:0.124:0.124)) - (IOPATH B1_N Y (0.131:0.132:0.133) (0.182:0.182:0.182)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _402_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.194:0.194:0.194) (0.346:0.346:0.346)) - (IOPATH A2 X (0.174:0.174:0.174) (0.310:0.310:0.310)) - (IOPATH A3 X (0.174:0.174:0.174) (0.273:0.274:0.276)) - (IOPATH B1 X (0.149:0.160:0.171) (0.118:0.120:0.123)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_1") - (INSTANCE _403_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.294:0.294:0.294) (0.193:0.193:0.193)) - (IOPATH A2 Y (0.284:0.284:0.284) (0.182:0.182:0.182)) - (IOPATH B1 Y (0.181:0.181:0.181) (0.190:0.190:0.190)) - (IOPATH C1 Y (0.156:0.156:0.156) (0.181:0.181:0.181)) - (IOPATH D1 Y (0.121:0.124:0.126) (0.164:0.164:0.164)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _404_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.156:0.159:0.162) (0.081:0.093:0.106)) - (IOPATH A2 Y (0.151:0.151:0.151) (0.087:0.087:0.087)) - (IOPATH B1 Y (0.137:0.137:0.137) (0.060:0.060:0.060)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _405_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.148:0.148:0.148) (0.093:0.093:0.093)) - (IOPATH A2 Y (0.158:0.161:0.165) (0.077:0.087:0.098)) - (IOPATH B1 Y (0.080:0.086:0.092) (0.076:0.078:0.081)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _406_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.129:0.130:0.131) (0.294:0.294:0.294)) - (IOPATH A1 X (0.165:0.165:0.165) (0.310:0.310:0.310)) - (IOPATH S X (0.238:0.238:0.238) (0.351:0.351:0.351)) - (IOPATH S X (0.172:0.172:0.172) (0.344:0.344:0.344)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _407_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.115:0.116:0.118) (0.281:0.281:0.281)) - (IOPATH A1 X (0.161:0.161:0.161) (0.312:0.312:0.312)) - (IOPATH S X (0.227:0.227:0.227) (0.340:0.340:0.340)) - (IOPATH S X (0.161:0.161:0.161) (0.333:0.333:0.333)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor4_1") - (INSTANCE _408_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.322:0.322:0.322) (0.107:0.107:0.107)) - (IOPATH B Y (0.287:0.287:0.287) (0.082:0.082:0.082)) - (IOPATH C Y (0.242:0.242:0.242) (0.074:0.074:0.074)) - (IOPATH D Y (0.185:0.185:0.185) (0.058:0.058:0.058)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _409_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.174:0.174:0.174) (0.328:0.328:0.328)) - (IOPATH A2 X (0.148:0.148:0.148) (0.285:0.285:0.285)) - (IOPATH A3 X (0.128:0.128:0.128) (0.233:0.233:0.233)) - (IOPATH B1 X (0.132:0.132:0.132) (0.103:0.103:0.103)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _410_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.147:0.147:0.148) (0.225:0.232:0.240)) - (IOPATH B X (0.093:0.094:0.094) (0.203:0.205:0.208)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _412__9) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.067:0.067:0.067) (0.031:0.031:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_ext_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.617:0.617:0.617) (1.463:1.463:1.463)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _414__6) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.041:0.041:0.041) (0.025:0.025:0.025)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _266__7) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.039:0.039:0.039) (0.026:0.026:0.026)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _416__3) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.039:0.039:0.039) (0.024:0.024:0.024)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _268__4) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.041:0.041:0.041) (0.024:0.024:0.024)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _417_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.486:0.486:0.486) (0.338:0.338:0.338)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.131:0.131:0.131)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.112:-0.112:-0.112)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.014:-0.014:-0.014)) - (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059)) - (SETUP (negedge D) (posedge CLK) (0.069:0.069:0.069)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _418_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.489:0.489:0.489) (0.340:0.340:0.340)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.135:0.135:0.135)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.116:-0.116:-0.116)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.014:-0.014:-0.014)) - (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059)) - (SETUP (negedge D) (posedge CLK) (0.069:0.069:0.069)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _419_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.494:0.494:0.494) (0.346:0.346:0.346)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.140:0.140:0.140)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.121:-0.121:-0.121)) - (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027)) - (HOLD (negedge D) (posedge CLK) (-0.001:-0.001:-0.001)) - (SETUP (posedge D) (posedge CLK) (0.046:0.046:0.046)) - (SETUP (negedge D) (posedge CLK) (0.054:0.054:0.054)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _420_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.411:0.411:0.411) (0.425:0.425:0.425)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.205:-0.205)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.110:0.110:0.110)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _421_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.362:0.362:0.362) (0.391:0.391:0.391)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.384:0.384:0.384)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.187:-0.187:-0.187)) - (HOLD (posedge D) (posedge CLK) (-0.054:-0.054:-0.054)) - (HOLD (negedge D) (posedge CLK) (-0.061:-0.061:-0.061)) - (SETUP (posedge D) (posedge CLK) (0.081:0.081:0.081)) - (SETUP (negedge D) (posedge CLK) (0.131:0.131:0.131)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _422_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.572:0.572:0.572) (0.396:0.396:0.396)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.144:0.144:0.144)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.125:-0.125:-0.125)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.043:-0.047)) - (HOLD (negedge D) (posedge CLK) (-0.009:-0.013:-0.017)) - (SETUP (posedge D) (posedge CLK) (0.059:0.063:0.068)) - (SETUP (negedge D) (posedge CLK) (0.065:0.069:0.073)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _423_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.341:0.341:0.341) (0.376:0.376:0.376)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.377:0.377:0.377)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.194:-0.194:-0.194)) - (HOLD (posedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) - (SETUP (negedge D) (posedge CLK) (0.110:0.110:0.110)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _424_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.336:0.336:0.336) (0.316:0.316:0.316)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.058:-0.058:-0.058)) - (HOLD (negedge D) (posedge CLK) (-0.083:-0.083:-0.083)) - (SETUP (posedge D) (posedge CLK) (0.084:0.084:0.084)) - (SETUP (negedge D) (posedge CLK) (0.142:0.142:0.142)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _425_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.352:0.352:0.352) (0.325:0.325:0.325)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.058:-0.058:-0.058)) - (HOLD (negedge D) (posedge CLK) (-0.080:-0.080:-0.080)) - (SETUP (posedge D) (posedge CLK) (0.083:0.083:0.083)) - (SETUP (negedge D) (posedge CLK) (0.138:0.138:0.138)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _426_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.343:0.343:0.343) (0.320:0.320:0.320)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.054:-0.054:-0.054)) - (HOLD (negedge D) (posedge CLK) (-0.075:-0.075:-0.075)) - (SETUP (posedge D) (posedge CLK) (0.080:0.080:0.080)) - (SETUP (negedge D) (posedge CLK) (0.134:0.134:0.134)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _427_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.560:0.560:0.560) (0.390:0.390:0.390)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.150:0.150:0.150)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.131:-0.131:-0.131)) - (HOLD (posedge D) (posedge CLK) (-0.032:-0.033:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.006:-0.008:-0.009)) - (SETUP (posedge D) (posedge CLK) (0.052:0.053:0.054)) - (SETUP (negedge D) (posedge CLK) (0.061:0.063:0.064)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _428_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.357:0.357:0.357) (0.387:0.387:0.387)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.204:-0.204:-0.204)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.041:-0.043:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060)) - (SETUP (negedge D) (posedge CLK) (0.110:0.111:0.113)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _429_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.532:0.532:0.532) (0.425:0.425:0.425)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.480:0.480:0.480)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.278:-0.278:-0.278)) - (HOLD (posedge D) (negedge CLK_N) (0.080:0.077:0.075)) - (HOLD (negedge D) (negedge CLK_N) (-0.073:-0.075:-0.077)) - (SETUP (posedge D) (negedge CLK_N) (-0.033:-0.030:-0.027)) - (SETUP (negedge D) (negedge CLK_N) (0.148:0.150:0.152)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _430_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.606:0.606:0.606) (0.405:0.405:0.405)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.135:0.135:0.135)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.116:-0.116:-0.116)) - (HOLD (posedge D) (posedge CLK) (-0.048:-0.051:-0.054)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.022:-0.024)) - (SETUP (posedge D) (posedge CLK) (0.068:0.072:0.075)) - (SETUP (negedge D) (posedge CLK) (0.076:0.078:0.080)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _431_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.452:0.452:0.452) (0.373:0.373:0.373)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.471:0.471:0.471)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.282:-0.282:-0.282)) - (HOLD (posedge D) (negedge CLK_N) (0.077:0.074:0.071)) - (HOLD (negedge D) (negedge CLK_N) (-0.076:-0.078:-0.080)) - (SETUP (posedge D) (negedge CLK_N) (-0.030:-0.026:-0.023)) - (SETUP (negedge D) (negedge CLK_N) (0.151:0.153:0.155)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _432_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.588:0.588:0.588) (0.395:0.395:0.395)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.135:0.135:0.135)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.117:-0.117:-0.117)) - (HOLD (posedge D) (posedge CLK) (-0.046:-0.050:-0.053)) - (HOLD (negedge D) (posedge CLK) (-0.016:-0.020:-0.025)) - (SETUP (posedge D) (posedge CLK) (0.067:0.071:0.074)) - (SETUP (negedge D) (posedge CLK) (0.071:0.076:0.081)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _433_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.491:0.491:0.491) (0.400:0.400:0.400)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.480:0.480:0.480)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.278:-0.278:-0.278)) - (HOLD (posedge D) (negedge CLK_N) (0.087:0.087:0.086)) - (HOLD (negedge D) (negedge CLK_N) (-0.077:-0.077:-0.077)) - (SETUP (posedge D) (negedge CLK_N) (-0.043:-0.042:-0.042)) - (SETUP (negedge D) (negedge CLK_N) (0.152:0.152:0.153)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _434_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.578:0.578:0.578) (0.386:0.386:0.386)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.136:0.136:0.136)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.115:-0.115:-0.115)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.017:-0.017:-0.017)) - (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.059)) - (SETUP (negedge D) (posedge CLK) (0.081:0.081:0.081)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _435_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.499:0.499:0.499) (0.401:0.401:0.401)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.480:0.480:0.480)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.278:-0.278:-0.278)) - (HOLD (posedge D) (negedge CLK_N) (0.086:0.086:0.085)) - (HOLD (negedge D) (negedge CLK_N) (-0.078:-0.078:-0.078)) - (SETUP (posedge D) (negedge CLK_N) (-0.042:-0.041:-0.041)) - (SETUP (negedge D) (negedge CLK_N) (0.154:0.154:0.154)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _436_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.447:0.447:0.447) (0.465:0.465:0.465)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.188:-0.188:-0.188)) - (HOLD (posedge D) (posedge CLK) (-0.033:-0.046:-0.059)) - (HOLD (negedge D) (posedge CLK) (-0.035:-0.038:-0.041)) - (SETUP (posedge D) (posedge CLK) (0.062:0.076:0.091)) - (SETUP (negedge D) (posedge CLK) (0.108:0.111:0.115)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _437_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.665:0.665:0.665) (0.445:0.445:0.445)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.144:0.144:0.144)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.125:-0.125:-0.125)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.006:-0.009:-0.012)) - (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055)) - (SETUP (negedge D) (posedge CLK) (0.060:0.064:0.067)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _438_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.459:0.459:0.459) (0.453:0.453:0.453)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.379:0.379:0.379)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.188:-0.188:-0.188)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.037:-0.041:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.060:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.105:0.109:0.113)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _439_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.621:0.621:0.621) (0.418:0.418:0.418)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.151:0.151:0.151)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.130:-0.130:-0.130)) - (HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.032)) - (HOLD (negedge D) (posedge CLK) (-0.008:-0.008:-0.008)) - (SETUP (posedge D) (posedge CLK) (0.053:0.054:0.054)) - (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _440_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.449:0.449:0.449) (0.448:0.448:0.448)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.370:0.370:0.370)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.200:-0.200:-0.200)) - (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.057:0.058:0.058)) - (SETUP (negedge D) (posedge CLK) (0.115:0.115:0.115)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _441_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.389:0.389:0.389) (0.409:0.409:0.409)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.370:0.370:0.370)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.200:-0.200:-0.200)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059)) - (SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _442_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.312:0.312:0.312) (0.349:0.349:0.349)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.353:0.353:0.353)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.195:-0.195:-0.195)) - (HOLD (posedge D) (posedge CLK) (-0.064:-0.064:-0.064)) - (HOLD (negedge D) (posedge CLK) (-0.091:-0.091:-0.091)) - (SETUP (posedge D) (posedge CLK) (0.092:0.092:0.092)) - (SETUP (negedge D) (posedge CLK) (0.163:0.163:0.163)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _443_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.490:0.490:0.490) (0.343:0.343:0.343)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.142:0.142:0.142)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.123:-0.123:-0.123)) - (HOLD (posedge D) (posedge CLK) (-0.059:-0.059:-0.059)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.080:0.080:0.080)) - (SETUP (negedge D) (posedge CLK) (0.107:0.107:0.107)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _444_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.309:0.309:0.309) (0.347:0.347:0.347)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.352:0.352:0.352)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.194:-0.194:-0.194)) - (HOLD (posedge D) (posedge CLK) (-0.062:-0.062:-0.062)) - (HOLD (negedge D) (posedge CLK) (-0.091:-0.091:-0.091)) - (SETUP (posedge D) (posedge CLK) (0.090:0.090:0.090)) - (SETUP (negedge D) (posedge CLK) (0.162:0.162:0.162)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _445_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.317:0.317:0.317) (0.353:0.353:0.353)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.353:0.353:0.353)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.195:-0.195:-0.195)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _446_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.633:0.633:0.633) (0.423:0.423:0.423)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.142:0.142:0.142)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.123:-0.123:-0.123)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.010:-0.010:-0.010)) - (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056)) - (SETUP (negedge D) (posedge CLK) (0.064:0.064:0.064)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _447_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.409:0.409:0.409) (0.418:0.418:0.418)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.353:0.353:0.353)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.195:-0.195:-0.195)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _448_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.352:0.352:0.352) (0.327:0.327:0.327)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.029:-0.030:-0.030)) - (HOLD (negedge D) (posedge CLK) (-0.055:-0.055:-0.055)) - (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.053)) - (SETUP (negedge D) (posedge CLK) (0.112:0.112:0.112)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _449_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.332:0.332:0.332) (0.314:0.314:0.314)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.056:-0.056:-0.056)) - (HOLD (negedge D) (posedge CLK) (-0.080:-0.080:-0.080)) - (SETUP (posedge D) (posedge CLK) (0.082:0.082:0.082)) - (SETUP (negedge D) (posedge CLK) (0.139:0.139:0.139)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _450_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.345:0.345:0.345) (0.321:0.321:0.321)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.079:-0.079:-0.079)) - (HOLD (negedge D) (posedge CLK) (-0.106:-0.106:-0.106)) - (SETUP (posedge D) (posedge CLK) (0.107:0.107:0.107)) - (SETUP (negedge D) (posedge CLK) (0.166:0.166:0.166)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _451_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.341:0.341:0.341) (0.319:0.319:0.319)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (HOLD (negedge D) (posedge CLK) (-0.067:-0.067:-0.067)) - (SETUP (posedge D) (posedge CLK) (0.073:0.073:0.073)) - (SETUP (negedge D) (posedge CLK) (0.125:0.125:0.125)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _452_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.570:0.570:0.570) (0.396:0.396:0.396)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.144:0.144:0.144)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.125:-0.125:-0.125)) - (HOLD (posedge D) (posedge CLK) (-0.031:-0.032:-0.033)) - (HOLD (negedge D) (posedge CLK) (-0.004:-0.006:-0.008)) - (SETUP (posedge D) (posedge CLK) (0.050:0.051:0.052)) - (SETUP (negedge D) (posedge CLK) (0.058:0.061:0.063)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _453_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.390:0.390:0.390) (0.410:0.410:0.410)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.383:0.383:0.383)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.041:-0.043:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060)) - (SETUP (negedge D) (posedge CLK) (0.110:0.111:0.113)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _454_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.541:0.541:0.541) (0.429:0.429:0.429)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.485:0.485:0.485)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.269:-0.269:-0.269)) - (HOLD (posedge D) (negedge CLK_N) (0.076:0.073:0.070)) - (HOLD (negedge D) (negedge CLK_N) (-0.076:-0.079:-0.082)) - (SETUP (posedge D) (negedge CLK_N) (-0.029:-0.025:-0.021)) - (SETUP (negedge D) (negedge CLK_N) (0.151:0.155:0.158)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _455_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.627:0.627:0.627) (0.417:0.417:0.417)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.133:0.133:0.133)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.114:-0.114:-0.114)) - (HOLD (posedge D) (posedge CLK) (-0.044:-0.047:-0.050)) - (HOLD (negedge D) (posedge CLK) (-0.017:-0.020:-0.022)) - (SETUP (posedge D) (posedge CLK) (0.065:0.068:0.071)) - (SETUP (negedge D) (posedge CLK) (0.073:0.076:0.078)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _456_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.491:0.491:0.491) (0.398:0.398:0.398)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.485:0.485:0.485)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.269:-0.269:-0.269)) - (HOLD (posedge D) (negedge CLK_N) (0.077:0.074:0.072)) - (HOLD (negedge D) (negedge CLK_N) (-0.075:-0.078:-0.081)) - (SETUP (posedge D) (negedge CLK_N) (-0.030:-0.026:-0.023)) - (SETUP (negedge D) (negedge CLK_N) (0.150:0.153:0.157)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _457_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.561:0.561:0.561) (0.384:0.384:0.384)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.132:0.132:0.132)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.113:-0.113:-0.113)) - (HOLD (posedge D) (posedge CLK) (-0.044:-0.047:-0.050)) - (HOLD (negedge D) (posedge CLK) (-0.014:-0.019:-0.025)) - (SETUP (posedge D) (posedge CLK) (0.065:0.068:0.071)) - (SETUP (negedge D) (posedge CLK) (0.069:0.075:0.081)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _458_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.491:0.491:0.491) (0.399:0.399:0.399)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.485:0.485:0.485)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.269:-0.269:-0.269)) - (HOLD (posedge D) (negedge CLK_N) (0.083:0.083:0.083)) - (HOLD (negedge D) (negedge CLK_N) (-0.081:-0.081:-0.081)) - (SETUP (posedge D) (negedge CLK_N) (-0.038:-0.038:-0.038)) - (SETUP (negedge D) (negedge CLK_N) (0.156:0.157:0.157)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _459_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.590:0.590:0.590) (0.392:0.392:0.392)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.134:0.134:0.134)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.112:-0.112:-0.112)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.017:-0.017:-0.017)) - (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058)) - (SETUP (negedge D) (posedge CLK) (0.081:0.081:0.081)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _460_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.506:0.506:0.506) (0.405:0.405:0.405)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.485:0.485:0.485)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.269:-0.269:-0.269)) - (HOLD (posedge D) (negedge CLK_N) (0.085:0.084:0.084)) - (HOLD (negedge D) (negedge CLK_N) (-0.079:-0.079:-0.079)) - (SETUP (posedge D) (negedge CLK_N) (-0.040:-0.040:-0.039)) - (SETUP (negedge D) (negedge CLK_N) (0.154:0.154:0.154)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _461_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.476:0.476:0.476) (0.528:0.528:0.528)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.383:0.383:0.383)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.159:-0.159:-0.159)) - (HOLD (posedge D) (posedge CLK) (-0.043:-0.065:-0.087)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.052:-0.057)) - (SETUP (posedge D) (posedge CLK) (0.072:0.097:0.122)) - (SETUP (negedge D) (posedge CLK) (0.121:0.126:0.131)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _462_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.675:0.675:0.675) (0.450:0.450:0.450)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.145:0.145:0.145)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.126:-0.126:-0.126)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.008:-0.011:-0.014)) - (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058)) - (SETUP (negedge D) (posedge CLK) (0.063:0.066:0.070)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _463_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.445:0.445:0.445) (0.443:0.443:0.443)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.382:0.382:0.382)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.184:-0.184:-0.184)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.039:-0.042:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.107:0.111:0.115)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _464_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.578:0.578:0.578) (0.399:0.399:0.399)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.150:0.150:0.150)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.131:-0.131:-0.131)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.044:-0.047)) - (HOLD (negedge D) (posedge CLK) (-0.008:-0.011:-0.014)) - (SETUP (posedge D) (posedge CLK) (0.060:0.064:0.067)) - (SETUP (negedge D) (posedge CLK) (0.063:0.067:0.070)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _465_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.615:0.615:0.615) (0.415:0.415:0.415)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.145:0.145:0.145)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.123:-0.123:-0.123)) - (HOLD (posedge D) (posedge CLK) (-0.031:-0.032:-0.032)) - (HOLD (negedge D) (posedge CLK) (-0.008:-0.008:-0.009)) - (SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054)) - (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.073)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _466_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.434:0.434:0.434) (0.437:0.437:0.437)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.383:0.383:0.383)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185)) - (HOLD (posedge D) (posedge CLK) (-0.031:-0.032:-0.032)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.057)) - (SETUP (negedge D) (posedge CLK) (0.113:0.114:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _467_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.376:0.376:0.376) (0.400:0.400:0.400)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.379:0.379:0.379)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.188:-0.188:-0.188)) - (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.033)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.058)) - (SETUP (negedge D) (posedge CLK) (0.112:0.112:0.112)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _468_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.314:0.314:0.314) (0.351:0.351:0.351)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.371:0.371:0.371)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.178:-0.178:-0.178)) - (HOLD (posedge D) (posedge CLK) (-0.053:-0.053:-0.053)) - (HOLD (negedge D) (posedge CLK) (-0.081:-0.081:-0.081)) - (SETUP (posedge D) (posedge CLK) (0.080:0.080:0.080)) - (SETUP (negedge D) (posedge CLK) (0.151:0.151:0.151)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _469_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.489:0.489:0.489) (0.342:0.342:0.342)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.133:0.133:0.133)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.114:-0.114:-0.114)) - (HOLD (posedge D) (posedge CLK) (-0.059:-0.059:-0.059)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.081:0.081:0.081)) - (SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _470_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.311:0.311:0.311) (0.349:0.349:0.349)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.371:0.371:0.371)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.178:-0.178:-0.178)) - (HOLD (posedge D) (posedge CLK) (-0.054:-0.054:-0.054)) - (HOLD (negedge D) (posedge CLK) (-0.083:-0.083:-0.083)) - (SETUP (posedge D) (posedge CLK) (0.081:0.081:0.081)) - (SETUP (negedge D) (posedge CLK) (0.154:0.154:0.154)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _471_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.375:0.375:0.375) (0.396:0.396:0.396)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.371:0.371:0.371)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.178:-0.178:-0.178)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _472_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.630:0.630:0.630) (0.420:0.420:0.420)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.133:0.133:0.133)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.114:-0.114:-0.114)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.011:-0.011:-0.011)) - (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057)) - (SETUP (negedge D) (posedge CLK) (0.065:0.065:0.065)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _473_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.392:0.392:0.392) (0.407:0.407:0.407)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.371:0.371:0.371)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.178:-0.178:-0.178)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _271__1) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.040:0.040:0.040) (0.025:0.025:0.025)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout18) - (DELAY - (ABSOLUTE - (IOPATH A X (0.305:0.305:0.305) (0.248:0.248:0.248)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout17) - (DELAY - (ABSOLUTE - (IOPATH A X (0.270:0.270:0.270) (0.235:0.235:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE fanout16) - (DELAY - (ABSOLUTE - (IOPATH A X (0.228:0.228:0.228) (0.196:0.196:0.196)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout15) - (DELAY - (ABSOLUTE - (IOPATH A X (0.327:0.327:0.327) (0.271:0.271:0.271)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout14) - (DELAY - (ABSOLUTE - (IOPATH A X (0.372:0.372:0.372) (0.293:0.293:0.293)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout13) - (DELAY - (ABSOLUTE - (IOPATH A X (0.330:0.330:0.330) (0.273:0.273:0.273)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE user_clk_out_buffer) - (DELAY - (ABSOLUTE - (IOPATH A X (0.272:0.272:0.272) (0.250:0.250:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output11) - (DELAY - (ABSOLUTE - (IOPATH A X (0.291:0.291:0.291) (0.203:0.206:0.210)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input9) - (DELAY - (ABSOLUTE - (IOPATH A X (0.290:0.290:0.290) (0.996:0.996:0.996)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input8) - (DELAY - (ABSOLUTE - (IOPATH A X (0.283:0.283:0.283) (0.988:0.988:0.988)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input7) - (DELAY - (ABSOLUTE - (IOPATH A X (0.300:0.300:0.300) (1.005:1.005:1.005)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input6) - (DELAY - (ABSOLUTE - (IOPATH A X (0.238:0.238:0.238) (0.944:0.944:0.944)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input5) - (DELAY - (ABSOLUTE - (IOPATH A X (0.281:0.281:0.281) (0.987:0.987:0.987)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input4) - (DELAY - (ABSOLUTE - (IOPATH A X (0.219:0.219:0.219) (0.922:0.922:0.922)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input3) - (DELAY - (ABSOLUTE - (IOPATH A X (0.270:0.270:0.270) (0.975:0.975:0.975)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input2) - (DELAY - (ABSOLUTE - (IOPATH A X (0.275:0.275:0.275) (0.982:0.982:0.982)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.266:0.266:0.266) (0.971:0.971:0.971)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout19) - (DELAY - (ABSOLUTE - (IOPATH A X (0.278:0.278:0.278) (0.246:0.246:0.246)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE fanout20) - (DELAY - (ABSOLUTE - (IOPATH A X (0.208:0.208:0.208) (0.183:0.183:0.183)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout21) - (DELAY - (ABSOLUTE - (IOPATH A X (0.305:0.305:0.305) (0.267:0.267:0.267)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout22) - (DELAY - (ABSOLUTE - (IOPATH A X (0.317:0.317:0.317) (0.264:0.264:0.264)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout23) - (DELAY - (ABSOLUTE - (IOPATH A X (0.250:0.250:0.250) (0.223:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout24) - (DELAY - (ABSOLUTE - (IOPATH A X (0.235:0.235:0.235) (0.211:0.211:0.211)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout25) - (DELAY - (ABSOLUTE - (IOPATH A X (0.254:0.254:0.254) (0.244:0.244:0.244)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE fanout26) - (DELAY - (ABSOLUTE - (IOPATH A X (0.268:0.268:0.268) (0.241:0.241:0.241)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout27) - (DELAY - (ABSOLUTE - (IOPATH A X (0.264:0.264:0.264) (0.243:0.243:0.243)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout28) - (DELAY - (ABSOLUTE - (IOPATH A X (0.313:0.313:0.313) (0.264:0.264:0.264)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout29) - (DELAY - (ABSOLUTE - (IOPATH A X (0.305:0.305:0.305) (0.282:0.282:0.282)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_ext_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.150:0.150:0.150) (0.186:0.186:0.186)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_ext_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.157:0.157:0.157) (0.193:0.193:0.193)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0__037_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.171:0.171:0.171) (0.183:0.183:0.184)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f__037_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.112:0.112:0.112) (0.131:0.131:0.131)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f__037_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.111:0.111:0.112) (0.131:0.131:0.131)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_net10) - (DELAY - (ABSOLUTE - (IOPATH A X (0.142:0.143:0.143) (0.162:0.162:0.162)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_net10) - (DELAY - (ABSOLUTE - (IOPATH A X (0.258:0.258:0.258) (0.232:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_net10) - (DELAY - (ABSOLUTE - (IOPATH A X (0.129:0.129:0.129) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_pll_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.621:0.621:0.621) (1.467:1.467:1.467)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_pll_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.195:0.195:0.195) (0.221:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_pll_clk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.188:0.188:0.188) (0.217:0.217:0.217)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_divider\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.145:0.145:0.146) (0.153:0.154:0.155)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_divider\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.121:0.121:0.121) (0.138:0.138:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_divider\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.117:0.117:0.117) (0.136:0.136:0.136)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_pll_clk90) - (DELAY - (ABSOLUTE - (IOPATH A X (0.622:0.622:0.622) (1.468:1.468:1.468)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_pll_clk90) - (DELAY - (ABSOLUTE - (IOPATH A X (0.186:0.186:0.186) (0.215:0.215:0.215)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_pll_clk90) - (DELAY - (ABSOLUTE - (IOPATH A X (0.190:0.190:0.190) (0.218:0.218:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_divider2\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.134:0.134:0.134) (0.146:0.147:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_divider2\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.114:0.114:0.114) (0.133:0.133:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_divider2\.out) - (DELAY - (ABSOLUTE - (IOPATH A X (0.119:0.119:0.119) (0.137:0.137:0.137)) - ) - ) - ) -) diff --git a/sdf/digital_pll.sdf b/sdf/digital_pll.sdf deleted file mode 100644 index 92618c03..00000000 --- a/sdf/digital_pll.sdf +++ /dev/null @@ -1,4825 +0,0 @@ -(DELAYFILE - (SDFVERSION "3.0") - (DESIGN "digital_pll") - (DATE "Tue Oct 18 13:52:53 2022") - (VENDOR "Parallax") - (PROGRAM "STA") - (VERSION "2.3.1") - (DIVIDER .) - (VOLTAGE 1.800::1.800) - (PROCESS "1.000::1.000") - (TEMPERATURE 25.000::25.000) - (TIMESCALE 1ns) - (CELL - (CELLTYPE "digital_pll") - (INSTANCE) - (DELAY - (ABSOLUTE - (INTERCONNECT dco _348_.A (0.150:0.150:0.150) (0.071:0.071:0.071)) - (INTERCONNECT dco _349_.A (0.151:0.151:0.151) (0.072:0.072:0.072)) - (INTERCONNECT dco _358_.A (0.150:0.150:0.150) (0.071:0.071:0.071)) - (INTERCONNECT dco _361_.B (0.152:0.152:0.152) (0.073:0.073:0.073)) - (INTERCONNECT dco _374_.B1 (0.151:0.151:0.151) (0.072:0.072:0.072)) - (INTERCONNECT dco _379_.A (0.152:0.152:0.152) (0.073:0.073:0.073)) - (INTERCONNECT dco _447_.A (0.151:0.151:0.151) (0.072:0.072:0.072)) - (INTERCONNECT dco ANTENNA__447__A.DIODE (0.151:0.151:0.151) (0.072:0.072:0.072)) - (INTERCONNECT dco ANTENNA__379__A.DIODE (0.152:0.152:0.152) (0.073:0.073:0.073)) - (INTERCONNECT dco ANTENNA__374__B1.DIODE (0.151:0.151:0.151) (0.072:0.072:0.072)) - (INTERCONNECT dco ANTENNA__361__B.DIODE (0.152:0.152:0.152) (0.073:0.073:0.073)) - (INTERCONNECT dco ANTENNA__358__A.DIODE (0.149:0.149:0.149) (0.071:0.071:0.071)) - (INTERCONNECT dco ANTENNA__349__A.DIODE (0.151:0.151:0.151) (0.072:0.072:0.072)) - (INTERCONNECT dco ANTENNA__348__A.DIODE (0.150:0.150:0.150) (0.072:0.072:0.072)) - (INTERCONNECT div[0] _257_.A_N (0.055:0.055:0.055) (0.026:0.026:0.026)) - (INTERCONNECT div[0] _287_.B1 (0.055:0.055:0.055) (0.026:0.026:0.026)) - (INTERCONNECT div[0] ANTENNA__287__B1.DIODE (0.055:0.055:0.055) (0.026:0.026:0.026)) - (INTERCONNECT div[0] ANTENNA__257__A_N.DIODE (0.055:0.055:0.055) (0.026:0.026:0.026)) - (INTERCONNECT div[1] _252_.A (0.049:0.049:0.049) (0.023:0.023:0.023)) - (INTERCONNECT div[1] _258_.A (0.049:0.049:0.049) (0.023:0.023:0.023)) - (INTERCONNECT div[1] ANTENNA__258__A.DIODE (0.049:0.049:0.049) (0.023:0.023:0.023)) - (INTERCONNECT div[1] ANTENNA__252__A.DIODE (0.049:0.049:0.049) (0.023:0.023:0.023)) - (INTERCONNECT div[2] _244_.A (0.026:0.026:0.026) (0.012:0.012:0.012)) - (INTERCONNECT div[2] ANTENNA__244__A.DIODE (0.026:0.026:0.026) (0.012:0.012:0.012)) - (INTERCONNECT div[3] _243_.A (0.069:0.069:0.069) (0.032:0.032:0.032)) - (INTERCONNECT div[3] _260_.A1 (0.069:0.069:0.069) (0.032:0.032:0.032)) - (INTERCONNECT div[3] _267_.A1 (0.069:0.069:0.069) (0.032:0.032:0.032)) - (INTERCONNECT div[3] _289_.A1 (0.069:0.069:0.069) (0.032:0.032:0.032)) - (INTERCONNECT div[3] ANTENNA__289__A1.DIODE (0.069:0.069:0.069) (0.032:0.032:0.032)) - (INTERCONNECT div[3] ANTENNA__267__A1.DIODE (0.069:0.069:0.069) (0.032:0.032:0.032)) - (INTERCONNECT div[3] ANTENNA__260__A1.DIODE (0.069:0.069:0.069) (0.032:0.032:0.032)) - (INTERCONNECT div[3] ANTENNA__243__A.DIODE (0.069:0.069:0.069) (0.032:0.032:0.032)) - (INTERCONNECT div[4] _266_.A (0.038:0.038:0.038) (0.017:0.017:0.017)) - (INTERCONNECT div[4] _270_.A1 (0.038:0.038:0.038) (0.017:0.017:0.017)) - (INTERCONNECT div[4] ANTENNA__270__A1.DIODE (0.037:0.037:0.037) (0.017:0.017:0.017)) - (INTERCONNECT div[4] ANTENNA__266__A.DIODE (0.037:0.037:0.037) (0.017:0.017:0.017)) - (INTERCONNECT enable _425_.A (0.035:0.035:0.035) (0.016:0.016:0.016)) - (INTERCONNECT enable ANTENNA__425__A.DIODE (0.035:0.035:0.035) (0.016:0.016:0.016)) - (INTERCONNECT ext_trim[0] _350_.A (0.032:0.032:0.032) (0.015:0.015:0.015)) - (INTERCONNECT ext_trim[0] ANTENNA__350__A.DIODE (0.032:0.032:0.032) (0.015:0.015:0.015)) - (INTERCONNECT ext_trim[10] _384_.A_N (0.028:0.028:0.028) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[10] ANTENNA__384__A_N.DIODE (0.028:0.028:0.028) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[11] _387_.B1 (0.024:0.024:0.024) (0.011:0.011:0.011)) - (INTERCONNECT ext_trim[11] ANTENNA__387__B1.DIODE (0.024:0.024:0.024) (0.011:0.011:0.011)) - (INTERCONNECT ext_trim[12] _389_.A2 (0.029:0.029:0.029) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[12] ANTENNA__389__A2.DIODE (0.029:0.029:0.029) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[13] _392_.A2 (0.024:0.024:0.024) (0.011:0.011:0.011)) - (INTERCONNECT ext_trim[13] ANTENNA__392__A2.DIODE (0.024:0.024:0.024) (0.011:0.011:0.011)) - (INTERCONNECT ext_trim[14] _396_.A2 (0.028:0.028:0.028) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[14] ANTENNA__396__A2.DIODE (0.028:0.028:0.028) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[15] _399_.A2 (0.031:0.031:0.031) (0.014:0.014:0.014)) - (INTERCONNECT ext_trim[15] ANTENNA__399__A2.DIODE (0.031:0.031:0.031) (0.014:0.014:0.014)) - (INTERCONNECT ext_trim[16] _404_.A2 (0.029:0.029:0.029) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[16] ANTENNA__404__A2.DIODE (0.029:0.029:0.029) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[17] _409_.B1 (0.036:0.036:0.036) (0.017:0.017:0.017)) - (INTERCONNECT ext_trim[17] ANTENNA__409__B1.DIODE (0.036:0.036:0.036) (0.017:0.017:0.017)) - (INTERCONNECT ext_trim[18] _410_.A2 (0.049:0.049:0.049) (0.023:0.023:0.023)) - (INTERCONNECT ext_trim[18] ANTENNA__410__A2.DIODE (0.049:0.049:0.049) (0.023:0.023:0.023)) - (INTERCONNECT ext_trim[19] _411_.A2 (0.047:0.047:0.047) (0.022:0.022:0.022)) - (INTERCONNECT ext_trim[19] ANTENNA__411__A2.DIODE (0.047:0.047:0.047) (0.022:0.022:0.022)) - (INTERCONNECT ext_trim[1] _360_.A2 (0.016:0.016:0.016) (0.007:0.007:0.007)) - (INTERCONNECT ext_trim[1] ANTENNA__360__A2.DIODE (0.016:0.016:0.016) (0.007:0.007:0.007)) - (INTERCONNECT ext_trim[20] _417_.B1 (0.049:0.049:0.049) (0.023:0.023:0.023)) - (INTERCONNECT ext_trim[20] ANTENNA__417__B1.DIODE (0.049:0.049:0.049) (0.023:0.023:0.023)) - (INTERCONNECT ext_trim[21] _419_.A2 (0.048:0.048:0.048) (0.023:0.023:0.023)) - (INTERCONNECT ext_trim[21] ANTENNA__419__A2.DIODE (0.049:0.049:0.049) (0.023:0.023:0.023)) - (INTERCONNECT ext_trim[22] _421_.B1 (0.038:0.038:0.038) (0.018:0.018:0.018)) - (INTERCONNECT ext_trim[22] ANTENNA__421__B1.DIODE (0.038:0.038:0.038) (0.018:0.018:0.018)) - (INTERCONNECT ext_trim[23] _422_.A2 (0.026:0.026:0.026) (0.012:0.012:0.012)) - (INTERCONNECT ext_trim[23] ANTENNA__422__A2.DIODE (0.026:0.026:0.026) (0.012:0.012:0.012)) - (INTERCONNECT ext_trim[24] _423_.A2 (0.030:0.030:0.030) (0.014:0.014:0.014)) - (INTERCONNECT ext_trim[24] ANTENNA__423__A2.DIODE (0.030:0.030:0.030) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[25] _424_.A2 (0.039:0.039:0.039) (0.018:0.018:0.018)) - (INTERCONNECT ext_trim[25] ANTENNA__424__A2.DIODE (0.039:0.039:0.039) (0.018:0.018:0.018)) - (INTERCONNECT ext_trim[2] _363_.A2 (0.058:0.058:0.058) (0.028:0.028:0.028)) - (INTERCONNECT ext_trim[2] ANTENNA__363__A2.DIODE (0.058:0.058:0.058) (0.028:0.028:0.028)) - (INTERCONNECT ext_trim[3] _364_.A2 (0.028:0.028:0.028) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[3] ANTENNA__364__A2.DIODE (0.028:0.028:0.028) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[4] _369_.A2 (0.019:0.019:0.019) (0.008:0.008:0.008)) - (INTERCONNECT ext_trim[4] ANTENNA__369__A2.DIODE (0.019:0.019:0.019) (0.008:0.008:0.008)) - (INTERCONNECT ext_trim[5] _373_.A2 (0.022:0.022:0.022) (0.010:0.010:0.010)) - (INTERCONNECT ext_trim[5] ANTENNA__373__A2.DIODE (0.022:0.022:0.022) (0.010:0.010:0.010)) - (INTERCONNECT ext_trim[6] _375_.A2 (0.021:0.021:0.021) (0.009:0.009:0.009)) - (INTERCONNECT ext_trim[6] ANTENNA__375__A2.DIODE (0.021:0.021:0.021) (0.009:0.009:0.009)) - (INTERCONNECT ext_trim[7] _378_.A2 (0.028:0.028:0.028) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[7] ANTENNA__378__A2.DIODE (0.028:0.028:0.028) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[8] _380_.A2 (0.063:0.063:0.063) (0.030:0.030:0.030)) - (INTERCONNECT ext_trim[8] ANTENNA__380__A2.DIODE (0.063:0.063:0.063) (0.030:0.030:0.030)) - (INTERCONNECT ext_trim[9] _383_.A2 (0.058:0.058:0.058) (0.028:0.028:0.028)) - (INTERCONNECT ext_trim[9] ANTENNA__383__A2.DIODE (0.058:0.058:0.058) (0.028:0.028:0.028)) - (INTERCONNECT osc _470_.D (0.015:0.015:0.015) (0.006:0.006:0.006)) - (INTERCONNECT osc ANTENNA__470__D.DIODE (0.015:0.015:0.015) (0.006:0.006:0.006)) - (INTERCONNECT resetb _425_.B (0.033:0.033:0.033) (0.015:0.015:0.015)) - (INTERCONNECT resetb ANTENNA__425__B.DIODE (0.033:0.033:0.033) (0.015:0.015:0.015)) - (INTERCONNECT _214_.Y _215_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _214_.Y _286_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _215_.X _216_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _215_.X _219_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _215_.X _221_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _215_.X _223_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _215_.X _226_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _215_.X _343_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _215_.X _345_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _216_.X _217_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _216_.X _332_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _216_.X _334_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _216_.X _338_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _216_.X _341_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _216_.X _342_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _216_.X _347_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _217_.X _218_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _218_.X _477_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _219_.X _220_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _220_.X _476_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _221_.X _222_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _222_.X _475_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _223_.X _224_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _224_.X _474_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _225_.X _226_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _225_.X _254_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _225_.X _255_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _225_.X _330_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _225_.X _335_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _225_.X _340_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _225_.X _342_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _226_.X _227_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _227_.X _473_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _228_.X _308_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _228_.X _311_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _228_.X _394_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _228_.X _405_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _228_.X _416_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _228_.X _420_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _228_.X _423_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _229_.Y _292_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _229_.Y _294_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _229_.Y _353_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _229_.Y _355_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _229_.Y _362_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _229_.Y _366_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _229_.Y _397_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _230_.Y _231_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _231_.Y _240_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _231_.Y _241_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _231_.Y _264_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _232_.X _236_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _232_.X _245_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _232_.X _251_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _232_.X _287_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _233_.X _236_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _233_.X _245_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _233_.X _251_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _234_.X _236_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _234_.X _247_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _235_.X _236_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _235_.X _245_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _236_.X _240_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _236_.X _241_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _236_.X _264_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _237_.Y _239_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _237_.Y _262_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _238_.Y _239_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _239_.Y _240_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _239_.Y _241_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _240_.X _242_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _240_.X _249_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _241_.Y _242_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _241_.Y _249_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _242_.Y _260_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _242_.Y _267_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _242_.Y _289_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _243_.Y _249_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _244_.Y _249_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _244_.Y _250_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _245_.Y _248_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _246_.Y _247_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _247_.Y _248_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _248_.Y _249_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _248_.Y _250_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _249_.X _260_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _249_.X _267_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _249_.X _289_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _250_.Y _260_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _250_.Y _289_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _251_.Y _253_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _251_.Y _258_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _252_.Y _253_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _253_.Y _259_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _253_.Y _288_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _254_.Y _257_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _255_.Y _256_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _255_.Y _287_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _256_.Y _257_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _259_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _288_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _258_.X _259_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _258_.X _288_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _259_.X _260_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _260_.X _271_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _261_.X _265_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _261_.X _268_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _262_.Y _264_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _263_.X _264_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _264_.X _265_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _264_.X _268_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _265_.Y _266_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _265_.Y _270_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _266_.X _271_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _266_.X _290_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _267_.X _271_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _268_.Y _270_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _269_.Y _270_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _270_.X _271_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _270_.X _290_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _271_.X _272_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271_.X _285_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271_.X _295_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271_.X _296_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271_.X _302_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271_.X _304_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _292_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _294_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _305_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _307_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _308_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _272_.X _319_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _325_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _273_.X _278_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _273_.X _295_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _273_.X _317_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _273_.X _366_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _273_.X _376_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _273_.X _393_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _273_.X _415_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _274_.X _278_.C (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _274_.X _304_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _274_.X _324_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _275_.Y _277_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _275_.Y _279_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _275_.Y _321_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _275_.Y _374_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _275_.Y _408_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _275_.Y _412_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _275_.Y _420_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _276_.Y _277_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _276_.Y _279_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _276_.Y _302_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _276_.Y _320_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _276_.Y _418_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _277_.Y _278_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _277_.Y _385_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _277_.Y _394_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _278_.Y _292_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _279_.Y _283_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _279_.Y _298_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.Y _282_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.Y _355_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.Y _361_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.Y _367_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.Y _393_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _281_.Y _282_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _281_.Y _306_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _281_.Y _401_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _282_.Y _283_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _282_.Y _385_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _282_.Y _412_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _283_.Y _285_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _283_.Y _351_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _284_.Y _285_.C (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _284_.Y _303_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _284_.Y _319_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _284_.Y _324_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _285_.X _291_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _286_.X _291_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _287_.Y _288_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _288_.X _290_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _289_.X _290_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _290_.Y _291_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _291_.X _292_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _291_.X _323_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _291_.X _329_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.X _293_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.X _320_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _293_.X _310_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _293_.X _311_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _293_.X _314_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _293_.X _317_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _293_.X _323_.A1_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _293_.X _326_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _293_.X _328_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _294_.Y _307_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _294_.Y _313_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _295_.Y _297_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.X _297_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.X _312_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _297_.Y _307_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _297_.Y _312_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _297_.Y _316_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _298_.X _301_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _298_.X _305_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _298_.X _377_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _298_.X _382_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _298_.X _393_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _298_.X _402_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _298_.X _406_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _299_.X _300_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _299_.X _368_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _299_.X _370_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _299_.X _388_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _299_.X _391_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _299_.X _415_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _299_.X _418_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _300_.Y _301_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _300_.Y _381_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _402_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _412_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _301_.Y _305_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _301_.Y _372_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _301_.Y _413_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _302_.Y _305_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _302_.Y _322_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _303_.Y _304_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.X _305_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.X _320_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.X _322_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _305_.X _307_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _305_.X _312_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _305_.X _316_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _306_.Y _307_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _306_.Y _357_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _306_.Y _398_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _307_.X _309_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _307_.X _310_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _309_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _310_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _309_.X _311_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _310_.Y _311_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _311_.X _469_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _312_.Y _313_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _313_.Y _314_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _314_.X _315_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _315_.X _468_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _316_.X _317_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _317_.X _318_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _318_.X _467_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _319_.X _320_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _320_.X _321_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _321_.Y _466_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _322_.Y _323_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _323_.X _465_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _324_.Y _325_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _325_.X _326_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _326_.X _327_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _327_.X _464_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _328_.Y _329_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _329_.X _463_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _330_.X _331_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _330_.X _334_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _330_.X _337_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _331_.X _332_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _331_.X _333_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _331_.X _336_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _332_.X _462_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _333_.Y _334_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _334_.X _461_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _335_.Y _337_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _336_.Y _337_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _336_.Y _341_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _336_.Y _342_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _337_.Y _338_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _338_.X _339_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _339_.X _460_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _340_.Y _341_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _341_.Y _459_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _342_.Y _458_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _343_.X _344_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _344_.X _457_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _345_.X _346_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _346_.X _456_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _347_.Y _455_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _348_.X _351_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _348_.X _363_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _348_.X _385_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _348_.X _387_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _348_.X _409_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _348_.X _417_.B2 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _348_.X _421_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _349_.X _350_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _349_.X _352_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _349_.X _362_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _349_.X _384_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _349_.X _390_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _349_.X _429_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _349_.X _438_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _350_.Y _351_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _351_.Y ringosc\.dstage\[0\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _351_.Y ringosc\.dstage\[0\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _360_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _364_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _369_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _373_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _375_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _378_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _389_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _353_.Y _354_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _353_.Y _376_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _353_.Y _395_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _354_.X _356_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _354_.X _365_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _354_.X _386_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _355_.X _356_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _355_.X _371_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _356_.Y _359_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _356_.Y _414_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _357_.Y _358_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _357_.Y _374_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _358_.Y _359_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _358_.Y _364_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _358_.Y _368_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _358_.Y _372_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _358_.Y _380_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _358_.Y _387_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _358_.Y _388_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _359_.X _360_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _359_.X _377_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _359_.X _391_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _359_.X _403_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _359_.X _411_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _360_.X ringosc\.dstage\[1\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _360_.X ringosc\.dstage\[1\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _361_.Y _363_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _361_.Y _398_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _361_.Y _422_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _362_.Y _363_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _362_.Y _423_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _363_.X ringosc\.dstage\[2\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _363_.X ringosc\.dstage\[2\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _364_.X ringosc\.dstage\[3\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _364_.X ringosc\.dstage\[3\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _365_.Y _368_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _365_.Y _382_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _365_.Y _408_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _366_.X _367_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _366_.X _394_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _366_.X _405_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _366_.X _420_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _367_.Y _368_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _367_.Y _372_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _367_.Y _382_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _367_.Y _387_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _367_.Y _388_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _367_.Y _413_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _368_.X _369_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _368_.X _407_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _369_.X ringosc\.dstage\[4\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _369_.X ringosc\.dstage\[4\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _370_.Y _371_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _370_.Y _397_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _371_.Y _372_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _371_.Y _380_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _371_.Y _414_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _372_.X _373_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _372_.X _383_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _372_.X _396_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _373_.X ringosc\.dstage\[5\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _373_.X ringosc\.dstage\[5\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _374_.Y _375_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _374_.Y _417_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _375_.X ringosc\.dstage\[6\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _375_.X ringosc\.dstage\[6\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _376_.Y _377_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _376_.Y _391_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _376_.Y _400_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _376_.Y _402_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _376_.Y _412_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _377_.X _378_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _378_.X ringosc\.dstage\[7\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _378_.X ringosc\.dstage\[7\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _379_.X _380_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _379_.X _383_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _379_.X _396_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _379_.X _399_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _379_.X _411_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _379_.X _423_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _379_.X _424_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _380_.X ringosc\.dstage\[8\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _380_.X ringosc\.dstage\[8\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _382_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _386_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _400_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _405_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _406_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _408_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _414_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _382_.X _383_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _383_.X ringosc\.dstage\[9\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _383_.X ringosc\.dstage\[9\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _384_.Y _385_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _385_.X ringosc\.dstage\[10\]\.id\.delayen0.TE (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _385_.X ringosc\.dstage\[10\]\.id\.delayenb0.TE_B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _386_.Y _387_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _386_.Y _413_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _387_.X ringosc\.dstage\[11\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _387_.X ringosc\.dstage\[11\]\.id\.delayenb0.TE_B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _388_.X _389_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _389_.X ringosc\.iss\.ctrlen0.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _389_.X ringosc\.iss\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _390_.X _392_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _390_.X _404_.A1 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _390_.X _410_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _390_.X _419_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _390_.X _422_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X _427_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X _428_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X ANTENNA__428__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X ANTENNA__427__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X ANTENNA__422__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X ANTENNA__419__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X ANTENNA__410__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _390_.X ANTENNA__404__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _390_.X ANTENNA__392__A1.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _391_.X _392_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _392_.X ringosc\.dstage\[0\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _392_.X ringosc\.dstage\[0\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _393_.X _395_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _394_.Y _395_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _395_.X _396_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _396_.X ringosc\.dstage\[1\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _396_.X ringosc\.dstage\[1\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _397_.Y _399_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _398_.X _399_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _398_.X _410_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _399_.X ringosc\.dstage\[2\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _399_.X ringosc\.dstage\[2\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _400_.Y _403_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _400_.Y _408_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _400_.Y _411_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _400_.Y _414_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _401_.Y _402_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _401_.Y _406_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _401_.Y _408_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _401_.Y _418_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _402_.X _403_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _402_.X _407_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.X _404_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.X _418_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.X _424_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _404_.X ringosc\.dstage\[3\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _404_.X ringosc\.dstage\[3\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _405_.Y _409_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _406_.Y _407_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _406_.Y _424_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _407_.X _409_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _407_.X _421_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _408_.X _409_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _408_.X _421_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _409_.X ringosc\.dstage\[4\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _409_.X ringosc\.dstage\[4\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _410_.X ringosc\.dstage\[5\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _410_.X ringosc\.dstage\[5\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _411_.X ringosc\.dstage\[6\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _411_.X ringosc\.dstage\[6\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _412_.X _413_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _413_.X _414_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _414_.X _417_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _415_.Y _416_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _416_.Y _417_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _417_.X ringosc\.dstage\[7\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _417_.X ringosc\.dstage\[7\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _418_.X _419_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _419_.X ringosc\.dstage\[8\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _419_.X ringosc\.dstage\[8\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _420_.Y _421_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _421_.X ringosc\.dstage\[9\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _421_.X ringosc\.dstage\[9\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.X ringosc\.dstage\[10\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.X ringosc\.dstage\[10\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _423_.X ringosc\.dstage\[11\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _423_.X ringosc\.dstage\[11\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _424_.X ringosc\.iss\.delayen1.TE (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _424_.X ringosc\.iss\.delayenb1.TE_B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Y _426_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _425_.Y _434_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _425_.Y _443_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _425_.Y _452_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _425_.Y _453_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Y _454_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _426_.X _430_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _426_.X _427_.B (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _426_.X _428_.B (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _426_.X ringosc\.iss\.ctrlen0.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _426_.X ringosc\.iss\.reseten0.TE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _426_.X _431_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _426_.X _432_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _426_.X _433_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _427_.Y _455_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _428_.Y _456_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _429_.X _430_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.X _431_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _429_.X _432_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.X _433_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.X _435_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.X _436_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _429_.X _437_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _430_.Y _457_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _431_.Y _458_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _432_.Y _459_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _433_.Y _460_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _434_.X _435_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.X _436_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _434_.X _437_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.X _439_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.X _440_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.X _441_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.X _442_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _435_.Y _461_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _436_.Y _462_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _437_.Y _463_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _438_.X _439_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _438_.X _440_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.X _441_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.X _442_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _438_.X _444_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.X _445_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.X _446_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _439_.Y _464_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _440_.Y _465_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _441_.Y _466_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _442_.Y _467_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _443_.X _444_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _443_.X _445_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _443_.X _446_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _443_.X _448_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _443_.X _449_.B (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _443_.X _450_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _443_.X _451_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _444_.Y _468_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _445_.Y _469_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _446_.Y _470_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _447_.X _448_.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _447_.X _449_.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _447_.X _450_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _447_.X _451_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _447_.X _452_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _447_.X _453_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _447_.X _454_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _448_.Y _471_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _449_.Y _472_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _450_.Y _473_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _451_.Y _474_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _452_.Y _475_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _453_.Y _476_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _454_.Y _477_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _286_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _345_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _347_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _286_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _343_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _345_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _457_.Q _286_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _457_.Q _343_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _225_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _232_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _459_.Q _223_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _233_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _235_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _330_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _335_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _340_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _221_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _231_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _234_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _246_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _330_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _335_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _219_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _237_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _238_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _263_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _331_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _334_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _217_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _261_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _269_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _332_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _333_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _336_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _463_.Q _274_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _463_.Q _284_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _463_.Q _328_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _463_.Q _329_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _464_.Q _274_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _464_.Q _284_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _464_.Q _326_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _276_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _300_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _319_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _323_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _370_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _466_.Q _275_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _466_.Q _299_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _273_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _467_.Q _281_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _467_.Q _296_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _354_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _467_.Q _355_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _468_.Q _229_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _468_.Q _281_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _468_.Q _314_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _468_.Q _393_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _468_.Q _415_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _469_.Q _228_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _469_.Q _278_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _469_.Q _280_.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _469_.Q _353_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _469_.Q _357_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _469_.Q _401_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _470_.Q _471_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _471_.Q _214_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _471_.Q _472_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _472_.Q _214_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _226_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _232_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _254_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _255_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _474_.Q _223_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _474_.Q _233_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _474_.Q _235_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _475_.Q _221_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _475_.Q _230_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _475_.Q _234_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _475_.Q _246_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _476_.Q _219_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _476_.Q _237_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _476_.Q _238_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _476_.Q _263_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _477_.Q _217_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _477_.Q _261_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _477_.Q _269_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clockp_buffer_0.X clockp[0] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clockp_buffer_1.X clockp[1] (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf1.X ringosc\.dstage\[0\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen0.Z ringosc\.dstage\[1\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen1.Z ringosc\.dstage\[0\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb0.Z ringosc\.dstage\[1\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb1.Z ringosc\.dstage\[0\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayint0.Y ringosc\.dstage\[0\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf1.X ringosc\.dstage\[10\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen0.Z ringosc\.dstage\[11\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen1.Z ringosc\.dstage\[10\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb0.Z ringosc\.dstage\[11\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb1.Z ringosc\.dstage\[10\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayint0.Y ringosc\.dstage\[10\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf1.X ringosc\.dstage\[11\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayint0.Y ringosc\.dstage\[11\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf1.X ringosc\.dstage\[1\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen0.Z ringosc\.dstage\[2\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen1.Z ringosc\.dstage\[1\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb0.Z ringosc\.dstage\[2\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb1.Z ringosc\.dstage\[1\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayint0.Y ringosc\.dstage\[1\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delaybuf1.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb0.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb1.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf1.X ringosc\.dstage\[2\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayint0.Y ringosc\.dstage\[2\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf1.X ringosc\.dstage\[3\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen0.Z ringosc\.dstage\[4\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen1.Z ringosc\.dstage\[3\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb0.Z ringosc\.dstage\[4\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb1.Z ringosc\.dstage\[3\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayint0.Y ringosc\.dstage\[3\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf1.X ringosc\.dstage\[4\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen0.Z ringosc\.dstage\[5\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen1.Z ringosc\.dstage\[4\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb0.Z ringosc\.dstage\[5\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb1.Z ringosc\.dstage\[4\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayint0.Y ringosc\.dstage\[4\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf1.X ringosc\.dstage\[5\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.ibufp10.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.ibufp10.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayint0.Y ringosc\.dstage\[5\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf1.X ringosc\.dstage\[6\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen0.Z ringosc\.dstage\[7\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen1.Z ringosc\.dstage\[6\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb0.Z ringosc\.dstage\[7\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb1.Z ringosc\.dstage\[6\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayint0.Y ringosc\.dstage\[6\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf1.X ringosc\.dstage\[7\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen0.Z ringosc\.dstage\[8\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen1.Z ringosc\.dstage\[7\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb0.Z ringosc\.dstage\[8\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb1.Z ringosc\.dstage\[7\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayint0.Y ringosc\.dstage\[7\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf1.X ringosc\.dstage\[8\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen0.Z ringosc\.dstage\[9\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen1.Z ringosc\.dstage\[8\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb0.Z ringosc\.dstage\[9\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb1.Z ringosc\.dstage\[8\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayint0.Y ringosc\.dstage\[8\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf1.X ringosc\.dstage\[9\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen0.Z ringosc\.dstage\[10\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen1.Z ringosc\.dstage\[9\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb0.Z ringosc\.dstage\[10\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb1.Z ringosc\.dstage\[9\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayint0.Y ringosc\.dstage\[9\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.ibufp00.Y ringosc\.ibufp01.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.ibufp01.Y _455_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT ringosc\.ibufp01.Y _456_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT ringosc\.ibufp01.Y _457_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT ringosc\.ibufp01.Y _458_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT ringosc\.ibufp01.Y _459_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT ringosc\.ibufp01.Y _460_.CLK (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT ringosc\.ibufp01.Y _461_.CLK (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT ringosc\.ibufp01.Y _462_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT ringosc\.ibufp01.Y _463_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT ringosc\.ibufp01.Y _464_.CLK (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT ringosc\.ibufp01.Y _465_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT ringosc\.ibufp01.Y _466_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT ringosc\.ibufp01.Y _467_.CLK (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT ringosc\.ibufp01.Y _468_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT ringosc\.ibufp01.Y _469_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT ringosc\.ibufp01.Y _470_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT ringosc\.ibufp01.Y _471_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT ringosc\.ibufp01.Y _472_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT ringosc\.ibufp01.Y _473_.CLK (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.ibufp01.Y _474_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.ibufp01.Y _475_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _476_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.ibufp01.Y _477_.CLK (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y clockp_buffer_0.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.ibufp10.Y ringosc\.ibufp11.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.ibufp11.Y clockp_buffer_1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.const1.HI ringosc\.iss\.reseten0.A (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.ctrlen0.X ringosc\.iss\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delaybuf0.X ringosc\.iss\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.ibufp00.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ANTENNA_ringosc\.ibufp00_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ANTENNA_ringosc\.dstage\[0\]\.id\.delaybuf0_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.delayen1.Z ringosc\.iss\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.ibufp00.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ANTENNA_ringosc\.ibufp00_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ANTENNA_ringosc\.dstage\[0\]\.id\.delaybuf0_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.delayenb1.Z ringosc\.iss\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delayint0.Y ringosc\.iss\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.ibufp00.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ANTENNA_ringosc\.ibufp00_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ANTENNA_ringosc\.dstage\[0\]\.id\.delaybuf0_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _214_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.118:0.118:0.118) (0.139:0.139:0.139)) - (IOPATH A Y (0.159:0.159:0.159) (0.075:0.075:0.075)) - (IOPATH B Y (0.108:0.108:0.108) (0.132:0.132:0.132)) - (IOPATH B Y (0.129:0.129:0.129) (0.062:0.062:0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _215_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.206:0.221:0.235) (0.178:0.181:0.183)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _216_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.246:0.246:0.246) (0.200:0.200:0.200)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _217_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.168:0.168:0.168) (0.272:0.272:0.272)) - (IOPATH A1 X (0.151:0.151:0.151) (0.264:0.264:0.264)) - (IOPATH S X (0.231:0.231:0.231) (0.349:0.349:0.349)) - (IOPATH S X (0.176:0.176:0.176) (0.278:0.278:0.278)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _218_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.086:0.086:0.086) (0.112:0.112:0.113)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _219_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.168:0.168:0.168) (0.274:0.274:0.274)) - (IOPATH A1 X (0.153:0.153:0.153) (0.267:0.267:0.267)) - (IOPATH S X (0.236:0.236:0.236) (0.354:0.354:0.354)) - (IOPATH S X (0.182:0.182:0.182) (0.283:0.283:0.283)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _220_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.088:0.088:0.088) (0.114:0.114:0.114)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _221_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.164:0.164:0.164) (0.271:0.271:0.271)) - (IOPATH A1 X (0.154:0.154:0.154) (0.268:0.268:0.268)) - (IOPATH S X (0.236:0.236:0.236) (0.354:0.354:0.354)) - (IOPATH S X (0.182:0.182:0.182) (0.283:0.283:0.283)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _222_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.089:0.090:0.090) (0.115:0.115:0.116)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _223_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.173:0.173:0.173) (0.275:0.275:0.275)) - (IOPATH A1 X (0.144:0.144:0.144) (0.260:0.260:0.260)) - (IOPATH S X (0.232:0.232:0.232) (0.350:0.350:0.350)) - (IOPATH S X (0.177:0.178:0.178) (0.279:0.279:0.279)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _224_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.087:0.088:0.088) (0.113:0.114:0.114)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _225_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.225:0.225:0.225) (0.191:0.191:0.191)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _226_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.193:0.193:0.193) (0.282:0.282:0.282)) - (IOPATH A1 X (0.161:0.161:0.161) (0.275:0.275:0.275)) - (IOPATH S X (0.242:0.242:0.242) (0.362:0.362:0.362)) - (IOPATH S X (0.188:0.188:0.188) (0.290:0.290:0.290)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _227_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.096:0.096:0.097) (0.121:0.121:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _228_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.272:0.272:0.272) (0.223:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _229_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.176:0.176:0.176) (0.117:0.117:0.117)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _230_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.061:0.061:0.061) (0.043:0.043:0.043)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _231_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.169:0.169:0.169) (0.219:0.219:0.219)) - (IOPATH B Y (0.085:0.085:0.085) (0.076:0.076:0.076)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _232_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.212:0.212:0.212) (0.219:0.219:0.219)) - (IOPATH B X (0.229:0.229:0.229) (0.250:0.250:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _233_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.301:0.301:0.301) (0.143:0.143:0.143)) - (IOPATH A X (0.275:0.275:0.275) (0.231:0.231:0.231)) - (IOPATH B X (0.256:0.256:0.256) (0.111:0.111:0.111)) - (IOPATH B X (0.265:0.265:0.265) (0.184:0.184:0.184)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _234_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.181:0.181:0.181) (0.199:0.199:0.199)) - (IOPATH B X (0.172:0.172:0.172) (0.213:0.213:0.213)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _235_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.199:0.199:0.199) (0.213:0.213:0.213)) - (IOPATH B X (0.171:0.171:0.171) (0.213:0.213:0.213)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_2") - (INSTANCE _236_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.178:0.178:0.178) (0.334:0.334:0.335)) - (IOPATH A2 X (0.208:0.210:0.213) (0.368:0.374:0.381)) - (IOPATH B1 X (0.129:0.129:0.129) (0.336:0.336:0.336)) - (IOPATH C1 X (0.127:0.127:0.127) (0.298:0.298:0.298)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _237_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.157:0.157:0.157) (0.071:0.071:0.071)) - (IOPATH B Y (0.123:0.123:0.123) (0.053:0.053:0.053)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _238_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.078:0.078:0.078) (0.066:0.066:0.066)) - (IOPATH B Y (0.077:0.077:0.077) (0.062:0.062:0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _239_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.147:0.147:0.147) (0.178:0.180:0.183)) - (IOPATH B Y (0.071:0.074:0.076) (0.062:0.062:0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _240_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.194:0.194:0.195) (0.192:0.195:0.197)) - (IOPATH B X (0.194:0.195:0.196) (0.217:0.217:0.218)) - (IOPATH C X (0.197:0.198:0.198) (0.219:0.222:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _241_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.175:0.177:0.179) (0.071:0.071:0.072)) - (IOPATH A2 Y (0.189:0.190:0.190) (0.070:0.071:0.071)) - (IOPATH B1 Y (0.149:0.151:0.154) (0.045:0.045:0.046)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _242_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.152:0.152:0.153) (0.054:0.054:0.054)) - (IOPATH B Y (0.125:0.128:0.131) (0.067:0.067:0.068)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _243_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.043:0.043:0.043) (0.036:0.036:0.036)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _244_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.046:0.046:0.046) (0.034:0.034:0.034)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _245_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.178:0.179:0.179) (0.087:0.087:0.087)) - (IOPATH A2 Y (0.187:0.194:0.202) (0.113:0.115:0.117)) - (IOPATH B1 Y (0.149:0.150:0.150) (0.048:0.048:0.048)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _246_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.128:0.128:0.128) (0.059:0.059:0.059)) - (IOPATH B Y (0.098:0.098:0.098) (0.045:0.045:0.045)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _247_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.136:0.138:0.140) (0.059:0.059:0.059)) - (IOPATH B Y (0.120:0.121:0.121) (0.048:0.048:0.048)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _248_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.151:0.152:0.152) (0.144:0.153:0.161)) - (IOPATH A Y (0.193:0.201:0.209) (0.106:0.106:0.107)) - (IOPATH B Y (0.144:0.144:0.144) (0.137:0.139:0.140)) - (IOPATH B Y (0.167:0.168:0.169) (0.092:0.092:0.092)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o32a_2") - (INSTANCE _249_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.160:0.160:0.160) (0.394:0.394:0.394)) - (IOPATH A2 X (0.170:0.170:0.170) (0.383:0.384:0.384)) - (IOPATH A3 X (0.181:0.181:0.182) (0.339:0.342:0.345)) - (IOPATH B1 X (0.154:0.154:0.154) (0.198:0.198:0.198)) - (IOPATH B2 X (0.151:0.168:0.186) (0.195:0.198:0.200)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _250_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.052:0.052:0.052) (0.047:0.047:0.047)) - (IOPATH B Y (0.076:0.079:0.082) (0.059:0.070:0.081)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _251_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.130:0.130:0.130) (0.145:0.146:0.146)) - (IOPATH A Y (0.165:0.165:0.166) (0.087:0.087:0.087)) - (IOPATH B Y (0.145:0.147:0.149) (0.137:0.144:0.151)) - (IOPATH B Y (0.135:0.142:0.148) (0.090:0.092:0.093)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _252_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.042:0.042:0.042) (0.036:0.036:0.036)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _253_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.109:0.124:0.139) (0.172:0.176:0.180)) - (IOPATH B Y (0.056:0.056:0.056) (0.051:0.051:0.051)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _254_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.079:0.079:0.079) (0.073:0.073:0.073)) - (IOPATH B Y (0.078:0.078:0.078) (0.063:0.063:0.063)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _255_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.176:0.176:0.176) (0.086:0.086:0.086)) - (IOPATH B Y (0.142:0.142:0.142) (0.059:0.059:0.059)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _256_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.049:0.053:0.058) (0.049:0.049:0.049)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_2") - (INSTANCE _257_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.128:0.128:0.128) (0.200:0.200:0.200)) - (IOPATH B Y (0.071:0.076:0.081) (0.075:0.075:0.076)) - (IOPATH C Y (0.080:0.080:0.080) (0.076:0.077:0.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _258_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.144:0.144:0.144) (0.165:0.165:0.165)) - (IOPATH B X (0.138:0.150:0.162) (0.188:0.192:0.196)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _259_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.115:0.115:0.116) (0.185:0.187:0.190)) - (IOPATH A2 X (0.121:0.124:0.128) (0.216:0.218:0.221)) - (IOPATH B1 X (0.088:0.088:0.088) (0.186:0.186:0.187)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_2") - (INSTANCE _260_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.207:0.207:0.207) (0.254:0.254:0.254)) - (IOPATH A2 X (0.190:0.191:0.191) (0.233:0.236:0.238)) - (IOPATH B1 X (0.190:0.190:0.190) (0.151:0.154:0.158)) - (IOPATH C1 X (0.178:0.179:0.181) (0.133:0.136:0.140)) - (IOPATH D1 X (0.164:0.165:0.165) (0.115:0.116:0.116)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _261_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.251:0.251:0.251) (0.120:0.120:0.120)) - (IOPATH A X (0.226:0.226:0.226) (0.213:0.213:0.213)) - (IOPATH B X (0.213:0.213:0.213) (0.100:0.100:0.100)) - (IOPATH B X (0.223:0.223:0.223) (0.174:0.174:0.174)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _262_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.039:0.041:0.044) (0.039:0.039:0.039)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _263_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.157:0.157:0.157) (0.182:0.182:0.182)) - (IOPATH B X (0.142:0.142:0.142) (0.191:0.191:0.191)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_2") - (INSTANCE _264_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.177:0.177:0.177) (0.222:0.222:0.222)) - (IOPATH A2 X (0.201:0.201:0.201) (0.268:0.270:0.272)) - (IOPATH A3 X (0.199:0.200:0.201) (0.283:0.284:0.285)) - (IOPATH B1 X (0.133:0.134:0.134) (0.241:0.241:0.241)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _265_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.144:0.146:0.148) (0.139:0.145:0.151)) - (IOPATH A Y (0.166:0.171:0.176) (0.100:0.101:0.103)) - (IOPATH B Y (0.119:0.119:0.120) (0.135:0.136:0.137)) - (IOPATH B Y (0.141:0.142:0.142) (0.070:0.071:0.071)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _266_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.140:0.140:0.140) (0.163:0.163:0.163)) - (IOPATH B X (0.141:0.154:0.167) (0.190:0.195:0.200)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ba_2") - (INSTANCE _267_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.149:0.149:0.149) (0.206:0.206:0.206)) - (IOPATH A2 X (0.142:0.142:0.142) (0.187:0.189:0.192)) - (IOPATH B1_N X (0.227:0.231:0.235) (0.154:0.154:0.154)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _268_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.053:0.058:0.063) (0.059:0.060:0.061)) - (IOPATH B Y (0.064:0.065:0.065) (0.053:0.054:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _269_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.069:0.069:0.069) (0.055:0.055:0.055)) - (IOPATH B Y (0.069:0.069:0.069) (0.055:0.055:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _270_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.206:0.206:0.206) (0.270:0.270:0.270)) - (IOPATH A2 X (0.193:0.204:0.214) (0.257:0.261:0.265)) - (IOPATH B1 X (0.193:0.194:0.195) (0.142:0.147:0.152)) - (IOPATH C1 X (0.187:0.187:0.187) (0.125:0.128:0.131)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _271_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.237:0.237:0.237) (0.410:0.412:0.415)) - (IOPATH A2 X (0.235:0.235:0.235) (0.397:0.397:0.398)) - (IOPATH A3 X (0.215:0.215:0.215) (0.355:0.357:0.358)) - (IOPATH B1 X (0.243:0.243:0.243) (0.166:0.170:0.173)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _272_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.280:0.281:0.281) (0.214:0.220:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _273_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.211:0.211:0.211) (0.184:0.184:0.184)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _274_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.187:0.187:0.187) (0.205:0.205:0.205)) - (IOPATH B X (0.200:0.200:0.200) (0.234:0.234:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _275_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.188:0.188:0.188) (0.109:0.109:0.109)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _276_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.156:0.156:0.156) (0.107:0.107:0.107)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _277_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.219:0.219:0.219) (0.103:0.103:0.103)) - (IOPATH B Y (0.188:0.188:0.188) (0.082:0.082:0.082)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4_2") - (INSTANCE _278_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.102:0.102:0.102) (0.110:0.110:0.110)) - (IOPATH B Y (0.101:0.101:0.101) (0.121:0.121:0.121)) - (IOPATH C Y (0.100:0.100:0.101) (0.111:0.111:0.111)) - (IOPATH D Y (0.098:0.101:0.105) (0.133:0.133:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _279_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.092:0.092:0.092) (0.087:0.087:0.087)) - (IOPATH B Y (0.094:0.094:0.094) (0.082:0.082:0.082)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _280_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.131:0.131:0.131) (0.095:0.095:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _281_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.220:0.220:0.220) (0.087:0.087:0.087)) - (IOPATH B Y (0.192:0.192:0.192) (0.074:0.074:0.074)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _282_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.105:0.105:0.105) (0.090:0.090:0.090)) - (IOPATH B Y (0.106:0.107:0.109) (0.117:0.117:0.117)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _283_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.161:0.164:0.168) (0.054:0.054:0.055)) - (IOPATH B Y (0.145:0.147:0.149) (0.058:0.059:0.059)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _284_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.244:0.244:0.244) (0.076:0.076:0.076)) - (IOPATH B Y (0.227:0.227:0.227) (0.085:0.085:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _285_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.188:0.188:0.189) (0.179:0.185:0.191)) - (IOPATH B X (0.183:0.184:0.184) (0.178:0.179:0.180)) - (IOPATH C X (0.206:0.206:0.206) (0.202:0.202:0.203)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4b_2") - (INSTANCE _286_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.293:0.295:0.298) (0.236:0.248:0.260)) - (IOPATH B X (0.241:0.241:0.241) (0.226:0.226:0.226)) - (IOPATH C X (0.246:0.246:0.246) (0.238:0.238:0.238)) - (IOPATH D X (0.255:0.255:0.255) (0.258:0.258:0.258)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _287_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.133:0.133:0.133) (0.073:0.073:0.073)) - (IOPATH A2 Y (0.097:0.101:0.105) (0.061:0.061:0.061)) - (IOPATH B1 Y (0.045:0.045:0.045) (0.053:0.053:0.053)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4b_2") - (INSTANCE _288_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.285:0.285:0.286) (0.231:0.231:0.231)) - (IOPATH B X (0.228:0.229:0.230) (0.213:0.216:0.219)) - (IOPATH C X (0.237:0.239:0.241) (0.236:0.239:0.242)) - (IOPATH D X (0.240:0.246:0.251) (0.244:0.245:0.246)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _289_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.193:0.193:0.193) (0.261:0.261:0.261)) - (IOPATH A2 X (0.191:0.191:0.191) (0.237:0.240:0.242)) - (IOPATH B1 X (0.180:0.180:0.180) (0.138:0.142:0.146)) - (IOPATH C1 X (0.167:0.168:0.170) (0.114:0.118:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4b_2") - (INSTANCE _290_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.133:0.133:0.133) (0.214:0.214:0.215)) - (IOPATH B Y (0.094:0.095:0.096) (0.119:0.119:0.119)) - (IOPATH C Y (0.099:0.103:0.107) (0.126:0.126:0.126)) - (IOPATH D Y (0.097:0.101:0.105) (0.128:0.128:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_2") - (INSTANCE _291_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.250:0.250:0.251) (0.217:0.217:0.217)) - (IOPATH B X (0.212:0.212:0.212) (0.218:0.219:0.220)) - (IOPATH C X (0.213:0.217:0.220) (0.252:0.253:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _292_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.179:0.179:0.179) (0.364:0.364:0.364)) - (IOPATH A2 X (0.185:0.185:0.185) (0.351:0.351:0.351)) - (IOPATH A3 X (0.129:0.132:0.134) (0.302:0.304:0.307)) - (IOPATH B1 X (0.148:0.148:0.148) (0.119:0.119:0.120)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _293_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.185:0.185:0.186) (0.162:0.168:0.174)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _294_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.166:0.166:0.166) (0.176:0.176:0.176)) - (IOPATH A Y (0.234:0.234:0.234) (0.120:0.120:0.120)) - (IOPATH B Y (0.169:0.169:0.169) (0.176:0.176:0.176)) - (IOPATH B Y (0.214:0.214:0.214) (0.117:0.117:0.117)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _295_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.135:0.135:0.135) (0.067:0.067:0.067)) - (IOPATH B Y (0.114:0.119:0.125) (0.058:0.058:0.058)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _296_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.178:0.178:0.178) (0.197:0.197:0.197)) - (IOPATH B X (0.195:0.195:0.195) (0.222:0.229:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _297_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.213:0.213:0.213) (0.078:0.079:0.079)) - (IOPATH B Y (0.192:0.192:0.192) (0.064:0.064:0.064)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _298_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.218:0.218:0.219) (0.187:0.191:0.195)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _299_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.222:0.222:0.222) (0.190:0.190:0.190)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _300_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.140:0.140:0.140) (0.135:0.135:0.135)) - (IOPATH B Y (0.149:0.149:0.149) (0.125:0.125:0.125)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _301_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.103:0.103:0.103) (0.102:0.102:0.102)) - (IOPATH B Y (0.116:0.119:0.122) (0.093:0.093:0.094)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _302_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.160:0.160:0.160) (0.171:0.171:0.171)) - (IOPATH A Y (0.228:0.228:0.228) (0.113:0.113:0.113)) - (IOPATH B Y (0.158:0.158:0.158) (0.165:0.171:0.177)) - (IOPATH B Y (0.202:0.207:0.212) (0.105:0.106:0.106)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _303_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.048:0.049:0.049) (0.047:0.047:0.047)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _304_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.207:0.208:0.208) (0.244:0.250:0.255)) - (IOPATH A2 X (0.169:0.169:0.169) (0.251:0.251:0.251)) - (IOPATH B1 X (0.157:0.157:0.157) (0.234:0.234:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _305_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.233:0.234:0.235) (0.287:0.291:0.294)) - (IOPATH A2 X (0.240:0.258:0.275) (0.313:0.316:0.318)) - (IOPATH A3 X (0.250:0.251:0.251) (0.312:0.313:0.313)) - (IOPATH B1 X (0.224:0.224:0.224) (0.277:0.277:0.277)) - (IOPATH B2 X (0.233:0.233:0.233) (0.297:0.297:0.297)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _306_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.080:0.082:0.083) (0.085:0.085:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _307_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.191:0.210:0.229) (0.266:0.269:0.272)) - (IOPATH A2 X (0.238:0.238:0.239) (0.282:0.284:0.285)) - (IOPATH A3 X (0.214:0.214:0.215) (0.291:0.291:0.291)) - (IOPATH B1 X (0.150:0.150:0.151) (0.243:0.243:0.243)) - (IOPATH B2 X (0.192:0.192:0.192) (0.273:0.273:0.273)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _308_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.153:0.153:0.153) (0.163:0.163:0.163)) - (IOPATH A Y (0.195:0.195:0.195) (0.107:0.107:0.107)) - (IOPATH B Y (0.151:0.151:0.151) (0.160:0.160:0.160)) - (IOPATH B Y (0.172:0.172:0.172) (0.097:0.097:0.097)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _309_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.137:0.138:0.139) (0.168:0.168:0.169)) - (IOPATH B X (0.134:0.147:0.161) (0.185:0.190:0.194)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _310_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.124:0.125:0.125) (0.059:0.060:0.061)) - (IOPATH A2 Y (0.101:0.105:0.109) (0.047:0.054:0.062)) - (IOPATH B1 Y (0.062:0.062:0.062) (0.068:0.068:0.068)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _311_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.183:0.183:0.183) (0.250:0.250:0.250)) - (IOPATH A2 X (0.153:0.153:0.153) (0.226:0.226:0.226)) - (IOPATH B1 X (0.125:0.125:0.125) (0.189:0.190:0.190)) - (IOPATH B2 X (0.113:0.120:0.127) (0.166:0.170:0.174)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _312_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.159:0.160:0.161) (0.101:0.101:0.101)) - (IOPATH A2 Y (0.181:0.182:0.182) (0.086:0.086:0.087)) - (IOPATH B1 Y (0.142:0.142:0.142) (0.047:0.047:0.047)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _313_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.105:0.118:0.131) (0.130:0.133:0.137)) - (IOPATH A Y (0.138:0.141:0.143) (0.064:0.075:0.086)) - (IOPATH B Y (0.115:0.115:0.116) (0.113:0.121:0.130)) - (IOPATH B Y (0.100:0.107:0.114) (0.064:0.064:0.065)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _314_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.159:0.159:0.159) (0.266:0.266:0.266)) - (IOPATH A1 X (0.126:0.136:0.147) (0.247:0.251:0.254)) - (IOPATH S X (0.224:0.224:0.224) (0.343:0.343:0.343)) - (IOPATH S X (0.167:0.167:0.167) (0.271:0.271:0.271)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _315_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.086:0.086:0.086) (0.112:0.112:0.113)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _316_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.128:0.130:0.131) (0.089:0.089:0.089)) - (IOPATH A X (0.135:0.135:0.135) (0.158:0.160:0.161)) - (IOPATH B X (0.112:0.112:0.112) (0.068:0.069:0.069)) - (IOPATH B X (0.127:0.127:0.128) (0.140:0.141:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _317_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.166:0.166:0.166) (0.262:0.262:0.262)) - (IOPATH A1 X (0.135:0.139:0.143) (0.246:0.250:0.255)) - (IOPATH S X (0.223:0.223:0.223) (0.342:0.342:0.342)) - (IOPATH S X (0.167:0.167:0.167) (0.271:0.271:0.271)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _318_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.088:0.089:0.089) (0.115:0.115:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _319_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.169:0.169:0.169) (0.274:0.274:0.274)) - (IOPATH A1 X (0.204:0.204:0.204) (0.264:0.265:0.265)) - (IOPATH S X (0.244:0.244:0.244) (0.364:0.364:0.364)) - (IOPATH S X (0.195:0.195:0.195) (0.290:0.290:0.290)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _320_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.229:0.229:0.229) (0.295:0.295:0.295)) - (IOPATH A2 X (0.208:0.208:0.209) (0.269:0.269:0.270)) - (IOPATH B1 X (0.197:0.197:0.198) (0.151:0.151:0.152)) - (IOPATH C1 X (0.191:0.191:0.192) (0.126:0.132:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _321_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.142:0.142:0.142) (0.154:0.154:0.154)) - (IOPATH A Y (0.168:0.168:0.168) (0.098:0.098:0.098)) - (IOPATH B Y (0.108:0.108:0.108) (0.124:0.127:0.130)) - (IOPATH B Y (0.119:0.121:0.124) (0.062:0.062:0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _322_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.121:0.135:0.148) (0.146:0.149:0.151)) - (IOPATH A Y (0.174:0.176:0.179) (0.079:0.092:0.104)) - (IOPATH B Y (0.125:0.125:0.126) (0.143:0.143:0.144)) - (IOPATH B Y (0.149:0.150:0.150) (0.076:0.077:0.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2bb2a_2") - (INSTANCE _323_) - (DELAY - (ABSOLUTE - (IOPATH A1_N X (0.256:0.256:0.256) (0.231:0.231:0.231)) - (IOPATH A2_N X (0.231:0.235:0.239) (0.203:0.217:0.230)) - (IOPATH B1 X (0.192:0.192:0.192) (0.274:0.274:0.274)) - (IOPATH B2 X (0.157:0.158:0.158) (0.246:0.247:0.247)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _324_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.146:0.146:0.147) (0.090:0.090:0.090)) - (IOPATH B Y (0.123:0.124:0.124) (0.054:0.054:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _325_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.154:0.154:0.154) (0.092:0.092:0.092)) - (IOPATH A X (0.139:0.139:0.139) (0.182:0.182:0.182)) - (IOPATH B X (0.099:0.105:0.112) (0.069:0.069:0.069)) - (IOPATH B X (0.129:0.129:0.129) (0.128:0.133:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _326_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.138:0.138:0.138) (0.253:0.253:0.253)) - (IOPATH A1 X (0.135:0.139:0.143) (0.246:0.250:0.254)) - (IOPATH S X (0.223:0.223:0.223) (0.342:0.342:0.342)) - (IOPATH S X (0.166:0.166:0.166) (0.270:0.270:0.270)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _327_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.086:0.086:0.086) (0.112:0.112:0.113)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _328_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.060:0.060:0.060) (0.050:0.050:0.050)) - (IOPATH B Y (0.071:0.071:0.071) (0.062:0.062:0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _329_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.139:0.139:0.139) (0.214:0.214:0.214)) - (IOPATH A2 X (0.114:0.114:0.114) (0.189:0.190:0.190)) - (IOPATH B1 X (0.111:0.112:0.113) (0.105:0.105:0.105)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _330_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.222:0.222:0.222) (0.211:0.211:0.211)) - (IOPATH B X (0.238:0.238:0.238) (0.243:0.243:0.243)) - (IOPATH C X (0.242:0.242:0.242) (0.252:0.252:0.252)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _331_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.203:0.203:0.203) (0.216:0.216:0.216)) - (IOPATH B X (0.184:0.184:0.184) (0.219:0.219:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _332_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.146:0.146:0.146) (0.219:0.219:0.219)) - (IOPATH A2 X (0.112:0.112:0.113) (0.187:0.187:0.188)) - (IOPATH B1 X (0.151:0.151:0.151) (0.126:0.126:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _333_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.139:0.139:0.139) (0.189:0.189:0.189)) - (IOPATH B Y (0.063:0.063:0.064) (0.056:0.056:0.056)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _334_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.185:0.185:0.185) (0.267:0.267:0.267)) - (IOPATH A2 X (0.161:0.161:0.161) (0.231:0.231:0.232)) - (IOPATH B1 X (0.155:0.156:0.156) (0.119:0.121:0.123)) - (IOPATH C1 X (0.191:0.191:0.191) (0.129:0.129:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _335_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.151:0.151:0.151) (0.077:0.077:0.077)) - (IOPATH A2 Y (0.161:0.161:0.161) (0.085:0.085:0.085)) - (IOPATH B1 Y (0.126:0.126:0.126) (0.047:0.047:0.047)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _336_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.124:0.124:0.124) (0.109:0.109:0.109)) - (IOPATH B Y (0.114:0.114:0.114) (0.094:0.094:0.094)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _337_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.120:0.120:0.121) (0.059:0.059:0.059)) - (IOPATH A2 Y (0.095:0.097:0.100) (0.052:0.053:0.054)) - (IOPATH B1 Y (0.059:0.061:0.063) (0.057:0.058:0.058)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _338_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.168:0.168:0.168) (0.182:0.182:0.182)) - (IOPATH B X (0.128:0.134:0.140) (0.174:0.177:0.179)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _339_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.089:0.089:0.089) (0.110:0.110:0.111)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _340_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.138:0.138:0.138) (0.159:0.159:0.159)) - (IOPATH A Y (0.180:0.180:0.180) (0.093:0.093:0.093)) - (IOPATH B Y (0.138:0.138:0.138) (0.149:0.149:0.149)) - (IOPATH B Y (0.149:0.149:0.149) (0.085:0.085:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21boi_2") - (INSTANCE _341_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.126:0.128:0.130) (0.060:0.060:0.061)) - (IOPATH A2 Y (0.128:0.131:0.134) (0.049:0.059:0.070)) - (IOPATH B1_N Y (0.184:0.184:0.184) (0.168:0.168:0.168)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_2") - (INSTANCE _342_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.070:0.070:0.070) (0.076:0.076:0.076)) - (IOPATH B Y (0.080:0.080:0.080) (0.085:0.085:0.085)) - (IOPATH C Y (0.076:0.079:0.081) (0.073:0.073:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _343_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.136:0.136:0.136) (0.253:0.253:0.253)) - (IOPATH A1 X (0.134:0.134:0.134) (0.255:0.255:0.255)) - (IOPATH S X (0.233:0.233:0.233) (0.351:0.351:0.351)) - (IOPATH S X (0.178:0.179:0.179) (0.280:0.280:0.280)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _344_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.096:0.097:0.097) (0.121:0.121:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _345_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.133:0.133:0.133) (0.251:0.251:0.251)) - (IOPATH A1 X (0.134:0.134:0.134) (0.253:0.253:0.253)) - (IOPATH S X (0.231:0.231:0.231) (0.348:0.348:0.348)) - (IOPATH S X (0.176:0.176:0.176) (0.277:0.277:0.277)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _346_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.089:0.089:0.090) (0.115:0.115:0.116)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _347_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.111:0.111:0.111) (0.174:0.174:0.174)) - (IOPATH B Y (0.082:0.082:0.082) (0.075:0.075:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _348_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.258:0.258:0.258) (0.203:0.203:0.203)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _349_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.262:0.262:0.262) (0.206:0.206:0.206)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _350_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.045:0.045:0.045) (0.044:0.044:0.044)) - (IOPATH B Y (0.085:0.085:0.085) (0.074:0.074:0.074)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _351_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.246:0.246:0.246) (0.121:0.121:0.121)) - (IOPATH A2 Y (0.000:0.000:0.000)) - (IOPATH B1 Y (0.096:0.099:0.102) (0.075:0.077:0.079)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _352_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.224:0.224:0.224) (0.188:0.188:0.188)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _353_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.182:0.182:0.182) (0.084:0.084:0.084)) - (IOPATH B Y (0.149:0.149:0.149) (0.073:0.073:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_2") - (INSTANCE _354_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.275:0.275:0.275) (0.267:0.267:0.267)) - (IOPATH B X (0.218:0.218:0.218) (0.235:0.235:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _355_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.218:0.218:0.218) (0.208:0.208:0.208)) - (IOPATH B X (0.245:0.245:0.245) (0.239:0.239:0.239)) - (IOPATH C X (0.226:0.226:0.226) (0.245:0.245:0.245)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _356_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.122:0.122:0.122) (0.055:0.055:0.055)) - (IOPATH B Y (0.094:0.094:0.095) (0.042:0.042:0.043)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _357_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.194:0.194:0.194) (0.087:0.087:0.087)) - (IOPATH B Y (0.150:0.150:0.150) (0.053:0.053:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _358_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.286:0.286:0.286) (0.125:0.125:0.125)) - (IOPATH B Y (0.238:0.243:0.247) (0.103:0.103:0.103)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _359_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.225:0.226:0.226) (0.213:0.214:0.216)) - (IOPATH B X (0.281:0.281:0.281) (0.255:0.258:0.261)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _360_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.185:0.185:0.185) (0.227:0.227:0.227)) - (IOPATH A2 X (0.153:0.153:0.153) (0.225:0.225:0.225)) - (IOPATH B1 X (0.153:0.153:0.154) (0.229:0.229:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _361_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.170:0.170:0.170) (0.067:0.067:0.067)) - (IOPATH B Y (0.158:0.158:0.158) (0.080:0.080:0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _362_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.143:0.143:0.143) (0.071:0.071:0.071)) - (IOPATH B Y (0.119:0.119:0.119) (0.060:0.060:0.060)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_2") - (INSTANCE _363_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.206:0.206:0.206) (0.350:0.350:0.350)) - (IOPATH A2 X (0.180:0.180:0.180) (0.370:0.370:0.370)) - (IOPATH B1 X (0.172:0.172:0.172) (0.347:0.351:0.354)) - (IOPATH C1 X (0.155:0.155:0.155) (0.310:0.310:0.311)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _364_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.187:0.187:0.187) (0.229:0.229:0.229)) - (IOPATH A2 X (0.161:0.161:0.161) (0.230:0.230:0.230)) - (IOPATH B1 X (0.186:0.186:0.186) (0.228:0.231:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _365_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.084:0.084:0.084) (0.062:0.062:0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _366_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.257:0.257:0.257) (0.239:0.239:0.239)) - (IOPATH B X (0.246:0.246:0.246) (0.255:0.255:0.255)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _367_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.126:0.126:0.126) (0.106:0.106:0.106)) - (IOPATH B Y (0.138:0.139:0.139) (0.120:0.120:0.120)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _368_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.259:0.259:0.259) (0.314:0.314:0.314)) - (IOPATH A2 X (0.222:0.222:0.222) (0.275:0.275:0.275)) - (IOPATH B1 X (0.244:0.245:0.246) (0.184:0.184:0.185)) - (IOPATH C1 X (0.290:0.290:0.290) (0.159:0.162:0.166)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _369_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.186:0.186:0.186) (0.228:0.228:0.228)) - (IOPATH A2 X (0.155:0.155:0.155) (0.226:0.226:0.226)) - (IOPATH B1 X (0.146:0.146:0.146) (0.219:0.222:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _370_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.207:0.207:0.207) (0.095:0.095:0.095)) - (IOPATH B Y (0.184:0.184:0.184) (0.079:0.079:0.079)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _371_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.085:0.087:0.090) (0.099:0.099:0.099)) - (IOPATH B Y (0.093:0.094:0.095) (0.078:0.079:0.079)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _372_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.248:0.249:0.250) (0.309:0.312:0.316)) - (IOPATH A2 X (0.248:0.249:0.250) (0.301:0.301:0.301)) - (IOPATH B1 X (0.307:0.307:0.307) (0.183:0.186:0.189)) - (IOPATH C1 X (0.235:0.236:0.238) (0.156:0.161:0.166)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _373_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.186:0.186:0.186) (0.228:0.228:0.228)) - (IOPATH A2 X (0.156:0.156:0.156) (0.227:0.227:0.227)) - (IOPATH B1 X (0.151:0.152:0.152) (0.222:0.225:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _374_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.212:0.212:0.212) (0.114:0.114:0.114)) - (IOPATH A2 Y (0.194:0.199:0.205) (0.102:0.102:0.102)) - (IOPATH B1 Y (0.191:0.191:0.191) (0.081:0.081:0.081)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _375_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.187:0.187:0.187) (0.229:0.229:0.229)) - (IOPATH A2 X (0.157:0.157:0.157) (0.228:0.228:0.228)) - (IOPATH B1 X (0.163:0.163:0.163) (0.225:0.228:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _376_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.133:0.133:0.133) (0.124:0.124:0.124)) - (IOPATH B Y (0.131:0.132:0.133) (0.123:0.123:0.123)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _377_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.165:0.165:0.165) (0.224:0.224:0.224)) - (IOPATH A2 X (0.133:0.134:0.134) (0.211:0.212:0.214)) - (IOPATH B1 X (0.152:0.152:0.152) (0.129:0.130:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _378_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.190:0.190:0.190) (0.230:0.230:0.230)) - (IOPATH A2 X (0.163:0.163:0.163) (0.231:0.231:0.231)) - (IOPATH B1 X (0.125:0.126:0.126) (0.213:0.214:0.216)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _379_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.234:0.234:0.234) (0.192:0.192:0.192)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _380_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.227:0.227:0.227) (0.288:0.288:0.288)) - (IOPATH A2 X (0.210:0.210:0.210) (0.298:0.298:0.298)) - (IOPATH B1 X (0.256:0.256:0.256) (0.261:0.264:0.267)) - (IOPATH B2 X (0.194:0.195:0.197) (0.272:0.276:0.280)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _381_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.235:0.236:0.236) (0.205:0.208:0.210)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _382_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.178:0.178:0.178) (0.245:0.245:0.245)) - (IOPATH A2 X (0.131:0.131:0.131) (0.211:0.211:0.211)) - (IOPATH B1 X (0.153:0.154:0.155) (0.209:0.209:0.210)) - (IOPATH B2 X (0.154:0.154:0.154) (0.190:0.190:0.190)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _383_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.229:0.229:0.229) (0.290:0.290:0.290)) - (IOPATH A2 X (0.211:0.211:0.211) (0.298:0.298:0.298)) - (IOPATH B1 X (0.213:0.213:0.214) (0.258:0.261:0.264)) - (IOPATH B2 X (0.185:0.185:0.185) (0.267:0.267:0.267)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _384_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.100:0.100:0.100) (0.155:0.155:0.155)) - (IOPATH B Y (0.079:0.079:0.079) (0.069:0.069:0.069)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _385_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.255:0.255:0.255) (0.420:0.420:0.420)) - (IOPATH A2 X (0.228:0.229:0.230) (0.395:0.396:0.398)) - (IOPATH A3 X (0.239:0.239:0.239) (0.351:0.354:0.356)) - (IOPATH B1 X (0.210:0.212:0.214) (0.154:0.154:0.155)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _386_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.081:0.081:0.081) (0.075:0.075:0.075)) - (IOPATH B Y (0.081:0.081:0.081) (0.069:0.069:0.069)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _387_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.277:0.278:0.279) (0.315:0.316:0.316)) - (IOPATH A2 X (0.329:0.329:0.329) (0.334:0.337:0.340)) - (IOPATH A3 X (0.268:0.268:0.269) (0.316:0.320:0.324)) - (IOPATH B1 X (0.210:0.210:0.210) (0.265:0.265:0.265)) - (IOPATH B2 X (0.252:0.252:0.252) (0.305:0.305:0.305)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _388_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.158:0.158:0.158) (0.219:0.219:0.219)) - (IOPATH A2 X (0.126:0.127:0.127) (0.203:0.203:0.203)) - (IOPATH B1 X (0.185:0.185:0.185) (0.122:0.125:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _389_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.195:0.195:0.195) (0.238:0.238:0.238)) - (IOPATH A2 X (0.169:0.169:0.169) (0.240:0.240:0.240)) - (IOPATH B1 X (0.129:0.130:0.130) (0.220:0.221:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _390_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.305:0.305:0.305) (0.228:0.228:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _391_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.162:0.162:0.162) (0.223:0.223:0.223)) - (IOPATH A2 X (0.131:0.132:0.132) (0.209:0.211:0.212)) - (IOPATH B1 X (0.150:0.150:0.150) (0.128:0.128:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _392_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.217:0.217:0.217) (0.251:0.251:0.251)) - (IOPATH A2 X (0.159:0.159:0.159) (0.231:0.231:0.231)) - (IOPATH B1 X (0.122:0.123:0.123) (0.213:0.214:0.216)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_2") - (INSTANCE _393_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.137:0.137:0.137) (0.296:0.296:0.296)) - (IOPATH A2 X (0.151:0.151:0.151) (0.333:0.333:0.333)) - (IOPATH B1 X (0.121:0.121:0.121) (0.304:0.304:0.304)) - (IOPATH C1 X (0.102:0.102:0.102) (0.262:0.262:0.262)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _394_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.134:0.134:0.134) (0.079:0.079:0.079)) - (IOPATH A2 Y (0.097:0.100:0.103) (0.060:0.060:0.060)) - (IOPATH B1 Y (0.058:0.058:0.059) (0.062:0.062:0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_2") - (INSTANCE _395_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.226:0.226:0.227) (0.220:0.220:0.220)) - (IOPATH B X (0.161:0.161:0.162) (0.187:0.187:0.188)) - (IOPATH C X (0.173:0.177:0.181) (0.199:0.200:0.202)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _396_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.216:0.216:0.216) (0.284:0.284:0.284)) - (IOPATH A2 X (0.186:0.186:0.186) (0.283:0.283:0.283)) - (IOPATH B1 X (0.200:0.200:0.201) (0.251:0.254:0.257)) - (IOPATH B2 X (0.176:0.176:0.176) (0.260:0.260:0.261)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _397_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.077:0.077:0.077) (0.071:0.071:0.071)) - (IOPATH B Y (0.071:0.074:0.076) (0.078:0.078:0.078)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _398_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.159:0.159:0.159) (0.189:0.189:0.189)) - (IOPATH B X (0.184:0.184:0.184) (0.201:0.205:0.209)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _399_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.197:0.197:0.197) (0.269:0.269:0.269)) - (IOPATH A2 X (0.169:0.169:0.169) (0.269:0.269:0.269)) - (IOPATH B1 X (0.154:0.155:0.155) (0.232:0.233:0.235)) - (IOPATH B2 X (0.163:0.163:0.163) (0.249:0.249:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _400_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.180:0.181:0.181) (0.233:0.235:0.237)) - (IOPATH B Y (0.124:0.124:0.124) (0.117:0.117:0.117)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _401_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.115:0.115:0.115) (0.101:0.101:0.101)) - (IOPATH B Y (0.094:0.096:0.097) (0.107:0.107:0.108)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _402_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.172:0.173:0.174) (0.259:0.262:0.264)) - (IOPATH A2 X (0.159:0.159:0.160) (0.244:0.245:0.247)) - (IOPATH B1 X (0.149:0.150:0.150) (0.214:0.215:0.217)) - (IOPATH B2 X (0.168:0.168:0.168) (0.203:0.203:0.203)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _403_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.229:0.229:0.229) (0.211:0.212:0.212)) - (IOPATH B X (0.219:0.220:0.220) (0.234:0.234:0.234)) - (IOPATH C X (0.209:0.209:0.209) (0.230:0.231:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _404_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.210:0.210:0.210) (0.246:0.246:0.246)) - (IOPATH A2 X (0.154:0.154:0.154) (0.228:0.228:0.228)) - (IOPATH B1 X (0.132:0.132:0.132) (0.218:0.218:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_2") - (INSTANCE _405_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.077:0.077:0.077) (0.086:0.086:0.086)) - (IOPATH B Y (0.086:0.086:0.086) (0.093:0.093:0.093)) - (IOPATH C Y (0.083:0.083:0.084) (0.085:0.085:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_2") - (INSTANCE _406_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.129:0.129:0.130) (0.217:0.218:0.220)) - (IOPATH B Y (0.097:0.097:0.097) (0.104:0.104:0.104)) - (IOPATH C Y (0.107:0.107:0.107) (0.105:0.105:0.105)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _407_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.193:0.193:0.193) (0.183:0.187:0.190)) - (IOPATH B X (0.178:0.178:0.178) (0.199:0.199:0.200)) - (IOPATH C X (0.186:0.189:0.192) (0.223:0.225:0.226)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_2") - (INSTANCE _408_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.238:0.238:0.238) (0.314:0.314:0.314)) - (IOPATH A2 X (0.197:0.197:0.197) (0.272:0.272:0.272)) - (IOPATH B1 X (0.199:0.200:0.200) (0.262:0.263:0.264)) - (IOPATH B2 X (0.224:0.224:0.224) (0.251:0.251:0.251)) - (IOPATH C1 X (0.194:0.194:0.195) (0.143:0.143:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _409_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.226:0.228:0.229) (0.285:0.289:0.293)) - (IOPATH A2 X (0.239:0.239:0.239) (0.306:0.307:0.308)) - (IOPATH A3 X (0.242:0.242:0.242) (0.300:0.303:0.307)) - (IOPATH B1 X (0.189:0.189:0.189) (0.255:0.255:0.255)) - (IOPATH B2 X (0.225:0.225:0.225) (0.292:0.292:0.292)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _410_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.236:0.236:0.236) (0.263:0.263:0.263)) - (IOPATH A2 X (0.188:0.188:0.188) (0.250:0.250:0.250)) - (IOPATH B1 X (0.152:0.152:0.152) (0.232:0.232:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _411_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.213:0.213:0.213) (0.281:0.281:0.281)) - (IOPATH A2 X (0.191:0.191:0.191) (0.286:0.286:0.286)) - (IOPATH B1 X (0.201:0.201:0.202) (0.256:0.257:0.257)) - (IOPATH B2 X (0.189:0.189:0.190) (0.275:0.275:0.275)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _412_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.188:0.188:0.188) (0.253:0.253:0.253)) - (IOPATH A2 X (0.139:0.140:0.141) (0.225:0.227:0.229)) - (IOPATH B1 X (0.157:0.158:0.159) (0.216:0.218:0.220)) - (IOPATH B2 X (0.142:0.143:0.143) (0.196:0.197:0.198)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _413_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.179:0.180:0.181) (0.261:0.265:0.268)) - (IOPATH A2 X (0.179:0.179:0.180) (0.253:0.253:0.254)) - (IOPATH B1 X (0.168:0.168:0.169) (0.129:0.132:0.136)) - (IOPATH C1 X (0.154:0.154:0.155) (0.110:0.110:0.111)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_2") - (INSTANCE _414_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.224:0.224:0.224) (0.271:0.271:0.271)) - (IOPATH A2 X (0.179:0.179:0.179) (0.230:0.232:0.233)) - (IOPATH B1 X (0.191:0.192:0.193) (0.152:0.157:0.162)) - (IOPATH C1 X (0.192:0.192:0.193) (0.154:0.154:0.154)) - (IOPATH D1 X (0.167:0.167:0.167) (0.111:0.114:0.118)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _415_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.151:0.151:0.151) (0.083:0.083:0.083)) - (IOPATH A2 Y (0.136:0.136:0.136) (0.074:0.074:0.074)) - (IOPATH B1 Y (0.075:0.075:0.075) (0.074:0.074:0.074)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _416_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.079:0.079:0.079) (0.073:0.073:0.073)) - (IOPATH B Y (0.065:0.066:0.067) (0.053:0.059:0.065)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _417_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.230:0.230:0.230) (0.270:0.274:0.277)) - (IOPATH A2 X (0.197:0.197:0.197) (0.276:0.279:0.283)) - (IOPATH A3 X (0.202:0.202:0.202) (0.277:0.283:0.289)) - (IOPATH B1 X (0.159:0.159:0.159) (0.236:0.236:0.236)) - (IOPATH B2 X (0.189:0.189:0.189) (0.270:0.270:0.270)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _418_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.185:0.185:0.185) (0.369:0.369:0.369)) - (IOPATH A2 X (0.173:0.173:0.173) (0.347:0.347:0.347)) - (IOPATH A3 X (0.138:0.139:0.139) (0.303:0.304:0.305)) - (IOPATH B1 X (0.155:0.155:0.155) (0.122:0.123:0.124)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _419_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.201:0.201:0.201) (0.239:0.239:0.239)) - (IOPATH A2 X (0.153:0.153:0.153) (0.225:0.225:0.225)) - (IOPATH B1 X (0.114:0.114:0.115) (0.203:0.207:0.212)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_2") - (INSTANCE _420_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.075:0.075:0.075) (0.081:0.081:0.081)) - (IOPATH B Y (0.090:0.090:0.090) (0.096:0.096:0.096)) - (IOPATH C Y (0.081:0.082:0.082) (0.082:0.082:0.082)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _421_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.197:0.197:0.197) (0.262:0.263:0.264)) - (IOPATH A2 X (0.205:0.205:0.206) (0.284:0.287:0.291)) - (IOPATH A3 X (0.205:0.206:0.207) (0.287:0.291:0.295)) - (IOPATH B1 X (0.158:0.158:0.158) (0.237:0.237:0.237)) - (IOPATH B2 X (0.193:0.193:0.193) (0.273:0.273:0.273)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _422_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.202:0.202:0.202) (0.239:0.239:0.239)) - (IOPATH A2 X (0.144:0.144:0.144) (0.219:0.219:0.219)) - (IOPATH B1 X (0.142:0.142:0.142) (0.207:0.210:0.213)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _423_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.201:0.201:0.201) (0.272:0.272:0.272)) - (IOPATH A2 X (0.171:0.171:0.171) (0.272:0.272:0.272)) - (IOPATH B1 X (0.176:0.177:0.177) (0.235:0.235:0.236)) - (IOPATH B2 X (0.206:0.206:0.206) (0.272:0.272:0.272)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _424_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.208:0.208:0.208) (0.278:0.278:0.278)) - (IOPATH A2 X (0.182:0.182:0.182) (0.280:0.280:0.280)) - (IOPATH B1 X (0.177:0.177:0.177) (0.243:0.243:0.244)) - (IOPATH B2 X (0.170:0.173:0.177) (0.265:0.266:0.267)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _425_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.117:0.117:0.117) (0.096:0.096:0.096)) - (IOPATH B Y (0.124:0.124:0.124) (0.101:0.101:0.101)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _426_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.268:0.269:0.269) (0.216:0.216:0.216)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _427_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.139:0.139:0.139) (0.076:0.076:0.076)) - (IOPATH B Y (0.108:0.108:0.108) (0.057:0.057:0.057)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _428_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.148:0.148:0.148) (0.081:0.081:0.081)) - (IOPATH B Y (0.117:0.117:0.117) (0.062:0.062:0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _429_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.265:0.265:0.265) (0.207:0.207:0.207)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _430_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.124:0.124:0.124) (0.067:0.067:0.067)) - (IOPATH B Y (0.105:0.105:0.105) (0.055:0.055:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _431_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.119:0.119:0.119) (0.064:0.064:0.064)) - (IOPATH B Y (0.100:0.100:0.100) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _432_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.123:0.123:0.123) (0.066:0.066:0.066)) - (IOPATH B Y (0.104:0.104:0.104) (0.054:0.054:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _433_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.120:0.120:0.120) (0.064:0.064:0.064)) - (IOPATH B Y (0.101:0.101:0.101) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _434_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.251:0.252:0.253) (0.207:0.207:0.207)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _435_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.120:0.120:0.120) (0.065:0.065:0.065)) - (IOPATH B Y (0.096:0.096:0.096) (0.051:0.051:0.051)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _436_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.121:0.121:0.121) (0.065:0.065:0.065)) - (IOPATH B Y (0.098:0.098:0.098) (0.051:0.051:0.051)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _437_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.125:0.125:0.125) (0.067:0.067:0.067)) - (IOPATH B Y (0.102:0.102:0.102) (0.054:0.054:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _438_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.259:0.259:0.259) (0.204:0.204:0.204)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _439_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.124:0.124:0.124) (0.066:0.066:0.066)) - (IOPATH B Y (0.101:0.101:0.101) (0.053:0.053:0.053)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _440_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.118:0.118:0.118) (0.063:0.063:0.063)) - (IOPATH B Y (0.095:0.095:0.095) (0.050:0.050:0.050)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _441_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.125:0.125:0.125) (0.066:0.066:0.066)) - (IOPATH B Y (0.102:0.102:0.102) (0.054:0.054:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _442_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.119:0.119:0.119) (0.064:0.064:0.064)) - (IOPATH B Y (0.096:0.096:0.096) (0.051:0.051:0.051)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _443_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.270:0.270:0.271) (0.216:0.216:0.216)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _444_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.122:0.122:0.122) (0.065:0.065:0.065)) - (IOPATH B Y (0.104:0.104:0.104) (0.054:0.054:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _445_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.127:0.127:0.127) (0.067:0.067:0.067)) - (IOPATH B Y (0.108:0.108:0.108) (0.057:0.057:0.057)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _446_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.124:0.124:0.124) (0.066:0.066:0.066)) - (IOPATH B Y (0.105:0.105:0.105) (0.055:0.055:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _447_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.298:0.298:0.298) (0.223:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _448_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.126:0.126:0.126) (0.069:0.069:0.069)) - (IOPATH B Y (0.101:0.101:0.101) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _449_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.148:0.148:0.148) (0.080:0.080:0.080)) - (IOPATH B Y (0.122:0.122:0.122) (0.066:0.066:0.066)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _450_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.130:0.130:0.130) (0.071:0.071:0.071)) - (IOPATH B Y (0.104:0.104:0.104) (0.055:0.055:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _451_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.130:0.130:0.130) (0.070:0.070:0.070)) - (IOPATH B Y (0.104:0.104:0.104) (0.054:0.054:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _452_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.127:0.127:0.127) (0.069:0.069:0.069)) - (IOPATH B Y (0.098:0.098:0.098) (0.047:0.047:0.047)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _453_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.139:0.139:0.139) (0.075:0.075:0.075)) - (IOPATH B Y (0.110:0.110:0.110) (0.052:0.052:0.053)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _454_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.129:0.129:0.129) (0.070:0.070:0.070)) - (IOPATH B Y (0.100:0.100:0.100) (0.047:0.048:0.048)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _455_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.370:0.370:0.370) (0.414:0.414:0.414)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.344:0.344:0.344)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.223:-0.223:-0.223)) - (HOLD (posedge D) (posedge CLK) (-0.027:-0.028:-0.029)) - (HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031)) - (SETUP (posedge D) (posedge CLK) (0.055:0.056:0.057)) - (SETUP (negedge D) (posedge CLK) (0.104:0.104:0.105)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _456_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.370:0.370:0.370) (0.414:0.414:0.414)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.347:0.347:0.347)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.220:-0.220:-0.220)) - (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.023:-0.023:-0.023)) - (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052)) - (SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _457_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.363:0.363:0.363) (0.409:0.409:0.409)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.343:0.343:0.343)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.225:-0.224:-0.224)) - (HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026)) - (HOLD (negedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054)) - (SETUP (negedge D) (posedge CLK) (0.097:0.097:0.097)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _458_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.369:0.369:0.369) (0.413:0.413:0.413)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.341:0.341:0.342)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226:-0.226:-0.226)) - (HOLD (posedge D) (posedge CLK) (-0.027:-0.028:-0.029)) - (HOLD (negedge D) (posedge CLK) (-0.029:-0.034:-0.038)) - (SETUP (posedge D) (posedge CLK) (0.055:0.056:0.057)) - (SETUP (negedge D) (posedge CLK) (0.102:0.107:0.112)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _459_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.467:0.467:0.467) (0.482:0.482:0.482)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.342:0.343:0.343)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.225:-0.225:-0.224)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.036:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.026:-0.028:-0.030)) - (SETUP (posedge D) (posedge CLK) (0.064:0.065:0.066)) - (SETUP (negedge D) (posedge CLK) (0.098:0.101:0.103)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _460_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.432:0.432:0.432) (0.459:0.459:0.459)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.341:0.342:0.342)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226:-0.226:-0.225)) - (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.022:-0.022:-0.022)) - (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052)) - (SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _461_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.443:0.443:0.443) (0.468:0.468:0.468)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.341:0.342:0.342)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226:-0.226:-0.225)) - (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027)) - (HOLD (negedge D) (posedge CLK) (-0.022:-0.025:-0.028)) - (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055)) - (SETUP (negedge D) (posedge CLK) (0.094:0.098:0.101)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _462_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.452:0.452:0.452) (0.473:0.473:0.473)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.342:0.342:0.342)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226:-0.225:-0.225)) - (HOLD (posedge D) (posedge CLK) (-0.024:-0.025:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.022:-0.023:-0.025)) - (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.053)) - (SETUP (negedge D) (posedge CLK) (0.094:0.096:0.098)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _463_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.417:0.417:0.417) (0.449:0.449:0.449)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.343:0.343:0.343)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224:-0.224:-0.224)) - (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.022:-0.024:-0.026)) - (SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053)) - (SETUP (negedge D) (posedge CLK) (0.095:0.097:0.099)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _464_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.386:0.386:0.386) (0.427:0.427:0.427)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.343:0.343:0.343)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224:-0.224:-0.224)) - (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.022:-0.022:-0.022)) - (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052)) - (SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _465_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.442:0.442:0.442) (0.465:0.465:0.465)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.341:0.341:0.341)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226:-0.226:-0.226)) - (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.028)) - (HOLD (negedge D) (posedge CLK) (-0.026:-0.029:-0.031)) - (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.056)) - (SETUP (negedge D) (posedge CLK) (0.099:0.102:0.105)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _466_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.386:0.386:0.386) (0.427:0.427:0.427)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.343:0.343:0.344)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224:-0.224:-0.224)) - (HOLD (posedge D) (posedge CLK) (-0.027:-0.035:-0.042)) - (HOLD (negedge D) (posedge CLK) (-0.027:-0.032:-0.036)) - (SETUP (posedge D) (posedge CLK) (0.055:0.063:0.072)) - (SETUP (negedge D) (posedge CLK) (0.100:0.105:0.110)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _467_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.416:0.416:0.416) (0.449:0.449:0.449)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.341:0.341:0.342)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226:-0.226:-0.225)) - (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.023:-0.023:-0.023)) - (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052)) - (SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _468_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.435:0.435:0.435) (0.461:0.461:0.461)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.342:0.343:0.343)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.225:-0.225:-0.224)) - (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.022:-0.022:-0.022)) - (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052)) - (SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _469_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.480:0.480:0.480) (0.492:0.492:0.492)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.344:0.344:0.344)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.223:-0.223:-0.223)) - (HOLD (posedge D) (posedge CLK) (-0.025:-0.026:-0.026)) - (HOLD (negedge D) (posedge CLK) (-0.027:-0.027:-0.027)) - (SETUP (posedge D) (posedge CLK) (0.053:0.053:0.054)) - (SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _470_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.350:0.350:0.350) (0.398:0.398:0.398)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.343:0.343:0.344)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224:-0.224:-0.224)) - (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.017:-0.017:-0.017)) - (SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051)) - (SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _471_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.402:0.402:0.402) (0.440:0.440:0.440)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.341:0.342:0.342)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226:-0.226:-0.225)) - (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027)) - (HOLD (negedge D) (posedge CLK) (-0.028:-0.028:-0.028)) - (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055)) - (SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _472_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.386:0.386:0.386) (0.427:0.427:0.427)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.349:0.349:0.349)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.218:-0.218:-0.218)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _473_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.409:0.409:0.409) (0.444:0.444:0.444)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.342:0.343:0.343)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.225:-0.224:-0.224)) - (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053)) - (SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _474_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.395:0.395:0.395) (0.433:0.433:0.433)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.342:0.343:0.343)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.225:-0.224:-0.224)) - (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.022:-0.022:-0.022)) - (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052)) - (SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _475_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.408:0.408:0.408) (0.443:0.443:0.443)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.341:0.342:0.342)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226:-0.225:-0.225)) - (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.022:-0.022:-0.022)) - (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052)) - (SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _476_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.405:0.405:0.405) (0.441:0.441:0.441)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.345:0.345:0.346)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.221:-0.221:-0.221)) - (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.022:-0.022:-0.022)) - (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052)) - (SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _477_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.410:0.410:0.410) (0.444:0.444:0.444)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.342:0.342:0.342)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.225:-0.225:-0.225)) - (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.022:-0.022:-0.022)) - (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052)) - (SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clockp_buffer_0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.155:0.155:0.155) (0.176:0.176:0.176)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clockp_buffer_1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.135:0.135:0.135) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.179:0.179:0.179) (0.153:0.153:0.153)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.134:0.134:0.134) (0.129:0.129:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.000:0.000:0.000)) - (IOPATH TE Z (0.100:0.112:0.124) (0.023:0.022:0.020)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.019:0.019:0.019) (0.014:0.014:0.014)) - (IOPATH TE Z (0.091:0.092:0.092) (0.022:0.022:0.022)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.035:0.035:0.035) (0.005:0.005:0.005)) - (IOPATH TE_B Z (0.057:0.059:0.060) (0.119:0.122:0.124)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007)) - (IOPATH TE_B Z (0.048:0.049:0.049) (0.087:0.088:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.035:0.035:0.035) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.176:0.176:0.176) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.128:0.128:0.128) (0.125:0.125:0.125)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.024:0.024:0.024) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.017:0.017:0.017) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.035:0.035) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.057:0.062:0.067) (0.120:0.126:0.132)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007)) - (IOPATH TE_B Z (0.045:0.046:0.046) (0.084:0.084:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.035:0.035:0.035) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.173:0.173:0.173) (0.148:0.148:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.132:0.132:0.132) (0.126:0.126:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.020:0.020:0.020) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.018:0.018:0.018) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.034:0.034) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.063:0.063:0.064) (0.127:0.128:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.033:0.033:0.033) (0.007:0.007:0.007)) - (IOPATH TE_B Z (0.049:0.049:0.049) (0.088:0.088:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.032:0.032:0.032) (0.047:0.047:0.047)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.178:0.178:0.178) (0.152:0.152:0.152)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.133:0.133:0.133) (0.129:0.129:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.020:0.020:0.020) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.019:0.019:0.019) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.052:0.053:0.053) (0.114:0.114:0.114)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007)) - (IOPATH TE_B Z (0.051:0.052:0.052) (0.091:0.092:0.092)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.031:0.031:0.031) (0.046:0.046:0.046)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.215:0.215:0.215) (0.180:0.180:0.180)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.140:0.140:0.140) (0.142:0.142:0.142)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.024:0.024:0.024) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.018:0.018:0.018) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.040:0.040:0.040) (0.001:0.001:0.001)) - (IOPATH TE_B Z (0.059:0.059:0.060) (0.122:0.123:0.123)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.038:0.038:0.038) (0.003:0.003:0.003)) - (IOPATH TE_B Z (0.048:0.048:0.048) (0.087:0.087:0.087)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.035:0.035:0.035) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.196:0.196:0.196) (0.165:0.165:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.135:0.135:0.135) (0.135:0.135:0.135)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.027:0.027:0.027) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.018:0.018:0.018) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.038:0.038:0.038) (0.003:0.003:0.003)) - (IOPATH TE_B Z (0.053:0.053:0.054) (0.114:0.115:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.036:0.036:0.036) (0.005:0.005:0.005)) - (IOPATH TE_B Z (0.047:0.047:0.048) (0.086:0.086:0.087)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.044:0.044:0.044) (0.065:0.065:0.065)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.182:0.182:0.182) (0.155:0.155:0.155)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.136:0.136:0.136) (0.132:0.132:0.132)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.019:0.019:0.019) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.019:0.019:0.019) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.036:0.036:0.036) (0.005:0.005:0.005)) - (IOPATH TE_B Z (0.052:0.053:0.053) (0.114:0.114:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.053:0.054:0.054) (0.094:0.095:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.031:0.031:0.031) (0.046:0.046:0.046)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.175:0.175:0.175) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.130:0.130:0.130) (0.126:0.126:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.022:0.022:0.022) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.018:0.018:0.018) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.034:0.034) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.052:0.053:0.053) (0.114:0.114:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007)) - (IOPATH TE_B Z (0.052:0.053:0.053) (0.093:0.093:0.094)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.033:0.033:0.033) (0.049:0.049:0.049)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.188:0.188:0.188) (0.159:0.159:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.152:0.152:0.152) (0.143:0.143:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.021:0.021:0.021) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.024:0.024:0.024) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.037:0.037:0.037) (0.004:0.004:0.004)) - (IOPATH TE_B Z (0.053:0.053:0.053) (0.114:0.115:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.051:0.051:0.051) (0.091:0.091:0.091)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.032:0.032:0.032) (0.048:0.048:0.048)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.187:0.187:0.187) (0.159:0.159:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.127:0.127:0.127) (0.128:0.128:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.021:0.021:0.021) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.016:0.016:0.016) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.037:0.037:0.037) (0.004:0.004:0.004)) - (IOPATH TE_B Z (0.053:0.054:0.054) (0.115:0.115:0.116)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.047:0.048:0.048) (0.086:0.086:0.087)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.032:0.032:0.032) (0.048:0.048:0.048)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.177:0.177:0.177) (0.151:0.151:0.151)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.125:0.125:0.125) (0.124:0.124:0.124)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.019:0.019:0.019) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.016:0.016:0.016) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.058:0.058:0.059) (0.121:0.121:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007)) - (IOPATH TE_B Z (0.045:0.046:0.046) (0.083:0.084:0.084)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.030:0.030:0.030) (0.045:0.045:0.045)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.178:0.178:0.178) (0.152:0.152:0.152)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.131:0.131:0.131) (0.128:0.128:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.018:0.018:0.018) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.059:0.059:0.059) (0.122:0.122:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007)) - (IOPATH TE_B Z (0.048:0.048:0.049) (0.087:0.087:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.035:0.035:0.035) (0.051:0.051:0.051)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE ringosc\.ibufp00) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.065:0.065:0.065) (0.070:0.070:0.070)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_8") - (INSTANCE ringosc\.ibufp01) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.104:0.104:0.104) (0.097:0.097:0.097)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE ringosc\.ibufp10) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.065:0.065:0.065) (0.070:0.070:0.070)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_8") - (INSTANCE ringosc\.ibufp11) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.051:0.051:0.051) (0.044:0.044:0.044)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_2") - (INSTANCE ringosc\.iss\.ctrlen0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.186:0.186:0.186) (0.340:0.340:0.340)) - (IOPATH B X (0.147:0.148:0.148) (0.307:0.307:0.307)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.iss\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.085:0.085:0.085) (0.079:0.079:0.079)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.iss\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.019:0.019:0.019) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.iss\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.017:0.017:0.017) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.iss\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (-0.001:-0.001:-0.001) (0.005:0.005:0.005)) - (IOPATH TE_B Z (0.058:0.058:0.058) (0.121:0.121:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.iss\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.000:0.000:0.000) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.050:0.050:0.050) (0.089:0.089:0.090)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.iss\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.031:0.031:0.031) (0.046:0.046:0.046)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_1") - (INSTANCE ringosc\.iss\.reseten0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.000:0.000:0.000)) - (IOPATH TE Z (0.103:0.103:0.103) (0.025:0.025:0.025)) - ) - ) - ) -) diff --git a/sdf/gpio_control_block.sdf b/sdf/gpio_control_block.sdf deleted file mode 100644 index b1614a00..00000000 --- a/sdf/gpio_control_block.sdf +++ /dev/null @@ -1,1856 +0,0 @@ -(DELAYFILE - (SDFVERSION "3.0") - (DESIGN "gpio_control_block") - (DATE "Tue Oct 18 20:51:30 2022") - (VENDOR "Parallax") - (PROGRAM "STA") - (VERSION "2.3.1") - (DIVIDER .) - (VOLTAGE 1.800::1.800) - (PROCESS "1.000::1.000") - (TEMPERATURE 25.000::25.000) - (TIMESCALE 1ns) - (CELL - (CELLTYPE "gpio_control_block") - (INSTANCE) - (DELAY - (ABSOLUTE - (INTERCONNECT gpio_defaults[0] _068_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT gpio_defaults[0] _069_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[0] ANTENNA__069__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[0] ANTENNA__068__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[10] _082_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[10] _083_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[10] ANTENNA__083__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[10] ANTENNA__082__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[11] _084_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[11] _085_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[11] ANTENNA__085__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[11] ANTENNA__084__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[12] _086_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[12] _087_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[12] ANTENNA__087__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[12] ANTENNA__086__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[1] _080_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[1] _081_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[1] ANTENNA__081__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[1] ANTENNA__080__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[2] _070_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT gpio_defaults[2] _071_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT gpio_defaults[2] ANTENNA__071__B.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT gpio_defaults[2] ANTENNA__070__B.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT gpio_defaults[3] _076_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[3] _077_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[3] ANTENNA__077__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[3] ANTENNA__076__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[4] _078_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[4] _079_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[4] ANTENNA__079__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[4] ANTENNA__078__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[5] _088_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[5] _089_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[5] ANTENNA__089__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[5] ANTENNA__088__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[6] _090_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT gpio_defaults[6] _091_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[6] ANTENNA__091__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[6] ANTENNA__090__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[7] _092_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[7] _093_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[7] ANTENNA__093__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[7] ANTENNA__092__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[8] _072_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT gpio_defaults[8] _073_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[8] ANTENNA__073__B.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT gpio_defaults[8] ANTENNA__072__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[9] _074_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[9] _075_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[9] ANTENNA__075__B.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT gpio_defaults[9] ANTENNA__074__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mgmt_gpio_oeb input1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_oeb ANTENNA_input1_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_out input2.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_out ANTENNA_input2_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT pad_gpio_in input3.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT pad_gpio_in ANTENNA_input3_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT resetn input4.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT resetn ANTENNA_input4_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT serial_clock clkbuf_0_serial_clock.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT serial_clock ANTENNA_clkbuf_0_serial_clock_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT serial_data_in input5.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT serial_data_in ANTENNA_input5_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT serial_load clkbuf_0_serial_load.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT serial_load ANTENNA_clkbuf_0_serial_load_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT user_gpio_oeb _061_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_gpio_oeb ANTENNA__061__A0.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_gpio_out _062_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT user_gpio_out ANTENNA__062__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _058__1.Y _106_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _059__14.Y _132_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _060_.X _061_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _061_.X output17.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _062_.Y _066_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _063_.X _064_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _063_.X _065_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _064_.X _066_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _065_.Y _066_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _066_.Y output16.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _067_.X output22.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _068_.X _106_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _069_.Y _106_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _070_.X _107_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _071_.Y _107_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _072_.X _108_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _073_.Y _108_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _074_.X _109_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _075_.Y _109_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _076_.X _110_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _077_.Y _110_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _078_.X _111_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _079_.Y _111_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _080_.X _112_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _081_.Y _112_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _082_.X _113_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _083_.Y _113_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _084_.X _114_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _085_.Y _114_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _086_.X _115_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _087_.Y _115_.SET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _088_.X _116_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _089_.Y _116_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _090_.X _117_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _091_.Y _117_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _092_.X _118_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _093_.Y _118_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _094__2.Y _107_.CLK_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _095__3.Y _108_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _096__4.Y _109_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _097__5.Y _110_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _098__6.Y _111_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _099__7.Y _112_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _100__8.Y _113_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _101__9.Y _114_.CLK_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _102__10.Y _115_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _103__11.Y _116_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _104__12.Y _117_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _105__13.Y _118_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _106_.Q _061_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _106_.Q _062_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _106_.Q _065_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _107_.Q output13.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _108_.Q output18.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _109_.Q output19.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _110_.Q output15.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _111_.Q output14.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _112_.Q _060_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _113_.Q output10.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _113_.Q_N _064_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _114_.Q _063_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _114_.Q output11.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _115_.Q _063_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _115_.Q output12.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _116_.Q output7.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _117_.Q output9.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _118_.Q output8.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _119_.Q hold1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _120_.Q hold6.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _121_.Q hold5.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _122_.Q hold7.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _123_.Q hold8.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _124_.Q hold13.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _125_.Q hold12.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _126_.Q hold11.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _127_.Q hold10.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _128_.Q hold2.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _129_.Q hold9.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _130_.Q hold4.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _131_.Q _132_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _131_.Q hold3.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _132_.Q output21.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _133_.X output6.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _134_.X output20.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_serial_clock.X clkbuf_1_0__f_serial_clock.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_serial_clock.X clkbuf_1_1__f_serial_clock.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_serial_load.X clkbuf_1_0__f_serial_load.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_serial_load.X clkbuf_1_1__f_serial_load.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_serial_clock.X _059__14.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_serial_clock.X _130_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_serial_clock.X _127_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_serial_clock.X _126_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_serial_clock.X _125_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_serial_clock.X _124_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_serial_clock.X _122_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_serial_clock.X _121_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_serial_clock.X _120_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0__f_serial_load.X _105__13.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_serial_load.X _104__12.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_serial_load.X _103__11.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_serial_load.X _100__8.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_serial_load.X _098__6.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_serial_load.X _095__3.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_serial_clock.X serial_clock_out_buffer.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_serial_clock.X _119_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_serial_clock.X _123_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_serial_clock.X _128_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_serial_clock.X _129_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_serial_clock.X _131_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_serial_load.X serial_load_out_buffer.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_serial_load.X _058__1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_serial_load.X _094__2.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_serial_load.X _096__4.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_serial_load.X _097__5.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_serial_load.X _099__7.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_serial_load.X _101__9.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_serial_load.X _102__10.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT const_source.HI one_buffer.A (0.000:0.000:0.000)) - (INTERCONNECT const_source.LO zero_buffer.A (0.000:0.000:0.000)) - (INTERCONNECT fanout23.X _088_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout23.X _089_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout23.X _091_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout23.X _125_.RESET_B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT fanout23.X _126_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout23.X _078_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout23.X _079_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout24.X fanout23.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout24.X _124_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout24.X _123_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout24.X _090_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout24.X _092_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT fanout24.X _093_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout24.X _127_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout25.X _071_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout25.X _077_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout25.X _120_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout25.X _121_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout25.X _122_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout25.X _070_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout25.X _076_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout26.X _081_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout26.X fanout25.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout26.X _080_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout26.X _119_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout27.X _073_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _083_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout27.X _128_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _129_.RESET_B (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT fanout27.X _072_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout27.X _074_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout27.X _075_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout28.X _068_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout28.X _069_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout28.X _085_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout28.X _130_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout28.X _131_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout28.X _084_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout28.X _086_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout29.X _087_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout29.X _132_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout29.X _134_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout30.X fanout27.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout30.X _082_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout30.X fanout29.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout30.X fanout28.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout30.X ANTENNA_fanout28_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout30.X ANTENNA_fanout29_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout30.X ANTENNA__082__A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout30.X ANTENNA_fanout27_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout31.X fanout26.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout31.X fanout24.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout31.X fanout30.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT gpio_logic_high.gpio_logic1 _067_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1.X _106_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1.X _120_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold10.X _108_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold10.X _128_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold11.X _118_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold11.X _127_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold12.X _117_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold12.X _126_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold13.X _116_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold13.X _125_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold2.X _109_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold2.X _129_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold3.X _115_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold4.X _114_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold4.X _131_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold5.X _107_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold5.X _122_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold6.X _112_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold6.X _121_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold7.X _110_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold7.X _123_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold8.X _111_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold8.X _124_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold9.X _113_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold9.X _130_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input1.X _060_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input1.X _063_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input2.X _065_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input3.X _067_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input3.X _133_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input4.X fanout31.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input5.X _119_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT one_buffer.X one (0.000:0.000:0.000)) - (INTERCONNECT output10.X pad_gpio_dm[0] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output11.X pad_gpio_dm[1] (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT output12.X pad_gpio_dm[2] (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT output13.X pad_gpio_holdover (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT output14.X pad_gpio_ib_mode_sel (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT output15.X pad_gpio_inenb (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT output16.X pad_gpio_out (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT output17.X pad_gpio_outenb (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT output18.X pad_gpio_slow_sel (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT output19.X pad_gpio_vtrip_sel (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT output20.X resetn_out (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT output21.X serial_data_out (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT output22.X user_gpio_in (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT output6.X mgmt_gpio_in (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT output7.X pad_gpio_ana_en (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT output8.X pad_gpio_ana_pol (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT output9.X pad_gpio_ana_sel (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT serial_clock_out_buffer.X serial_clock_out (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT serial_load_out_buffer.X serial_load_out (0.033:0.033:0.033) (0.032:0.032:0.032)) - (INTERCONNECT zero_buffer.X zero (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _058__1) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.066:0.066:0.066) (0.048:0.048:0.048)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _059__14) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.064:0.064:0.064) (0.045:0.045:0.045)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_0") - (INSTANCE _060_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.117:0.117:0.117) (0.126:0.126:0.126)) - (IOPATH B X (0.146:0.146:0.146) (0.199:0.199:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_4") - (INSTANCE _061_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.648:0.648:0.648) (1.842:1.842:1.842)) - (IOPATH A1 X (0.170:0.170:0.170) (0.281:0.281:0.282)) - (IOPATH S X (0.227:0.227:0.227) (0.331:0.331:0.331)) - (IOPATH S X (0.189:0.189:0.189) (0.325:0.325:0.325)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _062_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.155:0.155:0.155) (0.204:0.204:0.204)) - (IOPATH B Y (1.278:1.278:1.278) (0.284:0.284:0.284)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_2") - (INSTANCE _063_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.275:0.275:0.275) (0.249:0.249:0.249)) - (IOPATH B X (0.235:0.235:0.235) (0.232:0.232:0.232)) - (IOPATH C X (0.242:0.242:0.242) (0.288:0.288:0.288)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_2") - (INSTANCE _064_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.236:0.236:0.236) (0.230:0.230:0.230)) - (IOPATH B X (0.177:0.177:0.177) (0.217:0.218:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _065_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.252:0.252:0.252) (0.110:0.110:0.110)) - (IOPATH A2 Y (0.185:0.186:0.186) (0.082:0.082:0.082)) - (IOPATH B1 Y (0.092:0.092:0.092) (0.085:0.085:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_4") - (INSTANCE _066_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.186:0.186:0.186) (0.074:0.074:0.074)) - (IOPATH A2 Y (0.165:0.168:0.170) (0.071:0.078:0.086)) - (IOPATH B1 Y (0.086:0.167:0.248) (0.072:0.109:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _067_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.205:0.205:0.205) (0.206:0.206:0.206)) - (IOPATH B X (0.253:0.253:0.253) (0.293:0.293:0.293)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_0") - (INSTANCE _068_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.148:0.148:0.148) (0.238:0.238:0.238)) - (IOPATH B X (0.306:0.306:0.306) (1.443:1.443:1.443)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _069_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.169:0.169:0.169) (0.207:0.207:0.207)) - (IOPATH B Y (1.137:1.137:1.137) (0.156:0.156:0.156)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_0") - (INSTANCE _070_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.154:0.154:0.154) (0.244:0.244:0.244)) - (IOPATH B X (0.316:0.316:0.316) (1.459:1.459:1.459)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _071_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.153:0.153:0.153) (0.194:0.194:0.194)) - (IOPATH B Y (1.042:1.042:1.042) (0.065:0.065:0.065)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_0") - (INSTANCE _072_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.194:0.194:0.194) (0.271:0.271:0.271)) - (IOPATH B X (0.362:0.362:0.362) (1.517:1.517:1.517)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _073_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.150:0.150:0.150) (0.193:0.193:0.193)) - (IOPATH B Y (1.058:1.058:1.058) (0.080:0.080:0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_0") - (INSTANCE _074_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.164:0.164:0.164) (0.251:0.251:0.251)) - (IOPATH B X (0.332:0.332:0.332) (1.481:1.481:1.481)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _075_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.147:0.147:0.147) (0.190:0.190:0.190)) - (IOPATH B Y (1.030:1.030:1.030) (0.053:0.053:0.053)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_0") - (INSTANCE _076_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.184:0.184:0.184) (0.266:0.266:0.266)) - (IOPATH B X (0.350:0.350:0.350) (1.506:1.506:1.506)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _077_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.165:0.165:0.165) (0.206:0.206:0.206)) - (IOPATH B Y (1.141:1.141:1.141) (0.160:0.160:0.160)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_0") - (INSTANCE _078_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.164:0.164:0.164) (0.251:0.251:0.251)) - (IOPATH B X (0.332:0.332:0.332) (1.481:1.481:1.481)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _079_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.162:0.162:0.162) (0.204:0.204:0.204)) - (IOPATH B Y (1.162:1.162:1.162) (0.180:0.180:0.180)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_0") - (INSTANCE _080_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.170:0.170:0.170) (0.255:0.255:0.255)) - (IOPATH B X (0.346:0.346:0.346) (1.501:1.501:1.501)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _081_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.148:0.148:0.148) (0.193:0.193:0.193)) - (IOPATH B Y (1.106:1.106:1.106) (0.126:0.126:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_0") - (INSTANCE _082_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.132:0.132:0.132) (0.225:0.225:0.225)) - (IOPATH B X (0.294:0.294:0.294) (1.426:1.426:1.426)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _083_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.144:0.144:0.144) (0.186:0.186:0.186)) - (IOPATH B Y (1.002:1.002:1.002) (0.025:0.025:0.025)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_0") - (INSTANCE _084_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.182:0.182:0.182) (0.264:0.264:0.264)) - (IOPATH B X (0.345:0.345:0.345) (1.500:1.500:1.500)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _085_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.172:0.172:0.172) (0.210:0.210:0.210)) - (IOPATH B Y (1.159:1.159:1.159) (0.178:0.178:0.178)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_0") - (INSTANCE _086_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.201:0.201:0.201) (0.277:0.277:0.277)) - (IOPATH B X (0.363:0.363:0.363) (1.519:1.519:1.519)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _087_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.151:0.151:0.151) (0.199:0.199:0.199)) - (IOPATH B Y (1.205:1.205:1.205) (0.221:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_0") - (INSTANCE _088_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.162:0.162:0.162) (0.249:0.249:0.249)) - (IOPATH B X (0.330:0.330:0.330) (1.479:1.479:1.479)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _089_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.159:0.159:0.159) (0.201:0.201:0.201)) - (IOPATH B Y (1.140:1.140:1.140) (0.159:0.159:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_0") - (INSTANCE _090_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.142:0.142:0.142) (0.234:0.234:0.234)) - (IOPATH B X (0.307:0.307:0.307) (1.446:1.446:1.446)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _091_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.148:0.148:0.148) (0.191:0.191:0.191)) - (IOPATH B Y (1.046:1.046:1.046) (0.068:0.068:0.068)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_0") - (INSTANCE _092_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.131:0.131:0.131) (0.225:0.225:0.225)) - (IOPATH B X (0.293:0.293:0.293) (1.425:1.425:1.425)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _093_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.146:0.146:0.146) (0.189:0.189:0.189)) - (IOPATH B Y (1.029:1.029:1.029) (0.053:0.053:0.053)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _094__2) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.066:0.066:0.066) (0.047:0.047:0.047)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _095__3) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.057:0.057:0.057) (0.041:0.041:0.041)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _096__4) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.050:0.050:0.050) (0.036:0.036:0.036)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _097__5) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.066:0.066:0.066) (0.048:0.048:0.048)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _098__6) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.057:0.057:0.057) (0.041:0.041:0.041)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _099__7) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.056:0.056:0.056) (0.040:0.040:0.040)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _100__8) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.051:0.051:0.051) (0.037:0.037:0.037)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _101__9) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.070:0.070:0.070) (0.051:0.051:0.051)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _102__10) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.065:0.065:0.065) (0.047:0.047:0.047)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _103__11) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.053:0.053:0.053) (0.038:0.038:0.038)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _104__12) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.048:0.048:0.048) (0.035:0.035:0.035)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _105__13) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.048:0.048:0.048) (0.035:0.035:0.035)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") - (INSTANCE _106_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q_N (0.482:0.482:0.482) (0.383:0.383:0.383)) - (IOPATH CLK_N Q (0.569:0.569:0.569) (0.624:0.624:0.624)) - (IOPATH RESET_B Q_N (0.000:0.000:0.000)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - (IOPATH SET_B Q_N () (0.000:0.000:0.000)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.067:0.024:-0.019)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.061:-0.009:0.044)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.070:0.061:0.052)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.078:0.087:0.097)) - (HOLD (posedge D) (negedge CLK_N) (0.034:0.034:0.034)) - (HOLD (negedge D) (negedge CLK_N) (-0.151:-0.151:-0.151)) - (SETUP (posedge D) (negedge CLK_N) (0.097:0.097:0.097)) - (SETUP (negedge D) (negedge CLK_N) (0.171:0.171:0.171)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") - (INSTANCE _107_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q_N (0.482:0.482:0.482) (0.382:0.382:0.382)) - (IOPATH CLK_N Q (0.584:0.584:0.584) (0.632:0.632:0.632)) - (IOPATH RESET_B Q_N (0.000:0.000:0.000)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - (IOPATH SET_B Q_N () (0.000:0.000:0.000)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.070:0.026:-0.017)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.063:-0.013:0.037)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.067:0.059:0.052)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.082:0.089:0.097)) - (HOLD (posedge D) (negedge CLK_N) (0.029:0.029:0.029)) - (HOLD (negedge D) (negedge CLK_N) (-0.155:-0.155:-0.155)) - (SETUP (posedge D) (negedge CLK_N) (0.101:0.101:0.101)) - (SETUP (negedge D) (negedge CLK_N) (0.175:0.175:0.175)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") - (INSTANCE _108_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q_N (0.480:0.480:0.480) (0.380:0.380:0.380)) - (IOPATH CLK_N Q (0.572:0.572:0.572) (0.624:0.624:0.624)) - (IOPATH RESET_B Q_N (0.000:0.000:0.000)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - (IOPATH SET_B Q_N () (0.000:0.000:0.000)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.067:0.024:-0.020)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.060:-0.010:0.040)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.050:0.046:0.043)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.099:0.103:0.107)) - (HOLD (posedge D) (negedge CLK_N) (0.037:0.037:0.037)) - (HOLD (negedge D) (negedge CLK_N) (-0.147:-0.147:-0.147)) - (SETUP (posedge D) (negedge CLK_N) (0.094:0.094:0.094)) - (SETUP (negedge D) (negedge CLK_N) (0.167:0.167:0.167)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") - (INSTANCE _109_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q_N (0.478:0.478:0.478) (0.378:0.378:0.378)) - (IOPATH CLK_N Q (0.574:0.574:0.574) (0.625:0.625:0.625)) - (IOPATH RESET_B Q_N (0.000:0.000:0.000)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - (IOPATH SET_B Q_N () (0.000:0.000:0.000)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.067:0.023:-0.020)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.060:-0.011:0.039)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.059:0.053:0.047)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.090:0.096:0.102)) - (HOLD (posedge D) (negedge CLK_N) (0.031:0.031:0.031)) - (HOLD (negedge D) (negedge CLK_N) (-0.153:-0.153:-0.153)) - (SETUP (posedge D) (negedge CLK_N) (0.100:0.100:0.100)) - (SETUP (negedge D) (negedge CLK_N) (0.173:0.173:0.173)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") - (INSTANCE _110_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q_N (0.482:0.482:0.482) (0.383:0.383:0.383)) - (IOPATH CLK_N Q (0.585:0.585:0.585) (0.633:0.633:0.633)) - (IOPATH RESET_B Q_N (0.000:0.000:0.000)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - (IOPATH SET_B Q_N () (0.000:0.000:0.000)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.067:0.024:-0.019)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.061:-0.008:0.044)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.057:0.053:0.048)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.092:0.096:0.101)) - (HOLD (posedge D) (negedge CLK_N) (0.034:0.034:0.034)) - (HOLD (negedge D) (negedge CLK_N) (-0.151:-0.151:-0.151)) - (SETUP (posedge D) (negedge CLK_N) (0.097:0.097:0.097)) - (SETUP (negedge D) (negedge CLK_N) (0.171:0.171:0.171)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") - (INSTANCE _111_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q_N (0.480:0.480:0.480) (0.380:0.380:0.380)) - (IOPATH CLK_N Q (0.571:0.571:0.571) (0.623:0.623:0.623)) - (IOPATH RESET_B Q_N (0.000:0.000:0.000)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - (IOPATH SET_B Q_N () (0.000:0.000:0.000)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.064:0.021:-0.022)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.058:-0.005:0.048)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.060:0.054:0.048)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.089:0.095:0.101)) - (HOLD (posedge D) (negedge CLK_N) (0.037:0.037:0.037)) - (HOLD (negedge D) (negedge CLK_N) (-0.147:-0.147:-0.147)) - (SETUP (posedge D) (negedge CLK_N) (0.093:0.093:0.093)) - (SETUP (negedge D) (negedge CLK_N) (0.167:0.167:0.167)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") - (INSTANCE _112_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q_N (0.480:0.480:0.480) (0.380:0.380:0.380)) - (IOPATH CLK_N Q (0.534:0.534:0.534) (0.602:0.602:0.602)) - (IOPATH RESET_B Q_N (0.000:0.000:0.000)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - (IOPATH SET_B Q_N () (0.000:0.000:0.000)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.066:0.023:-0.021)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.059:-0.008:0.044)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.056:0.052:0.047)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.093:0.098:0.102)) - (HOLD (posedge D) (negedge CLK_N) (0.029:0.029:0.029)) - (HOLD (negedge D) (negedge CLK_N) (-0.154:-0.154:-0.154)) - (SETUP (posedge D) (negedge CLK_N) (0.101:0.101:0.101)) - (SETUP (negedge D) (negedge CLK_N) (0.174:0.174:0.174)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") - (INSTANCE _113_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q_N (0.501:0.501:0.501) (0.401:0.401:0.401)) - (IOPATH CLK_N Q (0.577:0.577:0.577) (0.626:0.626:0.626)) - (IOPATH RESET_B Q_N (0.000:0.000:0.000)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - (IOPATH SET_B Q_N () (0.000:0.000:0.000)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.067:0.024:-0.020)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.061:-0.012:0.037)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.070:0.060:0.049)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.079:0.090:0.100)) - (HOLD (posedge D) (negedge CLK_N) (0.025:0.025:0.025)) - (HOLD (negedge D) (negedge CLK_N) (-0.158:-0.158:-0.158)) - (SETUP (posedge D) (negedge CLK_N) (0.106:0.106:0.106)) - (SETUP (negedge D) (negedge CLK_N) (0.178:0.178:0.178)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") - (INSTANCE _114_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q_N (0.483:0.483:0.483) (0.384:0.384:0.384)) - (IOPATH CLK_N Q (0.596:0.596:0.596) (0.639:0.639:0.639)) - (IOPATH RESET_B Q_N (0.000:0.000:0.000)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - (IOPATH SET_B Q_N () (0.000:0.000:0.000)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.068:0.025:-0.018)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.061:-0.008:0.044)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.060:0.055:0.051)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.089:0.094:0.098)) - (HOLD (posedge D) (negedge CLK_N) (0.043:0.043:0.043)) - (HOLD (negedge D) (negedge CLK_N) (-0.143:-0.143:-0.143)) - (SETUP (posedge D) (negedge CLK_N) (0.088:0.088:0.088)) - (SETUP (negedge D) (negedge CLK_N) (0.162:0.162:0.162)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") - (INSTANCE _115_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q_N (0.482:0.482:0.482) (0.382:0.382:0.382)) - (IOPATH CLK_N Q (0.591:0.591:0.591) (0.636:0.636:0.636)) - (IOPATH RESET_B Q_N (0.000:0.000:0.000)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - (IOPATH SET_B Q_N () (0.000:0.000:0.000)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.065:0.022:-0.021)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.059:-0.005:0.049)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.052:0.048:0.045)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.097:0.101:0.105)) - (HOLD (posedge D) (negedge CLK_N) (0.046:0.046:0.046)) - (HOLD (negedge D) (negedge CLK_N) (-0.139:-0.139:-0.139)) - (SETUP (posedge D) (negedge CLK_N) (0.084:0.084:0.084)) - (SETUP (negedge D) (negedge CLK_N) (0.159:0.159:0.159)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") - (INSTANCE _116_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q_N (0.479:0.479:0.479) (0.379:0.379:0.379)) - (IOPATH CLK_N Q (0.576:0.576:0.576) (0.626:0.626:0.626)) - (IOPATH RESET_B Q_N (0.000:0.000:0.000)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - (IOPATH SET_B Q_N () (0.000:0.000:0.000)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.064:0.021:-0.022)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.057:-0.005:0.047)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.060:0.054:0.048)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.089:0.096:0.102)) - (HOLD (posedge D) (negedge CLK_N) (0.033:0.033:0.033)) - (HOLD (negedge D) (negedge CLK_N) (-0.151:-0.151:-0.151)) - (SETUP (posedge D) (negedge CLK_N) (0.097:0.097:0.097)) - (SETUP (negedge D) (negedge CLK_N) (0.171:0.171:0.171)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") - (INSTANCE _117_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q_N (0.478:0.478:0.478) (0.378:0.378:0.378)) - (IOPATH CLK_N Q (0.565:0.565:0.565) (0.619:0.619:0.619)) - (IOPATH RESET_B Q_N (0.000:0.000:0.000)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - (IOPATH SET_B Q_N () (0.000:0.000:0.000)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.065:0.022:-0.021)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.059:-0.009:0.041)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.065:0.057:0.048)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.084:0.093:0.102)) - (HOLD (posedge D) (negedge CLK_N) (0.035:0.035:0.035)) - (HOLD (negedge D) (negedge CLK_N) (-0.149:-0.149:-0.149)) - (SETUP (posedge D) (negedge CLK_N) (0.096:0.096:0.096)) - (SETUP (negedge D) (negedge CLK_N) (0.169:0.169:0.169)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") - (INSTANCE _118_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q_N (0.478:0.478:0.478) (0.378:0.378:0.378)) - (IOPATH CLK_N Q (0.569:0.569:0.569) (0.622:0.622:0.622)) - (IOPATH RESET_B Q_N (0.000:0.000:0.000)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - (IOPATH SET_B Q_N () (0.000:0.000:0.000)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.066:0.022:-0.021)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.059:-0.010:0.039)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.069:0.059:0.049)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.080:0.090:0.101)) - (HOLD (posedge D) (negedge CLK_N) (0.035:0.035:0.035)) - (HOLD (negedge D) (negedge CLK_N) (-0.148:-0.148:-0.148)) - (SETUP (posedge D) (negedge CLK_N) (0.096:0.096:0.096)) - (SETUP (negedge D) (negedge CLK_N) (0.168:0.168:0.168)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _119_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.382:0.382:0.382) (0.451:0.451:0.451)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.345:0.345:0.345)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.193:-0.193:-0.193)) - (HOLD (posedge D) (posedge CLK) (-0.059:-0.059:-0.059)) - (HOLD (negedge D) (posedge CLK) (-0.094:-0.094:-0.094)) - (SETUP (posedge D) (posedge CLK) (0.090:0.090:0.090)) - (SETUP (negedge D) (posedge CLK) (0.170:0.170:0.170)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _120_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.403:0.403:0.403) (0.471:0.471:0.471)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.180:-0.180:-0.180)) - (HOLD (posedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (HOLD (negedge D) (posedge CLK) (-0.054:-0.054:-0.054)) - (SETUP (posedge D) (posedge CLK) (0.076:0.076:0.076)) - (SETUP (negedge D) (posedge CLK) (0.127:0.127:0.127)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _121_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.407:0.407:0.407) (0.474:0.474:0.474)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.180:-0.180:-0.180)) - (HOLD (posedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (HOLD (negedge D) (posedge CLK) (-0.055:-0.055:-0.055)) - (SETUP (posedge D) (posedge CLK) (0.078:0.078:0.078)) - (SETUP (negedge D) (posedge CLK) (0.129:0.129:0.129)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _122_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.399:0.399:0.399) (0.468:0.468:0.468)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.180:-0.180:-0.180)) - (HOLD (posedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (HOLD (negedge D) (posedge CLK) (-0.058:-0.058:-0.058)) - (SETUP (posedge D) (posedge CLK) (0.080:0.080:0.080)) - (SETUP (negedge D) (posedge CLK) (0.131:0.131:0.131)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _123_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.385:0.385:0.385) (0.454:0.454:0.454)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.352:0.352:0.352)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185)) - (HOLD (posedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (HOLD (negedge D) (posedge CLK) (-0.055:-0.055:-0.055)) - (SETUP (posedge D) (posedge CLK) (0.077:0.077:0.077)) - (SETUP (negedge D) (posedge CLK) (0.129:0.129:0.129)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _124_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.386:0.386:0.386) (0.455:0.455:0.455)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.353:0.353:0.353)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.186:-0.186:-0.186)) - (HOLD (posedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.070:0.070:0.070)) - (SETUP (negedge D) (posedge CLK) (0.121:0.121:0.121)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _125_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.385:0.385:0.385) (0.454:0.454:0.454)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.353:0.353:0.353)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.186:-0.186:-0.186)) - (HOLD (posedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (HOLD (negedge D) (posedge CLK) (-0.052:-0.052:-0.052)) - (SETUP (posedge D) (posedge CLK) (0.073:0.073:0.073)) - (SETUP (negedge D) (posedge CLK) (0.125:0.125:0.125)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _126_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.387:0.387:0.387) (0.457:0.457:0.457)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.353:0.353:0.353)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.186:-0.186:-0.186)) - (HOLD (posedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.071:0.071:0.071)) - (SETUP (negedge D) (posedge CLK) (0.122:0.122:0.122)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _127_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.389:0.389:0.389) (0.458:0.458:0.458)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.353:0.353:0.353)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.186:-0.186:-0.186)) - (HOLD (posedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.070:0.070:0.070)) - (SETUP (negedge D) (posedge CLK) (0.121:0.121:0.121)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _128_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.385:0.385:0.385) (0.454:0.454:0.454)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.353:0.353:0.353)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.183:-0.183:-0.183)) - (HOLD (posedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.071:0.071:0.071)) - (SETUP (negedge D) (posedge CLK) (0.123:0.123:0.123)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _129_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.386:0.386:0.386) (0.455:0.455:0.455)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.353:0.353:0.353)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.183:-0.183:-0.183)) - (HOLD (posedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (HOLD (negedge D) (posedge CLK) (-0.054:-0.054:-0.054)) - (SETUP (posedge D) (posedge CLK) (0.076:0.076:0.076)) - (SETUP (negedge D) (posedge CLK) (0.128:0.128:0.128)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _130_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.386:0.386:0.386) (0.455:0.455:0.455)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.363:0.363:0.363)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.174:-0.174:-0.174)) - (HOLD (posedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (HOLD (negedge D) (posedge CLK) (-0.058:-0.058:-0.058)) - (SETUP (posedge D) (posedge CLK) (0.081:0.081:0.081)) - (SETUP (negedge D) (posedge CLK) (0.132:0.132:0.132)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _131_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.407:0.407:0.407) (0.474:0.474:0.474)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.173:-0.173:-0.173)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.069:0.069:0.069)) - (SETUP (negedge D) (posedge CLK) (0.121:0.121:0.121)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _132_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.392:0.392:0.392) (0.427:0.427:0.427)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.339:0.339:0.339)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.204:-0.204:-0.204)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.122:0.122:0.122)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _133_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.177:0.177:0.177) (0.192:0.192:0.192)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _134_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.172:0.172:0.172) (0.157:0.157:0.157)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_serial_clock) - (DELAY - (ABSOLUTE - (IOPATH A X (0.623:0.623:0.623) (1.470:1.470:1.470)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_serial_load) - (DELAY - (ABSOLUTE - (IOPATH A X (0.618:0.618:0.618) (1.465:1.465:1.465)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_serial_clock) - (DELAY - (ABSOLUTE - (IOPATH A X (0.180:0.180:0.180) (0.212:0.212:0.212)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_serial_load) - (DELAY - (ABSOLUTE - (IOPATH A X (0.186:0.186:0.186) (0.215:0.215:0.215)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_serial_clock) - (DELAY - (ABSOLUTE - (IOPATH A X (0.177:0.177:0.177) (0.209:0.209:0.209)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_serial_load) - (DELAY - (ABSOLUTE - (IOPATH A X (0.197:0.197:0.197) (0.222:0.222:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE fanout23) - (DELAY - (ABSOLUTE - (IOPATH A X (0.222:0.222:0.222) (0.187:0.187:0.187)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE fanout24) - (DELAY - (ABSOLUTE - (IOPATH A X (0.210:0.210:0.210) (0.179:0.179:0.179)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE fanout25) - (DELAY - (ABSOLUTE - (IOPATH A X (0.229:0.229:0.229) (0.189:0.189:0.189)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE fanout26) - (DELAY - (ABSOLUTE - (IOPATH A X (0.193:0.193:0.193) (0.170:0.170:0.170)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE fanout27) - (DELAY - (ABSOLUTE - (IOPATH A X (0.225:0.225:0.225) (0.188:0.188:0.188)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE fanout28) - (DELAY - (ABSOLUTE - (IOPATH A X (0.248:0.248:0.248) (0.201:0.201:0.201)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE fanout29) - (DELAY - (ABSOLUTE - (IOPATH A X (0.188:0.188:0.188) (0.169:0.169:0.169)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE fanout30) - (DELAY - (ABSOLUTE - (IOPATH A X (0.212:0.212:0.212) (0.180:0.180:0.180)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE fanout31) - (DELAY - (ABSOLUTE - (IOPATH A X (0.194:0.194:0.194) (0.204:0.204:0.204)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.583:0.583:0.583) (0.591:0.591:0.591)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold10) - (DELAY - (ABSOLUTE - (IOPATH A X (0.562:0.562:0.562) (0.574:0.574:0.574)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold11) - (DELAY - (ABSOLUTE - (IOPATH A X (0.561:0.561:0.561) (0.573:0.573:0.573)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold12) - (DELAY - (ABSOLUTE - (IOPATH A X (0.563:0.563:0.563) (0.574:0.574:0.574)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold13) - (DELAY - (ABSOLUTE - (IOPATH A X (0.572:0.572:0.572) (0.582:0.582:0.582)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold2) - (DELAY - (ABSOLUTE - (IOPATH A X (0.580:0.580:0.580) (0.589:0.589:0.589)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold3) - (DELAY - (ABSOLUTE - (IOPATH A X (0.540:0.540:0.540) (0.555:0.555:0.555)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold4) - (DELAY - (ABSOLUTE - (IOPATH A X (0.554:0.554:0.554) (0.567:0.567:0.567)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold5) - (DELAY - (ABSOLUTE - (IOPATH A X (0.602:0.602:0.602) (0.606:0.606:0.606)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold6) - (DELAY - (ABSOLUTE - (IOPATH A X (0.593:0.593:0.593) (0.599:0.599:0.599)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold7) - (DELAY - (ABSOLUTE - (IOPATH A X (0.587:0.587:0.587) (0.595:0.595:0.595)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold8) - (DELAY - (ABSOLUTE - (IOPATH A X (0.561:0.561:0.561) (0.573:0.573:0.573)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold9) - (DELAY - (ABSOLUTE - (IOPATH A X (0.601:0.601:0.601) (0.604:0.604:0.604)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE input1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.554:0.554:0.554) (1.251:1.251:1.251)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE input2) - (DELAY - (ABSOLUTE - (IOPATH A X (0.599:0.599:0.599) (1.294:1.294:1.294)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE input3) - (DELAY - (ABSOLUTE - (IOPATH A X (0.519:0.519:0.519) (1.218:1.218:1.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE input4) - (DELAY - (ABSOLUTE - (IOPATH A X (0.529:0.529:0.529) (1.229:1.229:1.229)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE input5) - (DELAY - (ABSOLUTE - (IOPATH A X (0.595:0.595:0.595) (1.291:1.291:1.291)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_16") - (INSTANCE one_buffer) - (DELAY - (ABSOLUTE - (IOPATH A X (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_16") - (INSTANCE output10) - (DELAY - (ABSOLUTE - (IOPATH A X (0.281:0.281:0.281) (0.225:0.225:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_16") - (INSTANCE output11) - (DELAY - (ABSOLUTE - (IOPATH A X (0.285:0.285:0.285) (0.228:0.228:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_16") - (INSTANCE output12) - (DELAY - (ABSOLUTE - (IOPATH A X (0.277:0.277:0.277) (0.221:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_16") - (INSTANCE output13) - (DELAY - (ABSOLUTE - (IOPATH A X (0.275:0.275:0.275) (0.219:0.219:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_16") - (INSTANCE output14) - (DELAY - (ABSOLUTE - (IOPATH A X (0.271:0.271:0.271) (0.217:0.217:0.217)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_16") - (INSTANCE output15) - (DELAY - (ABSOLUTE - (IOPATH A X (0.279:0.279:0.279) (0.224:0.224:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_16") - (INSTANCE output16) - (DELAY - (ABSOLUTE - (IOPATH A X (0.268:0.280:0.292) (0.216:0.240:0.264)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_16") - (INSTANCE output17) - (DELAY - (ABSOLUTE - (IOPATH A X (0.261:0.276:0.291) (0.219:0.251:0.282)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_16") - (INSTANCE output18) - (DELAY - (ABSOLUTE - (IOPATH A X (0.278:0.278:0.278) (0.222:0.222:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_16") - (INSTANCE output19) - (DELAY - (ABSOLUTE - (IOPATH A X (0.283:0.283:0.283) (0.225:0.225:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_16") - (INSTANCE output20) - (DELAY - (ABSOLUTE - (IOPATH A X (0.283:0.283:0.283) (0.224:0.224:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_16") - (INSTANCE output21) - (DELAY - (ABSOLUTE - (IOPATH A X (0.278:0.278:0.278) (0.228:0.228:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_16") - (INSTANCE output22) - (DELAY - (ABSOLUTE - (IOPATH A X (0.294:0.294:0.294) (0.238:0.238:0.239)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_16") - (INSTANCE output6) - (DELAY - (ABSOLUTE - (IOPATH A X (0.278:0.278:0.278) (0.221:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_16") - (INSTANCE output7) - (DELAY - (ABSOLUTE - (IOPATH A X (0.274:0.274:0.274) (0.218:0.218:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_16") - (INSTANCE output8) - (DELAY - (ABSOLUTE - (IOPATH A X (0.277:0.277:0.277) (0.222:0.222:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_16") - (INSTANCE output9) - (DELAY - (ABSOLUTE - (IOPATH A X (0.270:0.270:0.270) (0.215:0.215:0.215)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE serial_clock_out_buffer) - (DELAY - (ABSOLUTE - (IOPATH A X (0.290:0.290:0.290) (0.252:0.252:0.252)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE serial_load_out_buffer) - (DELAY - (ABSOLUTE - (IOPATH A X (0.286:0.286:0.286) (0.245:0.245:0.245)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_16") - (INSTANCE zero_buffer) - (DELAY - (ABSOLUTE - (IOPATH A X (0.000:0.000:0.000)) - ) - ) - ) -) diff --git a/sdf/housekeeping.sdf b/sdf/housekeeping.sdf deleted file mode 100644 index 97da9231..00000000 --- a/sdf/housekeeping.sdf +++ /dev/null @@ -1,86452 +0,0 @@ -(DELAYFILE - (SDFVERSION "3.0") - (DESIGN "housekeeping") - (DATE "Thu Oct 13 16:49:34 2022") - (VENDOR "Parallax") - (PROGRAM "STA") - (VERSION "2.3.1") - (DIVIDER .) - (VOLTAGE 1.800::1.800) - (PROCESS "1.000::1.000") - (TEMPERATURE 25.000::25.000) - (TIMESCALE 1ns) - (CELL - (CELLTYPE "housekeeping") - (INSTANCE) - (DELAY - (ABSOLUTE - (INTERCONNECT debug_mode input1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT debug_oeb input2.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT debug_out input3.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mask_rev_in[0] input4.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mask_rev_in[10] input5.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mask_rev_in[11] input6.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mask_rev_in[12] input7.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mask_rev_in[13] input8.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mask_rev_in[14] input9.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mask_rev_in[15] input10.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mask_rev_in[16] input11.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mask_rev_in[17] input12.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mask_rev_in[18] input13.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mask_rev_in[19] input14.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mask_rev_in[1] input15.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mask_rev_in[20] input16.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mask_rev_in[21] input17.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mask_rev_in[22] input18.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mask_rev_in[23] input19.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mask_rev_in[24] input20.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mask_rev_in[25] input21.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mask_rev_in[26] input22.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mask_rev_in[27] input23.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mask_rev_in[28] input24.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mask_rev_in[29] input25.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mask_rev_in[2] input26.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mask_rev_in[30] input27.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mask_rev_in[31] input28.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mask_rev_in[3] input29.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mask_rev_in[4] input30.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mask_rev_in[5] input31.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mask_rev_in[6] input32.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mask_rev_in[7] input33.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mask_rev_in[7] ANTENNA_114.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mask_rev_in[8] input34.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mask_rev_in[8] ANTENNA_115.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mask_rev_in[9] input35.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_in[0] input36.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_in[10] input37.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_in[11] input38.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_in[12] input39.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_in[13] input40.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_in[14] input41.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_in[15] input42.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_in[16] input43.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_in[17] input44.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_in[18] input45.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_in[19] input46.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_in[1] input47.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_in[20] input48.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_in[21] input49.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_in[22] input50.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_in[23] input51.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_in[24] input52.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_in[25] input53.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_in[26] input54.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_in[27] input55.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_in[28] input56.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_in[29] input57.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mgmt_gpio_in[2] input58.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_in[30] input59.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_in[31] input60.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_in[32] input61.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_in[33] input62.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_in[34] input63.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_in[35] input64.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_in[36] input65.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_in[37] input66.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_in[3] input67.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_in[4] clkbuf_0_mgmt_gpio_in\[4\].A (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_in[5] input68.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_in[6] input69.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_in[7] input70.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_in[8] input71.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_in[9] input72.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT pad_flash_io0_di input73.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT pad_flash_io1_di input74.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT porb input75.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT qspi_enabled input76.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ser_tx input77.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT spi_csb input78.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT spi_enabled input79.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT spi_sck input80.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT spi_sdo input81.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT spi_sdoenb input82.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT spimemio_flash_clk input83.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT spimemio_flash_csb input84.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT spimemio_flash_io0_do input85.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT spimemio_flash_io0_oeb input86.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT spimemio_flash_io1_do input87.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT spimemio_flash_io1_oeb input88.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT spimemio_flash_io2_do input89.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT spimemio_flash_io2_oeb input90.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT spimemio_flash_io3_do input91.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT spimemio_flash_io3_oeb input92.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT trap input93.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT uart_enabled input94.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT user_clock _3936_.A1 (0.057:0.057:0.057) (0.056:0.056:0.056)) - (INTERCONNECT user_clock ANTENNA_116.DIODE (0.057:0.057:0.057) (0.056:0.056:0.056)) - (INTERCONNECT user_clock ANTENNA_117.DIODE (0.057:0.057:0.057) (0.056:0.056:0.056)) - (INTERCONNECT user_clock ANTENNA_118.DIODE (0.057:0.057:0.057) (0.056:0.056:0.056)) - (INTERCONNECT user_clock ANTENNA_119.DIODE (0.057:0.057:0.057) (0.056:0.056:0.056)) - (INTERCONNECT user_clock ANTENNA_120.DIODE (0.057:0.057:0.057) (0.056:0.056:0.056)) - (INTERCONNECT user_clock ANTENNA_121.DIODE (0.057:0.057:0.057) (0.056:0.056:0.056)) - (INTERCONNECT user_clock ANTENNA_122.DIODE (0.057:0.057:0.057) (0.057:0.057:0.057)) - (INTERCONNECT user_clock ANTENNA_123.DIODE (0.057:0.057:0.057) (0.056:0.056:0.056)) - (INTERCONNECT user_clock ANTENNA_124.DIODE (0.057:0.057:0.057) (0.057:0.057:0.057)) - (INTERCONNECT user_clock ANTENNA_125.DIODE (0.057:0.057:0.057) (0.057:0.057:0.057)) - (INTERCONNECT user_clock ANTENNA_126.DIODE (0.057:0.057:0.057) (0.056:0.056:0.056)) - (INTERCONNECT user_clock ANTENNA_127.DIODE (0.057:0.057:0.057) (0.057:0.057:0.057)) - (INTERCONNECT user_clock ANTENNA_128.DIODE (0.057:0.057:0.057) (0.056:0.056:0.056)) - (INTERCONNECT user_clock ANTENNA_129.DIODE (0.057:0.057:0.057) (0.056:0.056:0.056)) - (INTERCONNECT user_clock ANTENNA_130.DIODE (0.057:0.057:0.057) (0.056:0.056:0.056)) - (INTERCONNECT user_clock ANTENNA_131.DIODE (0.057:0.057:0.057) (0.056:0.056:0.056)) - (INTERCONNECT user_clock ANTENNA_132.DIODE (0.057:0.057:0.057) (0.056:0.056:0.056)) - (INTERCONNECT user_clock ANTENNA_133.DIODE (0.057:0.057:0.057) (0.056:0.056:0.056)) - (INTERCONNECT user_clock ANTENNA_134.DIODE (0.057:0.057:0.057) (0.057:0.057:0.057)) - (INTERCONNECT user_clock ANTENNA_135.DIODE (0.057:0.057:0.057) (0.056:0.056:0.056)) - (INTERCONNECT usr1_vcc_pwrgood input95.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT usr1_vdd_pwrgood input96.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT usr2_vcc_pwrgood input97.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT usr2_vdd_pwrgood input98.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_adr_i[0] input99.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_adr_i[10] input100.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_adr_i[11] input101.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_adr_i[12] input102.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_adr_i[13] input103.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_adr_i[14] input104.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_adr_i[15] input105.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_adr_i[16] input106.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_adr_i[17] input107.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_adr_i[18] input108.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_adr_i[19] input109.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_adr_i[1] input110.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_adr_i[20] input111.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_adr_i[21] input112.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_adr_i[22] input113.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_adr_i[23] input114.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_adr_i[24] input115.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_adr_i[25] input116.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_adr_i[26] input117.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_adr_i[27] input118.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_adr_i[28] input119.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_adr_i[29] input120.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_adr_i[2] input121.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_adr_i[30] input122.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_adr_i[31] input123.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_adr_i[3] input124.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_adr_i[4] input125.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_adr_i[5] input126.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_adr_i[6] input127.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_adr_i[7] input128.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_adr_i[8] input129.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_adr_i[9] input130.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_clk_i clkbuf_0_wb_clk_i.A (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT wb_cyc_i input131.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_dat_i[0] input132.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_dat_i[10] input133.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_dat_i[11] input134.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_dat_i[12] input135.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_dat_i[13] input136.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_dat_i[14] input137.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_dat_i[15] input138.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_dat_i[16] input139.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_dat_i[17] input140.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_dat_i[18] input141.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_dat_i[19] input142.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_dat_i[1] input143.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_dat_i[20] input144.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_dat_i[21] input145.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_dat_i[22] input146.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_dat_i[23] input147.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_dat_i[24] input148.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_dat_i[25] input149.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_dat_i[26] input150.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_dat_i[27] input151.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_dat_i[28] input152.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_dat_i[29] input153.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_dat_i[2] input154.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_dat_i[30] input155.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_dat_i[31] input156.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_dat_i[3] input157.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_dat_i[4] input158.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_dat_i[5] input159.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_dat_i[6] input160.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_dat_i[7] input161.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_dat_i[8] input162.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_dat_i[9] input163.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_rstn_i input164.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_sel_i[0] input165.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_sel_i[1] input166.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_sel_i[2] input167.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_sel_i[3] input168.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_stb_i input169.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wb_we_i input170.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3184_.Y _3869_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3185_.Y _3830_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3185_.Y _3832_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3186_.Y _3868_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3186_.Y _3912_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3187_.Y _4377_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3187_.Y _4378_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3187_.Y _4403_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3187_.Y _4404_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3187_.Y _4546_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3188_.Y _3911_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3188_.Y _4233_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3188_.Y _6345_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3188_.Y _6346_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3189_.Y output206.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3190_.Y _3242_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3190_.Y _3248_.B (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _3190_.Y _3252_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3190_.Y _3814_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3190_.Y _3829_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3190_.Y _3914_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3191_.Y _3911_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3191_.Y _6387_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3191_.Y _6390_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3192_.Y _3837_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3192_.Y _3850_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3192_.Y _3915_.A1_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3192_.Y _3916_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3193_.Y _3897_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3193_.Y _5590_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _3193_.Y _5615_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3194_.Y _3896_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3194_.Y _5589_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3194_.Y _5648_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3195_.Y _5729_.C1 (0.043:0.043:0.043) (0.041:0.041:0.041)) - (INTERCONNECT _3195_.Y _5751_.C1 (0.039:0.039:0.039) (0.037:0.037:0.037)) - (INTERCONNECT _3195_.Y _5793_.C1 (0.044:0.044:0.044) (0.042:0.042:0.042)) - (INTERCONNECT _3195_.Y _6095_.C1 (0.036:0.036:0.036) (0.035:0.035:0.035)) - (INTERCONNECT _3195_.Y _6120_.C1 (0.043:0.043:0.043) (0.041:0.041:0.041)) - (INTERCONNECT _3195_.Y _6342_.C1 (0.039:0.039:0.039) (0.038:0.038:0.038)) - (INTERCONNECT _3195_.Y fanout473.A (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _3196_.Y _5616_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3196_.Y _5617_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3196_.Y _5626_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3196_.Y _5630_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3196_.Y _5650_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3197_.Y _3920_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3198_.Y _3921_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3199_.Y _3922_.A0 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _3200_.Y output202.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3201_.Y output201.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3202_.Y output200.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3203_.Y output199.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _3204_.Y output198.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _3205_.Y output196.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3206_.Y output195.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3207_.Y output194.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3208_.Y output193.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3209_.Y output192.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3210_.Y output191.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3211_.Y output190.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3212_.Y output189.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3213_.Y output188.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3214_.Y output187.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _3215_.Y output185.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3216_.Y output184.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3217_.Y output183.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3218_.Y output182.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3219_.Y output181.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3220_.Y output180.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3221_.Y output179.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3222_.Y output178.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3223_.Y output177.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3224_.Y output176.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3225_.Y output212.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3226_.Y output211.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3227_.Y output210.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3228_.Y output209.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3229_.Y output208.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3230_.Y output207.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3231_.Y output197.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3232_.Y _3934_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3233_.Y _3935_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_wb_clk_i.X clkbuf_1_0_0_wb_clk_i.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_wb_clk_i.X clkbuf_1_1_0_wb_clk_i.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3235_.Y _5960_.B (0.030:0.030:0.030) (0.030:0.030:0.030)) - (INTERCONNECT _3235_.Y _5796_.A2 (0.030:0.030:0.030) (0.030:0.030:0.030)) - (INTERCONNECT _3235_.Y _5850_.B (0.028:0.028:0.028) (0.028:0.028:0.028)) - (INTERCONNECT _3235_.Y _5743_.B (0.030:0.030:0.030) (0.030:0.030:0.030)) - (INTERCONNECT _3235_.Y _5691_.A (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT _3235_.Y _5625_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3235_.Y _5624_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3235_.Y _5828_.B (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT _3235_.Y fanout472.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3235_.Y ANTENNA_2.DIODE (0.030:0.030:0.030) (0.030:0.030:0.030)) - (INTERCONNECT _3236_.Y _4399_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3236_.Y _4420_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3236_.Y _4499_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3236_.Y _4617_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3236_.Y _5085_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3237_.Y _4386_.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _3237_.Y _4401_.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _3237_.Y _4419_.C (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _3237_.Y _4451_.A (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _3237_.Y _4617_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _3237_.Y _4667_.C (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _3238_.Y _4431_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3238_.Y _4458_.A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _3238_.Y _4465_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3238_.Y _4466_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3238_.Y _4494_.A (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT _3238_.Y _4531_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _3238_.Y _4533_.A (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT _3238_.Y _4567_.A (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT _3238_.Y _4607_.B (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT _3238_.Y _4846_.C (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _3239_.Y _4436_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3239_.Y _4480_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3239_.Y _4545_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3239_.Y _4604_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3239_.Y _4621_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3239_.Y _4793_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3240_.Y _4359_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3240_.Y _4545_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3240_.Y _4575_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3240_.Y _4605_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3240_.Y _4610_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3241_.X _3375_.S (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _3241_.X _3411_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3241_.X _3448_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3241_.X _3544_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3241_.X _3602_.S (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _3241_.X _3661_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3241_.X _3724_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3241_.X _3791_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3242_.X _3811_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3242_.X hold92.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3243_.Y _3811_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3243_.Y hold93.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3244_.X _3246_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3244_.X _3814_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _3245_.Y _3246_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3246_.X hold47.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3247_.Y hold48.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3248_.Y _3249_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3248_.Y _3821_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3249_.X _3821_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3249_.X hold17.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3250_.Y _3251_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3251_.Y hold18.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3252_.Y _3253_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3252_.Y _3818_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3253_.X hold104.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3254_.X hold105.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3255_.X wire390.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3256_.Y _3785_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3257_.X hold73.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3258_.X hold74.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3259_.X hold65.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3260_.X hold66.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3261_.X _3267_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3261_.X _3299_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3261_.X hold1345.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3262_.X hold54.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3263_.X hold55.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3264_.X hold26.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3265_.X hold27.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3266_.X hold56.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3267_.Y _3268_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3267_.Y hold57.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3268_.Y _3347_.A2 (0.021:0.021:0.021) (0.019:0.019:0.020)) - (INTERCONNECT _3268_.Y _3407_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _3268_.Y _3425_.A2 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _3268_.Y _3475_.A2 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT _3268_.Y _3595_.A2 (0.018:0.018:0.018) (0.017:0.018:0.018)) - (INTERCONNECT _3268_.Y _3647_.A2 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT _3268_.Y _3682_.A2 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _3268_.Y _3780_.A2 (0.016:0.016:0.016) (0.015:0.016:0.016)) - (INTERCONNECT _3269_.Y hold94.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3270_.Y hold19.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3271_.X hold95.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3272_.X hold28.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3273_.X hold67.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3274_.Y _3275_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3274_.Y _3280_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3274_.Y _3296_.A (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _3274_.Y _3320_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3274_.Y _3327_.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _3274_.Y _3547_.A (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _3274_.Y _3727_.B (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _3274_.Y _5212_.B (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _3275_.Y _3352_.A2 (0.024:0.024:0.024) (0.022:0.022:0.022)) - (INTERCONNECT _3275_.Y _3407_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3275_.Y _3430_.A2 (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT _3275_.Y _3494_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3275_.Y _3579_.A2 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT _3275_.Y _3626_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _3275_.Y _3694_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3275_.Y _3732_.A2 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _3275_.Y _4052_.B (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT _3275_.Y _5232_.A (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT _3276_.Y hold75.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3277_.Y _3278_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3277_.Y _3298_.A (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _3277_.Y _3312_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3277_.Y _3324_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3277_.Y _3328_.A (0.022:0.022:0.022) (0.020:0.020:0.020)) - (INTERCONNECT _3277_.Y _3377_.B (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT _3277_.Y _3526_.A (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _3278_.Y _3397_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _3278_.Y _3501_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _3278_.Y _3708_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3278_.Y max_cap358.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3278_.Y ANTENNA_3.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _3279_.X hold20.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3280_.Y _3529_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3280_.Y _3664_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3280_.Y wire357.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3281_.X hold106.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3282_.Y _3283_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3283_.Y _3285_.A (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _3283_.Y _3289_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3283_.Y _3293_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3283_.Y _3313_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3284_.Y _3330_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3284_.Y hold1376.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3285_.Y _3286_.A (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT _3285_.Y _3287_.B (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT _3285_.Y _3317_.B (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT _3285_.Y _3322_.A (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT _3285_.Y _3326_.A (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT _3285_.Y _3333_.B (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _3285_.Y _3714_.A (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT _3285_.Y _4087_.A1 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _3285_.Y _5241_.B (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _3286_.Y _3785_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3287_.Y _3383_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3287_.Y _4001_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3287_.Y max_cap375.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3288_.X _3289_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3288_.X _3303_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _3288_.X _3307_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3288_.X _3378_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3289_.Y _3290_.B (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT _3289_.Y _3466_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3289_.Y _3507_.A (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _3289_.Y _3512_.A (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT _3289_.Y _3530_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3289_.Y _3536_.B (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT _3289_.Y _3726_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3290_.Y _3361_.A2 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT _3290_.Y _3382_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _3290_.Y _3420_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _3290_.Y _3481_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3290_.Y _3591_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3290_.Y _3646_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3290_.Y _3717_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3290_.Y _3782_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3290_.Y _3966_.A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _3290_.Y ANTENNA_4.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _3291_.Y _3295_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3291_.Y hold29.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3292_.Y _3353_.A2 (0.040:0.040:0.040) (0.037:0.037:0.038)) - (INTERCONNECT _3292_.Y _3393_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3292_.Y _3438_.B1 (0.037:0.037:0.037) (0.034:0.034:0.035)) - (INTERCONNECT _3292_.Y _3483_.A2 (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT _3292_.Y _3550_.A2 (0.030:0.030:0.030) (0.028:0.028:0.028)) - (INTERCONNECT _3292_.Y _3635_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3292_.Y _3693_.A2 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _3292_.Y _3773_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3292_.Y _5502_.A (0.041:0.041:0.041) (0.038:0.038:0.039)) - (INTERCONNECT _3293_.Y _3294_.B (0.026:0.026:0.026) (0.024:0.024:0.024)) - (INTERCONNECT _3293_.Y _3302_.A (0.024:0.024:0.024) (0.022:0.022:0.022)) - (INTERCONNECT _3293_.Y _3316_.A (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _3293_.Y _3323_.A (0.026:0.027:0.027) (0.024:0.024:0.024)) - (INTERCONNECT _3293_.Y _3343_.B (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _3293_.Y _3344_.B (0.026:0.026:0.026) (0.024:0.024:0.024)) - (INTERCONNECT _3293_.Y _3546_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3294_.Y _3360_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _3294_.Y _3399_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _3294_.Y _3421_.A2 (0.010:0.010:0.010) (0.009:0.009:0.010)) - (INTERCONNECT _3294_.Y _3509_.A2 (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT _3294_.Y _3552_.A2 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _3294_.Y _3605_.A2 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _3294_.Y _3671_.A2 (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT _3294_.Y _3766_.A2 (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT _3294_.Y _5535_.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _3295_.Y _3350_.A2 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _3295_.Y _3391_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _3295_.Y _3439_.A2 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _3295_.Y _3500_.A2 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _3295_.Y _3592_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _3295_.Y _3637_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _3295_.Y _3678_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3295_.Y _3777_.A2 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT _3296_.Y _3363_.A2 (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT _3296_.Y _3393_.B1 (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT _3296_.Y _3434_.A2 (0.038:0.038:0.038) (0.035:0.036:0.036)) - (INTERCONNECT _3296_.Y _3522_.A2 (0.043:0.043:0.043) (0.039:0.039:0.040)) - (INTERCONNECT _3296_.Y _3599_.A2 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT _3296_.Y _3654_.A2 (0.017:0.017:0.017) (0.016:0.017:0.017)) - (INTERCONNECT _3296_.Y _3681_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3296_.Y _3779_.A2 (0.042:0.042:0.043) (0.039:0.039:0.040)) - (INTERCONNECT _3296_.Y _5520_.A (0.041:0.041:0.041) (0.038:0.038:0.039)) - (INTERCONNECT _3296_.Y ANTENNA_5.DIODE (0.017:0.017:0.017) (0.016:0.017:0.017)) - (INTERCONNECT _3297_.X _3300_.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _3297_.X max_cap386.A (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _3297_.X hold1339.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3298_.Y _3423_.A2 (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT _3298_.Y _3480_.A2 (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _3298_.Y _3560_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _3298_.Y _3663_.A2 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _3298_.Y _3786_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _3298_.Y max_cap374.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3299_.Y _3300_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3299_.Y _3311_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3299_.Y _3319_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3299_.Y _3329_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3299_.Y _3336_.B (0.005:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _3299_.Y _3340_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3300_.Y _3367_.A2 (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT _3300_.Y _3398_.A2 (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT _3300_.Y _3440_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _3300_.Y _3500_.B1 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _3300_.Y _3595_.B1 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _3300_.Y _3653_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3300_.Y _3687_.A2 (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _3300_.Y _3762_.A2 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT _3300_.Y hold1340.A (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _3300_.Y ANTENNA_6.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _3301_.X _3547_.B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3301_.X wire383.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _3302_.Y _3347_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3302_.Y _3402_.A2 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _3302_.Y _3426_.A2 (0.022:0.022:0.022) (0.021:0.021:0.022)) - (INTERCONNECT _3302_.Y _3469_.A2 (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT _3302_.Y _3555_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _3302_.Y _3605_.B1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _3302_.Y _3703_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _3302_.Y _3783_.A2 (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _3302_.Y _5250_.A (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _3302_.Y ANTENNA_221.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3303_.Y _3304_.B (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT _3303_.Y _3454_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3303_.Y _3474_.B (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _3303_.Y _3495_.B (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _3303_.Y _3528_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3303_.Y hold1346.A (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _3304_.Y _3349_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3304_.Y _3394_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3304_.Y _3422_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3304_.Y _3540_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3304_.Y _3592_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3304_.Y _3641_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3304_.Y _3697_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3304_.Y _3763_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3305_.Y hold68.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _3306_.Y _3354_.A2 (0.019:0.019:0.019) (0.018:0.018:0.019)) - (INTERCONNECT _3306_.Y _3399_.B1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _3306_.Y _3430_.B1 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT _3306_.Y _3517_.A2 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _3306_.Y _3569_.A2 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT _3306_.Y _3604_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _3306_.Y _3668_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3306_.Y _3732_.B1 (0.018:0.018:0.018) (0.017:0.018:0.018)) - (INTERCONNECT _3306_.Y hold69.A (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT _3306_.Y ANTENNA_7.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _3307_.Y _3308_.B (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT _3307_.Y _3450_.B (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _3307_.Y _3476_.B (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT _3307_.Y _3503_.B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _3307_.Y _3511_.A (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _3307_.Y _3607_.B (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _3307_.Y _3731_.B (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _3307_.Y _4053_.A1 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT _3307_.Y _4111_.B (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT _3308_.Y _3358_.A2 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT _3308_.Y _3402_.B1 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT _3308_.Y _3461_.A2 (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _3308_.Y _3566_.A2 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _3308_.Y _3619_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _3308_.Y _3696_.A2 (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT _3308_.Y _4053_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3308_.Y max_cap356.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3309_.X hold49.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3310_.Y _3365_.A2 (0.029:0.029:0.029) (0.027:0.027:0.028)) - (INTERCONNECT _3310_.Y _3386_.A2 (0.030:0.030:0.030) (0.028:0.028:0.028)) - (INTERCONNECT _3310_.Y _3418_.A2 (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT _3310_.Y _3459_.A2 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _3310_.Y _3568_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3310_.Y _3613_.A2 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _3310_.Y _3666_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3310_.Y _3754_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3310_.Y _5367_.A (0.028:0.028:0.028) (0.026:0.026:0.027)) - (INTERCONNECT _3311_.Y _3346_.A2 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT _3311_.Y _3396_.A2 (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT _3311_.Y _3434_.B1 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _3311_.Y _3517_.B1 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _3311_.Y _3548_.A2 (0.021:0.021:0.021) (0.020:0.020:0.021)) - (INTERCONNECT _3311_.Y _3615_.A2 (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT _3311_.Y _3695_.A2 (0.017:0.017:0.017) (0.016:0.017:0.017)) - (INTERCONNECT _3311_.Y _3741_.A2 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _3311_.Y _5349_.A (0.023:0.023:0.023) (0.022:0.022:0.023)) - (INTERCONNECT _3312_.Y _3366_.A2 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _3312_.Y _3397_.B1 (0.013:0.013:0.013) (0.012:0.012:0.013)) - (INTERCONNECT _3312_.Y _3419_.A2 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _3312_.Y _3506_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _3312_.Y _3572_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _3312_.Y _3606_.B1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _3312_.Y _3713_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3312_.Y _3738_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3312_.Y _5268_.A (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT _3312_.Y ANTENNA_8.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3313_.Y _3314_.B (0.028:0.028:0.028) (0.026:0.026:0.026)) - (INTERCONNECT _3313_.Y _3337_.B (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT _3313_.Y _3482_.B (0.030:0.030:0.030) (0.028:0.028:0.028)) - (INTERCONNECT _3313_.Y _3489_.B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _3313_.Y _3496_.B (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT _3313_.Y _3510_.B (0.026:0.026:0.026) (0.024:0.024:0.024)) - (INTERCONNECT _3313_.Y _3531_.B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _3313_.Y _4070_.A1 (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT _3313_.Y _4120_.B (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _3313_.Y ANTENNA_9.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _3314_.Y _3345_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _3314_.Y _3398_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _3314_.Y _3425_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _3314_.Y _3481_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3314_.Y _3591_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3314_.Y _3637_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3314_.Y _3702_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3314_.Y _3769_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3314_.Y _3992_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3315_.Y _3351_.B1 (0.037:0.037:0.037) (0.034:0.034:0.035)) - (INTERCONNECT _3315_.Y _3383_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3315_.Y _3428_.A2 (0.036:0.036:0.036) (0.033:0.034:0.034)) - (INTERCONNECT _3315_.Y _3459_.B1 (0.031:0.031:0.031) (0.029:0.030:0.030)) - (INTERCONNECT _3315_.Y _3596_.A2 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _3315_.Y _3640_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3315_.Y _3719_.A2 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _3315_.Y _3787_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3315_.Y _5439_.A (0.038:0.038:0.038) (0.035:0.035:0.036)) - (INTERCONNECT _3316_.Y _3370_.A2 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _3316_.Y _3381_.A2 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _3316_.Y _3435_.A2 (0.016:0.016:0.016) (0.015:0.016:0.016)) - (INTERCONNECT _3316_.Y _3514_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3316_.Y _3570_.A2 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _3316_.Y _3625_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3316_.Y _3663_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3316_.Y _3733_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3316_.Y _5394_.A (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _3317_.Y _3363_.B1 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _3317_.Y _3390_.A2 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _3317_.Y _3440_.B1 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _3317_.Y _3453_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3317_.Y _3562_.A2 (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT _3317_.Y _3619_.B1 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _3317_.Y _3691_.A2 (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT _3317_.Y _3740_.A2 (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT _3317_.Y hold22.A (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _3317_.Y ANTENNA_10.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _3318_.Y _3369_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _3318_.Y _3403_.A2 (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT _3318_.Y _3713_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3318_.Y max_cap355.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3318_.Y ANTENNA_11.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3319_.Y _3370_.B1 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _3319_.Y _3396_.B1 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _3319_.Y _3435_.B1 (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT _3319_.Y _3493_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _3319_.Y _3571_.A2 (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _3319_.Y _3614_.A2 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT _3319_.Y _3720_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3319_.Y _3728_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _3319_.Y _5277_.A (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT _3319_.Y ANTENNA_12.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3320_.Y _3357_.A2 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _3320_.Y _3404_.A2 (0.020:0.020:0.020) (0.019:0.019:0.020)) - (INTERCONNECT _3320_.Y _3437_.A2 (0.016:0.016:0.016) (0.015:0.015:0.016)) - (INTERCONNECT _3320_.Y _3615_.B1 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _3320_.Y max_cap373.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3321_.Y _3345_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _3321_.Y _3385_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _3321_.Y _3428_.B1 (0.034:0.034:0.034) (0.032:0.032:0.033)) - (INTERCONNECT _3321_.Y _3469_.B1 (0.032:0.032:0.032) (0.030:0.030:0.031)) - (INTERCONNECT _3321_.Y _3590_.A2 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _3321_.Y _3650_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _3321_.Y _3684_.A2 (0.033:0.033:0.033) (0.031:0.031:0.032)) - (INTERCONNECT _3321_.Y _3736_.A2 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT _3321_.Y _5511_.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _3322_.Y _3357_.B1 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _3322_.Y _3395_.A2 (0.021:0.021:0.021) (0.020:0.021:0.021)) - (INTERCONNECT _3322_.Y _3441_.A2 (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT _3322_.Y _3516_.B1 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _3322_.Y _3581_.A2 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _3322_.Y _3613_.B1 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _3322_.Y _3672_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3322_.Y _3747_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3322_.Y _5385_.A (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT _3322_.Y ANTENNA_13.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _3323_.Y _3367_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3323_.Y _3394_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3323_.Y _3443_.A2 (0.045:0.045:0.045) (0.041:0.042:0.043)) - (INTERCONNECT _3323_.Y _3537_.A2 (0.016:0.016:0.016) (0.015:0.016:0.016)) - (INTERCONNECT _3323_.Y _3551_.A2 (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT _3323_.Y _3646_.B1 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _3323_.Y _3700_.A2 (0.030:0.030:0.030) (0.028:0.028:0.029)) - (INTERCONNECT _3323_.Y _3769_.B1 (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT _3323_.Y _5466_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3323_.Y ANTENNA_14.DIODE (0.045:0.045:0.045) (0.041:0.042:0.043)) - (INTERCONNECT _3323_.Y ANTENNA_15.DIODE (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT _3323_.Y ANTENNA_16.DIODE (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT _3324_.Y _3365_.B1 (0.023:0.023:0.023) (0.022:0.022:0.023)) - (INTERCONNECT _3324_.Y _3389_.A2 (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT _3324_.Y _3419_.B1 (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT _3324_.Y _3470_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _3324_.Y _3558_.A2 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT _3324_.Y _3611_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _3324_.Y _3667_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3324_.Y _3738_.B1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _3324_.Y _5340_.A (0.026:0.026:0.026) (0.024:0.025:0.025)) - (INTERCONNECT _3324_.Y ANTENNA_17.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _3325_.Y _3369_.B1 (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT _3325_.Y _3389_.B1 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _3325_.Y _3436_.A2 (0.025:0.025:0.025) (0.023:0.023:0.024)) - (INTERCONNECT _3325_.Y _3535_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3325_.Y _3548_.B1 (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT _3325_.Y _3612_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3325_.Y _3666_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3325_.Y _3746_.A2 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _3325_.Y _5358_.A (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT _3325_.Y ANTENNA_18.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3326_.Y _3423_.B1 (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _3326_.Y _3452_.A2 (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT _3326_.Y _3557_.A2 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _3326_.Y _3645_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3326_.Y _3685_.A2 (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT _3326_.Y max_cap372.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3327_.Y _3364_.A2 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _3327_.Y _3391_.B1 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _3327_.Y _3420_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _3327_.Y _3457_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3327_.Y _3584_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _3327_.Y _3635_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _3327_.Y _3698_.B1 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _3327_.Y _3736_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3327_.Y _5448_.A (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _3328_.Y _3348_.B1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _3328_.Y _3382_.B1 (0.009:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _3328_.Y _3778_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3328_.Y _5484_.A (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _3328_.Y max_cap371.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3329_.Y _3522_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3329_.Y _3594_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3329_.Y _3716_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3329_.Y _3771_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3329_.Y hold107.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3330_.Y hold76.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3331_.Y _3498_.A2 (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT _3331_.Y _3550_.B1 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT _3331_.Y _3639_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _3331_.Y _3668_.B1 (0.018:0.018:0.018) (0.017:0.017:0.018)) - (INTERCONNECT _3331_.Y _3784_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _3331_.Y hold77.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3332_.Y _3359_.A2 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _3332_.Y _3385_.B1 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _3332_.Y _3441_.B1 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _3332_.Y _3533_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _3332_.Y _3553_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3332_.Y _3653_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3332_.Y _3686_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _3332_.Y _3763_.B1 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _3332_.Y _5430_.A (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _3332_.Y ANTENNA_19.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _3332_.Y ANTENNA_20.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _3333_.Y _4087_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3333_.Y max_cap353.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3333_.Y max_cap354.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3334_.Y _3609_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3334_.Y _3733_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3334_.Y max_cap368.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3335_.Y _3694_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3335_.Y max_cap352.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3336_.Y _3353_.B1 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT _3336_.Y _3386_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _3336_.Y _3444_.B1 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT _3336_.Y _3521_.B1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _3336_.Y _3566_.B1 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _3336_.Y _3608_.B1 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT _3336_.Y _3718_.A2 (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT _3336_.Y _3759_.A2 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _3336_.Y _5562_.A (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT _3337_.Y _3437_.B1 (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _3337_.Y _3487_.B1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _3337_.Y _3616_.A2 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _3337_.Y _4071_.S (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _3337_.Y _4073_.S (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _3337_.Y _4083_.S (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _3337_.Y max_cap351.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _3337_.Y ANTENNA_222.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _3338_.Y _3368_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _3338_.Y _3388_.B1 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _3338_.Y _3427_.A2 (0.037:0.037:0.037) (0.034:0.035:0.035)) - (INTERCONNECT _3338_.Y _3539_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _3338_.Y _3575_.A2 (0.025:0.025:0.025) (0.023:0.023:0.024)) - (INTERCONNECT _3338_.Y _3631_.B1 (0.033:0.033:0.033) (0.031:0.032:0.032)) - (INTERCONNECT _3338_.Y _3716_.B1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _3338_.Y _3742_.B1 (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT _3338_.Y _5259_.A (0.038:0.038:0.038) (0.036:0.036:0.036)) - (INTERCONNECT _3338_.Y ANTENNA_21.DIODE (0.033:0.033:0.033) (0.031:0.032:0.032)) - (INTERCONNECT _3338_.Y ANTENNA_223.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _3339_.Y _3361_.B1 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _3339_.Y hold30.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3339_.Y ANTENNA_22.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _3340_.Y _3356_.B1 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT _3340_.Y _3406_.A2 (0.018:0.018:0.018) (0.017:0.018:0.018)) - (INTERCONNECT _3340_.Y _3439_.B1 (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT _3340_.Y _3494_.B1 (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _3340_.Y _3584_.B1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _3340_.Y _3636_.A2 (0.024:0.024:0.024) (0.022:0.023:0.023)) - (INTERCONNECT _3340_.Y _3682_.B1 (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT _3340_.Y _3777_.B1 (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT _3341_.Y _3384_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3341_.Y max_cap367.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3342_.Y _3364_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _3342_.Y _3406_.B1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _3342_.Y _3429_.B1 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _3342_.Y _3533_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _3342_.Y _3569_.B1 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _3342_.Y _3616_.B1 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _3342_.Y _3690_.A2 (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _3342_.Y _3756_.A2 (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT _3342_.Y _5544_.A (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _3343_.Y _3362_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3343_.Y _3401_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3343_.Y _3424_.B1 (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT _3343_.Y _3470_.B1 (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _3343_.Y _3577_.B1 (0.022:0.022:0.022) (0.021:0.021:0.022)) - (INTERCONNECT _3343_.Y _3624_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _3343_.Y _3707_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3343_.Y _3758_.A2 (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _3343_.Y _5322_.A (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT _3344_.Y _3366_.B1 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _3344_.Y _3384_.B1 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _3344_.Y _3422_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3344_.Y _3508_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _3344_.Y _3589_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _3344_.Y _3650_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3344_.Y _3717_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3344_.Y _3735_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _3344_.Y _3983_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3345_.X _3367_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3346_.X _3368_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3347_.X _3348_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3348_.X _3355_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3349_.X _3350_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3350_.X _3355_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3351_.X _3352_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3352_.X _3355_.C (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT _3352_.X ANTENNA_23.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT _3353_.X _3354_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3354_.X _3355_.D (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT _3354_.X ANTENNA_24.DIODE (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT _3355_.X _3373_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3356_.X _3357_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3357_.X _3360_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3358_.X _3359_.C1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3359_.X _3360_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3360_.X _3361_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3361_.X _3373_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3362_.X _3363_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3363_.X _3364_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3364_.X _3372_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3365_.X _3366_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3366_.X _3371_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3367_.X _3371_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3368_.X _3371_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3369_.X _3370_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3370_.X _3371_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3371_.X _3372_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3372_.X _3373_.C (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3373_.X _3375_.A0 (0.032:0.032:0.032) (0.031:0.031:0.031)) - (INTERCONNECT _3373_.X _4173_.A1 (0.034:0.034:0.034) (0.033:0.033:0.033)) - (INTERCONNECT _3373_.X _4188_.A1 (0.033:0.033:0.033) (0.033:0.033:0.033)) - (INTERCONNECT _3373_.X _4197_.A1 (0.031:0.031:0.031) (0.030:0.030:0.030)) - (INTERCONNECT _3373_.X _6356_.A1 (0.034:0.034:0.034) (0.033:0.033:0.033)) - (INTERCONNECT _3373_.X ANTENNA_224.DIODE (0.032:0.032:0.032) (0.031:0.031:0.031)) - (INTERCONNECT _3374_.Y _3376_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3374_.Y _3412_.S (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3374_.Y _3449_.S (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3374_.Y _3545_.S (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3374_.Y _3603_.S (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3374_.Y _3662_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3374_.Y _3725_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3374_.Y _3791_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3374_.Y _3792_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3374_.Y _3917_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3375_.X _3376_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3376_.X _6790_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3377_.Y _3409_.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _3377_.Y _3473_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3377_.Y _3658_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3377_.Y _3692_.C1 (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _3377_.Y ANTENNA_25.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _3377_.Y ANTENNA_225.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3378_.Y _3379_.B (0.025:0.025:0.025) (0.023:0.023:0.023)) - (INTERCONNECT _3378_.Y _3460_.B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3378_.Y _3463_.B (0.026:0.026:0.026) (0.024:0.024:0.024)) - (INTERCONNECT _3378_.Y _3472_.B (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT _3378_.Y _3485_.B (0.026:0.026:0.026) (0.024:0.024:0.024)) - (INTERCONNECT _3378_.Y _3504_.B (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _3378_.Y _3538_.B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _3378_.Y _5222_.A2 (0.025:0.025:0.025) (0.023:0.023:0.023)) - (INTERCONNECT _3379_.Y _3403_.B1 (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT _3379_.Y _3432_.B1 (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT _3379_.Y _3501_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3379_.Y _3552_.B1 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _3379_.Y _3639_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _3379_.Y _3706_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3379_.Y _3776_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3379_.Y _5214_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3379_.Y _5221_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3380_.X _3403_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3380_.X output309.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3381_.X _3386_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3382_.X _3394_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3383_.X _3393_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3384_.X _3405_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3385_.X _3391_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3386_.X _3392_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3387_.X _3388_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3388_.X _3392_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3389_.X _3390_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3390_.X _3392_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3391_.X _3392_.D (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3392_.X _3410_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3393_.X _3395_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3394_.X _3395_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3395_.X _3396_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3396_.X _3409_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3397_.X _3400_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3398_.X _3399_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3399_.X _3400_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3400_.X _3409_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3401_.X _3402_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3402_.X _3408_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3403_.X _3404_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3404_.X _3408_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3405_.X _3408_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3406_.X _3407_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3407_.X _3408_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3408_.X _3409_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3409_.X _3410_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3410_.X _3411_.A0 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3410_.X _4172_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _3410_.X _4187_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3410_.X _4196_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3410_.X _6355_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _3411_.X _3412_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3412_.X hold1366.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3413_.X _3432_.B2 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _3413_.X output308.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3414_.Y _3415_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3414_.Y _3451_.B (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _3414_.Y _3478_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3414_.Y _3492_.B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _3414_.Y _3534_.B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _3414_.Y _3729_.B (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _3415_.Y _3427_.B1 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _3415_.Y _3488_.B1 (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _3415_.Y _3581_.B1 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT _3415_.Y _3623_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3415_.Y _3718_.B1 (0.015:0.015:0.015) (0.014:0.014:0.015)) - (INTERCONNECT _3415_.Y _3756_.B1 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _3415_.Y _4104_.A (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _3416_.Y _3417_.B (0.021:0.021:0.021) (0.019:0.019:0.019)) - (INTERCONNECT _3416_.Y _3456_.B (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT _3416_.Y _3477_.B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _3416_.Y _3490_.B (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _3416_.Y _3519_.B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3416_.Y _3525_.B (0.023:0.023:0.023) (0.021:0.021:0.021)) - (INTERCONNECT _3416_.Y _3657_.A2_N (0.022:0.022:0.022) (0.020:0.020:0.020)) - (INTERCONNECT _3417_.Y _3442_.B1 (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT _3417_.Y _3497_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3417_.Y _3585_.A2 (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _3417_.Y _3644_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3417_.Y _3675_.A2 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _3417_.Y _3775_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3417_.Y _5193_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3418_.X _3430_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3419_.X _3428_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3420_.X _3421_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3421_.X _3445_.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _3421_.X ANTENNA_26.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3421_.X ANTENNA_27.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3421_.X ANTENNA_28.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _3421_.X ANTENNA_29.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _3422_.X _3423_.C1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _3423_.X _3445_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3424_.X _3429_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3425_.X _3438_.C1 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _3426_.X _3427_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3427_.X _3431_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3428_.X _3431_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3429_.X _3431_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3430_.X _3431_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3431_.X _3447_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3432_.X _3433_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3433_.X _3447_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3434_.X _3435_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3435_.X _3447_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3436_.X _3437_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3437_.X _3446_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3438_.X _3446_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3439_.X _3440_.C1 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _3440_.X _3446_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3441_.X _3442_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3442_.X _3445_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3443_.X _3444_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3444_.X _3445_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3445_.X _3446_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3446_.X _3447_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3447_.X _3448_.A0 (0.034:0.034:0.034) (0.033:0.033:0.033)) - (INTERCONNECT _3447_.X _4171_.A1 (0.031:0.031:0.031) (0.031:0.031:0.031)) - (INTERCONNECT _3447_.X _4186_.A1 (0.033:0.033:0.033) (0.033:0.033:0.033)) - (INTERCONNECT _3447_.X _4195_.A1 (0.034:0.034:0.034) (0.033:0.033:0.033)) - (INTERCONNECT _3447_.X _6354_.A1 (0.027:0.027:0.027) (0.027:0.027:0.027)) - (INTERCONNECT _3447_.X ANTENNA_226.DIODE (0.027:0.027:0.027) (0.027:0.027:0.027)) - (INTERCONNECT _3448_.X _3449_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3449_.X hold1373.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3450_.Y _3453_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3450_.Y _3594_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3450_.Y _3648_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3450_.Y _3705_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3450_.Y _3775_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _3450_.Y _5529_.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _3450_.Y ANTENNA_30.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _3450_.Y ANTENNA_31.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _3451_.Y _3452_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3451_.Y _3576_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3451_.Y _3630_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3451_.Y _3683_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _3451_.Y _3748_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3451_.Y _4174_.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _3451_.Y ANTENNA_32.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3451_.Y ANTENNA_33.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _3452_.X _3453_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3453_.X _3468_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3454_.Y _3458_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3454_.Y _3567_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3454_.Y _3621_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3454_.Y _3672_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3454_.Y _3745_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3454_.Y _4240_.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _3455_.Y _3458_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3455_.Y _3586_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3455_.Y _3641_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3455_.Y _3702_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3455_.Y _3771_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3455_.Y _4040_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3456_.Y _3457_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3456_.Y _3553_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3456_.Y _3640_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3456_.Y _3715_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _3456_.Y _3785_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3456_.Y _4282_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3457_.X _3458_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3458_.X _3468_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3459_.X _3461_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3460_.Y _3461_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3460_.Y _3565_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3460_.Y _3618_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3460_.Y _3705_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3460_.Y _3753_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _3460_.Y _4288_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3461_.X _3468_.C (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3461_.X ANTENNA_34.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3462_.Y _3464_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3462_.Y _3589_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3462_.Y _3655_.A2 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _3462_.Y _3707_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3462_.Y _3761_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _3462_.Y _4306_.A (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _3463_.Y _3464_.B1 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _3463_.Y _3554_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3463_.Y _3636_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3463_.Y _3664_.B1 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT _3463_.Y _3780_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3463_.Y _4294_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3464_.X _3467_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3465_.Y _3467_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3465_.Y _3574_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3465_.Y _3611_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3465_.Y _3693_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3465_.Y _3743_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3465_.Y hold58.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3466_.Y _3467_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3466_.Y _3563_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3466_.Y _3623_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3466_.Y _3678_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3466_.Y _3737_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3466_.Y _4210_.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _3467_.X _3468_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3468_.X _3543_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3469_.X _3470_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3470_.X _3484_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3470_.X ANTENNA_35.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3471_.Y _3473_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3471_.Y _3560_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3471_.Y _3652_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _3471_.Y _3719_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _3471_.Y _3786_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3471_.Y hold1347.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3472_.Y _3473_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3472_.Y _3765_.D1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3473_.X _3475_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3474_.Y _3475_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3474_.Y _3598_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3474_.Y _3612_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3474_.Y _3700_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3474_.Y _3750_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _3474_.Y _4264_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3475_.X _3484_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3476_.Y _3480_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3476_.Y _3596_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3476_.Y _3656_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3476_.Y _3667_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3476_.Y _3768_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3476_.Y _4010_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3477_.Y _3479_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3477_.Y _3567_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3477_.Y _3628_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3477_.Y _3708_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3477_.Y _3747_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3477_.Y _4216_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3478_.Y _3479_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3478_.Y _3574_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3478_.Y _3620_.A2 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _3478_.Y _3676_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3478_.Y _3745_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3478_.Y _4246_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3479_.X _3480_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3480_.X _3484_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3481_.X _3483_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3482_.Y _3483_.B1 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _3482_.Y _3551_.B1 (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT _3482_.Y _3656_.B1 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT _3482_.Y _3687_.B1 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _3482_.Y _3768_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _3482_.Y _4022_.A (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _3482_.Y ANTENNA_36.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _3482_.Y ANTENNA_37.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _3483_.X _3484_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3484_.X _3543_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3485_.Y _3486_.B1 (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT _3485_.Y _3586_.B1 (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT _3485_.Y _3643_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _3485_.Y _3699_.B1 (0.018:0.018:0.018) (0.017:0.017:0.018)) - (INTERCONNECT _3485_.Y _3776_.B1 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _3485_.Y _6392_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3486_.X _3487_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3487_.X _3499_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3488_.X _3491_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3489_.Y _3491_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3489_.Y _3579_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3489_.Y _3630_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3489_.Y _3704_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3489_.Y _3744_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3489_.Y _4258_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3490_.Y _3491_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3490_.Y _3549_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3490_.Y _3626_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3490_.Y _3676_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3490_.Y _3758_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3490_.Y _4300_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3491_.X _3499_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3492_.Y _3493_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3492_.Y _3587_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3492_.Y _3644_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3492_.Y _3706_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3492_.Y _3766_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3492_.Y _4016_.A (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _3493_.X _3494_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3494_.X _3499_.C (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3494_.X ANTENNA_38.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3495_.Y _3498_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3495_.Y _3561_.B1 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _3495_.Y _3634_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3495_.Y _3681_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3495_.Y _3773_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3495_.Y _4028_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3495_.Y ANTENNA_39.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3496_.Y _3497_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3496_.Y _3590_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3496_.Y _3648_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3496_.Y _3670_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3496_.Y _3774_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3496_.Y _4129_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3497_.X _3498_.C1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3498_.X _3499_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3499_.X _3542_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3500_.X _3501_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3501_.X _3515_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3501_.X ANTENNA_40.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3502_.Y _3506_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3502_.Y _3580_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3502_.Y _3610_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3502_.Y _3685_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3502_.Y _3746_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3502_.Y _4153_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3503_.Y _3505_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3503_.Y _3563_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3503_.Y _3620_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3503_.Y _3703_.B1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _3503_.Y _3752_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3503_.Y _4198_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3504_.Y _3505_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3504_.Y _3572_.B1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _3504_.Y _3624_.B1 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _3504_.Y _3677_.A2 (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT _3504_.Y _3765_.A2 (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT _3504_.Y _4147_.A (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT _3505_.X _3506_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3506_.X _3515_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3506_.X ANTENNA_41.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3507_.Y _3508_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3507_.Y _3554_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3507_.Y _3655_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3507_.Y _3686_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3507_.Y _3784_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3507_.Y _4034_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3508_.X _3509_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3509_.X _3515_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3510_.Y _3514_.B1 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _3510_.Y _3559_.B1 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _3510_.Y _3638_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _3510_.Y _3665_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3510_.Y _3767_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _3510_.Y _4324_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3511_.Y _3513_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _3511_.Y _3558_.B1 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _3511_.Y _3625_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3511_.Y _3680_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _3511_.Y _3754_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3511_.Y _4252_.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _3511_.Y ANTENNA_42.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _3512_.Y _3513_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3512_.Y _3556_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3512_.Y _3657_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3512_.Y _3677_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3512_.Y _3770_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3512_.Y _4135_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3513_.X _3514_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3514_.X _3515_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3515_.X _3542_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3516_.X _3517_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3517_.X _3524_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3518_.Y _3520_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3518_.Y _3578_.B1 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _3518_.Y _3622_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3518_.Y _3690_.B1 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _3518_.Y _3740_.B1 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _3518_.Y _4276_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3519_.Y _3520_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3519_.Y _3575_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3519_.Y _3610_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _3519_.Y _3692_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3519_.Y _3728_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3519_.Y _4141_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3520_.X _3524_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3521_.X _3524_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3522_.X _3523_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3523_.X _3524_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3524_.X _3542_.C (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3525_.Y _3527_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _3525_.Y _3555_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _3525_.Y _3645_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3525_.Y _3671_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3525_.Y _3767_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3525_.Y _4046_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3526_.Y _3527_.B1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _3526_.Y _3587_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3526_.Y _3643_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _3526_.Y _3683_.B1 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _3526_.Y _3772_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3526_.Y _5187_.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _3527_.X _3529_.C1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _3528_.Y _3529_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3528_.Y _3571_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3528_.Y _3628_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3528_.Y _3704_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3528_.Y _3737_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3528_.Y _4159_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3529_.X _3541_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3530_.Y _3532_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3530_.Y _3565_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3530_.Y _3621_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3530_.Y _3673_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3530_.Y _3741_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3530_.Y _4270_.A (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _3531_.Y _3532_.B1 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _3531_.Y _3597_.B1 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT _3531_.Y _3629_.B1 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _3531_.Y _3680_.B1 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT _3531_.Y _3750_.B1 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _3531_.Y _4204_.A (0.019:0.019:0.019) (0.018:0.019:0.019)) - (INTERCONNECT _3531_.Y ANTENNA_43.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _3531_.Y ANTENNA_44.DIODE (0.019:0.019:0.019) (0.018:0.019:0.019)) - (INTERCONNECT _3531_.Y ANTENNA_45.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT _3532_.X _3533_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3533_.X _3541_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3534_.Y _3535_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3534_.Y _3599_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3534_.Y _3617_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3534_.Y _3665_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3534_.Y _3762_.B1 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _3534_.Y _4330_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3535_.X _3537_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3536_.Y _3537_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3536_.Y _3556_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3536_.Y _3638_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3536_.Y _3670_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _3536_.Y _3774_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3536_.Y _4312_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3537_.X _3541_.C (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3538_.Y _3539_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3538_.Y _3580_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3538_.Y _3609_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3538_.Y _3720_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3538_.Y _3743_.B1 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _3538_.Y hold50.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3539_.X _3540_.C1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3540_.X _3541_.D (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3541_.X _3542_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3542_.X _3543_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3543_.X clkbuf_0__1134_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3544_.X _3545_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3545_.X _6787_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3546_.Y _3568_.B1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _3546_.Y _3604_.B1 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _3546_.Y _3695_.B1 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _3546_.Y _3757_.B1 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _3546_.Y _5205_.A (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _3546_.Y ANTENNA_46.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _3546_.Y ANTENNA_257.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _3547_.Y _3585_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3547_.Y _3649_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3547_.Y _3712_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3547_.Y _3770_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3548_.X _3562_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3549_.X _3563_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3550_.X _3587_.C1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3551_.X _3589_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3552_.X _3585_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3553_.X _3586_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3554_.X _3592_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3555_.X _3594_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3556_.X _3595_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3557_.X _3596_.C1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3557_.X ANTENNA_47.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3558_.X _3583_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3559_.X _3584_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3560_.X _3591_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3561_.X _3590_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3562_.X _3564_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3563_.X _3564_.C1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3563_.X ANTENNA_48.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3564_.X _3583_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3565_.X _3566_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3566_.X _3573_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3567_.X _3568_.C1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3568_.X _3573_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3569_.X _3570_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3570_.X _3573_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3571_.X _3572_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3572_.X _3573_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3573_.X _3583_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3574_.X _3575_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3575_.X _3582_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3576_.X _3577_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3577_.X _3582_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3578_.X _3579_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3579_.X _3582_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3580_.X _3581_.C1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _3580_.X ANTENNA_49.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _3581_.X _3582_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3582_.X _3583_.D (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3583_.X _3601_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3584_.X _3588_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3585_.X _3588_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3586_.X _3588_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3587_.X _3588_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3588_.X _3601_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3589_.X _3593_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3590_.X _3593_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3591_.X _3593_.C (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3592_.X _3593_.D (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3593_.X _3601_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3594_.X _3600_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3595_.X _3600_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3596_.X _3600_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3597_.X _3598_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3598_.X _3599_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3599_.X _3600_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3600_.X _3601_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3601_.X _3602_.A0 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _3601_.X _4169_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _3601_.X _4184_.A1 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _3601_.X _4193_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3601_.X _6352_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _3602_.X _3603_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3603_.X hold1368.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3604_.X _3620_.C1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3605_.X _3654_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3606_.X _3629_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3607_.Y _3647_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3607_.Y _3697_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3607_.Y _3783_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3607_.Y _5225_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3608_.X _3631_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3609_.X _3628_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3610_.X _3630_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3611_.X _3624_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3612_.X _3623_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3613_.X _3625_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3614_.X _3626_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3615_.X _3621_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3616_.X _3622_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3617_.X _3658_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3618_.X _3619_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3619_.X _3633_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3620_.X _3622_.C1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3620_.X ANTENNA_50.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3621_.X _3622_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3622_.X _3633_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3623_.X _3627_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3624_.X _3627_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3625_.X _3627_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3626_.X _3627_.D (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _3627_.X _3633_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3628_.X _3632_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3629_.X _3632_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3630_.X _3632_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3631_.X _3632_.D (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3632_.X _3633_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3633_.X _3660_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3634_.X _3635_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3635_.X _3642_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3636_.X _3637_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3637_.X _3642_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3638_.X _3639_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3639_.X _3642_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3640_.X _3641_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3641_.X _3642_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3642_.X _3660_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3643_.X _3644_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3644_.X _3651_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3645_.X _3646_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3646_.X _3651_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3647_.X _3648_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3648_.X _3651_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3649_.X _3650_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3650_.X _3651_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3651_.X _3659_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3652_.X _3653_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3653_.X _3659_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3654_.X _3659_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3655_.X _3656_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3656_.X _3658_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3657_.X _3658_.D (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3658_.X _3659_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3659_.X _3660_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3660_.X _3661_.A0 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _3660_.X _4168_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _3660_.X _4183_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _3660_.X _4192_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _3660_.X _6351_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _3661_.X _3662_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3662_.X _6785_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3663_.X _3669_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3664_.X _3669_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3665_.X _3666_.C1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3666_.X _3669_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3667_.X _3668_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3668_.X _3669_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3669_.X _3723_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3670_.X _3671_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3671_.X _3679_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3672_.X _3673_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3673_.X _3679_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3674_.Y _3675_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3674_.Y _3782_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3674_.Y _5184_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3675_.X _3676_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3676_.X _3679_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3677_.X _3678_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3678_.X _3679_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3679_.X _3689_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3680_.X _3681_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3681_.X _3688_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3682_.X _3683_.C1 (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _3682_.X ANTENNA_51.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _3683_.X _3688_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3684_.X _3685_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3685_.X _3688_.C (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3686_.X _3687_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3687_.X _3688_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3688_.X _3689_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3689_.X _3723_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3690_.X _3691_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3691_.X _3692_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3691_.X ANTENNA_52.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3692_.X _3722_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3693_.X _3694_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3694_.X _3701_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3695_.X _3696_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3696_.X _3701_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3697_.X _3698_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3698_.X _3701_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3699_.X _3700_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3700_.X _3701_.D (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3701_.X _3722_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3702_.X _3703_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3703_.X _3710_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3704_.X _3705_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3705_.X _3710_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3706_.X _3707_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3707_.X _3710_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3708_.X _3709_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3709_.X _3710_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3710_.X _3722_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3711_.Y _3712_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3711_.Y _3764_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3711_.Y _5200_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3712_.X _3713_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3713_.X _3721_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3714_.Y _3715_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3714_.Y _3761_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3714_.Y _5229_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3715_.X _3716_.C1 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _3716_.X _3721_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3717_.X _3718_.C1 (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT _3718_.X _3721_.C (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3718_.X ANTENNA_53.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3719_.X _3720_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3720_.X _3721_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3721_.X _3722_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3722_.X _3723_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3723_.X _3724_.A0 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _3723_.X _4167_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _3723_.X _4182_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _3723_.X _4191_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _3723_.X _6350_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _3724_.X _3725_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3725_.X hold1364.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3726_.Y _3753_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3726_.Y _5223_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3727_.Y _3787_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3727_.Y _5213_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3728_.X _3758_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3729_.Y _3734_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3729_.Y _5203_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3730_.X _3735_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3730_.X output305.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3731_.Y _3735_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3731_.Y _5210_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3732_.X _3753_.C1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3733_.X _3752_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3734_.X _3787_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3735_.X _3782_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3736_.X _3766_.C1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _3737_.X _3759_.C1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3738_.X _3754_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3739_.X _3756_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3740_.X _3757_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3741_.X _3742_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3742_.X _3749_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _3743_.X _3744_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3744_.X _3749_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3745_.X _3746_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3745_.X ANTENNA_54.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3746_.X _3749_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3747_.X _3748_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3748_.X _3749_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3749_.X _3790_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3750_.X _3751_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3751_.X _3755_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3752_.X _3755_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3753_.X _3755_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3754_.X _3755_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3755_.X _3790_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3756_.X _3760_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _3757_.X _3760_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3757_.X ANTENNA_55.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3758_.X _3760_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3759_.X _3760_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3760_.X _3765_.B1 (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT _3761_.X _3764_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3762_.X _3763_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3763_.X _3764_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3764_.X _3765_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3765_.X _3790_.C (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _3766_.X _3789_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3767_.X _3772_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3768_.X _3769_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3769_.X _3772_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3770_.X _3771_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3771_.X _3772_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3772_.X _3789_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3773_.X _3774_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3774_.X _3781_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3775_.X _3776_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3776_.X _3781_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3777_.X _3778_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3778_.X _3781_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3779_.X _3780_.C1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3779_.X ANTENNA_56.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3780_.X _3781_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3781_.X _3789_.C (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _3782_.X _3788_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3783_.X _3784_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3784_.X _3788_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3785_.X _3786_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3786_.X _3788_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3787_.X _3788_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3788_.X _3789_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3789_.X _3790_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3790_.X _3792_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3790_.X _4166_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _3790_.X _4181_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _3790_.X _4190_.A1 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _3790_.X _6349_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _3791_.Y _3792_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3792_.X _6783_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3793_.X _3794_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3793_.X _3842_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3793_.X _3843_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3794_.X _3795_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3794_.X _3796_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3794_.X _3799_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3794_.X _3801_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3795_.Y _3841_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3796_.X _3798_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3796_.X _3800_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3797_.X _3803_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3797_.X _3838_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3798_.X _6473_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3799_.Y _3800_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3800_.Y _6472_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3801_.X _6471_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3802_.X _3805_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3802_.X _3834_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3802_.X _3851_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3802_.X _3874_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3802_.X _3912_.A4 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3802_.X _3913_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3802_.X _3914_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3802_.X _3915_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3803_.Y _3843_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3803_.Y _3890_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3803_.Y _3891_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3804_.X _3805_.A3 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3804_.X _3890_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3805_.X _3806_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3806_.Y _3810_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _3806_.Y _3812_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3806_.Y _3815_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3806_.Y _3819_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3806_.Y _3822_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3806_.Y _3825_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3806_.Y _3828_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3806_.Y _3830_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3806_.Y _3833_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3807_.X _3808_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3807_.X _3820_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3807_.X _3823_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3808_.X _3809_.D (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3808_.X _3813_.A3 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3808_.X _3816_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3808_.X _3817_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3808_.X _3821_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3809_.Y _3811_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3809_.Y _3814_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3810_.Y _3812_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3811_.X _3812_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3812_.Y _6470_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3813_.X _3814_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3814_.X _3815_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3815_.X _6469_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3816_.Y _3824_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3817_.X _3818_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3818_.Y _3819_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3819_.X _6468_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3820_.Y _3827_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3821_.X _3822_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3822_.X _6467_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3823_.X _3824_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3824_.X _3825_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3825_.X _6466_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3826_.X _3827_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3827_.X _3828_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3828_.X _6465_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3829_.Y _3831_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3830_.Y _3831_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3831_.Y _6464_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3832_.X _3833_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3833_.X _6463_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3834_.Y _3835_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3834_.Y _3914_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3835_.X _6462_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3836_.Y _3837_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3837_.X _6461_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3838_.Y _3839_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3838_.Y _3870_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3839_.Y _3840_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3839_.Y _3871_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3840_.X _6460_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3841_.X _6459_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3842_.Y _3843_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3843_.Y _6458_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3844_.X _3845_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3845_.X _6457_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3846_.X _3847_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3847_.X _6456_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3848_.Y _3849_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3849_.X _3852_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3849_.X _3854_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3849_.X _3855_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _3849_.X _3856_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3849_.X _3859_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3850_.X _3852_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3850_.X _3860_.S (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT _3850_.X _3861_.S (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT _3850_.X _3862_.S (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT _3850_.X _3863_.S (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT _3850_.X _3864_.S (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT _3850_.X _3865_.S (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT _3850_.X _3866_.S (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT _3851_.Y _3852_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3852_.X _3853_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3852_.X _3859_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3853_.Y _3854_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3853_.Y _3857_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3853_.Y _3858_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3854_.Y _3855_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3855_.X hold1436.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3856_.Y _3857_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3857_.Y _6454_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3858_.X _3859_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3859_.X _6453_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3860_.X hold1385.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3861_.X hold1415.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3862_.X _6450_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3863_.X _6449_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3864_.X _6448_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3865_.X _6447_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3866_.X _6446_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3867_.X _3868_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3867_.X _3869_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3868_.Y _3869_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3869_.X _6445_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3870_.X _3871_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3871_.X _6444_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3872_.Y fanout483.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3873_.X _6443_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3874_.X _6442_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3875_.X _3899_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3875_.X _3907_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3875_.X _5592_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3875_.X output307.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3876_.X _3889_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3876_.X _3892_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3876_.X _3893_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3876_.X _3894_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3877_.Y _3954_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3877_.Y _3955_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3877_.Y _3956_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3877_.Y _3962_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3878_.X _3879_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3879_.X _3887_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3880_.X _3887_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3881_.X _3887_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3882_.X _3886_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3882_.X _4391_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3882_.X _4392_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3882_.X _4467_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3883_.X _3886_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3883_.X _4467_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3883_.X _4654_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3884_.X _3886_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3885_.X _3886_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3886_.X _3887_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3887_.Y wire431.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3888_.Y _6347_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3889_.X _6696_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3890_.Y _3891_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3890_.Y _3915_.A2_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3891_.X _6541_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3892_.X _6698_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3893_.X _6699_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3894_.X _6700_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3895_.X _3896_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3895_.X _3910_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3896_.X _3897_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3896_.X _5590_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3897_.Y _6562_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3898_.Y _3899_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3899_.X _3900_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3899_.X _3908_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3899_.X _3909_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3900_.Y _3901_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3900_.Y _5596_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3901_.X _6563_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3902_.Y _3906_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3902_.Y _5973_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3902_.Y _5984_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3902_.Y _5991_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3902_.Y _6007_.A (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _3902_.Y _6017_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3902_.Y _6019_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3903_.X _3905_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3903_.X _5630_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3903_.X _5977_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3903_.X _5988_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3903_.X _5996_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3903_.X _6003_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3903_.X _6014_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3904_.X _3905_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3904_.X _5635_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3904_.X _5972_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3904_.X _5983_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3904_.X _5989_.A (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _3904_.X _6000_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3905_.Y _3906_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3905_.Y _6002_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3905_.Y _6018_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3906_.X _3909_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3906_.X _3910_.A1_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3907_.Y _5647_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3907_.Y _5651_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _3908_.Y _3910_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3909_.X _6564_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3910_.X _6565_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3911_.Y hold1446.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3912_.X hold1413.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3913_.X hold1471.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3914_.Y hold1425.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3915_.X _6543_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3916_.Y _3917_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3917_.X _6443_.D (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _3917_.X ANTENNA_1.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _3918_.X output243.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3919_.X output242.A (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _3920_.X output205.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _3921_.X output204.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3922_.X output203.A (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT _3923_.X output238.A (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT _3924_.X output239.A (0.053:0.053:0.053) (0.051:0.051:0.051)) - (INTERCONNECT _3925_.X output241.A (0.050:0.050:0.050) (0.047:0.048:0.048)) - (INTERCONNECT _3926_.X output214.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3927_.X output250.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3928_.X output249.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3929_.X output247.A (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _3930_.X _3931_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3931_.X _3932_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3932_.X output224.A (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _3933_.X output213.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3934_.X output186.A (0.031:0.031:0.031) (0.030:0.030:0.030)) - (INTERCONNECT _3935_.X output175.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3936_.X output219.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3937_.X output218.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3938_.X output217.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3939_.X output311.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3940_.X output310.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3941_.Y _3942_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3942_.X clkbuf_0_csclk.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3943_.X output253.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3944_.Y output254.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3945_.X output251.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3946_.Y output252.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3947_.Y _3948_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3947_.Y output256.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3948_.Y output257.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3949_.X _3950_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3949_.X output260.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3950_.Y output259.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3951_.X output255.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3952_.X output313.A (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT _3953_.X output314.A (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _3954_.X _6695_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3955_.X _6694_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3956_.X _6693_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3957_.X output306.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3958_.X output312.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3959_.X output171.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3960_.X output173.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _3961_.X output174.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _3962_.X _6692_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3963_.Y hold111.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3964_.X hold137.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3965_.Y hold13.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3966_.X _3968_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3966_.X _3970_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3966_.X _3972_.S (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _3966_.X _3974_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _3966_.X _3976_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3966_.X _3978_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3966_.X _3980_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3966_.X _3982_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3967_.X hold565.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3968_.X hold1288.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3969_.X hold8.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3970_.X hold1186.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3971_.X hold62.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3972_.X hold1108.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3973_.X hold159.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3974_.X hold529.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3975_.X hold84.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3976_.X hold483.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3977_.X hold132.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3978_.X hold145.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3979_.X hold1371.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3980_.X hold917.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3981_.X hold470.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3982_.X hold726.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3983_.X _3984_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3983_.X _3985_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3983_.X _3986_.S (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _3983_.X _3987_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3983_.X _3988_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _3983_.X _3989_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3983_.X _3990_.S (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _3983_.X _3991_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3984_.X hold1300.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3985_.X hold1183.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3986_.X hold1160.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3987_.X hold592.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3988_.X hold487.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3989_.X hold141.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3990_.X hold258.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3991_.X hold471.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3992_.X _3993_.S (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _3992_.X _3994_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3992_.X _3995_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3992_.X _3996_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3992_.X _3997_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3992_.X _3998_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3992_.X _3999_.S (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _3992_.X _4000_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3993_.X hold1294.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3994_.X hold1334.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _3995_.X hold1362.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3996_.X hold527.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3997_.X hold517.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3998_.X hold134.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _3999_.X hold244.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4000_.X hold584.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4001_.Y _4002_.S (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _4001_.Y _4003_.S (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _4001_.Y _4004_.S (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT _4001_.Y _4005_.S (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT _4001_.Y _4006_.S (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT _4001_.Y _4007_.S (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _4001_.Y _4008_.S (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _4001_.Y _4009_.S (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _4001_.Y ANTENNA_57.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4002_.X hold1042.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4003_.X hold899.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4004_.X hold1194.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4005_.X hold491.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4006_.X hold168.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4007_.X hold1026.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4008_.X hold921.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4009_.X hold738.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4010_.X _4011_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4010_.X _4012_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4010_.X _4013_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4010_.X _4014_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4010_.X _4015_.S (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _4011_.X hold1298.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4012_.X hold935.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4013_.X hold1114.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4014_.X hold742.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4015_.X hold370.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4016_.Y _4017_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4016_.Y _4018_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4016_.Y _4019_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4016_.Y _4020_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4016_.Y _4021_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4017_.X hold1278.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4018_.X hold947.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4019_.X hold871.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4020_.X hold618.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4021_.X hold561.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4022_.X _4023_.S (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _4022_.X _4024_.S (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _4022_.X _4025_.S (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _4022_.X _4026_.S (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4022_.X _4027_.S (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _4023_.X hold1296.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4024_.X hold1142.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4025_.X hold1104.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4026_.X hold754.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4027_.X hold716.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4028_.X _4029_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4028_.X _4030_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4028_.X _4031_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4028_.X _4032_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4028_.X _4033_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4029_.X hold1276.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4030_.X hold941.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4031_.X hold831.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4032_.X hold744.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4033_.X hold722.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4034_.X _4035_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4034_.X _4036_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4034_.X _4037_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4034_.X _4038_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4034_.X _4039_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4035_.X hold1304.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4036_.X hold1122.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4037_.X hold1106.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4038_.X hold497.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4039_.X hold468.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4040_.Y _4041_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4040_.Y _4042_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4040_.Y _4043_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4040_.Y _4044_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4040_.Y _4045_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4041_.X hold1253.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4042_.X hold959.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4043_.X hold1214.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4044_.X hold748.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4045_.X hold672.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4046_.Y _4047_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4046_.Y _4048_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4046_.Y _4049_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4046_.Y _4050_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4046_.Y _4051_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4047_.X hold1089.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4048_.X hold1087.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4049_.X hold1097.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4050_.X hold762.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4051_.X hold666.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4052_.X _4053_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4052_.X _4070_.B1 (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT _4052_.X _4087_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4053_.X _4055_.S (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT _4053_.X _4057_.S (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT _4053_.X _4059_.S (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _4053_.X _4061_.S (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _4053_.X _4063_.S (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4053_.X _4065_.S (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _4053_.X _4067_.S (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _4053_.X _4069_.S (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _4054_.X _4055_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4055_.X hold1190.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4056_.X _4057_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4057_.X hold426.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4058_.X _4059_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4059_.X hold976.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4060_.X _4061_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4061_.X hold953.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4062_.X _4063_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4063_.X hold382.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4064_.X _4065_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4065_.X hold957.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4066_.X _4067_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4067_.X hold422.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4068_.X _4069_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4069_.X hold230.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4070_.X _4072_.S (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _4070_.X _4074_.S (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _4070_.X _4076_.S (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT _4070_.X _4078_.S (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _4070_.X _4080_.S (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _4070_.X _4082_.S (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _4070_.X _4084_.S (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _4070_.X _4086_.S (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _4071_.X _4072_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4072_.X hold1177.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4073_.X _4074_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4074_.X hold1040.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4075_.X _4076_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4076_.X hold551.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4077_.X _4078_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4078_.X hold432.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4079_.X _4080_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4080_.X hold418.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4081_.X _4082_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4082_.X hold404.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4083_.X _4084_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4084_.X hold410.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4085_.X _4086_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4086_.X hold974.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4087_.X _4089_.S (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _4087_.X _4091_.S (0.011:0.011:0.011) (0.010:0.010:0.011)) - (INTERCONNECT _4087_.X _4093_.S (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _4087_.X _4095_.S (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _4087_.X _4097_.S (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _4087_.X _4099_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4087_.X _4101_.S (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _4087_.X _4103_.S (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _4088_.X _4089_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4089_.X hold1227.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4090_.X _4091_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4091_.X hold130.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4092_.X _4093_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4093_.X hold1008.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4094_.X _4095_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4095_.X hold414.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4096_.X _4097_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4097_.X hold380.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4098_.X _4099_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4099_.X hold841.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4100_.X _4101_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4101_.X hold350.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4102_.X _4103_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4103_.X hold272.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4104_.Y _4105_.S (0.030:0.030:0.030) (0.029:0.029:0.029)) - (INTERCONNECT _4104_.Y _4106_.S (0.030:0.030:0.030) (0.029:0.029:0.029)) - (INTERCONNECT _4104_.Y _4107_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4104_.Y _4108_.S (0.030:0.030:0.030) (0.029:0.029:0.029)) - (INTERCONNECT _4104_.Y _4109_.S (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _4104_.Y _4110_.S (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4105_.X hold1210.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4106_.X hold1198.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4107_.X hold282.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4108_.X hold700.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4109_.X hold800.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4110_.X hold174.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4111_.X hold97.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4112_.X hold794.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4113_.X hold238.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4114_.X hold784.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4115_.X hold473.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4116_.X hold180.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4117_.X hold128.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4118_.X hold98.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4119_.X hold41.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4120_.X _4121_.S (0.026:0.026:0.026) (0.026:0.026:0.026)) - (INTERCONNECT _4120_.X _4122_.S (0.025:0.025:0.025) (0.025:0.025:0.025)) - (INTERCONNECT _4120_.X _4123_.S (0.036:0.036:0.036) (0.035:0.035:0.035)) - (INTERCONNECT _4120_.X _4124_.S (0.037:0.037:0.037) (0.036:0.036:0.036)) - (INTERCONNECT _4120_.X _4125_.S (0.036:0.036:0.036) (0.035:0.035:0.035)) - (INTERCONNECT _4120_.X _4126_.S (0.035:0.035:0.035) (0.034:0.034:0.034)) - (INTERCONNECT _4120_.X _4127_.S (0.026:0.026:0.026) (0.026:0.026:0.026)) - (INTERCONNECT _4120_.X _4128_.S (0.037:0.037:0.037) (0.036:0.036:0.036)) - (INTERCONNECT _4120_.X ANTENNA_58.DIODE (0.025:0.025:0.025) (0.025:0.025:0.025)) - (INTERCONNECT _4121_.X hold978.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4122_.X hold923.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4123_.X hold330.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4124_.X hold296.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4125_.X hold210.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4126_.X hold194.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4127_.X hold228.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4128_.X hold756.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4129_.X _4130_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4129_.X _4131_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4129_.X _4132_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4129_.X _4133_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4129_.X _4134_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4130_.X hold1264.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4131_.X hold1166.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4132_.X hold1102.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4133_.X hold499.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4134_.X hold464.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4135_.X _4136_.S (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _4135_.X _4137_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4135_.X _4138_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4135_.X _4139_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4135_.X _4140_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4136_.X hold1268.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4137_.X hold1152.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4138_.X hold1130.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4139_.X hold547.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4140_.X hold485.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4141_.Y hold138.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4142_.X hold1168.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4143_.X hold290.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4144_.X hold242.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4145_.X hold139.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4146_.X hold586.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4147_.Y _4148_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4147_.Y _4149_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4147_.Y _4150_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4147_.Y _4151_.S (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4147_.Y _4152_.S (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4148_.X hold1146.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4149_.X hold1140.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4150_.X hold808.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4151_.X hold760.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4152_.X hold718.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4153_.Y _4154_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4153_.Y _4155_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4153_.Y _4156_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4153_.Y _4157_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4153_.Y _4158_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4154_.X hold1223.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4155_.X hold368.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4156_.X hold220.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4157_.X hold170.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4158_.X hold559.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4159_.X _4160_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4159_.X _4161_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4159_.X _4162_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4159_.X _4163_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4159_.X _4164_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4160_.X hold1255.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4161_.X hold334.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4162_.X hold274.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4163_.X hold182.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4164_.X hold670.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4165_.X _4166_.S (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT _4165_.X _4167_.S (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _4165_.X _4168_.S (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _4165_.X _4169_.S (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _4165_.X _4170_.S (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT _4165_.X _4171_.S (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT _4165_.X _4172_.S (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT _4165_.X _4173_.S (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT _4166_.X _6626_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4167_.X _6627_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4168_.X _6628_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4169_.X _6629_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4170_.X _6630_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4171_.X _6631_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4172_.X _6632_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4173_.X _6633_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4174_.Y _4175_.S (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _4174_.Y _4176_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4174_.Y _4177_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4174_.Y _4178_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4174_.Y _4179_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4175_.X hold1219.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4176_.X hold951.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4177_.X hold788.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4178_.X hold694.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4179_.X hold362.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4180_.X _4181_.S (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _4180_.X _4182_.S (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _4180_.X _4183_.S (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _4180_.X _4184_.S (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _4180_.X _4185_.S (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT _4180_.X _4186_.S (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT _4180_.X _4187_.S (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT _4180_.X _4188_.S (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT _4181_.X _6639_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4182_.X _6640_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4183_.X _6641_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4184_.X _6642_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4185_.X _6643_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4186_.X _6644_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4187_.X _6645_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4188_.X _6646_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4189_.X _4190_.S (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT _4189_.X _4191_.S (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT _4189_.X _4192_.S (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT _4189_.X _4193_.S (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT _4189_.X _4194_.S (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _4189_.X _4195_.S (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT _4189_.X _4196_.S (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT _4189_.X _4197_.S (0.026:0.026:0.026) (0.024:0.024:0.024)) - (INTERCONNECT _4190_.X _6647_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4191_.X _6648_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4192_.X _6649_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4193_.X _6650_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4194_.X _6651_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4195_.X _6652_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4196_.X _6653_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4197_.X _6654_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4198_.X _4199_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4198_.X _4200_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4198_.X _4201_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4198_.X _4202_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4198_.X _4203_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4199_.X hold1272.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4200_.X hold933.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _4201_.X hold828.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4202_.X hold386.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4203_.X hold366.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4204_.X _4205_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4204_.X _4206_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4204_.X _4207_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4204_.X _4208_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4204_.X _4209_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4205_.X hold1091.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4206_.X hold1093.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4207_.X hold1342.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4208_.X hold495.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4209_.X hold462.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4210_.X _4211_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4210_.X _4212_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4210_.X _4213_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4210_.X _4214_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4210_.X _4215_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4211_.X hold1280.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4212_.X hold1204.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4213_.X hold857.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4214_.X hold388.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4215_.X hold364.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4216_.Y _4217_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4216_.Y _4218_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4216_.Y _4219_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4216_.Y _4220_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4216_.Y _4221_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4217_.X hold955.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4218_.X hold895.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4219_.X hold45.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4220_.X hold690.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4221_.X hold686.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4222_.Y hold51.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4223_.X hold945.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4224_.X hold88.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4225_.X hold52.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4226_.X hold37.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4227_.X hold636.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4228_.Y _4649_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4228_.Y max_cap433.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4229_.X _4231_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4229_.X _4232_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4230_.X _4233_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4230_.X _6387_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4231_.Y _4723_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4231_.Y _4928_.A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _4231_.Y _5033_.A (0.009:0.009:0.009) (0.008:0.008:0.009)) - (INTERCONNECT _4231_.Y _5070_.A (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _4231_.Y _5071_.A (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _4231_.Y _5088_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _4231_.Y _5111_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _4231_.Y _5168_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _4231_.Y _5182_.A2 (0.010:0.010:0.010) (0.009:0.009:0.010)) - (INTERCONNECT _4231_.Y _6362_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4231_.Y _6387_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4232_.X _4233_.D1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4232_.X _4776_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4232_.X _4929_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4232_.X _5034_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4232_.X _6391_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4233_.X _6680_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4234_.Y hold59.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4235_.X hold965.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4236_.X hold90.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4237_.X hold63.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4238_.X hold60.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4239_.X hold378.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4240_.X _4241_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4240_.X _4242_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4240_.X _4243_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4240_.X _4244_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4240_.X _4245_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4241_.X hold1241.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4242_.X hold909.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4243_.X hold790.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4244_.X hold704.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4245_.X hold698.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4246_.Y _4247_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4246_.Y _4248_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4246_.Y _4249_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4246_.Y _4250_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4246_.Y _4251_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4247_.X hold1274.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4248_.X hold931.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4249_.X hold875.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4250_.X hold728.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4251_.X hold778.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4252_.X _4253_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4252_.X _4254_.S (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _4252_.X _4255_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4252_.X _4256_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4252_.X _4257_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4253_.X hold1270.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4254_.X hold943.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4255_.X hold1353.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4256_.X hold384.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4257_.X hold688.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4258_.X _4259_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4258_.X _4260_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4258_.X _4261_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4258_.X _4262_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4258_.X _4263_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4259_.X hold1251.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4260_.X hold294.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4261_.X hold234.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4262_.X hold149.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4263_.X hold616.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4264_.X _4265_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4264_.X _4266_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4264_.X _4267_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4264_.X _4268_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4264_.X _4269_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4265_.X hold1286.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4266_.X hold406.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4267_.X hold212.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4268_.X hold578.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4269_.X hold786.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4270_.X _4271_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4270_.X _4272_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4270_.X _4273_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4270_.X _4274_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4270_.X _4275_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4271_.X hold1247.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4272_.X hold298.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4273_.X hold222.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4274_.X hold147.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4275_.X hold572.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4276_.Y _4277_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4276_.Y _4278_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4276_.Y _4279_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4276_.Y _4280_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4276_.Y _4281_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4277_.X hold1062.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4278_.X hold344.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4279_.X hold304.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4280_.X hold186.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4281_.X hold630.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4282_.Y _4283_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4282_.Y _4284_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4282_.Y _4285_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4282_.Y _4286_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4282_.Y _4287_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4283_.X hold1179.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4284_.X hold1162.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4285_.X hold1134.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4286_.X hold541.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4287_.X hold456.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4288_.Y _4289_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4288_.Y _4290_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4288_.Y _4291_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4288_.Y _4292_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4288_.Y _4293_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4289_.X hold1158.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4290_.X hold328.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4291_.X hold254.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4292_.X hold151.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4293_.X hold632.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4294_.Y _4295_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4294_.Y _4296_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4294_.Y _4297_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4294_.Y _4298_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4294_.Y _4299_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4295_.X hold1221.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4296_.X hold1118.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4297_.X hold1100.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4298_.X hold549.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4299_.X hold481.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4300_.Y _4301_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4300_.Y _4302_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4300_.Y _4303_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4300_.Y _4304_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4300_.Y _4305_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4301_.X hold1116.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4302_.X hold300.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4303_.X hold226.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4304_.X hold164.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4305_.X hold580.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4306_.Y _4307_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4306_.Y _4308_.S (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _4306_.Y _4309_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4306_.Y _4310_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4306_.Y _4311_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4307_.X hold961.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4308_.X hold949.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4309_.X hold1229.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4310_.X hold720.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4311_.X hold768.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4312_.X _4313_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4312_.X _4314_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4312_.X _4315_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4312_.X _4316_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4312_.X _4317_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4313_.X hold1292.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4314_.X hold806.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4315_.X hold750.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4316_.X hold503.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4317_.X hold489.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4318_.X _4319_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4318_.X _4320_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4318_.X _4321_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4318_.X _4322_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4318_.X _4323_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4319_.X hold1302.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4320_.X hold1202.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4321_.X hold1348.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4322_.X hold712.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4323_.X hold714.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4324_.X _4325_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4324_.X _4326_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4324_.X _4327_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4324_.X _4328_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4324_.X _4329_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4325_.X hold1284.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4326_.X hold302.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4327_.X hold684.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4328_.X hold236.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4329_.X hold454.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4330_.Y _4331_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4330_.Y _4332_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4330_.Y _4333_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4330_.Y _4334_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4330_.Y _4335_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4331_.X hold1237.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4332_.X hold873.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4333_.X hold1170.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4334_.X hold460.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4335_.X hold531.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4336_.X _4352_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4336_.X _4377_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4336_.X _4378_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4336_.X _4389_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4336_.X _4547_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4336_.X _4725_.C1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4337_.X _4340_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4337_.X _4348_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4338_.X _4340_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4338_.X _4348_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4339_.X _4340_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4339_.X _4348_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4340_.X _4349_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4340_.X _4403_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4340_.X _4404_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4341_.X _4353_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4341_.X _4361_.A1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4341_.X _4409_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4341_.X _4412_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4341_.X _4551_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4341_.X _4585_.C_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4342_.X _4346_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4342_.X _4347_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4342_.X _4355_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4342_.X _4402_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4342_.X _4413_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _4342_.X _4414_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _4342_.X _4539_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4342_.X _4540_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4342_.X _4544_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4342_.X _4819_.C (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4342_.X _4820_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4343_.Y _4368_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4343_.Y _4369_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4343_.Y _4396_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4343_.Y _4399_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4343_.Y _4482_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4343_.Y _4596_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4343_.Y _4667_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4344_.X _4561_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4344_.X _4562_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4344_.X _4566_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4344_.X _4596_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4344_.X _4829_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4345_.X _4346_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4345_.X _4347_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4345_.X _4353_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4345_.X _4355_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4345_.X _4357_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4345_.X _4358_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4345_.X _4359_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4345_.X _4361_.A2_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4345_.X _4781_.A (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _4346_.Y _4354_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4346_.Y _4356_.A1_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4346_.Y _4427_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4347_.X _4349_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4347_.X _4350_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4347_.X _4356_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4347_.X _4427_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4348_.X _4350_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4348_.X _4542_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4349_.X _4351_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4349_.X _4393_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4349_.X _4500_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4350_.Y _4351_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4350_.Y _4393_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4350_.Y _4500_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4351_.Y _4352_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4351_.Y _4468_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4351_.Y _4484_.C_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4352_.X _4364_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4352_.X _4463_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4352_.X _4469_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4352_.X _4474_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4353_.X _4354_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4353_.X _4356_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4353_.X _4427_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4354_.Y _4935_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4355_.Y _4356_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4355_.Y _4427_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4356_.Y _4363_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4356_.Y _4463_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4356_.Y _4473_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4356_.Y _4944_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4357_.Y _4360_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4358_.X _4360_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4358_.X _4361_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4359_.Y _4362_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4359_.Y _4363_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4359_.Y _4428_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4359_.Y _4938_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4360_.X _4426_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4360_.X _4462_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4361_.X _4362_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4361_.X _4363_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4361_.X _4426_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4362_.Y _4934_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4362_.Y _4957_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4363_.X _4364_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4363_.X _4394_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4363_.X _4468_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4363_.X _4489_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4363_.X _4501_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4364_.X _4370_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _4364_.X _4374_.A (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _4364_.X _4493_.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _4364_.X _4496_.A (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _4364_.X _4534_.A (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _4364_.X _4535_.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _4364_.X _4603_.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _4364_.X _4716_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4364_.X _4717_.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _4364_.X _4718_.A (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT _4364_.X _4745_.A (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _4364_.X _4777_.B1 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _4364_.X _4845_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _4365_.Y _4366_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4365_.Y _4368_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4365_.Y _4369_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4365_.Y _4531_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4366_.Y _4367_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4366_.Y _4600_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4367_.Y _4471_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4367_.Y _4701_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4367_.Y _4709_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4368_.Y _4397_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4368_.Y _4662_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4368_.Y _4931_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4368_.Y _5058_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4369_.X _4370_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _4369_.X _4461_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4369_.X _4479_.A (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _4369_.X _4497_.A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _4369_.X _4500_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4369_.X _4681_.B2 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _4369_.X _4695_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4369_.X _4696_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4369_.X _4710_.B2 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _4369_.X _4830_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _4369_.X _5076_.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _4370_.Y _4536_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4370_.Y _4869_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4370_.Y _4930_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4371_.Y _4372_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4371_.Y _4373_.B (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _4371_.Y _4781_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4372_.Y _4495_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4372_.Y _4533_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4372_.Y _4607_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4372_.Y _4755_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4372_.Y _4762_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4372_.Y _4763_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4372_.Y _4765_.A1 (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _4372_.Y _4766_.A1 (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _4372_.Y _4767_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _4372_.Y _4768_.A1 (0.007:0.007:0.007) (0.006:0.007:0.007)) - (INTERCONNECT _4372_.Y _4778_.A (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _4372_.Y _4993_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4372_.Y _5006_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4372_.Y _5096_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4373_.X _4374_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4373_.X _4494_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4373_.X _4532_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4374_.Y _4601_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4374_.Y _5112_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4375_.Y _4838_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4375_.Y _4951_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4375_.Y _5058_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4375_.Y max_cap480.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4376_.Y _4382_.A (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _4376_.Y _4492_.A (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _4376_.Y _4659_.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _4376_.Y _4660_.A (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _4376_.Y _4661_.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _4376_.Y _4666_.A (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _4376_.Y _4677_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4376_.Y _4682_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _4376_.Y _4689_.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _4376_.Y _4918_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _4376_.Y _5023_.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _4376_.Y _5056_.A1 (0.009:0.009:0.009) (0.008:0.009:0.009)) - (INTERCONNECT _4376_.Y _5063_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4376_.Y _5179_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _4377_.Y _4381_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4377_.Y _4657_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4377_.Y _5012_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4377_.Y _5099_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4378_.X _4477_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4378_.X _4481_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4378_.X _4492_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4378_.X _4712_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4378_.X _4721_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4378_.X _5018_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4378_.X _5075_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4379_.Y _4397_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4379_.Y _4667_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4379_.Y _4732_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4379_.Y _5099_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4379_.Y max_cap478.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4380_.X _4396_.C (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _4380_.X _4492_.C (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _4380_.X _4500_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4380_.X _4572_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _4380_.X _4656_.A (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _4380_.X _4658_.A (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _4380_.X _4668_.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _4380_.X _4688_.A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _4380_.X _4721_.B (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _4380_.X _4906_.A (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _4380_.X _4919_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _4380_.X _4974_.C1 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _4380_.X _4981_.A (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _4380_.X _5021_.A (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _4380_.X _5074_.A1 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT _4381_.Y _4382_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4381_.Y _4649_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4381_.Y _4719_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4381_.Y _4918_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4382_.Y _4601_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4382_.Y _4952_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4383_.Y _4384_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4383_.Y _4385_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _4384_.Y _4387_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4384_.Y _4451_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4384_.Y _4953_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4384_.Y _4999_.B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _4384_.Y _5004_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _4384_.Y _5012_.A3 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _4384_.Y _5040_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _4384_.Y _5041_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _4384_.Y _5043_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _4384_.Y _5133_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _4384_.Y _5149_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _4385_.X _4386_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4385_.X _4450_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4385_.X _5035_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4386_.Y _4487_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4386_.Y _4488_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4386_.Y _4728_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4386_.Y _4735_.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _4386_.Y _4755_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4386_.Y _4759_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4386_.Y _4785_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4386_.Y _4856_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4386_.Y _4970_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4386_.Y _5099_.A3 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4387_.Y _4501_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4387_.Y _4572_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _4387_.Y _4729_.A (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _4387_.Y _4730_.A (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _4387_.Y _4736_.A (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _4387_.Y _4743_.A (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT _4387_.Y _4746_.A (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT _4387_.Y _4748_.A (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _4387_.Y _4753_.A (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT _4387_.Y _4757_.A1 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _4387_.Y _4760_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _4387_.Y _4808_.B2 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _4387_.Y _4974_.A1 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _4387_.Y _4981_.B (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _4387_.Y _5173_.A1 (0.014:0.014:0.015) (0.014:0.014:0.014)) - (INTERCONNECT _4388_.Y _4467_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4389_.Y _4391_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4389_.Y _4392_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4389_.Y _4595_.A (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT _4389_.Y _4662_.B (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _4389_.Y _4669_.B2 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _4389_.Y _4674_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4389_.Y _4801_.A1 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT _4389_.Y _4803_.A1 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT _4389_.Y _4804_.A1 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT _4389_.Y _4852_.A1 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _4389_.Y _4921_.A1 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT _4390_.X _4661_.B (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _4390_.X _4651_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4390_.X _4904_.B2 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _4390_.X _4693_.A (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _4390_.X _5072_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4390_.X fanout477.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4391_.Y _4393_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4391_.Y _4500_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4392_.X _4405_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4392_.X _4406_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4392_.X _4559_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4392_.X _4560_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4393_.X _4394_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4393_.X _4449_.A_N (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4393_.X _4489_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4393_.X _4498_.D1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4393_.X _4501_.A3 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4393_.X _4832_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4393_.X _4835_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4393_.X _4837_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4393_.X _4854_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4393_.X _4932_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4393_.X _4948_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4393_.X _4949_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4393_.X _5057_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4393_.X _5164_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4394_.X _4395_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4394_.X _4461_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4394_.X _4833_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4394_.X _4855_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4394_.X _5052_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4395_.Y _4856_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4395_.Y _4943_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4396_.X _4881_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4396_.X _5020_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4396_.X _5038_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4397_.Y _4484_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4397_.Y _4571_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4397_.Y _4779_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4398_.X _4401_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4398_.X _4402_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4398_.X _4407_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4398_.X _4408_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4398_.X _4409_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4398_.X _4412_.A2 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _4398_.X _4413_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4398_.X _4414_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4398_.X _4538_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4398_.X _5118_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4399_.X _4400_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4399_.X _4913_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4399_.X _5014_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4400_.Y _4418_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4400_.Y _4425_.A (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _4400_.Y _4447_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4400_.Y _4448_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4400_.Y _4449_.B (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _4400_.Y _4512_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4400_.Y _4516_.A (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _4400_.Y _4524_.A (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _4400_.Y _4732_.B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4400_.Y _4742_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4400_.Y _4895_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4401_.Y _4491_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4401_.Y _4520_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4401_.Y _4668_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4401_.Y _4670_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _4401_.Y _4687_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4401_.Y _4727_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4401_.Y _4739_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _4402_.X _4403_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4402_.X _4404_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4402_.X _4415_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4403_.X _4405_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4403_.X _4406_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4403_.X _4725_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4403_.X _4731_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4404_.Y _4405_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4404_.Y _4406_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4404_.Y _4725_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4404_.Y _4731_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4405_.X _4411_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4405_.X _4417_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4405_.X _4422_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4405_.X _4423_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4405_.X _4424_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4405_.X _4433_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4405_.X _4437_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4405_.X _4452_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4405_.X _4733_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4405_.X _4742_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4405_.X _4744_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4405_.X _4755_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4405_.X _4758_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4406_.Y _4434_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4406_.Y _4453_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4406_.Y _4881_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4406_.Y _5000_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4406_.Y _5038_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4407_.Y _4410_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4407_.Y _4421_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4407_.Y _4432_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4407_.Y _4433_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4407_.Y _4436_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4408_.Y _4409_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4409_.X _4410_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4409_.X _4432_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4409_.X _4433_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4410_.X _4411_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4410_.X _4417_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4410_.X _4452_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4410_.X _4755_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4410_.X _4874_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4410_.X _5001_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4411_.Y _4442_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4411_.Y _4443_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4411_.Y _4445_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4412_.X _4413_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4412_.X _4435_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4413_.Y _4416_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4413_.Y _4434_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4413_.Y _4444_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4414_.Y _4415_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4414_.Y _4435_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4415_.X _4416_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4415_.X _4434_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4415_.X _4444_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _4416_.Y _4417_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4416_.Y _4423_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4416_.Y _4424_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4416_.Y _4433_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4416_.Y _4452_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4416_.Y _4744_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4416_.Y _4755_.A4 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4416_.Y _4758_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4417_.X _4418_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4417_.X _4735_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4417_.X _4756_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4417_.X _4876_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4417_.X _4883_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4417_.X _5006_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4417_.X _5037_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4417_.X _5150_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4418_.Y _4503_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4418_.Y _4854_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4418_.Y _5057_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4419_.X _4431_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4419_.X _4459_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4419_.X _4828_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _4419_.X _4941_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4420_.X _4430_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4420_.X _4458_.B (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _4420_.X _4505_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4420_.X _4507_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4420_.X _4522_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _4420_.X _4525_.A1 (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _4420_.X _4527_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _4420_.X _4738_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4421_.Y _4422_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4421_.Y _4423_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4421_.Y _4424_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4421_.Y _4737_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4421_.Y _4758_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4422_.Y _4440_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4422_.Y _4441_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4423_.X _4425_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4423_.X _4485_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4423_.X _4785_.A2 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _4423_.X _4786_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4423_.X _4828_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4423_.X _5006_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4423_.X _5037_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4423_.X _5093_.A1 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _4424_.Y _4505_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4424_.Y _4757_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4424_.Y _4760_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4424_.Y _4808_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _4424_.Y _4858_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4424_.Y _4886_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4424_.Y _5135_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4425_.Y _4504_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4425_.Y _4859_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4425_.Y _5122_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4426_.Y _4473_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4426_.Y _4498_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4426_.Y _4834_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4426_.Y _4947_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4426_.Y _4948_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4427_.X _4429_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4427_.X _4498_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4427_.X _4834_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4427_.X _4933_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4427_.X _4948_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4427_.X _4949_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4428_.Y _4429_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4428_.Y _4498_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4428_.Y _4944_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4428_.Y _4949_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4429_.Y _4449_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4429_.Y _4836_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4430_.Y _4740_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4430_.Y _4741_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4430_.Y _4870_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4430_.Y _4874_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4430_.Y _4883_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4430_.Y _4884_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4430_.Y _4931_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4430_.Y _5005_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4431_.Y _4491_.B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4431_.Y _4508_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4431_.Y _4511_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4431_.Y _4519_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4431_.Y _4745_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4431_.Y _4845_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4431_.Y _4871_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4432_.Y _4434_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4432_.Y _4453_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4432_.Y _4724_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4432_.Y _4727_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4433_.X _4447_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4433_.X _4457_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4433_.X _4460_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4433_.X _4759_.B (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _4433_.X _4762_.A2 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _4433_.X _4798_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4433_.X _4888_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4434_.X _4508_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _4434_.X _4754_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4434_.X _4760_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4434_.X _4995_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4434_.X _5113_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4435_.Y _4438_.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _4435_.Y _4439_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4435_.Y _4440_.B (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _4435_.Y _4441_.B (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _4435_.Y _4442_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4435_.Y _4443_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4435_.Y _4453_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4436_.Y _4437_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4436_.Y _4744_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4436_.Y _5005_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4437_.Y _4438_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4437_.Y _4439_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4437_.Y _4455_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4437_.Y _4456_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4438_.Y _4448_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4438_.Y _4763_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4438_.Y _5043_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4439_.X _4486_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4439_.X _4506_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4439_.X _4507_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4439_.X _4752_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4439_.X _4753_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4439_.X _4799_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4439_.X _4805_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4439_.X _4879_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4439_.X _4889_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4439_.X _4996_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4439_.X _5089_.A1 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _4439_.X _5173_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4440_.Y _4513_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4440_.Y _4514_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4440_.Y _4516_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4440_.Y _4766_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4440_.Y _4880_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4440_.Y _4891_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4440_.Y _5046_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4440_.Y _5091_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4441_.X _4519_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4441_.X _4746_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4441_.X _4751_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4441_.X _4791_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4441_.X _4994_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4442_.Y _4470_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4442_.Y _4509_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4442_.Y _4512_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4442_.Y _4765_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4443_.X _4511_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4443_.X _4743_.B (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _4443_.X _4747_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4443_.X _4788_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4443_.X _4889_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _4443_.X _5003_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4443_.X _5169_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4443_.X _5173_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4444_.Y _4445_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4444_.Y _4455_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4444_.Y _4456_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4444_.Y _4724_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4444_.Y _4725_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4445_.X _4446_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4445_.X _4490_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4445_.X _4526_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4445_.X _4527_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4445_.X _4734_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4445_.X _4748_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4445_.X _4872_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4445_.X _5141_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4446_.Y _4769_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4446_.Y _4941_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4446_.Y _5149_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4447_.Y _4508_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4447_.Y _4840_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4447_.Y _4853_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4448_.Y _4510_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4448_.Y _4861_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4448_.Y _5179_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4449_.X _4502_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4449_.X _4856_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4449_.X _5165_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4450_.Y _4452_.D (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4450_.Y _4457_.B (0.009:0.009:0.009) (0.008:0.008:0.009)) - (INTERCONNECT _4450_.Y _4470_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4450_.Y _4485_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4450_.Y _4513_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4450_.Y _4521_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4450_.Y _4657_.C (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _4450_.Y _4771_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4450_.Y _4772_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4450_.Y _4877_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _4450_.Y _4880_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _4450_.Y _4883_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _4450_.Y _4998_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _4451_.Y _4486_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _4451_.Y _4490_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4451_.Y _4507_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _4451_.Y _4517_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4451_.Y _4656_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4451_.Y _4942_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4452_.Y _4502_.C_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4452_.Y _5165_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4453_.X _4454_.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _4453_.X _4517_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _4453_.X _4520_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4453_.X _4522_.A2 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _4453_.X _4730_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4453_.X _4750_.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _4453_.X _4789_.A1 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _4453_.X _4849_.A1 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _4453_.X _4958_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4453_.X _5002_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4454_.Y _4767_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4454_.Y _4892_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4454_.Y _5116_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4454_.Y _5131_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4455_.Y _4521_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4455_.Y _4524_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4455_.Y _4768_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4455_.Y _4877_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4455_.Y _4893_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4456_.X _4523_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4456_.X _4525_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4456_.X _4736_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4456_.X _4816_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4456_.X _4956_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4456_.X _4997_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4456_.X _5098_.A1 (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _4457_.Y _4504_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4457_.Y _4886_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4457_.Y _5122_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4457_.Y _5135_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4458_.Y _4460_.B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4458_.Y _4509_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4458_.Y _4514_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4458_.Y _4836_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4458_.Y _5001_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4458_.Y _5046_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4458_.Y _5131_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4459_.Y _4506_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4459_.Y _4523_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4459_.Y _4526_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4459_.Y _4716_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4459_.Y _4717_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4459_.Y _4834_.A3 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4459_.Y _4849_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4459_.Y _4858_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4459_.Y _4956_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4459_.Y _4958_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _4459_.Y _5035_.B (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _4460_.Y _4504_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4460_.Y _4842_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4460_.Y _4860_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4460_.Y _5135_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4461_.Y _4528_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4461_.Y _4866_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4461_.Y _5126_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4462_.Y _4463_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4462_.Y _4937_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4462_.Y _4955_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4463_.Y _4487_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4463_.Y _4846_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4463_.Y _4953_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4463_.Y _5058_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4463_.Y wire380.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4464_.Y _4531_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4465_.X _4674_.B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4465_.X _4684_.A (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _4465_.X _4852_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _4465_.X _4867_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4465_.X _4921_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _4466_.Y _4469_.B (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _4466_.Y _4493_.B (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _4466_.Y _4527_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4466_.Y _4652_.A (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _4466_.Y _4653_.B (0.013:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT _4466_.Y _4655_.A (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT _4466_.Y _4681_.A1 (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT _4466_.Y _4693_.B (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT _4466_.Y _4694_.A (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _4466_.Y _4710_.A1 (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT _4466_.Y _4827_.A1 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _4466_.Y _4830_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4466_.Y _4832_.B (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _4466_.Y _4833_.A2 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT _4466_.Y _4834_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _4466_.Y _4845_.A2 (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT _4466_.Y _4848_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4466_.Y _4908_.A1 (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT _4466_.Y _4917_.A1 (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT _4466_.Y _4958_.B1 (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT _4467_.X _4468_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4467_.X _4484_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4467_.X _4573_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4467_.X _4731_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4468_.X _4527_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4468_.X _4830_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4468_.X _4940_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4469_.X _4839_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4469_.X _4945_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4470_.Y _4510_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4470_.Y _4879_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4470_.Y _5179_.D1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4471_.Y _4475_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4471_.Y _4529_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4471_.Y _4953_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4472_.X _4489_.C (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _4472_.X _4603_.B (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _4472_.X _4651_.B (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _4472_.X _4660_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4472_.X _4679_.A (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _4472_.X _4682_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4472_.X _4711_.A2 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _4472_.X _4831_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _4472_.X _4840_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _4472_.X _4841_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _4472_.X _4854_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4472_.X _4859_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _4472_.X _4900_.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _4472_.X _4902_.A1 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _4472_.X _4913_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4472_.X _4940_.B (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _4472_.X _4942_.B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _4472_.X _5023_.B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4472_.X _5057_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _4473_.X _4474_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4473_.X _4711_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4473_.X _4832_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4473_.X _4839_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4474_.Y _4475_.B (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _4474_.Y _4488_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4474_.Y _4772_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4474_.Y _4867_.A2 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _4474_.Y _4951_.C (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4474_.Y _5040_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4474_.Y _5060_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4474_.Y _5133_.A2 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _4475_.Y _4530_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4476_.X _4477_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4476_.X _4663_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4476_.X _4664_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4477_.X _4478_.A (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _4477_.X _4479_.B (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _4477_.X _4584_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _4477_.X _4670_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4477_.X _4671_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4477_.X _4672_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4477_.X _4903_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4477_.X _4911_.B1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _4477_.X _5028_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4477_.X _5105_.A1 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _4478_.Y _4715_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4478_.Y _5118_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4479_.Y _4529_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4479_.Y _4600_.D1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4479_.Y _4823_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4479_.Y _4970_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4479_.Y _5127_.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _4479_.Y _5137_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4479_.Y _5148_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4480_.X _4481_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4480_.X _4614_.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _4480_.X _4633_.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _4480_.X _4638_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4480_.X _4749_.A_N (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4480_.X _5161_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4481_.X _4482_.B (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _4481_.X _4497_.B (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _4481_.X _4637_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4481_.X _4685_.A1 (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT _4481_.X _4686_.A (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _4481_.X _4687_.B (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT _4481_.X _4903_.A1 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT _4481_.X _4913_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _4481_.X _4972_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4481_.X _5013_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4481_.X _5014_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4481_.X _5105_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4482_.X _4483_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _4482_.X _4829_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _4482_.X _4945_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4483_.Y _4600_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4483_.Y _5085_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4483_.Y _5106_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4484_.X _4499_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4484_.X _4833_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4485_.Y _4503_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4485_.Y _4887_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4485_.Y _5056_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4486_.Y _4888_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4486_.Y _5065_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4487_.Y _4530_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4487_.Y _4643_.D1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4487_.Y _4897_.D_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4488_.Y _4528_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4488_.Y _4643_.C1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4488_.Y _4829_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4488_.Y _4847_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4488_.Y _4945_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4489_.X _4499_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4490_.X _4525_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4490_.X _4894_.D_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4490_.X _5161_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4491_.Y _4993_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4491_.Y _5006_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4492_.X _4536_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4492_.X _4844_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4492_.X _4926_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4493_.X _4536_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4494_.Y _4728_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4494_.Y _4769_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4494_.Y _4870_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4494_.Y _4883_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4494_.Y _4884_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4494_.Y _4978_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4494_.Y _5093_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4494_.Y _5096_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4494_.Y _5097_.A1 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _4494_.Y _5143_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4495_.Y _4496_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4495_.Y _4729_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4495_.Y _4757_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4495_.Y _4777_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4495_.Y _4871_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4495_.Y _5089_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4495_.Y _5094_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4495_.Y _5113_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4496_.X _4531_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4496_.X _4873_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4496_.X _5050_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4497_.X _4528_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4497_.X _4822_.D_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4497_.X _4882_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4498_.X _4499_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4499_.X _4503_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4500_.X _4501_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4501_.Y _4502_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4501_.Y _5053_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4502_.X _4503_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4503_.X _4505_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4504_.X _4505_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4505_.X _4508_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4506_.Y _4852_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4506_.Y _4938_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4506_.Y _5043_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4507_.X _4508_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4508_.X _4511_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4509_.Y _4510_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4509_.Y _4827_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4509_.Y _4851_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4509_.Y _5173_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4510_.X _4511_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4511_.X _4515_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4512_.Y _4515_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4512_.Y _4826_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4513_.Y _4515_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4513_.Y _5063_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4514_.Y _4515_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4514_.Y _4863_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4515_.X _4519_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4516_.Y _4519_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4516_.Y _4850_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4517_.Y _4518_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4517_.Y _4878_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4517_.Y _5124_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4518_.Y _4519_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4519_.X _4522_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4520_.X _4522_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4520_.X _4841_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4521_.Y _4522_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4521_.Y _5054_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _4522_.X _4525_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4523_.Y _4848_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4523_.Y _5047_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4523_.Y _5049_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4524_.Y _4525_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4524_.Y _4831_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4525_.X _4527_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4526_.Y _4843_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _4526_.Y _5149_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4527_.X _4528_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4528_.X _4530_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4529_.Y _4530_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4530_.X _4531_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4531_.X _4536_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4532_.Y _4786_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4532_.Y _4796_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4532_.Y _4798_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4532_.Y _4806_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4532_.Y _4971_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4532_.Y _4975_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4532_.Y _5117_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4533_.Y _4534_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4533_.Y _4535_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4533_.Y _4734_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4533_.Y _4760_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4533_.Y _4788_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _4533_.Y _4789_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4533_.Y _4791_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4533_.Y _4799_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4533_.Y _4802_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4533_.Y _4805_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4533_.Y _4807_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4533_.Y _4816_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4534_.Y _4875_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4534_.Y _4930_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4534_.Y _4964_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4535_.X _4536_.A4 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _4535_.X _4824_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4536_.Y _4776_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4537_.X _4539_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4537_.X _4540_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4537_.X _4550_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4537_.X _4551_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4537_.X _4604_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4537_.X _4749_.B (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _4537_.X _4756_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4537_.X _4758_.D (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4537_.X _4819_.D (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4537_.X _4820_.A3 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4537_.X _4876_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4537_.X _4884_.A3 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4537_.X _4971_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4537_.X _5096_.A3 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _4538_.Y _4574_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4538_.Y _4584_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4538_.Y _4605_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4538_.Y _4609_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4538_.Y _4637_.A2 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT _4538_.Y _4747_.B (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _4538_.Y _4750_.B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _4538_.Y _4751_.B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _4538_.Y _4752_.B (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT _4538_.Y _4754_.B (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _4538_.Y _4879_.A2 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _4538_.Y _5036_.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _4538_.Y _5105_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4539_.X _4541_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4539_.X _4552_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4539_.X _4553_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4540_.X _4542_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4540_.X _4554_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4540_.X _4608_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4540_.X _4792_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4541_.Y _4548_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4541_.Y _4780_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4542_.Y _4546_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4543_.Y _4545_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4543_.Y _4575_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4543_.Y _4591_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4543_.Y _4621_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4544_.X _4595_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4544_.X _4801_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4544_.X _4819_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4544_.X _4852_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4545_.X _4683_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4545_.X _4700_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4545_.X _4805_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4545_.X _4853_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4545_.X _4910_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4545_.X _5169_.A1 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _4546_.Y _4547_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4546_.Y _4559_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _4546_.Y _4560_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4546_.Y _4573_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4547_.X _4548_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4547_.X _4555_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4547_.X _4558_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4547_.X _4802_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4548_.Y _4549_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4548_.Y _4648_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4548_.Y _4963_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4549_.Y _4825_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4550_.Y _4557_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4550_.Y _4606_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4550_.Y _4782_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4550_.Y _4793_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4551_.Y _4552_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4552_.Y _4554_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4552_.Y _4792_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4553_.Y _4554_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4553_.Y _4792_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4554_.Y _4555_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4554_.Y _4557_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4554_.Y _4606_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4554_.Y _4802_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4555_.Y _4556_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4556_.Y _4783_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4556_.Y _4972_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4557_.X _4558_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _4557_.X _4574_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4557_.X _4609_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4558_.Y _4602_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4558_.Y _4778_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4558_.Y _5096_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4559_.X _4601_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4559_.X _4608_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4559_.X _4749_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4559_.X _4797_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4559_.X _4806_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4559_.X _4820_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4559_.X _4975_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4560_.Y _4609_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4560_.Y _4779_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4560_.Y _4794_.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _4560_.Y _4807_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4560_.Y _4967_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4560_.Y _4974_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4560_.Y _5144_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4561_.Y _4563_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4561_.Y _4715_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4561_.Y _4798_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4562_.X _4569_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4562_.X _4571_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4562_.X _4597_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4562_.X _4643_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4562_.X _4807_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4563_.Y _4718_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4563_.Y _5014_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4563_.Y fanout424.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4564_.Y _4565_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4564_.Y _4568_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4564_.Y _4610_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4564_.Y _4666_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4564_.Y _4696_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4564_.Y _4902_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4564_.Y _4912_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4565_.X _4571_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4565_.X _4629_.B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _4565_.X _4680_.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _4565_.X _4693_.C (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4565_.X _4697_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4565_.X _4784_.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _4565_.X _4796_.A2_N (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _4565_.X _4808_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _4565_.X _4834_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4565_.X _4855_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4565_.X _4967_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _4565_.X _5164_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4566_.X _4567_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4566_.X _4616_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4566_.X _4906_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4566_.X _5018_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4566_.X _5023_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4566_.X _5105_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4567_.X _4568_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4567_.X _4579_.B (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _4567_.X _4582_.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _4567_.X _4587_.A (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _4567_.X _4594_.A (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _4567_.X _4619_.A (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _4567_.X _4632_.A (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _4567_.X _4688_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4567_.X _4719_.B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _4567_.X _4721_.C (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _4567_.X _4900_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4567_.X _4903_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4567_.X _4911_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4567_.X _4918_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _4567_.X _4966_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _4567_.X _4967_.A3 (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _4567_.X _4976_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _4567_.X _5016_.A (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _4567_.X ANTENNA_59.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4568_.X _4571_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4569_.Y _4800_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _4569_.Y _4801_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4569_.Y _4803_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4569_.Y _4804_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4570_.X _4577_.A (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _4570_.X _4583_.B (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT _4570_.X _4649_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _4570_.X _4663_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4570_.X _4664_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4570_.X _4685_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _4570_.X _4712_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4570_.X _4808_.A3 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT _4570_.X _4811_.A1 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _4570_.X _4814_.B (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _4570_.X _5143_.A1_N (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT _4571_.X _4572_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4572_.Y _4601_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4573_.Y _4574_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4573_.Y _4607_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4573_.Y _4780_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4573_.Y _4819_.B_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4573_.Y _4981_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4574_.Y _4600_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4574_.Y _4806_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4574_.Y _4975_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4575_.X _4576_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4575_.X _4692_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4575_.X _4702_.A1 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _4575_.X _4704_.A (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _4575_.X _4705_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4575_.X _4814_.C (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4575_.X _4826_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4576_.X _4577_.B (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _4576_.X _4632_.B (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT _4576_.X _4635_.A (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT _4576_.X _4636_.A1 (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT _4576_.X _4637_.B2 (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT _4576_.X _4791_.B2 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _4576_.X _4901_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4576_.X _4917_.A2 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _4576_.X _5027_.A (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _4576_.X _5063_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4576_.X _5080_.A1 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _4577_.Y _4599_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4577_.Y _5115_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4578_.X _4580_.B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _4578_.X _4581_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4578_.X _4584_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _4578_.X _4646_.A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _4578_.X _4676_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4578_.X _4842_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4578_.X _4910_.A2 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _4578_.X _4920_.B2 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _4579_.X _4633_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4579_.X _4639_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4579_.X _4641_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4579_.X _4904_.A1 (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _4579_.X _4919_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4580_.Y _4617_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4580_.Y _4684_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4580_.Y _4798_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4580_.Y _4800_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4581_.X _4582_.B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _4581_.X _4682_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4581_.X _4695_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4581_.X _4859_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4581_.X _5025_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _4581_.X _5077_.B2 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _4581_.X _5122_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4582_.Y _4599_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4582_.Y _4907_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4582_.Y _4984_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4583_.X _4584_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4583_.X _4612_.A (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _4583_.X _4615_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4583_.X _4623_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4583_.X _4658_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4583_.X _4784_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4583_.X _4790_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4583_.X _5169_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4584_.X _4599_.D_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4585_.X _4586_.B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _4585_.X _4612_.B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _4585_.X _4678_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4585_.X _4712_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4585_.X _5018_.C (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4586_.X _4587_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4586_.X _4615_.B2 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _4586_.X _4640_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4586_.X _4677_.B (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT _4586_.X _4709_.A2 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT _4586_.X _4710_.A2 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT _4586_.X _4816_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4586_.X _4841_.A2 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT _4586_.X _4848_.A2 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT _4586_.X _4901_.A2 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _4586_.X _4908_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4586_.X _5026_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _4586_.X _5084_.B2 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _4586_.X _5152_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _4587_.Y _4598_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4587_.Y _4965_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4588_.X _4589_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4588_.X _4628_.A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _4588_.X _4675_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4588_.X _4676_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4588_.X _4790_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _4588_.X _4854_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4588_.X _4902_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _4588_.X _4904_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4588_.X _4914_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4588_.X _5057_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4589_.X _4590_.B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _4589_.X _4616_.B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _4589_.X _4673_.A (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _4589_.X _4694_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4589_.X _4695_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4589_.X _4696_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4589_.X _4811_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _4589_.X _4966_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _4589_.X _5056_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4589_.X _5082_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _4590_.Y _4598_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4590_.Y _4786_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4591_.X _4592_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4591_.X _4593_.B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _4591_.X _4615_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _4591_.X _4690_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4591_.X _4691_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4591_.X _4706_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4591_.X _4707_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4592_.Y _4803_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4593_.X _4594_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4593_.X _4620_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4593_.X _4640_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4593_.X _4789_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4593_.X _4908_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4593_.X _4913_.B1 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT _4593_.X _4958_.B2 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _4593_.X _5025_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _4593_.X _5084_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _4593_.X _5108_.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _4594_.Y _4598_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4594_.Y _4907_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4594_.Y _4983_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4595_.Y _4597_.B1 (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT _4595_.Y _4619_.B (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _4595_.Y _4655_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4595_.Y _4659_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4595_.Y _4799_.B2 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _4595_.Y _4840_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4595_.Y _4920_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _4595_.Y _5026_.A2 (0.012:0.012:0.012) (0.011:0.011:0.012)) - (INTERCONNECT _4595_.Y _5077_.A1 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _4595_.Y _5078_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _4595_.Y _5089_.B1 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _4595_.Y _5176_.A1 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _4596_.X _5028_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _4596_.X _5074_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4596_.X fanout432.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4597_.Y _4598_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4598_.X _4599_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4599_.X _4600_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4600_.X _4601_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4601_.X _4602_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4602_.X _4647_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4603_.Y _4644_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4603_.Y _4899_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4603_.Y _4980_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4603_.Y _5059_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4604_.X _4606_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4604_.X _4782_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4605_.X _4606_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4605_.X _4782_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4606_.X _4607_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4606_.X _4806_.A3 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _4606_.X _4975_.A3 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _4607_.X _4608_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4607_.X _4820_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4607_.X _5099_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4608_.X _4644_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4608_.X _5118_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4609_.Y _4644_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4609_.Y _4925_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4609_.Y _5073_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4609_.Y _5095_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4610_.X _4611_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4610_.X _4645_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4610_.X _4652_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4610_.X _4653_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4610_.X _4807_.A3 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4610_.X _5052_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4610_.X _5143_.A2_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4611_.X _4643_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4611_.X _4681_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4611_.X _4976_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4611_.X _5024_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4611_.X _5025_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4612_.X _4618_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4612_.X _4818_.C_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4612_.X _5141_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4613_.X _4614_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4613_.X _4628_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4613_.X _4646_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4614_.X _4618_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4614_.X _4916_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4614_.X _5141_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4615_.X _4618_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4615_.X _5098_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4616_.Y _4617_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4616_.Y _5019_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4617_.X _4618_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4617_.X _5107_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4618_.X _4642_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4619_.Y _4627_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4619_.Y _4907_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4619_.Y _4986_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4620_.Y _4627_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4620_.Y _4917_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4620_.Y _5115_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4621_.X _4622_.A (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _4621_.X _4623_.B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _4621_.X _4624_.B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _4621_.X _4639_.B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _4621_.X _4641_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _4621_.X _4701_.A3 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4621_.X _4702_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4621_.X _4703_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4621_.X _4851_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4621_.X _4861_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4622_.Y _4804_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4622_.Y _4921_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4623_.Y _4627_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4623_.Y _5091_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4624_.X _4625_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4624_.X _4636_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4624_.X _4679_.B (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _4624_.X _4788_.B2 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _4624_.X _4827_.A2 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _4624_.X _4901_.A3 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _4624_.X _5026_.A3 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _4624_.X _5081_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _4624_.X _5176_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4624_.X _5179_.A2 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _4625_.Y _4626_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4625_.Y _4627_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4625_.Y _4922_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4625_.Y _5177_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4626_.Y _5169_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4627_.X _4634_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4628_.Y _4631_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4628_.Y _5083_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4628_.Y _5093_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4629_.X _4630_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4629_.X _4681_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4629_.X _5017_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4629_.X _5154_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4630_.X _4631_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4630_.X _5144_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4631_.Y _4634_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4631_.Y _4915_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4632_.Y _4634_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4632_.Y _4987_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4633_.Y _4634_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4633_.Y _4982_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4634_.Y _4642_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4635_.Y _4921_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4635_.Y _5091_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4636_.X _4637_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4637_.X _4642_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4638_.X _4641_.B1 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _4638_.X _4689_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4638_.X _4710_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4638_.X _4787_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _4638_.X _4831_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4638_.X _4901_.A4 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _4638_.X _5022_.A1 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT _4638_.X _5152_.B2 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _4639_.Y _4985_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4640_.X _4641_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4641_.X _4642_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4642_.X _4643_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4643_.X _4644_.D_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4644_.X _4647_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4645_.X _5072_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4646_.X _4912_.D1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4646_.X _5113_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4647_.Y _4648_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4648_.Y _4723_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4649_.Y _4650_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4649_.Y _5031_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4650_.Y _4723_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4650_.Y _4927_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4651_.X _4696_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4651_.X _4700_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4651_.X _4705_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4651_.X _4707_.B (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _4651_.X _4826_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _4651_.X _4853_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4651_.X _4855_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4651_.X _4861_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4651_.X _4910_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4652_.X _4837_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4653_.X _4697_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4654_.X _4665_.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _4654_.X _4688_.C (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _4654_.X _4906_.C (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _4654_.X _5021_.C (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _4655_.Y _4922_.C (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4655_.Y _4938_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4655_.Y _5177_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4656_.Y _4733_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4657_.X _4722_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4657_.X _4980_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4657_.X _5040_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4657_.X _5130_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4658_.X _4713_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4658_.X _4914_.D1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4658_.X _5105_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4659_.Y _5065_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4660_.X _4673_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4660_.X _4695_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4660_.X _5016_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4660_.X _5122_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4660_.X _5164_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4661_.X _4691_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4661_.X _4700_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4661_.X _4703_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4661_.X _4704_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _4661_.X _5052_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4661_.X _5161_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4662_.Y _4676_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4662_.Y _4683_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4662_.Y _4697_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4662_.Y _4702_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4662_.Y _4706_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4663_.Y _4669_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4664_.X _4665_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4664_.X _5072_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4664_.X _5074_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4665_.Y _4669_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4665_.Y _4919_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4666_.Y _4669_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4667_.X _4669_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4667_.X _4733_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4668_.X _4779_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4668_.X _4902_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4668_.X _5038_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4668_.X _5072_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4669_.X _4698_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4670_.Y _4715_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4670_.Y _4771_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4670_.Y _5133_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4671_.Y _4773_.D1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4671_.Y _4823_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4671_.Y _4897_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4671_.Y _4970_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4671_.Y _5004_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4671_.Y _5041_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4671_.Y _5158_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4672_.X _4685_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4672_.X _5128_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4673_.Y _5015_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4673_.Y _5107_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4674_.Y _4675_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4674_.Y _4676_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4674_.Y _4678_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4674_.Y _4680_.B (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _4674_.Y _4690_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4674_.Y _4692_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4674_.Y _4700_.A3 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4674_.Y _4702_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4674_.Y _4842_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4674_.Y _4851_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4674_.Y _4912_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _4674_.Y _4914_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _4674_.Y _4920_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _4675_.Y _4828_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4676_.X _5107_.D_N (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4677_.X _4709_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4677_.X _5054_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4678_.X _4916_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4678_.X _4956_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4679_.X _5179_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4680_.Y _5083_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4681_.X _5155_.D_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4682_.X _4699_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4683_.X _4699_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4684_.Y _4699_.C (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _4684_.Y _4860_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4684_.Y _5077_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4685_.Y _4714_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4686_.X _4714_.D_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4686_.X _4770_.D_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4686_.X _4847_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4686_.X _4882_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4687_.Y _4714_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4687_.Y _4770_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4687_.Y _4822_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4687_.Y _5005_.C1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _4688_.X _4713_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4689_.X _4711_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4690_.X _4708_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4690_.X _4849_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4691_.X _4708_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4691_.X _5124_.D_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4692_.X _4706_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4692_.X _4863_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4693_.X _4698_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4694_.X _4695_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4694_.X _4858_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4695_.X _4698_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4696_.X _4697_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4697_.X _4698_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4698_.X _4699_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4699_.X _4701_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4700_.X _4701_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4701_.X _4703_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4702_.X _4703_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4703_.X _4705_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4704_.X _4705_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4705_.X _4706_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4706_.X _4708_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4707_.X _4708_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4707_.X _4850_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4708_.X _4709_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4709_.X _4710_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4710_.X _4711_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4711_.X _4713_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4712_.X _4713_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4713_.Y _4714_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4714_.X _4715_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4715_.X _4722_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4716_.Y _4720_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4716_.Y _4952_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4716_.Y _5031_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4717_.X _4774_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4717_.X _4844_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4717_.X _4873_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _4717_.X _5050_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4718_.Y _4720_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4718_.Y _4775_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4718_.Y _4899_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4719_.Y _4720_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4720_.X _4722_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4721_.X _4774_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4721_.X _4824_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4721_.X _4926_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4721_.X _4992_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4722_.X _4723_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4723_.X _4776_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4724_.Y _4740_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _4724_.Y _4741_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4724_.Y _4742_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4724_.Y _4895_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4725_.Y _4726_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4725_.Y _4737_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4725_.Y _4874_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4725_.Y _5001_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4725_.Y _5005_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4726_.Y _4727_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4727_.X _4775_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4727_.X _4898_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4727_.X _4992_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4728_.Y _5098_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4728_.Y _5141_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4728_.Y _5169_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4729_.Y _5091_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4729_.Y _5116_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4730_.Y _4766_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4730_.Y _4815_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4730_.Y _5131_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4731_.X _4732_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4731_.X _4741_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4731_.X _4895_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4731_.X _4998_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4732_.X _4733_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4732_.X _4885_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4732_.X _5007_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4732_.X _5039_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4733_.X _4761_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4734_.Y _4770_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4734_.Y _4787_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4734_.Y _4998_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4735_.Y _4810_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4735_.Y _5144_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4736_.Y _4767_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4736_.Y _4803_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4736_.Y _5047_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4736_.Y _5049_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4737_.Y _4738_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4737_.Y _4739_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4738_.Y _4773_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4738_.Y _4898_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4738_.Y _5042_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4739_.Y _4773_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4739_.Y _5042_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4740_.Y _4881_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4740_.Y _5000_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4741_.X _4769_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4741_.X _4895_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4742_.X _4769_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4742_.X _4896_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4742_.X _5134_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _4743_.Y _4764_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4743_.Y _4801_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4744_.X _4756_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4744_.X _4884_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4744_.X _5006_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4744_.X _5150_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4745_.Y _4775_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4745_.Y _4875_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4746_.Y _4765_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4746_.Y _4804_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4746_.Y _5046_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4747_.Y _4765_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4747_.Y _4880_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _4747_.Y _4985_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4748_.Y _4768_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4748_.Y _4818_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4749_.X _4768_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4749_.X _4894_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4749_.X _4965_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4749_.X _5149_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4750_.Y _4767_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4750_.Y _4877_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4750_.Y _4983_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4751_.Y _4766_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4751_.Y _4878_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4751_.Y _4987_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4752_.Y _4764_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _4752_.Y _4986_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4753_.Y _4763_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4753_.Y _4800_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4754_.Y _4762_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _4754_.Y _4888_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4754_.Y _4984_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4754_.Y _5043_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4755_.X _4756_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4756_.X _4761_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4757_.Y _4761_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4758_.Y _4760_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4758_.Y _4886_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4758_.Y _4966_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4758_.Y _5135_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4759_.Y _4790_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4759_.Y _4811_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4759_.Y _5136_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4760_.X _4761_.D_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4761_.X _4762_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4762_.X _4763_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4763_.X _4764_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4764_.X _4765_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4765_.X _4766_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4766_.X _4767_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4767_.X _4768_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4768_.X _4769_.D1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4769_.X _4770_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4770_.X _4772_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4771_.X _4772_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4772_.X _4773_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4773_.X _4775_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4774_.Y _4775_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4775_.X _4776_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4776_.X _6776_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4777_.Y _4825_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4777_.Y _4954_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4777_.Y _4980_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4777_.Y _5158_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4778_.Y _4823_.D_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4779_.Y _4809_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4779_.Y _5095_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4780_.Y _5092_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4780_.Y _5119_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4781_.Y _4903_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4781_.Y _4972_.B1 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _4781_.Y _5028_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4782_.X _4783_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4782_.X _4795_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4782_.X _4802_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4783_.Y _4978_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4783_.Y _5097_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4783_.Y _5117_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4784_.Y _4785_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _4784_.Y _5015_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4784_.Y _5082_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4785_.X _5093_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4786_.Y _4811_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4786_.Y _4966_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4787_.Y _4821_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4787_.Y _4982_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4788_.Y _4813_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4788_.Y _4985_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4789_.Y _4817_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4789_.Y _4983_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4790_.Y _5114_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4791_.Y _4815_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4791_.Y _4987_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4792_.X _4794_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4792_.X _4795_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4793_.X _4794_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4793_.X _4972_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4794_.X _4807_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4794_.X _4977_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4794_.X _5094_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4795_.Y _4796_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4795_.Y _5143_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4796_.X _4797_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4797_.Y _4810_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4797_.Y _4967_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4798_.X _4812_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4798_.X _4984_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4799_.X _4986_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4800_.X _4812_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4800_.X _5090_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4801_.X _4813_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4802_.Y _4822_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4803_.X _4817_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4804_.X _4813_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4805_.X _4812_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4806_.X _4810_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4807_.X _4809_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4808_.X _4809_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4809_.X _4810_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4810_.X _4811_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4811_.X _4812_.D_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4812_.X _4813_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4813_.X _4815_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4814_.X _4815_.D_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4815_.X _4817_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4816_.X _4817_.D_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4816_.X _4965_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4817_.X _4818_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4818_.X _4821_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4819_.X _4821_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4820_.X _4821_.D (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _4821_.X _4822_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4822_.X _4823_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4823_.X _4825_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4824_.Y _4825_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4825_.X _4929_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4826_.Y _4864_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4826_.Y _5064_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4827_.Y _4946_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4827_.Y _5064_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4828_.X _4955_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4829_.Y _5099_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4829_.Y _5118_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4830_.Y _4867_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4830_.Y _5055_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4830_.Y _5126_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4831_.Y _4866_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4831_.Y _5162_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4832_.Y _4843_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4832_.Y _4941_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4833_.Y _4856_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4833_.Y _4943_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4834_.X _4835_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4835_.X _4857_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4835_.X _4948_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4836_.Y _4837_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4836_.Y _5038_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4837_.X _4855_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4837_.X _4949_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4838_.X _4868_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4839_.Y _5127_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4840_.Y _5065_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4841_.Y _4865_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4841_.Y _5055_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4841_.Y _5162_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4842_.Y _4934_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4843_.X _4866_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4844_.Y _4868_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4844_.Y _5068_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4845_.Y _4869_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4845_.Y _4930_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4846_.X _4868_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4847_.Y _4867_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4848_.Y _4865_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4849_.Y _4865_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4850_.Y _4864_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4850_.Y _5124_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4851_.Y _4862_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4852_.X _4862_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4853_.X _4860_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4854_.X _4857_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4855_.X _4857_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4856_.Y _4857_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4857_.X _4858_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4858_.X _4859_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4859_.X _4860_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4860_.Y _4862_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4861_.Y _4862_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4862_.X _4864_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4863_.Y _4864_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4863_.Y _4937_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4864_.X _4865_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4865_.X _4866_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4866_.X _4867_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4867_.X _4868_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4868_.X _4869_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4869_.X _4928_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4870_.Y _4872_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4870_.Y _4886_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4870_.Y _4889_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4871_.Y _4888_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4871_.Y _4891_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4871_.Y _4892_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4871_.Y _4893_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4872_.Y _4894_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4872_.Y _4998_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4873_.Y _4898_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4873_.Y _5011_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4874_.X _4897_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4874_.X _5133_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4875_.X _4898_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4875_.X _4992_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4876_.Y _4887_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4876_.Y _4967_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4877_.X _4892_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4877_.X _5047_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4877_.X _5049_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4878_.X _4892_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4878_.X _5131_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4879_.Y _4890_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4879_.Y _5174_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4880_.X _4891_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4880_.X _5046_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4881_.Y _4885_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4882_.Y _4896_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4882_.Y _5005_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4883_.X _4885_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4884_.X _4885_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4885_.X _4887_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4886_.X _4887_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4887_.Y _4890_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4888_.X _4890_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4889_.Y _4890_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4890_.X _4891_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4891_.X _4892_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4892_.X _4893_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4893_.X _4894_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4894_.X _4896_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4895_.X _4896_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4895_.X _5045_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4896_.X _4897_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4897_.X _4898_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4898_.X _4928_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4899_.X _4927_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4899_.X _5011_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4899_.X _5012_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4899_.X _5130_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4900_.X _4901_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4900_.X _4902_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4901_.X _4905_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4902_.X _4904_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4903_.X _4904_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4904_.X _4905_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4905_.Y _4925_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4906_.Y _4907_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4906_.Y _5079_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4907_.X _4915_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4908_.Y _4909_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4908_.Y _4915_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4909_.Y _5084_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4910_.X _4912_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4911_.X _4912_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4911_.X _5075_.D1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4912_.X _4914_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4913_.X _4914_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4914_.X _4915_.D_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4915_.X _4924_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4916_.Y _4923_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4916_.Y _5153_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4917_.Y _4923_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4917_.Y _5109_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4918_.Y _4923_.C (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _4918_.Y _4963_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4918_.Y ANTENNA_60.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4919_.X _4920_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4919_.X _5020_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4920_.X _4922_.D_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4921_.X _4922_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4921_.X _5080_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4922_.X _4923_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4923_.X _4924_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4924_.Y _4925_.C_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4925_.X _4927_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4926_.Y _5031_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4927_.X _4928_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4928_.X _4929_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4929_.X _6777_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4930_.X _4962_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4930_.X _5068_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4931_.Y _4932_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4931_.Y _4948_.A4 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4931_.Y _4949_.A4 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4932_.X _4933_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4932_.X _4935_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4932_.X _4956_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4933_.Y _4934_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4933_.Y _4955_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4934_.X _4939_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4934_.X _5065_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4935_.X _4936_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4935_.X _4947_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4936_.Y _4937_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4936_.Y _4938_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4936_.Y _4957_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4937_.X _4939_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4937_.X _5124_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4938_.X _4939_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4938_.X _5180_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4939_.X _4961_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4940_.Y _4941_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4941_.X _4946_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4941_.X _5055_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4941_.X _5126_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4942_.Y _4943_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4942_.Y _5060_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4943_.X _4946_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4943_.X _5053_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4944_.X _4945_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4944_.X _4956_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4945_.X _4946_.D_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4945_.X _5126_.D_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4946_.X _4960_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4947_.Y _4950_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4947_.Y _5064_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4948_.X _4950_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4948_.X _5056_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4949_.X _4950_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4949_.X _5164_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4950_.Y _4954_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4951_.X _4952_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4951_.X _5059_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4952_.X _4954_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4953_.X _4954_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4953_.X _5061_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4953_.X _5158_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4954_.X _4960_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4955_.Y _4959_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4955_.Y _5123_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _4956_.X _4959_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4956_.X _5163_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4957_.Y _4958_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4958_.X _4959_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4958_.X _5062_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4958_.X _5163_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4959_.X _4960_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4960_.X _4961_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4961_.Y _4962_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4962_.Y _5034_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4963_.X _4964_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4963_.X _5112_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4964_.X _4991_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4964_.X _5103_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4965_.X _4979_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4965_.X _5142_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4966_.X _4969_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4967_.X _4968_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4967_.X _4969_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4968_.Y _5100_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4969_.Y _4979_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4969_.Y _5114_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4970_.X _4973_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4970_.X _5100_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _4970_.X _5140_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4971_.Y _4972_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4971_.Y _4977_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4972_.Y _4973_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4972_.Y _5117_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4973_.X _4979_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4974_.Y _4975_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4975_.X _4978_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4975_.X _5095_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4976_.X _4977_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4977_.Y _4978_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4977_.Y _5145_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4978_.X _4979_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4979_.X _4990_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4980_.X _4989_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4981_.Y _4982_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4982_.X _4989_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4982_.X _5092_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4982_.X _5119_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4983_.Y _4989_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4983_.Y _5098_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4984_.X _4988_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4984_.X _5090_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4985_.X _4988_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4985_.X _5091_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4986_.X _4988_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4986_.X _5170_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4987_.X _4988_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4987_.X _5116_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4988_.Y _4989_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4989_.X _4990_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4990_.X _4991_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4991_.Y _5034_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4992_.X _5011_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4992_.X _5050_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4993_.Y _4994_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4993_.Y _4995_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4993_.Y _4996_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4993_.Y _4997_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4993_.Y _5002_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4993_.Y _5003_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _4993_.Y _5036_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4993_.Y _5135_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4993_.Y _5173_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _4994_.Y _5008_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4994_.Y _5131_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4995_.Y _5007_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4995_.Y _5043_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4996_.Y _5008_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4997_.Y _5009_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4997_.Y _5149_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4998_.X _5009_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4998_.X _5045_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _4999_.Y _5000_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5000_.Y _5007_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5000_.Y _5039_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5001_.X _5010_.A (0.001:0.001:0.001) (0.000:0.000:0.001)) - (INTERCONNECT _5001_.X _5042_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5002_.Y _5009_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5002_.Y _5047_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5002_.Y _5049_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5003_.Y _5008_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5003_.Y _5046_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5004_.X _5010_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5004_.X _5148_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5005_.X _5010_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5005_.X _5134_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5006_.X _5007_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5007_.X _5008_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5008_.X _5009_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5009_.X _5010_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5010_.X _5011_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5011_.X _5033_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5012_.X _5032_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5012_.X _5070_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5012_.X _5071_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5012_.X _5112_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5013_.X _5075_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5014_.Y _5015_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5015_.X _5019_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5016_.X _5017_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5016_.X _5022_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5017_.Y _5019_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5017_.Y _5083_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5018_.Y _5019_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5018_.Y _5106_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5019_.X _5030_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5020_.Y _5030_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _5020_.Y _5073_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5021_.X _5022_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5022_.Y _5030_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5022_.Y _5079_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5023_.X _5024_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _5023_.X _5025_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _5023_.X _5026_.B1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _5023_.X _5027_.B (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _5023_.X _5077_.B1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _5023_.X _5081_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _5023_.X _5084_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _5023_.X _5152_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _5023_.X _5176_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _5024_.Y _5155_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5025_.X _5029_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5026_.X _5029_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5027_.Y _5029_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5027_.Y _5109_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5028_.X _5029_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5028_.X _5075_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5029_.X _5030_.D_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5030_.X _5032_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5031_.X _5032_.B1_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5031_.X _5070_.C (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5031_.X _5071_.C (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5032_.X _5033_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5033_.X _5034_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5034_.X _6778_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5035_.Y _5037_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5035_.Y _5150_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5036_.Y _5037_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5036_.Y _5150_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5037_.X _5044_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5037_.X _5136_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5038_.X _5039_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5039_.X _5041_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5039_.X _5150_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5040_.X _5041_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5041_.X _5044_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5042_.X _5044_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5042_.X _5130_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5043_.X _5044_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5043_.X _5174_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5044_.X _5051_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5045_.X _5048_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5045_.X _5134_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5046_.X _5048_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5046_.X _5132_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5047_.X _5048_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5048_.X _5051_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5049_.X _5151_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5050_.X _5051_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5050_.X _5130_.D_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5051_.X _5104_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5052_.X _5053_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5053_.X _5067_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5053_.X _5165_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5054_.Y _5055_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5054_.Y _5162_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5055_.X _5067_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5056_.X _5057_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5057_.X _5062_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5057_.X _5123_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5058_.X _5059_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5059_.Y _5062_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5059_.Y _5159_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5060_.X _5061_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5060_.X _5127_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5061_.Y _5062_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5062_.Y _5066_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5063_.Y _5064_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5064_.X _5066_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5064_.X _5125_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5065_.X _5066_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5065_.X _5180_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5066_.X _5067_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5067_.Y _5069_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5068_.X _5069_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5068_.X _5129_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5068_.X _5160_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _5069_.Y _5104_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5070_.Y _5088_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5070_.Y _5111_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5071_.X _5156_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5072_.X _5073_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5073_.X _5087_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5073_.X _5155_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5074_.X _5075_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _5075_.Y _5086_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5075_.Y _5156_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5076_.X _5078_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5076_.X _5080_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5076_.X _5082_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5076_.X _5084_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5076_.X _5108_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5076_.X _5152_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5076_.X _5176_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5077_.X _5078_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5078_.Y _5086_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5078_.Y _5178_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5079_.X _5086_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5079_.X _5106_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5080_.X _5081_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5081_.Y _5085_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5081_.Y _5109_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5082_.Y _5083_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5083_.X _5085_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5083_.X _5107_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5084_.Y _5085_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5084_.Y _5153_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5085_.X _5086_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5086_.X _5087_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5087_.X _5088_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5088_.X _5104_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5089_.X _5090_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5090_.X _5092_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5090_.X _5170_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5091_.X _5092_.D (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _5091_.X _5116_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5092_.X _5102_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5093_.X _5101_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5093_.X _5114_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5094_.Y _5095_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5095_.X _5101_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5095_.X _5145_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5096_.X _5097_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5097_.X _5101_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5097_.X _5112_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5098_.Y _5100_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5098_.Y _5142_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5099_.X _5100_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5100_.X _5101_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5101_.X _5102_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5102_.Y _5103_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5103_.Y _5104_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5104_.X _6779_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5105_.X _5106_.D_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5106_.X _5110_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5106_.X _5156_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5107_.X _5110_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5107_.X _5178_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5108_.Y _5109_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5109_.X _5110_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5109_.X _5157_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5110_.X _5111_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5111_.X _5139_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5112_.X _5121_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5112_.X _5140_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5113_.Y _5114_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5114_.X _5120_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5114_.X _5171_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5115_.X _5116_.D1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5116_.X _5120_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5116_.X _5146_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5116_.X _5172_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5117_.X _5118_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5118_.X _5119_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5119_.Y _5120_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5119_.Y _5140_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5120_.X _5121_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5121_.X _5139_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5122_.X _5123_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5123_.X _5129_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _5123_.X _5181_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5124_.X _5125_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5125_.Y _5128_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5125_.Y _5166_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5126_.X _5128_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5126_.X _5160_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5127_.Y _5128_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5127_.Y _5159_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5128_.X _5129_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5129_.Y _5139_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5130_.X _5138_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5130_.X _5148_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5131_.X _5132_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5132_.X _5137_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5132_.X _5151_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5133_.X _5134_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5134_.X _5137_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5134_.X _5148_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5135_.Y _5136_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5136_.X _5137_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5136_.X _5175_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5137_.X _5138_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5138_.X _5139_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5139_.X _6780_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5140_.X _5147_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5140_.X _5172_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5141_.X _5142_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5142_.X _5146_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5142_.X _5172_.C (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _5143_.Y _5144_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5144_.X _5145_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5145_.X _5146_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5145_.X _5170_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5146_.X _5147_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5147_.X _5167_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5148_.Y _5167_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5148_.Y _5175_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5149_.X _5151_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5150_.X _5151_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5150_.X _5174_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5151_.X _5167_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5152_.X _5153_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5153_.X _5157_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5154_.Y _5155_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5155_.X _5157_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5155_.X _5178_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5156_.Y _5157_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5156_.Y _5182_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5157_.X _5168_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5158_.Y _5159_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5159_.Y _5160_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5160_.X _5166_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5160_.X _5181_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5161_.Y _5162_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5162_.Y _5163_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5163_.X _5166_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5164_.X _5165_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5165_.X _5166_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5165_.X _5180_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5166_.Y _5167_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _5167_.X _5168_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5168_.X _6781_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5169_.X _5170_.D_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5170_.X _5171_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5171_.Y _5172_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5172_.Y _5183_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5173_.X _5174_.D_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5174_.X _5175_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5175_.X _5183_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5176_.X _5177_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5177_.X _5178_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5178_.X _5182_.B2 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _5179_.X _5180_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5180_.X _5181_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5181_.Y _5182_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5182_.X _5183_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5183_.X _6782_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5184_.Y _5185_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5184_.Y _5186_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5185_.X hold1068.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5186_.X hold1060.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _5187_.Y _5188_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5187_.Y _5189_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5187_.Y _5190_.S (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _5187_.Y _5191_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5187_.Y _5192_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5188_.X hold1212.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5189_.X hold1174.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5190_.X hold1150.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5191_.X hold535.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5192_.X hold479.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _5193_.Y _5194_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5193_.Y _5195_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5193_.Y _5196_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5193_.Y _5197_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5193_.Y _5198_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5193_.Y _5199_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5194_.X hold1217.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5195_.X hold1188.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5196_.X hold1164.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5197_.X hold594.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5198_.X hold553.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5199_.X hold176.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5200_.Y _5201_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5200_.Y _5202_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5201_.X hold1044.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5202_.X hold322.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5203_.Y _5204_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5204_.X hold566.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5205_.X _5206_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5205_.X _5207_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5205_.X _5208_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5205_.X _5209_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5206_.X hold1172.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5207_.X hold392.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5208_.X hold332.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5209_.X hold286.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5210_.Y _5211_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5211_.X hold1235.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5212_.X _5213_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5213_.X hold963.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5214_.Y _5215_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5214_.Y _5216_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5214_.Y _5217_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5214_.Y _5218_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5214_.Y _5219_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5214_.Y _5220_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5215_.X hold192.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5216_.X hold232.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5217_.X hold284.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5218_.X hold252.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5219_.X hold877.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5220_.X hold356.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5221_.X hold967.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5222_.X hold1359.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5223_.Y _5224_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5224_.X hold1239.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5225_.X _5226_.S (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _5225_.X _5227_.S (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _5225_.X _5228_.S (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _5226_.X hold1058.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5227_.X hold10.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5228_.X hold980.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5229_.Y _5230_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5229_.Y _5231_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5230_.X hold1078.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5231_.X hold1080.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5232_.Y _5233_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5232_.Y _5234_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5232_.Y _5235_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5232_.Y _5236_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5232_.Y _5237_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _5232_.Y _5238_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _5232_.Y _5239_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _5232_.Y _5240_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _5233_.X hold972.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5234_.X hold204.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5235_.X hold1082.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5236_.X hold452.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5237_.X hold1312.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5238_.X hold412.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5239_.X hold102.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5240_.X hold400.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5241_.X hold14.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5242_.X hold919.A (0.000:0.000:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _5243_.X hold1309.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5244_.X hold869.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5245_.X hold198.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5246_.X hold153.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5247_.X hold442.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5248_.X hold124.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5249_.X hold82.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5250_.X _5251_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5250_.X _5252_.S (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _5250_.X _5253_.S (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _5250_.X _5254_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5250_.X _5255_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5250_.X _5256_.S (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _5250_.X _5257_.S (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _5250_.X _5258_.S (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _5251_.X hold1110.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5252_.X hold348.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5253_.X hold218.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5254_.X hold206.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5255_.X hold157.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5256_.X hold622.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5257_.X hold802.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5258_.X hold596.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5259_.Y _5260_.S (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _5259_.Y _5261_.S (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _5259_.Y _5262_.S (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _5259_.Y _5263_.S (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _5259_.Y _5264_.S (0.011:0.011:0.011) (0.010:0.011:0.011)) - (INTERCONNECT _5259_.Y _5265_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5259_.Y _5266_.S (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _5259_.Y _5267_.S (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _5260_.X hold1231.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5261_.X hold929.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5262_.X hold1128.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5263_.X hold545.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5264_.X hold732.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5265_.X hold998.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5266_.X hold824.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5267_.X hold511.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5268_.Y _5269_.S (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _5268_.Y _5270_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5268_.Y _5271_.S (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _5268_.Y _5272_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5268_.Y _5273_.S (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _5268_.Y _5274_.S (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _5268_.Y _5275_.S (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _5268_.Y _5276_.S (0.005:0.005:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _5269_.X hold1084.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5270_.X hold354.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5271_.X hold1038.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5272_.X hold162.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5273_.X hold662.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5274_.X hold590.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5275_.X hold843.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5276_.X hold568.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5277_.Y _5278_.S (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _5277_.Y _5279_.S (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT _5277_.Y _5280_.S (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _5277_.Y _5281_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5277_.Y _5282_.S (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _5277_.Y _5283_.S (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _5277_.Y _5284_.S (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _5277_.Y _5285_.S (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _5278_.X hold1225.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5279_.X hold326.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5280_.X hold812.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5281_.X hold668.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5282_.X hold774.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5283_.X hold1016.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5284_.X hold224.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5285_.X hold519.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5286_.Y hold32.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5287_.X hold776.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5288_.X hold33.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5289_.X hold1126.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5290_.X hold458.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5291_.X hold190.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5292_.X hold992.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5293_.X hold118.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5294_.X hold398.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5295_.Y _5296_.S (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT _5295_.Y _5297_.S (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT _5295_.Y _5298_.S (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _5295_.Y _5299_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5295_.Y _5300_.S (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _5295_.Y _5301_.S (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _5295_.Y _5302_.S (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _5295_.Y _5303_.S (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _5296_.X hold1243.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5297_.X hold352.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5298_.X hold1056.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5299_.X hold466.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5300_.X hold648.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5301_.X hold533.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5302_.X hold826.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5303_.X hold525.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5304_.Y _5305_.S (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _5304_.Y _5306_.S (0.029:0.029:0.029) (0.029:0.029:0.029)) - (INTERCONNECT _5304_.Y _5307_.S (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _5304_.Y _5308_.S (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _5304_.Y _5309_.S (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _5304_.Y _5310_.S (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _5304_.Y _5311_.S (0.029:0.029:0.029) (0.029:0.029:0.029)) - (INTERCONNECT _5304_.Y _5312_.S (0.023:0.023:0.023) (0.022:0.022:0.023)) - (INTERCONNECT _5305_.X hold1032.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5306_.X hold346.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5307_.X hold855.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5308_.X hold200.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5309_.X hold664.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5310_.X hold574.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5311_.X hold847.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5312_.X hold555.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5313_.Y hold23.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5314_.X hold1052.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5315_.X hold24.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5316_.X hold1144.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5317_.X hold646.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5318_.X hold770.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5319_.X hold1020.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5320_.X hold216.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5321_.X hold537.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5322_.X _5323_.S (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _5322_.X _5324_.S (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _5322_.X _5325_.S (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _5322_.X _5326_.S (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _5322_.X _5327_.S (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _5322_.X _5328_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5322_.X _5329_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5322_.X _5330_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5323_.X hold1262.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5324_.X hold340.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5325_.X hold268.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5326_.X hold446.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5327_.X hold634.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5328_.X hold996.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5329_.X hold3.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5330_.X hold376.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5331_.Y _5332_.S (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _5331_.Y _5333_.S (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _5331_.Y _5334_.S (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _5331_.Y _5335_.S (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _5331_.Y _5336_.S (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _5331_.Y _5337_.S (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _5331_.Y _5338_.S (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _5331_.Y _5339_.S (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _5332_.X hold1257.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5333_.X hold889.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5334_.X hold915.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5335_.X hold606.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5336_.X hold642.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5337_.X hold1010.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5338_.X hold810.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5339_.X hold507.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5340_.Y _5341_.S (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _5340_.Y _5342_.S (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT _5340_.Y _5343_.S (0.026:0.026:0.026) (0.026:0.026:0.026)) - (INTERCONNECT _5340_.Y _5344_.S (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _5340_.Y _5345_.S (0.026:0.026:0.026) (0.026:0.026:0.026)) - (INTERCONNECT _5340_.Y _5346_.S (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _5340_.Y _5347_.S (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _5340_.Y _5348_.S (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _5341_.X hold1112.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5342_.X hold925.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5343_.X hold1054.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5344_.X hold196.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5345_.X hold752.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5346_.X hold588.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5347_.X hold814.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5348_.X hold521.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5349_.Y _5350_.S (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _5349_.Y _5351_.S (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _5349_.Y _5352_.S (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _5349_.Y _5353_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _5349_.Y _5354_.S (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _5349_.Y _5355_.S (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _5349_.Y _5356_.S (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _5349_.Y _5357_.S (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _5350_.X hold1074.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5351_.X hold1136.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5352_.X hold1018.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5353_.X hold710.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5354_.X hold178.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5355_.X hold990.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5356_.X hold833.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5357_.X hold505.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5358_.Y _5359_.S (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _5358_.Y _5360_.S (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _5358_.Y _5361_.S (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _5358_.Y _5362_.S (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _5358_.Y _5363_.S (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _5358_.Y _5364_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5358_.Y _5365_.S (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _5358_.Y _5366_.S (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _5359_.X hold1355.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5360_.X hold905.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5361_.X hold1046.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5362_.X hold450.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5363_.X hold676.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5364_.X hold1014.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5365_.X hold264.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5366_.X hold509.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5367_.Y _5368_.S (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT _5367_.Y _5369_.S (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT _5367_.Y _5370_.S (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT _5367_.Y _5371_.S (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _5367_.Y _5372_.S (0.019:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT _5367_.Y _5373_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _5367_.Y _5374_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5367_.Y _5375_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5368_.X hold1050.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5369_.X hold839.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5370_.X hold1208.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5371_.X hold598.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5372_.X hold658.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5373_.X hold570.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5374_.X hold320.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5375_.X hold515.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5376_.Y _5377_.S (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _5376_.Y _5378_.S (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT _5376_.Y _5379_.S (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _5376_.Y _5380_.S (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _5376_.Y _5381_.S (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _5376_.Y _5382_.S (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _5376_.Y _5383_.S (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _5376_.Y _5384_.S (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _5377_.X hold1233.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5378_.X hold338.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5379_.X hold1124.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5380_.X hold628.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5381_.X hold764.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5382_.X hold1006.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5383_.X hold885.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5384_.X hold184.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5385_.Y _5386_.S (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT _5385_.Y _5387_.S (0.030:0.030:0.030) (0.029:0.030:0.030)) - (INTERCONNECT _5385_.Y _5388_.S (0.026:0.026:0.026) (0.026:0.026:0.026)) - (INTERCONNECT _5385_.Y _5389_.S (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _5385_.Y _5390_.S (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT _5385_.Y _5391_.S (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT _5385_.Y _5392_.S (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _5385_.Y _5393_.S (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _5386_.X hold1206.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5387_.X hold316.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5388_.X hold816.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5389_.X hold608.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5390_.X hold766.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5391_.X hold1002.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5392_.X hold837.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5393_.X hold602.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5394_.X _5395_.S (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT _5394_.X _5396_.S (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT _5394_.X _5397_.S (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT _5394_.X _5398_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _5394_.X _5399_.S (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _5394_.X _5400_.S (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _5394_.X _5401_.S (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT _5394_.X _5402_.S (0.030:0.030:0.030) (0.029:0.029:0.029)) - (INTERCONNECT _5395_.X hold1245.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5396_.X hold849.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5397_.X hold820.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5398_.X hold660.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5399_.X hold706.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5400_.X hold1012.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5401_.X hold312.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5402_.X hold539.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5403_.Y _5404_.S (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT _5403_.Y _5405_.S (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT _5403_.Y _5406_.S (0.032:0.032:0.032) (0.031:0.031:0.031)) - (INTERCONNECT _5403_.Y _5407_.S (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _5403_.Y _5408_.S (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT _5403_.Y _5409_.S (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _5403_.Y _5410_.S (0.015:0.015:0.015) (0.014:0.015:0.015)) - (INTERCONNECT _5403_.Y _5411_.S (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _5403_.Y ANTENNA_61.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _5404_.X hold1076.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5405_.X hold336.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5406_.X hold248.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5407_.X hold604.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5408_.X hold638.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5409_.X hold1022.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5410_.X hold901.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5411_.X hold513.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5412_.Y _5413_.S (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _5412_.Y _5414_.S (0.046:0.046:0.046) (0.046:0.046:0.046)) - (INTERCONNECT _5412_.Y _5415_.S (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _5412_.Y _5416_.S (0.043:0.043:0.043) (0.042:0.042:0.042)) - (INTERCONNECT _5412_.Y _5417_.S (0.047:0.047:0.047) (0.046:0.046:0.046)) - (INTERCONNECT _5412_.Y _5418_.S (0.030:0.030:0.030) (0.030:0.030:0.030)) - (INTERCONNECT _5412_.Y _5419_.S (0.033:0.033:0.033) (0.033:0.033:0.033)) - (INTERCONNECT _5412_.Y _5420_.S (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _5413_.X hold1249.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5414_.X hold865.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5415_.X hold318.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5416_.X hold620.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5417_.X hold736.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5418_.X hold614.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5419_.X hold270.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5420_.X hold782.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5421_.Y _5422_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5421_.Y _5423_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5421_.Y _5424_.S (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _5421_.Y _5425_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _5421_.Y _5426_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5421_.Y _5427_.S (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _5421_.Y _5428_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5421_.Y _5429_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _5422_.X hold1192.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5423_.X hold408.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5424_.X hold240.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5425_.X hold280.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5426_.X hold188.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5427_.X hold155.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5428_.X hold893.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5429_.X hold724.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5430_.Y _5431_.S (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT _5430_.Y _5432_.S (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _5430_.Y _5433_.S (0.041:0.041:0.042) (0.041:0.041:0.041)) - (INTERCONNECT _5430_.Y _5434_.S (0.041:0.041:0.042) (0.041:0.041:0.041)) - (INTERCONNECT _5430_.Y _5435_.S (0.039:0.039:0.039) (0.039:0.039:0.039)) - (INTERCONNECT _5430_.Y _5436_.S (0.042:0.042:0.042) (0.041:0.041:0.041)) - (INTERCONNECT _5430_.Y _5437_.S (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _5430_.Y _5438_.S (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _5431_.X hold1036.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5432_.X hold358.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5433_.X hold1132.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5434_.X hold557.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5435_.X hold650.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5436_.X hold678.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5437_.X hold939.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5438_.X hold780.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5439_.Y _5440_.S (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT _5439_.Y _5441_.S (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _5439_.Y _5442_.S (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT _5439_.Y _5443_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5439_.Y _5444_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5439_.Y _5445_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5439_.Y _5446_.S (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT _5439_.Y _5447_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5440_.X hold682.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5441_.X hold798.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5442_.X hold246.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5443_.X hold430.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5444_.X hold708.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5445_.X hold986.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5446_.X hold822.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5447_.X hold390.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5448_.Y _5449_.S (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _5448_.Y _5450_.S (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT _5448_.Y _5451_.S (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT _5448_.Y _5452_.S (0.027:0.027:0.027) (0.027:0.027:0.027)) - (INTERCONNECT _5448_.Y _5453_.S (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT _5448_.Y _5454_.S (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT _5448_.Y _5455_.S (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT _5448_.Y _5456_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5448_.Y ANTENNA_62.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _5448_.Y ANTENNA_63.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5449_.X hold1260.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5450_.X hold612.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5451_.X hold288.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5452_.X hold428.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5453_.X hold772.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5454_.X hold292.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5455_.X hold937.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5456_.X hold120.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5457_.Y _5458_.S (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _5457_.Y _5459_.S (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT _5457_.Y _5460_.S (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _5457_.Y _5461_.S (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT _5457_.Y _5462_.S (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT _5457_.Y _5463_.S (0.028:0.028:0.029) (0.028:0.028:0.028)) - (INTERCONNECT _5457_.Y _5464_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5457_.Y _5465_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5458_.X hold1064.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5459_.X hold342.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5460_.X hold306.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5461_.X hold276.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5462_.X hold624.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5463_.X hold674.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5464_.X hold883.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5465_.X hold746.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5466_.X _5467_.S (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _5466_.X _5468_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _5466_.X _5469_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _5466_.X _5470_.S (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT _5466_.X _5471_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _5466_.X _5472_.S (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT _5466_.X _5473_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5466_.X _5474_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5467_.X hold1337.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5468_.X hold360.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5469_.X hold314.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5470_.X hold278.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5471_.X hold202.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5472_.X hold610.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5473_.X hold911.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5474_.X hold734.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5475_.Y hold79.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5476_.X hold1282.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5477_.X hold845.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5478_.X hold310.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5479_.X hold493.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5480_.X hold640.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5481_.X hold582.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5482_.X hold887.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5483_.X hold80.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5484_.Y _5485_.S (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _5484_.Y _5486_.S (0.046:0.046:0.046) (0.045:0.045:0.046)) - (INTERCONNECT _5484_.Y _5487_.S (0.052:0.053:0.053) (0.052:0.052:0.052)) - (INTERCONNECT _5484_.Y _5488_.S (0.053:0.053:0.053) (0.052:0.052:0.052)) - (INTERCONNECT _5484_.Y _5489_.S (0.050:0.051:0.051) (0.050:0.050:0.050)) - (INTERCONNECT _5484_.Y _5490_.S (0.053:0.054:0.054) (0.053:0.053:0.053)) - (INTERCONNECT _5484_.Y _5491_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5484_.Y _5492_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5485_.X hold1156.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5486_.X hold796.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5487_.X hold1154.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5488_.X hold543.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5489_.X hold702.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5490_.X hold1024.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5491_.X hold891.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5492_.X hold740.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5493_.Y hold108.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5494_.X hold1357.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5495_.X hold851.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5496_.X hold818.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5497_.X hold436.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5498_.X hold122.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5499_.X hold1004.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5500_.X hold109.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5501_.X hold563.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5502_.Y _5503_.S (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _5502_.Y _5504_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _5502_.Y _5505_.S (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT _5502_.Y _5506_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5502_.Y _5507_.S (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _5502_.Y _5508_.S (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _5502_.Y _5509_.S (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT _5502_.Y _5510_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5503_.X hold1351.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5504_.X hold652.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5505_.X hold250.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5506_.X hold477.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5507_.X hold644.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5508_.X hold680.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5509_.X hold835.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5510_.X hold396.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5511_.Y _5512_.S (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _5511_.Y _5513_.S (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT _5511_.Y _5514_.S (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _5511_.Y _5515_.S (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _5511_.Y _5516_.S (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT _5511_.Y _5517_.S (0.026:0.026:0.026) (0.025:0.025:0.026)) - (INTERCONNECT _5511_.Y _5518_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5511_.Y _5519_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5512_.X hold1066.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5513_.X hold804.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5514_.X hold308.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5515_.X hold160.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5516_.X hold143.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5517_.X hold600.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5518_.X hold927.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5519_.X hold758.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5520_.Y _5521_.S (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _5520_.Y _5522_.S (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _5520_.Y _5523_.S (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _5520_.Y _5524_.S (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _5520_.Y _5525_.S (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _5520_.Y _5526_.S (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _5520_.Y _5527_.S (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT _5520_.Y _5528_.S (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _5520_.Y ANTENNA_64.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _5520_.Y ANTENNA_65.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT _5521_.X hold1266.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5522_.X hold863.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5523_.X hold214.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5524_.X hold440.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5525_.X hold626.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5526_.X hold420.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5527_.X hold853.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5528_.X hold416.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5529_.X _5530_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5529_.X _5531_.S (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _5529_.X _5532_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5529_.X _5533_.S (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _5529_.X _5534_.S (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _5530_.X hold1290.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5531_.X hold913.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5532_.X hold1138.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5533_.X hold792.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5534_.X hold696.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5535_.X _5536_.S (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _5535_.X _5537_.S (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _5535_.X _5538_.S (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _5535_.X _5539_.S (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _5535_.X _5540_.S (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _5535_.X _5541_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5535_.X _5542_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _5535_.X _5543_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5536_.X hold1181.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5537_.X hold372.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5538_.X hold266.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5539_.X hold208.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5540_.X hold260.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5541_.X hold262.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5542_.X hold903.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5543_.X hold730.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5544_.Y _5545_.S (0.025:0.025:0.025) (0.025:0.025:0.025)) - (INTERCONNECT _5544_.Y _5546_.S (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT _5544_.Y _5547_.S (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT _5544_.Y _5548_.S (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _5544_.Y _5549_.S (0.026:0.026:0.026) (0.026:0.026:0.026)) - (INTERCONNECT _5544_.Y _5550_.S (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _5544_.Y _5551_.S (0.032:0.032:0.032) (0.032:0.032:0.032)) - (INTERCONNECT _5544_.Y _5552_.S (0.030:0.030:0.030) (0.029:0.030:0.030)) - (INTERCONNECT _5544_.Y ANTENNA_66.DIODE (0.026:0.026:0.026) (0.025:0.026:0.026)) - (INTERCONNECT _5545_.X hold1070.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5546_.X hold881.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5547_.X hold1148.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5548_.X hold438.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5549_.X hold654.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5550_.X hold424.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5551_.X hold861.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5552_.X hold501.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5553_.Y _5554_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _5553_.Y _5555_.S (0.037:0.037:0.037) (0.036:0.036:0.036)) - (INTERCONNECT _5553_.Y _5556_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5553_.Y _5557_.S (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _5553_.Y _5558_.S (0.037:0.037:0.037) (0.036:0.036:0.036)) - (INTERCONNECT _5553_.Y _5559_.S (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT _5553_.Y _5560_.S (0.032:0.033:0.033) (0.032:0.032:0.032)) - (INTERCONNECT _5553_.Y _5561_.S (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _5554_.X hold1030.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5555_.X hold374.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5556_.X hold1200.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5557_.X hold576.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5558_.X hold256.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5559_.X hold994.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5560_.X hold867.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5561_.X hold434.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5562_.Y hold115.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5563_.X hold1034.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5564_.X hold879.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5565_.X hold1048.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5566_.X hold444.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5567_.X hold656.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5568_.X hold988.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5569_.X hold116.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5570_.X hold394.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5571_.Y _5572_.S (0.020:0.020:0.020) (0.019:0.019:0.020)) - (INTERCONNECT _5571_.Y _5573_.S (0.035:0.035:0.035) (0.035:0.035:0.035)) - (INTERCONNECT _5571_.Y _5574_.S (0.027:0.027:0.027) (0.027:0.027:0.027)) - (INTERCONNECT _5571_.Y _5575_.S (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT _5571_.Y _5576_.S (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT _5571_.Y _5577_.S (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _5571_.Y _5578_.S (0.038:0.039:0.039) (0.038:0.038:0.038)) - (INTERCONNECT _5571_.Y _5579_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5572_.X hold1072.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5573_.X hold897.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5574_.X hold1120.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5575_.X hold692.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5576_.X hold172.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5577_.X hold1028.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5578_.X hold859.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5579_.X hold402.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5580_.Y hold70.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5581_.X hold1095.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5582_.X hold1315.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5583_.X hold324.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5584_.X hold448.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5585_.X hold166.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5586_.X hold1000.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5587_.X hold907.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5588_.X hold71.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5589_.X _5590_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5590_.X _7143_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5591_.Y _5596_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5591_.Y _5651_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5592_.Y _5594_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5592_.Y _5601_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5592_.Y _6244_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5592_.Y _6269_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _5592_.Y _6294_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _5592_.Y _6343_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _5593_.Y _5646_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5594_.X _5595_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5594_.X _5597_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5594_.X _5598_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5594_.X _5603_.A3 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5594_.X _5604_.D (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5595_.Y _5602_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5596_.X _5599_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5596_.X _5606_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5596_.X _5607_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5597_.Y _5599_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5598_.X _5599_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5599_.X _7144_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5600_.Y _5602_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _5600_.Y _5645_.C_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5601_.X _5602_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5602_.X _7145_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5603_.X _5606_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5604_.X _5605_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5604_.X _5607_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5604_.X _5608_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5605_.Y _5606_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5606_.X _7146_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5607_.Y _5608_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5608_.X _7147_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5609_.Y _5611_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5609_.Y _5646_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5610_.X _5617_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5610_.X _5626_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5610_.X _5630_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5611_.X _7148_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5612_.Y _5613_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5612_.Y _5617_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5612_.Y _5664_.B (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _5612_.Y _5667_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5612_.Y _5678_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _5612_.Y _5685_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5612_.Y _5689_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5612_.Y _5690_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _5612_.Y _5696_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5612_.Y _5705_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _5613_.Y _5618_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5613_.Y _5620_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5614_.X _5617_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5614_.X _5656_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5614_.X _5670_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5614_.X _5671_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5614_.X _5675_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5614_.X _5683_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5614_.X _5693_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5614_.X _5700_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5614_.X _5706_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5615_.Y _5638_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5615_.Y _5643_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5616_.Y _5620_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5616_.Y _5623_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5616_.Y _5624_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5616_.Y _5631_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5616_.Y _5636_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5617_.X _7149_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5618_.X _5619_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5618_.X _5622_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5618_.X _5623_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5619_.Y _5620_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5620_.X _7150_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5621_.Y _5656_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5621_.Y _5683_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5621_.Y _5688_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5621_.Y _5689_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5621_.Y _5690_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5621_.Y _5697_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5621_.Y _5702_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5621_.Y _5703_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5622_.Y _5623_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5622_.Y _5625_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5623_.X _7151_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5624_.Y _5625_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5625_.X _7152_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5626_.X _7153_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5627_.X _5635_.C (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _5627_.X _5642_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5627_.X _5989_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5627_.X _5992_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5627_.X _6000_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5627_.X _6008_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5627_.X _6017_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5627_.X _6020_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5627_.X _6030_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5627_.X _6033_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5628_.X _5631_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5628_.X _5632_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5628_.X _5634_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5628_.X _5637_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5629_.X _5630_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5629_.X _5979_.A (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _5629_.X _5982_.A (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _5629_.X _5983_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _5629_.X _5994_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _5629_.X _6013_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _5629_.X _6040_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _5630_.X _7154_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5631_.Y _5632_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5632_.Y _7155_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5633_.X _5634_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5633_.X _5637_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5633_.X _5642_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5633_.X _5977_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5633_.X _5982_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5633_.X _5994_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5633_.X _6014_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5633_.X _6016_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5633_.X _6020_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5633_.X _6039_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5634_.Y _5636_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5634_.Y _5639_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5635_.X _5636_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5636_.X _7156_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5637_.X _5639_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5637_.X _5643_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5638_.Y _5639_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5639_.Y _7157_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5640_.X _5642_.C (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _5640_.X _5977_.C (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _5640_.X _5988_.B (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _5640_.X _5994_.C (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _5640_.X _6000_.C (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _5640_.X _6009_.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _5640_.X _6028_.A (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _5640_.X _6029_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _5640_.X _6030_.B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _5640_.X _6033_.B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _5640_.X _6036_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _5640_.X _6039_.B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _5640_.X _6040_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _5641_.Y _5997_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5641_.Y _5998_.A (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _5641_.Y _6002_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5641_.Y _6006_.A (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _5641_.Y _6038_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _5642_.X _5644_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5642_.X _5995_.A2 (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT _5642_.X _6239_.A2 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT _5642_.X _6247_.A2 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _5642_.X _6287_.A2 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT _5642_.X _6298_.A2 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _5642_.X max_cap423.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5643_.Y _5644_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5644_.X _7158_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5645_.X _5646_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5646_.X _5647_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5646_.X _5649_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5647_.X _7160_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5648_.X _5649_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5649_.X _7161_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5650_.Y _5709_.C1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _5650_.Y _6047_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _5650_.Y _6244_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5650_.Y _6269_.B1_N (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _5650_.Y _6294_.B1_N (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _5650_.Y _6343_.B1_N (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _5651_.Y _5838_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _5651_.Y _6171_.S (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _5651_.Y _6195_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _5651_.Y _5970_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5651_.Y _5816_.S (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _5651_.Y fanout366.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5652_.Y _5710_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5652_.Y _6047_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5652_.Y _6196_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5653_.X _5655_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5653_.X _5661_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5653_.X _5663_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5653_.X _5664_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5653_.X _5667_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5653_.X _5686_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5653_.X _5693_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5653_.X _5700_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5654_.X _5655_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5654_.X _5659_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5654_.X _5663_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5654_.X _5672_.B (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _5654_.X _5682_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _5654_.X _5688_.C (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5654_.X _5697_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5654_.X _5699_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5655_.X _5657_.A2 (0.048:0.048:0.048) (0.046:0.046:0.046)) - (INTERCONNECT _5655_.X _5724_.A2 (0.038:0.038:0.038) (0.036:0.036:0.036)) - (INTERCONNECT _5655_.X _5739_.A2 (0.037:0.037:0.037) (0.036:0.036:0.036)) - (INTERCONNECT _5655_.X _5758_.A2 (0.044:0.044:0.044) (0.042:0.042:0.042)) - (INTERCONNECT _5655_.X _5787_.A2 (0.048:0.048:0.048) (0.046:0.046:0.046)) - (INTERCONNECT _5655_.X _5812_.A2 (0.045:0.045:0.045) (0.044:0.044:0.044)) - (INTERCONNECT _5655_.X _5824_.A2 (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT _5655_.X _5855_.A2 (0.033:0.033:0.033) (0.032:0.032:0.032)) - (INTERCONNECT _5655_.X _5871_.A2 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _5655_.X _5898_.A2 (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _5655_.X _5911_.A2 (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT _5655_.X _5937_.A2 (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT _5655_.X _5953_.A2 (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT _5656_.X _5657_.B1 (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT _5656_.X _5725_.A2 (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT _5656_.X _5749_.A2 (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT _5656_.X _5761_.A2 (0.024:0.024:0.024) (0.024:0.024:0.024)) - (INTERCONNECT _5656_.X _5790_.A2 (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT _5656_.X _5804_.A2 (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT _5656_.X _5827_.A2 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT _5656_.X _5853_.A2 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _5656_.X _5873_.A2 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _5656_.X _5897_.A2 (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _5656_.X _5911_.B1 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _5656_.X _5928_.A2 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _5656_.X _5963_.A2 (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT _5656_.X ANTENNA_67.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _5657_.X _5662_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5658_.X _5659_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5658_.X _5666_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _5658_.X _5675_.C (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _5658_.X _5678_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5658_.X _5682_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5658_.X _5694_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5658_.X _5705_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5658_.X _5706_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5659_.X _5662_.A2 (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT _5659_.X _5712_.A2 (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT _5659_.X _5748_.A2 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _5659_.X _5765_.A2 (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT _5659_.X _5780_.A2 (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT _5659_.X _5802_.A2 (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT _5659_.X _5819_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5659_.X _5841_.A2 (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT _5659_.X _5861_.A2 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT _5659_.X _5900_.A2 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT _5659_.X _5906_.A2 (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT _5659_.X _5933_.A2 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT _5659_.X _5953_.B1 (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT _5659_.X ANTENNA_227.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT _5659_.X ANTENNA_258.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT _5660_.X _5661_.C (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5660_.X _5666_.C (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _5660_.X _5676_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5660_.X _5677_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _5660_.X _5686_.C (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _5660_.X _5694_.C (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _5660_.X _5702_.C (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _5660_.X _5703_.C (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5661_.X _5725_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _5661_.X _5876_.A2 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _5661_.X _5886_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _5661_.X _5922_.A2 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT _5661_.X _5936_.A2 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT _5661_.X _5966_.A2 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT _5661_.X max_cap422.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5662_.X _5681_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _5662_.X ANTENNA_68.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _5663_.X _5665_.A2 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _5663_.X _5727_.A2 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _5663_.X _5739_.B1 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT _5663_.X _5767_.A2 (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT _5663_.X _5781_.A2 (0.024:0.024:0.024) (0.024:0.024:0.024)) - (INTERCONNECT _5663_.X _5805_.A2 (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT _5663_.X _5819_.B1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _5663_.X _5843_.A2 (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT _5663_.X _5870_.A2 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _5663_.X _5887_.A2 (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT _5663_.X _5917_.A2 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _5663_.X _5944_.A2 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _5663_.X _5951_.A2 (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT _5663_.X ANTENNA_259.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _5664_.X _5665_.B1 (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT _5664_.X _5716_.A2 (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT _5664_.X _5732_.A2 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _5664_.X _5759_.A2 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _5664_.X _5788_.A2 (0.024:0.024:0.024) (0.024:0.024:0.024)) - (INTERCONNECT _5664_.X _5799_.A2 (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT _5664_.X _5821_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5664_.X _5848_.A2 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT _5664_.X _5870_.B1 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _5664_.X _5895_.A2 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT _5664_.X _5919_.A2 (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _5664_.X _5930_.A2 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT _5664_.X _5956_.A2 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT _5665_.X _5668_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5666_.X _5668_.A2 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT _5666_.X _5726_.A2 (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT _5666_.X _5735_.B1 (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT _5666_.X _5766_.A2 (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT _5666_.X _5779_.A2 (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT _5666_.X _5805_.B1 (0.029:0.029:0.029) (0.029:0.029:0.029)) - (INTERCONNECT _5666_.X _5832_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _5666_.X _5846_.B1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _5666_.X _5871_.B1 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT _5666_.X _5890_.A2 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT _5666_.X _5915_.A2 (0.018:0.018:0.018) (0.017:0.018:0.018)) - (INTERCONNECT _5666_.X _5942_.A2 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _5666_.X _5962_.A2 (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT _5667_.X _5668_.B1 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT _5667_.X _5724_.B1 (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT _5667_.X _5746_.A2 (0.034:0.034:0.034) (0.033:0.033:0.033)) - (INTERCONNECT _5667_.X _5769_.A2 (0.033:0.033:0.033) (0.032:0.032:0.032)) - (INTERCONNECT _5667_.X _5784_.A2 (0.034:0.034:0.034) (0.033:0.033:0.033)) - (INTERCONNECT _5667_.X _5807_.A2 (0.032:0.032:0.032) (0.031:0.031:0.031)) - (INTERCONNECT _5667_.X _5818_.A2 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _5667_.X _5844_.A2 (0.025:0.025:0.025) (0.025:0.025:0.025)) - (INTERCONNECT _5667_.X _5878_.A2 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _5667_.X _5887_.B1 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _5667_.X _5906_.B1 (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT _5667_.X _5927_.A2 (0.021:0.021:0.021) (0.020:0.021:0.021)) - (INTERCONNECT _5667_.X _5959_.A2 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _5667_.X ANTENNA_69.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT _5667_.X ANTENNA_70.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _5668_.X _5681_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5669_.X _5670_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5669_.X _5671_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5669_.X _5672_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5669_.X _5676_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5669_.X _5677_.C (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _5669_.X _5685_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5669_.X _5696_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5669_.X _5699_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5670_.X _5674_.A2 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT _5670_.X _5717_.A2 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT _5670_.X _5736_.A2 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT _5670_.X _5762_.A2 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT _5670_.X _5791_.A2 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _5670_.X _5797_.A2 (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT _5670_.X _5826_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _5670_.X _5853_.B1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _5670_.X _5868_.A2 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT _5670_.X _5897_.B1 (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT _5670_.X _5919_.B1 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT _5670_.X _5944_.B1 (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT _5670_.X _5956_.B1 (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT _5671_.X _5673_.A2 (0.032:0.032:0.032) (0.031:0.031:0.031)) - (INTERCONNECT _5671_.X _5715_.A2 (0.044:0.044:0.044) (0.042:0.042:0.042)) - (INTERCONNECT _5671_.X _5734_.A2 (0.044:0.044:0.044) (0.042:0.042:0.042)) - (INTERCONNECT _5671_.X _5761_.B1 (0.043:0.043:0.043) (0.041:0.041:0.041)) - (INTERCONNECT _5671_.X _5790_.B1 (0.044:0.044:0.044) (0.042:0.042:0.042)) - (INTERCONNECT _5671_.X _5797_.B1 (0.044:0.044:0.044) (0.042:0.042:0.043)) - (INTERCONNECT _5671_.X _5824_.B1 (0.039:0.039:0.039) (0.037:0.037:0.037)) - (INTERCONNECT _5671_.X _5852_.A2 (0.040:0.040:0.040) (0.039:0.039:0.039)) - (INTERCONNECT _5671_.X _5862_.A2 (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT _5671_.X _5883_.A2 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _5671_.X _5914_.A2 (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT _5671_.X _5943_.A2 (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT _5671_.X _5951_.B1 (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT _5671_.X ANTENNA_71.DIODE (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT _5672_.X _5801_.A2 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT _5672_.X _5826_.B1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _5672_.X _5840_.A2 (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT _5672_.X max_cap421.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5673_.X _5674_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5674_.X _5681_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5675_.X _5680_.A2 (0.053:0.053:0.053) (0.051:0.051:0.051)) - (INTERCONNECT _5675_.X _5718_.A2 (0.054:0.054:0.054) (0.051:0.051:0.052)) - (INTERCONNECT _5675_.X _5741_.A2 (0.050:0.050:0.050) (0.048:0.048:0.048)) - (INTERCONNECT _5675_.X _5769_.B1 (0.058:0.058:0.058) (0.055:0.055:0.055)) - (INTERCONNECT _5675_.X _5784_.B1 (0.059:0.059:0.059) (0.056:0.056:0.056)) - (INTERCONNECT _5675_.X _5807_.B1 (0.058:0.058:0.058) (0.055:0.055:0.055)) - (INTERCONNECT _5675_.X _5823_.A2 (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT _5675_.X _5849_.A2 (0.043:0.043:0.043) (0.041:0.041:0.041)) - (INTERCONNECT _5675_.X _5867_.A2 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _5675_.X _5884_.A2 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _5675_.X _5918_.A2 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _5675_.X _5927_.B1 (0.056:0.056:0.056) (0.054:0.054:0.054)) - (INTERCONNECT _5675_.X _5962_.B1 (0.055:0.055:0.055) (0.053:0.053:0.053)) - (INTERCONNECT _5676_.X _5680_.B1 (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT _5676_.X _5868_.B1 (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT _5676_.X _5883_.B1 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _5676_.X _5912_.A2 (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT _5676_.X _5936_.B1 (0.029:0.029:0.029) (0.027:0.027:0.027)) - (INTERCONNECT _5676_.X _5952_.A2 (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT _5676_.X max_cap420.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5677_.X _5679_.A2 (0.049:0.049:0.049) (0.047:0.047:0.047)) - (INTERCONNECT _5677_.X _5718_.B1 (0.040:0.040:0.040) (0.039:0.039:0.039)) - (INTERCONNECT _5677_.X _5747_.B1 (0.040:0.040:0.040) (0.038:0.038:0.039)) - (INTERCONNECT _5677_.X _5757_.A2 (0.047:0.047:0.047) (0.045:0.045:0.045)) - (INTERCONNECT _5677_.X _5776_.A2 (0.048:0.048:0.048) (0.046:0.046:0.046)) - (INTERCONNECT _5677_.X _5811_.A2 (0.045:0.045:0.045) (0.043:0.043:0.043)) - (INTERCONNECT _5677_.X _5829_.A2 (0.032:0.032:0.032) (0.031:0.031:0.031)) - (INTERCONNECT _5677_.X _5856_.A2 (0.040:0.040:0.040) (0.039:0.039:0.039)) - (INTERCONNECT _5677_.X _5865_.A2 (0.057:0.057:0.057) (0.054:0.054:0.054)) - (INTERCONNECT _5677_.X _5893_.A2 (0.057:0.057:0.057) (0.054:0.054:0.054)) - (INTERCONNECT _5677_.X _5905_.A2 (0.056:0.056:0.056) (0.053:0.053:0.053)) - (INTERCONNECT _5677_.X _5939_.A2 (0.054:0.054:0.054) (0.051:0.051:0.052)) - (INTERCONNECT _5677_.X _5950_.A2 (0.054:0.054:0.054) (0.051:0.052:0.052)) - (INTERCONNECT _5677_.X ANTENNA_267.DIODE (0.049:0.049:0.049) (0.047:0.047:0.047)) - (INTERCONNECT _5678_.X _5679_.B1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _5678_.X _5727_.B1 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _5678_.X _5733_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _5678_.X _5760_.A2 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _5678_.X _5789_.A2 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT _5678_.X _5798_.A2 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _5678_.X _5830_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _5678_.X _5839_.A2 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT _5678_.X _5876_.B1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _5678_.X _5885_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _5678_.X _5920_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _5678_.X _5928_.B1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _5678_.X _5949_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _5679_.X _5680_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5680_.X _5681_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5681_.X _5709_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5682_.X _5684_.A2 (0.033:0.033:0.033) (0.031:0.031:0.031)) - (INTERCONNECT _5682_.X _5714_.A2 (0.032:0.032:0.032) (0.031:0.031:0.031)) - (INTERCONNECT _5682_.X _5748_.B1 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _5682_.X _5760_.B1 (0.030:0.030:0.030) (0.029:0.029:0.029)) - (INTERCONNECT _5682_.X _5789_.B1 (0.033:0.033:0.033) (0.032:0.032:0.032)) - (INTERCONNECT _5682_.X _5799_.B1 (0.030:0.030:0.030) (0.029:0.029:0.029)) - (INTERCONNECT _5682_.X _5818_.B1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _5682_.X _5843_.B1 (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT _5682_.X _5862_.B1 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT _5682_.X _5885_.B1 (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT _5682_.X _5908_.A2 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT _5682_.X _5942_.B1 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _5682_.X _5963_.B1 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _5682_.X ANTENNA_72.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _5683_.X _5684_.B1 (0.038:0.038:0.038) (0.037:0.037:0.037)) - (INTERCONNECT _5683_.X _5714_.B1 (0.037:0.037:0.037) (0.036:0.036:0.036)) - (INTERCONNECT _5683_.X _5737_.A2 (0.036:0.036:0.036) (0.034:0.034:0.034)) - (INTERCONNECT _5683_.X _5767_.B1 (0.035:0.035:0.035) (0.034:0.034:0.034)) - (INTERCONNECT _5683_.X _5781_.B1 (0.039:0.039:0.039) (0.038:0.038:0.038)) - (INTERCONNECT _5683_.X _5806_.A2 (0.035:0.035:0.035) (0.034:0.034:0.034)) - (INTERCONNECT _5683_.X _5833_.A2 (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT _5683_.X _5845_.A2 (0.032:0.032:0.032) (0.031:0.031:0.031)) - (INTERCONNECT _5683_.X _5874_.A2 (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _5683_.X _5884_.B1 (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT _5683_.X _5909_.A2 (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT _5683_.X _5931_.A2 (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT _5683_.X _5959_.B1 (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT _5684_.X _5687_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5685_.X _5687_.A2 (0.030:0.030:0.030) (0.029:0.029:0.029)) - (INTERCONNECT _5685_.X _5721_.A2 (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT _5685_.X _5736_.B1 (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT _5685_.X _5766_.B1 (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT _5685_.X _5779_.B1 (0.032:0.032:0.032) (0.031:0.031:0.031)) - (INTERCONNECT _5685_.X _5802_.B1 (0.027:0.027:0.027) (0.027:0.027:0.027)) - (INTERCONNECT _5685_.X _5833_.B1 (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT _5685_.X _5851_.A2 (0.025:0.025:0.025) (0.025:0.025:0.025)) - (INTERCONNECT _5685_.X _5866_.A2 (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT _5685_.X _5899_.A2 (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT _5685_.X _5908_.B1 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT _5685_.X _5934_.A2 (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT _5685_.X _5964_.A2 (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT _5685_.X ANTENNA_73.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT _5686_.X _5687_.B1 (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT _5686_.X _5723_.A2 (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT _5686_.X _5742_.A2 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _5686_.X _5762_.B1 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT _5686_.X _5791_.B1 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT _5686_.X _5798_.B1 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT _5686_.X _5821_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _5686_.X _5839_.B1 (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT _5686_.X _5877_.A2 (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT _5686_.X _5896_.A2 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _5686_.X _5921_.A2 (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT _5686_.X _5929_.A2 (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _5686_.X _5949_.B1 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT _5687_.X _5708_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5688_.X _5692_.A2 (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT _5688_.X _5726_.B1 (0.035:0.035:0.035) (0.034:0.034:0.034)) - (INTERCONNECT _5688_.X _5734_.B1 (0.036:0.036:0.036) (0.035:0.035:0.035)) - (INTERCONNECT _5688_.X _5759_.B1 (0.036:0.036:0.036) (0.035:0.035:0.035)) - (INTERCONNECT _5688_.X _5788_.B1 (0.036:0.036:0.036) (0.035:0.035:0.035)) - (INTERCONNECT _5688_.X _5812_.B1 (0.040:0.040:0.040) (0.038:0.038:0.038)) - (INTERCONNECT _5688_.X _5820_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5688_.X _5842_.A2 (0.039:0.039:0.039) (0.037:0.037:0.037)) - (INTERCONNECT _5688_.X _5863_.B1 (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT _5688_.X _5898_.B1 (0.026:0.026:0.026) (0.026:0.026:0.026)) - (INTERCONNECT _5688_.X _5910_.A2 (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT _5688_.X _5929_.B1 (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT _5688_.X _5950_.B1 (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT _5689_.X _5692_.B1 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _5689_.X _5873_.B1 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _5689_.X _5895_.B1 (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT _5689_.X _5917_.B1 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _5689_.X _5939_.B1 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _5689_.X _5961_.B2 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT _5689_.X max_cap419.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5690_.X _5692_.C1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _5690_.X _5722_.C1 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT _5690_.X _5768_.C1 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _5690_.X _5783_.C1 (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT _5691_.Y _5709_.A2 (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _5691_.Y _5729_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5691_.Y _5751_.A2 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _5691_.Y _5772_.A2 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _5691_.Y _5793_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5691_.Y _5814_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5691_.Y _5836_.A2 (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _5691_.Y _5858_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _5691_.Y _5880_.A2 (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT _5691_.Y _5902_.A2 (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT _5691_.Y _5924_.A2 (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT _5691_.Y _5946_.A2 (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT _5691_.Y _5968_.A2 (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT _5692_.X _5695_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5693_.X _5695_.A2 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _5693_.X _5720_.B1 (0.039:0.039:0.039) (0.037:0.037:0.037)) - (INTERCONNECT _5693_.X _5745_.B1 (0.041:0.041:0.041) (0.040:0.040:0.040)) - (INTERCONNECT _5693_.X _5754_.A2 (0.042:0.042:0.042) (0.040:0.040:0.040)) - (INTERCONNECT _5693_.X _5786_.A2 (0.039:0.039:0.039) (0.038:0.038:0.038)) - (INTERCONNECT _5693_.X _5810_.A2 (0.042:0.042:0.042) (0.041:0.041:0.041)) - (INTERCONNECT _5693_.X _5817_.A2 (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _5693_.X _5852_.B1 (0.030:0.030:0.030) (0.029:0.029:0.029)) - (INTERCONNECT _5693_.X _5861_.B1 (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT _5693_.X _5892_.B1 (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT _5693_.X _5909_.B1 (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT _5693_.X _5937_.B1 (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT _5693_.X _5965_.A2 (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT _5694_.X _5695_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _5694_.X _5715_.B1 (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT _5694_.X _5749_.B1 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT _5694_.X _5757_.B1 (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT _5694_.X _5776_.B1 (0.030:0.030:0.030) (0.029:0.029:0.029)) - (INTERCONNECT _5694_.X _5811_.B1 (0.026:0.026:0.026) (0.026:0.026:0.026)) - (INTERCONNECT _5694_.X _5820_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _5694_.X _5840_.B1 (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT _5694_.X _5878_.B1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _5694_.X _5896_.B1 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _5694_.X _5905_.B1 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _5694_.X _5940_.A2 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _5694_.X _5964_.B1 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _5695_.X _5708_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5696_.X _5763_.A2 (0.034:0.034:0.034) (0.033:0.033:0.033)) - (INTERCONNECT _5696_.X _5777_.A2 (0.035:0.035:0.035) (0.034:0.034:0.034)) - (INTERCONNECT _5696_.X _5800_.A2 (0.033:0.033:0.033) (0.032:0.032:0.032)) - (INTERCONNECT _5696_.X _5827_.B1 (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT _5696_.X _5842_.B1 (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT _5696_.X max_cap418.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5697_.X _5698_.B1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _5697_.X _5713_.A2 (0.030:0.030:0.030) (0.029:0.029:0.029)) - (INTERCONNECT _5697_.X _5738_.A2 (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT _5697_.X _5763_.B1 (0.031:0.031:0.031) (0.030:0.030:0.030)) - (INTERCONNECT _5697_.X _5777_.B1 (0.031:0.031:0.031) (0.030:0.030:0.030)) - (INTERCONNECT _5697_.X _5803_.A2 (0.031:0.031:0.031) (0.030:0.030:0.030)) - (INTERCONNECT _5697_.X _5834_.A2 (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT _5697_.X _5854_.A2 (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT _5697_.X _5867_.B1 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _5697_.X _5886_.B1 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _5697_.X _5915_.B1 (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT _5697_.X _5932_.A2 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _5697_.X _5954_.A2 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT _5697_.X ANTENNA_74.DIODE (0.030:0.030:0.030) (0.029:0.029:0.029)) - (INTERCONNECT _5698_.X _5701_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5699_.X _5701_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _5699_.X _5711_.A2 (0.034:0.034:0.034) (0.033:0.033:0.033)) - (INTERCONNECT _5699_.X _5744_.A2 (0.036:0.036:0.036) (0.035:0.035:0.035)) - (INTERCONNECT _5699_.X _5768_.B1 (0.038:0.038:0.038) (0.037:0.037:0.037)) - (INTERCONNECT _5699_.X _5783_.B1 (0.038:0.038:0.038) (0.037:0.037:0.037)) - (INTERCONNECT _5699_.X _5808_.A2 (0.039:0.039:0.039) (0.037:0.037:0.037)) - (INTERCONNECT _5699_.X _5817_.B1 (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT _5699_.X _5856_.B1 (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT _5699_.X _5877_.B1 (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _5699_.X _5890_.B1 (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _5699_.X _5907_.B1 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _5699_.X _5933_.B1 (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT _5699_.X _5961_.A2 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT _5700_.X _5701_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _5700_.X _5712_.B1 (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT _5700_.X _5733_.B1 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _5700_.X _5764_.B1 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _5700_.X _5778_.B1 (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT _5700_.X _5800_.B1 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _5700_.X _5834_.B1 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _5700_.X _5849_.B1 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _5700_.X _5875_.A2 (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT _5700_.X _5900_.B1 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _5700_.X _5918_.B1 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _5700_.X _5931_.B1 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT _5700_.X _5965_.B1 (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT _5701_.X _5708_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5702_.X _5704_.A2 (0.027:0.027:0.027) (0.027:0.027:0.027)) - (INTERCONNECT _5702_.X _5713_.B1 (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT _5702_.X _5741_.B1 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _5702_.X _5755_.A2 (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT _5702_.X _5782_.A2 (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT _5702_.X _5808_.B1 (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT _5702_.X _5823_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _5702_.X _5854_.B1 (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _5702_.X _5864_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _5702_.X _5889_.A2 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _5702_.X _5920_.B1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _5702_.X _5930_.B1 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _5702_.X _5958_.A2 (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT _5702_.X ANTENNA_75.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _5703_.X _5704_.B1 (0.060:0.060:0.060) (0.057:0.057:0.057)) - (INTERCONNECT _5703_.X _5723_.B1 (0.045:0.045:0.045) (0.044:0.044:0.044)) - (INTERCONNECT _5703_.X _5746_.B1 (0.058:0.058:0.058) (0.056:0.056:0.056)) - (INTERCONNECT _5703_.X _5754_.B1 (0.057:0.057:0.057) (0.055:0.055:0.055)) - (INTERCONNECT _5703_.X _5786_.B1 (0.060:0.060:0.060) (0.057:0.057:0.057)) - (INTERCONNECT _5703_.X _5810_.B1 (0.054:0.054:0.054) (0.052:0.052:0.052)) - (INTERCONNECT _5703_.X _5830_.B1 (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT _5703_.X _5845_.B1 (0.046:0.046:0.046) (0.044:0.044:0.044)) - (INTERCONNECT _5703_.X _5864_.B1 (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT _5703_.X _5893_.B1 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _5703_.X _5912_.B1 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _5703_.X _5940_.B1 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT _5703_.X _5952_.B1 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _5704_.X _5707_.C1 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _5704_.X ANTENNA_76.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _5705_.X _5707_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _5705_.X _5717_.B1 (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT _5705_.X _5738_.B1 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT _5705_.X _5765_.B1 (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT _5705_.X _5780_.B1 (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT _5705_.X _5801_.B1 (0.027:0.027:0.027) (0.027:0.027:0.027)) - (INTERCONNECT _5705_.X _5832_.B1 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _5705_.X _5841_.B1 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _5705_.X _5875_.B1 (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT _5705_.X _5899_.B1 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _5705_.X _5921_.B1 (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT _5705_.X _5941_.B1 (0.024:0.024:0.024) (0.024:0.024:0.024)) - (INTERCONNECT _5705_.X _5955_.B1 (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT _5706_.X _5707_.B1 (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT _5706_.X _5711_.B1 (0.040:0.040:0.040) (0.039:0.039:0.039)) - (INTERCONNECT _5706_.X _5732_.B1 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _5706_.X _5755_.B1 (0.040:0.040:0.040) (0.038:0.038:0.038)) - (INTERCONNECT _5706_.X _5782_.B1 (0.040:0.040:0.040) (0.039:0.039:0.039)) - (INTERCONNECT _5706_.X _5806_.B1 (0.037:0.037:0.037) (0.036:0.036:0.036)) - (INTERCONNECT _5706_.X _5822_.A2 (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT _5706_.X _5855_.B1 (0.030:0.030:0.030) (0.029:0.029:0.029)) - (INTERCONNECT _5706_.X _5874_.B1 (0.032:0.032:0.032) (0.031:0.031:0.031)) - (INTERCONNECT _5706_.X _5889_.B1 (0.033:0.033:0.033) (0.032:0.032:0.032)) - (INTERCONNECT _5706_.X _5914_.B1 (0.032:0.032:0.032) (0.031:0.031:0.031)) - (INTERCONNECT _5706_.X _5943_.B1 (0.034:0.034:0.034) (0.033:0.033:0.033)) - (INTERCONNECT _5706_.X _5958_.B1 (0.036:0.036:0.036) (0.035:0.035:0.035)) - (INTERCONNECT _5706_.X ANTENNA_77.DIODE (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT _5706_.X ANTENNA_78.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _5706_.X ANTENNA_79.DIODE (0.033:0.033:0.033) (0.032:0.032:0.032)) - (INTERCONNECT _5707_.X _5708_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5708_.X _5709_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5709_.X _5710_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5710_.X _7162_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5711_.X _5712_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5712_.X _5719_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5713_.X _5714_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5714_.X _5719_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5715_.X _5716_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5716_.X _5719_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5717_.X _5718_.C1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _5718_.X _5719_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5719_.X _5729_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5720_.X _5721_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5721_.X _5728_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5722_.X _5723_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5723_.X _5728_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5724_.X _5725_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5725_.X _5728_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5726_.X _5727_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5727_.X _5728_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5728_.X _5729_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5729_.X _5730_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _5730_.X _5731_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5731_.X hold1392.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5732_.X _5733_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5733_.X _5740_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5734_.X _5735_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5735_.X _5740_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5736_.X _5737_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5737_.X _5740_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5738_.X _5739_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5739_.X _5740_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5740_.X _5751_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5741_.X _5742_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5742_.X _5750_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5743_.X _5744_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5744_.X _5745_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5745_.X _5750_.B (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _5746_.X _5747_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5747_.X _5750_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5748_.X _5749_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5749_.X _5750_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5750_.X _5751_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5751_.X _5752_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _5752_.X _5753_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5753_.X _7164_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5754_.X _5757_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5755_.X _5767_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5756_.X _5758_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5757_.X _5758_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5758_.X _5771_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5759_.X _5760_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5760_.X _5771_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5761_.X _5762_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5762_.X _5771_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5763_.X _5764_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5764_.X _5770_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5765_.X _5766_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5766_.X _5770_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5767_.X _5770_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5768_.X _5769_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5769_.X _5770_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5770_.X _5772_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5771_.X _5772_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5772_.X _5773_.B1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _5773_.X _5774_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5774_.X hold1396.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5775_.X _5787_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5776_.X _5786_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5777_.X _5778_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5778_.X _5785_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5779_.X _5780_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5780_.X _5785_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5781_.X _5782_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5782_.X _5785_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5783_.X _5784_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5784_.X _5785_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5785_.X _5793_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5786_.X _5787_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5787_.X _5792_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5788_.X _5789_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5789_.X _5792_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5790_.X _5791_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5791_.X _5792_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5792_.X _5793_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5793_.X _5794_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _5794_.X _5795_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5795_.X _7166_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5796_.X _5800_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5797_.X _5798_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5798_.X _5799_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5799_.X _5804_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5800_.X _5803_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5801_.X _5802_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5802_.X _5803_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5803_.X _5804_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5804_.X _5814_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5805_.X _5806_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5806_.X _5813_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5807_.X _5808_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5808_.X _5813_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5809_.X _5810_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5810_.X _5813_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5811_.X _5812_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5812_.X _5813_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5813_.X _5814_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5814_.X _5815_.B1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _5815_.X _5816_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5816_.X hold1408.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5817_.X _5818_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5818_.X _5825_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5819_.X _5820_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5820_.X _5825_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5821_.X _5822_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5822_.X _5825_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5823_.X _5824_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5824_.X _5825_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5825_.X _5836_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5826_.X _5827_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5827_.X _5835_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5828_.X _5829_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5829_.X _5830_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5830_.X _5835_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5831_.X _5832_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5832_.X _5835_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5833_.X _5834_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5834_.X _5835_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5835_.X _5836_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5836_.X _5837_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5837_.X _5838_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5838_.X hold1382.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5839_.X _5840_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5840_.X _5847_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5841_.X _5842_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5842_.X _5847_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5843_.X _5844_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5844_.X _5847_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5845_.X _5846_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5846_.X _5847_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5847_.X _5858_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5848_.X _5849_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5849_.X _5857_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5850_.X _5851_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5851_.X _5852_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5852_.X _5857_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5853_.X _5854_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5854_.X _5857_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5855_.X _5856_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5856_.X _5857_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5857_.X _5858_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5858_.X _5859_.B1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _5859_.X _5860_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5859_.X ANTENNA_228.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5860_.X _7169_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5861_.X _5862_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5862_.X _5869_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5863_.X _5864_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5864_.X _5869_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5865_.X _5866_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5866_.X _5869_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5867_.X _5868_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5868_.X _5869_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5869_.X _5880_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5870_.X _5871_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5871_.X _5879_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5872_.X _5873_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5873_.X _5874_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5874_.X _5879_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5875_.X _5876_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5876_.X _5879_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5877_.X _5878_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5878_.X _5879_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5879_.X _5880_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5880_.X _5881_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5881_.X _5882_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5882_.X _7170_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5883_.X _5884_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5884_.X _5891_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5885_.X _5886_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5886_.X _5891_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5887_.X _5888_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5888_.X _5891_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5889_.X _5890_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5890_.X _5891_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5891_.X _5902_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5892_.X _5893_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5893_.X _5901_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _5894_.X _5895_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5895_.X _5896_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5896_.X _5901_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5897_.X _5898_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5898_.X _5901_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5899_.X _5900_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5900_.X _5901_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5901_.X _5902_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5902_.X _5903_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5903_.X _5904_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5904_.X _7171_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5905_.X _5906_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5906_.X _5913_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5907_.X _5908_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5908_.X _5913_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5909_.X _5910_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5910_.X _5913_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5911_.X _5912_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5912_.X _5913_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5913_.X _5924_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5914_.X _5915_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5915_.X _5923_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5916_.X _5917_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5917_.X _5918_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5918_.X _5923_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5919_.X _5920_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5920_.X _5923_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5921_.X _5922_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5922_.X _5923_.D (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5923_.X _5924_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5924_.X _5925_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5925_.X _5926_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5926_.X _7172_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5927_.X _5928_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5928_.X _5935_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5929_.X _5930_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5930_.X _5935_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5931_.X _5932_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5932_.X _5935_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5933_.X _5934_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5934_.X _5935_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5935_.X _5946_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5936_.X _5937_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5937_.X _5945_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5938_.X _5939_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5939_.X _5940_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5940_.X _5945_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5941_.X _5942_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5942_.X _5945_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5943_.X _5944_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5944_.X _5945_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5945_.X _5946_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5946_.X _5947_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5947_.X _5948_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5948_.X _7173_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5949_.X _5950_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5950_.X _5957_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5951_.X _5952_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5952_.X _5957_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _5953_.X _5954_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5954_.X _5957_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5955_.X _5956_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5956_.X _5957_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5957_.X _5968_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5958_.X _5959_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5959_.X _5967_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5960_.X _5961_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5961_.X _5962_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5962_.X _5967_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5963_.X _5964_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5964_.X _5967_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5965_.X _5966_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5966_.X _5967_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5967_.X _5968_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5968_.X _5969_.B1 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _5968_.X ANTENNA_80.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _5969_.X _5970_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5970_.X _7174_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5971_.Y _5972_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _5971_.Y _5991_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5971_.Y _6009_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _5971_.Y _6015_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5971_.Y _6016_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5971_.Y _6036_.B (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _5971_.Y _6039_.C (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _5972_.Y _5973_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5972_.Y _5976_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5972_.Y _6006_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5973_.Y _6023_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5973_.Y _6183_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _5973_.Y max_cap403.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5974_.Y _5982_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5974_.Y _5989_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5974_.Y _5992_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5974_.Y _6003_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5974_.Y _6008_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5974_.Y _6013_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5974_.Y _6014_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5974_.Y _6015_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5974_.Y _6016_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5974_.Y _6020_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5975_.X _5976_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5975_.X _5980_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5975_.X _6001_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5975_.X _6018_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _5975_.X _6021_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5976_.Y _6022_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5976_.Y _6183_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5976_.Y max_cap402.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5977_.X _5981_.A2 (0.042:0.042:0.042) (0.040:0.040:0.040)) - (INTERCONNECT _5977_.X _6051_.A2 (0.041:0.041:0.041) (0.040:0.040:0.040)) - (INTERCONNECT _5977_.X _6076_.A2 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT _5977_.X _6112_.A2 (0.037:0.037:0.037) (0.036:0.036:0.036)) - (INTERCONNECT _5977_.X _6125_.A2 (0.041:0.041:0.041) (0.040:0.040:0.040)) - (INTERCONNECT _5977_.X _6149_.A2 (0.040:0.040:0.040) (0.039:0.039:0.039)) - (INTERCONNECT _5977_.X _6189_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _5977_.X _6198_.A2 (0.040:0.040:0.040) (0.039:0.039:0.039)) - (INTERCONNECT _5977_.X _6223_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _5977_.X _6250_.A2 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT _5977_.X _6274_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _5977_.X _6299_.A2 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _5977_.X _6334_.A2 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _5978_.Y _5979_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5978_.Y _5991_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5978_.Y _5996_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5978_.Y _6008_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5978_.Y _6009_.C (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _5978_.Y _6017_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5978_.Y _6030_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5979_.Y _5980_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5979_.Y _6007_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5979_.Y _6038_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5980_.Y _6240_.A2 (0.017:0.017:0.017) (0.016:0.017:0.017)) - (INTERCONNECT _5980_.Y _6264_.A2 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT _5980_.Y _6288_.A2 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT _5980_.Y _6314_.A2 (0.022:0.022:0.022) (0.020:0.021:0.021)) - (INTERCONNECT _5980_.Y _6328_.A2 (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT _5980_.Y max_cap401.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5980_.Y ANTENNA_81.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT _5981_.X _5985_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5982_.X _6237_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _5982_.X _6262_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _5982_.X max_cap417.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _5982_.X ANTENNA_229.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _5983_.Y _5984_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5983_.Y _5998_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5983_.Y _6021_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5984_.Y _6023_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5984_.Y _6176_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5984_.Y _6233_.A2 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _5984_.Y _6258_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5984_.Y _6281_.A2 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _5984_.Y _6338_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5984_.Y max_cap400.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5985_.X _5986_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5986_.X _6045_.A (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _5987_.X _5988_.C (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5987_.X _5992_.C (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5987_.X _6003_.C (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5987_.X _6013_.C (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5987_.X _6015_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5987_.X _6033_.C (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _5987_.X _6036_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5987_.X _6040_.C (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _5988_.X _5990_.A2 (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT _5988_.X _6066_.A2 (0.048:0.048:0.048) (0.046:0.046:0.046)) - (INTERCONNECT _5988_.X _6080_.B1 (0.046:0.046:0.046) (0.045:0.045:0.045)) - (INTERCONNECT _5988_.X _6105_.B1 (0.046:0.046:0.046) (0.045:0.045:0.045)) - (INTERCONNECT _5988_.X _6124_.A2 (0.048:0.048:0.048) (0.046:0.046:0.046)) - (INTERCONNECT _5988_.X _6165_.A2 (0.043:0.043:0.043) (0.042:0.042:0.042)) - (INTERCONNECT _5988_.X _6172_.A2 (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT _5988_.X _6199_.A2 (0.032:0.032:0.032) (0.031:0.031:0.031)) - (INTERCONNECT _5988_.X _6224_.A2 (0.035:0.035:0.035) (0.034:0.034:0.034)) - (INTERCONNECT _5988_.X _6249_.A2 (0.036:0.036:0.036) (0.034:0.034:0.034)) - (INTERCONNECT _5988_.X _6289_.A2 (0.036:0.036:0.036) (0.035:0.035:0.035)) - (INTERCONNECT _5988_.X _6300_.A2 (0.038:0.038:0.038) (0.037:0.037:0.037)) - (INTERCONNECT _5988_.X _6335_.A2 (0.038:0.038:0.038) (0.037:0.037:0.037)) - (INTERCONNECT _5989_.X _5990_.B1 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _5989_.X _6022_.B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _5989_.X _6066_.B1 (0.035:0.035:0.035) (0.034:0.034:0.034)) - (INTERCONNECT _5989_.X _6079_.A2 (0.035:0.035:0.035) (0.034:0.034:0.034)) - (INTERCONNECT _5989_.X _6103_.A2 (0.034:0.034:0.034) (0.033:0.033:0.033)) - (INTERCONNECT _5989_.X _6126_.A2 (0.035:0.035:0.035) (0.034:0.034:0.034)) - (INTERCONNECT _5989_.X _6165_.B1 (0.032:0.032:0.032) (0.031:0.031:0.031)) - (INTERCONNECT _5989_.X _6174_.A2 (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT _5989_.X _6200_.A2 (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT _5989_.X _6224_.B1 (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT _5989_.X _6249_.B1 (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT _5989_.X _6289_.B1 (0.026:0.026:0.026) (0.026:0.026:0.026)) - (INTERCONNECT _5989_.X _6300_.B1 (0.030:0.030:0.030) (0.029:0.029:0.029)) - (INTERCONNECT _5989_.X _6325_.A2 (0.031:0.031:0.031) (0.031:0.031:0.031)) - (INTERCONNECT _5990_.X _5993_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5991_.X _6028_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5991_.X _6029_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5991_.X _6178_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5991_.X max_cap416.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5992_.X _5993_.B1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _5992_.X _6239_.B1 (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT _5992_.X _6247_.B1 (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT _5992_.X _6287_.B1 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT _5992_.X _6298_.B1 (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT _5992_.X _6327_.A2 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _5992_.X max_cap415.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _5993_.X _6012_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5994_.X _5995_.B1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _5994_.X _6052_.A2 (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT _5994_.X _6091_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _5994_.X _6107_.A2 (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT _5994_.X _6127_.A2 (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT _5994_.X _6150_.A2 (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT _5994_.X _6191_.A2 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _5994_.X _6201_.A2 (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT _5994_.X _6226_.A2 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _5994_.X _6251_.A2 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _5994_.X _6275_.A2 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _5994_.X _6301_.A2 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT _5994_.X _6324_.A2 (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT _5994_.X ANTENNA_82.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT _5995_.X _5999_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _5996_.Y _5997_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5996_.Y _6001_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _5996_.Y _6019_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _5997_.Y _6129_.A2 (0.021:0.021:0.021) (0.020:0.021:0.021)) - (INTERCONNECT _5997_.Y _6228_.A2 (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT _5997_.Y _6253_.A2 (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT _5997_.Y _6277_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _5997_.Y _6303_.A2 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _5997_.Y _6334_.B1 (0.020:0.020:0.020) (0.019:0.019:0.020)) - (INTERCONNECT _5997_.Y max_cap399.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _5998_.Y _5999_.B1 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _5998_.Y _6052_.B1 (0.022:0.022:0.022) (0.021:0.021:0.022)) - (INTERCONNECT _5998_.Y _6089_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _5998_.Y _6108_.A2 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _5998_.Y _6127_.B1 (0.023:0.023:0.023) (0.021:0.022:0.022)) - (INTERCONNECT _5998_.Y _6150_.B1 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _5998_.Y _6187_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _5998_.Y _6201_.B1 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT _5998_.Y _6226_.B1 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT _5998_.Y _6251_.B1 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _5998_.Y _6275_.B1 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _5998_.Y _6301_.B1 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _5998_.Y _6324_.B1 (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT _5999_.X _6012_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6000_.X _6005_.A2 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT _6000_.X _6049_.B (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT _6000_.X _6093_.A2 (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT _6000_.X _6108_.B1 (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT _6000_.X _6128_.A2 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _6000_.X _6151_.A2 (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT _6000_.X _6186_.A2 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _6000_.X _6202_.A2 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _6000_.X _6227_.A2 (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT _6000_.X _6252_.A2 (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT _6000_.X _6276_.A2 (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT _6000_.X _6302_.A2 (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT _6000_.X _6330_.A2 (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT _6001_.Y _6025_.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _6001_.Y _6077_.A2 (0.037:0.037:0.037) (0.034:0.035:0.035)) - (INTERCONNECT _6001_.Y _6112_.B1 (0.035:0.035:0.035) (0.033:0.033:0.034)) - (INTERCONNECT _6001_.Y _6139_.A2 (0.039:0.039:0.039) (0.035:0.036:0.037)) - (INTERCONNECT _6001_.Y _6162_.A2 (0.031:0.031:0.031) (0.029:0.030:0.030)) - (INTERCONNECT _6001_.Y _6185_.B1 (0.020:0.020:0.020) (0.019:0.020:0.020)) - (INTERCONNECT _6001_.Y _6213_.A2 (0.031:0.031:0.031) (0.029:0.029:0.030)) - (INTERCONNECT _6001_.Y max_cap398.A (0.002:0.002:0.002) (0.001:0.001:0.002)) - (INTERCONNECT _6002_.Y _6004_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _6002_.Y _6229_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _6002_.Y _6254_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _6002_.Y _6278_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _6002_.Y _6304_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _6002_.Y _6321_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _6002_.Y max_cap397.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6003_.X _6027_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6003_.X _6074_.B1 (0.040:0.040:0.040) (0.038:0.038:0.038)) - (INTERCONNECT _6003_.X _6114_.A2 (0.038:0.038:0.038) (0.036:0.036:0.036)) - (INTERCONNECT _6003_.X _6137_.A2 (0.042:0.042:0.042) (0.040:0.040:0.040)) - (INTERCONNECT _6003_.X _6160_.A2 (0.032:0.032:0.032) (0.031:0.031:0.031)) - (INTERCONNECT _6003_.X _6179_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _6003_.X _6211_.A2 (0.032:0.032:0.032) (0.031:0.031:0.031)) - (INTERCONNECT _6003_.X max_cap414.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6004_.X _6005_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6005_.X _6012_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6006_.Y _6011_.A2 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT _6006_.Y _6227_.B1 (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT _6006_.Y _6252_.B1 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _6006_.Y _6276_.B1 (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT _6006_.Y _6302_.B1 (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT _6006_.Y _6322_.A2 (0.016:0.016:0.016) (0.015:0.015:0.016)) - (INTERCONNECT _6006_.Y max_cap396.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6007_.Y _6011_.B1 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _6007_.Y _6130_.B1 (0.021:0.021:0.021) (0.019:0.020:0.020)) - (INTERCONNECT _6007_.Y _6229_.B1 (0.020:0.020:0.020) (0.018:0.019:0.019)) - (INTERCONNECT _6007_.Y _6254_.B1 (0.020:0.020:0.020) (0.018:0.019:0.019)) - (INTERCONNECT _6007_.Y _6278_.B1 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT _6007_.Y _6304_.B1 (0.020:0.020:0.020) (0.018:0.019:0.019)) - (INTERCONNECT _6007_.Y _6339_.A2 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT _6007_.Y max_cap395.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6008_.X _6010_.A2 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT _6008_.X _6023_.C (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6008_.X _6089_.B1 (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _6008_.X _6225_.A2 (0.030:0.030:0.030) (0.029:0.029:0.029)) - (INTERCONNECT _6008_.X _6265_.A2 (0.031:0.031:0.031) (0.029:0.029:0.029)) - (INTERCONNECT _6008_.X _6290_.A2 (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT _6008_.X _6297_.A2 (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT _6008_.X _6335_.B1 (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT _6008_.X max_cap413.A (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _6008_.X ANTENNA_83.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT _6008_.X ANTENNA_84.DIODE (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT _6009_.X _6010_.B1 (0.029:0.029:0.029) (0.029:0.029:0.029)) - (INTERCONNECT _6009_.X _6057_.A2 (0.031:0.031:0.031) (0.030:0.030:0.030)) - (INTERCONNECT _6009_.X _6086_.A2 (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT _6009_.X _6116_.A2 (0.031:0.031:0.031) (0.030:0.030:0.030)) - (INTERCONNECT _6009_.X _6132_.A2 (0.032:0.032:0.032) (0.031:0.031:0.031)) - (INTERCONNECT _6009_.X _6155_.A2 (0.030:0.030:0.030) (0.030:0.030:0.030)) - (INTERCONNECT _6009_.X _6190_.B1 (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT _6009_.X _6206_.A2 (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT _6009_.X _6231_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _6009_.X _6256_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _6009_.X _6279_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _6009_.X _6306_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _6009_.X _6333_.A2 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _6010_.X _6011_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6011_.X _6012_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6012_.X _6045_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6013_.X _6027_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6013_.X wire412.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6014_.X _6022_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6014_.X max_cap411.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6015_.X _6228_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6015_.X _6253_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6015_.X _6277_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6015_.X _6303_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6015_.X max_cap410.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6016_.X _6235_.B1 (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT _6016_.X _6260_.B1 (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT _6016_.X _6283_.B1 (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT _6016_.X _6310_.B1 (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT _6016_.X _6325_.B1 (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT _6016_.X max_cap409.A (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _6017_.X _6022_.D (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6017_.X _6175_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _6017_.X max_cap408.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6018_.Y _6023_.D (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6018_.Y _6179_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6018_.Y _6236_.B1 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _6018_.Y _6261_.B1 (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT _6018_.Y wire394.A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _6019_.Y _6025_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6019_.Y _6135_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6019_.Y _6189_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6019_.Y max_cap393.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _6020_.X _6225_.B1 (0.025:0.025:0.025) (0.025:0.025:0.025)) - (INTERCONNECT _6020_.X _6265_.B1 (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT _6020_.X _6290_.B1 (0.030:0.030:0.030) (0.029:0.029:0.029)) - (INTERCONNECT _6020_.X _6297_.B1 (0.033:0.033:0.033) (0.032:0.032:0.032)) - (INTERCONNECT _6020_.X _6327_.B1 (0.034:0.034:0.034) (0.032:0.032:0.032)) - (INTERCONNECT _6020_.X max_cap407.A (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _6021_.Y _6064_.B1 (0.028:0.028:0.028) (0.026:0.026:0.027)) - (INTERCONNECT _6021_.Y _6238_.B1 (0.042:0.042:0.042) (0.038:0.038:0.039)) - (INTERCONNECT _6021_.Y _6263_.B1 (0.042:0.042:0.042) (0.038:0.039:0.039)) - (INTERCONNECT _6021_.Y _6286_.B1 (0.037:0.037:0.037) (0.034:0.035:0.035)) - (INTERCONNECT _6021_.Y _6313_.B1 (0.037:0.037:0.037) (0.034:0.035:0.035)) - (INTERCONNECT _6021_.Y _6330_.B1 (0.036:0.036:0.036) (0.033:0.033:0.034)) - (INTERCONNECT _6021_.Y max_cap392.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6021_.Y ANTENNA_85.DIODE (0.042:0.042:0.042) (0.038:0.038:0.039)) - (INTERCONNECT _6021_.Y ANTENNA_86.DIODE (0.042:0.042:0.042) (0.038:0.039:0.039)) - (INTERCONNECT _6021_.Y ANTENNA_87.DIODE (0.028:0.028:0.028) (0.026:0.026:0.027)) - (INTERCONNECT _6021_.Y ANTENNA_88.DIODE (0.036:0.036:0.036) (0.033:0.034:0.034)) - (INTERCONNECT _6022_.X _6026_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6023_.X _6026_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6024_.X _6026_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6025_.X _6026_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6026_.X _6028_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6026_.X _6029_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6027_.X _6028_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6027_.X _6029_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6028_.Y wire365.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6029_.X _6046_.B (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT _6029_.X _6070_.A2 (0.035:0.035:0.035) (0.034:0.034:0.034)) - (INTERCONNECT _6029_.X _6095_.A2 (0.031:0.031:0.031) (0.030:0.030:0.030)) - (INTERCONNECT _6029_.X _6120_.A2 (0.040:0.040:0.040) (0.039:0.039:0.039)) - (INTERCONNECT _6029_.X _6144_.B1 (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT _6029_.X _6169_.A2 (0.040:0.040:0.040) (0.039:0.039:0.039)) - (INTERCONNECT _6029_.X _6218_.B1 (0.038:0.038:0.038) (0.037:0.037:0.037)) - (INTERCONNECT _6029_.X _6243_.A2 (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT _6029_.X _6268_.A2 (0.025:0.025:0.025) (0.025:0.025:0.025)) - (INTERCONNECT _6029_.X _6293_.A2 (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT _6029_.X _6317_.B1 (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT _6029_.X _6342_.A2 (0.027:0.027:0.027) (0.027:0.027:0.027)) - (INTERCONNECT _6030_.X _6031_.B1 (0.044:0.044:0.044) (0.043:0.043:0.043)) - (INTERCONNECT _6030_.X _6060_.B1 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _6030_.X _6086_.B1 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _6030_.X _6116_.B1 (0.042:0.042:0.042) (0.041:0.041:0.041)) - (INTERCONNECT _6030_.X _6135_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6030_.X _6158_.B1 (0.041:0.041:0.041) (0.039:0.039:0.039)) - (INTERCONNECT _6030_.X _6191_.B1 (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _6030_.X _6209_.B1 (0.041:0.041:0.041) (0.040:0.040:0.040)) - (INTERCONNECT _6030_.X _6234_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _6030_.X _6259_.B1 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _6030_.X _6282_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _6030_.X _6309_.B1 (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT _6030_.X _6321_.B1 (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT _6031_.X _6032_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6032_.X _6044_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6033_.X _6230_.B1 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _6033_.X _6255_.B1 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT _6033_.X _6273_.B1 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _6033_.X _6305_.B1 (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT _6033_.X _6326_.B1 (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT _6033_.X max_cap406.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6034_.X _6035_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6035_.X _6044_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6036_.X _6181_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6036_.X _6240_.B1 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _6036_.X _6264_.B1 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _6036_.X _6288_.B1 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _6036_.X _6314_.B1 (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT _6036_.X max_cap405.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6037_.X _6043_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6038_.Y _6178_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6038_.Y _6231_.B1 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _6038_.Y _6256_.B1 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _6038_.Y _6279_.B1 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _6038_.Y _6306_.B1 (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT _6038_.Y _6328_.B1 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _6038_.Y max_cap391.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6039_.X _6042_.B1 (0.041:0.041:0.041) (0.040:0.040:0.040)) - (INTERCONNECT _6039_.X _6053_.B1 (0.037:0.037:0.037) (0.036:0.036:0.036)) - (INTERCONNECT _6039_.X _6090_.B1 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _6039_.X _6099_.B1 (0.035:0.035:0.035) (0.034:0.034:0.034)) - (INTERCONNECT _6039_.X _6133_.A2 (0.038:0.038:0.038) (0.037:0.037:0.037)) - (INTERCONNECT _6039_.X _6148_.B (0.034:0.034:0.034) (0.033:0.033:0.033)) - (INTERCONNECT _6039_.X _6186_.B1 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _6039_.X _6207_.A2 (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT _6039_.X _6222_.B (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT _6039_.X _6248_.B (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT _6039_.X _6272_.B (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT _6039_.X _6307_.A2 (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT _6039_.X _6336_.B1 (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT _6040_.X _6063_.B1 (0.035:0.035:0.035) (0.034:0.034:0.034)) - (INTERCONNECT _6040_.X _6237_.B1 (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT _6040_.X _6262_.B1 (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT _6040_.X _6285_.B1 (0.033:0.033:0.033) (0.032:0.032:0.032)) - (INTERCONNECT _6040_.X _6312_.B1 (0.032:0.032:0.032) (0.031:0.031:0.031)) - (INTERCONNECT _6040_.X _6339_.B1 (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT _6040_.X max_cap404.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6040_.X ANTENNA_89.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT _6041_.X _6042_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6042_.X _6043_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6043_.X _6044_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6044_.X _6045_.C (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _6044_.X ANTENNA_90.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6044_.X ANTENNA_91.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6044_.X ANTENNA_92.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _6044_.X ANTENNA_93.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _6045_.X _6047_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6046_.X _6047_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6047_.X _7175_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6048_.X _6065_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6049_.X _6053_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6050_.X _6059_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6051_.X _6052_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6052_.X _6058_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6053_.X _6058_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6054_.X _6055_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6055_.X _6058_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6056_.X _6057_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6057_.X _6058_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6058_.X _6069_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6059_.X _6060_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6060_.X _6069_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6061_.X _6062_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6062_.X _6068_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6063_.X _6064_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6064_.X _6068_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6065_.X _6068_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6066_.X _6067_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6067_.X _6068_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6068_.X _6069_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6069_.X _6070_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6070_.X _6071_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6071_.X _6072_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6072_.X _7176_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6073_.X _6074_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6074_.X _6075_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6075_.X _6085_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6076_.X _6077_.C1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6077_.X _6084_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6078_.X _6079_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6079_.X _6084_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6080_.X _6081_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6081_.X _6084_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6082_.X _6083_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6083_.X _6084_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6084_.X _6085_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6085_.X _6095_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6086_.X _6087_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6087_.X _6094_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6088_.X _6089_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6089_.X _6094_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6090_.X _6093_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6091_.X _6092_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6092_.X _6093_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6093_.X _6094_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6094_.X _6095_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6095_.X _6096_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6096_.X _6097_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6097_.X hold1389.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6098_.X _6099_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6099_.X _6100_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6100_.X _6110_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6101_.X _6102_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6102_.X _6109_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6103_.X _6104_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6104_.X _6109_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6105_.X _6106_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6106_.X _6109_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6107_.X _6108_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6108_.X _6109_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6109_.X _6110_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6110_.X _6120_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6111_.X _6112_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6112_.X _6119_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6113_.X _6114_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6114_.X _6119_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6115_.X _6118_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6116_.X _6117_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6117_.X _6118_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6118_.X _6119_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6119_.X _6120_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6120_.X _6121_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6121_.X _6122_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6122_.X _7178_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6123_.X _6134_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6124_.X _6126_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6125_.X _6127_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6126_.X _6142_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6127_.X _6144_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _6128_.X _6133_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6129_.X _6130_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6130_.X _6133_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6131_.X _6132_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6132_.X _6133_.D1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6133_.X _6144_.A2 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _6134_.X _6135_.C1 (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT _6135_.X _6143_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6136_.X _6137_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6137_.X _6142_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6138_.X _6139_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6139_.X _6142_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6140_.X _6141_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6141_.X _6142_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6142_.X _6143_.C (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _6142_.X ANTENNA_94.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6142_.X ANTENNA_95.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _6143_.X _6144_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6144_.X _6145_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _6145_.X _6146_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6146_.X _7179_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6147_.X _6157_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6148_.X _6151_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6149_.X _6150_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6150_.X _6156_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6151_.X _6156_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6152_.X _6153_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6153_.X _6156_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6154_.X _6155_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6155_.X _6156_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6156_.X _6169_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6157_.X _6158_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6158_.X _6168_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6159_.X _6160_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6160_.X _6167_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6161_.X _6162_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6162_.X _6167_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6163_.X _6164_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6164_.X _6167_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6165_.X _6166_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6166_.X _6167_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6167_.X _6168_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6168_.X _6169_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6169_.X _6170_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6170_.X _6171_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6171_.X hold1403.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6172_.X _6173_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6173_.X _6180_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6174_.X _6175_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6175_.X _6180_.B (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _6176_.X _6177_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6177_.X _6180_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6178_.X _6179_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6179_.X _6180_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6180_.X _6193_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6181_.X _6182_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6182_.X _6183_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6183_.X _6193_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6184_.X _6185_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6185_.X _6192_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6186_.X _6187_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6187_.X _6192_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6188_.X _6189_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6189_.X _6192_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6190_.X _6191_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6191_.X _6192_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6192_.X _6193_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6193_.X _6194_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6194_.X _6196_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6195_.X _6196_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6196_.X _7181_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6197_.X _6208_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6198_.X _6201_.C1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6199_.X _6216_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6200_.X _6216_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6201_.X _6218_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6202_.X _6207_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6203_.X _6204_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6204_.X _6207_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6205_.X _6206_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6206_.X _6207_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6207_.X _6218_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6208_.X _6209_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6209_.X _6217_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6210_.X _6211_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6211_.X _6217_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6212_.X _6213_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6213_.X _6216_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6214_.X _6215_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6215_.X _6216_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6216_.X _6217_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6217_.X _6218_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6218_.X _6219_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6219_.X _6220_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6220_.X _7182_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6221_.X _6233_.C1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6222_.X _6227_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6223_.X _6226_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6224_.X _6241_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6225_.X _6241_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6226_.X _6232_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6227_.X _6232_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6228_.X _6229_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6229_.X _6232_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6230_.X _6231_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6231_.X _6232_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6232_.X _6243_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6233_.X _6234_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6234_.X _6242_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6235_.X _6236_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6236_.X _6242_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6237_.X _6238_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6238_.X _6241_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6239_.X _6240_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6240_.X _6241_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6241_.X _6242_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6242_.X _6243_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6243_.X _6245_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6244_.X _6245_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6245_.X _7183_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6246_.X _6258_.C1 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _6247_.X _6264_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6248_.X _6252_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6249_.X _6265_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6250_.X _6251_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6251_.X _6257_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6252_.X _6257_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6253_.X _6254_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6254_.X _6257_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6255_.X _6256_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6256_.X _6257_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6257_.X _6268_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6258_.X _6259_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6259_.X _6267_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6260_.X _6261_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6261_.X _6266_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6262_.X _6263_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6263_.X _6266_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6264_.X _6266_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6265_.X _6266_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6266_.X _6267_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6267_.X _6268_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6268_.X _6270_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6269_.X _6270_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6270_.X _7184_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6271_.X _6281_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6272_.X _6276_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6273_.X _6279_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6274_.X _6275_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6275_.X _6280_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6276_.X _6280_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6277_.X _6278_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6278_.X _6280_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6279_.X _6280_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6280_.X _6293_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6281_.X _6282_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6282_.X _6292_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6283_.X _6284_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6284_.X _6291_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6285_.X _6286_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6286_.X _6291_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6286_.X ANTENNA_96.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6287_.X _6288_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6288_.X _6291_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6289_.X _6290_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6290_.X _6291_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6291_.X _6292_.C (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6292_.X _6293_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6293_.X _6295_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6294_.X _6295_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6295_.X _7185_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6296_.X _6308_.C1 (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT _6297_.X _6300_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6298_.X _6314_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6299_.X _6301_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6300_.X _6315_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6301_.X _6317_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6302_.X _6307_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6303_.X _6304_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6304_.X _6307_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6305_.X _6306_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6306_.X _6307_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6307_.X _6317_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6308_.X _6309_.C1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _6309_.X _6316_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6310_.X _6311_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6311_.X _6315_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6312_.X _6313_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6313_.X _6315_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6314_.X _6315_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6315_.X _6316_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6316_.X _6317_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6317_.X _6318_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6318_.X _6319_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6319_.X _7186_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6320_.X _6332_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6321_.X _6322_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6322_.X _6332_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6323_.X _6324_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6324_.X _6331_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6325_.X _6326_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6326_.X _6331_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6327_.X _6328_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6328_.X _6331_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6329_.X _6330_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6330_.X _6331_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6331_.X _6332_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6332_.X _6342_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6333_.X _6334_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6334_.X _6341_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6335_.X _6336_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6336_.X _6341_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6337_.X _6340_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6338_.X _6339_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6339_.X _6340_.C1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6340_.X _6341_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6341_.X _6342_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6342_.X _6344_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6343_.X _6344_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6344_.X _7187_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6345_.X _6347_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6346_.Y _6347_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6347_.X _7188_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6348_.X _6349_.S (0.025:0.025:0.025) (0.023:0.023:0.023)) - (INTERCONNECT _6348_.X _6350_.S (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT _6348_.X _6351_.S (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT _6348_.X _6352_.S (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT _6348_.X _6353_.S (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT _6348_.X _6354_.S (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT _6348_.X _6355_.S (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT _6348_.X _6356_.S (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _6349_.X _7189_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6350_.X _7190_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6351_.X _7191_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6352_.X _7192_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6353_.X _7193_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6354_.X _7194_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6355_.X _7195_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6356_.X _7196_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6357_.Y _6359_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6358_.Y _6359_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6359_.X _6362_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6360_.X _6362_.D_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6360_.X _6390_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6361_.Y _6362_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6362_.X _6365_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6362_.X _6368_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6362_.X _6371_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6362_.X _6374_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6362_.X _6377_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6362_.X _6380_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6362_.X _6383_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6362_.X _6386_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6363_.X _6364_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6364_.X _6365_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6365_.X _7197_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6366_.X _6367_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6367_.X _6368_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6368_.X _7198_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6369_.X _6370_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6370_.X _6371_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6371_.X _7199_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6372_.X _6373_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6373_.X _6374_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6374_.X _7200_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6375_.X _6376_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6376_.X _6377_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6377_.X _7201_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6378_.X _6379_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6379_.X _6380_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6380_.X _7202_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6381_.X _6382_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6382_.X _6383_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6383_.X _7203_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6384_.X _6385_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6385_.X _6386_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6386_.X _7204_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6387_.X _7205_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6388_.X _6389_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6389_.X _6390_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6390_.X _6391_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6391_.X hold1431.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6392_.Y _6393_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6392_.Y _6394_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6392_.Y _6395_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6392_.Y _6396_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6392_.Y _6397_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6393_.X hold970.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6394_.X hold984.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6395_.X hold982.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6396_.X hold523.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6397_.X hold475.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6398_.X _6442_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6399_.X _6444_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6400_.X _6445_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6401_.X _6446_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6402_.X _6447_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6403_.X _6448_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6404_.X _6449_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6405_.X _6450_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6406_.X _6451_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6407_.X _6452_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6408_.X _6453_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6409_.X _6454_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6410_.X _6455_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6411_.X _6456_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6412_.X _6457_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6413_.X _6458_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6414_.X _6459_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6415_.X _6460_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6416_.X _6461_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6417_.X _6462_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6418_.X _6463_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6419_.X _6464_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6420_.X _6465_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6421_.X _6466_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6422_.X _6467_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6423_.X _6468_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6424_.X _6469_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6425_.X _6470_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6426_.X _6471_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6427_.X _6472_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6428_.X _6473_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6429_.X _6541_.SET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6430_.X _6542_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6431_.X _6543_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6432_.X _6544_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6433_.X _6545_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6434_.X _6783_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6435_.X _6784_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6436_.X _6785_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6437_.X _6786_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6438_.X _6787_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6439_.X _6788_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6440_.X _6789_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6441_.X _6790_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6442_.Q hold11.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6442_.Q hold1380.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6443_.Q _3934_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6444_.Q _3912_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6444_.Q hold1424.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6445_.Q _3186_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6445_.Q _3730_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6445_.Q _3840_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6445_.Q _3870_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6445_.Q _3913_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6445_.Q _3914_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6446_.Q hold1314.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6446_.Q hold1405.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6447_.Q hold42.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6447_.Q hold1411.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6448_.Q hold34.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6448_.Q hold1406.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6449_.Q hold1335.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6450_.Q hold125.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6450_.Q hold1414.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6451_.Q _3860_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6451_.Q _3861_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6451_.Q hold1.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _6452_.Q hold38.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6452_.Q hold1384.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6453_.Q _3804_.C_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6453_.Q _3853_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6453_.Q hold1453.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6454_.Q _3804_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6454_.Q _3851_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6454_.Q hold1435.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6454_.Q hold1529.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6455_.Q _3804_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6455_.Q _3851_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _6455_.Q _3855_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6456_.Q _3374_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6456_.Q _3842_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6456_.Q hold1506.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6457_.Q _3874_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6457_.Q hold1439.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6458_.Q _3842_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6458_.Q _3876_.A1 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _6458_.Q _3877_.A1 (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT _6459_.Q _3932_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6459_.Q _3945_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6459_.Q _3946_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6459_.Q _3949_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6459_.Q _3953_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6459_.Q hold1477.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6460_.Q _3730_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6460_.Q _3840_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6460_.Q _3943_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6460_.Q _3944_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6460_.Q _3947_.A_N (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6460_.Q _3951_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6460_.Q _3952_.A_N (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6461_.Q _3837_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6461_.Q _3927_.S (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT _6461_.Q _3931_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6462_.Q _3835_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6462_.Q _3926_.S (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _6462_.Q _3928_.S (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _6463_.Q _3185_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6463_.Q _3807_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6463_.Q _3826_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6463_.Q hold25.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6463_.Q hold1514.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6464_.Q _3807_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6464_.Q _3826_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6464_.Q _3827_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6464_.Q _3829_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6464_.Q hold53.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6465_.Q _3807_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6465_.Q _3824_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6465_.Q _3826_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6465_.Q hold64.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6465_.Q hold1494.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6466_.Q _3808_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6466_.Q _3823_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6466_.Q hold16.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6466_.Q hold1455.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6467_.Q _3809_.C (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6467_.Q _3813_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6467_.Q _3817_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6467_.Q hold103.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6467_.Q hold1511.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6467_.Q ANTENNA_113.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6468_.Q _3244_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6468_.Q _3252_.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _6468_.Q _3809_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6468_.Q _3813_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6468_.Q hold1515.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6469_.Q _3809_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6469_.Q _3813_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6469_.Q hold46.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6469_.Q hold1476.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6470_.Q hold91.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6470_.Q hold1434.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6471_.Q _3241_.C (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6471_.Q _3796_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6471_.Q _3799_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6471_.Q _3802_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6471_.Q _3803_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6471_.Q _3839_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6471_.Q _3844_.C (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6471_.Q _3846_.C_N (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _6471_.Q _3848_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _6471_.Q _3867_.B (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _6471_.Q _3870_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6471_.Q hold1493.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6472_.Q _3184_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6472_.Q _3241_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6472_.Q _3796_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _6472_.Q _3797_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _6472_.Q _3799_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _6472_.Q _3802_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6472_.Q _3844_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6472_.Q _3846_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6472_.Q _3848_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6472_.Q _3849_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6473_.Q _3241_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _6473_.Q _3797_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6473_.Q _3802_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6473_.Q _3844_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6473_.Q _3846_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6473_.Q _3848_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6473_.Q _3849_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6473_.Q _3867_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6473_.Q hold1447.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6474_.Q _3782_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6474_.Q output282.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6474_.Q hold1287.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6475_.Q _3717_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6475_.Q output283.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6475_.Q hold1185.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6476_.Q _3646_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6476_.Q output284.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6476_.Q hold1107.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6477_.Q _3591_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6477_.Q output285.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _6477_.Q hold528.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6478_.Q _3481_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6478_.Q output287.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6478_.Q hold482.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6479_.Q _3420_.A1 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _6479_.Q output288.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6479_.Q hold144.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6480_.Q _3382_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6480_.Q output289.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6480_.Q hold916.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6481_.Q _3361_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6481_.Q output290.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6481_.Q hold725.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6482_.Q _3735_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _6482_.Q output299.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6482_.Q hold1299.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6483_.Q _3717_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6483_.Q output300.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6483_.Q hold1182.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6484_.Q _3650_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6484_.Q output276.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6484_.Q hold1159.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6485_.Q _3589_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6485_.Q output277.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6485_.Q hold591.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6485_.Q ANTENNA_178.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6486_.Q _3508_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6486_.Q output278.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6486_.Q hold486.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6487_.Q _3422_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6487_.Q output279.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6487_.Q hold140.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6488_.Q _3384_.B2 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _6488_.Q output280.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6488_.Q hold257.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6489_.Q _3366_.B2 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _6489_.Q output281.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6489_.Q hold1343.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6489_.Q ANTENNA_179.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _6490_.Q _3769_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6490_.Q output275.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6490_.Q hold1293.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6491_.Q _3702_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6491_.Q output286.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6491_.Q hold1333.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6492_.Q _3637_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6492_.Q output293.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6492_.Q hold1361.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6493_.Q _3591_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6493_.Q output294.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6493_.Q hold526.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6494_.Q _3481_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6494_.Q output295.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6494_.Q hold516.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6495_.Q _3425_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6495_.Q output296.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6495_.Q hold1330.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6496_.Q _3398_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6496_.Q output297.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6496_.Q hold243.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6496_.Q ANTENNA_180.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6496_.Q ANTENNA_181.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6497_.Q _3345_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6497_.Q output298.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6497_.Q hold583.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6497_.Q ANTENNA_182.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6497_.Q ANTENNA_183.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6498_.Q _3778_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6498_.Q _5707_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6498_.Q _5995_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6498_.Q hold1041.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6499_.Q _3698_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6499_.Q _5711_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6499_.Q _6048_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6499_.Q hold898.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6500_.Q _3649_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _6500_.Q _5732_.B2 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _6500_.Q _6080_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6500_.Q hold1193.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6501_.Q _3203_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6501_.Q _3559_.A1 (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _6501_.Q _5755_.B2 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _6501_.Q _6115_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6501_.Q hold490.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6502_.Q _3523_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6502_.Q _5782_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6502_.Q _6140_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6502_.Q hold167.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6503_.Q _3433_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6503_.Q _5806_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6503_.Q _6163_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6503_.Q hold1025.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6504_.Q _3383_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6504_.Q _5822_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6504_.Q _6182_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6504_.Q hold920.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6505_.Q _3349_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6505_.Q _5855_.B2 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _6505_.Q _6214_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _6505_.Q hold737.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6506_.Q _3768_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6506_.Q _5875_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6506_.Q _6227_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6506_.Q hold1297.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6507_.Q _3667_.B2 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _6507_.Q _5900_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6507_.Q _6252_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6507_.Q hold934.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6508_.Q _3656_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6508_.Q _5918_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6508_.Q _6276_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6508_.Q hold1113.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6509_.Q _3596_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6509_.Q _5931_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6509_.Q _6302_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6509_.Q hold741.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6510_.Q _3480_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6510_.Q _5965_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6510_.Q _6330_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6510_.Q hold369.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _6511_.Q _3766_.B2 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _6511_.Q _5862_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6511_.Q _6223_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6511_.Q hold1277.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6512_.Q _3706_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6512_.Q _5885_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6512_.Q _6250_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6512_.Q hold946.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6513_.Q _3644_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6513_.Q _5908_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6513_.Q _6274_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _6513_.Q hold870.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6514_.Q _3587_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6514_.Q _5942_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6514_.Q _6299_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6514_.Q hold617.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6515_.Q _3493_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6515_.Q _5963_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6515_.Q _6334_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6515_.Q hold560.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6516_.Q _3768_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6516_.Q _5865_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6516_.Q _6240_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6516_.Q hold1295.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6517_.Q _3687_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6517_.Q _5888_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6517_.Q _6264_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6517_.Q hold1141.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6518_.Q _3656_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6518_.Q _5907_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6518_.Q _6288_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6518_.Q hold1103.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6519_.Q _3551_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6519_.Q _5934_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6519_.Q _6314_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6519_.Q hold753.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6520_.Q _3483_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6520_.Q _5966_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6520_.Q _6329_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6520_.Q hold715.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6521_.Q _3773_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6521_.Q _5878_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6521_.Q _6226_.A1 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _6521_.Q hold1275.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6522_.Q _3681_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6522_.Q _5896_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6522_.Q _6251_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6522_.Q hold940.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6523_.Q _3634_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6523_.Q _5905_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6523_.Q _6275_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6523_.Q hold830.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6524_.Q _3561_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6524_.Q _5940_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6524_.Q _6301_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6524_.Q hold743.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6525_.Q _3498_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6525_.Q _5964_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6525_.Q _6324_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6525_.Q hold721.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6526_.Q _3784_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6526_.Q _5868_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6526_.Q _6237_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6526_.Q hold1303.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6527_.Q _3686_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6527_.Q _5883_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6527_.Q _6262_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6527_.Q hold1121.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6528_.Q _3655_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6528_.Q _5912_.A1 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _6528_.Q _6285_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6528_.Q hold1105.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6529_.Q _3554_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6529_.Q _5936_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6529_.Q _6312_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6529_.Q hold496.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6530_.Q _3508_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6530_.Q _5952_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6530_.Q _6339_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6530_.Q hold467.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6531_.Q _3771_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6531_.Q _5876_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6531_.Q _6222_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6531_.Q hold1252.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6532_.Q _3702_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6532_.Q _5885_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6532_.Q _6248_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6532_.Q hold958.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6533_.Q _3641_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6533_.Q _5920_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6533_.Q _6272_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6533_.Q hold1213.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6534_.Q _3586_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6534_.Q _5928_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6534_.Q _6307_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6534_.Q hold747.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6535_.Q _3458_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6535_.Q _5949_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6535_.Q _6336_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6535_.Q hold671.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6536_.Q _3767_.B2 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _6536_.Q _5877_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6536_.Q _6224_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6536_.Q hold1088.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6537_.Q _3671_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6537_.Q _5890_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6537_.Q _6249_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6537_.Q hold1086.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6538_.Q _3645_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6538_.Q _5907_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6538_.Q _6289_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6538_.Q hold1096.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6539_.Q _3555_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6539_.Q _5933_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6539_.Q _6300_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6539_.Q hold761.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6540_.Q _3527_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6540_.Q _5961_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6540_.Q _6335_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6540_.Q hold665.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6541_.Q _3794_.A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _6541_.Q _3806_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6541_.Q _3829_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6541_.Q _3834_.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _6541_.Q _3836_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6541_.Q _3838_.A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _6541_.Q _3843_.C1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6541_.Q _3844_.D_N (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _6541_.Q _3846_.D_N (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _6541_.Q _3849_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _6541_.Q _3850_.C (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6541_.Q _3858_.A_N (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _6541_.Q _3867_.C (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6541_.Q _3891_.A1 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _6541_.Q _3912_.A3 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _6541_.Q _3913_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _6542_.Q _3916_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6542_.Q hold1412.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6543_.Q _3192_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6543_.Q _3374_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6543_.Q _3793_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6543_.Q _3805_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6543_.Q _3874_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6543_.Q hold1520.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6544_.Q _3262_.S (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6544_.Q _3264_.S (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6544_.Q _3793_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6544_.Q _3805_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6544_.Q _3816_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6544_.Q _3817_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6544_.Q _3820_.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _6544_.Q _3824_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6544_.Q _3827_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6544_.Q _3832_.S (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _6544_.Q _3836_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6544_.Q _3850_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6544_.Q _3915_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6544_.Q hold72.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6545_.Q _3836_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6545_.Q _3916_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6545_.Q hold1470.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6546_.Q output220.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6546_.Q hold1189.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6547_.Q output221.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6547_.Q hold425.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6548_.Q output222.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6548_.Q hold975.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6549_.Q output223.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6549_.Q hold952.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6550_.Q output225.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6550_.Q hold381.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6551_.Q output226.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6551_.Q hold956.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6552_.Q output227.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6552_.Q hold421.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6553_.Q output228.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6553_.Q hold229.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6554_.Q _3933_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6554_.Q hold1497.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6555_.Q _3930_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6555_.Q hold1468.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6556_.Q output235.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6556_.Q hold550.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6557_.Q output244.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6557_.Q hold431.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6558_.Q output245.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6558_.Q hold417.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _6559_.Q output246.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _6559_.Q hold403.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6560_.Q _3929_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6560_.Q hold1526.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6561_.Q output248.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6561_.Q hold973.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6562_.Q _3909_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6562_.Q _5589_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6562_.Q _5609_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6562_.Q _5610_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6562_.Q _5616_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6562_.Q hold1491.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6563_.Q _5947_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6563_.Q _5925_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6563_.Q _5903_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6563_.Q _5881_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6563_.Q _5969_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6563_.Q _6170_.A1 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _6563_.Q fanout475.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6564_.Q _3196_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6564_.Q _5591_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6564_.Q _5594_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6564_.Q _5609_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6564_.Q _5610_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6564_.Q _5611_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6564_.Q _5613_.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _6564_.Q _5615_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6564_.Q _5628_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6564_.Q _5635_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6564_.Q _5644_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6564_.Q hold1508.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6565_.Q _3194_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _6565_.Q _3910_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6565_.Q _5590_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6565_.Q _5593_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6565_.Q _5594_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6565_.Q _5596_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6565_.Q _5601_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6565_.Q _5646_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6566_.Q _3928_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6566_.Q hold1226.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6567_.Q _3927_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6567_.Q hold129.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6568_.Q _3926_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6568_.Q hold1509.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6569_.Q output215.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6569_.Q hold413.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6570_.Q output216.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6570_.Q hold379.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6571_.Q _3938_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6571_.Q hold840.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6572_.Q _3937_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6572_.Q hold1510.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6573_.Q _3936_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6573_.Q hold271.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6574_.Q _3923_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6574_.Q hold1523.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6575_.Q _3924_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6575_.Q hold1197.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6576_.Q output240.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6576_.Q hold281.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6577_.Q _3925_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6577_.Q hold1500.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6578_.Q _3919_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6578_.Q hold1480.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6579_.Q _3918_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6579_.Q hold1481.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6580_.Q hold1487.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6581_.Q hold1474.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6582_.Q hold1479.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6583_.Q hold1472.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6584_.Q hold1379.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6585_.Q hold1305.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6586_.Q hold1307.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6587_.Q hold1306.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6588_.Q hold1483.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6589_.Q hold1473.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6590_.Q hold329.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6591_.Q hold1482.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6592_.Q hold1349.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6593_.Q hold1504.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6594_.Q hold1492.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6595_.Q hold1496.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6596_.Q _3774_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6596_.Q _5880_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6596_.Q _6243_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6596_.Q hold1263.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6597_.Q _3670_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6597_.Q _5902_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6597_.Q _6268_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6597_.Q hold1165.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6598_.Q _3648_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6598_.Q _5924_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6598_.Q _6293_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6598_.Q hold1101.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6599_.Q _3590_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6599_.Q _5946_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6599_.Q _6317_.B2 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _6599_.Q hold498.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6600_.Q _3497_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6600_.Q _5968_.A1 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _6600_.Q _6342_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _6600_.Q hold463.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6601_.Q _3770_.A1 (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _6601_.Q _5864_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6601_.Q _6240_.A1 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _6601_.Q hold1267.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6602_.Q _3677_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6602_.Q _5893_.B2 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _6602_.Q _6264_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6602_.Q hold1151.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6603_.Q _3657_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6603_.Q _5912_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6603_.Q _6288_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6603_.Q hold1129.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6604_.Q _3556_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6604_.Q _5940_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6604_.Q _6314_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6604_.Q hold546.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6605_.Q _3513_.B2 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT _6605_.Q _5952_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6605_.Q _6328_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _6605_.Q hold484.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6606_.Q _3728_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6606_.Q _5863_.B2 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _6606_.Q _6238_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _6606_.Q hold1167.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6607_.Q _3692_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6607_.Q _5898_.B2 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _6607_.Q _6263_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _6607_.Q hold289.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6608_.Q _3610_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6608_.Q _5910_.A1 (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _6608_.Q _6286_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6608_.Q hold241.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6609_.Q _3575_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6609_.Q _5929_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6609_.Q _6313_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6609_.Q hold1320.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6610_.Q _3520_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6610_.Q _5950_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6610_.Q _6326_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6610_.Q hold585.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6611_.Q _3765_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6611_.Q _5874_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6611_.Q _6225_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6611_.Q hold1145.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6612_.Q _3677_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6612_.Q _5884_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6612_.Q _6265_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6612_.Q hold1139.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6613_.Q _3624_.B2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _6613_.Q _5909_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6613_.Q _6290_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6613_.Q hold807.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6614_.Q _3572_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6614_.Q _5931_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6614_.Q _6297_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6614_.Q hold759.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6615_.Q _3505_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6615_.Q _5959_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6615_.Q _6335_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6615_.Q hold717.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6616_.Q _3746_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6616_.Q _5878_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _6616_.Q _6221_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6616_.Q hold1222.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6617_.Q _3685_.B2 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _6617_.Q _5887_.B2 (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT _6617_.Q _6246_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6617_.Q hold367.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6618_.Q _3610_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6618_.Q _5906_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6618_.Q _6271_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6618_.Q hold219.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6619_.Q _3580_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6619_.Q _5927_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6619_.Q _6296_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6619_.Q hold169.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6620_.Q _3506_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6620_.Q _5959_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6620_.Q _6320_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6620_.Q hold558.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6621_.Q _3737_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6621_.Q _5876_.A1 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _6621_.Q _6238_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6621_.Q hold1254.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _6622_.Q _3704_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6622_.Q _5886_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _6622_.Q _6263_.B2 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _6622_.Q hold333.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6623_.Q _3628_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6623_.Q _5922_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6623_.Q _6286_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6623_.Q hold273.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6624_.Q _3571_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6624_.Q _5936_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6624_.Q _6313_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6624_.Q hold181.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6625_.Q _3529_.B2 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _6625_.Q _5966_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6625_.Q _6330_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6625_.Q hold669.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6626_.Q _4166_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6626_.Q output325.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6627_.Q output326.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6627_.Q hold1452.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6628_.Q output327.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6628_.Q hold1505.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6629_.Q output328.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6629_.Q hold1465.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6630_.Q _4170_.A0 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _6630_.Q output330.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _6631_.Q output331.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _6631_.Q hold1441.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6632_.Q output332.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6632_.Q hold1440.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6633_.Q output333.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6633_.Q hold1489.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6634_.Q _3748_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6634_.Q _5871_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6634_.Q _6236_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6634_.Q hold1218.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6635_.Q _3683_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6635_.Q _5898_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6635_.Q _6261_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6635_.Q hold950.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6636_.Q _3630_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6636_.Q _5911_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6636_.Q _6284_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6636_.Q hold787.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6637_.Q _3576_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6637_.Q _5937_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6637_.Q _6311_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6637_.Q hold693.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6638_.Q _3452_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6638_.Q _5953_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6638_.Q _6337_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6638_.Q hold361.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6639_.Q output348.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _6639_.Q hold1466.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6640_.Q output349.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6640_.Q hold1461.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6641_.Q output319.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _6641_.Q hold1451.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6642_.Q output320.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6642_.Q hold1488.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6643_.Q _4185_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6643_.Q output321.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6644_.Q output322.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6644_.Q hold1462.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6645_.Q output323.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6645_.Q hold1456.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6646_.Q output324.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _6646_.Q hold1443.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6647_.Q output318.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _6647_.Q hold1444.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6648_.Q output329.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6648_.Q hold1457.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6649_.Q output340.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6649_.Q hold1464.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6650_.Q output343.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6650_.Q hold1450.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6651_.Q _4194_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6651_.Q output344.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6652_.Q output345.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6652_.Q hold1448.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6653_.Q output346.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _6653_.Q hold1449.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6654_.Q output347.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6654_.Q hold1459.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6655_.Q _3752_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6655_.Q _5861_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6655_.Q _6224_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6655_.Q hold1271.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6656_.Q _3703_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6656_.Q _5892_.B2 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _6656_.Q _6249_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6656_.Q hold932.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6657_.Q _3620_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6657_.Q _5909_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6657_.Q _6289_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6657_.Q hold827.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6658_.Q _3563_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6658_.Q _5937_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6658_.Q _6300_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6658_.Q hold385.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6659_.Q _3505_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6659_.Q _5965_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6659_.Q _6325_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6659_.Q hold365.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6660_.Q _3750_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6660_.Q _5866_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6660_.Q _6228_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6660_.Q hold1090.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6661_.Q _3680_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6661_.Q _5899_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6661_.Q _6253_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6661_.Q hold1092.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6662_.Q _3629_.B2 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT _6662_.Q _5908_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6662_.Q _6277_.B2 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _6662_.Q hold1341.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6663_.Q _3597_.B2 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _6663_.Q _5934_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _6663_.Q _6303_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6663_.Q hold494.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6664_.Q _3532_.B2 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _6664_.Q _5964_.A1 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _6664_.Q _6329_.A1 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _6664_.Q hold461.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6665_.Q _3737_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6665_.Q _5865_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6665_.Q _6235_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6665_.Q hold1279.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6666_.Q _3678_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6666_.Q _5893_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6666_.Q _6260_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _6666_.Q hold1203.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6667_.Q _3623_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6667_.Q _5905_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6667_.Q _6283_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6667_.Q hold856.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6668_.Q _3563_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6668_.Q _5939_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6668_.Q _6310_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6668_.Q hold387.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6669_.Q _3467_.B2 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _6669_.Q _5950_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6669_.Q _6333_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6669_.Q hold363.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6670_.Q _3747_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6670_.Q _5863_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6670_.Q _6236_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6670_.Q hold954.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6671_.Q _3708_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6671_.Q _5892_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6671_.Q _6261_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6671_.Q hold894.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6672_.Q _3628_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6672_.Q _5922_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6672_.Q _6284_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6672_.Q hold1328.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6673_.Q _3567_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6673_.Q _5941_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6673_.Q _6311_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6673_.Q hold689.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6674_.Q _3479_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6674_.Q _5955_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6674_.Q _6340_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6674_.Q hold685.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6675_.Q _3743_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6675_.Q _5868_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6675_.Q _6239_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6675_.Q hold944.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6676_.Q _3720_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6676_.Q _5897_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6676_.Q _6247_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6676_.Q hold87.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6677_.Q _3609_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6677_.Q _5919_.B2 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _6677_.Q _6287_.B2 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _6677_.Q hold1331.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6678_.Q _3580_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6678_.Q _5944_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6678_.Q _6298_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6678_.Q hold1319.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6679_.Q _3539_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6679_.Q _5956_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6679_.Q _6327_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6679_.Q hold635.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6680_.Q _3977_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6680_.Q hold135.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6680_.Q hold1370.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6681_.Q _3743_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6681_.Q _5875_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6681_.Q _6235_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6681_.Q hold964.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6682_.Q _3693_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6682_.Q _5899_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6682_.Q _6260_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6682_.Q hold89.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6683_.Q _3611_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6683_.Q _5921_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6683_.Q _6283_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6683_.Q hold1332.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6684_.Q _3574_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6684_.Q _5941_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6684_.Q _6310_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6684_.Q hold1317.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6685_.Q _3467_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6685_.Q _5955_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6685_.Q _6325_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6685_.Q hold377.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6686_.Q _3745_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6686_.Q _5871_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6686_.Q _6237_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6686_.Q hold1240.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6687_.Q _3672_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6687_.Q _5890_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6687_.Q _6262_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6687_.Q hold908.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6688_.Q _3621_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6688_.Q _5915_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6688_.Q _6285_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6688_.Q hold789.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6689_.Q _3567_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6689_.Q _5942_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6689_.Q _6312_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6689_.Q hold703.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6690_.Q _3458_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6690_.Q _5962_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6690_.Q _6337_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6690_.Q hold697.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6691_.Q hold1445.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6691_.Q hold1527.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6692_.Q _4230_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6692_.Q _6345_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6692_.Q _6348_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6692_.Q hold1374.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6693_.Q _4180_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6693_.Q _4230_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6693_.Q hold1393.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6694_.Q _4189_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6694_.Q _4230_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6694_.Q hold1386.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6695_.Q _4165_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6695_.Q _4230_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6695_.Q hold1387.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6696_.Q _3889_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6696_.Q _3955_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6696_.Q _4231_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6696_.Q _4232_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6696_.Q _6360_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6697_.Q _6346_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6697_.Q _6391_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6697_.Q hold1478.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6698_.Q _3892_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6698_.Q _3954_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6698_.Q _4228_.B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _6698_.Q _4229_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6698_.Q _4727_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6698_.Q _6359_.B2 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _6698_.Q _6363_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _6698_.Q _6367_.A1 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _6698_.Q _6369_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _6698_.Q _6372_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _6698_.Q _6375_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _6698_.Q _6378_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _6698_.Q _6382_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _6698_.Q _6385_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _6698_.Q _6388_.B2 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _6699_.Q _3893_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6699_.Q _3956_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6699_.Q _4228_.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _6699_.Q _4229_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6699_.Q _4548_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6699_.Q _6361_.B1_N (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _6699_.Q _6364_.B2 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _6699_.Q _6366_.B2 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _6699_.Q _6369_.B2 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _6699_.Q _6372_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6699_.Q _6376_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6699_.Q _6379_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6699_.Q _6381_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6699_.Q _6384_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6699_.Q _6389_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _6700_.Q _3894_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6700_.Q _3962_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6700_.Q _4228_.C (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _6700_.Q _4229_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6700_.Q _4370_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6700_.Q _6359_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _6700_.Q _6364_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _6700_.Q _6366_.A1 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _6700_.Q _6370_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _6700_.Q _6373_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _6700_.Q _6376_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _6700_.Q _6379_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _6700_.Q _6381_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _6700_.Q _6384_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _6700_.Q _6388_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _6701_.Q _3745_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6701_.Q _5861_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6701_.Q _6230_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6701_.Q hold1273.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6702_.Q _3676_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6702_.Q _5900_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6702_.Q _6255_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6702_.Q hold930.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6703_.Q _3620_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6703_.Q _5906_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6703_.Q _6273_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6703_.Q hold874.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6704_.Q _3574_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6704_.Q _5933_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6704_.Q _6305_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6704_.Q hold727.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6705_.Q _3479_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6705_.Q _5953_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6705_.Q _6336_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6705_.Q hold777.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6706_.Q _3754_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6706_.Q _5867_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6706_.Q _6225_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6706_.Q hold1269.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _6707_.Q _3680_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6707_.Q _5884_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6707_.Q _6265_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6707_.Q hold942.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6708_.Q _3625_.B2 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _6708_.Q _5918_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6708_.Q _6290_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6708_.Q hold1352.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6708_.Q ANTENNA_99.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _6709_.Q _3558_.B2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _6709_.Q _5927_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6709_.Q _6297_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6709_.Q hold383.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6710_.Q _3513_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6710_.Q _5962_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6710_.Q _6327_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6710_.Q hold687.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6711_.Q _3744_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6711_.Q _5872_.A (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _6711_.Q _6231_.A1 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _6711_.Q hold1250.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6712_.Q _3704_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6712_.Q _5894_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6712_.Q _6256_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6712_.Q hold293.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6713_.Q _3630_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6713_.Q _5916_.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _6713_.Q _6279_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _6713_.Q hold233.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6714_.Q _3579_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6714_.Q _5938_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6714_.Q _6306_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6714_.Q hold148.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6715_.Q _3491_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6715_.Q _5960_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6715_.Q _6333_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6715_.Q hold615.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _6716_.Q _3750_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6716_.Q _6233_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6716_.Q hold1522.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6717_.Q _3700_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6717_.Q _6258_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6717_.Q hold405.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6718_.Q _3612_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6718_.Q _6281_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6718_.Q hold211.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6719_.Q _3598_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6719_.Q _6308_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6719_.Q hold577.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6720_.Q _3475_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6720_.Q _6338_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6720_.Q hold785.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6721_.Q _3741_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6721_.Q _5864_.A1 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _6721_.Q _6231_.B2 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _6721_.Q hold1246.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6722_.Q _3673_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6722_.Q _5889_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _6722_.Q _6256_.B2 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _6722_.Q hold297.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6723_.Q _3621_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6723_.Q _5920_.B2 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _6723_.Q _6279_.B2 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _6723_.Q hold221.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6724_.Q _3565_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6724_.Q _5930_.B2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _6724_.Q _6306_.B2 (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _6724_.Q hold146.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6725_.Q _3532_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6725_.Q _5958_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6725_.Q _6328_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6725_.Q hold571.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6726_.Q _3740_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6726_.Q _6221_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6726_.Q hold1061.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6727_.Q _3690_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6727_.Q _6246_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6727_.Q hold343.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6728_.Q _3622_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6728_.Q _6271_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6728_.Q hold303.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6729_.Q _3578_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6729_.Q _6296_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6729_.Q hold185.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6730_.Q _3520_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6730_.Q _6320_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6730_.Q hold629.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6731_.Q _3785_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6731_.Q _5867_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6731_.Q _6228_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6731_.Q hold1178.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6732_.Q _3715_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6732_.Q _5886_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6732_.Q _6253_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6732_.Q hold1161.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6733_.Q _3640_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6733_.Q _5915_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6733_.Q _6277_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6733_.Q hold1133.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6734_.Q _3553_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6734_.Q _5932_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6734_.Q _6303_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6734_.Q hold540.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6735_.Q _3457_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6735_.Q _5954_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6735_.Q _6334_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6735_.Q hold455.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6736_.Q _3753_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6736_.Q _6223_.B2 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _6736_.Q hold1157.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6737_.Q _3705_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6737_.Q _6250_.B2 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _6737_.Q hold327.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6738_.Q _3618_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6738_.Q _6274_.B2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _6738_.Q hold253.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6739_.Q _3565_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6739_.Q _6299_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6739_.Q hold150.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6740_.Q _3461_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6740_.Q _6323_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6740_.Q hold631.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6741_.Q _3780_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6741_.Q _5873_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6741_.Q _6234_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6741_.Q hold1220.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6742_.Q _3664_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6742_.Q _5897_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6742_.Q _6259_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6742_.Q hold1117.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6743_.Q _3636_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6743_.Q _5911_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6743_.Q _6282_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6743_.Q hold1099.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6744_.Q _3554_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _6744_.Q _5928_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6744_.Q _6309_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6744_.Q hold548.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6745_.Q _3464_.B2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _6745_.Q _5963_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _6745_.Q _6321_.B2 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _6745_.Q hold480.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6746_.Q _3758_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6746_.Q _6234_.A1 (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT _6746_.Q hold1115.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6747_.Q _3676_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6747_.Q _6259_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _6747_.Q hold299.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6748_.Q _3626_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6748_.Q _6282_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6748_.Q hold225.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6749_.Q _3549_.B2 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _6749_.Q _6309_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6749_.Q hold163.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6750_.Q _3491_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6750_.Q _6322_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6750_.Q hold579.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6751_.Q _3761_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6751_.Q _5870_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6751_.Q _6227_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6751_.Q hold960.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6752_.Q _3707_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6752_.Q _5895_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6752_.Q _6252_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6752_.Q hold948.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6753_.Q _3655_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6753_.Q _5919_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6753_.Q _6276_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6753_.Q hold1228.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6754_.Q _3589_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6754_.Q _5930_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6754_.Q _6302_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6754_.Q hold719.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6755_.Q _3464_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6755_.Q _5956_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6755_.Q _6322_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6755_.Q hold767.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6756_.Q _3774_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6756_.Q _6229_.B2 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _6756_.Q hold1291.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6757_.Q _3670_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6757_.Q _6254_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6757_.Q hold805.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6758_.Q _3638_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6758_.Q _6278_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6758_.Q hold749.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6759_.Q _3556_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6759_.Q _6304_.B2 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _6759_.Q hold502.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6760_.Q _3537_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6760_.Q _6339_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6760_.Q hold1426.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6761_.Q _3786_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6761_.Q _5877_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6761_.Q _6226_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6761_.Q hold1301.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6762_.Q _3719_.B2 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _6762_.Q _5896_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6762_.Q _6251_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6762_.Q hold1201.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6763_.Q _3652_.B2 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _6763_.Q _5921_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _6763_.Q _6275_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6763_.Q hold1196.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6763_.Q ANTENNA_101.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _6763_.Q ANTENNA_102.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6764_.Q _3560_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6764_.Q _5929_.A1 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _6764_.Q _6301_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6764_.Q hold711.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6765_.Q _3473_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6765_.Q _5949_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6765_.Q _6324_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6765_.Q hold713.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6766_.Q _3767_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6766_.Q _6233_.B2 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _6766_.Q hold1283.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6767_.Q _3665_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6767_.Q _6258_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6767_.Q hold1524.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6768_.Q _3638_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6768_.Q _6281_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6768_.Q hold683.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6769_.Q _3559_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6769_.Q _6308_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6769_.Q hold235.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6770_.Q _3514_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6770_.Q _6338_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6770_.Q hold453.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6771_.Q _3762_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6771_.Q _5870_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6771_.Q _6229_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6771_.Q hold1236.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6772_.Q _3665_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6772_.Q _5887_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6772_.Q _6254_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6772_.Q hold872.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6773_.Q _3617_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6773_.Q _5917_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6773_.Q _6278_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6773_.Q hold1169.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6774_.Q _3599_.B2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _6774_.Q _5944_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _6774_.Q _6304_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6774_.Q hold459.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6775_.Q _3535_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6775_.Q _5951_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6775_.Q _6321_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6775_.Q hold530.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6776_.Q hold1375.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6777_.Q hold1421.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6778_.Q hold1358.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6779_.Q _5088_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6779_.Q hold1344.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _6780_.Q _5111_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6780_.Q hold1390.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6781_.Q hold1338.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6782_.Q _3245_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6782_.Q _5182_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6783_.Q _3724_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6783_.Q hold1377.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6784_.Q hold1363.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6785_.Q _3602_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6785_.Q hold1369.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6786_.Q hold1367.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6787_.Q _3448_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6787_.Q _3545_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6788_.Q _3411_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6788_.Q hold1372.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6789_.Q hold1365.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6790_.Q _3930_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6790_.Q hold1378.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6791_.Q _3782_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6791_.Q output271.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _6791_.Q hold1067.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6792_.Q _3675_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6792_.Q output265.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6792_.Q hold1059.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6793_.Q _3772_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6793_.Q output266.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6793_.Q hold1211.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6794_.Q _3683_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6794_.Q output267.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6794_.Q hold1173.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6795_.Q _3643_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6795_.Q output268.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6795_.Q hold1149.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6796_.Q _3587_.B2 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _6796_.Q output269.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6796_.Q hold534.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6796_.Q ANTENNA_175.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _6796_.Q ANTENNA_176.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6796_.Q ANTENNA_177.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _6797_.Q _3527_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6797_.Q output270.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6797_.Q hold478.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6798_.Q _3775_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6798_.Q output272.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6798_.Q hold1216.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6799_.Q _3675_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6799_.Q output273.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6799_.Q hold1187.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6800_.Q _3644_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6800_.Q output274.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6800_.Q hold1163.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6801_.Q _3585_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _6801_.Q output261.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6801_.Q hold593.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6802_.Q _3497_.A1 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _6802_.Q output262.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6802_.Q hold552.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6803_.Q _3442_.B2 (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT _6803_.Q output263.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6803_.Q hold175.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6803_.Q ANTENNA_174.DIODE (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT _6803_.Q ANTENNA_236.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6804_.Q _3764_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6804_.Q output291.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6804_.Q hold1043.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6805_.Q _3712_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6805_.Q output292.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6805_.Q hold321.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6806_.Q _3734_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6806_.Q output264.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6806_.Q hold1329.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6807_.Q _3757_.B2 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _6807_.Q output301.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6807_.Q hold1171.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6808_.Q _3695_.B2 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _6808_.Q output302.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _6808_.Q hold391.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6809_.Q _3604_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6809_.Q output303.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6809_.Q hold331.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6810_.Q _3568_.B2 (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT _6810_.Q output304.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6810_.Q hold285.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6811_.Q _3730_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6811_.Q hold1234.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6812_.Q _3787_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6812_.Q output172.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _6812_.Q hold962.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6813_.Q _3501_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6813_.Q _3875_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6813_.Q hold191.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6814_.Q _3552_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6814_.Q _3940_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6814_.Q hold231.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6815_.Q _3639_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6815_.Q _3939_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6815_.Q hold283.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6816_.Q _3413_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6816_.Q hold251.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6817_.Q _3380_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6817_.Q hold1490.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6818_.Q _3380_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6818_.Q _3413_.S (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _6818_.Q _3706_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _6818_.Q _3875_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6818_.Q _3939_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6818_.Q _3940_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6818_.Q hold355.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6819_.Q _3897_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6819_.Q _3909_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6819_.Q _5590_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6819_.Q hold966.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6820_.Q _3753_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6820_.Q _3872_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6820_.Q hold1238.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6821_.Q _3647_.B2 (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT _6821_.Q _3937_.S (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _6821_.Q hold1057.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6822_.Q _3697_.B2 (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _6822_.Q _3936_.S (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _6822_.Q hold1316.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6822_.Q ANTENNA_260.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6823_.Q _3783_.B2 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _6823_.Q _3938_.S (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _6823_.Q hold979.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6824_.Q _3761_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6824_.Q _3960_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6824_.Q hold1437.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6825_.Q _3715_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6825_.Q _3961_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6825_.Q hold1460.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6826_.Q output229.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6826_.Q hold971.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6827_.Q output230.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6827_.Q hold203.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6828_.Q output231.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6828_.Q hold1081.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6829_.Q output232.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6829_.Q hold451.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6830_.Q output233.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6830_.Q hold1311.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6831_.Q output234.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6831_.Q hold411.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6832_.Q output236.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6832_.Q hold1313.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6833_.Q output237.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6833_.Q hold399.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6834_.Q hold918.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6835_.Q hold1308.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6836_.Q hold868.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6837_.Q hold197.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6838_.Q hold152.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6839_.Q hold1519.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6840_.Q hold1486.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6841_.Q hold81.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6842_.Q _3783_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6842_.Q _5709_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6842_.Q _6046_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6842_.Q hold1109.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6843_.Q _3703_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6843_.Q _5729_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6843_.Q _6070_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6843_.Q hold347.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6844_.Q _3605_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6844_.Q _5751_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6844_.Q _6095_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6844_.Q hold217.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6845_.Q _3233_.A (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT _6845_.Q _3555_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _6845_.Q _5772_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _6845_.Q _6120_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _6845_.Q hold205.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6846_.Q _3469_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _6846_.Q _5793_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _6846_.Q _6144_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6846_.Q hold156.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6847_.Q _3426_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6847_.Q _5814_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6847_.Q _6169_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6847_.Q hold621.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6848_.Q _3402_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6848_.Q _5836_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6848_.Q _6194_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6848_.Q hold801.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6849_.Q _3347_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6849_.Q _5858_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6849_.Q _6218_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6849_.Q hold595.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6850_.Q _3742_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6850_.Q _5704_.B2 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _6850_.Q _5981_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6850_.Q hold1230.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6851_.Q _3716_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6851_.Q _5723_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6851_.Q _6065_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6851_.Q hold928.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6852_.Q _3631_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6852_.Q _5746_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6852_.Q _6081_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6852_.Q hold1127.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6853_.Q _3232_.A (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _6853_.Q _3575_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _6853_.Q _5754_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6853_.Q _6105_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6853_.Q hold544.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6854_.Q _3539_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6854_.Q _5786_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6854_.Q _6141_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6854_.Q hold731.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6855_.Q _3427_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6855_.Q _5810_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6855_.Q _6164_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6855_.Q hold997.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6856_.Q _3388_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6856_.Q _5830_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6856_.Q _6185_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6856_.Q hold823.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6857_.Q _3368_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6857_.Q _5845_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6857_.Q _6215_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6857_.Q hold510.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6858_.Q _3738_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6858_.Q _5692_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6858_.Q _6005_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6858_.Q hold1083.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6859_.Q _3713_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6859_.Q _5726_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6859_.Q _6064_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6859_.Q hold353.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6860_.Q _3606_.B2 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _6860_.Q _5734_.B2 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _6860_.Q _6077_.A1 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _6860_.Q hold1037.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6861_.Q _3231_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6861_.Q _3572_.A1 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _6861_.Q _5759_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6861_.Q _6112_.B2 (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _6861_.Q hold161.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6862_.Q _3506_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _6862_.Q _5788_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6862_.Q _6139_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6862_.Q hold661.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6863_.Q _3419_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6863_.Q _5812_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6863_.Q _6162_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6863_.Q hold589.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6864_.Q _3397_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6864_.Q _5820_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6864_.Q _6185_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6864_.Q hold842.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6865_.Q _3366_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6865_.Q _5842_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6865_.Q _6213_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6865_.Q hold567.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6866_.Q _3728_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6866_.Q _5684_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6866_.Q _6010_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6866_.Q hold1224.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6867_.Q _3720_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6867_.Q _5714_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6867_.Q _6067_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6867_.Q hold325.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6868_.Q _3614_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6868_.Q _5737_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6868_.Q _6089_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6868_.Q hold811.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6869_.Q _3189_.A (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _6869_.Q _3571_.A1 (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT _6869_.Q _3872_.B (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT _6869_.Q _5767_.B2 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _6869_.Q _6106_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _6869_.Q hold667.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6869_.Q ANTENNA_109.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6869_.Q ANTENNA_110.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _6869_.Q ANTENNA_111.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _6869_.Q ANTENNA_112.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT _6870_.Q _3493_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6870_.Q _5781_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6870_.Q _6124_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6870_.Q hold773.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6871_.Q _3435_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6871_.Q _5806_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6871_.Q _6166_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6871_.Q hold1015.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6872_.Q _3396_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6872_.Q _5833_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6872_.Q _6174_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6872_.Q hold223.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6873_.Q _3370_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6873_.Q _5845_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6873_.Q _6199_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6873_.Q hold518.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6874_.Q _3759_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6874_.Q _5668_.B2 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _6874_.Q _5986_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6874_.Q hold775.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6875_.Q _3691_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6875_.Q _5724_.B2 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _6875_.Q _6059_.B2 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _6875_.Q hold1324.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6876_.Q _3614_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6876_.Q _5746_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6876_.Q _6075_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6876_.Q hold1125.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6877_.Q _3230_.A (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT _6877_.Q _3570_.B2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _6877_.Q _5769_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _6877_.Q _6100_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6877_.Q hold457.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6878_.Q _3488_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6878_.Q _5784_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6878_.Q _6123_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6878_.Q hold189.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6879_.Q _3443_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6879_.Q _5807_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6879_.Q _6147_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6879_.Q hold991.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6880_.Q _3381_.B2 (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT _6880_.Q _5818_.A1 (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT _6880_.Q _6183_.B2 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT _6880_.Q hold117.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6881_.Q _3361_.B2 (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT _6881_.Q _5844_.A1 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _6881_.Q _6197_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6881_.Q hold397.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6882_.Q _3742_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6882_.Q _5662_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6882_.Q _6032_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6882_.Q hold1242.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6883_.Q _3713_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6883_.Q _5725_.B2 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _6883_.Q _6064_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6883_.Q hold351.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6884_.Q _3631_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6884_.Q _5735_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6884_.Q _6082_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6884_.Q hold1055.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6885_.Q _3229_.A (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _6885_.Q _3577_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _6885_.Q _5756_.A1 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT _6885_.Q _6106_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6885_.Q hold465.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6886_.Q _3523_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6886_.Q _5775_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6886_.Q _6139_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6886_.Q hold647.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6887_.Q _3418_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6887_.Q _5809_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6887_.Q _6162_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6887_.Q hold532.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6888_.Q _3403_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6888_.Q _5831_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6888_.Q _6182_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6888_.Q hold825.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6889_.Q _3369_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6889_.Q _5846_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6889_.Q _6213_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6889_.Q hold524.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6890_.Q _3739_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6890_.Q _5657_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6890_.Q _6035_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6890_.Q hold1031.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6891_.Q _3664_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6891_.Q _5724_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6891_.Q _6062_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6891_.Q hold345.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6892_.Q _3606_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6892_.Q _5739_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _6892_.Q _6077_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6892_.Q hold854.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6893_.Q _3228_.A (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _6893_.Q _3576_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _6893_.Q _5758_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _6893_.Q _6114_.B2 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _6893_.Q hold199.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6894_.Q _3529_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _6894_.Q _5787_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6894_.Q _6137_.B2 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _6894_.Q hold663.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6895_.Q _3438_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6895_.Q _5812_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _6895_.Q _6160_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6895_.Q hold573.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6896_.Q _3400_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6896_.Q _5824_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6896_.Q _6179_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6896_.Q hold846.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6897_.Q _3362_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6897_.Q _5855_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6897_.Q _6211_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6897_.Q hold554.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6898_.Q _3740_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6898_.Q _5695_.A1 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _6898_.Q _5990_.B2 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _6898_.Q hold1051.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6899_.Q _3691_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6899_.Q _5720_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6899_.Q _6066_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6899_.Q hold1323.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6900_.Q _3619_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6900_.Q _5745_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6900_.Q _6079_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6900_.Q hold1143.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6901_.Q _3227_.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _6901_.Q _3562_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6901_.Q _5754_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6901_.Q _6103_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6901_.Q hold645.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6902_.Q _3453_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6902_.Q _5786_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6902_.Q _6126_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6902_.Q hold769.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6903_.Q _3440_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6903_.Q _5810_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6903_.Q _6165_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6903_.Q hold1019.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _6904_.Q _3390_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _6904_.Q _5817_.A1 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _6904_.Q _6174_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6904_.Q hold215.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6905_.Q _3363_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6905_.Q _5852_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6905_.Q _6200_.A1 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _6905_.Q hold536.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6906_.Q _3758_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _6906_.Q _5687_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _6906_.Q _6031_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6906_.Q hold1261.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6907_.Q _3707_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _6907_.Q _5721_.A1 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _6907_.Q _6054_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6907_.Q hold339.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6908_.Q _3624_.A1 (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT _6908_.Q _5736_.B2 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _6908_.Q _6090_.A1 (0.024:0.024:0.024) (0.024:0.024:0.024)) - (INTERCONNECT _6908_.Q hold267.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6909_.Q _3226_.A (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT _6909_.Q _3577_.B2 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _6909_.Q _5766_.B2 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _6909_.Q _6111_.B2 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _6909_.Q hold445.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6910_.Q _3470_.B2 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _6910_.Q _5779_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6910_.Q _6129_.B2 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _6910_.Q hold633.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6911_.Q _3424_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6911_.Q _5802_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6911_.Q _6153_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6911_.Q hold995.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6912_.Q _3401_.B2 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _6912_.Q _5833_.B2 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _6912_.Q _6184_.B2 (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT _6912_.Q hold1322.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6913_.Q _3362_.B2 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _6913_.Q _5851_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _6913_.Q _6203_.B2 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _6913_.Q hold375.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6914_.Q _3733_.B2 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT _6914_.Q _5679_.A1 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _6914_.Q _6034_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6914_.Q hold1256.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6915_.Q _3709_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6915_.Q _5718_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6915_.Q _6061_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6915_.Q hold888.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6916_.Q _3609_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6916_.Q _5747_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6916_.Q _6091_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6916_.Q hold914.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6917_.Q _3225_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6917_.Q _3564_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _6917_.Q _5757_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6917_.Q _6115_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6917_.Q hold605.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6918_.Q _3521_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6918_.Q _5776_.A1 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _6918_.Q _6136_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6918_.Q hold641.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6919_.Q _3442_.A1 (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _6919_.Q _5811_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6919_.Q _6159_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6919_.Q hold1009.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6920_.Q _3404_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6920_.Q _5829_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6920_.Q _6187_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6920_.Q hold809.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6921_.Q _3346_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6921_.Q _5856_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6921_.Q _6210_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6921_.Q hold506.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6922_.Q _3738_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6922_.Q _5673_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6922_.Q _6004_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6922_.Q hold1111.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6923_.Q _3667_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6923_.Q _5720_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6923_.Q _6062_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6923_.Q hold924.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6924_.Q _3611_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _6924_.Q _5745_.A1 (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _6924_.Q _6074_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6924_.Q hold1053.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6925_.Q _3224_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6925_.Q _3558_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6925_.Q _5764_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6925_.Q _6114_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6925_.Q hold195.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6926_.Q _3470_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6926_.Q _5778_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6926_.Q _6137_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6926_.Q hold751.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6927_.Q _3419_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6927_.Q _5801_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6927_.Q _6160_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6927_.Q hold587.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6928_.Q _3389_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _6928_.Q _5826_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6928_.Q _6179_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6928_.Q hold813.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6929_.Q _3365_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6929_.Q _5840_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6929_.Q _6211_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6929_.Q hold520.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6930_.Q _3741_.A1 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT _6930_.Q _5674_.A1 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _6930_.Q _5993_.B2 (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT _6930_.Q hold1073.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6931_.Q _3695_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6931_.Q _5717_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6931_.Q _6048_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6931_.Q hold1135.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6932_.Q _3615_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6932_.Q _5736_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6932_.Q _6081_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6932_.Q hold1017.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6933_.Q _3223_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6933_.Q _3548_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6933_.Q _5762_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6933_.Q _6104_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _6933_.Q hold709.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6934_.Q _3517_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6934_.Q _5791_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6934_.Q _6140_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6934_.Q hold177.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6935_.Q _3434_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6935_.Q _5797_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6935_.Q _6163_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6935_.Q hold989.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6936_.Q _3396_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6936_.Q _5826_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6936_.Q _6184_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6936_.Q hold832.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6937_.Q _3346_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6937_.Q _5853_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6937_.Q _6214_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6937_.Q hold504.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6938_.Q _3746_.A1 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _6938_.Q _5707_.A1 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _6938_.Q _6035_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6938_.Q hold1354.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6938_.Q ANTENNA_97.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _6939_.Q _3666_.B2 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _6939_.Q _5717_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6939_.Q _6061_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6939_.Q hold904.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6940_.Q _3612_.A1 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _6940_.Q _5738_.B2 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _6940_.Q _6082_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6940_.Q hold1045.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6941_.Q _3222_.A (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _6941_.Q _3548_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6941_.Q _5765_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6941_.Q _6113_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _6941_.Q hold449.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6942_.Q _3535_.A1 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _6942_.Q _5780_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6942_.Q _6136_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6942_.Q hold675.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6943_.Q _3436_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6943_.Q _5801_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6943_.Q _6159_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6943_.Q hold1013.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6944_.Q _3389_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6944_.Q _5832_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6944_.Q _6172_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6944_.Q hold263.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6945_.Q _3369_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6945_.Q _5841_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6945_.Q _6210_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6945_.Q hold508.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6946_.Q _3754_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _6946_.Q _5668_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _6946_.Q _5985_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6946_.Q hold1049.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6947_.Q _3666_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6947_.Q _5726_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6947_.Q _6063_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6947_.Q hold838.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6948_.Q _3613_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6948_.Q _5735_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6948_.Q _6083_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6948_.Q hold1207.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6949_.Q _3221_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6949_.Q _3568_.A1 (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT _6949_.Q _5766_.A1 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _6949_.Q _6101_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _6949_.Q hold597.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6950_.Q _3459_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6950_.Q _5779_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6950_.Q _6138_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6950_.Q hold657.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6951_.Q _3418_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6951_.Q _5805_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6951_.Q _6161_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6951_.Q hold569.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6952_.Q _3386_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6952_.Q _5832_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6952_.Q _6190_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6952_.Q hold319.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6953_.Q _3365_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6953_.Q _5846_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6953_.Q _6212_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6953_.Q hold514.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6954_.Q _3744_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6954_.Q _5662_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6954_.Q _6043_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6954_.Q hold1232.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6955_.Q _3673_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6955_.Q _5712_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6955_.Q _6056_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6955_.Q hold337.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6956_.Q _3615_.B2 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _6956_.Q _5748_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _6956_.Q _6087_.B2 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _6956_.Q hold1123.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6957_.Q _3220_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6957_.Q _3562_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6957_.Q _5765_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6957_.Q _6098_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6957_.Q hold627.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6957_.Q ANTENNA_98.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6958_.Q _3516_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6958_.Q _5780_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6958_.Q _6131_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6958_.Q hold763.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6959_.Q _3437_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6959_.Q _5802_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6959_.Q _6154_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6959_.Q hold1005.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6960_.Q _3404_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6960_.Q _5819_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6960_.Q _6188_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6960_.Q hold884.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6961_.Q _3357_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6961_.Q _5841_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _6961_.Q _6205_.A1 (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _6961_.Q hold183.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6962_.Q _3747_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6962_.Q _5680_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _6962_.Q _6041_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6962_.Q hold1205.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6963_.Q _3672_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6963_.Q _5718_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6963_.Q _6067_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6963_.Q hold315.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6964_.Q _3613_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6964_.Q _5741_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6964_.Q _6078_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6964_.Q hold815.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6965_.Q _3219_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6965_.Q _3581_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6965_.Q _5769_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6965_.Q _6118_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6965_.Q hold607.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6966_.Q _3516_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6966_.Q _5784_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6966_.Q _6126_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6966_.Q hold765.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6967_.Q _3441_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6967_.Q _5807_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6967_.Q _6166_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6967_.Q hold1001.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6968_.Q _3395_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6968_.Q _5823_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6968_.Q _6173_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6968_.Q hold836.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6969_.Q _3357_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6969_.Q _5849_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _6969_.Q _6200_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6969_.Q hold601.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6970_.Q _3733_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6970_.Q _5692_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6970_.Q _6010_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6970_.Q hold1244.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6971_.Q _3663_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6971_.Q _5722_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6971_.Q _6057_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6971_.Q hold848.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6972_.Q _3625_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6972_.Q _5743_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6972_.Q _6086_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6972_.Q hold819.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6973_.Q _3218_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6973_.Q _3570_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6973_.Q _5768_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _6973_.Q _6116_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6973_.Q hold659.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6974_.Q _3514_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6974_.Q _5783_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6974_.Q _6132_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6974_.Q hold705.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6974_.Q ANTENNA_100.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6975_.Q _3435_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6975_.Q _5796_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6975_.Q _6155_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6975_.Q hold1011.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6976_.Q _3381_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6976_.Q _5828_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6976_.Q _6190_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6976_.Q hold311.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6977_.Q _3370_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6977_.Q _5850_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6977_.Q _6206_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6977_.Q hold538.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6978_.Q _3779_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6978_.Q _5704_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6978_.Q _6042_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6978_.Q hold1075.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6979_.Q _3699_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6979_.Q _5713_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6979_.Q _6057_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6979_.Q hold335.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6980_.Q _3652_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6980_.Q _5741_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6980_.Q _6088_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6980_.Q hold247.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6981_.Q _3217_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6981_.Q _3557_.B2 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _6981_.Q _5755_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _6981_.Q _6102_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6981_.Q hold603.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6982_.Q _3486_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6982_.Q _5782_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6982_.Q _6132_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6982_.Q hold637.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6983_.Q _3433_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6983_.Q _5808_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6983_.Q _6155_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6983_.Q hold1021.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6984_.Q _3384_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6984_.Q _5823_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6984_.Q _6178_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6984_.Q hold900.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6985_.Q _3358_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6985_.Q _5854_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6985_.Q _6206_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6985_.Q hold512.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6986_.Q _3786_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6986_.Q _5698_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6986_.Q _5999_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6986_.Q hold1248.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6987_.Q _3663_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6987_.Q _5713_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6987_.Q _6054_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6987_.Q hold864.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6988_.Q _3617_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6988_.Q _5738_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6988_.Q _6087_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6988_.Q hold317.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6989_.Q _3216_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6989_.Q _3560_.A1 (0.031:0.031:0.031) (0.031:0.031:0.031)) - (INTERCONNECT _6989_.Q _5763_.B2 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _6989_.Q _6104_.B2 (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _6989_.Q hold619.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6990_.Q _3480_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _6990_.Q _5777_.B2 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _6990_.Q _6129_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6990_.Q hold735.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6991_.Q _3423_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6991_.Q _5803_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6991_.Q _6153_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6991_.Q hold613.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6992_.Q _3387_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _6992_.Q _5834_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _6992_.Q _6175_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6992_.Q hold269.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6993_.Q _3348_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6993_.Q _5854_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _6993_.Q _6203_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _6993_.Q hold781.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6994_.Q _3762_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _6994_.Q _5657_.B2 (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT _6994_.Q _6031_.B2 (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT _6994_.Q hold1191.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6995_.Q _3687_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _6995_.Q _5725_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6995_.Q _6060_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6995_.Q hold407.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6996_.Q _3653_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6996_.Q _5749_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6996_.Q _6086_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6996_.Q hold239.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _6997_.Q _3215_.A (0.055:0.055:0.055) (0.053:0.053:0.053)) - (INTERCONNECT _6997_.Q _3595_.B2 (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT _6997_.Q _5761_.A1 (0.047:0.047:0.047) (0.045:0.045:0.045)) - (INTERCONNECT _6997_.Q _6116_.B2 (0.052:0.052:0.052) (0.050:0.050:0.050)) - (INTERCONNECT _6997_.Q hold279.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _6998_.Q _3500_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6998_.Q _5790_.A1 (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT _6998_.Q _6135_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _6998_.Q hold187.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _6999_.Q _3440_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _6999_.Q _5804_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _6999_.Q _6158_.B2 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _6999_.Q hold154.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7000_.Q _3398_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7000_.Q _5827_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _7000_.Q _6191_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _7000_.Q hold892.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7001_.Q _3367_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7001_.Q _5853_.A1 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _7001_.Q _6209_.B2 (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT _7001_.Q hold723.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7002_.Q _3763_.B2 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _7002_.Q _5665_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7002_.Q _6011_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7002_.Q hold1035.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7003_.Q _3686_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7003_.Q _5716_.A1 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _7003_.Q _6053_.A1 (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT _7003_.Q hold357.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7004_.Q _3653_.B2 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _7004_.Q _5732_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _7004_.Q _6073_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7004_.Q hold1131.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7005_.Q _3214_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _7005_.Q _3553_.A1 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _7005_.Q _5759_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _7005_.Q _6111_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _7005_.Q hold556.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7006_.Q _3533_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7006_.Q _5788_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7006_.Q _6128_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7006_.Q hold649.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7007_.Q _3441_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7007_.Q _5799_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7007_.Q _6151_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7007_.Q hold677.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7008_.Q _3385_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7008_.Q _5821_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7008_.Q _6177_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7008_.Q hold938.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7009_.Q _3359_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7009_.Q _5848_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _7009_.Q _6202_.B2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _7009_.Q hold779.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7010_.Q _3787_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _7010_.Q _5687_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7010_.Q _5999_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _7010_.Q hold681.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7011_.Q _3719_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7011_.Q _5723_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7011_.Q _6052_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7011_.Q hold797.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7012_.Q _3640_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7012_.Q _5742_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7012_.Q _6089_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7012_.Q hold245.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7013_.Q _3213_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7013_.Q _3596_.A1 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _7013_.Q _5762_.B2 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _7013_.Q _6108_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _7013_.Q hold429.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7014_.Q _3459_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7014_.Q _5791_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7014_.Q _6127_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7014_.Q hold707.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7015_.Q _3428_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _7015_.Q _5798_.B2 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _7015_.Q _6150_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _7015_.Q hold985.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7016_.Q _3383_.B2 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _7016_.Q _5821_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7016_.Q _6187_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7016_.Q hold821.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7017_.Q _3351_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7017_.Q _5839_.B2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _7017_.Q _6201_.B2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _7017_.Q hold389.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7018_.Q _3736_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7018_.Q _5665_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7018_.Q _6004_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7018_.Q hold1259.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7019_.Q _3698_.B2 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _7019_.Q _5727_.A1 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _7019_.Q _6055_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _7019_.Q hold611.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7020_.Q _3635_.B2 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _7020_.Q _5739_.B2 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _7020_.Q _6074_.A1 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _7020_.Q hold287.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7021_.Q _3212_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7021_.Q _3584_.A1 (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _7021_.Q _5767_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _7021_.Q _6107_.B2 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _7021_.Q hold427.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7022_.Q _3457_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _7022_.Q _5781_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7022_.Q _6130_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _7022_.Q hold771.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7023_.Q _3420_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7023_.Q _5805_.A1 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _7023_.Q _6152_.A1 (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT _7023_.Q hold291.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7023_.Q ANTENNA_103.DIODE (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT _7023_.Q ANTENNA_104.DIODE (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT _7024_.Q _3391_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7024_.Q _5819_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7024_.Q _6176_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7024_.Q hold936.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7025_.Q _3364_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7025_.Q _5843_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7025_.Q _6204_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7025_.Q hold119.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7026_.Q _3734_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7026_.Q _5701_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7026_.Q _6005_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7026_.Q hold1063.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7027_.Q _3685_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7027_.Q _5712_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7027_.Q _6049_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7027_.Q hold341.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7028_.Q _3645_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7028_.Q _5733_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7028_.Q _6093_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7028_.Q hold305.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7029_.Q _3211_.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _7029_.Q _3557_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7029_.Q _5764_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7029_.Q _6108_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7029_.Q hold275.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7030_.Q _3452_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7030_.Q _5778_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7030_.Q _6128_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7030_.Q hold623.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7031_.Q _3423_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7031_.Q _5800_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7031_.Q _6151_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7031_.Q hold673.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7032_.Q _3388_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _7032_.Q _5834_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _7032_.Q _6186_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _7032_.Q hold882.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7033_.Q _3356_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7033_.Q _5849_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _7033_.Q _6202_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _7033_.Q hold745.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7034_.Q _3769_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _7034_.Q _5698_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7034_.Q _6037_.B2 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT _7034_.Q hold1336.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7035_.Q _3700_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _7035_.Q _5721_.B2 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _7035_.Q _6065_.B2 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _7035_.Q hold359.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7036_.Q _3646_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7036_.Q _5742_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _7036_.Q _6076_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _7036_.Q hold313.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7037_.Q _3210_.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _7037_.Q _3551_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _7037_.Q _5763_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7037_.Q _6113_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7037_.Q hold277.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7038_.Q _3537_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _7038_.Q _5777_.A1 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _7038_.Q _6141_.B2 (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT _7038_.Q hold201.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7039_.Q _3443_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7039_.Q _5800_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7039_.Q _6164_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7039_.Q hold609.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7040_.Q _3394_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7040_.Q _5827_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _7040_.Q _6181_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7040_.Q hold910.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7041_.Q _3367_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _7041_.Q _5842_.B2 (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT _7041_.Q _6215_.B2 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _7041_.Q hold733.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7042_.Q _3784_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7042_.Q _5680_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _7042_.Q _6041_.B2 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _7042_.Q hold1281.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7043_.Q _3668_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7043_.Q _5722_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7043_.Q _6063_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7043_.Q hold844.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7044_.Q _3639_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7044_.Q _5747_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _7044_.Q _6078_.B2 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _7044_.Q hold309.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7045_.Q _3209_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7045_.Q _3550_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _7045_.Q _5756_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _7045_.Q _6103_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7045_.Q hold492.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7046_.Q _3498_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _7046_.Q _5775_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7046_.Q _6138_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7046_.Q hold639.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7047_.Q _3421_.B2 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _7047_.Q _5809_.B2 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _7047_.Q _6161_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7047_.Q hold581.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7048_.Q _3387_.B2 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _7048_.Q _5831_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7048_.Q _6173_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7048_.Q hold886.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7049_.Q _3354_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7049_.Q _5848_.B2 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _7049_.Q _6212_.B2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _7049_.Q hold1321.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7050_.Q _3778_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7050_.Q _5701_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7050_.Q _5990_.A1 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _7050_.Q hold1155.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7051_.Q _3684_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7051_.Q _5711_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7051_.Q _6066_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7051_.Q hold795.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7052_.Q _3654_.B2 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _7052_.Q _5744_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _7052_.Q _6080_.B2 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _7052_.Q hold1153.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7053_.Q _3208_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7053_.Q _3561_.A1 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT _7053_.Q _5768_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _7053_.Q _6105_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _7053_.Q hold542.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7054_.Q _3487_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _7054_.Q _5783_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7054_.Q _6124_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _7054_.Q hold701.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7055_.Q _3426_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7055_.Q _5808_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7055_.Q _6165_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7055_.Q hold1023.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7056_.Q _3382_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7056_.Q _5817_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7056_.Q _6172_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7056_.Q hold890.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7057_.Q _3348_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _7057_.Q _5856_.B2 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _7057_.Q _6199_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _7057_.Q hold739.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7058_.Q _3771_.A1 (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT _7058_.Q _5673_.A1 (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT _7058_.Q _6034_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7058_.Q hold1356.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7058_.Q ANTENNA_105.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT _7059_.Q _3716_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7059_.Q _5715_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7059_.Q _6056_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7059_.Q hold850.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7060_.Q _3634_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _7060_.Q _5734_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7060_.Q _6088_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _7060_.Q hold817.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7061_.Q _3207_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7061_.Q _3594_.A1 (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT _7061_.Q _5761_.B2 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _7061_.Q _6101_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _7061_.Q hold435.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7061_.Q ANTENNA_106.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT _7062_.Q _3522_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7062_.Q _5790_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7062_.Q _6131_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7062_.Q hold121.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7063_.Q _3432_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _7063_.Q _5797_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7063_.Q _6154_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7063_.Q hold1003.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7064_.Q _3401_.A1 (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT _7064_.Q _5824_.B2 (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT _7064_.Q _6188_.B2 (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT _7064_.Q hold1325.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7065_.Q _3368_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7065_.Q _5852_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7065_.Q _6205_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7065_.Q hold562.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7066_.Q _3773_.A1 (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT _7066_.Q _5679_.B2 (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT _7066_.Q _6042_.B2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _7066_.Q hold1350.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7067_.Q _3693_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _7067_.Q _5727_.B2 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _7067_.Q _6053_.B2 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _7067_.Q hold651.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7068_.Q _3635_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7068_.Q _5733_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7068_.Q _6090_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7068_.Q hold249.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7069_.Q _3206_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7069_.Q _3550_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _7069_.Q _5760_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _7069_.Q _6099_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _7069_.Q hold476.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7070_.Q _3483_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7070_.Q _5789_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7070_.Q _6133_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7070_.Q hold643.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7071_.Q _3438_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7071_.Q _5798_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7071_.Q _6148_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7071_.Q hold679.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7072_.Q _3393_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7072_.Q _5830_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7072_.Q _6186_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7072_.Q hold834.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7073_.Q _3353_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _7073_.Q _5839_.A1 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _7073_.Q _6207_.A1 (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT _7073_.Q hold395.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7074_.Q _3736_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7074_.Q _5695_.B2 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _7074_.Q _5995_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7074_.Q hold1065.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7075_.Q _3684_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7075_.Q _5715_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7075_.Q _6052_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7075_.Q hold803.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7076_.Q _3650_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7076_.Q _5749_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7076_.Q _6091_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7076_.Q hold307.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7077_.Q _3205_.A (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT _7077_.Q _3590_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _7077_.Q _5757_.B2 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _7077_.Q _6107_.A1 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _7077_.Q hold1326.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7078_.Q _3469_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7078_.Q _5776_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7078_.Q _6127_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7078_.Q hold142.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7079_.Q _3428_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7079_.Q _5811_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7079_.Q _6150_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7079_.Q hold599.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7080_.Q _3385_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7080_.Q _5820_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7080_.Q _6191_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _7080_.Q hold926.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7081_.Q _3345_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7081_.Q _5840_.B2 (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT _7081_.Q _6201_.A1 (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT _7081_.Q hold757.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7082_.Q _3779_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7082_.Q _5684_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _7082_.Q _5981_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7082_.Q hold1265.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7083_.Q _3681_.A1 (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT _7083_.Q _5714_.A1 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _7083_.Q _6051_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7083_.Q hold862.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7083_.Q ANTENNA_107.DIODE (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT _7084_.Q _3654_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7084_.Q _5748_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7084_.Q _6076_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7084_.Q hold213.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7085_.Q _3204_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7085_.Q _3599_.A1 (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT _7085_.Q _5760_.B2 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _7085_.Q _6112_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _7085_.Q hold439.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7085_.Q ANTENNA_108.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT _7086_.Q _3522_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7086_.Q _5789_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7086_.Q _6125_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7086_.Q hold625.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7087_.Q _3434_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7087_.Q _5799_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7087_.Q _6149_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7087_.Q hold419.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7088_.Q _3393_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7088_.Q _5818_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7088_.Q _6189_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7088_.Q hold852.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7089_.Q _3363_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _7089_.Q _5843_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _7089_.Q _6198_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7089_.Q hold415.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7090_.Q _3775_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7090_.Q _5874_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7090_.Q _6239_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7090_.Q hold1289.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7091_.Q _3705_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7091_.Q _5889_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7091_.Q _6247_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7091_.Q hold912.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7092_.Q _3648_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7092_.Q _5914_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7092_.Q _6287_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7092_.Q hold1137.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7093_.Q _3594_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7093_.Q _5943_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7093_.Q _6298_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7093_.Q hold791.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7094_.Q _3453_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _7094_.Q _5958_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7094_.Q _6323_.A1 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _7094_.Q hold695.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7095_.Q _3766_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7095_.Q _5993_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7095_.Q hold1180.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7096_.Q _3671_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7096_.Q _6050_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7096_.Q hold371.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7097_.Q _3605_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7097_.Q _6092_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7097_.Q hold1495.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7098_.Q _3202_.A (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _7098_.Q _3552_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7098_.Q _6117_.B2 (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT _7098_.Q hold207.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7099_.Q _3509_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _7099_.Q _6134_.B2 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _7099_.Q hold259.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7100_.Q _3421_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7100_.Q _6157_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _7100_.Q hold261.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7101_.Q _3399_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7101_.Q _6178_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7101_.Q hold1502.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7102_.Q _3360_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7102_.Q _6208_.B2 (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT _7102_.Q hold729.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7103_.Q _3756_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _7103_.Q _6011_.B2 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _7103_.Q hold1069.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7104_.Q _3690_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7104_.Q _6055_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7104_.Q hold880.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7105_.Q _3616_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _7105_.Q _6079_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7105_.Q hold1147.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7106_.Q _3201_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7106_.Q _3569_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7106_.Q _6099_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7106_.Q hold437.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7107_.Q _3533_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7107_.Q _6130_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7107_.Q hold1512.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7108_.Q _3429_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7108_.Q _6152_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7108_.Q hold423.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7109_.Q _3406_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7109_.Q _6181_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7109_.Q hold860.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7110_.Q _3364_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7110_.Q _6204_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7110_.Q hold500.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7111_.Q _3748_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _7111_.Q _6032_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7111_.Q hold1029.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7112_.Q _3708_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _7112_.Q _6060_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _7112_.Q hold373.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7113_.Q _3608_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7113_.Q _6083_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7113_.Q hold1518.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7114_.Q _3200_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7114_.Q _3578_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _7114_.Q _6102_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _7114_.Q hold575.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7115_.Q _3501_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7115_.Q _6135_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7115_.Q hold255.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7116_.Q _3429_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7116_.Q _6158_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7116_.Q hold993.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7117_.Q _3397_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7117_.Q _6189_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7117_.Q hold1507.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7118_.Q _3351_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7118_.Q _6209_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7118_.Q hold433.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7119_.Q _3759_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7119_.Q _6037_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7119_.Q hold1033.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7120_.Q _3718_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7120_.Q _6051_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7120_.Q hold878.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7121_.Q _3608_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7121_.Q _6073_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7121_.Q hold1047.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7122_.Q _3199_.A (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT _7122_.Q _3566_.B2 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _7122_.Q _6098_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _7122_.Q hold443.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7123_.Q _3521_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7123_.Q _6125_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7123_.Q hold655.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7124_.Q _3444_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7124_.Q _6149_.B2 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _7124_.Q hold987.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7125_.Q _3386_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _7125_.Q _6175_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _7125_.Q hold1318.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7126_.Q _3353_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7126_.Q _6198_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7126_.Q hold393.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _7127_.Q _3739_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7127_.Q _5986_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7127_.Q hold1071.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7128_.Q _3694_.B2 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _7128_.Q _6059_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7128_.Q hold896.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7129_.Q _3618_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7129_.Q _6075_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7129_.Q hold1119.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7130_.Q _3198_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7130_.Q _3597_.A1 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _7130_.Q _6100_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7130_.Q hold691.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7131_.Q _3509_.B2 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT _7131_.Q _6123_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7131_.Q hold171.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7132_.Q _3436_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7132_.Q _6147_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7132_.Q hold1027.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7133_.Q _3405_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7133_.Q _6183_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7133_.Q hold858.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7134_.Q _3352_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7134_.Q _6197_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7134_.Q hold401.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7135_.Q _3732_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7135_.Q _5985_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7135_.Q hold1094.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7136_.Q _3668_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _7136_.Q _5582_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7136_.Q _6050_.A1 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _7137_.Q _3604_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7137_.Q _6092_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7137_.Q hold323.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7138_.Q _3197_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7138_.Q _3569_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7138_.Q _6117_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7138_.Q hold447.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7139_.Q _3517_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7139_.Q _6134_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7139_.Q hold165.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7140_.Q _3430_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7140_.Q _6157_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7140_.Q hold999.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7141_.Q _3399_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7141_.Q _6176_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7141_.Q hold1516.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7142_.Q _3354_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7142_.Q _6208_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7142_.Q hold1310.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7143_.Q _3776_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7143_.Q _5589_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7144_.Q _3895_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7144_.Q _3898_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7144_.Q _5597_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7144_.Q _5598_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _7144_.Q _5600_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7144_.Q _5601_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7144_.Q _5603_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7144_.Q _5604_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7144_.Q _5648_.D_N (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _7145_.Q _3895_.D_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7145_.Q _3898_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7145_.Q _5600_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7145_.Q _5601_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7145_.Q _5602_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7145_.Q _5603_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7145_.Q _5604_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7145_.Q _5649_.A1_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7146_.Q _3895_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7146_.Q _3899_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7146_.Q _5603_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7146_.Q _5604_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7146_.Q _5645_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _7146_.Q _5648_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7147_.Q _3895_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7147_.Q _3899_.D (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _7147_.Q _5607_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7147_.Q _5645_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7147_.Q _5648_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7147_.Q hold1501.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7148_.Q _5612_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7148_.Q _5614_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7148_.Q _5654_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7148_.Q _5660_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7148_.Q hold1513.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7149_.Q _5612_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7149_.Q _5614_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7149_.Q _5654_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7149_.Q _5660_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7149_.Q hold1517.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7150_.Q _5618_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7150_.Q _5621_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7150_.Q _5653_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7150_.Q _5658_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7150_.Q _5669_.A_N (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _7150_.Q hold1525.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7151_.Q _5621_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7151_.Q _5622_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7151_.Q _5623_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7151_.Q _5653_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7151_.Q _5658_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7151_.Q _5669_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7152_.Q _3235_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7152_.Q _5656_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _7152_.Q _5663_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7152_.Q _5664_.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _7152_.Q _5671_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7152_.Q _5676_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7152_.Q _5678_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _7152_.Q _5682_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _7152_.Q _5686_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _7152_.Q _5694_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _7152_.Q _5696_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7152_.Q _5697_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7152_.Q _5699_.A (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _7152_.Q _5700_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _7152_.Q _5702_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _7152_.Q _5706_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _7153_.Q _3903_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7153_.Q _5626_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7153_.Q _5627_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7153_.Q _5628_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7153_.Q _5629_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7153_.Q _5971_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7154_.Q _3903_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7154_.Q _5627_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7154_.Q _5628_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7154_.Q _5629_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7154_.Q _5971_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _7154_.Q hold1484.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7155_.Q _3904_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _7155_.Q _5631_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _7155_.Q _5633_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7155_.Q _5978_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7155_.Q _5987_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7155_.Q hold1442.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7156_.Q _3904_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7156_.Q _5633_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7156_.Q _5978_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7156_.Q _5987_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7156_.Q hold1521.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7157_.Q _3902_.A_N (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _7157_.Q _5637_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7157_.Q _5638_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7157_.Q _5640_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7157_.Q _5641_.B (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _7157_.Q _5974_.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _7157_.Q _5975_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7158_.Q _3902_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7158_.Q _5640_.A_N (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _7158_.Q _5641_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7158_.Q _5644_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7158_.Q _5974_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7158_.Q _5975_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7159_.Q _3939_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7160_.Q _3875_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7160_.Q hold1394.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7161_.Q _3940_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7161_.Q hold1433.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7162_.Q _5730_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7162_.Q hold1383.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7163_.Q hold1391.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7164_.Q _5773_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7164_.Q hold1398.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7165_.Q hold1395.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7166_.Q _5815_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7166_.Q hold1416.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7167_.Q _5837_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7167_.Q hold1407.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7168_.Q _5859_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7168_.Q hold1381.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7169_.Q _5881_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7169_.Q hold1503.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7169_.Q ANTENNA_230.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7170_.Q _5903_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7170_.Q hold1423.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7171_.Q _5925_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7171_.Q hold1458.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7172_.Q _5947_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7172_.Q hold1432.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7173_.Q _5969_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7173_.Q hold1438.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7174_.Q _3413_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7174_.Q hold1429.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7175_.Q hold1400.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7176_.Q _6096_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7176_.Q hold1419.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7177_.Q hold1388.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7178_.Q hold1399.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7179_.Q _6170_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7179_.Q hold1409.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7180_.Q hold1402.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7181_.Q _6219_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7181_.Q hold1404.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7182_.Q _6244_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7182_.Q hold1428.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7183_.Q _6269_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7183_.Q hold1463.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7184_.Q _6294_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7184_.Q hold1485.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7185_.Q hold1467.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7185_.Q hold1528.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _7186_.Q _6343_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7186_.Q hold1401.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7187_.Q _3380_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7187_.Q hold1498.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7188_.Q _6347_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7188_.Q output317.A (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _7189_.Q _6349_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7189_.Q output334.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _7190_.Q _6350_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7190_.Q output335.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7191_.Q output336.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _7191_.Q hold1469.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7192_.Q output337.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7192_.Q hold1499.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7193_.Q _6353_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7193_.Q output338.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _7194_.Q output339.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7194_.Q hold1454.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7195_.Q output341.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7195_.Q hold1475.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7196_.Q _6356_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7196_.Q output342.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _7197_.Q hold564.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7197_.Q hold1410.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7198_.Q hold1418.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7199_.Q hold61.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7199_.Q hold1417.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7200_.Q hold158.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7200_.Q hold1427.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7201_.Q hold1327.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7201_.Q hold1360.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7202_.Q hold131.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7202_.Q hold1420.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7203_.Q hold99.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7203_.Q hold1422.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7204_.Q hold469.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7204_.Q hold1397.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7205_.Q clkbuf_0_wbbd_sck.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7206_.Q hold110.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7206_.Q hold1430.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7207_.Q _3776_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7207_.Q _5862_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7207_.Q _6230_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7207_.Q hold969.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7208_.Q _3699_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _7208_.Q _5883_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7208_.Q _6255_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _7208_.Q hold983.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7209_.Q _3643_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7209_.Q _5914_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _7209_.Q _6273_.B2 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _7209_.Q hold981.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7210_.Q _3586_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _7210_.Q _5943_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _7210_.Q _6305_.B2 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _7210_.Q hold522.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7211_.Q _3486_.B2 (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT _7211_.Q _5951_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _7211_.Q _6326_.B2 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _7211_.Q hold474.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _3234__1.Y _6443_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7213_.X output258.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _7214_.X output315.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _7215_.X output316.A (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT input115.X _3880_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input114.X _3883_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input114.X _4336_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input114.X _4390_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input114.X _4391_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input114.X _4392_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input113.X _3883_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input113.X _4336_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input113.X _4390_.D (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT input113.X _4391_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input113.X _4392_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input112.X _3882_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input112.X _4336_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input112.X _4388_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input112.X _4390_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input112.X _4654_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input111.X _3187_.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT input111.X _3882_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input111.X _4349_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input111.X _4350_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input111.X _4388_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input111.X _4389_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input111.X _4390_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input111.X _4654_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input110.X _3236_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT input110.X _4344_.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input110.X _4345_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input110.X _4365_.A_N (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT input110.X _4371_.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input110.X _4375_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input110.X _4383_.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT input110.X _4396_.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input110.X _4398_.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input110.X _4419_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input110.X _4472_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input110.X _4537_.A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT input110.X _4570_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT input110.X _4602_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input110.X _4846_.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT input110.X _4945_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input109.X _3880_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input109.X _4337_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input108.X _3880_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input108.X _4337_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input107.X _3881_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input107.X _4337_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input106.X _3881_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input106.X _4337_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input105.X _3881_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input105.X _4338_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input104.X _3881_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input104.X _4338_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input103.X _3878_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input103.X _4338_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input102.X _3878_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input102.X _4338_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input101.X _3878_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input101.X _4339_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input100.X _3878_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input100.X _4339_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input99.X _3237_.A (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT input99.X _4344_.B (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT input99.X _4345_.A2 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT input99.X _4365_.B (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT input99.X _4371_.B (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT input99.X _4375_.C_N (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input99.X _4387_.A (0.020:0.020:0.020) (0.018:0.018:0.018)) - (INTERCONNECT input99.X _4400_.A (0.023:0.023:0.023) (0.021:0.021:0.021)) - (INTERCONNECT input99.X _4420_.B (0.020:0.020:0.020) (0.018:0.018:0.018)) - (INTERCONNECT input99.X _4450_.A (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT input99.X _4472_.D_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input99.X _4499_.A2 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT input99.X _4537_.B (0.022:0.022:0.022) (0.020:0.020:0.020)) - (INTERCONNECT input99.X _4538_.A (0.023:0.023:0.023) (0.021:0.021:0.021)) - (INTERCONNECT input99.X _4570_.B (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT input99.X _4602_.A2 (0.023:0.023:0.023) (0.021:0.021:0.021)) - (INTERCONNECT input98.X _3770_.B2 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT input97.X _3649_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input96.X _3712_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input95.X _3585_.B2 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT input94.X _3929_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input94.X _3957_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input93.X _3785_.A1 (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT input93.X _3938_.A1 (0.041:0.041:0.041) (0.039:0.039:0.039)) - (INTERCONNECT input93.X ANTENNA_136.DIODE (0.041:0.041:0.041) (0.039:0.039:0.039)) - (INTERCONNECT input92.X _3920_.A1 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT input91.X _3918_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input90.X _3921_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input89.X _3919_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input88.X _3949_.B (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT input88.X ANTENNA_137.DIODE (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT input88.X ANTENNA_138.DIODE (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT input88.X ANTENNA_139.DIODE (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT input88.X ANTENNA_140.DIODE (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT input88.X ANTENNA_141.DIODE (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT input88.X ANTENNA_142.DIODE (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT input88.X ANTENNA_143.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input88.X ANTENNA_144.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input88.X ANTENNA_145.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input88.X ANTENNA_146.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input88.X ANTENNA_147.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input88.X ANTENNA_148.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input87.X _7213_.A (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT input87.X ANTENNA_149.DIODE (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT input87.X ANTENNA_150.DIODE (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT input87.X ANTENNA_151.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input87.X ANTENNA_152.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input86.X _3947_.B (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT input85.X _3951_.A0 (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT input84.X _3943_.A0 (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT input84.X ANTENNA_153.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT input84.X ANTENNA_154.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT input84.X ANTENNA_155.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input84.X ANTENNA_156.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input83.X _3945_.A0 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT input82.X _3922_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input81.X _3925_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input80.X _3923_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input79.X _3922_.S (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT input79.X _3923_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input79.X _3924_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input79.X _3925_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input79.X _3958_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input78.X _3924_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input77.X _3929_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input76.X _3918_.S (0.039:0.039:0.039) (0.036:0.036:0.036)) - (INTERCONNECT input76.X _3919_.S (0.039:0.039:0.039) (0.037:0.037:0.037)) - (INTERCONNECT input76.X _3920_.S (0.041:0.041:0.041) (0.038:0.038:0.038)) - (INTERCONNECT input76.X _3921_.S (0.041:0.041:0.041) (0.038:0.038:0.038)) - (INTERCONNECT input75.X fanout527.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input74.X _3932_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input74.X _3953_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input73.X _3952_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input72.X _3696_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input71.X _3751_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT input71.X ANTENNA_157.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT input70.X _3359_.B2 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT input70.X _3960_.B (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT input70.X ANTENNA_158.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT input69.X _3405_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input68.X _3437_.B2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input68.X _3957_.A (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT input68.X ANTENNA_159.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT input67.X _3598_.A1 (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT input67.X _3872_.C (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT input67.X _3928_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT input67.X _3943_.A1 (0.029:0.029:0.029) (0.027:0.027:0.027)) - (INTERCONNECT input67.X ANTENNA_160.DIODE (0.029:0.029:0.029) (0.027:0.027:0.027)) - (INTERCONNECT input67.X ANTENNA_261.DIODE (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT input66.X _3427_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input66.X _7215_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input65.X _3488_.B2 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT input65.X _7214_.A (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT input65.X ANTENNA_231.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT input65.X ANTENNA_262.DIODE (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT input64.X _3581_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input63.X _3623_.A1 (0.045:0.045:0.045) (0.044:0.044:0.044)) - (INTERCONNECT input63.X _3958_.A (0.055:0.055:0.055) (0.054:0.054:0.054)) - (INTERCONNECT input63.X ANTENNA_161.DIODE (0.046:0.046:0.046) (0.044:0.044:0.044)) - (INTERCONNECT input63.X ANTENNA_162.DIODE (0.055:0.055:0.055) (0.054:0.054:0.054)) - (INTERCONNECT input62.X _3718_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input61.X _3756_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input60.X _3352_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input59.X _3407_.B2 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT input58.X _3264_.A1 (0.088:0.088:0.088) (0.087:0.087:0.087)) - (INTERCONNECT input58.X _3616_.A1 (0.078:0.078:0.078) (0.078:0.078:0.078)) - (INTERCONNECT input58.X _3832_.A1 (0.089:0.089:0.089) (0.089:0.089:0.089)) - (INTERCONNECT input58.X _3845_.A0 (0.093:0.093:0.093) (0.092:0.092:0.092)) - (INTERCONNECT input58.X _3847_.A0 (0.092:0.092:0.092) (0.091:0.091:0.091)) - (INTERCONNECT input58.X _3859_.A1 (0.093:0.093:0.093) (0.092:0.092:0.092)) - (INTERCONNECT input58.X _3866_.A0 (0.085:0.085:0.085) (0.084:0.084:0.084)) - (INTERCONNECT input58.X _3869_.A2 (0.093:0.093:0.093) (0.092:0.092:0.092)) - (INTERCONNECT input58.X _3871_.A1 (0.093:0.093:0.093) (0.092:0.092:0.092)) - (INTERCONNECT input58.X _3926_.A1 (0.047:0.047:0.047) (0.047:0.047:0.047)) - (INTERCONNECT input58.X _3951_.A1 (0.093:0.093:0.093) (0.093:0.093:0.093)) - (INTERCONNECT input58.X _3967_.A0 (0.085:0.085:0.085) (0.084:0.084:0.084)) - (INTERCONNECT input58.X ANTENNA_163.DIODE (0.078:0.078:0.078) (0.078:0.078:0.078)) - (INTERCONNECT input58.X ANTENNA_164.DIODE (0.088:0.088:0.088) (0.087:0.087:0.087)) - (INTERCONNECT input58.X ANTENNA_165.DIODE (0.089:0.089:0.089) (0.089:0.089:0.089)) - (INTERCONNECT input58.X ANTENNA_232.DIODE (0.048:0.048:0.048) (0.047:0.047:0.047)) - (INTERCONNECT input57.X _3430_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input56.X _3494_.A1 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT input55.X _3579_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input54.X _3626_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT input53.X _3694_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input52.X _3732_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input51.X _3358_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input51.X ANTENNA_166.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input50.X _3402_.B2 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT input49.X _3444_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input48.X _3461_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input47.X _3709_.B2 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT input46.X _3566_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT input45.X _3619_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input45.X ANTENNA_233.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input44.X _3696_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input43.X _3757_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input42.X _3350_.B2 (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT input41.X _3390_.B2 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT input40.X _3424_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input39.X _3540_.B2 (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT input39.X _3961_.B (0.025:0.025:0.025) (0.025:0.025:0.025)) - (INTERCONNECT input39.X ANTENNA_167.DIODE (0.024:0.024:0.024) (0.024:0.024:0.024)) - (INTERCONNECT input39.X ANTENNA_168.DIODE (0.025:0.025:0.025) (0.025:0.025:0.025)) - (INTERCONNECT input38.X _3549_.A1 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT input38.X _3931_.A1 (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT input38.X ANTENNA_169.DIODE (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT input38.X ANTENNA_234.DIODE (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT input37.X _3629_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input37.X ANTENNA_170.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input36.X _3751_.B2 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT input36.X _3959_.A (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT input36.X ANTENNA_171.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT input36.X ANTENNA_235.DIODE (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT input35.X _3678_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input34.X _3777_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input33.X _3349_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input32.X _3394_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input31.X _3422_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input30.X _3540_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input29.X _3592_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input28.X _3356_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input27.X _3406_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input26.X _3641_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input25.X _3439_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input24.X _3494_.B2 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT input23.X _3584_.B2 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT input23.X ANTENNA_172.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT input22.X _3636_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input21.X _3682_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input20.X _3777_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input19.X _3347_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input18.X _3407_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input17.X _3425_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input16.X _3475_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input15.X _3697_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input14.X _3595_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input13.X _3647_.A1 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT input12.X _3682_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input11.X _3780_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input10.X _3350_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input9.X _3391_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input8.X _3439_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input7.X _3500_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input6.X _3592_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input5.X _3637_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input4.X _3763_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input3.X _3933_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input2.X _3935_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input1.X _3933_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input1.X _3935_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input1.X _3959_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input116.X _3880_.D_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input117.X _3885_.D_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input118.X _3885_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input119.X _3885_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input120.X _3885_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input121.X _4951_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input121.X fanout530.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input122.X _3884_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input123.X _3884_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input124.X _4343_.A (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT input124.X _4345_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input124.X _4367_.A (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT input124.X _4372_.A (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT input124.X _4373_.A (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT input124.X _4375_.B (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT input124.X _4383_.B (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT input124.X _4398_.B (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT input124.X _4419_.A_N (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT input124.X _4420_.C (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT input124.X _4472_.C_N (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT input124.X _4498_.C1 (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT input124.X _4537_.C (0.021:0.021:0.021) (0.019:0.019:0.019)) - (INTERCONNECT input124.X _4563_.A (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT input124.X _4566_.A (0.022:0.022:0.022) (0.020:0.020:0.020)) - (INTERCONNECT input124.X _4569_.A (0.023:0.023:0.023) (0.021:0.021:0.021)) - (INTERCONNECT input124.X _4570_.C (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT input124.X _4617_.A3 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT input124.X _4798_.A1 (0.023:0.023:0.023) (0.021:0.021:0.021)) - (INTERCONNECT input124.X _4846_.B (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT input125.X _3240_.A (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT input125.X _4341_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input125.X _4342_.C (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input125.X _4357_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input125.X _4358_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input125.X _4379_.D (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT input125.X _4380_.D (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input125.X _4407_.A (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT input125.X _4408_.A1 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT input125.X _4476_.D_N (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT input125.X _4480_.D (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT input125.X _4550_.A (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT input125.X _4565_.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input125.X _4578_.C (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input125.X _4588_.C_N (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT input125.X _4591_.B (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT input125.X _4604_.A1 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT input125.X _4621_.B (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT input126.X _3239_.A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT input126.X _4341_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input126.X _4342_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input126.X _4361_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input126.X _4379_.C (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input126.X _4380_.C (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT input126.X _4408_.B1 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT input126.X _4421_.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input126.X _4428_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input126.X _4462_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input126.X _4476_.C (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input126.X _4557_.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input126.X _4564_.C (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT input126.X _4575_.A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT input126.X _4578_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input126.X _4588_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input126.X _4591_.A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT input126.X _4605_.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input126.X _4938_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input127.X _4342_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input127.X _4353_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input127.X _4379_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input127.X _4380_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input127.X _4412_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT input127.X _4476_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input127.X _4480_.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT input127.X _4543_.B (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT input127.X _4551_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT input127.X _4564_.A_N (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT input127.X _4578_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input127.X _4585_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input127.X _4588_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input128.X _4347_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input128.X _4355_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input128.X _4379_.B (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT input128.X _4380_.B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT input128.X _4402_.A (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT input128.X _4414_.B1 (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT input128.X _4476_.B (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT input128.X _4480_.B (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT input128.X _4540_.A (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT input128.X _4541_.A (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT input128.X _4543_.A_N (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT input128.X _4544_.A_N (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT input128.X _4553_.A (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT input128.X _4564_.B (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT input128.X _4578_.D_N (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input128.X _4585_.B (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT input128.X _4588_.D_N (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT input128.X _4820_.A1 (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT input128.X _4935_.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT input129.X _3879_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input129.X _4339_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input130.X _3879_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input130.X _4339_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input131.X _3884_.C_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input132.X _6363_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input133.X _6369_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input134.X _6372_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input135.X _6376_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input136.X _6379_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input137.X _6381_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input138.X _6384_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input139.X _6363_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input140.X _6367_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input141.X _6369_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input142.X _6372_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input143.X _6367_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input144.X _6375_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input145.X _6378_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input146.X _6382_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input147.X _6385_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input148.X _6364_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT input149.X _6366_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input150.X _6370_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input151.X _6373_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input152.X _6376_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input153.X _6379_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input154.X _6370_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input155.X _6381_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input156.X _6384_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input157.X _6373_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input158.X _6375_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT input159.X _6378_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input160.X _6382_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input161.X _6385_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input162.X _6364_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input163.X _6366_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input164.X fanout529.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input165.X _6360_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT input166.X _6361_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input166.X _6389_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input167.X _6358_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input167.X _6388_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input168.X _6357_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input168.X _6388_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input169.X _3884_.D_N (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT input169.X ANTENNA_173.DIODE (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT input170.X _6357_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input170.X _6358_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input170.X _6360_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input170.X _6361_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input170.X _6390_.B2 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT output171.X debug_in (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output172.X irq[0] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output173.X irq[1] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output174.X irq[2] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output175.X mgmt_gpio_oeb[0] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output176.X mgmt_gpio_oeb[10] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output177.X mgmt_gpio_oeb[11] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output178.X mgmt_gpio_oeb[12] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output179.X mgmt_gpio_oeb[13] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output180.X mgmt_gpio_oeb[14] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output181.X mgmt_gpio_oeb[15] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output182.X mgmt_gpio_oeb[16] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output183.X mgmt_gpio_oeb[17] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output184.X mgmt_gpio_oeb[18] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output185.X mgmt_gpio_oeb[19] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output186.X mgmt_gpio_oeb[1] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output187.X mgmt_gpio_oeb[20] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output188.X mgmt_gpio_oeb[21] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output189.X mgmt_gpio_oeb[22] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output190.X mgmt_gpio_oeb[23] (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT output191.X mgmt_gpio_oeb[24] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output192.X mgmt_gpio_oeb[25] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output193.X mgmt_gpio_oeb[26] (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT output194.X mgmt_gpio_oeb[27] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output195.X mgmt_gpio_oeb[28] (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT output196.X mgmt_gpio_oeb[29] (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT output197.X mgmt_gpio_oeb[2] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output198.X mgmt_gpio_oeb[30] (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT output199.X mgmt_gpio_oeb[31] (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT output200.X mgmt_gpio_oeb[32] (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT output201.X mgmt_gpio_oeb[33] (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT output202.X mgmt_gpio_oeb[34] (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT output203.X mgmt_gpio_oeb[35] (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT output204.X mgmt_gpio_oeb[36] (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT output205.X mgmt_gpio_oeb[37] (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT output206.X mgmt_gpio_oeb[3] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output207.X mgmt_gpio_oeb[4] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output208.X mgmt_gpio_oeb[5] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output209.X mgmt_gpio_oeb[6] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output210.X mgmt_gpio_oeb[7] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output211.X mgmt_gpio_oeb[8] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output212.X mgmt_gpio_oeb[9] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output213.X mgmt_gpio_out[0] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output214.X mgmt_gpio_out[10] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output215.X mgmt_gpio_out[11] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output216.X mgmt_gpio_out[12] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output217.X mgmt_gpio_out[13] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output218.X mgmt_gpio_out[14] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output219.X mgmt_gpio_out[15] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output220.X mgmt_gpio_out[16] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output221.X mgmt_gpio_out[17] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output222.X mgmt_gpio_out[18] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output223.X mgmt_gpio_out[19] (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT output224.X mgmt_gpio_out[1] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output225.X mgmt_gpio_out[20] (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT output226.X mgmt_gpio_out[21] (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT output227.X mgmt_gpio_out[22] (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT output228.X mgmt_gpio_out[23] (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT output229.X mgmt_gpio_out[24] (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT output230.X mgmt_gpio_out[25] (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT output231.X mgmt_gpio_out[26] (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT output232.X mgmt_gpio_out[27] (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT output233.X mgmt_gpio_out[28] (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT output234.X mgmt_gpio_out[29] (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT output235.X mgmt_gpio_out[2] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output236.X mgmt_gpio_out[30] (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT output237.X mgmt_gpio_out[31] (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT output238.X mgmt_gpio_out[32] (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT output239.X mgmt_gpio_out[33] (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT output240.X mgmt_gpio_out[34] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output241.X mgmt_gpio_out[35] (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT output242.X mgmt_gpio_out[36] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output243.X mgmt_gpio_out[37] (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT output244.X mgmt_gpio_out[3] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output245.X mgmt_gpio_out[4] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output246.X mgmt_gpio_out[5] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output247.X mgmt_gpio_out[6] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output248.X mgmt_gpio_out[7] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output249.X mgmt_gpio_out[8] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output250.X mgmt_gpio_out[9] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output251.X pad_flash_clk (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT output252.X pad_flash_clk_oeb (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output253.X pad_flash_csb (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output254.X pad_flash_csb_oeb (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output255.X pad_flash_io0_do (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output256.X pad_flash_io0_ieb (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT output257.X pad_flash_io0_oeb (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT output258.X pad_flash_io1_do (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output259.X pad_flash_io1_ieb (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output260.X pad_flash_io1_oeb (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT output261.X pll90_sel[0] (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT output262.X pll90_sel[1] (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT output263.X pll90_sel[2] (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT output264.X pll_bypass (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT output265.X pll_dco_ena (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT output266.X pll_div[0] (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT output267.X pll_div[1] (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT output268.X pll_div[2] (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT output269.X pll_div[3] (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT output270.X pll_div[4] (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT output271.X pll_ena (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT output272.X pll_sel[0] (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT output273.X pll_sel[1] (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT output274.X pll_sel[2] (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT output275.X pll_trim[0] (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT output276.X pll_trim[10] (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT output277.X pll_trim[11] (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT output278.X pll_trim[12] (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT output279.X pll_trim[13] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output280.X pll_trim[14] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output281.X pll_trim[15] (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT output282.X pll_trim[16] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output283.X pll_trim[17] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output284.X pll_trim[18] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output285.X pll_trim[19] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output286.X pll_trim[1] (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT output287.X pll_trim[20] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output288.X pll_trim[21] (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT output289.X pll_trim[22] (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT output290.X pll_trim[23] (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT output291.X pll_trim[24] (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT output292.X pll_trim[25] (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT output293.X pll_trim[2] (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT output294.X pll_trim[3] (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT output295.X pll_trim[4] (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT output296.X pll_trim[5] (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT output297.X pll_trim[6] (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT output298.X pll_trim[7] (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT output299.X pll_trim[8] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output300.X pll_trim[9] (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT output301.X pwr_ctrl_out[0] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output302.X pwr_ctrl_out[1] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output303.X pwr_ctrl_out[2] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output304.X pwr_ctrl_out[3] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output305.X reset (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output306.X ser_rx (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output307.X serial_clock (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output308.X serial_data_1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output309.X serial_data_2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output310.X serial_load (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output311.X serial_resetn (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output312.X spi_sdi (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output313.X spimemio_flash_io0_di (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output314.X spimemio_flash_io1_di (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output315.X spimemio_flash_io2_di (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output316.X spimemio_flash_io3_di (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output317.X wb_ack_o (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output318.X wb_dat_o[0] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output319.X wb_dat_o[10] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output320.X wb_dat_o[11] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output321.X wb_dat_o[12] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output322.X wb_dat_o[13] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output323.X wb_dat_o[14] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output324.X wb_dat_o[15] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output325.X wb_dat_o[16] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output326.X wb_dat_o[17] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output327.X wb_dat_o[18] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output328.X wb_dat_o[19] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output329.X wb_dat_o[1] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output330.X wb_dat_o[20] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output331.X wb_dat_o[21] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output332.X wb_dat_o[22] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output333.X wb_dat_o[23] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output334.X wb_dat_o[24] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output335.X wb_dat_o[25] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output336.X wb_dat_o[26] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output337.X wb_dat_o[27] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output338.X wb_dat_o[28] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output339.X wb_dat_o[29] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output340.X wb_dat_o[2] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output341.X wb_dat_o[30] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output342.X wb_dat_o[31] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output343.X wb_dat_o[3] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output344.X wb_dat_o[4] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output345.X wb_dat_o[5] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output346.X wb_dat_o[6] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output347.X wb_dat_o[7] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output348.X wb_dat_o[8] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output349.X wb_dat_o[9] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT max_cap350.X _3614_.B1 (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT max_cap350.X _3381_.B1 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT max_cap350.X _3691_.B1 (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT max_cap350.X _3759_.B1 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT max_cap350.X _3488_.A2 (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT max_cap350.X _3570_.B1 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT max_cap350.X _3443_.B1 (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT max_cap350.X hold31.A (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT max_cap351.X _4070_.B2 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT max_cap351.X _3751_.B1 (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT max_cap351.X _3598_.A2 (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT max_cap351.X _3709_.B1 (0.029:0.029:0.029) (0.027:0.027:0.027)) - (INTERCONNECT max_cap351.X _3405_.B1 (0.032:0.032:0.032) (0.030:0.030:0.030)) - (INTERCONNECT max_cap351.X _4081_.S (0.034:0.034:0.034) (0.032:0.032:0.032)) - (INTERCONNECT max_cap351.X _4079_.S (0.035:0.035:0.035) (0.033:0.033:0.033)) - (INTERCONNECT max_cap351.X _4075_.S (0.036:0.036:0.036) (0.034:0.034:0.034)) - (INTERCONNECT max_cap351.X _4077_.S (0.037:0.037:0.037) (0.034:0.034:0.034)) - (INTERCONNECT max_cap351.X _3359_.B1 (0.037:0.037:0.037) (0.035:0.035:0.035)) - (INTERCONNECT max_cap351.X _4085_.S (0.037:0.037:0.037) (0.034:0.034:0.034)) - (INTERCONNECT max_cap351.X ANTENNA_237.DIODE (0.032:0.032:0.032) (0.030:0.030:0.030)) - (INTERCONNECT max_cap352.X _3597_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT max_cap352.X _3509_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT max_cap352.X _3405_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT max_cap352.X _3618_.A2 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT max_cap352.X _3739_.B1 (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT max_cap352.X _3436_.B1 (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT max_cap352.X _3352_.B1 (0.031:0.031:0.031) (0.029:0.029:0.029)) - (INTERCONNECT max_cap352.X _5571_.A (0.031:0.031:0.031) (0.030:0.030:0.030)) - (INTERCONNECT max_cap353.X _3629_.A2 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT max_cap353.X _3549_.A2 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT max_cap353.X _3751_.A2 (0.031:0.031:0.031) (0.030:0.030:0.030)) - (INTERCONNECT max_cap353.X _3540_.B1 (0.032:0.032:0.032) (0.030:0.030:0.030)) - (INTERCONNECT max_cap353.X _3350_.B1 (0.035:0.035:0.035) (0.033:0.033:0.033)) - (INTERCONNECT max_cap354.X _4098_.S (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT max_cap354.X _4100_.S (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT max_cap354.X _3424_.A2 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT max_cap354.X _4102_.S (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT max_cap354.X _4090_.S (0.022:0.022:0.022) (0.020:0.020:0.020)) - (INTERCONNECT max_cap354.X _4088_.S (0.024:0.024:0.024) (0.022:0.022:0.022)) - (INTERCONNECT max_cap354.X _4094_.S (0.025:0.025:0.025) (0.023:0.023:0.023)) - (INTERCONNECT max_cap354.X _4096_.S (0.026:0.026:0.026) (0.024:0.024:0.024)) - (INTERCONNECT max_cap354.X _4092_.S (0.026:0.026:0.026) (0.024:0.024:0.024)) - (INTERCONNECT max_cap354.X _3390_.B1 (0.027:0.027:0.027) (0.025:0.025:0.025)) - (INTERCONNECT max_cap354.X _3696_.B1 (0.027:0.027:0.027) (0.025:0.025:0.025)) - (INTERCONNECT max_cap355.X _3523_.B1 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT max_cap355.X _3742_.A2 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT max_cap355.X _3577_.A2 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT max_cap355.X _3631_.A2 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT max_cap355.X _3418_.B1 (0.025:0.025:0.025) (0.023:0.023:0.023)) - (INTERCONNECT max_cap355.X _5295_.A (0.027:0.027:0.027) (0.025:0.025:0.025)) - (INTERCONNECT max_cap356.X _4062_.S (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT max_cap356.X _4064_.S (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT max_cap356.X _4066_.S (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT max_cap356.X _4068_.S (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT max_cap356.X _3444_.A2 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT max_cap356.X _4054_.S (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT max_cap356.X _3757_.A2 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT max_cap356.X _4056_.S (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT max_cap356.X _4060_.S (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT max_cap356.X _4058_.S (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT wire357.X _3576_.A2 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT wire357.X _3606_.A2 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT wire357.X _3739_.A2 (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT wire357.X _3438_.A2 (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT wire357.X _5304_.A (0.028:0.028:0.028) (0.026:0.026:0.026)) - (INTERCONNECT wire357.X _3362_.A2 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT wire357.X _3400_.A2 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT max_cap358.X _3748_.A2 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT max_cap358.X _3578_.A2 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT max_cap358.X _3608_.A2 (0.027:0.027:0.027) (0.025:0.025:0.025)) - (INTERCONNECT max_cap358.X _5553_.A (0.029:0.029:0.029) (0.027:0.027:0.027)) - (INTERCONNECT max_cap358.X _3351_.A2 (0.030:0.030:0.030) (0.028:0.028:0.028)) - (INTERCONNECT max_cap358.X _3429_.A2 (0.030:0.030:0.030) (0.028:0.028:0.028)) - (INTERCONNECT wire359.X _6143_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT wire359.X _6292_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT wire359.X _6267_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT wire359.X _6242_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT wire359.X ANTENNA_184.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT wire360.X _6044_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT wire360.X ANTENNA_185.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT max_cap361.X wire360.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT max_cap361.X _6217_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT wire362.X max_cap361.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT wire362.X _6119_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT wire362.X _6168_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT max_cap363.X _6069_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT max_cap363.X wire362.A (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT max_cap364.X _6316_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT max_cap364.X _6341_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT max_cap364.X max_cap363.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT wire365.X _6194_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT wire365.X wire359.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT wire365.X _6094_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT wire365.X max_cap364.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout366.X _6245_.A2 (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT fanout366.X _6344_.A2 (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT fanout366.X _6319_.S (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT fanout366.X _5882_.S (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout366.X _5904_.S (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout366.X _5926_.S (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout366.X _6270_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout366.X _6295_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout366.X _5948_.S (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT fanout366.X _6097_.S (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT fanout366.X _5774_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout366.X _5860_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout366.X _6072_.S (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT fanout366.X _5731_.S (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT fanout366.X _6122_.S (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout366.X _6146_.S (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout366.X _6220_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout366.X _5652_.A (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout366.X _5753_.S (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout366.X _5795_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT max_cap367.X _3699_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT max_cap367.X _3652_.A2 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT max_cap367.X _3486_.A2 (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT max_cap367.X _3779_.B1 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT max_cap367.X _3557_.B1 (0.035:0.035:0.035) (0.033:0.033:0.033)) - (INTERCONNECT max_cap367.X _3358_.B1 (0.034:0.034:0.034) (0.032:0.032:0.032)) - (INTERCONNECT max_cap367.X _3433_.B1 (0.039:0.039:0.039) (0.037:0.037:0.037)) - (INTERCONNECT max_cap367.X _5403_.A (0.040:0.040:0.040) (0.038:0.038:0.038)) - (INTERCONNECT max_cap368.X _3521_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT max_cap368.X _3709_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT max_cap368.X _3564_.A2 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT max_cap368.X _3442_.A2 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT max_cap368.X _5331_.A (0.023:0.023:0.023) (0.021:0.021:0.021)) - (INTERCONNECT max_cap368.X _3346_.B1 (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT max_cap368.X _3404_.B1 (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT max_cap369.X _3421_.B1 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT max_cap369.X _3387_.B1 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT max_cap369.X _3354_.B1 (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT max_cap369.X hold78.A (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT max_cap370.X _3634_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT max_cap370.X _3401_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT max_cap370.X _3368_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT max_cap370.X _5493_.A (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT max_cap370.X _3432_.A2 (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT max_cap371.X _3561_.A2 (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT max_cap371.X _3654_.B1 (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT max_cap371.X _3487_.A2 (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT max_cap371.X _3684_.B1 (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT max_cap371.X _3426_.B1 (0.031:0.031:0.031) (0.029:0.029:0.029)) - (INTERCONNECT max_cap371.X ANTENNA_186.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT max_cap372.X _3734_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT max_cap372.X _3356_.A2 (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT max_cap372.X _3388_.A2 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT max_cap372.X _5457_.A (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT max_cap373.X _3744_.A2 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT max_cap373.X _3673_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT max_cap373.X _3516_.A2 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT max_cap373.X _3562_.B1 (0.022:0.022:0.022) (0.020:0.020:0.020)) - (INTERCONNECT max_cap373.X _5376_.A (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT max_cap373.X ANTENNA_238.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT max_cap374.X _3617_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT max_cap374.X _3348_.A2 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT max_cap374.X _3387_.A2 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT max_cap374.X _5412_.A (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT max_cap375.X _3649_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT max_cap375.X _3559_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT max_cap375.X _3698_.A2 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT max_cap375.X _3523_.A2 (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT max_cap375.X _3433_.A2 (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT max_cap375.X _3778_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT max_cap375.X _3349_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT max_cap376.X _3503_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT max_cap376.X _3319_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT max_cap376.X _3528_.A (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT max_cap376.X _3280_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT max_cap376.X _3338_.A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT max_cap376.X _3339_.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT max_cap376.X _3343_.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT max_cap376.X _3318_.A (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT max_cap376.X _3312_.B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT max_cap377.X hold21.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT max_cap378.X _3275_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT max_cap378.X _3342_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT max_cap378.X _3335_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT max_cap378.X _3278_.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT max_cap378.X _3474_.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT max_cap378.X _3306_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT max_cap378.X _3546_.A (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT max_cap379.X _5241_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT max_cap379.X hold96.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT wire380.X _4529_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT wire380.X _4464_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT wire380.X _4838_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT wire380.X _4771_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT wire380.X _5041_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT wire380.X _4970_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT wire380.X _5004_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT max_cap381.X _3320_.B (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT max_cap381.X _3316_.B (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT max_cap381.X _3324_.B (0.012:0.012:0.012) (0.010:0.010:0.010)) - (INTERCONNECT max_cap381.X _3334_.A (0.011:0.011:0.011) (0.009:0.009:0.009)) - (INTERCONNECT max_cap381.X _3325_.B (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT max_cap381.X _3310_.B (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT max_cap382.X _3489_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT max_cap382.X _3530_.B (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT max_cap382.X _3511_.B (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT max_cap382.X _3478_.A (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT max_cap382.X _3465_.B (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT max_cap382.X _3322_.B (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT max_cap382.X _3311_.B (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT max_cap382.X max_cap381.A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT wire383.X _3417_.A (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT wire383.X _3512_.B (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT wire383.X _3526_.B (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT wire383.X _3496_.A (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT wire383.X _3714_.B (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT wire383.X _3711_.A (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT wire383.X _3379_.A (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT wire383.X _5222_.A1 (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT wire383.X _3607_.A (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT wire383.X _3302_.B (0.016:0.016:0.016) (0.014:0.014:0.014)) - (INTERCONNECT max_cap384.X _3507_.B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT max_cap384.X _3456_.A (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT max_cap384.X _3482_.A (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT max_cap384.X _3463_.A (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT max_cap385.X _3471_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT max_cap385.X max_cap384.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT max_cap385.X _3476_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT max_cap385.X _3298_.B (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT max_cap385.X _3323_.B (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT max_cap386.X _3326_.B (0.012:0.012:0.012) (0.010:0.010:0.010)) - (INTERCONNECT max_cap386.X max_cap385.A (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT max_cap386.X _3341_.A (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT max_cap386.X _3332_.B (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT max_cap386.X _3534_.A (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT max_cap386.X _3327_.B (0.012:0.012:0.012) (0.010:0.010:0.010)) - (INTERCONNECT max_cap386.X _3315_.A (0.012:0.012:0.012) (0.010:0.010:0.010)) - (INTERCONNECT max_cap387.X _3492_.A (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT max_cap387.X _3536_.A (0.014:0.014:0.014) (0.012:0.012:0.012)) - (INTERCONNECT max_cap387.X _3287_.A (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT max_cap387.X _3321_.A (0.018:0.018:0.018) (0.016:0.016:0.016)) - (INTERCONNECT max_cap387.X _3328_.B (0.020:0.020:0.020) (0.018:0.018:0.018)) - (INTERCONNECT max_cap387.X _3510_.A (0.019:0.019:0.019) (0.017:0.017:0.017)) - (INTERCONNECT max_cap387.X _3294_.A (0.020:0.020:0.020) (0.018:0.018:0.018)) - (INTERCONNECT max_cap387.X _3331_.A (0.019:0.019:0.019) (0.017:0.017:0.017)) - (INTERCONNECT max_cap388.X _3455_.B (0.017:0.017:0.017) (0.015:0.015:0.015)) - (INTERCONNECT max_cap388.X _3329_.A (0.018:0.018:0.018) (0.016:0.016:0.016)) - (INTERCONNECT max_cap388.X _3450_.A (0.021:0.021:0.021) (0.019:0.019:0.019)) - (INTERCONNECT max_cap388.X _3495_.A (0.024:0.024:0.024) (0.021:0.021:0.021)) - (INTERCONNECT max_cap388.X max_cap387.A (0.024:0.024:0.024) (0.021:0.021:0.021)) - (INTERCONNECT max_cap388.X _3296_.B (0.024:0.024:0.024) (0.022:0.022:0.022)) - (INTERCONNECT max_cap388.X _3292_.A (0.024:0.024:0.024) (0.022:0.022:0.022)) - (INTERCONNECT max_cap389.X _3290_.A (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT max_cap389.X _3256_.A (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT max_cap389.X _3657_.A1_N (0.021:0.021:0.021) (0.019:0.019:0.019)) - (INTERCONNECT max_cap389.X _3314_.A (0.020:0.020:0.020) (0.018:0.018:0.018)) - (INTERCONNECT max_cap389.X _3674_.A (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT max_cap389.X _3731_.A (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT max_cap389.X _3344_.A (0.021:0.021:0.021) (0.019:0.019:0.019)) - (INTERCONNECT max_cap389.X _3472_.A (0.020:0.020:0.020) (0.018:0.018:0.018)) - (INTERCONNECT max_cap389.X _3304_.A (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT wire390.X _3268_.A (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT wire390.X _3340_.A (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT wire390.X _3295_.A (0.025:0.025:0.025) (0.023:0.023:0.023)) - (INTERCONNECT wire390.X _3727_.A (0.026:0.026:0.026) (0.024:0.024:0.024)) - (INTERCONNECT wire390.X _3729_.A (0.026:0.026:0.026) (0.024:0.024:0.024)) - (INTERCONNECT wire390.X _5212_.A (0.027:0.027:0.027) (0.024:0.024:0.024)) - (INTERCONNECT wire390.X _3377_.A (0.027:0.027:0.027) (0.025:0.025:0.025)) - (INTERCONNECT wire390.X max_cap389.A (0.027:0.027:0.027) (0.025:0.025:0.025)) - (INTERCONNECT max_cap391.X _6088_.B1 (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT max_cap391.X _6206_.B1 (0.031:0.031:0.031) (0.029:0.029:0.029)) - (INTERCONNECT max_cap391.X _6057_.B1 (0.036:0.036:0.036) (0.034:0.034:0.034)) - (INTERCONNECT max_cap391.X _6132_.B1 (0.038:0.038:0.038) (0.036:0.036:0.036)) - (INTERCONNECT max_cap391.X _6042_.A2 (0.040:0.040:0.040) (0.038:0.038:0.038)) - (INTERCONNECT max_cap391.X _6102_.B1 (0.038:0.038:0.038) (0.036:0.036:0.036)) - (INTERCONNECT max_cap391.X _6155_.B1 (0.037:0.037:0.037) (0.035:0.035:0.035)) - (INTERCONNECT max_cap392.X _6027_.D (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT max_cap392.X _6182_.B1 (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT max_cap392.X _6213_.B1 (0.039:0.039:0.039) (0.037:0.037:0.037)) - (INTERCONNECT max_cap392.X _6162_.B1 (0.044:0.044:0.044) (0.042:0.042:0.042)) - (INTERCONNECT max_cap392.X _6139_.B1 (0.049:0.049:0.049) (0.047:0.047:0.047)) - (INTERCONNECT max_cap392.X _6106_.B1 (0.050:0.050:0.050) (0.048:0.048:0.048)) - (INTERCONNECT max_cap392.X _6032_.B1 (0.053:0.053:0.053) (0.051:0.051:0.051)) - (INTERCONNECT max_cap392.X _6082_.B1 (0.052:0.052:0.052) (0.050:0.050:0.050)) - (INTERCONNECT max_cap392.X ANTENNA_187.DIODE (0.049:0.049:0.049) (0.047:0.047:0.047)) - (INTERCONNECT max_cap392.X ANTENNA_239.DIODE (0.044:0.044:0.044) (0.042:0.042:0.042)) - (INTERCONNECT max_cap393.X _6282_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT max_cap393.X _6234_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT max_cap393.X _6259_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT max_cap393.X _6309_.A2 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT max_cap393.X _6322_.B1 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT max_cap393.X _6060_.A2 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT max_cap393.X _6083_.B1 (0.033:0.033:0.033) (0.031:0.031:0.031)) - (INTERCONNECT max_cap393.X _6032_.A2 (0.034:0.034:0.034) (0.032:0.032:0.032)) - (INTERCONNECT max_cap393.X _6102_.A2 (0.034:0.034:0.034) (0.032:0.032:0.032)) - (INTERCONNECT max_cap393.X _6209_.A2 (0.034:0.034:0.034) (0.032:0.032:0.032)) - (INTERCONNECT max_cap393.X _6158_.A2 (0.034:0.034:0.034) (0.032:0.032:0.032)) - (INTERCONNECT wire394.X _6062_.B1 (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT wire394.X _6337_.B1 (0.030:0.030:0.030) (0.029:0.029:0.029)) - (INTERCONNECT wire394.X _6311_.B1 (0.031:0.031:0.031) (0.029:0.029:0.029)) - (INTERCONNECT wire394.X _6284_.B1 (0.031:0.031:0.031) (0.029:0.029:0.029)) - (INTERCONNECT wire394.X _6077_.B1 (0.034:0.034:0.034) (0.032:0.032:0.032)) - (INTERCONNECT wire394.X _6035_.B1 (0.038:0.038:0.038) (0.036:0.036:0.036)) - (INTERCONNECT wire394.X _6137_.B1 (0.038:0.038:0.038) (0.036:0.036:0.036)) - (INTERCONNECT wire394.X _6114_.B1 (0.033:0.033:0.033) (0.031:0.031:0.031)) - (INTERCONNECT wire394.X _6211_.B1 (0.034:0.034:0.034) (0.032:0.032:0.032)) - (INTERCONNECT wire394.X _6160_.B1 (0.035:0.035:0.035) (0.033:0.033:0.033)) - (INTERCONNECT wire394.X ANTENNA_188.DIODE (0.026:0.026:0.026) (0.024:0.024:0.024)) - (INTERCONNECT wire394.X ANTENNA_240.DIODE (0.030:0.030:0.030) (0.029:0.029:0.029)) - (INTERCONNECT max_cap395.X _6204_.B1 (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT max_cap395.X _6152_.B1 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT max_cap395.X _6099_.A2 (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT max_cap395.X _6055_.B1 (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT max_cap395.X _6079_.B1 (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT max_cap395.X _6025_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT max_cap395.X _6181_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT max_cap396.X _6177_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT max_cap396.X _6202_.B1 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT max_cap396.X _6111_.A2 (0.024:0.024:0.024) (0.022:0.022:0.022)) - (INTERCONNECT max_cap396.X _6151_.B1 (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT max_cap396.X _6053_.A2 (0.025:0.025:0.025) (0.023:0.023:0.023)) - (INTERCONNECT max_cap396.X _6128_.B1 (0.025:0.025:0.025) (0.023:0.023:0.023)) - (INTERCONNECT max_cap396.X _6073_.A2 (0.025:0.025:0.025) (0.023:0.023:0.023)) - (INTERCONNECT max_cap397.X _6176_.B1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT max_cap397.X _6204_.A2 (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT max_cap397.X _6152_.A2 (0.034:0.034:0.034) (0.032:0.032:0.032)) - (INTERCONNECT max_cap397.X _6107_.B1 (0.034:0.034:0.034) (0.032:0.032:0.032)) - (INTERCONNECT max_cap397.X _6130_.A2 (0.036:0.036:0.036) (0.034:0.034:0.034)) - (INTERCONNECT max_cap397.X _6055_.A2 (0.036:0.036:0.036) (0.033:0.033:0.033)) - (INTERCONNECT max_cap397.X _6074_.A2 (0.035:0.035:0.035) (0.033:0.033:0.033)) - (INTERCONNECT max_cap398.X _6005_.B1 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT max_cap398.X _6263_.A2 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT max_cap398.X _6238_.A2 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT max_cap398.X _6064_.A2 (0.026:0.026:0.026) (0.024:0.024:0.024)) - (INTERCONNECT max_cap398.X _6326_.A2 (0.031:0.031:0.031) (0.029:0.029:0.029)) - (INTERCONNECT max_cap398.X _6286_.A2 (0.031:0.031:0.031) (0.029:0.029:0.029)) - (INTERCONNECT max_cap398.X _6313_.A2 (0.031:0.031:0.031) (0.029:0.029:0.029)) - (INTERCONNECT max_cap399.X _5999_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT max_cap399.X _6087_.A2 (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT max_cap399.X _6203_.A2 (0.031:0.031:0.031) (0.029:0.029:0.029)) - (INTERCONNECT max_cap399.X _6175_.A2 (0.037:0.037:0.037) (0.035:0.035:0.035)) - (INTERCONNECT max_cap399.X _6153_.A2 (0.038:0.038:0.038) (0.036:0.036:0.036)) - (INTERCONNECT max_cap399.X _6054_.A2 (0.040:0.040:0.040) (0.037:0.037:0.037)) - (INTERCONNECT max_cap399.X _6104_.B1 (0.040:0.040:0.040) (0.037:0.037:0.037)) - (INTERCONNECT max_cap400.X _6092_.A2 (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT max_cap400.X _6308_.A2 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT max_cap400.X _6050_.A2 (0.026:0.026:0.026) (0.024:0.024:0.024)) - (INTERCONNECT max_cap400.X _6134_.A2 (0.038:0.038:0.038) (0.036:0.036:0.036)) - (INTERCONNECT max_cap400.X _5985_.B1 (0.040:0.040:0.040) (0.038:0.038:0.038)) - (INTERCONNECT max_cap400.X _6117_.A2 (0.042:0.042:0.042) (0.039:0.039:0.039)) - (INTERCONNECT max_cap400.X _6157_.A2 (0.043:0.043:0.043) (0.040:0.040:0.040)) - (INTERCONNECT max_cap400.X _6208_.A2 (0.043:0.043:0.043) (0.040:0.040:0.040)) - (INTERCONNECT max_cap401.X _6027_.A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT max_cap401.X _6185_.A2 (0.028:0.028:0.028) (0.026:0.026:0.026)) - (INTERCONNECT max_cap401.X _6215_.A2 (0.040:0.040:0.040) (0.038:0.038:0.038)) - (INTERCONNECT max_cap401.X _6065_.A2 (0.042:0.042:0.042) (0.040:0.040:0.040)) - (INTERCONNECT max_cap401.X _6164_.A2 (0.048:0.048:0.048) (0.046:0.046:0.046)) - (INTERCONNECT max_cap401.X _6105_.A2 (0.051:0.051:0.051) (0.049:0.049:0.049)) - (INTERCONNECT max_cap401.X _6081_.A2 (0.051:0.051:0.051) (0.049:0.049:0.049)) - (INTERCONNECT max_cap401.X _5981_.B1 (0.053:0.053:0.053) (0.051:0.051:0.051)) - (INTERCONNECT max_cap401.X _6141_.A2 (0.053:0.053:0.053) (0.051:0.051:0.051)) - (INTERCONNECT max_cap402.X _6059_.B1 (0.030:0.030:0.030) (0.029:0.029:0.029)) - (INTERCONNECT max_cap402.X _6271_.B1 (0.044:0.044:0.044) (0.042:0.042:0.042)) - (INTERCONNECT max_cap402.X _6320_.B1 (0.053:0.053:0.053) (0.051:0.051:0.051)) - (INTERCONNECT max_cap402.X _6296_.B1 (0.055:0.055:0.055) (0.053:0.053:0.053)) - (INTERCONNECT max_cap402.X _6221_.B1 (0.059:0.059:0.059) (0.056:0.056:0.056)) - (INTERCONNECT max_cap402.X _6246_.B1 (0.059:0.059:0.059) (0.056:0.056:0.056)) - (INTERCONNECT max_cap402.X _6123_.B1 (0.062:0.062:0.062) (0.060:0.060:0.060)) - (INTERCONNECT max_cap402.X _6075_.B1 (0.062:0.062:0.062) (0.060:0.060:0.060)) - (INTERCONNECT max_cap402.X _5986_.B1 (0.064:0.064:0.064) (0.061:0.061:0.061)) - (INTERCONNECT max_cap402.X _6100_.B1 (0.066:0.066:0.066) (0.063:0.063:0.063)) - (INTERCONNECT max_cap402.X _6197_.B1 (0.067:0.067:0.067) (0.064:0.064:0.064)) - (INTERCONNECT max_cap402.X _6147_.B1 (0.067:0.067:0.067) (0.064:0.064:0.064)) - (INTERCONNECT max_cap403.X _6059_.A2 (0.029:0.029:0.029) (0.027:0.027:0.027)) - (INTERCONNECT max_cap403.X _6271_.A2 (0.044:0.044:0.044) (0.041:0.041:0.041)) - (INTERCONNECT max_cap403.X _6320_.A2 (0.050:0.050:0.050) (0.047:0.047:0.047)) - (INTERCONNECT max_cap403.X _6296_.A2 (0.051:0.051:0.051) (0.049:0.049:0.049)) - (INTERCONNECT max_cap403.X _6221_.A2 (0.054:0.054:0.054) (0.052:0.052:0.052)) - (INTERCONNECT max_cap403.X _6246_.A2 (0.055:0.055:0.055) (0.053:0.053:0.053)) - (INTERCONNECT max_cap403.X _6123_.A2 (0.058:0.058:0.058) (0.056:0.056:0.056)) - (INTERCONNECT max_cap403.X _6075_.A2 (0.058:0.058:0.058) (0.056:0.056:0.056)) - (INTERCONNECT max_cap403.X _5986_.A2 (0.060:0.060:0.060) (0.057:0.057:0.057)) - (INTERCONNECT max_cap403.X _6100_.A2 (0.061:0.061:0.061) (0.058:0.058:0.058)) - (INTERCONNECT max_cap403.X _6197_.A2 (0.061:0.061:0.061) (0.058:0.058:0.058)) - (INTERCONNECT max_cap403.X _6147_.A2 (0.061:0.061:0.061) (0.058:0.058:0.058)) - (INTERCONNECT max_cap404.X _6173_.B1 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT max_cap404.X _6212_.B1 (0.040:0.040:0.040) (0.038:0.038:0.038)) - (INTERCONNECT max_cap404.X _6161_.B1 (0.043:0.043:0.043) (0.040:0.040:0.040)) - (INTERCONNECT max_cap404.X _6103_.B1 (0.046:0.046:0.046) (0.043:0.043:0.043)) - (INTERCONNECT max_cap404.X _6078_.B1 (0.046:0.046:0.046) (0.044:0.044:0.044)) - (INTERCONNECT max_cap404.X _6041_.B1 (0.048:0.048:0.048) (0.045:0.045:0.045)) - (INTERCONNECT max_cap404.X _6138_.B1 (0.048:0.048:0.048) (0.045:0.045:0.045)) - (INTERCONNECT max_cap405.X _6076_.B1 (0.039:0.039:0.039) (0.038:0.038:0.038)) - (INTERCONNECT max_cap405.X _6215_.B1 (0.041:0.041:0.041) (0.040:0.040:0.040)) - (INTERCONNECT max_cap405.X _6065_.B1 (0.058:0.058:0.058) (0.057:0.057:0.057)) - (INTERCONNECT max_cap405.X _6329_.B1 (0.055:0.055:0.055) (0.054:0.054:0.054)) - (INTERCONNECT max_cap405.X _6113_.B1 (0.063:0.063:0.063) (0.062:0.062:0.062)) - (INTERCONNECT max_cap405.X _6164_.B1 (0.065:0.065:0.065) (0.064:0.064:0.064)) - (INTERCONNECT max_cap405.X _6037_.B1 (0.063:0.063:0.063) (0.062:0.062:0.062)) - (INTERCONNECT max_cap405.X _6141_.B1 (0.062:0.062:0.062) (0.061:0.061:0.061)) - (INTERCONNECT max_cap405.X ANTENNA_241.DIODE (0.055:0.055:0.055) (0.054:0.054:0.054)) - (INTERCONNECT max_cap406.X _6188_.B1 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT max_cap406.X _6088_.A2 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT max_cap406.X _6056_.B1 (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT max_cap406.X _6034_.B1 (0.032:0.032:0.032) (0.030:0.030:0.030)) - (INTERCONNECT max_cap406.X _6131_.B1 (0.030:0.030:0.030) (0.029:0.029:0.029)) - (INTERCONNECT max_cap406.X _6205_.B1 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT max_cap406.X _6101_.B1 (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT max_cap406.X _6154_.B1 (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT max_cap406.X ANTENNA_189.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT max_cap407.X _6025_.D (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT max_cap407.X _6173_.A2 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT max_cap407.X _6200_.B1 (0.036:0.036:0.036) (0.034:0.034:0.034)) - (INTERCONNECT max_cap407.X _6067_.B1 (0.041:0.041:0.041) (0.039:0.039:0.039)) - (INTERCONNECT max_cap407.X _6078_.A2 (0.044:0.044:0.044) (0.042:0.042:0.042)) - (INTERCONNECT max_cap407.X _6041_.A2 (0.045:0.045:0.045) (0.043:0.043:0.043)) - (INTERCONNECT max_cap407.X _6126_.B1 (0.045:0.045:0.045) (0.043:0.043:0.043)) - (INTERCONNECT max_cap407.X _6118_.A2 (0.040:0.040:0.040) (0.038:0.038:0.038)) - (INTERCONNECT max_cap407.X _6166_.B1 (0.039:0.039:0.039) (0.037:0.037:0.037)) - (INTERCONNECT max_cap408.X _6223_.B1 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT max_cap408.X _6250_.B1 (0.025:0.025:0.025) (0.023:0.023:0.023)) - (INTERCONNECT max_cap408.X _6274_.B1 (0.029:0.029:0.029) (0.027:0.027:0.027)) - (INTERCONNECT max_cap408.X _6299_.B1 (0.038:0.038:0.038) (0.035:0.035:0.035)) - (INTERCONNECT max_cap408.X _6323_.B1 (0.048:0.048:0.048) (0.045:0.045:0.045)) - (INTERCONNECT max_cap408.X _6125_.B1 (0.059:0.059:0.059) (0.056:0.056:0.056)) - (INTERCONNECT max_cap408.X _6073_.B1 (0.061:0.061:0.061) (0.059:0.059:0.059)) - (INTERCONNECT max_cap408.X _6051_.B1 (0.063:0.063:0.063) (0.060:0.060:0.060)) - (INTERCONNECT max_cap408.X _6037_.A2 (0.064:0.064:0.064) (0.061:0.061:0.061)) - (INTERCONNECT max_cap408.X _6098_.B1 (0.064:0.064:0.064) (0.061:0.061:0.061)) - (INTERCONNECT max_cap408.X _6149_.B1 (0.065:0.065:0.065) (0.062:0.062:0.062)) - (INTERCONNECT max_cap408.X _6198_.B1 (0.065:0.065:0.065) (0.062:0.062:0.062)) - (INTERCONNECT max_cap409.X _6024_.D (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT max_cap409.X _6172_.B1 (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT max_cap409.X _6061_.B1 (0.036:0.036:0.036) (0.034:0.034:0.034)) - (INTERCONNECT max_cap409.X _6113_.A2 (0.037:0.037:0.037) (0.035:0.035:0.035)) - (INTERCONNECT max_cap409.X _6082_.A2 (0.042:0.042:0.042) (0.040:0.040:0.040)) - (INTERCONNECT max_cap409.X _6035_.A2 (0.043:0.043:0.043) (0.041:0.041:0.041)) - (INTERCONNECT max_cap409.X _6136_.B1 (0.043:0.043:0.043) (0.041:0.041:0.041)) - (INTERCONNECT max_cap409.X _6210_.B1 (0.030:0.030:0.030) (0.029:0.029:0.029)) - (INTERCONNECT max_cap409.X _6159_.B1 (0.040:0.040:0.040) (0.037:0.037:0.037)) - (INTERCONNECT max_cap409.X ANTENNA_190.DIODE (0.040:0.040:0.040) (0.037:0.037:0.037)) - (INTERCONNECT max_cap410.X _6024_.C (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT max_cap410.X _6184_.B1 (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT max_cap410.X _6090_.A2 (0.029:0.029:0.029) (0.027:0.027:0.027)) - (INTERCONNECT max_cap410.X _6203_.B1 (0.037:0.037:0.037) (0.036:0.036:0.036)) - (INTERCONNECT max_cap410.X _6329_.A2 (0.055:0.055:0.055) (0.053:0.053:0.053)) - (INTERCONNECT max_cap410.X _6129_.B1 (0.054:0.054:0.054) (0.052:0.052:0.052)) - (INTERCONNECT max_cap410.X _6031_.A2 (0.056:0.056:0.056) (0.055:0.055:0.055)) - (INTERCONNECT max_cap410.X _6054_.B1 (0.054:0.054:0.054) (0.053:0.053:0.053)) - (INTERCONNECT max_cap410.X _6111_.B1 (0.049:0.049:0.049) (0.048:0.048:0.048)) - (INTERCONNECT max_cap410.X _6153_.B1 (0.050:0.050:0.050) (0.049:0.049:0.049)) - (INTERCONNECT max_cap410.X ANTENNA_191.DIODE (0.054:0.054:0.054) (0.052:0.052:0.052)) - (INTERCONNECT max_cap410.X ANTENNA_192.DIODE (0.054:0.054:0.054) (0.053:0.053:0.053)) - (INTERCONNECT max_cap411.X _6230_.A2 (0.033:0.033:0.033) (0.032:0.032:0.032)) - (INTERCONNECT max_cap411.X _6273_.A2 (0.033:0.033:0.033) (0.032:0.032:0.032)) - (INTERCONNECT max_cap411.X _6255_.A2 (0.033:0.033:0.033) (0.031:0.031:0.031)) - (INTERCONNECT max_cap411.X _6305_.A2 (0.032:0.032:0.032) (0.030:0.030:0.030)) - (INTERCONNECT max_cap411.X _6336_.A2 (0.032:0.032:0.032) (0.030:0.030:0.030)) - (INTERCONNECT max_cap411.X _6188_.A2 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT max_cap411.X _6087_.B1 (0.025:0.025:0.025) (0.023:0.023:0.023)) - (INTERCONNECT max_cap411.X _6205_.A2 (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT max_cap411.X _6056_.A2 (0.039:0.039:0.039) (0.038:0.038:0.038)) - (INTERCONNECT max_cap411.X _6043_.A2 (0.043:0.043:0.043) (0.041:0.041:0.041)) - (INTERCONNECT max_cap411.X _6131_.A2 (0.042:0.042:0.042) (0.040:0.040:0.040)) - (INTERCONNECT max_cap411.X _6098_.A2 (0.038:0.038:0.038) (0.036:0.036:0.036)) - (INTERCONNECT max_cap411.X _6154_.A2 (0.037:0.037:0.037) (0.036:0.036:0.036)) - (INTERCONNECT wire412.X _6187_.B1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT wire412.X _6091_.B1 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT wire412.X _6260_.A2 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT wire412.X _6235_.A2 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT wire412.X _6061_.A2 (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT wire412.X _6333_.B1 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT wire412.X _6310_.A2 (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT wire412.X _6283_.A2 (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT wire412.X _6210_.A2 (0.032:0.032:0.032) (0.031:0.031:0.031)) - (INTERCONNECT wire412.X _6159_.A2 (0.039:0.039:0.039) (0.037:0.037:0.037)) - (INTERCONNECT wire412.X _6115_.B1 (0.044:0.044:0.044) (0.041:0.041:0.041)) - (INTERCONNECT wire412.X _6034_.A2 (0.047:0.047:0.047) (0.044:0.044:0.044)) - (INTERCONNECT wire412.X _6136_.A2 (0.047:0.047:0.047) (0.043:0.043:0.043)) - (INTERCONNECT wire412.X ANTENNA_193.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT wire412.X ANTENNA_242.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT max_cap413.X _6124_.B1 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT max_cap413.X _6067_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT max_cap413.X _6199_.B1 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT max_cap413.X _6174_.B1 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT max_cap413.X _6106_.A2 (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT max_cap413.X _6166_.A2 (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT max_cap414.X _6261_.A2 (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT max_cap414.X _6236_.A2 (0.024:0.024:0.024) (0.022:0.022:0.022)) - (INTERCONNECT max_cap414.X _6340_.A2 (0.027:0.027:0.027) (0.025:0.025:0.025)) - (INTERCONNECT max_cap414.X _6311_.A2 (0.030:0.030:0.030) (0.028:0.028:0.028)) - (INTERCONNECT max_cap414.X _6284_.A2 (0.030:0.030:0.030) (0.028:0.028:0.028)) - (INTERCONNECT max_cap414.X _6004_.B1 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT max_cap414.X _6062_.A2 (0.030:0.030:0.030) (0.028:0.028:0.028)) - (INTERCONNECT max_cap415.X _6024_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT max_cap415.X _6184_.A2 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT max_cap415.X _6214_.B1 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT max_cap415.X _6048_.B1 (0.030:0.030:0.030) (0.028:0.028:0.028)) - (INTERCONNECT max_cap415.X _6140_.B1 (0.037:0.037:0.037) (0.035:0.035:0.035)) - (INTERCONNECT max_cap415.X _6081_.B1 (0.037:0.037:0.037) (0.034:0.034:0.034)) - (INTERCONNECT max_cap415.X _6104_.A2 (0.036:0.036:0.036) (0.034:0.034:0.034)) - (INTERCONNECT max_cap415.X _6163_.B1 (0.037:0.037:0.037) (0.035:0.035:0.035)) - (INTERCONNECT max_cap416.X _6258_.B1 (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT max_cap416.X _6338_.B1 (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT max_cap416.X _6233_.B1 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT max_cap416.X _6281_.B1 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT max_cap416.X _6308_.B1 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT max_cap416.X _5993_.A2 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT max_cap416.X _6092_.B1 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT max_cap416.X _6050_.B1 (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT max_cap416.X _6134_.B1 (0.037:0.037:0.037) (0.035:0.035:0.035)) - (INTERCONNECT max_cap416.X _6117_.B1 (0.039:0.039:0.039) (0.036:0.036:0.036)) - (INTERCONNECT max_cap416.X _6157_.B1 (0.040:0.040:0.040) (0.037:0.037:0.037)) - (INTERCONNECT max_cap416.X _6208_.B1 (0.040:0.040:0.040) (0.037:0.037:0.037)) - (INTERCONNECT max_cap417.X _6024_.A (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT max_cap417.X _6190_.A2 (0.039:0.039:0.039) (0.037:0.037:0.037)) - (INTERCONNECT max_cap417.X _6063_.A2 (0.051:0.051:0.051) (0.050:0.050:0.050)) - (INTERCONNECT max_cap417.X _6138_.A2 (0.055:0.055:0.055) (0.054:0.054:0.054)) - (INTERCONNECT max_cap417.X _6337_.A2 (0.055:0.055:0.055) (0.055:0.055:0.055)) - (INTERCONNECT max_cap417.X _6285_.A2 (0.056:0.056:0.056) (0.055:0.055:0.055)) - (INTERCONNECT max_cap417.X _6312_.A2 (0.056:0.056:0.056) (0.055:0.055:0.055)) - (INTERCONNECT max_cap417.X _6212_.A2 (0.048:0.048:0.048) (0.047:0.047:0.047)) - (INTERCONNECT max_cap417.X _6161_.A2 (0.052:0.052:0.052) (0.051:0.051:0.051)) - (INTERCONNECT max_cap417.X _6101_.A2 (0.056:0.056:0.056) (0.055:0.055:0.055)) - (INTERCONNECT max_cap417.X _5985_.A2 (0.057:0.057:0.057) (0.056:0.056:0.056)) - (INTERCONNECT max_cap417.X _6083_.A2 (0.057:0.057:0.057) (0.056:0.056:0.056)) - (INTERCONNECT max_cap418.X _5698_.A2 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT max_cap418.X _5865_.B1 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT max_cap418.X _5907_.A2 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT max_cap418.X _5888_.A2 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT max_cap418.X _5934_.B1 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT max_cap418.X _5966_.B1 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT max_cap418.X _5721_.B1 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT max_cap418.X _5742_.B1 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT max_cap418.X ANTENNA_194.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT max_cap418.X ANTENNA_195.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT max_cap419.X _5829_.B1 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT max_cap419.X _5851_.B1 (0.028:0.028:0.028) (0.026:0.026:0.026)) - (INTERCONNECT max_cap419.X _5691_.B (0.032:0.032:0.032) (0.030:0.030:0.030)) - (INTERCONNECT max_cap419.X _5722_.B1 (0.033:0.033:0.033) (0.031:0.031:0.031)) - (INTERCONNECT max_cap419.X _5796_.B1 (0.038:0.038:0.038) (0.035:0.035:0.035)) - (INTERCONNECT max_cap419.X _5744_.B2 (0.039:0.039:0.039) (0.036:0.036:0.036)) - (INTERCONNECT max_cap419.X _5783_.A2 (0.040:0.040:0.040) (0.037:0.037:0.037)) - (INTERCONNECT max_cap419.X _5768_.A2 (0.039:0.039:0.039) (0.037:0.037:0.037)) - (INTERCONNECT max_cap420.X _5831_.B1 (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT max_cap420.X _5848_.B1 (0.035:0.035:0.035) (0.033:0.033:0.033)) - (INTERCONNECT max_cap420.X _5747_.A2 (0.043:0.043:0.043) (0.041:0.041:0.041)) - (INTERCONNECT max_cap420.X _5775_.B1 (0.051:0.051:0.051) (0.048:0.048:0.048)) - (INTERCONNECT max_cap420.X _5722_.A2 (0.046:0.046:0.046) (0.044:0.044:0.044)) - (INTERCONNECT max_cap420.X _5756_.B1 (0.048:0.048:0.048) (0.045:0.045:0.045)) - (INTERCONNECT max_cap420.X _5809_.B1 (0.049:0.049:0.049) (0.047:0.047:0.047)) - (INTERCONNECT max_cap420.X ANTENNA_196.DIODE (0.043:0.043:0.043) (0.041:0.041:0.041)) - (INTERCONNECT max_cap420.X ANTENNA_197.DIODE (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT max_cap420.X ANTENNA_198.DIODE (0.035:0.035:0.035) (0.033:0.033:0.033)) - (INTERCONNECT max_cap421.X _5863_.A2 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT max_cap421.X _5892_.A2 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT max_cap421.X _5941_.A2 (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT max_cap421.X _5922_.B1 (0.025:0.025:0.025) (0.023:0.023:0.023)) - (INTERCONNECT max_cap421.X _5955_.A2 (0.025:0.025:0.025) (0.023:0.023:0.023)) - (INTERCONNECT max_cap421.X _5673_.B1 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT max_cap421.X _5720_.A2 (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT max_cap421.X _5778_.A2 (0.026:0.026:0.026) (0.024:0.024:0.024)) - (INTERCONNECT max_cap421.X _5745_.A2 (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT max_cap421.X _5764_.A2 (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT max_cap422.X _5831_.A2 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT max_cap422.X _5846_.A2 (0.032:0.032:0.032) (0.030:0.030:0.030)) - (INTERCONNECT max_cap422.X _5809_.A2 (0.035:0.035:0.035) (0.033:0.033:0.033)) - (INTERCONNECT max_cap422.X _5756_.A2 (0.034:0.034:0.034) (0.032:0.032:0.032)) - (INTERCONNECT max_cap422.X _5735_.A2 (0.037:0.037:0.037) (0.035:0.035:0.035)) - (INTERCONNECT max_cap422.X _5662_.B1 (0.039:0.039:0.039) (0.036:0.036:0.036)) - (INTERCONNECT max_cap422.X _5775_.A2 (0.039:0.039:0.039) (0.036:0.036:0.036)) - (INTERCONNECT max_cap423.X _6182_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT max_cap423.X _6214_.A2 (0.025:0.025:0.025) (0.023:0.023:0.023)) - (INTERCONNECT max_cap423.X _6323_.A2 (0.041:0.041:0.041) (0.039:0.039:0.039)) - (INTERCONNECT max_cap423.X _6048_.A2 (0.038:0.038:0.038) (0.036:0.036:0.036)) - (INTERCONNECT max_cap423.X _6163_.A2 (0.048:0.048:0.048) (0.046:0.046:0.046)) - (INTERCONNECT max_cap423.X _6115_.A2 (0.048:0.048:0.048) (0.045:0.045:0.045)) - (INTERCONNECT max_cap423.X _6140_.A2 (0.046:0.046:0.046) (0.044:0.044:0.044)) - (INTERCONNECT max_cap423.X _6080_.A2 (0.047:0.047:0.047) (0.045:0.045:0.045)) - (INTERCONNECT fanout424.X _4788_.B1 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout424.X _4805_.A3 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout424.X _4636_.B2 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout424.X _4637_.B1 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout424.X _4640_.B1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout424.X _4641_.B2 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout424.X _4789_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout424.X _4799_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout424.X _5154_.A1 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout424.X _5076_.B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout424.X _4791_.B1 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout424.X _4816_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout424.X _4590_.A (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout424.X _4796_.A1_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout424.X _4787_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout424.X _4645_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout424.X _4976_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout424.X _4911_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout424.X _5013_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout424.X _4777_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout425.X _4129_.B (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout425.X _4034_.B (0.022:0.022:0.022) (0.020:0.020:0.020)) - (INTERCONNECT fanout425.X _5184_.B (0.025:0.025:0.025) (0.023:0.023:0.023)) - (INTERCONNECT fanout425.X _5193_.B (0.025:0.025:0.025) (0.023:0.023:0.023)) - (INTERCONNECT fanout425.X _5210_.B (0.025:0.025:0.025) (0.023:0.023:0.023)) - (INTERCONNECT fanout425.X _4046_.B (0.024:0.024:0.024) (0.022:0.022:0.022)) - (INTERCONNECT fanout425.X _4306_.B (0.021:0.021:0.021) (0.019:0.019:0.019)) - (INTERCONNECT fanout425.X _6392_.B (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT fanout425.X _5187_.B (0.025:0.025:0.025) (0.023:0.023:0.023)) - (INTERCONNECT fanout425.X _5529_.B (0.023:0.023:0.023) (0.021:0.021:0.021)) - (INTERCONNECT fanout425.X _4294_.B (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT fanout425.X _4282_.B (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout425.X _4204_.B (0.024:0.024:0.024) (0.022:0.022:0.022)) - (INTERCONNECT fanout425.X _4147_.B (0.024:0.024:0.024) (0.022:0.022:0.022)) - (INTERCONNECT fanout425.X _4135_.B (0.024:0.024:0.024) (0.022:0.022:0.022)) - (INTERCONNECT fanout425.X _4318_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout425.X _5229_.B (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT fanout425.X _3992_.B (0.025:0.025:0.025) (0.023:0.023:0.023)) - (INTERCONNECT fanout425.X _3983_.B (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT fanout425.X _5200_.B (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT fanout426.X fanout425.A (0.023:0.023:0.023) (0.021:0.021:0.021)) - (INTERCONNECT fanout426.X _4330_.B (0.025:0.025:0.025) (0.023:0.023:0.023)) - (INTERCONNECT fanout426.X _5213_.B1 (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT fanout426.X _4312_.B (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT fanout426.X _4016_.B (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT fanout426.X _4040_.B (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT fanout426.X _4028_.B (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT fanout426.X _4174_.B (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT fanout426.X _4010_.B (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT fanout426.X _4022_.B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout426.X _4240_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout426.X _4246_.B (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout426.X _4070_.C1 (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT fanout426.X _4210_.B (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT fanout426.X _4252_.B (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT fanout427.X fanout426.A (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT fanout427.X _5222_.C1 (0.099:0.099:0.099) (0.093:0.093:0.093)) - (INTERCONNECT fanout427.X _4264_.B (0.089:0.089:0.089) (0.083:0.083:0.083)) - (INTERCONNECT fanout427.X _4324_.B (0.099:0.099:0.099) (0.092:0.092:0.092)) - (INTERCONNECT fanout427.X _3966_.B (0.100:0.100:0.100) (0.093:0.093:0.093)) - (INTERCONNECT fanout427.X _5421_.B (0.099:0.099:0.099) (0.092:0.092:0.092)) - (INTERCONNECT fanout427.X _5466_.B (0.101:0.101:0.101) (0.094:0.094:0.094)) - (INTERCONNECT fanout427.X _5535_.B (0.107:0.107:0.107) (0.100:0.100:0.100)) - (INTERCONNECT fanout427.X _5430_.B (0.107:0.107:0.107) (0.099:0.099:0.099)) - (INTERCONNECT fanout427.X _5457_.B (0.110:0.110:0.110) (0.102:0.102:0.102)) - (INTERCONNECT fanout427.X _5484_.B (0.105:0.105:0.105) (0.097:0.097:0.097)) - (INTERCONNECT fanout427.X _5511_.B (0.099:0.099:0.099) (0.092:0.092:0.092)) - (INTERCONNECT fanout427.X _5250_.B (0.085:0.085:0.085) (0.079:0.079:0.079)) - (INTERCONNECT fanout427.X _5205_.B (0.108:0.108:0.108) (0.100:0.100:0.100)) - (INTERCONNECT fanout427.X _5214_.B (0.098:0.098:0.098) (0.091:0.091:0.091)) - (INTERCONNECT fanout427.X _5448_.B (0.054:0.054:0.054) (0.050:0.050:0.050)) - (INTERCONNECT fanout427.X _5412_.B (0.109:0.109:0.109) (0.101:0.101:0.101)) - (INTERCONNECT fanout427.X _4001_.B (0.081:0.081:0.081) (0.075:0.075:0.075)) - (INTERCONNECT fanout427.X _5225_.B (0.086:0.086:0.086) (0.080:0.080:0.080)) - (INTERCONNECT fanout427.X _5340_.B (0.068:0.068:0.068) (0.062:0.062:0.062)) - (INTERCONNECT fanout428.X _5313_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout428.X _5286_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout428.X hold114.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout429.X _4159_.B (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT fanout429.X _4198_.B (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT fanout429.X _4216_.B (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT fanout429.X _4053_.C1 (0.019:0.019:0.019) (0.017:0.017:0.017)) - (INTERCONNECT fanout429.X _4087_.C1 (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT fanout429.X _4222_.B (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout429.X _4258_.B (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT fanout429.X _4276_.B (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout429.X _4288_.B (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout429.X _5223_.B (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT fanout429.X _4141_.B (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout429.X _4153_.B (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT fanout429.X _4234_.B (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT fanout429.X _4104_.B (0.018:0.018:0.018) (0.016:0.016:0.016)) - (INTERCONNECT fanout429.X _4300_.B (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT fanout429.X _4270_.B (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout429.X _5580_.B (0.038:0.038:0.038) (0.034:0.034:0.034)) - (INTERCONNECT fanout429.X _5376_.B (0.039:0.039:0.039) (0.035:0.035:0.035)) - (INTERCONNECT fanout429.X _5304_.B (0.037:0.037:0.037) (0.034:0.034:0.034)) - (INTERCONNECT fanout429.X _5358_.B (0.040:0.040:0.040) (0.035:0.035:0.035)) - (INTERCONNECT max_cap430.X hold113.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT wire431.X _3911_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT wire431.X _3888_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT wire431.X _6345_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT wire431.X _3889_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout432.X _4597_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout432.X _5089_.B2 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout432.X _4615_.B1 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT fanout432.X _4620_.B (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT fanout432.X _4625_.A (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout432.X _4630_.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout432.X _4635_.B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout432.X _4636_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout432.X _4908_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout432.X _4920_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout432.X _5077_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout432.X _5154_.A2 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout432.X _4613_.B (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT fanout432.X _5021_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout432.X _4974_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout432.X _4672_.B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout432.X _4855_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout432.X _5052_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout432.X _4671_.B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout432.X _4686_.B (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT max_cap433.X _6363_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT max_cap433.X _6367_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT max_cap433.X _6370_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT max_cap433.X _6375_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT max_cap433.X _6373_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT max_cap433.X _6378_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT max_cap433.X _6382_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT max_cap433.X _6390_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT max_cap433.X _6385_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout434.X _5519_.A0 (0.043:0.043:0.043) (0.041:0.041:0.041)) - (INTERCONNECT fanout434.X _5474_.A1 (0.043:0.043:0.043) (0.040:0.040:0.040)) - (INTERCONNECT fanout434.X _5543_.A1 (0.040:0.040:0.040) (0.037:0.037:0.037)) - (INTERCONNECT fanout434.X _5429_.A0 (0.042:0.042:0.042) (0.039:0.039:0.039)) - (INTERCONNECT fanout434.X _5465_.A0 (0.033:0.033:0.033) (0.031:0.031:0.031)) - (INTERCONNECT fanout434.X _5492_.A0 (0.043:0.043:0.043) (0.040:0.040:0.040)) - (INTERCONNECT fanout434.X _4000_.A1 (0.048:0.048:0.048) (0.045:0.045:0.045)) - (INTERCONNECT fanout434.X _3991_.A1 (0.047:0.047:0.047) (0.044:0.044:0.044)) - (INTERCONNECT fanout434.X _3982_.A1 (0.047:0.047:0.047) (0.044:0.044:0.044)) - (INTERCONNECT fanout434.X _4009_.A0 (0.043:0.043:0.043) (0.040:0.040:0.040)) - (INTERCONNECT fanout434.X _4085_.A1 (0.035:0.035:0.035) (0.033:0.033:0.033)) - (INTERCONNECT fanout434.X _5438_.A0 (0.043:0.043:0.043) (0.041:0.041:0.041)) - (INTERCONNECT fanout434.X _4128_.A0 (0.036:0.036:0.036) (0.034:0.034:0.034)) - (INTERCONNECT fanout434.X _5420_.A0 (0.043:0.043:0.043) (0.041:0.041:0.041)) - (INTERCONNECT fanout434.X _5258_.A1 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout434.X _5402_.A1 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout434.X _5276_.A0 (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT fanout434.X _5552_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout434.X _5393_.A0 (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT fanout434.X _5357_.A0 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout434.X ANTENNA_199.DIODE (0.043:0.043:0.043) (0.041:0.041:0.041)) - (INTERCONNECT fanout435.X _5312_.A0 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout435.X _5366_.A0 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout435.X _5339_.A0 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout435.X _5375_.A0 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout435.X _5321_.A0 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT fanout435.X _5411_.A0 (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT fanout435.X _5267_.A0 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout435.X _5285_.A0 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout435.X _5501_.A0 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT fanout435.X _5348_.A0 (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT fanout435.X _5303_.A0 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout435.X _5570_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout435.X _5447_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout435.X _5510_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout435.X _5330_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout435.X _5579_.A0 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout435.X _5294_.A0 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout435.X _5561_.A0 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout435.X _5528_.A0 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout435.X _5240_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout436.X hold40.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout437.X _5428_.A0 (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT fanout437.X _5473_.A1 (0.030:0.030:0.030) (0.028:0.028:0.028)) - (INTERCONNECT fanout437.X _5542_.A1 (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT fanout437.X _5518_.A0 (0.031:0.031:0.031) (0.029:0.029:0.029)) - (INTERCONNECT fanout437.X _5491_.A0 (0.030:0.030:0.030) (0.028:0.028:0.028)) - (INTERCONNECT fanout437.X _5437_.A0 (0.031:0.031:0.031) (0.029:0.029:0.029)) - (INTERCONNECT fanout437.X _5219_.A0 (0.032:0.032:0.032) (0.030:0.030:0.030)) - (INTERCONNECT fanout437.X _3980_.A1 (0.032:0.032:0.032) (0.030:0.030:0.030)) - (INTERCONNECT fanout437.X _5482_.A0 (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT fanout437.X _4008_.A0 (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT fanout437.X _5587_.A0 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT fanout437.X _5383_.A0 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT fanout437.X _5464_.A0 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout437.X _5455_.A0 (0.032:0.032:0.032) (0.030:0.030:0.030)) - (INTERCONNECT fanout437.X _5410_.A0 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT fanout437.X _5257_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout437.X _5446_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout437.X _5509_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout437.X _5392_.A0 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT fanout437.X _5275_.A0 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout438.X _5302_.A0 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout438.X _5347_.A0 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout438.X _5356_.A0 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout438.X _5560_.A0 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout438.X _5311_.A0 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout438.X _5338_.A0 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout438.X _5551_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout438.X _5527_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout438.X _5266_.A0 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout438.X _5578_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout439.X fanout437.A (0.093:0.093:0.093) (0.088:0.088:0.088)) - (INTERCONNECT fanout439.X fanout438.A (0.089:0.089:0.089) (0.084:0.084:0.084)) - (INTERCONNECT fanout439.X _4127_.A0 (0.118:0.118:0.118) (0.111:0.111:0.111)) - (INTERCONNECT fanout439.X _4083_.A1 (0.118:0.118:0.118) (0.111:0.111:0.111)) - (INTERCONNECT fanout439.X _3999_.A1 (0.115:0.115:0.115) (0.108:0.108:0.108)) - (INTERCONNECT fanout439.X _3990_.A1 (0.111:0.111:0.111) (0.104:0.104:0.104)) - (INTERCONNECT fanout439.X _5365_.A0 (0.080:0.080:0.080) (0.075:0.075:0.075)) - (INTERCONNECT fanout439.X _5419_.A0 (0.070:0.070:0.070) (0.066:0.066:0.066)) - (INTERCONNECT fanout439.X _5293_.A0 (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT fanout439.X _5320_.A0 (0.057:0.057:0.057) (0.053:0.053:0.053)) - (INTERCONNECT fanout439.X _5374_.A0 (0.077:0.077:0.077) (0.072:0.072:0.072)) - (INTERCONNECT fanout439.X _5401_.A1 (0.081:0.081:0.081) (0.076:0.076:0.076)) - (INTERCONNECT fanout439.X _5569_.A0 (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT fanout439.X _5284_.A0 (0.067:0.067:0.067) (0.063:0.063:0.063)) - (INTERCONNECT fanout439.X _5248_.A0 (0.030:0.030:0.030) (0.028:0.028:0.028)) - (INTERCONNECT fanout439.X _5239_.A0 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout439.X _4118_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout439.X _4100_.A1 (0.036:0.036:0.036) (0.034:0.034:0.034)) - (INTERCONNECT fanout439.X _4066_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout439.X _5500_.A0 (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT fanout439.X ANTENNA_200.DIODE (0.118:0.118:0.118) (0.111:0.111:0.111)) - (INTERCONNECT fanout439.X ANTENNA_201.DIODE (0.118:0.118:0.118) (0.111:0.111:0.111)) - (INTERCONNECT fanout439.X ANTENNA_243.DIODE (0.115:0.115:0.115) (0.108:0.108:0.108)) - (INTERCONNECT fanout439.X ANTENNA_263.DIODE (0.111:0.111:0.111) (0.104:0.104:0.104)) - (INTERCONNECT fanout440.X _5283_.A0 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout440.X _5391_.A0 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT fanout440.X _5490_.A0 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout440.X _5319_.A0 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout440.X _4007_.A0 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout440.X _5586_.A0 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout440.X _5265_.A0 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout440.X _5337_.A0 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout440.X _5364_.A0 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout440.X _5382_.A0 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout440.X _5292_.A0 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout440.X _5400_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT fanout440.X _5409_.A0 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout440.X _5499_.A0 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout440.X _5568_.A0 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout440.X _5445_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout440.X _5559_.A0 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout440.X _5577_.A0 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout440.X _5328_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout440.X _5355_.A0 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout441.X _5274_.A0 (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT fanout441.X _5481_.A0 (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT fanout441.X _5346_.A0 (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT fanout441.X _5301_.A0 (0.026:0.026:0.026) (0.024:0.024:0.024)) - (INTERCONNECT fanout441.X _5418_.A0 (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT fanout441.X _5310_.A0 (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT fanout441.X _5472_.A1 (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT fanout441.X _5373_.A0 (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT fanout441.X _5517_.A0 (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT fanout441.X _5508_.A0 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT fanout441.X _5463_.A0 (0.026:0.026:0.026) (0.024:0.024:0.024)) - (INTERCONNECT fanout441.X _5256_.A1 (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT fanout441.X _5436_.A0 (0.025:0.025:0.025) (0.023:0.023:0.023)) - (INTERCONNECT fanout441.X _5238_.A0 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout441.X _5526_.A0 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT fanout441.X _5247_.A0 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout441.X _5550_.A0 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT fanout441.X _4098_.A1 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout441.X fanout440.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout441.X _4064_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout442.X hold127.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout443.X _4269_.A1 (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT fanout443.X _4335_.A0 (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT fanout443.X _4287_.A0 (0.030:0.030:0.030) (0.028:0.028:0.028)) - (INTERCONNECT fanout443.X _6397_.A0 (0.030:0.030:0.030) (0.028:0.028:0.028)) - (INTERCONNECT fanout443.X _4134_.A1 (0.028:0.028:0.028) (0.026:0.026:0.026)) - (INTERCONNECT fanout443.X _5198_.A0 (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT fanout443.X _5192_.A0 (0.030:0.030:0.030) (0.029:0.029:0.029)) - (INTERCONNECT fanout443.X _3997_.A1 (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT fanout443.X _3988_.A1 (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT fanout443.X _3976_.A1 (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT fanout443.X _4317_.A1 (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT fanout443.X _4039_.A1 (0.030:0.030:0.030) (0.028:0.028:0.028)) - (INTERCONNECT fanout443.X _4299_.A0 (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT fanout443.X _4329_.A1 (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT fanout443.X _4140_.A1 (0.030:0.030:0.030) (0.028:0.028:0.028)) - (INTERCONNECT fanout443.X _4323_.A1 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT fanout443.X _4209_.A1 (0.030:0.030:0.030) (0.029:0.029:0.029)) - (INTERCONNECT fanout443.X _4021_.A0 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout443.X _4045_.A0 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout443.X _4051_.A0 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT fanout444.X _4033_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout444.X _4015_.A1 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout444.X _4251_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout444.X _4027_.A1 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout444.X _4203_.A1 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout444.X _4152_.A0 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout444.X _4215_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout444.X _5534_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout444.X _4245_.A1 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout444.X _4221_.A0 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout444.X _4179_.A0 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout444.X _4257_.A1 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout444.X _4311_.A0 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT fanout445.X fanout444.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout445.X fanout443.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout445.X _5471_.A1 (0.028:0.028:0.028) (0.026:0.026:0.026)) - (INTERCONNECT fanout445.X _5215_.A0 (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT fanout445.X _5426_.A0 (0.028:0.028:0.028) (0.026:0.026:0.026)) - (INTERCONNECT fanout445.X _5540_.A1 (0.027:0.027:0.027) (0.025:0.025:0.025)) - (INTERCONNECT fanout445.X _5255_.A1 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT fanout445.X _4079_.A1 (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT fanout445.X _4125_.A0 (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT fanout445.X _5558_.A0 (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT fanout445.X ANTENNA_244.DIODE (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT fanout446.X _4158_.A0 (0.038:0.038:0.038) (0.036:0.036:0.036)) - (INTERCONNECT fanout446.X _4227_.A0 (0.045:0.045:0.045) (0.042:0.042:0.042)) - (INTERCONNECT fanout446.X _4164_.A1 (0.044:0.044:0.044) (0.042:0.042:0.042)) - (INTERCONNECT fanout446.X _4275_.A1 (0.040:0.040:0.040) (0.038:0.038:0.038)) - (INTERCONNECT fanout446.X _5525_.A0 (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT fanout446.X _4239_.A0 (0.045:0.045:0.045) (0.043:0.043:0.043)) - (INTERCONNECT fanout446.X _4305_.A0 (0.042:0.042:0.042) (0.040:0.040:0.040)) - (INTERCONNECT fanout446.X _5462_.A0 (0.030:0.030:0.030) (0.029:0.029:0.029)) - (INTERCONNECT fanout446.X _5507_.A0 (0.033:0.033:0.033) (0.031:0.031:0.031)) - (INTERCONNECT fanout446.X _4263_.A1 (0.042:0.042:0.042) (0.040:0.040:0.040)) - (INTERCONNECT fanout446.X _4293_.A0 (0.036:0.036:0.036) (0.034:0.034:0.034)) - (INTERCONNECT fanout446.X _5408_.A0 (0.035:0.035:0.035) (0.033:0.033:0.033)) - (INTERCONNECT fanout446.X _5435_.A0 (0.030:0.030:0.030) (0.029:0.029:0.029)) - (INTERCONNECT fanout446.X _4146_.A0 (0.042:0.042:0.042) (0.039:0.039:0.039)) - (INTERCONNECT fanout446.X _5549_.A0 (0.030:0.030:0.030) (0.028:0.028:0.028)) - (INTERCONNECT fanout446.X _5480_.A0 (0.035:0.035:0.035) (0.033:0.033:0.033)) - (INTERCONNECT fanout446.X _5372_.A0 (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT fanout446.X _5489_.A0 (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT fanout446.X _5345_.A0 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout446.X _5327_.A1 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT fanout447.X _5363_.A0 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout447.X _5309_.A0 (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout447.X _5300_.A0 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout447.X _5567_.A0 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout447.X _5444_.A0 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout447.X _4109_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout447.X _5273_.A0 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout447.X _5336_.A0 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout447.X _5381_.A0 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT fanout447.X _5390_.A0 (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT fanout447.X _5318_.A0 (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT fanout447.X _5264_.A0 (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT fanout447.X _5282_.A0 (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT fanout447.X _5417_.A0 (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT fanout447.X _5399_.A1 (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT fanout447.X _5453_.A0 (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT fanout447.X _4281_.A0 (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT fanout448.X hold85.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout449.X _4268_.A1 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT fanout449.X _5197_.A0 (0.036:0.036:0.036) (0.034:0.034:0.034)) - (INTERCONNECT fanout449.X _5191_.A0 (0.036:0.036:0.036) (0.034:0.034:0.034)) - (INTERCONNECT fanout449.X _3996_.A1 (0.032:0.032:0.032) (0.031:0.031:0.031)) - (INTERCONNECT fanout449.X _3987_.A1 (0.031:0.031:0.031) (0.030:0.030:0.030)) - (INTERCONNECT fanout449.X _3974_.A1 (0.032:0.032:0.032) (0.030:0.030:0.030)) - (INTERCONNECT fanout449.X _4133_.A1 (0.032:0.032:0.032) (0.030:0.030:0.030)) - (INTERCONNECT fanout449.X _4298_.A0 (0.032:0.032:0.032) (0.031:0.031:0.031)) - (INTERCONNECT fanout449.X _4038_.A1 (0.036:0.036:0.036) (0.034:0.034:0.034)) - (INTERCONNECT fanout449.X _4316_.A1 (0.031:0.031:0.031) (0.029:0.029:0.029)) - (INTERCONNECT fanout449.X _4334_.A0 (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT fanout449.X _6396_.A0 (0.035:0.035:0.035) (0.033:0.033:0.033)) - (INTERCONNECT fanout449.X _4286_.A0 (0.034:0.034:0.034) (0.032:0.032:0.032)) - (INTERCONNECT fanout449.X _4208_.A1 (0.036:0.036:0.036) (0.034:0.034:0.034)) - (INTERCONNECT fanout449.X _4322_.A1 (0.037:0.037:0.037) (0.035:0.035:0.035)) - (INTERCONNECT fanout449.X _4139_.A1 (0.036:0.036:0.036) (0.033:0.033:0.033)) - (INTERCONNECT fanout449.X _4108_.A0 (0.037:0.037:0.037) (0.034:0.034:0.034)) - (INTERCONNECT fanout449.X _4310_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout449.X _4020_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout449.X _4044_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout450.X _4050_.A0 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout450.X _4250_.A0 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout450.X _4220_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout450.X _4014_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout450.X _4032_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout450.X _4244_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout450.X _5533_.A1 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout450.X _4178_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout450.X _4026_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout450.X _4202_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout450.X _4256_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout450.X _4151_.A0 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout450.X _4214_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout451.X fanout450.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout451.X fanout449.A (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT fanout451.X _4328_.A1 (0.043:0.043:0.043) (0.040:0.040:0.040)) - (INTERCONNECT fanout451.X _5216_.A0 (0.042:0.042:0.042) (0.040:0.040:0.040)) - (INTERCONNECT fanout451.X _5209_.A1 (0.045:0.045:0.045) (0.043:0.043:0.043)) - (INTERCONNECT fanout451.X _4077_.A1 (0.046:0.046:0.046) (0.043:0.043:0.043)) - (INTERCONNECT fanout451.X _4124_.A0 (0.046:0.046:0.046) (0.043:0.043:0.043)) - (INTERCONNECT fanout451.X _5272_.A0 (0.034:0.034:0.034) (0.032:0.032:0.032)) - (INTERCONNECT fanout451.X _5425_.A0 (0.045:0.045:0.045) (0.042:0.042:0.042)) - (INTERCONNECT fanout451.X _5539_.A1 (0.033:0.033:0.033) (0.032:0.032:0.032)) - (INTERCONNECT fanout451.X _5254_.A1 (0.035:0.035:0.035) (0.033:0.033:0.033)) - (INTERCONNECT fanout451.X _5515_.A0 (0.033:0.033:0.033) (0.031:0.031:0.031)) - (INTERCONNECT fanout451.X ANTENNA_245.DIODE (0.045:0.045:0.045) (0.042:0.042:0.042)) - (INTERCONNECT fanout452.X _5548_.A0 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout452.X _5263_.A0 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout452.X _5236_.A0 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout452.X _5443_.A0 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout452.X _5497_.A0 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout452.X _5575_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout452.X _5452_.A0 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT fanout452.X _5479_.A0 (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT fanout452.X _5488_.A0 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout452.X _5506_.A0 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout452.X _5584_.A0 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout452.X _5326_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout452.X _5362_.A0 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout452.X _5290_.A0 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout452.X _5566_.A0 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout452.X _5434_.A0 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout452.X _5299_.A0 (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT fanout452.X _4005_.A0 (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT fanout452.X _5524_.A0 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout452.X _4115_.A0 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout453.X _5416_.A0 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout453.X _5389_.A0 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout453.X _4060_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout453.X _5371_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout453.X _5335_.A0 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout453.X _5380_.A0 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout453.X _5353_.A0 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout453.X _5317_.A0 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout453.X _5281_.A0 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout453.X _5407_.A0 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout453.X _5398_.A1 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout453.X _5557_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout454.X hold36.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout455.X _4321_.A1 (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT fanout455.X _6395_.A0 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT fanout455.X _5532_.A1 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT fanout455.X _4309_.A0 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout455.X _4285_.A0 (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT fanout455.X _4049_.A0 (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT fanout455.X _4132_.A1 (0.033:0.033:0.033) (0.032:0.032:0.032)) - (INTERCONNECT fanout455.X _4297_.A0 (0.032:0.032:0.032) (0.031:0.031:0.031)) - (INTERCONNECT fanout455.X _5196_.A0 (0.030:0.030:0.030) (0.028:0.028:0.028)) - (INTERCONNECT fanout455.X _5190_.A0 (0.030:0.030:0.030) (0.028:0.028:0.028)) - (INTERCONNECT fanout455.X _3995_.A1 (0.031:0.031:0.031) (0.030:0.030:0.030)) - (INTERCONNECT fanout455.X _4037_.A1 (0.030:0.030:0.030) (0.028:0.028:0.028)) - (INTERCONNECT fanout455.X _4025_.A1 (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT fanout455.X _4013_.A1 (0.027:0.027:0.027) (0.025:0.025:0.025)) - (INTERCONNECT fanout455.X _4207_.A1 (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT fanout455.X _4138_.A1 (0.030:0.030:0.030) (0.028:0.028:0.028)) - (INTERCONNECT fanout455.X _4333_.A0 (0.035:0.035:0.035) (0.033:0.033:0.033)) - (INTERCONNECT fanout455.X _4043_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout455.X _3986_.A1 (0.034:0.034:0.034) (0.032:0.032:0.032)) - (INTERCONNECT fanout455.X _3972_.A1 (0.034:0.034:0.034) (0.032:0.032:0.032)) - (INTERCONNECT fanout456.X fanout455.A (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT fanout456.X _4315_.A1 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT fanout456.X _4327_.A1 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT fanout456.X _4019_.A0 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT fanout456.X _4201_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout456.X _4177_.A0 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout456.X _4249_.A0 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT fanout456.X _4031_.A1 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT fanout456.X _4243_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout456.X _4255_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout456.X _4213_.A1 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT fanout456.X _4150_.A0 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout457.X fanout456.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout457.X _4267_.A1 (0.041:0.041:0.041) (0.039:0.039:0.039)) - (INTERCONNECT fanout457.X _5460_.A0 (0.039:0.039:0.039) (0.037:0.037:0.037)) - (INTERCONNECT fanout457.X _5469_.A1 (0.042:0.042:0.042) (0.040:0.040:0.040)) - (INTERCONNECT fanout457.X _5415_.A0 (0.040:0.040:0.040) (0.038:0.038:0.038)) - (INTERCONNECT fanout457.X _5583_.A0 (0.039:0.039:0.039) (0.037:0.037:0.037)) - (INTERCONNECT fanout457.X _5538_.A1 (0.034:0.034:0.034) (0.032:0.032:0.032)) - (INTERCONNECT fanout457.X _5505_.A0 (0.034:0.034:0.034) (0.032:0.032:0.032)) - (INTERCONNECT fanout457.X _5478_.A0 (0.042:0.042:0.042) (0.039:0.039:0.039)) - (INTERCONNECT fanout457.X _5451_.A0 (0.043:0.043:0.043) (0.041:0.041:0.041)) - (INTERCONNECT fanout457.X _5424_.A0 (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT fanout457.X _5514_.A0 (0.042:0.042:0.042) (0.040:0.040:0.040)) - (INTERCONNECT fanout457.X _4123_.A0 (0.043:0.043:0.043) (0.041:0.041:0.041)) - (INTERCONNECT fanout457.X _5217_.A0 (0.043:0.043:0.043) (0.040:0.040:0.040)) - (INTERCONNECT fanout457.X _5208_.A1 (0.044:0.044:0.044) (0.042:0.042:0.042)) - (INTERCONNECT fanout457.X _4075_.A1 (0.043:0.043:0.043) (0.041:0.041:0.041)) - (INTERCONNECT fanout457.X _5253_.A1 (0.030:0.030:0.030) (0.029:0.029:0.029)) - (INTERCONNECT fanout457.X _5442_.A0 (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT fanout457.X _5406_.A0 (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT fanout457.X _5523_.A0 (0.031:0.031:0.031) (0.029:0.029:0.029)) - (INTERCONNECT fanout457.X ANTENNA_246.DIODE (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT fanout457.X ANTENNA_247.DIODE (0.031:0.031:0.031) (0.029:0.029:0.029)) - (INTERCONNECT fanout458.X _4004_.A0 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout458.X _5370_.A0 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout458.X _5379_.A0 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout458.X _5226_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout458.X _5343_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout458.X _5316_.A0 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout458.X _5298_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout458.X _5352_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout458.X _5361_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout458.X _5271_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout458.X _5556_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout458.X _5487_.A0 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout458.X _5433_.A0 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout458.X _5547_.A0 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout458.X _5289_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout458.X _5262_.A0 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout458.X _5574_.A0 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout458.X _5565_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout458.X _5235_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout458.X _4114_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout458.X ANTENNA_248.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout459.X _5334_.A0 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT fanout459.X _5307_.A0 (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT fanout459.X _4092_.A1 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT fanout459.X _5388_.A0 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT fanout459.X _5244_.A0 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT fanout459.X _5280_.A0 (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT fanout459.X _5397_.A1 (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT fanout459.X _5496_.A0 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout459.X fanout458.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout459.X _4058_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout459.X ANTENNA_249.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout460.X hold44.A (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT fanout461.X _4320_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout461.X _4212_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout461.X _4036_.A1 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT fanout461.X _6394_.A0 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout461.X _4284_.A0 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT fanout461.X _4048_.A0 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout461.X _3994_.A1 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout461.X _5186_.A0 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout461.X _5189_.A0 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout461.X _5195_.A0 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout461.X _4106_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout461.X _4131_.A1 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT fanout461.X _4296_.A0 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout461.X _4149_.A0 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout461.X _4206_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout461.X _4137_.A1 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout461.X _4024_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout461.X _5231_.A0 (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT fanout461.X _3985_.A1 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT fanout461.X _3970_.A1 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT fanout462.X fanout461.A (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout462.X _4314_.A1 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout462.X _4332_.A0 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout462.X _4012_.A1 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT fanout462.X _4308_.A0 (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT fanout462.X _4018_.A0 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout462.X _4176_.A0 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout462.X _4042_.A0 (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT fanout462.X _4200_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout462.X _4242_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout462.X _4248_.A0 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout462.X _4030_.A1 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT fanout462.X _4218_.A0 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout462.X _4073_.A1 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT fanout462.X _4122_.A0 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT fanout462.X _5531_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout462.X _4254_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout463.X fanout462.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout463.X _5432_.A0 (0.039:0.039:0.039) (0.037:0.037:0.037)) - (INTERCONNECT fanout463.X _5202_.A0 (0.040:0.040:0.040) (0.038:0.038:0.038)) - (INTERCONNECT fanout463.X _4266_.A1 (0.036:0.036:0.036) (0.034:0.034:0.034)) - (INTERCONNECT fanout463.X _4326_.A1 (0.039:0.039:0.039) (0.036:0.036:0.036)) - (INTERCONNECT fanout463.X _5537_.A1 (0.034:0.034:0.034) (0.032:0.032:0.032)) - (INTERCONNECT fanout463.X _5306_.A0 (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT fanout463.X _5555_.A0 (0.036:0.036:0.036) (0.034:0.034:0.034)) - (INTERCONNECT fanout463.X _5468_.A1 (0.040:0.040:0.040) (0.038:0.038:0.038)) - (INTERCONNECT fanout463.X _5423_.A0 (0.038:0.038:0.038) (0.036:0.036:0.036)) - (INTERCONNECT fanout463.X _5207_.A1 (0.040:0.040:0.040) (0.038:0.038:0.038)) - (INTERCONNECT fanout463.X _5220_.A0 (0.040:0.040:0.040) (0.038:0.038:0.038)) - (INTERCONNECT fanout463.X _5252_.A1 (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT fanout463.X _5297_.A0 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout463.X _5270_.A0 (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT fanout463.X ANTENNA_202.DIODE (0.038:0.038:0.038) (0.036:0.036:0.036)) - (INTERCONNECT fanout463.X ANTENNA_250.DIODE (0.040:0.040:0.040) (0.038:0.038:0.038)) - (INTERCONNECT fanout464.X _5333_.A0 (0.028:0.028:0.028) (0.026:0.026:0.026)) - (INTERCONNECT fanout464.X _5351_.A0 (0.030:0.030:0.030) (0.028:0.028:0.028)) - (INTERCONNECT fanout464.X _5261_.A0 (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT fanout464.X _5396_.A1 (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT fanout464.X _5441_.A0 (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT fanout464.X _5360_.A0 (0.029:0.029:0.029) (0.027:0.027:0.027)) - (INTERCONNECT fanout464.X _5495_.A0 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT fanout464.X _5513_.A0 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout464.X _5369_.A0 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT fanout464.X _5342_.A0 (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT fanout464.X _5573_.A0 (0.029:0.029:0.029) (0.027:0.027:0.027)) - (INTERCONNECT fanout464.X _5477_.A0 (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT fanout464.X _4003_.A0 (0.029:0.029:0.029) (0.027:0.027:0.027)) - (INTERCONNECT fanout464.X _5414_.A0 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout464.X _5504_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout464.X _5546_.A0 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout464.X _5486_.A0 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT fanout464.X _5450_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout464.X _5564_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout464.X _5522_.A0 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout465.X _4302_.A0 (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT fanout465.X _4155_.A0 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT fanout465.X _4260_.A1 (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT fanout465.X _5387_.A0 (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT fanout465.X _4272_.A1 (0.026:0.026:0.026) (0.024:0.024:0.024)) - (INTERCONNECT fanout465.X _4143_.A0 (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT fanout465.X _4290_.A0 (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT fanout465.X _4278_.A0 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT fanout465.X _5378_.A0 (0.028:0.028:0.028) (0.026:0.026:0.026)) - (INTERCONNECT fanout465.X _4224_.A0 (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT fanout465.X _4161_.A1 (0.029:0.029:0.029) (0.027:0.027:0.027)) - (INTERCONNECT fanout465.X _5324_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout465.X _4236_.A0 (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT fanout465.X _5459_.A0 (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT fanout465.X _5405_.A0 (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT fanout465.X _5279_.A0 (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT fanout465.X fanout464.A (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout465.X _5234_.A0 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT fanout465.X _4113_.A0 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout465.X _4056_.A1 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout466.X _4035_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout466.X _6393_.A0 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout466.X _5530_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout466.X _4283_.A0 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout466.X _3993_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout466.X _5185_.A0 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout466.X _5188_.A0 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout466.X _5194_.A0 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout466.X _5211_.A0 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout466.X _4295_.A0 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout466.X _4130_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout466.X _4136_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT fanout466.X _4205_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout466.X _4211_.A1 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT fanout466.X _4121_.A0 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout466.X _4047_.A0 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout466.X _4071_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout466.X _4105_.A0 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout466.X _4319_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout466.X _4023_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout467.X fanout466.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout467.X _4148_.A0 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout467.X _4011_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout467.X _3984_.A1 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT fanout467.X _5230_.A0 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout467.X _4307_.A0 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout467.X _4265_.A1 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT fanout467.X _3968_.A1 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT fanout467.X _4331_.A0 (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT fanout467.X _4325_.A1 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT fanout467.X _4313_.A1 (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT fanout467.X _5212_.C (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT fanout467.X _5413_.A0 (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT fanout468.X fanout467.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout468.X _4041_.A0 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout468.X _5476_.A0 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT fanout468.X _4029_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout468.X _4241_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout468.X _4247_.A0 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT fanout468.X _4199_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout468.X _4175_.A0 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout468.X _4253_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout468.X _4017_.A0 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout469.X fanout468.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout469.X _5201_.A0 (0.036:0.036:0.036) (0.034:0.034:0.034)) - (INTERCONNECT fanout469.X _5204_.A0 (0.030:0.030:0.030) (0.029:0.029:0.029)) - (INTERCONNECT fanout469.X _5222_.A3 (0.036:0.036:0.036) (0.034:0.034:0.034)) - (INTERCONNECT fanout469.X _5467_.A1 (0.031:0.031:0.031) (0.029:0.029:0.029)) - (INTERCONNECT fanout469.X _5251_.A1 (0.027:0.027:0.027) (0.025:0.025:0.025)) - (INTERCONNECT fanout469.X _5206_.A1 (0.037:0.037:0.037) (0.035:0.035:0.035)) - (INTERCONNECT fanout469.X _5269_.A0 (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT fanout469.X _5422_.A0 (0.033:0.033:0.033) (0.031:0.031:0.031)) - (INTERCONNECT fanout469.X _5449_.A0 (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT fanout469.X _5512_.A0 (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT fanout469.X _5536_.A1 (0.027:0.027:0.027) (0.025:0.025:0.025)) - (INTERCONNECT fanout469.X _5341_.A0 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT fanout469.X _4002_.A0 (0.036:0.036:0.036) (0.034:0.034:0.034)) - (INTERCONNECT fanout469.X _5458_.A0 (0.028:0.028:0.028) (0.026:0.026:0.026)) - (INTERCONNECT fanout469.X _5485_.A0 (0.036:0.036:0.036) (0.034:0.034:0.034)) - (INTERCONNECT fanout469.X _5395_.A1 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout469.X _5431_.A0 (0.033:0.033:0.033) (0.032:0.032:0.032)) - (INTERCONNECT fanout469.X ANTENNA_203.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout470.X _4217_.A0 (0.032:0.032:0.032) (0.031:0.031:0.031)) - (INTERCONNECT fanout470.X _4160_.A1 (0.032:0.032:0.032) (0.030:0.030:0.030)) - (INTERCONNECT fanout470.X _4223_.A0 (0.032:0.032:0.032) (0.030:0.030:0.030)) - (INTERCONNECT fanout470.X _4235_.A0 (0.033:0.033:0.033) (0.031:0.031:0.031)) - (INTERCONNECT fanout470.X _4271_.A1 (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT fanout470.X _5224_.A0 (0.029:0.029:0.029) (0.027:0.027:0.027)) - (INTERCONNECT fanout470.X _4142_.A0 (0.031:0.031:0.031) (0.029:0.029:0.029)) - (INTERCONNECT fanout470.X _4289_.A0 (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT fanout470.X _4301_.A0 (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT fanout470.X _4259_.A1 (0.031:0.031:0.031) (0.029:0.029:0.029)) - (INTERCONNECT fanout470.X _5278_.A0 (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT fanout470.X _4154_.A0 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT fanout470.X _5521_.A0 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout470.X _5386_.A0 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT fanout470.X _5359_.A0 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout470.X _5323_.A1 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout470.X _5377_.A0 (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT fanout470.X _5260_.A0 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT fanout470.X _5332_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout470.X _5503_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout471.X fanout470.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout471.X _5554_.A0 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout471.X _5545_.A0 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout471.X _5296_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout471.X _5305_.A0 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout471.X _4277_.A0 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT fanout471.X _5563_.A0 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout471.X _5404_.A0 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT fanout471.X _5350_.A0 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout471.X _5314_.A0 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout471.X _5228_.A1 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout471.X _5368_.A0 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout471.X _5242_.A0 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT fanout471.X _4088_.A1 (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT fanout471.X _4054_.A1 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout471.X _4112_.A0 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout471.X _5233_.A0 (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout471.X _5572_.A0 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout471.X _5494_.A0 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout471.X _5581_.A0 (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout472.X _5916_.B (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT fanout472.X _5872_.B (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT fanout472.X _5938_.B (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT fanout472.X _5894_.B (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout472.X _5659_.A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout472.X _5655_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout472.X _5690_.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout472.X _5661_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout472.X _5666_.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout472.X _5667_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout472.X _5670_.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout472.X _5672_.A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout472.X _5675_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout472.X _5677_.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout472.X _5683_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout472.X _5685_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout472.X _5688_.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout472.X _5693_.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout472.X _5703_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout472.X _5705_.A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout473.X _6268_.C1 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT fanout473.X _3900_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout473.X _3907_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout473.X _6195_.A1 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout473.X _6219_.S (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT fanout473.X _6318_.S (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout473.X _6145_.S (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT fanout473.X _5880_.C1 (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT fanout473.X _5924_.C1 (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT fanout473.X _6243_.C1 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT fanout473.X _6293_.C1 (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT fanout473.X _5902_.C1 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT fanout473.X _5836_.C1 (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout473.X _5858_.C1 (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT fanout473.X _5772_.C1 (0.032:0.032:0.032) (0.030:0.030:0.030)) - (INTERCONNECT fanout473.X _5814_.C1 (0.032:0.032:0.032) (0.031:0.031:0.031)) - (INTERCONNECT fanout473.X _6169_.C1 (0.032:0.032:0.032) (0.031:0.031:0.031)) - (INTERCONNECT fanout473.X _6070_.C1 (0.029:0.029:0.029) (0.027:0.027:0.027)) - (INTERCONNECT fanout473.X _5946_.C1 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT fanout473.X _5968_.C1 (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT fanout474.X _3251_.A1 (0.034:0.034:0.034) (0.031:0.031:0.031)) - (INTERCONNECT fanout474.X _3245_.B (0.032:0.032:0.032) (0.029:0.029:0.029)) - (INTERCONNECT fanout474.X _3246_.A2 (0.034:0.034:0.034) (0.031:0.031:0.031)) - (INTERCONNECT fanout474.X _3247_.A1 (0.034:0.034:0.034) (0.031:0.031:0.031)) - (INTERCONNECT fanout474.X _3254_.S (0.034:0.034:0.034) (0.031:0.031:0.031)) - (INTERCONNECT fanout474.X _3269_.A1 (0.034:0.034:0.034) (0.031:0.031:0.031)) - (INTERCONNECT fanout474.X _3265_.S (0.030:0.030:0.030) (0.027:0.027:0.027)) - (INTERCONNECT fanout474.X _3263_.S (0.029:0.029:0.029) (0.027:0.027:0.027)) - (INTERCONNECT fanout474.X _3260_.S (0.030:0.030:0.030) (0.027:0.027:0.027)) - (INTERCONNECT fanout474.X _3258_.S (0.030:0.030:0.030) (0.028:0.028:0.028)) - (INTERCONNECT fanout474.X _3250_.B (0.033:0.033:0.033) (0.030:0.030:0.030)) - (INTERCONNECT fanout474.X _3965_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout474.X _4233_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout474.X _3963_.B (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT fanout474.X _3942_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout474.X _3941_.A (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT fanout474.X _3969_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout474.X _3971_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout474.X hold136.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout475.X _5650_.A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout475.X _5773_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT fanout475.X _5837_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout475.X _3195_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout475.X _5794_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout475.X _5815_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout475.X _5859_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout475.X _3908_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout475.X _5594_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout475.X _5601_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout475.X _5593_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout475.X _5592_.A (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout475.X _5591_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout475.X _3909_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout475.X _5752_.A1 (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT fanout475.X _6121_.A1 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT fanout475.X _6096_.A1 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT fanout475.X _6071_.A1 (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT fanout475.X _5730_.A1 (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT fanout475.X _6194_.C1 (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT wire476.X _3877_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT wire476.X _3876_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT wire476.X hold12.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout477.X _4814_.A (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT fanout477.X _4805_.A1 (0.024:0.024:0.024) (0.022:0.022:0.022)) - (INTERCONNECT fanout477.X _4613_.A (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT fanout477.X _4579_.A (0.027:0.027:0.027) (0.025:0.025:0.025)) - (INTERCONNECT fanout477.X _4593_.A (0.026:0.026:0.026) (0.024:0.024:0.024)) - (INTERCONNECT fanout477.X _4629_.A (0.027:0.027:0.027) (0.025:0.025:0.025)) - (INTERCONNECT fanout477.X _4624_.A (0.027:0.027:0.027) (0.025:0.025:0.025)) - (INTERCONNECT fanout477.X _4580_.A (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT fanout477.X _4586_.A (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT fanout477.X _4583_.A (0.027:0.027:0.027) (0.025:0.025:0.025)) - (INTERCONNECT fanout477.X _4808_.A1 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT fanout477.X _4611_.A (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT fanout477.X _5020_.A1 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT fanout477.X _4589_.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout477.X _4638_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout477.X _4581_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout477.X _4576_.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout477.X _4711_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout477.X _4653_.A (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout477.X _4701_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT max_cap478.X _4999_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT max_cap478.X _4998_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT max_cap478.X _4657_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT max_cap478.X _5012_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT max_cap478.X _4381_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT max_cap479.X _4465_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT max_cap479.X _4466_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT max_cap480.X _4376_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT max_cap480.X max_cap479.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout481.X _6433_.B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout481.X _6430_.B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout481.X _6399_.B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout481.X _6411_.B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout481.X _6426_.B (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout481.X _6415_.B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout481.X _6414_.B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout481.X _6400_.B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout481.X _6428_.B (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout481.X _6427_.B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout481.X _6417_.B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout481.X _6412_.B (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout481.X _6432_.B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout481.X _6424_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout481.X _6431_.B (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout481.X _6429_.B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout481.X _6434_.B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout481.X _6435_.B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout481.X _6441_.B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout481.X _6416_.B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout482.X _6398_.B (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT fanout482.X _6425_.B (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout482.X _3930_.S (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout482.X _6410_.B (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout482.X _6409_.B (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout482.X _6408_.B (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout482.X _6413_.B (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout482.X fanout481.A (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout482.X _6440_.B (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout482.X _6422_.B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout482.X _6438_.B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout482.X _6439_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout482.X _6423_.B (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout482.X _6421_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout482.X _6420_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout482.X _6419_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout482.X _6418_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout482.X _6436_.B (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout482.X _6437_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout483.X _4070_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout483.X fanout482.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout483.X _3877_.B1 (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT fanout483.X _3876_.B1 (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout483.X _3873_.B (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT fanout483.X _6405_.B (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout483.X _6406_.B (0.021:0.021:0.021) (0.019:0.019:0.019)) - (INTERCONNECT fanout483.X _6404_.B (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout483.X _6403_.B (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout483.X _6402_.B (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout483.X _6401_.B (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT fanout483.X _3942_.B1 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT fanout483.X _6407_.B (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT fanout483.X _3934_.S (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT fanout483.X _4052_.A_N (0.023:0.023:0.023) (0.021:0.021:0.021)) - (INTERCONNECT fanout483.X _4053_.A2 (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT fanout483.X _4111_.C (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT fanout483.X _4087_.A2 (0.024:0.024:0.024) (0.022:0.022:0.022)) - (INTERCONNECT fanout483.X _4120_.C (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout483.X _5241_.C (0.024:0.024:0.024) (0.022:0.022:0.022)) - (INTERCONNECT fanout484.X _6526_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout484.X _6527_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout484.X _6528_.SET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout484.X _6529_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout484.X _6602_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout484.X _6603_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout484.X _6604_.SET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout484.X _6742_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout484.X _6743_.SET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout484.X _6791_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout484.X _6792_.SET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout484.X _6793_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout484.X _6794_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout484.X _6795_.SET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout484.X _6796_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout484.X _6797_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout484.X _6798_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout484.X _6799_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout484.X _6800_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout484.X _6601_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout485.X _6605_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout485.X _6660_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout485.X _6661_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout485.X _6663_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout485.X _6745_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout485.X _7090_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout485.X _7092_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout485.X _7207_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout485.X _7208_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout485.X _7209_.SET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout485.X _7210_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout485.X _7211_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout486.X _6399_.A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout486.X _6414_.A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout486.X _6415_.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout486.X _6426_.A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout486.X _6506_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout486.X _6508_.SET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout486.X _6554_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout486.X _6560_.RESET_B (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout486.X _6574_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout486.X _6575_.RESET_B (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout486.X _6577_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout486.X _6588_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout486.X _6594_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout486.X _6611_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout486.X _6612_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout486.X _6761_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout486.X _6762_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout486.X _6764_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout486.X _6516_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout486.X _6517_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout487.X fanout484.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout487.X fanout485.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout487.X _6811_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout487.X _6664_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout487.X _6662_.SET_B (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout487.X _3946_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout487.X _3944_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout487.X fanout486.A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout487.X _6763_.SET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout487.X _6666_.RESET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout487.X _6665_.RESET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout487.X _6538_.SET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout487.X _6537_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout487.X _6536_.RESET_B (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout487.X _6518_.SET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout488.X _6490_.SET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout488.X _6491_.SET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout488.X _6492_.SET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout488.X _6493_.SET_B (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout488.X _6494_.SET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout488.X _6495_.SET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout488.X _6496_.SET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout488.X _6497_.SET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout488.X _6530_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout488.X _6741_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout488.X _6744_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout488.X _6756_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout488.X _6757_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout488.X _6801_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout488.X _6802_.SET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout488.X _6803_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout488.X _7170_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout488.X _7171_.RESET_B (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout488.X _7172_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout488.X _7173_.RESET_B (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout489.X _6596_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout489.X _6597_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout489.X _6598_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout489.X _6599_.SET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout489.X _6600_.SET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout489.X _6731_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout489.X _6732_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout489.X _6733_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout489.X _6734_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout489.X _6735_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout489.X _6751_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout489.X _6759_.RESET_B (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT fanout490.X _6474_.SET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout490.X _6475_.SET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout490.X _6476_.SET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout490.X _6477_.SET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout490.X _6478_.SET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout490.X _6482_.SET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout490.X _6483_.SET_B (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout490.X _6484_.SET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout490.X _6485_.SET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout490.X _6486_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout490.X _6766_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout490.X _6768_.SET_B (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout490.X _7183_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout490.X _7184_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout490.X _7185_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout490.X _7186_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout490.X _7187_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout490.X _6758_.SET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout490.X _6760_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout490.X _6770_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout491.X _6771_.RESET_B (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout491.X _6824_.RESET_B (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT fanout491.X _6825_.RESET_B (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout491.X fanout490.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout491.X fanout488.A (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout491.X fanout489.A (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout491.X _6533_.SET_B (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT fanout491.X _6753_.SET_B (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout491.X _6765_.RESET_B (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT fanout491.X _6773_.SET_B (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout491.X _6775_.RESET_B (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout491.X _6812_.RESET_B (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT fanout491.X _6986_.SET_B (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT fanout492.X _6400_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout492.X _6412_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout492.X _6417_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout492.X _6427_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout492.X _6428_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout492.X _6430_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout492.X _6433_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout492.X _6507_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout492.X _6521_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout492.X _6522_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout492.X _6523_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout492.X _6555_.RESET_B (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout492.X _6589_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout492.X _6398_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout492.X _6408_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout492.X _6409_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout492.X _6410_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout492.X _6411_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout492.X _6413_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout492.X _6416_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout493.X fanout492.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout493.X _6656_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout493.X _6655_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout493.X _6524_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout493.X _6519_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout493.X _6509_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout493.X _6432_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout493.X _6431_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout493.X _6429_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout493.X _6511_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout493.X _6512_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout493.X _6513_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout493.X _6539_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout493.X _6540_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout493.X _6613_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout493.X _6635_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout493.X _6657_.SET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout493.X _6667_.SET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout493.X _6701_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout493.X _6702_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout494.X _6514_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout494.X _6515_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout494.X _6520_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout494.X _6614_.SET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout494.X _6615_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout494.X _6634_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout494.X _6636_.SET_B (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout494.X _6668_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout494.X _6669_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout494.X _6686_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout494.X _6687_.RESET_B (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout494.X _6689_.RESET_B (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout494.X _6708_.SET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout494.X _6710_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout494.X _7091_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout494.X _7094_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout494.X _6637_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout494.X _6638_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout494.X _6659_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout494.X _6671_.RESET_B (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout495.X _6418_.A (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout495.X _6419_.A (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT fanout495.X _6420_.A (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT fanout495.X _6421_.A (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT fanout495.X _6422_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout495.X _6423_.A (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout495.X _6424_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout495.X _6425_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout495.X _6434_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout495.X _6435_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout495.X _6436_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout495.X _6437_.A (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT fanout495.X _6438_.A (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout495.X _6439_.A (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT fanout495.X _6440_.A (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout495.X _6441_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout495.X _6510_.RESET_B (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT fanout495.X _6525_.RESET_B (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout495.X _6658_.RESET_B (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT fanout495.X _6684_.RESET_B (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout496.X fanout493.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout496.X _7093_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout496.X _6707_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout496.X _6706_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout496.X _6704_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout496.X fanout495.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout496.X _6673_.RESET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout496.X _6674_.RESET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout496.X _6688_.SET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout496.X _6690_.RESET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout496.X _6709_.RESET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout496.X fanout494.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout497.X fanout496.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout497.X _6531_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout497.X _6532_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout497.X _6534_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout497.X _6535_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout497.X _6703_.SET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout497.X _6705_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout497.X _6752_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout497.X _6754_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout497.X _6755_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout497.X _7042_.SET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout498.X _6479_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout498.X _6480_.SET_B (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT fanout498.X _6481_.SET_B (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT fanout498.X _6487_.SET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout498.X _6488_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout498.X _6489_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout498.X _6498_.SET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout498.X _6769_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout498.X _6804_.SET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout498.X _6805_.SET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout498.X _6819_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout498.X _7035_.SET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout498.X _7038_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout498.X _7050_.SET_B (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout498.X _7169_.RESET_B (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout498.X _6767_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout498.X _6774_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout498.X _6994_.SET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout498.X _6995_.SET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout498.X _6998_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout499.X _6562_.SET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout499.X _6564_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout499.X _6565_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout499.X _6813_.RESET_B (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT fanout499.X _6814_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout499.X _6815_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout499.X _6816_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout499.X _6817_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout499.X _6818_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout499.X _7020_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout499.X _7143_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout499.X _7159_.RESET_B (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout499.X _7160_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout499.X _7161_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout499.X _7174_.RESET_B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT fanout499.X _7182_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout499.X _7003_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout499.X _7148_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout499.X _7149_.SET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout499.X _7150_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout500.X fanout498.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout500.X _7002_.SET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout500.X fanout499.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout500.X _7166_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout500.X _7165_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout500.X _7164_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout500.X _7154_.SET_B (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout500.X _7153_.SET_B (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout500.X _7152_.SET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout500.X _7151_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout501.X _6716_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout501.X _6717_.RESET_B (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout501.X _6718_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout501.X _6719_.SET_B (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout501.X _6720_.SET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout501.X _6772_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout501.X _6806_.SET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout501.X _7026_.SET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout501.X _7034_.SET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout501.X _7074_.SET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout501.X _7095_.SET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout501.X _7096_.SET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout501.X _7099_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout501.X _6842_.SET_B (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT fanout501.X _6988_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout501.X _7028_.RESET_B (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout501.X _7036_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout501.X _7044_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout501.X _7076_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout501.X _7112_.SET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout502.X _6563_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout502.X _6993_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout502.X _7008_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout502.X _7009_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout502.X _7023_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout502.X _7024_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout502.X _7080_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout502.X _7081_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout502.X _7144_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout502.X _7145_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout502.X _7146_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout502.X _7147_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout502.X _7155_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout502.X _7156_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout502.X _7157_.SET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout502.X _7158_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout502.X _7167_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout502.X _7168_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout502.X _6504_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout502.X _6505_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout503.X _6807_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout503.X _6808_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout503.X _6809_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout503.X _6810_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout503.X _6997_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout503.X _7000_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout503.X _7001_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout503.X _7040_.RESET_B (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT fanout503.X _7041_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout503.X _7056_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout503.X _7057_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout503.X _7100_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout503.X _7102_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout504.X fanout503.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout504.X fanout502.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT fanout504.X _6556_.RESET_B (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout504.X _6557_.RESET_B (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT fanout504.X _6558_.RESET_B (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT fanout504.X _6561_.RESET_B (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT fanout504.X _6590_.RESET_B (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout504.X _6591_.RESET_B (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout504.X _6592_.RESET_B (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT fanout504.X _6595_.RESET_B (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT fanout504.X _6960_.RESET_B (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT fanout504.X _6984_.RESET_B (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT fanout504.X _7032_.RESET_B (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT fanout504.X _7033_.RESET_B (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout504.X _7048_.RESET_B (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout504.X _7101_.RESET_B (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT fanout504.X _7141_.RESET_B (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT fanout505.X _6844_.RESET_B (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout505.X _6845_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout505.X _6846_.RESET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout505.X _6922_.SET_B (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT fanout505.X _6980_.RESET_B (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout505.X _6996_.RESET_B (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout505.X _7018_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout505.X _7068_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout505.X _7097_.RESET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout505.X _7175_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout505.X _7176_.RESET_B (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout505.X _7177_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout505.X _7178_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout505.X _7181_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout505.X _6843_.SET_B (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout505.X _6859_.SET_B (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT fanout505.X _6883_.SET_B (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT fanout505.X _6891_.SET_B (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT fanout505.X _6970_.SET_B (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT fanout505.X _7012_.RESET_B (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout506.X _6559_.RESET_B (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT fanout506.X _6593_.RESET_B (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout506.X _6848_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout506.X _6849_.RESET_B (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout506.X _6858_.SET_B (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout506.X _6864_.RESET_B (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout506.X _6896_.RESET_B (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT fanout506.X _6961_.RESET_B (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT fanout506.X _6968_.RESET_B (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT fanout506.X _6969_.RESET_B (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT fanout506.X _7016_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout506.X _7072_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout506.X _7077_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout506.X _7098_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout506.X _7109_.RESET_B (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout506.X _7117_.RESET_B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout506.X _7133_.RESET_B (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout506.X _7179_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout506.X _7180_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout506.X _6856_.RESET_B (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT fanout507.X _6861_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout507.X _6865_.RESET_B (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout507.X _6888_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout507.X _6920_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout507.X _6928_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout507.X _6936_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout507.X _6937_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout507.X _6977_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout507.X _6999_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout507.X _7025_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout507.X _7088_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout507.X _7110_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout508.X fanout504.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout508.X fanout500.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout508.X fanout501.A (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT fanout508.X _7163_.RESET_B (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT fanout508.X _7162_.RESET_B (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT fanout508.X _7137_.RESET_B (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT fanout508.X _7115_.RESET_B (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT fanout508.X fanout506.A (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT fanout508.X fanout507.A (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT fanout508.X _7084_.RESET_B (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT fanout508.X fanout505.A (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT fanout509.X _6606_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout509.X _6607_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout509.X _6608_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout509.X _6609_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout509.X _6610_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout509.X _6618_.SET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout509.X _6711_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout509.X _6712_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout509.X _6713_.SET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout509.X _6714_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout509.X _6715_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout509.X _6722_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout509.X _6747_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout509.X _6748_.SET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout509.X _6749_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout509.X _6750_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout509.X _6963_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout509.X _6620_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout509.X _6721_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout509.X _6723_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout510.X fanout509.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout510.X _6739_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout510.X _6738_.SET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout510.X _6737_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout510.X _6725_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout510.X _6724_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout510.X _6736_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout510.X _6740_.RESET_B (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout510.X _6746_.RESET_B (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout510.X _6955_.SET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout510.X _6982_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout510.X _7046_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout510.X _7070_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout511.X _6621_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout511.X _6622_.RESET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout511.X _6623_.SET_B (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout511.X _6624_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout511.X _6670_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout511.X _6672_.SET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout511.X _6675_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout511.X _6676_.RESET_B (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout511.X _6677_.SET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout511.X _6678_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout511.X _6679_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout511.X _6681_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout511.X _6682_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout511.X _6683_.SET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout511.X _6685_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout511.X fanout510.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout511.X _7107_.RESET_B (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout511.X _6866_.SET_B (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout511.X _6820_.RESET_B (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout511.X _6625_.RESET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout512.X _3873_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout512.X _6616_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout512.X _6617_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout512.X _6619_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout512.X _6728_.RESET_B (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout512.X _6729_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout512.X _6730_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout512.X _6726_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout512.X _6727_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout512.X _6850_.SET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout512.X _6854_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout512.X _6870_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout512.X _6902_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout512.X _6926_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout512.X _6954_.SET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout512.X _6958_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout512.X _6962_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout512.X _6966_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout512.X _6978_.SET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout512.X _6990_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout513.X _6401_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout513.X _6402_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout513.X _6403_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout513.X _6404_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout513.X _6405_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout513.X _6406_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout513.X _6578_.RESET_B (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout513.X _6579_.RESET_B (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout513.X _6407_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout513.X _6862_.RESET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout513.X _6882_.SET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout513.X _6886_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout513.X _6894_.RESET_B (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout513.X _6906_.SET_B (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout513.X _6907_.SET_B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout513.X _6910_.RESET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout513.X _6914_.SET_B (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout513.X _6918_.RESET_B (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout513.X _6942_.RESET_B (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout513.X _7066_.SET_B (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout514.X _6499_.SET_B (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT fanout514.X _6851_.SET_B (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT fanout514.X _6867_.RESET_B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout514.X _6915_.SET_B (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT fanout514.X _6916_.RESET_B (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT fanout514.X _6923_.SET_B (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT fanout514.X _6939_.SET_B (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT fanout514.X _6947_.SET_B (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout514.X _6971_.SET_B (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout514.X _6979_.SET_B (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT fanout514.X _7006_.RESET_B (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT fanout514.X _7010_.SET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout514.X _7030_.RESET_B (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout514.X _7043_.SET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout514.X _7128_.SET_B (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT fanout514.X _6868_.RESET_B (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT fanout514.X _6950_.RESET_B (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT fanout514.X _6964_.RESET_B (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT fanout514.X _6972_.RESET_B (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT fanout514.X _7011_.SET_B (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT fanout515.X _7027_.SET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout515.X _7029_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout515.X _7037_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout515.X _7051_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout515.X _7059_.SET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout515.X _7060_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout515.X _7062_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout515.X _7075_.SET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout515.X _7078_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout515.X _7086_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout516.X _6568_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout516.X _6570_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout516.X _6836_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout516.X _6838_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout516.X _6872_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout516.X _6893_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout516.X _6925_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout516.X _6992_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout516.X _7039_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout516.X _7047_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout516.X _6566_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout516.X _6567_.RESET_B (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT fanout516.X _6569_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout516.X _6837_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout516.X _6863_.RESET_B (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout516.X _6887_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout516.X _6892_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout516.X _6895_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout516.X _6904_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout516.X _6905_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout517.X _6847_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout517.X _6857_.RESET_B (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT fanout517.X _6873_.RESET_B (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT fanout517.X _6889_.RESET_B (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout517.X _6897_.RESET_B (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout517.X _6921_.RESET_B (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT fanout517.X _6929_.RESET_B (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT fanout517.X _6931_.SET_B (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT fanout517.X _6944_.RESET_B (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT fanout517.X _6945_.RESET_B (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT fanout517.X _6952_.RESET_B (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout517.X _6953_.RESET_B (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT fanout517.X _6976_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout517.X _6985_.RESET_B (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT fanout517.X _6991_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout517.X _7065_.RESET_B (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout517.X _6927_.RESET_B (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout517.X _6951_.RESET_B (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout517.X fanout516.A (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT fanout517.X _7079_.RESET_B (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT fanout518.X _6500_.RESET_B (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout518.X _6502_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout518.X _6898_.SET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout518.X _6899_.SET_B (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout518.X _6900_.RESET_B (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout518.X _6934_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout518.X _6946_.SET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout518.X _6974_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout518.X _6987_.SET_B (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout518.X _7022_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout518.X _7054_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout518.X _7083_.SET_B (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT fanout518.X _7105_.RESET_B (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout518.X _7129_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout518.X _7131_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout518.X _7139_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout518.X _6571_.RESET_B (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout518.X _6852_.RESET_B (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout518.X _6853_.RESET_B (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT fanout518.X _6876_.RESET_B (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT fanout519.X _6874_.SET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout519.X _6875_.SET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout519.X _6878_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout519.X _6938_.SET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout519.X _7019_.SET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout519.X _7104_.SET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout519.X _7113_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout519.X _7120_.SET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout519.X _7123_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout519.X _7135_.SET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout519.X _7136_.SET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout519.X _6550_.RESET_B (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout519.X _6551_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout519.X _6576_.RESET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout519.X _6584_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout519.X _6585_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout519.X _6890_.SET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout519.X _6908_.RESET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout519.X _6930_.SET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout519.X _7014_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout520.X _6821_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout520.X _6822_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout520.X _6823_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout520.X _6839_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout520.X _6877_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout520.X _6884_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout520.X _6924_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout520.X _6941_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout520.X _7053_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout520.X _7121_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout520.X _7138_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout520.X _6552_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout520.X _6553_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout520.X _6586_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout520.X _6587_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout520.X _6826_.RESET_B (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout520.X _6827_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout520.X _6828_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout520.X _6860_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout520.X _6909_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout521.X fanout519.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout521.X _7130_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout521.X _7127_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout521.X _7103_.SET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout521.X _7067_.SET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout521.X _7058_.SET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout521.X fanout520.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout521.X _7122_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout521.X _7069_.RESET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout521.X _7061_.RESET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout521.X _7021_.RESET_B (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout521.X _7013_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout521.X _6940_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout521.X _6932_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout522.X _6834_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout522.X _6835_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout522.X _6869_.RESET_B (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT fanout522.X _6871_.RESET_B (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout522.X _6903_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout522.X _6933_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout522.X _6943_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout522.X _6965_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout522.X _6973_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout522.X _7049_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout522.X _7055_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout522.X _6573_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout522.X _6841_.RESET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout522.X _6855_.RESET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout522.X _6885_.RESET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout522.X _6917_.RESET_B (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT fanout522.X _6949_.RESET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout522.X _6957_.RESET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout522.X _6959_.RESET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout522.X _6981_.RESET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT fanout523.X _6501_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout523.X _6503_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout523.X _6572_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout523.X _6879_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout523.X _6881_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout523.X _6901_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout523.X _6919_.RESET_B (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout523.X _6967_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout523.X _6975_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout523.X _6983_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout523.X _7045_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout523.X _7063_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout523.X _7089_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout523.X _7108_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout523.X fanout522.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout523.X _7140_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout523.X _6989_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout524.X _6840_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout524.X _6880_.RESET_B (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout524.X _6911_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout524.X _6913_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout524.X _7015_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout524.X _7085_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout524.X _7087_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout524.X _7124_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout524.X _7125_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout524.X _7126_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout524.X _7134_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout524.X _6829_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout524.X _6830_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout524.X _6831_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout524.X _6832_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout524.X _6833_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout524.X _6912_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout524.X _6935_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout524.X _7017_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout524.X _7064_.RESET_B (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout525.X fanout524.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout525.X _7106_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout525.X _7073_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout525.X _6546_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout525.X _6547_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout525.X _6548_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout525.X _6549_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout525.X _6580_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout525.X _6581_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout525.X _6582_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout525.X _6583_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout525.X _7114_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout525.X _7116_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout525.X _7118_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout525.X _7132_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout525.X _7142_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout526.X _7071_.RESET_B (0.027:0.027:0.027) (0.025:0.025:0.025)) - (INTERCONNECT fanout526.X _7031_.RESET_B (0.030:0.030:0.030) (0.028:0.028:0.028)) - (INTERCONNECT fanout526.X _7007_.RESET_B (0.028:0.028:0.028) (0.026:0.026:0.026)) - (INTERCONNECT fanout526.X fanout517.A (0.030:0.030:0.030) (0.028:0.028:0.028)) - (INTERCONNECT fanout526.X fanout514.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout526.X fanout515.A (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT fanout526.X fanout523.A (0.027:0.027:0.027) (0.025:0.025:0.025)) - (INTERCONNECT fanout526.X fanout525.A (0.027:0.027:0.027) (0.025:0.025:0.025)) - (INTERCONNECT fanout526.X fanout518.A (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT fanout526.X _7052_.RESET_B (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT fanout526.X _7005_.RESET_B (0.025:0.025:0.025) (0.023:0.023:0.023)) - (INTERCONNECT fanout526.X _7004_.RESET_B (0.023:0.023:0.023) (0.021:0.021:0.021)) - (INTERCONNECT fanout526.X _6956_.RESET_B (0.026:0.026:0.026) (0.024:0.024:0.024)) - (INTERCONNECT fanout526.X _6948_.RESET_B (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT fanout526.X fanout521.A (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT fanout527.X fanout508.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout527.X fanout491.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout527.X fanout487.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout527.X fanout497.A (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT fanout527.X fanout526.A (0.050:0.050:0.050) (0.047:0.047:0.047)) - (INTERCONNECT fanout527.X fanout511.A (0.040:0.040:0.040) (0.038:0.038:0.038)) - (INTERCONNECT fanout527.X fanout512.A (0.056:0.056:0.056) (0.053:0.053:0.053)) - (INTERCONNECT fanout527.X _7082_.SET_B (0.061:0.061:0.061) (0.057:0.057:0.057)) - (INTERCONNECT fanout527.X fanout513.A (0.060:0.060:0.060) (0.056:0.056:0.056)) - (INTERCONNECT fanout527.X _7119_.SET_B (0.061:0.061:0.061) (0.057:0.057:0.057)) - (INTERCONNECT fanout527.X _7111_.SET_B (0.061:0.061:0.061) (0.057:0.057:0.057)) - (INTERCONNECT fanout528.X _4165_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout528.X _4180_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout528.X _4189_.B (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout528.X _6348_.B (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT fanout528.X _6692_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout528.X _6693_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout528.X _6694_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout528.X _6695_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout528.X _6698_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout528.X _6699_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT fanout528.X _6700_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout528.X _6776_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout528.X _7205_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout528.X _6680_.RESET_B (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT fanout528.X _6691_.SET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout528.X _6696_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout528.X _6697_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout528.X _7188_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout528.X _7197_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout528.X _7198_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT fanout529.X _6777_.RESET_B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT fanout529.X _6778_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT fanout529.X _6779_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout529.X _6780_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout529.X _6781_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout529.X _6782_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout529.X fanout528.A (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT fanout529.X _7206_.RESET_B (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT fanout529.X _7204_.RESET_B (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT fanout529.X _7203_.RESET_B (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT fanout529.X _7202_.RESET_B (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT fanout529.X _7201_.RESET_B (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT fanout529.X _7200_.RESET_B (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT fanout529.X _7199_.RESET_B (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT fanout530.X _4398_.C (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT fanout530.X _4430_.A (0.039:0.039:0.039) (0.036:0.036:0.036)) - (INTERCONNECT fanout530.X _4495_.A (0.034:0.034:0.034) (0.031:0.031:0.031)) - (INTERCONNECT fanout530.X _4532_.A (0.033:0.033:0.033) (0.030:0.030:0.030)) - (INTERCONNECT fanout530.X _4537_.D (0.022:0.022:0.022) (0.020:0.020:0.020)) - (INTERCONNECT fanout530.X _4561_.A (0.025:0.025:0.025) (0.023:0.023:0.023)) - (INTERCONNECT fanout530.X _4562_.A (0.028:0.028:0.028) (0.026:0.026:0.026)) - (INTERCONNECT fanout530.X _4570_.D (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT fanout530.X _4602_.A3 (0.027:0.027:0.027) (0.025:0.025:0.025)) - (INTERCONNECT fanout530.X _4773_.A1 (0.036:0.036:0.036) (0.033:0.033:0.033)) - (INTERCONNECT fanout530.X _3238_.A (0.047:0.047:0.047) (0.043:0.043:0.043)) - (INTERCONNECT fanout530.X _4343_.B (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT fanout530.X _4345_.C1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT fanout530.X _4376_.A (0.047:0.047:0.047) (0.043:0.043:0.043)) - (INTERCONNECT fanout530.X _4384_.A (0.044:0.044:0.044) (0.040:0.040:0.040)) - (INTERCONNECT fanout530.X _4385_.A (0.043:0.043:0.043) (0.039:0.039:0.039)) - (INTERCONNECT fanout530.X _4459_.A (0.047:0.047:0.047) (0.042:0.042:0.042)) - (INTERCONNECT fanout530.X _4471_.A (0.045:0.045:0.045) (0.041:0.041:0.041)) - (INTERCONNECT fanout530.X _4472_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout530.X _4828_.A1 (0.043:0.043:0.043) (0.039:0.039:0.039)) - (INTERCONNECT _7159__531.HI _7159_.D (0.000:0.000:0.000)) - (INTERCONNECT net499_2.Y _3941_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0_0_wb_clk_i.X clkbuf_1_0_1_wb_clk_i.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0_1_wb_clk_i.X clkbuf_2_0_0_wb_clk_i.A (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_0_1_wb_clk_i.X clkbuf_2_1_0_wb_clk_i.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_1_0_wb_clk_i.X clkbuf_1_1_1_wb_clk_i.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1_1_wb_clk_i.X clkbuf_2_2_0_wb_clk_i.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1_1_wb_clk_i.X clkbuf_2_3_0_wb_clk_i.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_2_0_0_wb_clk_i.X clkbuf_3_0_0_wb_clk_i.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_2_0_0_wb_clk_i.X clkbuf_3_1_0_wb_clk_i.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_2_1_0_wb_clk_i.X clkbuf_3_2_0_wb_clk_i.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_2_1_0_wb_clk_i.X clkbuf_3_3_0_wb_clk_i.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_2_2_0_wb_clk_i.X clkbuf_3_4_0_wb_clk_i.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_2_2_0_wb_clk_i.X clkbuf_3_5_0_wb_clk_i.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_2_3_0_wb_clk_i.X clkbuf_3_6_0_wb_clk_i.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_2_3_0_wb_clk_i.X clkbuf_3_7_0_wb_clk_i.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_3_0_0_wb_clk_i.X _7183_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT clkbuf_3_0_0_wb_clk_i.X _7175_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_0_0_wb_clk_i.X _7165_.CLK (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT clkbuf_3_0_0_wb_clk_i.X _7164_.CLK (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT clkbuf_3_0_0_wb_clk_i.X _7163_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_0_0_wb_clk_i.X _7162_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_0_0_wb_clk_i.X _7152_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_3_0_0_wb_clk_i.X _7151_.CLK (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_3_0_0_wb_clk_i.X _7150_.CLK (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT clkbuf_3_0_0_wb_clk_i.X _7149_.CLK (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_3_1_0_wb_clk_i.X _7187_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_3_1_0_wb_clk_i.X _7186_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_3_1_0_wb_clk_i.X _7185_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_3_1_0_wb_clk_i.X _7184_.CLK (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_1_0_wb_clk_i.X _7173_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_1_0_wb_clk_i.X _7172_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_1_0_wb_clk_i.X _7171_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_1_0_wb_clk_i.X _7170_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_1_0_wb_clk_i.X _6650_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT clkbuf_3_1_0_wb_clk_i.X _6649_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT clkbuf_3_1_0_wb_clk_i.X _6648_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT clkbuf_3_1_0_wb_clk_i.X _6647_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT clkbuf_3_2_0_wb_clk_i.X _7182_.CLK (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT clkbuf_3_2_0_wb_clk_i.X _7174_.CLK (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT clkbuf_3_2_0_wb_clk_i.X _7169_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT clkbuf_3_2_0_wb_clk_i.X _7168_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT clkbuf_3_2_0_wb_clk_i.X _7166_.CLK (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT clkbuf_3_2_0_wb_clk_i.X _7160_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT clkbuf_3_2_0_wb_clk_i.X _7159_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT clkbuf_3_2_0_wb_clk_i.X _7158_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_2_0_wb_clk_i.X _7156_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_3_2_0_wb_clk_i.X _7153_.CLK (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_3_2_0_wb_clk_i.X _7145_.CLK (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT clkbuf_3_2_0_wb_clk_i.X _6564_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT clkbuf_3_2_0_wb_clk_i.X _6562_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT clkbuf_3_3_0_wb_clk_i.X _7181_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_3_0_wb_clk_i.X _7180_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_3_0_wb_clk_i.X _7179_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_3_0_wb_clk_i.X _7178_.CLK (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_3_0_wb_clk_i.X _7177_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_3_0_wb_clk_i.X _7176_.CLK (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_3_0_wb_clk_i.X _7167_.CLK (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT clkbuf_3_3_0_wb_clk_i.X _7161_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT clkbuf_3_3_0_wb_clk_i.X _7157_.CLK (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT clkbuf_3_3_0_wb_clk_i.X _7155_.CLK (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT clkbuf_3_3_0_wb_clk_i.X _7154_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_3_3_0_wb_clk_i.X _7148_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_3_3_0_wb_clk_i.X _7147_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT clkbuf_3_3_0_wb_clk_i.X _7146_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT clkbuf_3_3_0_wb_clk_i.X _7144_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT clkbuf_3_3_0_wb_clk_i.X _7143_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_3_3_0_wb_clk_i.X _6565_.CLK (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT clkbuf_3_3_0_wb_clk_i.X _6563_.CLK (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT clkbuf_3_4_0_wb_clk_i.X _7193_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_3_4_0_wb_clk_i.X _7191_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_4_0_wb_clk_i.X _7190_.CLK (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_3_4_0_wb_clk_i.X _7189_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_4_0_wb_clk_i.X _6654_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_4_0_wb_clk_i.X _6653_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_4_0_wb_clk_i.X _6652_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_4_0_wb_clk_i.X _6651_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_3_4_0_wb_clk_i.X _6644_.CLK (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_3_4_0_wb_clk_i.X _6643_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_3_4_0_wb_clk_i.X _6642_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_4_0_wb_clk_i.X _6641_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_4_0_wb_clk_i.X _6640_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_4_0_wb_clk_i.X _6639_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_4_0_wb_clk_i.X _6630_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_3_4_0_wb_clk_i.X _6628_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_3_4_0_wb_clk_i.X _6626_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_5_0_wb_clk_i.X _7196_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_3_5_0_wb_clk_i.X _7195_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_3_5_0_wb_clk_i.X _7192_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_3_5_0_wb_clk_i.X _6646_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_3_5_0_wb_clk_i.X _6645_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_3_5_0_wb_clk_i.X _6633_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_3_5_0_wb_clk_i.X _6632_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_3_5_0_wb_clk_i.X _6631_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_3_5_0_wb_clk_i.X _6629_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_3_5_0_wb_clk_i.X _6627_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_3_6_0_wb_clk_i.X _7206_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_6_0_wb_clk_i.X _7205_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_3_6_0_wb_clk_i.X _7204_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_6_0_wb_clk_i.X _7203_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_6_0_wb_clk_i.X _7202_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_6_0_wb_clk_i.X _7201_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_6_0_wb_clk_i.X _7194_.CLK (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT clkbuf_3_6_0_wb_clk_i.X _6781_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT clkbuf_3_6_0_wb_clk_i.X _6780_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT clkbuf_3_6_0_wb_clk_i.X _6779_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT clkbuf_3_6_0_wb_clk_i.X _6778_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT clkbuf_3_6_0_wb_clk_i.X _6777_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT clkbuf_3_6_0_wb_clk_i.X _3937_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT clkbuf_3_6_0_wb_clk_i.X ANTENNA_204.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_3_6_0_wb_clk_i.X ANTENNA_205.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT clkbuf_3_6_0_wb_clk_i.X ANTENNA_251.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT clkbuf_3_7_0_wb_clk_i.X _7200_.CLK (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT clkbuf_3_7_0_wb_clk_i.X _7199_.CLK (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT clkbuf_3_7_0_wb_clk_i.X _7198_.CLK (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT clkbuf_3_7_0_wb_clk_i.X _7197_.CLK (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT clkbuf_3_7_0_wb_clk_i.X _7188_.CLK (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT clkbuf_3_7_0_wb_clk_i.X _6782_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_3_7_0_wb_clk_i.X _6776_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_3_7_0_wb_clk_i.X _6700_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_3_7_0_wb_clk_i.X _6699_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_3_7_0_wb_clk_i.X _6698_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_3_7_0_wb_clk_i.X _6697_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_3_7_0_wb_clk_i.X _6696_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_3_7_0_wb_clk_i.X _6695_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_7_0_wb_clk_i.X _6694_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_7_0_wb_clk_i.X _6693_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_3_7_0_wb_clk_i.X _6692_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_3_7_0_wb_clk_i.X _6691_.CLK (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT clkbuf_3_7_0_wb_clk_i.X _6680_.CLK (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_0_mgmt_gpio_in\[4\].X clkbuf_2_0__f_mgmt_gpio_in\[4\].A (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_mgmt_gpio_in\[4\].X clkbuf_2_1__f_mgmt_gpio_in\[4\].A (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_mgmt_gpio_in\[4\].X clkbuf_2_2__f_mgmt_gpio_in\[4\].A (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_mgmt_gpio_in\[4\].X clkbuf_2_3__f_mgmt_gpio_in\[4\].A (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_0__f_mgmt_gpio_in\[4\].X _6789_.CLK_N (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_0__f_mgmt_gpio_in\[4\].X _6784_.CLK_N (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_0__f_mgmt_gpio_in\[4\].X _6783_.CLK_N (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_0__f_mgmt_gpio_in\[4\].X _6545_.CLK (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_0__f_mgmt_gpio_in\[4\].X _6541_.CLK (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_0__f_mgmt_gpio_in\[4\].X _6472_.CLK (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_0__f_mgmt_gpio_in\[4\].X _6469_.CLK (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_0__f_mgmt_gpio_in\[4\].X _6462_.CLK (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_0__f_mgmt_gpio_in\[4\].X _6457_.CLK (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_0__f_mgmt_gpio_in\[4\].X _6456_.CLK (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_0__f_mgmt_gpio_in\[4\].X _6455_.CLK (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_0__f_mgmt_gpio_in\[4\].X _3927_.A1 (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_0__f_mgmt_gpio_in\[4\].X ANTENNA_206.DIODE (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_0__f_mgmt_gpio_in\[4\].X ANTENNA_207.DIODE (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_0__f_mgmt_gpio_in\[4\].X ANTENNA_208.DIODE (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_0__f_mgmt_gpio_in\[4\].X ANTENNA_209.DIODE (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_0__f_mgmt_gpio_in\[4\].X ANTENNA_210.DIODE (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_0__f_mgmt_gpio_in\[4\].X ANTENNA_211.DIODE (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_0__f_mgmt_gpio_in\[4\].X ANTENNA_212.DIODE (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_0__f_mgmt_gpio_in\[4\].X ANTENNA_213.DIODE (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_0__f_mgmt_gpio_in\[4\].X ANTENNA_214.DIODE (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_0__f_mgmt_gpio_in\[4\].X ANTENNA_215.DIODE (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_0__f_mgmt_gpio_in\[4\].X ANTENNA_216.DIODE (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_1__f_mgmt_gpio_in\[4\].X _6790_.CLK_N (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_1__f_mgmt_gpio_in\[4\].X _6785_.CLK_N (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_1__f_mgmt_gpio_in\[4\].X _6544_.CLK (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_1__f_mgmt_gpio_in\[4\].X _6543_.CLK (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_1__f_mgmt_gpio_in\[4\].X _6542_.CLK (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_1__f_mgmt_gpio_in\[4\].X _6473_.CLK (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_1__f_mgmt_gpio_in\[4\].X _6471_.CLK (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_1__f_mgmt_gpio_in\[4\].X _6470_.CLK (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_1__f_mgmt_gpio_in\[4\].X _6461_.CLK (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_1__f_mgmt_gpio_in\[4\].X _6460_.CLK (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_1__f_mgmt_gpio_in\[4\].X _6459_.CLK (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_1__f_mgmt_gpio_in\[4\].X _6458_.CLK (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_1__f_mgmt_gpio_in\[4\].X _6454_.CLK (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_1__f_mgmt_gpio_in\[4\].X _6453_.CLK (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_1__f_mgmt_gpio_in\[4\].X _6445_.CLK (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_1__f_mgmt_gpio_in\[4\].X _6444_.CLK (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_1__f_mgmt_gpio_in\[4\].X _6442_.CLK_N (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_1__f_mgmt_gpio_in\[4\].X _3945_.A1 (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_2__f_mgmt_gpio_in\[4\].X _6788_.CLK_N (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_2__f_mgmt_gpio_in\[4\].X _6787_.CLK_N (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_2__f_mgmt_gpio_in\[4\].X _6786_.CLK_N (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_2__f_mgmt_gpio_in\[4\].X _6468_.CLK (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_2__f_mgmt_gpio_in\[4\].X _6467_.CLK (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_2__f_mgmt_gpio_in\[4\].X _6466_.CLK (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_2__f_mgmt_gpio_in\[4\].X _6465_.CLK (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_2__f_mgmt_gpio_in\[4\].X _6464_.CLK (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_2__f_mgmt_gpio_in\[4\].X _6463_.CLK (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_2__f_mgmt_gpio_in\[4\].X _3487_.B2 (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_3__f_mgmt_gpio_in\[4\].X net499_2.A (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_3__f_mgmt_gpio_in\[4\].X _3234__1.A (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_3__f_mgmt_gpio_in\[4\].X _6452_.CLK (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_3__f_mgmt_gpio_in\[4\].X _6451_.CLK (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_3__f_mgmt_gpio_in\[4\].X _6450_.CLK (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_3__f_mgmt_gpio_in\[4\].X _6449_.CLK (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_3__f_mgmt_gpio_in\[4\].X _6448_.CLK (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_3__f_mgmt_gpio_in\[4\].X _6447_.CLK (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_2_3__f_mgmt_gpio_in\[4\].X _6446_.CLK (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_0_csclk.X _6577_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_0_csclk.X _6574_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_0_csclk.X _6575_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_0_csclk.X _6762_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_0_csclk.X _6764_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_0_csclk.X _6761_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_0_csclk.X _6536_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_0_csclk.X _6763_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_0_csclk.X _6538_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_0_csclk.X _6666_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_0_csclk.X _6665_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_0_csclk.X _6537_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_1_csclk.X _6512_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_1_csclk.X _6511_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_1_csclk.X _6513_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_1_csclk.X _6635_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_1_csclk.X _7093_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_1_csclk.X _6540_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_1_csclk.X _6667_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_2_csclk.X _6539_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_2_csclk.X _6702_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_2_csclk.X _6701_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_2_csclk.X _6507_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_2_csclk.X _6707_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_2_csclk.X _6523_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_2_csclk.X _6521_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_2_csclk.X _6522_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_2_csclk.X _6589_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_2_csclk.X _6555_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_2_csclk.X _6656_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_3_csclk.X _6524_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_3_csclk.X _6509_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_3_csclk.X _6657_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_3_csclk.X _6613_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_3_csclk.X _6655_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_3_csclk.X _6519_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_3_csclk.X _6706_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_3_csclk.X _6704_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_3_csclk.X _6520_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_3_csclk.X _6614_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_3_csclk.X _6708_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_3_csclk.X _6615_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_3_csclk.X _6525_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_4_csclk.X _6684_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_4_csclk.X _6685_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_4_csclk.X _6658_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_4_csclk.X _6510_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_4_csclk.X _6709_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_4_csclk.X _6659_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_4_csclk.X _6638_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_4_csclk.X _6668_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_4_csclk.X _6669_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_5_csclk.X _6710_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_5_csclk.X _6634_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_5_csclk.X _6636_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_5_csclk.X _7094_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_5_csclk.X _6671_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_5_csclk.X _6686_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_5_csclk.X _6689_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_5_csclk.X _6688_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_5_csclk.X _6674_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_5_csclk.X _6637_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_5_csclk.X _6673_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_5_csclk.X _6690_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_5_csclk.X _6687_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_5_csclk.X _6514_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_5_csclk.X _6515_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_5_csclk.X _7091_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_6_csclk.X _6703_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_6_csclk.X _6752_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_6_csclk.X _6754_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_6_csclk.X _6755_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_6_csclk.X _6534_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_6_csclk.X _6535_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_6_csclk.X _6705_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_8_csclk.X _6715_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_8_csclk.X _6963_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_8_csclk.X _6748_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_8_csclk.X _6749_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_8_csclk.X _6750_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_8_csclk.X _6747_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_8_csclk.X _6713_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_8_csclk.X _6618_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_8_csclk.X _6610_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_8_csclk.X _6739_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_9_csclk.X _6725_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_9_csclk.X _6724_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_9_csclk.X _6723_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_9_csclk.X _6620_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_9_csclk.X _6721_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_9_csclk.X _6609_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_9_csclk.X _6722_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_9_csclk.X _6714_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_9_csclk.X _6711_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_9_csclk.X _6712_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_9_csclk.X _6608_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_9_csclk.X _6607_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_10_csclk.X _6606_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_10_csclk.X _6624_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_10_csclk.X _6623_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_10_csclk.X _6622_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_10_csclk.X _6625_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_10_csclk.X _6621_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_10_csclk.X _6679_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_11_csclk.X _6672_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_11_csclk.X _6678_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_11_csclk.X _6677_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_11_csclk.X _6676_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_11_csclk.X _6675_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_11_csclk.X _6670_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_11_csclk.X _6683_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_11_csclk.X _6682_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_11_csclk.X _6681_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_12_csclk.X _6616_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_12_csclk.X _6617_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_14_csclk.X _6579_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_14_csclk.X _6578_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_15_csclk.X _6907_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_15_csclk.X _6942_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_15_csclk.X _7066_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_15_csclk.X _7111_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_15_csclk.X _6914_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_15_csclk.X _6918_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_15_csclk.X _6894_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_15_csclk.X _6890_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_15_csclk.X _6906_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_15_csclk.X _6908_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_15_csclk.X _6938_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_15_csclk.X _7119_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_15_csclk.X _7123_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_15_csclk.X _6862_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_15_csclk.X _6886_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_15_csclk.X _6910_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_16_csclk.X _6926_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_16_csclk.X _7082_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_16_csclk.X _6902_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_16_csclk.X _6966_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_16_csclk.X _6958_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_16_csclk.X _7022_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_16_csclk.X _6870_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_17_csclk.X _6854_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_17_csclk.X _6727_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_17_csclk.X _6990_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_17_csclk.X _6978_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_17_csclk.X _6850_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_17_csclk.X _6954_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_17_csclk.X _6728_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_17_csclk.X _6726_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_17_csclk.X _6962_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_18_csclk.X _6730_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_18_csclk.X _6729_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_18_csclk.X _6619_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_18_csclk.X _6738_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_18_csclk.X _6737_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_18_csclk.X _6740_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_19_csclk.X _6736_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_19_csclk.X _6746_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_19_csclk.X _6955_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_19_csclk.X _7070_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_19_csclk.X _6982_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_19_csclk.X _7046_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_20_csclk.X _7086_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_20_csclk.X _6866_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_20_csclk.X _7107_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_20_csclk.X _7006_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_20_csclk.X _6979_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_21_csclk.X _7010_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_21_csclk.X _7030_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_21_csclk.X _7043_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_21_csclk.X _6867_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_21_csclk.X _6971_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_21_csclk.X _6947_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_22_csclk.X _7078_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_22_csclk.X _7027_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_22_csclk.X _7059_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_22_csclk.X _6950_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_23_csclk.X _7062_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_23_csclk.X _7011_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_23_csclk.X _7075_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_23_csclk.X _7051_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_23_csclk.X _6964_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_23_csclk.X _7060_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_23_csclk.X _6972_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_23_csclk.X _6868_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_23_csclk.X _7005_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_24_csclk.X _6956_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_24_csclk.X _6876_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_24_csclk.X _6852_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_24_csclk.X _6900_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_24_csclk.X _7105_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_24_csclk.X _7129_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_24_csclk.X _7004_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_25_csclk.X _7139_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_25_csclk.X _6934_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_25_csclk.X _7131_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_25_csclk.X _6974_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_25_csclk.X _6502_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_25_csclk.X _6899_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_25_csclk.X _6898_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_25_csclk.X _7054_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_25_csclk.X _6946_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_25_csclk.X _7083_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_25_csclk.X _6987_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_25_csclk.X _6500_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_26_csclk.X _6948_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_26_csclk.X _6875_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_26_csclk.X _7113_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_26_csclk.X _7135_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_26_csclk.X _6878_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_26_csclk.X _7104_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_26_csclk.X _6874_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_26_csclk.X _7120_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_26_csclk.X _7058_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_27_csclk.X _7103_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_27_csclk.X _7014_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_27_csclk.X _6576_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_27_csclk.X _7127_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_27_csclk.X _6584_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_27_csclk.X _6550_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_27_csclk.X _6930_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_27_csclk.X _7130_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_27_csclk.X _6585_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_27_csclk.X _6551_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_27_csclk.X _6552_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_28_csclk.X _6553_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_28_csclk.X _6586_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_28_csclk.X _7067_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_28_csclk.X _6587_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_28_csclk.X _6932_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_28_csclk.X _6940_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_28_csclk.X _7019_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_28_csclk.X _7121_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_28_csclk.X _7136_.CLK (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_28_csclk.X _6924_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_leaf_28_csclk.X _6884_.CLK (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_28_csclk.X _6860_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_28_csclk.X _6821_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_28_csclk.X _6827_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_28_csclk.X _6826_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_28_csclk.X _7021_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_28_csclk.X _7013_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_29_csclk.X _7061_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_29_csclk.X _6828_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_29_csclk.X _6829_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_29_csclk.X _6830_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_29_csclk.X _7106_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_29_csclk.X _6909_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_29_csclk.X _6941_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_29_csclk.X _7138_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_29_csclk.X _6913_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_29_csclk.X _7085_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_29_csclk.X _6877_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_29_csclk.X _7122_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_29_csclk.X _6822_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_29_csclk.X _6823_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_30_csclk.X _7053_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_30_csclk.X _6839_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_30_csclk.X _6853_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_30_csclk.X _7052_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_30_csclk.X _6571_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_31_csclk.X _7045_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_31_csclk.X _6901_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_31_csclk.X _6967_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_31_csclk.X _6975_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_31_csclk.X _7063_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_31_csclk.X _7089_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_31_csclk.X _6919_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_31_csclk.X _6501_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_31_csclk.X _6572_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_31_csclk.X _7108_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_32_csclk.X _6881_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_32_csclk.X _6879_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_32_csclk.X _6880_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_32_csclk.X _6911_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_32_csclk.X _7087_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_32_csclk.X _6840_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_32_csclk.X _7015_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_32_csclk.X _7124_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_32_csclk.X _7126_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_32_csclk.X _7064_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_32_csclk.X _7017_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_33_csclk.X _7073_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_33_csclk.X _7069_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_33_csclk.X _6831_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_33_csclk.X _6912_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_33_csclk.X _6833_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_33_csclk.X _6832_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_33_csclk.X _7114_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_33_csclk.X _6935_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_33_csclk.X _7125_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_33_csclk.X _7134_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_33_csclk.X _7116_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_34_csclk.X _7118_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_34_csclk.X _7132_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_35_csclk.X _6583_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_35_csclk.X _6549_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_35_csclk.X _6548_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_35_csclk.X _6582_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_35_csclk.X _6581_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_35_csclk.X _6547_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_35_csclk.X _6580_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_35_csclk.X _7142_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_36_csclk.X _6949_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_36_csclk.X _7140_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_36_csclk.X _6855_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_36_csclk.X _6885_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_36_csclk.X _6841_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_36_csclk.X _6957_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_36_csclk.X _6989_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_36_csclk.X _6546_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_36_csclk.X _6981_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_36_csclk.X _6917_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_36_csclk.X _6573_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_36_csclk.X _6965_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_37_csclk.X _6933_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_37_csclk.X _6835_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_37_csclk.X _6943_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_37_csclk.X _6869_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_37_csclk.X _6973_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_37_csclk.X _6871_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_37_csclk.X _7049_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_37_csclk.X _6959_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_38_csclk.X _6983_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_38_csclk.X _6503_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_38_csclk.X _7055_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_38_csclk.X _6903_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_39_csclk.X _6927_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_39_csclk.X _7079_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_39_csclk.X _7039_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_39_csclk.X _7047_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_39_csclk.X _6895_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_39_csclk.X _6863_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_39_csclk.X _6905_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_39_csclk.X _6951_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_40_csclk.X _6837_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_40_csclk.X _6904_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_40_csclk.X _6887_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_40_csclk.X _6567_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_40_csclk.X _6566_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_40_csclk.X _6834_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_40_csclk.X _6569_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_40_csclk.X _6892_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_40_csclk.X _6838_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_40_csclk.X _6925_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_40_csclk.X _6568_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_40_csclk.X _6836_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_40_csclk.X _6872_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_40_csclk.X _6893_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_40_csclk.X _6570_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_41_csclk.X _6992_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_41_csclk.X _6953_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_41_csclk.X _6985_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_41_csclk.X _6929_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_41_csclk.X _6945_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_41_csclk.X _6873_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_41_csclk.X _6889_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_41_csclk.X _6921_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_41_csclk.X _6857_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_43_csclk.X _6897_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_43_csclk.X _6976_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_43_csclk.X _6847_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_43_csclk.X _6991_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_43_csclk.X _7065_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_44_csclk.X _6952_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_44_csclk.X _7031_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_45_csclk.X _7071_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_45_csclk.X _7007_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_46_csclk.X _7037_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_46_csclk.X _7029_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_47_csclk.X _6499_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_47_csclk.X _6939_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_47_csclk.X _7128_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_47_csclk.X _6916_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_47_csclk.X _6915_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_48_csclk.X _6923_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_48_csclk.X _6851_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_49_csclk.X _6859_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_49_csclk.X _6883_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_50_csclk.X _7025_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_50_csclk.X _7110_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_50_csclk.X _6861_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_50_csclk.X _7084_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_50_csclk.X _6999_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_50_csclk.X _6844_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_50_csclk.X _6858_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_50_csclk.X _7077_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_50_csclk.X _6848_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_51_csclk.X _7072_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_51_csclk.X _7097_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_51_csclk.X _7098_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_51_csclk.X _6849_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_51_csclk.X _7016_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_52_csclk.X _6864_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_52_csclk.X _7088_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_52_csclk.X _6865_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_53_csclk.X _6920_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_53_csclk.X _6937_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_53_csclk.X _6977_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_53_csclk.X _6944_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_53_csclk.X _6928_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_53_csclk.X _6936_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_53_csclk.X _6856_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_53_csclk.X _6888_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_54_csclk.X _6559_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_54_csclk.X _6593_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_54_csclk.X _6969_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_54_csclk.X _6968_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_54_csclk.X _6896_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_55_csclk.X _7109_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_55_csclk.X _7133_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_55_csclk.X _7117_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_56_csclk.X _7101_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_56_csclk.X _7048_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_56_csclk.X _7141_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_56_csclk.X _7032_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_56_csclk.X _6558_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_56_csclk.X _6961_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_56_csclk.X _6592_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_56_csclk.X _6960_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_56_csclk.X _6556_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_56_csclk.X _6984_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_56_csclk.X _6590_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_56_csclk.X _6557_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_57_csclk.X _6595_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_57_csclk.X _6561_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_57_csclk.X _7033_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_57_csclk.X _6591_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_57_csclk.X _6809_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_57_csclk.X _6808_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_57_csclk.X _6997_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_57_csclk.X _6504_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_57_csclk.X _6810_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_58_csclk.X _6505_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_58_csclk.X _7057_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_58_csclk.X _6807_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_58_csclk.X _7100_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_58_csclk.X _7001_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_58_csclk.X _7102_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_58_csclk.X _7000_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_58_csclk.X _7040_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_58_csclk.X _7056_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_58_csclk.X _7041_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_58_csclk.X _7081_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_59_csclk.X _7024_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_59_csclk.X _7023_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_59_csclk.X _7008_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_59_csclk.X _7009_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_59_csclk.X _7080_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_59_csclk.X _6993_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_60_csclk.X _6814_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_60_csclk.X _6813_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_60_csclk.X _6818_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_60_csclk.X _7020_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_60_csclk.X _6816_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_60_csclk.X _6815_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_60_csclk.X _6817_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_60_csclk.X _7003_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_60_csclk.X _6998_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_60_csclk.X _7038_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_60_csclk.X _7035_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_60_csclk.X _7050_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_60_csclk.X _6769_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_60_csclk.X _6480_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_60_csclk.X _6481_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_61_csclk.X _6804_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_61_csclk.X _6479_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_61_csclk.X _6488_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_61_csclk.X _6805_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_61_csclk.X _6819_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_61_csclk.X _6498_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_61_csclk.X _7002_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_61_csclk.X _6767_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_62_csclk.X _6995_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_62_csclk.X _6994_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_62_csclk.X _7115_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_62_csclk.X _7099_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_62_csclk.X _6717_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_62_csclk.X _6720_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_63_csclk.X _6716_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_63_csclk.X _6718_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_63_csclk.X _6806_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_63_csclk.X _6719_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_63_csclk.X _7074_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_63_csclk.X _7026_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_64_csclk.X _7095_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_64_csclk.X _7028_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_64_csclk.X _7096_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_64_csclk.X _7034_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_64_csclk.X _6988_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_64_csclk.X _7112_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_64_csclk.X _7044_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_64_csclk.X _7036_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_64_csclk.X _7076_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_64_csclk.X _7137_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_65_csclk.X _6845_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_65_csclk.X _7068_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_65_csclk.X _6996_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_65_csclk.X _6846_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_65_csclk.X _6842_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_67_csclk.X _6922_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_67_csclk.X _6980_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_67_csclk.X _7012_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_67_csclk.X _6891_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_67_csclk.X _6843_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_69_csclk.X _7042_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_69_csclk.X _6532_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_69_csclk.X _6531_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_69_csclk.X _6533_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_69_csclk.X _6765_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_leaf_70_csclk.X _6986_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_70_csclk.X _6812_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_70_csclk.X _6772_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_70_csclk.X _6773_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_70_csclk.X _6775_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_71_csclk.X _6825_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_71_csclk.X _6824_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_71_csclk.X _6770_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_71_csclk.X _6771_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_71_csclk.X _6774_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_71_csclk.X _6487_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_71_csclk.X _6489_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_71_csclk.X _6477_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_71_csclk.X _6474_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_71_csclk.X _6476_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_71_csclk.X _6768_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_71_csclk.X _6766_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_71_csclk.X _6478_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_72_csclk.X _6475_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_72_csclk.X _6484_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_72_csclk.X _6483_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_72_csclk.X _6482_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_72_csclk.X _6485_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_72_csclk.X _6760_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_72_csclk.X _6758_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_72_csclk.X _6486_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_72_csclk.X _6497_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_73_csclk.X _6756_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_73_csclk.X _6757_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_73_csclk.X _6496_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_73_csclk.X _6495_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_73_csclk.X _6493_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_73_csclk.X _6494_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_73_csclk.X _6492_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_73_csclk.X _6490_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_73_csclk.X _6491_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_73_csclk.X _6759_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_73_csclk.X _6600_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_73_csclk.X _6599_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_73_csclk.X _6596_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_73_csclk.X _6598_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_73_csclk.X _6735_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_73_csclk.X _6751_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_75_csclk.X _7208_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_75_csclk.X _7210_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_75_csclk.X _7211_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_75_csclk.X _6731_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_75_csclk.X _6734_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_75_csclk.X _6733_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_75_csclk.X _6732_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_75_csclk.X _6597_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_75_csclk.X _6745_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_75_csclk.X _6744_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_75_csclk.X _6741_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_76_csclk.X _6803_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_76_csclk.X _6801_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_76_csclk.X _6802_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_76_csclk.X _6800_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_76_csclk.X _6530_.CLK (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_76_csclk.X _6743_.CLK (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_76_csclk.X _6529_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_leaf_76_csclk.X _6799_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_76_csclk.X _6796_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_76_csclk.X _6798_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_76_csclk.X _6797_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_76_csclk.X _6795_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_76_csclk.X _6527_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_leaf_76_csclk.X _6526_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_leaf_76_csclk.X _6528_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_leaf_76_csclk.X _6742_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_leaf_76_csclk.X _7207_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_leaf_77_csclk.X _7209_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_77_csclk.X _7092_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_77_csclk.X _7090_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_77_csclk.X _6601_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_77_csclk.X _6605_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_77_csclk.X _6602_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_77_csclk.X _6604_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_leaf_77_csclk.X _6603_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_leaf_77_csclk.X _6792_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_leaf_77_csclk.X _6793_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_leaf_77_csclk.X _6794_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_leaf_77_csclk.X _6791_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_leaf_77_csclk.X _6811_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_leaf_78_csclk.X _6664_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_78_csclk.X _6662_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_78_csclk.X _6660_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_78_csclk.X _6663_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_78_csclk.X _6661_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_78_csclk.X _6517_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_leaf_78_csclk.X _6612_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_78_csclk.X _6518_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_78_csclk.X _6516_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_78_csclk.X _6611_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_78_csclk.X _6560_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_78_csclk.X _6554_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_78_csclk.X _6588_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_78_csclk.X _6594_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_78_csclk.X _6506_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_leaf_78_csclk.X _6508_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_0_csclk.X clkbuf_1_0_0_csclk.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_0_csclk.X clkbuf_1_1_0_csclk.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_0_0_csclk.X clkbuf_1_0_1_csclk.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0_1_csclk.X clkbuf_2_0_0_csclk.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_1_0_1_csclk.X clkbuf_2_1_0_csclk.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1_0_csclk.X clkbuf_1_1_1_csclk.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1_1_csclk.X clkbuf_2_2_0_csclk.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1_1_csclk.X clkbuf_2_3_0_csclk.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_2_0_0_csclk.X clkbuf_3_0_0_csclk.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_2_0_0_csclk.X clkbuf_3_1_0_csclk.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_2_1_0_csclk.X clkbuf_3_2_0_csclk.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_2_1_0_csclk.X clkbuf_3_3_0_csclk.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_2_2_0_csclk.X clkbuf_3_4_0_csclk.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_2_2_0_csclk.X clkbuf_3_5_0_csclk.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_2_3_0_csclk.X clkbuf_3_6_0_csclk.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_2_3_0_csclk.X clkbuf_3_7_0_csclk.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_3_0_0_csclk.X clkbuf_leaf_61_csclk.A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT clkbuf_3_0_0_csclk.X clkbuf_leaf_63_csclk.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT clkbuf_3_0_0_csclk.X clkbuf_leaf_70_csclk.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT clkbuf_3_0_0_csclk.X clkbuf_leaf_71_csclk.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT clkbuf_3_0_0_csclk.X clkbuf_leaf_72_csclk.A (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT clkbuf_3_0_0_csclk.X clkbuf_leaf_73_csclk.A (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT clkbuf_3_0_0_csclk.X _6753_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_3_0_0_csclk.X clkbuf_leaf_75_csclk.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT clkbuf_3_0_0_csclk.X clkbuf_leaf_76_csclk.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT clkbuf_3_0_0_csclk.X clkbuf_leaf_77_csclk.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT clkbuf_3_0_0_csclk.X clkbuf_leaf_78_csclk.A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT clkbuf_3_1_0_csclk.X clkbuf_leaf_0_csclk.A (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT clkbuf_3_1_0_csclk.X clkbuf_leaf_1_csclk.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT clkbuf_3_1_0_csclk.X clkbuf_leaf_2_csclk.A (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT clkbuf_3_1_0_csclk.X clkbuf_leaf_3_csclk.A (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT clkbuf_3_1_0_csclk.X clkbuf_opt_1_0_csclk.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT clkbuf_3_1_0_csclk.X clkbuf_leaf_5_csclk.A (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT clkbuf_3_1_0_csclk.X clkbuf_leaf_6_csclk.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_1_0_csclk.X _7018_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_1_0_csclk.X clkbuf_leaf_69_csclk.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_2_0_csclk.X clkbuf_leaf_56_csclk.A (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT clkbuf_3_2_0_csclk.X clkbuf_leaf_57_csclk.A (0.018:0.018:0.018) (0.016:0.016:0.016)) - (INTERCONNECT clkbuf_3_2_0_csclk.X clkbuf_leaf_58_csclk.A (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT clkbuf_3_2_0_csclk.X clkbuf_leaf_59_csclk.A (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT clkbuf_3_2_0_csclk.X clkbuf_leaf_60_csclk.A (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT clkbuf_3_2_0_csclk.X clkbuf_leaf_62_csclk.A (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT clkbuf_3_2_0_csclk.X clkbuf_leaf_64_csclk.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT clkbuf_3_3_0_csclk.X clkbuf_leaf_49_csclk.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT clkbuf_3_3_0_csclk.X clkbuf_leaf_50_csclk.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_3_3_0_csclk.X clkbuf_leaf_51_csclk.A (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_3_0_csclk.X clkbuf_leaf_52_csclk.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_3_0_csclk.X clkbuf_leaf_53_csclk.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT clkbuf_3_3_0_csclk.X clkbuf_leaf_54_csclk.A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT clkbuf_3_3_0_csclk.X clkbuf_leaf_55_csclk.A (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT clkbuf_3_3_0_csclk.X clkbuf_leaf_65_csclk.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT clkbuf_3_3_0_csclk.X clkbuf_leaf_67_csclk.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT clkbuf_3_3_0_csclk.X _6970_.CLK (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT clkbuf_3_4_0_csclk.X _6820_.CLK (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_3_4_0_csclk.X clkbuf_leaf_8_csclk.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT clkbuf_3_4_0_csclk.X clkbuf_leaf_9_csclk.A (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT clkbuf_3_4_0_csclk.X clkbuf_leaf_10_csclk.A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT clkbuf_3_4_0_csclk.X clkbuf_opt_2_0_csclk.A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT clkbuf_3_4_0_csclk.X clkbuf_leaf_18_csclk.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_4_0_csclk.X clkbuf_leaf_19_csclk.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_3_4_0_csclk.X clkbuf_leaf_20_csclk.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT clkbuf_3_4_0_csclk.X clkbuf_leaf_21_csclk.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT clkbuf_3_4_0_csclk.X clkbuf_leaf_22_csclk.A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT clkbuf_3_4_0_csclk.X clkbuf_leaf_23_csclk.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT clkbuf_3_5_0_csclk.X clkbuf_leaf_12_csclk.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_3_5_0_csclk.X _6882_.CLK (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_5_0_csclk.X clkbuf_leaf_14_csclk.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT clkbuf_3_5_0_csclk.X clkbuf_leaf_15_csclk.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_3_5_0_csclk.X clkbuf_leaf_16_csclk.A (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_5_0_csclk.X clkbuf_leaf_17_csclk.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_3_5_0_csclk.X clkbuf_leaf_25_csclk.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_3_5_0_csclk.X clkbuf_leaf_26_csclk.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT clkbuf_3_5_0_csclk.X clkbuf_leaf_27_csclk.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT clkbuf_3_6_0_csclk.X clkbuf_leaf_39_csclk.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_6_0_csclk.X clkbuf_leaf_40_csclk.A (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_6_0_csclk.X clkbuf_leaf_41_csclk.A (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT clkbuf_3_6_0_csclk.X _6931_.CLK (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT clkbuf_3_6_0_csclk.X clkbuf_leaf_43_csclk.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT clkbuf_3_6_0_csclk.X clkbuf_leaf_44_csclk.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT clkbuf_3_6_0_csclk.X clkbuf_leaf_45_csclk.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_6_0_csclk.X clkbuf_leaf_46_csclk.A (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT clkbuf_3_6_0_csclk.X clkbuf_leaf_47_csclk.A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT clkbuf_3_6_0_csclk.X clkbuf_leaf_48_csclk.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT clkbuf_3_7_0_csclk.X clkbuf_leaf_24_csclk.A (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT clkbuf_3_7_0_csclk.X clkbuf_leaf_28_csclk.A (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT clkbuf_3_7_0_csclk.X clkbuf_leaf_29_csclk.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT clkbuf_3_7_0_csclk.X clkbuf_leaf_30_csclk.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT clkbuf_3_7_0_csclk.X clkbuf_leaf_31_csclk.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT clkbuf_3_7_0_csclk.X clkbuf_leaf_32_csclk.A (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT clkbuf_3_7_0_csclk.X clkbuf_leaf_33_csclk.A (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT clkbuf_3_7_0_csclk.X clkbuf_leaf_34_csclk.A (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT clkbuf_3_7_0_csclk.X clkbuf_leaf_35_csclk.A (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT clkbuf_3_7_0_csclk.X clkbuf_leaf_36_csclk.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT clkbuf_3_7_0_csclk.X clkbuf_leaf_37_csclk.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT clkbuf_3_7_0_csclk.X clkbuf_leaf_38_csclk.A (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT clkbuf_opt_1_0_csclk.X clkbuf_leaf_4_csclk.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_opt_2_0_csclk.X clkbuf_leaf_11_csclk.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0__1134_.X clkbuf_1_0__f__1134_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0__1134_.X clkbuf_1_1__f__1134_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f__1134_.X _6353_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f__1134_.X _4194_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f__1134_.X _3544_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f__1134_.X _4185_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f__1134_.X _4170_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_0_wbbd_sck.X clkbuf_1_0__f_wbbd_sck.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_0_wbbd_sck.X clkbuf_1_1__f_wbbd_sck.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_wbbd_sck.X _6387_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_1__f_wbbd_sck.X _3942_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1.X _3979_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold2.X _5329_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold2.X hold101.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT hold3.X _6912_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold4.X _3969_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold5.X hold9.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold6.X _7136_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold7.X _3969_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold8.X hold5.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold9.X fanout465.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT hold9.X fanout463.A (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT hold9.X _5288_.A0 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT hold9.X _5582_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT hold9.X _4090_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT hold9.X _5243_.A0 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT hold9.X _5227_.A1 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT hold9.X _5315_.A0 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT hold9.X ANTENNA_217.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT hold10.X _6822_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold11.X wire476.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold12.X _3965_.A1 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT hold12.X _3964_.A1 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT hold13.X _4111_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold13.X _4120_.D (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT hold13.X _5241_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold14.X _5242_.S (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT hold14.X _5243_.S (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT hold14.X _5244_.S (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT hold14.X _5245_.S (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT hold14.X _5246_.S (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT hold14.X _5247_.S (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT hold14.X _5248_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold14.X _5249_.S (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT hold15.X _6835_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold16.X _3249_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold16.X _3257_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold17.X _3251_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold18.X _3255_.C_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold18.X _3270_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold18.X _3281_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold18.X _3297_.C_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold18.X _3301_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold18.X _3309_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold19.X _3271_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold19.X _3279_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold20.X _3531_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold20.X max_cap377.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold21.X _3504_.A (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT hold21.X _3519_.A (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT hold21.X _3502_.B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT hold21.X _3317_.A (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT hold21.X _3451_.A (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT hold21.X _3466_.A (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT hold21.X max_cap376.A (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT hold22.X _5313_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold23.X _5314_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT hold23.X _5315_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT hold23.X _5316_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold23.X _5317_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold23.X _5318_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT hold23.X _5319_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT hold23.X _5320_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT hold23.X _5321_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT hold24.X _6899_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold25.X _3262_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold25.X _3264_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold26.X _3265_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold27.X _3266_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT hold27.X _3272_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold27.X _3284_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold27.X _3288_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold28.X _3274_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold28.X _3277_.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT hold28.X _3291_.B (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT hold28.X _3293_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold29.X _3292_.B (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT hold29.X _3325_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold29.X _3332_.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT hold29.X _3335_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT hold29.X _3339_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold30.X max_cap350.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold31.X _5286_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT hold32.X _5287_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT hold32.X _5288_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold32.X _5289_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold32.X _5290_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold32.X _5291_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT hold32.X _5292_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold32.X _5293_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold32.X _5294_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold33.X _6875_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold34.X _3973_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold35.X fanout451.A (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT hold35.X fanout454.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT hold36.X _4163_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT hold36.X _4157_.A0 (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT hold36.X _4262_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT hold36.X _4280_.A0 (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT hold36.X _4145_.A0 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT hold36.X _4238_.A0 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT hold36.X _4274_.A1 (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT hold36.X _4292_.A0 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT hold36.X _4304_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT hold36.X _4226_.A0 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT hold36.X fanout452.A (0.032:0.032:0.032) (0.031:0.031:0.031)) - (INTERCONNECT hold36.X fanout453.A (0.034:0.034:0.034) (0.032:0.032:0.032)) - (INTERCONNECT hold36.X _5308_.A0 (0.036:0.036:0.036) (0.034:0.034:0.034)) - (INTERCONNECT hold36.X _5470_.A1 (0.032:0.032:0.032) (0.031:0.031:0.031)) - (INTERCONNECT hold36.X _5461_.A0 (0.033:0.033:0.033) (0.031:0.031:0.031)) - (INTERCONNECT hold36.X _5344_.A0 (0.036:0.036:0.036) (0.034:0.034:0.034)) - (INTERCONNECT hold36.X _4094_.A1 (0.036:0.036:0.036) (0.034:0.034:0.034)) - (INTERCONNECT hold36.X _5245_.A0 (0.036:0.036:0.036) (0.034:0.034:0.034)) - (INTERCONNECT hold36.X ANTENNA_252.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT hold36.X ANTENNA_253.DIODE (0.032:0.032:0.032) (0.031:0.031:0.031)) - (INTERCONNECT hold36.X ANTENNA_264.DIODE (0.036:0.036:0.036) (0.034:0.034:0.034)) - (INTERCONNECT hold37.X _6678_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold38.X _3981_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold39.X fanout436.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold40.X fanout434.A (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT hold40.X _5384_.A0 (0.033:0.033:0.033) (0.031:0.031:0.031)) - (INTERCONNECT hold40.X _5456_.A0 (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT hold40.X fanout435.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT hold40.X _5588_.A0 (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT hold40.X _5483_.A0 (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT hold40.X _4102_.A1 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT hold40.X _5249_.A0 (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT hold40.X _4068_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT hold40.X _4119_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold40.X ANTENNA_254.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT hold40.X ANTENNA_265.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT hold41.X _6587_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold42.X _3971_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold43.X fanout457.A (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT hold43.X fanout460.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold44.X _4219_.A0 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT hold44.X _4107_.A0 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT hold44.X _4162_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT hold44.X _4225_.A0 (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT hold44.X _4261_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT hold44.X _4237_.A0 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT hold44.X _4144_.A0 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT hold44.X _4156_.A0 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT hold44.X _4303_.A0 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT hold44.X _4291_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold44.X _4273_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT hold44.X _5325_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT hold44.X _4279_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT hold44.X fanout459.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT hold45.X _6672_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold46.X _3243_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold46.X _3246_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold47.X _3247_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold47.X _3269_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold48.X _3255_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold48.X _3279_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold48.X _3301_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold48.X _3309_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold49.X _3454_.B (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT hold49.X _3538_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT hold49.X _3477_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT hold49.X max_cap382.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT hold50.X _4222_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold51.X _4223_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold51.X _4224_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold51.X _4225_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold51.X _4226_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold51.X _4227_.S (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT hold52.X _6677_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold53.X _3259_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold53.X _3262_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold54.X _3263_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold55.X _3266_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold55.X _3272_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold55.X _3284_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold55.X _3288_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold56.X _3267_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold56.X _3313_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold56.X _3414_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold56.X _3416_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT hold57.X _3455_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT hold57.X _3462_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT hold57.X _3465_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold57.X _3502_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT hold57.X _3518_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT hold58.X _4234_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold59.X _4235_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold59.X _4236_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold59.X _4237_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold59.X _4238_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold59.X _4239_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold60.X _6684_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold61.X _3971_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold62.X hold43.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold63.X _6683_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold64.X _3257_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold64.X _3259_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold65.X _3260_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold66.X _3261_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold66.X _3273_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold66.X _3276_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold66.X _3282_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold67.X _3274_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold67.X _3305_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold67.X _3307_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold67.X _3414_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold68.X _3306_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold68.X _3310_.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT hold68.X _3315_.B (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT hold68.X _3318_.B (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT hold68.X _3321_.B (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT hold68.X _3674_.B (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT hold69.X _5580_.A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT hold70.X _5581_.S (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT hold70.X _5582_.S (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT hold70.X _5583_.S (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT hold70.X _5584_.S (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT hold70.X _5585_.S (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT hold70.X _5586_.S (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT hold70.X _5587_.S (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT hold70.X _5588_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT hold71.X _7142_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold72.X _3190_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold72.X _3243_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold72.X _3244_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold72.X _3249_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT hold72.X _3253_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold72.X _3257_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold72.X _3259_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold73.X _3258_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold74.X _3261_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold74.X _3273_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold74.X _3276_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold74.X _3282_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold75.X _3277_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold75.X _3330_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold75.X _3378_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT hold75.X _3416_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold76.X _3331_.B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT hold76.X _3334_.B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT hold76.X _3338_.B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT hold76.X _3341_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold76.X _3342_.B (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT hold76.X _3711_.B (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT hold77.X max_cap369.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold78.X _5475_.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT hold79.X _5476_.S (0.050:0.050:0.050) (0.048:0.048:0.048)) - (INTERCONNECT hold79.X _5477_.S (0.026:0.026:0.026) (0.026:0.026:0.026)) - (INTERCONNECT hold79.X _5478_.S (0.046:0.046:0.046) (0.044:0.044:0.044)) - (INTERCONNECT hold79.X _5479_.S (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT hold79.X _5480_.S (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT hold79.X _5481_.S (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT hold79.X _5482_.S (0.041:0.041:0.041) (0.040:0.040:0.040)) - (INTERCONNECT hold79.X _5483_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT hold79.X ANTENNA_218.DIODE (0.050:0.050:0.050) (0.048:0.048:0.048)) - (INTERCONNECT hold79.X ANTENNA_255.DIODE (0.047:0.047:0.047) (0.044:0.044:0.044)) - (INTERCONNECT hold80.X _7049_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold81.X _4102_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold81.X _5249_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold82.X _6841_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold83.X _3975_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold84.X fanout445.A (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT hold84.X fanout448.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold85.X fanout447.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT hold85.X fanout446.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT hold85.X _4062_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT hold85.X _4116_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT hold85.X _5516_.A0 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT hold85.X _5576_.A0 (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT hold85.X _5585_.A0 (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT hold85.X _4006_.A0 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT hold85.X _5237_.A0 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT hold85.X _5498_.A0 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT hold85.X _4096_.A1 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT hold85.X _5246_.A0 (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT hold85.X _5291_.A0 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT hold85.X _5354_.A0 (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT hold85.X ANTENNA_219.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT hold86.X _6830_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold87.X _4224_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold88.X _6676_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold89.X _4236_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold90.X _6682_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold91.X _3242_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold92.X _3243_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold93.X _3247_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold93.X _3269_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold94.X _3271_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold94.X _3281_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold94.X _3297_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold95.X _3490_.A (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT hold95.X _3337_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT hold95.X _3415_.A (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT hold95.X _3336_.A (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT hold95.X max_cap378.A (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT hold95.X max_cap379.A (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT hold96.X _3460_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT hold96.X _4120_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT hold96.X _3518_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold96.X _3726_.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT hold96.X _3333_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT hold96.X _4111_.A (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT hold96.X _3308_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT hold97.X _4112_.S (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT hold97.X _4113_.S (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT hold97.X _4114_.S (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT hold97.X _4115_.S (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT hold97.X _4116_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT hold97.X _4117_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT hold97.X _4118_.S (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT hold97.X _4119_.S (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT hold98.X _6586_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold99.X _3979_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold100.X hold2.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold101.X fanout439.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold102.X _6832_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold103.X _3248_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold103.X _3253_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold104.X _3254_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold105.X _3255_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold105.X _3270_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold105.X _3281_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold105.X _3297_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold105.X _3301_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold105.X _3309_.C_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold106.X _3485_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT hold106.X _3525_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT hold106.X max_cap388.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold107.X max_cap370.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold108.X _5494_.S (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT hold108.X _5495_.S (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT hold108.X _5496_.S (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT hold108.X _5497_.S (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT hold108.X _5498_.S (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT hold108.X _5499_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT hold108.X _5500_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT hold108.X _5501_.S (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT hold109.X _7064_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold110.X _3963_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold111.X _3964_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold111.X _3965_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold112.X _5203_.B (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT hold112.X fanout427.A (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT hold112.X fanout429.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT hold112.X max_cap430.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold113.X fanout428.A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT hold113.X _5349_.B (0.027:0.027:0.027) (0.025:0.025:0.025)) - (INTERCONNECT hold113.X _5268_.B (0.040:0.040:0.040) (0.036:0.036:0.036)) - (INTERCONNECT hold113.X _5385_.B (0.035:0.035:0.035) (0.031:0.031:0.031)) - (INTERCONNECT hold114.X _5502_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT hold114.X _5562_.B (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT hold114.X _5520_.B (0.042:0.042:0.042) (0.038:0.038:0.038)) - (INTERCONNECT hold114.X _5439_.B (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT hold114.X _5232_.B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT hold114.X _5553_.B (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT hold114.X _5322_.B (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT hold114.X _5367_.B (0.042:0.042:0.042) (0.037:0.037:0.037)) - (INTERCONNECT hold114.X _5475_.B (0.038:0.038:0.038) (0.034:0.034:0.034)) - (INTERCONNECT hold114.X _5331_.B (0.041:0.041:0.041) (0.036:0.036:0.036)) - (INTERCONNECT hold114.X _5544_.B (0.021:0.021:0.021) (0.019:0.019:0.019)) - (INTERCONNECT hold114.X _5394_.B (0.039:0.039:0.039) (0.035:0.035:0.035)) - (INTERCONNECT hold114.X _5277_.B (0.039:0.039:0.039) (0.035:0.035:0.035)) - (INTERCONNECT hold114.X _5259_.B (0.028:0.028:0.028) (0.025:0.025:0.025)) - (INTERCONNECT hold114.X _5403_.B (0.039:0.039:0.039) (0.035:0.035:0.035)) - (INTERCONNECT hold114.X _5493_.B (0.042:0.042:0.042) (0.038:0.038:0.038)) - (INTERCONNECT hold114.X _5571_.B (0.018:0.018:0.018) (0.016:0.016:0.016)) - (INTERCONNECT hold114.X _5295_.B (0.034:0.034:0.034) (0.031:0.031:0.031)) - (INTERCONNECT hold115.X _5563_.S (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT hold115.X _5564_.S (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT hold115.X _5565_.S (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT hold115.X _5566_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT hold115.X _5567_.S (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT hold115.X _5568_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold115.X _5569_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold115.X _5570_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold116.X _7125_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold117.X _5293_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold118.X _6880_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold119.X _5456_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold120.X _7025_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold121.X _5498_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold122.X _7062_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold123.X _4100_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold123.X _5248_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold124.X _6840_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold125.X _3977_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold126.X hold133.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold127.X _5454_.A0 (0.094:0.094:0.094) (0.091:0.091:0.091)) - (INTERCONNECT hold127.X _5541_.A1 (0.091:0.091:0.091) (0.088:0.088:0.088)) - (INTERCONNECT hold127.X _5427_.A0 (0.067:0.067:0.067) (0.064:0.064:0.064)) - (INTERCONNECT hold127.X _5218_.A0 (0.097:0.097:0.097) (0.093:0.093:0.093)) - (INTERCONNECT hold127.X _5199_.A0 (0.100:0.100:0.100) (0.097:0.097:0.097)) - (INTERCONNECT hold127.X _3998_.A1 (0.100:0.100:0.100) (0.096:0.096:0.096)) - (INTERCONNECT hold127.X _3989_.A1 (0.098:0.098:0.098) (0.094:0.094:0.094)) - (INTERCONNECT hold127.X _3978_.A1 (0.097:0.097:0.097) (0.094:0.094:0.094)) - (INTERCONNECT hold127.X _4126_.A0 (0.078:0.078:0.078) (0.075:0.075:0.075)) - (INTERCONNECT hold127.X _4081_.A1 (0.078:0.078:0.078) (0.076:0.076:0.076)) - (INTERCONNECT hold127.X fanout441.A (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT hold127.X _4117_.A0 (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT hold127.X _4110_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT hold127.X ANTENNA_256.DIODE (0.078:0.078:0.078) (0.075:0.075:0.075)) - (INTERCONNECT hold127.X ANTENNA_266.DIODE (0.066:0.066:0.066) (0.063:0.063:0.063)) - (INTERCONNECT hold128.X _6585_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold129.X _4091_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold130.X _6567_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold131.X _3977_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold132.X hold126.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold133.X fanout442.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold134.X _6495_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold135.X _3975_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold135.X _3981_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold135.X _3973_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold135.X fanout474.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold136.X _3964_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold136.X _3967_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold137.X hold112.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold138.X _4142_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold138.X _4143_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold138.X _4144_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold138.X _4145_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold138.X _4146_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold139.X _6609_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold140.X _3989_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold141.X _6487_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold142.X _5516_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold143.X _7078_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold144.X _3978_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold145.X _6479_.D (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT hold146.X _4274_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold147.X _6724_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold148.X _4262_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold149.X _6714_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold150.X _4292_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold151.X _6739_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold152.X _4096_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold152.X _5246_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold153.X _6838_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold154.X _5427_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold155.X _6999_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold156.X _5255_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold157.X _6846_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold158.X _3973_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold159.X hold35.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold160.X _7077_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold161.X _5272_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold162.X _6861_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold163.X _4304_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold164.X _6749_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold165.X _5585_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold166.X _7139_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold167.X _4006_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold168.X _6502_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold169.X _4157_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold170.X _6619_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold171.X _5576_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold172.X _7131_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold173.X _4110_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold174.X _6579_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold175.X _5199_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold176.X _6803_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold177.X _5354_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold178.X _6934_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold179.X _4062_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold179.X _4116_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold180.X _6584_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold181.X _4163_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold182.X _6624_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold183.X _5384_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold184.X _6961_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold185.X _4280_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold186.X _6729_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold187.X _5426_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold188.X _6998_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold189.X _5291_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold190.X _6878_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold191.X _5215_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold192.X _6813_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold193.X _4081_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold193.X _4126_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold194.X _6593_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold195.X _5344_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold196.X _6925_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold197.X _4094_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold197.X _5245_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold198.X _6837_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold199.X _5308_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold200.X _6893_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold201.X _5471_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold202.X _7038_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold203.X _5234_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold204.X _6827_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold205.X _5254_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold206.X _6845_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold207.X _5539_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold208.X _7098_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold209.X _4079_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold209.X _4125_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold210.X _6592_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold211.X _4267_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold212.X _6718_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold213.X _5523_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold214.X _7084_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold215.X _5320_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold216.X _6904_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold217.X _5253_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold218.X _6844_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold219.X _4156_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold220.X _6618_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold221.X _4273_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold222.X _6723_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold223.X _5284_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold224.X _6872_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold225.X _4303_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold226.X _6748_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold227.X _4083_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold227.X _4127_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold228.X _6594_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold229.X _4069_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold230.X _6553_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold231.X _5216_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold232.X _6814_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold233.X _4261_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold234.X _6713_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold235.X _4328_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold236.X _6769_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold237.X _4056_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold237.X _4113_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold238.X _6581_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold239.X _5424_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold240.X _6996_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold241.X _4144_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold242.X _6608_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold243.X _3999_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold244.X _6496_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold245.X _5442_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold246.X _7012_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold247.X _5406_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold248.X _6980_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold249.X _5505_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold250.X _7068_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold251.X _5218_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold252.X _6816_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold253.X _4291_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold254.X _6738_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold255.X _5558_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold256.X _7115_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold257.X _3990_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold258.X _6488_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold259.X _5540_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold260.X _7099_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold261.X _5541_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold262.X _7100_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold263.X _5365_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold264.X _6944_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold265.X _5538_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold266.X _7097_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold267.X _5325_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold268.X _6908_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold269.X _5419_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold270.X _6992_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold271.X _4103_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold272.X _6573_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold273.X _4162_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold274.X _6623_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold275.X _5461_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold276.X _7029_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold277.X _5470_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold278.X _7037_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold279.X _5425_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold280.X _6997_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold281.X _4107_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold282.X _6576_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold283.X _5217_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold284.X _6815_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold285.X _5209_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold286.X _6810_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold287.X _5451_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold288.X _7020_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold289.X _4143_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold290.X _6607_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold291.X _5454_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold292.X _7023_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold293.X _4260_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold294.X _6712_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold295.X _4077_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold295.X _4124_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold296.X _6591_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold297.X _4272_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold298.X _6722_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold299.X _4302_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold300.X _6747_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold301.X _4326_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold302.X _6767_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold303.X _4279_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold304.X _6728_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold305.X _5460_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold306.X _7028_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold307.X _5514_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold308.X _7076_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold309.X _5478_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold310.X _7044_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold311.X _5401_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold312.X _6976_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold313.X _5469_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold314.X _7036_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold315.X _5387_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold316.X _6963_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold317.X _5415_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold318.X _6988_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold319.X _5374_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold320.X _6952_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold321.X _5202_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold322.X _6805_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold323.X _5583_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold324.X _7137_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold325.X _5279_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold326.X _6867_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold327.X _4290_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold328.X _6737_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold329.X _4075_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold329.X _4123_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold330.X _6590_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold331.X _5208_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold332.X _6809_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold333.X _4161_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold334.X _6622_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold335.X _5405_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold336.X _6979_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold337.X _5378_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold338.X _6955_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold339.X _5324_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold340.X _6907_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold341.X _5459_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold342.X _7027_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold343.X _4278_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold344.X _6727_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold345.X _5306_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold346.X _6891_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold347.X _5252_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold348.X _6843_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold349.X _4101_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold350.X _6572_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold351.X _5297_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold352.X _6883_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold353.X _5270_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold354.X _6859_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold355.X _5220_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold356.X _6818_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold357.X _5432_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold358.X _7003_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold359.X _5468_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold360.X _7035_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold361.X _4179_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold362.X _6638_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold363.X _4215_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold364.X _6669_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold365.X _4203_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold366.X _6659_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold367.X _4155_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold368.X _6617_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold369.X _4015_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold370.X _6510_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold371.X _5537_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold372.X _7096_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold373.X _5555_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold374.X _7112_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold375.X _5330_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold376.X _6913_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold377.X _4239_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold378.X _6685_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold379.X _4097_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold380.X _6570_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold381.X _4063_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold382.X _6550_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold383.X _4256_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold384.X _6709_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold385.X _4202_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold386.X _6658_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold387.X _4214_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold388.X _6668_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold389.X _5447_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold390.X _7017_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold391.X _5207_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold392.X _6808_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold393.X _5570_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold394.X _7126_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold395.X _5510_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold396.X _7073_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold397.X _5294_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold398.X _6881_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold399.X _5240_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT hold400.X _6833_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold401.X _5579_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold402.X _7134_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold403.X _4082_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold404.X _6559_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold405.X _4266_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold406.X _6717_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold407.X _5423_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold408.X _6995_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold409.X _4084_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold410.X _6560_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold411.X _5238_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold412.X _6831_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold413.X _4095_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold414.X _6569_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold415.X _5528_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold416.X _7089_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold417.X _4080_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold418.X _6558_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold419.X _5526_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold420.X _7087_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold421.X _4067_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold422.X _6552_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold423.X _5550_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold424.X _7108_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold425.X _4057_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold426.X _6547_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold427.X _5452_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold428.X _7021_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold429.X _5443_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold430.X _7013_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold431.X _4078_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold432.X _6557_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold433.X _5561_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold434.X _7118_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold435.X _5497_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold436.X _7061_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold437.X _5548_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold438.X _7106_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold439.X _5524_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold440.X _7085_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold441.X _4098_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold441.X _5247_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold442.X _6839_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold443.X _5566_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold444.X _7122_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold445.X _5326_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold446.X _6909_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold447.X _5584_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold448.X _7138_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold449.X _5362_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold450.X _6941_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold451.X _5236_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold452.X _6829_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold453.X _4329_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold454.X _6770_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold455.X _4287_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold456.X _6735_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold457.X _5290_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold458.X _6877_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold459.X _4334_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold460.X _6774_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold461.X _4209_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold462.X _6664_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold463.X _4134_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold464.X _6600_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold465.X _5299_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold466.X _6885_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold467.X _4039_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold468.X _6530_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold469.X _3981_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold470.X hold39.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold471.X _6489_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold472.X _4060_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold472.X _4115_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold473.X _6583_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold474.X _6397_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold475.X _7211_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold476.X _5506_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold477.X _7069_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold478.X _5192_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold479.X _6797_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold480.X _4299_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold481.X _6745_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold482.X _3976_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold483.X _6478_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold484.X _4140_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold485.X _6605_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold486.X _3988_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold487.X _6486_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold488.X _4317_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold489.X _6760_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold490.X _4005_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold491.X _6501_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold492.X _5479_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold493.X _7045_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold494.X _4208_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold495.X _6663_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold496.X _4038_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold497.X _6529_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold498.X _4133_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold499.X _6599_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold500.X _5552_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold501.X _7110_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold502.X _4316_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold503.X _6759_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold504.X _5357_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold505.X _6937_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold506.X _5339_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold507.X _6921_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold508.X _5366_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold509.X _6945_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold510.X _5267_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold511.X _6857_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold512.X _5411_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold513.X _6985_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold514.X _5375_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold515.X _6953_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold516.X _3997_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold517.X _6494_.D (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold518.X _5285_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold519.X _6873_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold520.X _5348_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold521.X _6929_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold522.X _6396_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold523.X _7210_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold524.X _5303_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold525.X _6889_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold526.X _3996_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold527.X _6493_.D (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold528.X _3974_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold529.X _6477_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold530.X _4335_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold531.X _6775_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold532.X _5301_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold533.X _6887_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold534.X _5191_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold535.X _6796_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold536.X _5321_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold537.X _6905_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold538.X _5402_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold539.X _6977_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold540.X _4286_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold541.X _6734_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold542.X _5488_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold543.X _7053_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold544.X _5263_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold545.X _6853_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold546.X _4139_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold547.X _6604_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold548.X _4298_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold549.X _6744_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold550.X _4076_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold551.X _6556_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold552.X _5198_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold553.X _6802_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold554.X _5312_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold555.X _6897_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold556.X _5434_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold557.X _7005_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold558.X _4158_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold559.X _6620_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold560.X _4021_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold561.X _6515_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold562.X _5501_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold563.X _7065_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold564.X _3967_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold565.X _5287_.A0 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT hold565.X _5440_.A0 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT hold565.X fanout469.A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT hold565.X fanout471.A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT hold565.X ANTENNA_220.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT hold566.X _6806_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold567.X _5276_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold568.X _6865_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold569.X _5373_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold570.X _6951_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold571.X _4275_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold572.X _6725_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold573.X _5310_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold574.X _6895_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold575.X _5557_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold576.X _7114_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold577.X _4268_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold578.X _6719_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold579.X _4305_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold580.X _6750_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold581.X _5481_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold582.X _7047_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold583.X _4000_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold584.X _6497_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold585.X _4146_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold586.X _6610_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold587.X _5346_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold588.X _6927_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold589.X _5274_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold590.X _6863_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold591.X _3987_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold592.X _6485_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold593.X _5197_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold594.X _6801_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold595.X _5258_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold596.X _6849_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold597.X _5371_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold598.X _6949_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold599.X _5517_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold600.X _7079_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold601.X _5393_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold602.X _6969_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold603.X _5407_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold604.X _6981_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold605.X _5335_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold606.X _6917_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold607.X _5389_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold608.X _6965_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold609.X _5472_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold610.X _7039_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold611.X _5450_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold612.X _7019_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold613.X _5418_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold614.X _6991_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold615.X _4263_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold616.X _6715_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold617.X _4020_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold618.X _6514_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold619.X _5416_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold620.X _6989_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold621.X _5256_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold622.X _6847_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold623.X _5462_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold624.X _7030_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold625.X _5525_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold626.X _7086_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold627.X _5380_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold628.X _6957_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold629.X _4281_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold630.X _6730_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold631.X _4293_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold632.X _6740_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold633.X _5327_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold634.X _6910_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold635.X _4227_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold636.X _6679_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold637.X _5408_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold638.X _6982_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold639.X _5480_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold640.X _7046_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold641.X _5336_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold642.X _6918_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold643.X _5507_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold644.X _7070_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold645.X _5317_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold646.X _6901_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold647.X _5300_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold648.X _6886_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold649.X _5435_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold650.X _7006_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold651.X _5504_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold652.X _7067_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold653.X _5549_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold654.X _7107_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold655.X _5567_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold656.X _7123_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold657.X _5372_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold658.X _6950_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold659.X _5398_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold660.X _6973_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold661.X _5273_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold662.X _6862_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold663.X _5309_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold664.X _6894_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold665.X _4051_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold666.X _6540_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold667.X _5281_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold668.X _6869_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold669.X _4164_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold670.X _6625_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold671.X _4045_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold672.X _6535_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold673.X _5463_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold674.X _7031_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold675.X _5363_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold676.X _6942_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold677.X _5436_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold678.X _7007_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold679.X _5508_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold680.X _7071_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold681.X _5440_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold682.X _7010_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold683.X _4327_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold684.X _6768_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold685.X _4221_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold686.X _6674_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold687.X _4257_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold688.X _6710_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold689.X _4220_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold690.X _6673_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold691.X _5575_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold692.X _7130_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold693.X _4178_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold694.X _6637_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold695.X _5534_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold696.X _7094_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold697.X _4245_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold698.X _6690_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold699.X _4108_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold700.X _6577_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold701.X _5489_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold702.X _7054_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold703.X _4244_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold704.X _6689_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold705.X _5399_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold706.X _6974_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold707.X _5444_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold708.X _7014_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold709.X _5353_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold710.X _6933_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold711.X _4322_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold712.X _6764_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold713.X _4323_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold714.X _6765_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold715.X _4027_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold716.X _6520_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold717.X _4152_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold718.X _6615_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold719.X _4310_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold720.X _6754_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold721.X _4033_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold722.X _6525_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold723.X _5429_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold724.X _7001_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold725.X _3982_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold726.X _6481_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold727.X _4250_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold728.X _6704_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold729.X _5543_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold730.X _7102_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold731.X _5264_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold732.X _6854_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold733.X _5474_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold734.X _7041_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold735.X _5417_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold736.X _6990_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold737.X _4009_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold738.X _6505_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold739.X _5492_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold740.X _7057_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold741.X _4014_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold742.X _6509_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold743.X _4032_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold744.X _6524_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold745.X _5465_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold746.X _7033_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold747.X _4044_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold748.X _6534_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold749.X _4315_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold750.X _6758_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold751.X _5345_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold752.X _6926_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold753.X _4026_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold754.X _6519_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold755.X _4085_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold755.X _4128_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold756.X _6595_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold757.X _5519_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold758.X _7081_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold759.X _4151_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold760.X _6614_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold761.X _4050_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold762.X _6539_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold763.X _5381_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold764.X _6958_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold765.X _5390_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold766.X _6966_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold767.X _4311_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold768.X _6755_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold769.X _5318_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold770.X _6902_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold771.X _5453_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold772.X _7022_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold773.X _5282_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold774.X _6870_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold775.X _5287_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold776.X _6874_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold777.X _4251_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold778.X _6705_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold779.X _5438_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold780.X _7009_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold781.X _5420_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold782.X _6993_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold783.X _4058_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold783.X _4114_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold784.X _6582_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold785.X _4269_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold786.X _6720_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold787.X _4177_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold788.X _6636_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold789.X _4243_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold790.X _6688_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold791.X _5533_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold792.X _7093_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold793.X _4054_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold793.X _4112_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold794.X _6580_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold795.X _5486_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold796.X _7051_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold797.X _5441_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold798.X _7011_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold799.X _4109_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold800.X _6578_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold801.X _5257_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold802.X _6848_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold803.X _5513_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold804.X _7075_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold805.X _4314_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold806.X _6757_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold807.X _4150_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold808.X _6613_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold809.X _5338_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold810.X _6920_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold811.X _5280_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold812.X _6868_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold813.X _5347_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold814.X _6928_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold815.X _5388_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold816.X _6964_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold817.X _5496_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold818.X _7060_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold819.X _5397_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold820.X _6972_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold821.X _5446_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold822.X _7016_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold823.X _5266_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold824.X _6856_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold825.X _5302_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold826.X _6888_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold827.X _4201_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold828.X _6657_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold829.X _4255_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold830.X _4031_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold831.X _6523_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold832.X _5356_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold833.X _6936_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold834.X _5509_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold835.X _7072_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold836.X _5392_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold837.X _6968_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold838.X _5369_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold839.X _6947_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold840.X _4099_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold841.X _6571_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold842.X _5275_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold843.X _6864_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold844.X _5477_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold845.X _7043_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold846.X _5311_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold847.X _6896_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold848.X _5396_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold849.X _6971_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold850.X _5495_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold851.X _7059_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold852.X _5527_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold853.X _7088_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold854.X _5307_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold855.X _6892_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold856.X _4213_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold857.X _6667_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold858.X _5578_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold859.X _7133_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold860.X _5551_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold861.X _7109_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold862.X _5522_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold863.X _7083_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold864.X _5414_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold865.X _6987_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold866.X _5560_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold867.X _7117_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold868.X _4092_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold868.X _5244_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold869.X _6836_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold870.X _4019_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold871.X _6513_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold872.X _4332_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold873.X _6772_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold874.X _4249_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold875.X _6703_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold876.X _5219_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold877.X _6817_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold878.X _5564_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold879.X _7120_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold880.X _5546_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold881.X _7104_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold882.X _5464_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold883.X _7032_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold884.X _5383_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold885.X _6960_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold886.X _5482_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold887.X _7048_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold888.X _5333_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold889.X _6915_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold890.X _5491_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold891.X _7056_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold892.X _5428_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold893.X _7000_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold894.X _4218_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold895.X _6671_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold896.X _5573_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold897.X _7128_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold898.X _4003_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold899.X _6499_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold900.X _5410_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold901.X _6984_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold902.X _5542_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold903.X _7101_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold904.X _5360_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold905.X _6939_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold906.X _5587_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold907.X _7141_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold908.X _4242_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold909.X _6687_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold910.X _5473_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold911.X _7040_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold912.X _5531_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold913.X _7091_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold914.X _5334_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold915.X _6916_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold916.X _3980_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold917.X _6480_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold918.X _4088_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold918.X _5242_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold919.X _6834_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold920.X _4008_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold921.X _6504_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold922.X _4073_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold922.X _4122_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold923.X _6589_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold924.X _5342_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold925.X _6923_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold926.X _5518_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold927.X _7080_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold928.X _5261_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold929.X _6851_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold930.X _4248_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold931.X _6702_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold932.X _4200_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold933.X _6656_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold934.X _4012_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold935.X _6507_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold936.X _5455_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold937.X _7024_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold938.X _5437_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold939.X _7008_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold940.X _4030_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold941.X _6522_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold942.X _4254_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold943.X _6707_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold944.X _4223_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold945.X _6675_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold946.X _4018_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold947.X _6512_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold948.X _4308_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold949.X _6752_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold950.X _4176_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold951.X _6635_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold952.X _4061_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold953.X _6549_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold954.X _4217_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold955.X _6670_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold956.X _4065_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold957.X _6551_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold958.X _4042_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold959.X _6532_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold960.X _4307_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold961.X _6751_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold962.X _5213_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold963.X _6812_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold964.X _4235_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold965.X _6681_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold966.X _5221_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold967.X _5222_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold968.X _6819_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold969.X _6393_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold970.X _7207_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold971.X _5233_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold972.X _6826_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold973.X _4086_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold974.X _6561_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold975.X _4059_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold976.X _6548_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold977.X _4071_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold977.X _4121_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold978.X _6588_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold979.X _5228_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold980.X _6823_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold981.X _6395_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold982.X _7209_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold983.X _6394_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold984.X _7208_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold985.X _5445_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold986.X _7015_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold987.X _5568_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold988.X _7124_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold989.X _5355_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold990.X _6935_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold991.X _5292_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold992.X _6879_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold993.X _5559_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold994.X _7116_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold995.X _5328_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold996.X _6911_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold997.X _5265_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold998.X _6855_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold999.X _5586_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1000.X _7140_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1001.X _5391_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1002.X _6967_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1003.X _5499_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1004.X _7063_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1005.X _5382_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1006.X _6959_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1007.X _4093_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1008.X _6568_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1009.X _5337_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1010.X _6919_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1011.X _5400_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1012.X _6975_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1013.X _5364_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1014.X _6943_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1015.X _5283_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1016.X _6871_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1017.X _5352_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1018.X _6932_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1019.X _5319_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1020.X _6903_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1021.X _5409_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1022.X _6983_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1023.X _5490_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1024.X _7055_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1025.X _4007_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1026.X _6503_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1027.X _5577_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1028.X _7132_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1029.X _5554_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1030.X _7111_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1031.X _5305_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1032.X _6890_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1033.X _5563_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1034.X _7119_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1035.X _5431_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1036.X _7002_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1037.X _5271_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1038.X _6860_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1039.X _4074_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1040.X _6555_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1041.X _4002_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1042.X _6498_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1043.X _5201_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1044.X _6804_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1045.X _5361_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1046.X _6940_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1047.X _5565_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1048.X _7121_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1049.X _5368_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1050.X _6946_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1051.X _5314_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1052.X _6898_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1053.X _5343_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1054.X _6924_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1055.X _5298_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1056.X _6884_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1057.X _5226_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1058.X _6821_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1059.X _5186_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1060.X _6792_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1061.X _4277_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1062.X _6726_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1063.X _5458_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1064.X _7026_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1065.X _5512_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1066.X _7074_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1067.X _5185_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1068.X _6791_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1069.X _5545_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1070.X _7103_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1071.X _5572_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1072.X _7127_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1073.X _5350_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1074.X _6930_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1075.X _5404_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1076.X _6978_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1077.X _5230_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1078.X _6824_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1079.X _5231_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1080.X _6825_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1081.X _5235_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1082.X _6828_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1083.X _5269_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1084.X _6858_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1085.X _5494_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1086.X _4048_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1087.X _6537_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1088.X _4047_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1089.X _6536_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1090.X _4205_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1091.X _6660_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1092.X _4206_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1093.X _6661_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1094.X _5581_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1095.X _7135_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1096.X _4049_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1097.X _6538_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1098.X _4207_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1099.X _4297_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1100.X _6743_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1101.X _4132_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1102.X _6598_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1103.X _4025_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1104.X _6518_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1105.X _4037_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1106.X _6528_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1107.X _3972_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1108.X _6476_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1109.X _5251_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1110.X _6842_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1111.X _5341_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1112.X _6922_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1113.X _4013_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1114.X _6508_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1115.X _4301_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1116.X _6746_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1117.X _4296_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1118.X _6742_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1119.X _5574_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1120.X _7129_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1121.X _4036_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1122.X _6527_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1123.X _5379_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1124.X _6956_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1125.X _5289_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1126.X _6876_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1127.X _5262_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1128.X _6852_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1129.X _4138_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1130.X _6603_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1131.X _5433_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1132.X _7004_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1133.X _4285_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1134.X _6733_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1135.X _5351_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1136.X _6931_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1137.X _5532_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1138.X _7092_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1139.X _4149_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1140.X _6612_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1141.X _4024_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1142.X _6517_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1143.X _5316_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1144.X _6900_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1145.X _4148_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1146.X _6611_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1147.X _5547_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1148.X _7105_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1149.X _5190_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1150.X _6795_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1151.X _4137_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1152.X _6602_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1153.X _5487_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1154.X _7052_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1155.X _5485_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1156.X _7050_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1157.X _4289_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1158.X _6736_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1159.X _3986_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1160.X _6484_.D (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold1161.X _4284_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1162.X _6732_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1163.X _5196_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT hold1164.X _6800_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1165.X _4131_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1166.X _6597_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1167.X _4142_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1168.X _6606_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1169.X _4333_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1170.X _6773_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1171.X _5206_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1172.X _6807_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1173.X _5189_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1174.X _6794_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1175.X _3995_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1176.X _4072_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1177.X _6554_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1178.X _4283_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1179.X _6731_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1180.X _5536_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1181.X _7095_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1182.X _3985_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1183.X _6483_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1184.X _5467_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1185.X _3970_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1186.X _6475_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1187.X _5195_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1188.X _6799_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1189.X _4055_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1190.X _6546_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1191.X _5422_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1192.X _6994_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1193.X _4004_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1194.X _6500_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1195.X _3994_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1196.X _4321_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1197.X _4106_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1198.X _6575_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1199.X _5556_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1200.X _7113_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1201.X _4320_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1202.X _6762_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1203.X _4212_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1204.X _6666_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1205.X _5386_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1206.X _6962_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1207.X _5370_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1208.X _6948_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1209.X _4105_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1210.X _6574_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1211.X _5188_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1212.X _6793_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1213.X _4043_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1214.X _6533_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1215.X _5359_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1216.X _5194_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1217.X _6798_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1218.X _4175_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1219.X _6634_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1220.X _4295_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1221.X _6741_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1222.X _4154_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1223.X _6616_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1224.X _5278_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1225.X _6866_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1226.X _4089_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1227.X _6566_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1228.X _4309_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1229.X _6753_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1230.X _5260_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1231.X _6850_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1232.X _5377_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1233.X _6954_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1234.X _5211_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1235.X _6811_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1236.X _4331_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1237.X _6771_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1238.X _5224_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1239.X _6820_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1240.X _4241_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1241.X _6686_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1242.X _5296_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1243.X _6882_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1244.X _5395_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1245.X _6970_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1246.X _4271_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1247.X _6721_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1248.X _5413_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1249.X _6986_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1250.X _4259_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1251.X _6711_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1252.X _4041_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1253.X _6531_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1254.X _4160_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1255.X _6621_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1256.X _5332_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1257.X _6914_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1258.X _5503_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1259.X _5449_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1260.X _7018_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1261.X _5323_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1262.X _6906_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1263.X _4130_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1264.X _6596_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1265.X _5521_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1266.X _7082_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1267.X _4136_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1268.X _6601_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1269.X _4253_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1270.X _6706_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1271.X _4199_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1272.X _6655_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1273.X _4247_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1274.X _6701_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1275.X _4029_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1276.X _6521_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1277.X _4017_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1278.X _6511_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1279.X _4211_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1280.X _6665_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1281.X _5476_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1282.X _7042_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1283.X _4325_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1284.X _6766_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1285.X _4265_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1286.X _6716_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1287.X _3968_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1288.X _6474_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1289.X _5530_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1290.X _7090_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1291.X _4313_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1292.X _6756_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1293.X _3993_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1294.X _6490_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1295.X _4023_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1296.X _6516_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1297.X _4011_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1298.X _6506_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1299.X _3984_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1300.X _6482_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1301.X _4319_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1302.X _6761_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1303.X _4035_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1304.X _6526_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1305.X _4064_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1305.X _4117_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1306.X _4068_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1306.X _4119_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1307.X _4066_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1307.X _4118_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1308.X _4090_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1308.X _5243_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1309.X hold15.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1310.X _5588_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1311.X _5237_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1312.X hold86.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1313.X _5239_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1314.X hold4.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1315.X hold6.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1316.X _5227_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1317.X _4238_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1318.X _5569_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1319.X _4226_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1320.X _4145_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1321.X _5483_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1322.X _5329_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1323.X _5315_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1324.X _5288_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1325.X _5500_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1326.X _5515_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1327.X _3975_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1328.X _4219_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1329.X _5204_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1330.X _3998_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1331.X _4225_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1332.X _4237_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1333.X hold1195.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1334.X _6491_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1335.X _3862_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1335.X _3863_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1335.X hold83.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1336.X hold1184.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1337.X _7034_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1338.X _3254_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1338.X _5168_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1339.X _3462_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold1340.X _5421_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT hold1341.X hold1098.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1342.X _6662_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1343.X _3991_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1344.X _3258_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1345.X _3291_.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT hold1345.X _3303_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1346.X _3471_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold1347.X _4318_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1348.X _6763_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1349.X hold209.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1350.X hold1258.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1351.X _7066_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1352.X hold829.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1353.X _6708_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1354.X hold1215.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1355.X _6938_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1356.X hold1085.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1357.X _7058_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1358.X _3260_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1358.X _5034_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1359.X hold968.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1360.X _6377_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1361.X hold1175.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1362.X _6492_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1363.X _3661_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1363.X _3725_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1364.X _6784_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1365.X _3375_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1365.X _3412_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1366.X _6789_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1367.X _3544_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1367.X _3603_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1368.X _6786_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1369.X _3662_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1370.X _3979_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1371.X hold100.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1372.X _3449_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1373.X _6788_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1374.X _6697_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1375.X _3265_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold1375.X _4776_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1376.X _3285_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold1376.X _3299_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold1376.X _3305_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold1377.X _3792_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT hold1378.X _3376_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1379.X hold179.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1380.X _3874_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1381.X _5838_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1382.X _7168_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1383.X _5710_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1384.X _3860_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1385.X _6452_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1386.X _3893_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1387.X _3894_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1388.X _6097_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1388.X _6121_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1389.X _7177_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1390.X _3250_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1391.X _5731_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1391.X _5752_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1392.X _7163_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1393.X _3892_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1394.X _5647_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1395.X _5774_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1395.X _5794_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1396.X _7165_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1397.X _6386_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1398.X _5753_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1399.X _6122_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1399.X _6145_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1400.X _6047_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1400.X _6071_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1401.X _6319_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1402.X _6171_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1402.X _6195_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1403.X _7180_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1404.X _6196_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1405.X _3865_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1405.X _3866_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1406.X _3863_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1406.X _3864_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1407.X _5816_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1408.X _7167_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1409.X _6146_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1410.X _6365_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1411.X _3864_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1411.X _3865_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1412.X _3837_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT hold1412.X _3912_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1413.X _6542_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1414.X _3861_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1414.X _3862_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1415.X _6451_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1416.X _5795_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1417.X _6371_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1418.X _6368_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1418.X hold7.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1419.X _6072_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1420.X _6380_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1421.X _3263_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT hold1421.X _4929_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1422.X _6383_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1423.X _5882_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1424.X _3835_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1424.X _3871_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1424.X _3914_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1425.X _6544_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1426.X hold488.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1427.X _6374_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1428.X _6220_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1429.X _5970_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1430.X _6391_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1431.X _7206_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1432.X _5926_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1433.X _5649_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1434.X _3810_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1435.X _3855_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1436.X _6455_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1437.X hold1077.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1438.X _5948_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1439.X _3845_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1440.X _4172_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1441.X _4171_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1442.X _5632_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1443.X _4188_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1444.X _4190_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1445.X _3188_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1446.X _6691_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1447.X _3798_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1448.X _4195_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1449.X _4196_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1450.X _4193_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1451.X _4183_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1452.X _4167_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1453.X _3859_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1454.X _6354_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1455.X _3825_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1456.X _4187_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1457.X _4191_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1458.X _5904_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1459.X _4197_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1460.X hold1079.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1461.X _4182_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1462.X _4186_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1463.X _6245_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1464.X _4192_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1465.X _4169_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1466.X _4181_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1467.X _6295_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1468.X hold1039.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1469.X _6351_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1470.X _3841_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1470.X _3913_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT hold1471.X _6545_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1472.X hold472.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1473.X hold922.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1474.X hold237.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1475.X _6355_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1476.X _3815_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1477.X _3841_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1478.X _3191_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1478.X _4233_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1479.X hold783.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1480.X hold799.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1481.X hold173.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1482.X hold295.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1483.X hold977.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1484.X _5630_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1485.X _6270_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1486.X hold123.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1487.X hold793.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1488.X _4184_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold1489.X _4173_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1490.X hold876.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1491.X _3193_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1492.X hold227.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1493.X _3801_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1494.X _3828_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1495.X hold265.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1496.X hold755.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1497.X hold1176.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1498.X _6344_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1499.X _6352_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1500.X hold699.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1501.X _5608_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1502.X hold902.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1503.X _5860_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1504.X hold193.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1505.X _4168_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1506.X _3847_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1507.X hold866.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1508.X _3901_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1509.X hold1007.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1510.X hold349.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1511.X _3822_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1512.X hold653.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1513.X _5611_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1514.X _3833_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1515.X _3819_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1516.X hold906.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1517.X _5617_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1518.X hold1199.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1519.X hold441.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1520.X _3891_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1521.X _5636_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1522.X hold1285.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1523.X hold1209.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1524.X hold301.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1525.X _5620_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1526.X hold409.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1527.X _3888_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1527.X _3889_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1528.X _6318_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1529.X _3856_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3184_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.080:0.080:0.080) (0.051:0.051:0.051)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3185_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.089:0.089:0.089) (0.062:0.062:0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3186_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.084:0.084:0.084) (0.058:0.058:0.058)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _3187_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.131:0.131:0.131) (0.154:0.154:0.154)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3188_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.099:0.099:0.099) (0.066:0.066:0.066)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3189_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.140:0.140:0.140) (0.092:0.092:0.092)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _3190_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.243:0.243:0.243) (0.321:0.321:0.321)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3191_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.160:0.160:0.160) (0.115:0.115:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3192_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.167:0.167:0.167) (0.116:0.116:0.116)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3193_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.093:0.093:0.093) (0.065:0.065:0.065)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3194_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.145:0.145:0.145) (0.100:0.100:0.100)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_6") - (INSTANCE _3195_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.243:0.243:0.243) (0.153:0.153:0.153)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _3196_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.138:0.138:0.138) (0.159:0.159:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3197_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.132:0.132:0.132) (0.097:0.097:0.097)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _3198_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.068:0.068:0.068) (0.073:0.073:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _3199_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.200:0.200:0.200) (0.246:0.246:0.246)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3200_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.102:0.102:0.102) (0.070:0.070:0.070)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3201_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.138:0.138:0.138) (0.106:0.106:0.106)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3202_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.121:0.121:0.121) (0.086:0.086:0.086)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3203_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.122:0.122:0.122) (0.089:0.089:0.089)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3204_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.126:0.126:0.126) (0.094:0.094:0.094)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3205_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.124:0.124:0.124) (0.086:0.086:0.086)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3206_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.113:0.113:0.113) (0.081:0.081:0.081)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3207_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.125:0.125:0.125) (0.089:0.089:0.089)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3208_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.134:0.134:0.134) (0.101:0.101:0.101)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3209_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.103:0.103:0.103) (0.072:0.072:0.072)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3210_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.116:0.116:0.116) (0.082:0.082:0.082)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3211_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.106:0.106:0.106) (0.073:0.073:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3212_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.113:0.113:0.113) (0.080:0.080:0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3213_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.117:0.117:0.117) (0.084:0.084:0.084)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3214_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.125:0.125:0.125) (0.091:0.091:0.091)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3215_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.145:0.145:0.145) (0.090:0.090:0.090)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3216_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.120:0.120:0.120) (0.080:0.080:0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3217_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.103:0.103:0.103) (0.071:0.071:0.071)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3218_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.100:0.100:0.100) (0.068:0.068:0.068)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3219_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.093:0.093:0.093) (0.063:0.063:0.063)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3220_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.104:0.104:0.104) (0.070:0.070:0.070)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3221_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.119:0.119:0.119) (0.086:0.086:0.086)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3222_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.104:0.104:0.104) (0.072:0.072:0.072)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3223_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.107:0.107:0.107) (0.075:0.075:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3224_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.103:0.103:0.103) (0.071:0.071:0.071)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3225_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.110:0.110:0.110) (0.077:0.077:0.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3226_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.113:0.113:0.113) (0.080:0.080:0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3227_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.104:0.104:0.104) (0.071:0.071:0.071)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3228_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.110:0.110:0.110) (0.077:0.077:0.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _3229_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.157:0.157:0.157) (0.189:0.189:0.189)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3230_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.152:0.152:0.152) (0.113:0.113:0.113)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3231_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.112:0.112:0.112) (0.079:0.079:0.079)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3232_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.080:0.080:0.080) (0.051:0.051:0.051)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3233_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.089:0.089:0.089) (0.048:0.048:0.048)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_wb_clk_i) - (DELAY - (ABSOLUTE - (IOPATH A X (0.130:0.130:0.130) (0.146:0.146:0.146)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_8") - (INSTANCE _3235_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.162:0.162:0.162) (0.189:0.189:0.189)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _3236_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.160:0.160:0.160) (0.217:0.217:0.217)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _3237_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.194:0.194:0.194) (0.135:0.135:0.135)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_8") - (INSTANCE _3238_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.157:0.157:0.157) (0.188:0.188:0.188)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3239_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.144:0.144:0.144) (0.117:0.117:0.117)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _3240_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.112:0.112:0.112) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_4") - (INSTANCE _3241_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.259:0.259:0.259) (0.502:0.502:0.502)) - (IOPATH B X (0.243:0.243:0.243) (0.480:0.480:0.480)) - (IOPATH C X (0.244:0.244:0.244) (0.441:0.441:0.441)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _3242_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.197:0.197:0.197) (0.186:0.186:0.186)) - (IOPATH B X (0.230:0.230:0.230) (0.291:0.291:0.291)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _3243_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.242:0.242:0.242) (0.123:0.123:0.123)) - (IOPATH A2 Y (0.311:0.311:0.311) (0.192:0.192:0.192)) - (IOPATH B1 Y (0.228:0.228:0.228) (0.083:0.083:0.083)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _3244_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.311:0.311:0.311) (0.286:0.286:0.286)) - (IOPATH B X (0.313:0.313:0.313) (0.308:0.308:0.308)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _3245_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.251:0.251:0.251) (0.262:0.262:0.262)) - (IOPATH B Y (0.207:0.207:0.207) (0.185:0.185:0.185)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _3246_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.178:0.178:0.178) (0.328:0.328:0.328)) - (IOPATH A2 X (0.185:0.185:0.185) (0.314:0.314:0.314)) - (IOPATH A3 X (0.171:0.171:0.171) (0.274:0.274:0.274)) - (IOPATH B1 X (0.194:0.194:0.195) (0.160:0.161:0.163)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _3247_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.212:0.212:0.212) (0.130:0.130:0.130)) - (IOPATH A2 Y (0.192:0.192:0.193) (0.102:0.102:0.102)) - (IOPATH B1_N Y (0.139:0.139:0.139) (0.183:0.183:0.183)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _3248_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.169:0.169:0.169) (0.156:0.156:0.156)) - (IOPATH B Y (0.233:0.233:0.233) (0.159:0.159:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21bo_1") - (INSTANCE _3249_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.145:0.145:0.145) (0.198:0.198:0.198)) - (IOPATH A2 X (0.201:0.201:0.201) (0.275:0.275:0.275)) - (IOPATH B1_N X (0.233:0.234:0.234) (0.240:0.242:0.244)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _3250_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.154:0.154:0.154) (0.187:0.187:0.187)) - (IOPATH B Y (0.121:0.121:0.121) (0.108:0.108:0.108)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _3251_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.163:0.163:0.163) (0.092:0.092:0.092)) - (IOPATH A2 Y (0.128:0.128:0.128) (0.061:0.061:0.061)) - (IOPATH B1 Y (0.067:0.069:0.071) (0.062:0.063:0.063)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _3252_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.255:0.255:0.255) (0.251:0.251:0.251)) - (IOPATH B Y (0.267:0.267:0.267) (0.187:0.187:0.187)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21bo_1") - (INSTANCE _3253_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.144:0.144:0.144) (0.199:0.199:0.199)) - (IOPATH A2 X (0.183:0.183:0.183) (0.261:0.261:0.261)) - (IOPATH B1_N X (0.230:0.240:0.250) (0.236:0.237:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3254_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.152:0.152:0.152) (0.308:0.308:0.308)) - (IOPATH A1 X (0.204:0.204:0.204) (0.351:0.351:0.351)) - (IOPATH S X (0.238:0.238:0.238) (0.362:0.362:0.362)) - (IOPATH S X (0.185:0.185:0.185) (0.347:0.347:0.347)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3b_1") - (INSTANCE _3255_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.269:0.269:0.269) (0.506:0.506:0.506)) - (IOPATH B X (0.257:0.257:0.257) (0.476:0.476:0.476)) - (IOPATH C_N X (0.306:0.306:0.306) (0.476:0.476:0.476)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3256_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.060:0.060:0.060) (0.046:0.046:0.046)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3257_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.169:0.169:0.169) (0.323:0.323:0.323)) - (IOPATH A1 X (0.166:0.166:0.166) (0.330:0.330:0.330)) - (IOPATH S X (0.304:0.304:0.304) (0.398:0.398:0.398)) - (IOPATH S X (0.242:0.242:0.242) (0.406:0.406:0.406)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _3258_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.169:0.169:0.169) (0.283:0.283:0.283)) - (IOPATH A1 X (0.182:0.182:0.182) (0.293:0.293:0.293)) - (IOPATH S X (0.256:0.256:0.256) (0.373:0.373:0.373)) - (IOPATH S X (0.201:0.201:0.201) (0.303:0.303:0.303)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3259_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.170:0.170:0.170) (0.326:0.326:0.326)) - (IOPATH A1 X (0.175:0.175:0.175) (0.338:0.338:0.338)) - (IOPATH S X (0.311:0.311:0.311) (0.405:0.405:0.405)) - (IOPATH S X (0.248:0.248:0.248) (0.413:0.413:0.413)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _3260_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.175:0.175:0.175) (0.288:0.288:0.288)) - (IOPATH A1 X (0.227:0.227:0.227) (0.321:0.321:0.321)) - (IOPATH S X (0.261:0.261:0.261) (0.377:0.377:0.377)) - (IOPATH S X (0.205:0.205:0.205) (0.307:0.307:0.307)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_4") - (INSTANCE _3261_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.395:0.395:0.395) (0.293:0.293:0.293)) - (IOPATH B X (0.306:0.306:0.306) (0.269:0.269:0.269)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3262_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.181:0.181:0.181) (0.336:0.336:0.336)) - (IOPATH A1 X (0.184:0.184:0.184) (0.347:0.347:0.347)) - (IOPATH S X (0.284:0.284:0.284) (0.396:0.396:0.396)) - (IOPATH S X (0.223:0.223:0.223) (0.389:0.389:0.389)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_4") - (INSTANCE _3263_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.156:0.156:0.156) (0.279:0.279:0.279)) - (IOPATH A1 X (0.216:0.216:0.216) (0.309:0.309:0.309)) - (IOPATH S X (0.218:0.218:0.218) (0.321:0.321:0.321)) - (IOPATH S X (0.188:0.188:0.188) (0.315:0.315:0.315)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3264_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.180:0.180:0.180) (0.335:0.335:0.335)) - (IOPATH A1 X (0.235:0.235:0.235) (0.397:0.397:0.397)) - (IOPATH S X (0.282:0.282:0.282) (0.394:0.394:0.394)) - (IOPATH S X (0.221:0.221:0.221) (0.388:0.388:0.388)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_4") - (INSTANCE _3265_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.164:0.164:0.164) (0.290:0.290:0.290)) - (IOPATH A1 X (0.231:0.231:0.231) (0.323:0.323:0.323)) - (IOPATH S X (0.231:0.231:0.231) (0.334:0.334:0.334)) - (IOPATH S X (0.201:0.201:0.201) (0.329:0.329:0.329)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_4") - (INSTANCE _3266_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.309:0.309:0.309) (0.247:0.247:0.247)) - (IOPATH B X (0.215:0.215:0.215) (0.226:0.226:0.226)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _3267_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.102:0.102:0.103) (0.096:0.096:0.096)) - (IOPATH B Y (0.109:0.109:0.109) (0.091:0.091:0.091)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3268_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.425:0.425:0.425) (0.133:0.133:0.133)) - (IOPATH B Y (0.387:0.389:0.391) (0.092:0.093:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _3269_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.148:0.148:0.148) (0.098:0.098:0.098)) - (IOPATH A2 Y (0.130:0.130:0.131) (0.073:0.073:0.073)) - (IOPATH B1 Y (0.080:0.080:0.080) (0.068:0.068:0.068)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _3270_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.093:0.093:0.093) (0.076:0.076:0.076)) - (IOPATH B Y (0.108:0.108:0.108) (0.084:0.084:0.084)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_4") - (INSTANCE _3271_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.186:0.186:0.186) (0.303:0.303:0.303)) - (IOPATH B X (0.168:0.168:0.168) (0.273:0.273:0.273)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_4") - (INSTANCE _3272_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.308:0.308:0.308) (0.247:0.247:0.247)) - (IOPATH B X (0.220:0.220:0.220) (0.232:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_4") - (INSTANCE _3273_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.305:0.305:0.305) (0.246:0.246:0.246)) - (IOPATH B X (0.218:0.218:0.218) (0.224:0.224:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _3274_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.182:0.182:0.182) (0.163:0.163:0.163)) - (IOPATH B Y (0.194:0.194:0.194) (0.160:0.160:0.160)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3275_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.447:0.447:0.447) (0.134:0.134:0.134)) - (IOPATH B Y (0.457:0.457:0.458) (0.140:0.141:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3276_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.148:0.148:0.148) (0.067:0.067:0.067)) - (IOPATH B Y (0.124:0.124:0.124) (0.044:0.044:0.044)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _3277_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.223:0.223:0.223) (0.192:0.192:0.192)) - (IOPATH B Y (0.236:0.236:0.236) (0.190:0.190:0.190)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3278_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.274:0.274:0.274) (0.099:0.099:0.099)) - (IOPATH B Y (0.304:0.305:0.305) (0.113:0.114:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_2") - (INSTANCE _3279_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.167:0.167:0.167) (0.329:0.329:0.329)) - (IOPATH B X (0.130:0.130:0.130) (0.288:0.288:0.288)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _3280_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.372:0.372:0.372) (0.137:0.138:0.139)) - (IOPATH B Y (0.319:0.319:0.319) (0.121:0.121:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_4") - (INSTANCE _3281_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.197:0.197:0.197) (0.432:0.432:0.432)) - (IOPATH B X (0.197:0.197:0.197) (0.416:0.416:0.416)) - (IOPATH C X (0.163:0.163:0.163) (0.356:0.356:0.356)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _3282_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.182:0.182:0.182) (0.178:0.178:0.178)) - (IOPATH B Y (0.187:0.187:0.187) (0.174:0.174:0.174)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_6") - (INSTANCE _3283_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.181:0.183:0.186) (0.102:0.103:0.103)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3284_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.189:0.189:0.189) (0.085:0.085:0.085)) - (IOPATH B Y (0.165:0.165:0.165) (0.063:0.063:0.063)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _3285_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.246:0.246:0.246) (0.213:0.213:0.213)) - (IOPATH B Y (0.344:0.344:0.344) (0.283:0.283:0.283)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3286_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.100:0.105:0.109) (0.053:0.053:0.053)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _3287_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.353:0.353:0.353) (0.121:0.121:0.121)) - (IOPATH B Y (0.389:0.397:0.405) (0.147:0.148:0.149)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _3288_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.364:0.364:0.364) (0.273:0.273:0.273)) - (IOPATH B X (0.353:0.353:0.353) (0.292:0.292:0.292)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _3289_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.176:0.176:0.176) (0.159:0.159:0.159)) - (IOPATH B Y (0.195:0.195:0.196) (0.166:0.166:0.166)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3290_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.289:0.289:0.289) (0.107:0.107:0.107)) - (IOPATH B Y (0.293:0.293:0.293) (0.099:0.100:0.101)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _3291_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.165:0.165:0.165) (0.131:0.131:0.131)) - (IOPATH B Y (0.112:0.112:0.112) (0.094:0.094:0.094)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3292_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.477:0.477:0.477) (0.143:0.143:0.143)) - (IOPATH B Y (0.448:0.448:0.448) (0.132:0.132:0.132)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _3293_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.239:0.239:0.239) (0.202:0.202:0.202)) - (IOPATH B Y (0.254:0.254:0.254) (0.200:0.200:0.200)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3294_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.402:0.402:0.402) (0.130:0.130:0.130)) - (IOPATH B Y (0.436:0.436:0.436) (0.153:0.154:0.154)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3295_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.345:0.345:0.345) (0.117:0.117:0.117)) - (IOPATH B Y (0.306:0.317:0.327) (0.084:0.086:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3296_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.496:0.496:0.497) (0.151:0.152:0.154)) - (IOPATH B Y (0.448:0.448:0.448) (0.124:0.124:0.124)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3b_4") - (INSTANCE _3297_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.301:0.301:0.301) (0.534:0.534:0.534)) - (IOPATH B X (0.328:0.328:0.328) (0.532:0.532:0.532)) - (IOPATH C_N X (0.418:0.418:0.418) (0.538:0.538:0.538)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3298_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.413:0.413:0.413) (0.150:0.151:0.153)) - (IOPATH B Y (0.335:0.335:0.335) (0.096:0.096:0.096)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _3299_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.195:0.196:0.196) (0.171:0.171:0.171)) - (IOPATH B Y (0.294:0.294:0.294) (0.231:0.231:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3300_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.470:0.471:0.471) (0.160:0.160:0.160)) - (IOPATH B Y (0.454:0.462:0.471) (0.144:0.146:0.149)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_4") - (INSTANCE _3301_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.320:0.320:0.320) (0.543:0.543:0.543)) - (IOPATH B X (0.326:0.326:0.326) (0.530:0.530:0.530)) - (IOPATH C X (0.326:0.326:0.326) (0.493:0.493:0.493)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3302_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.554:0.554:0.554) (0.195:0.196:0.196)) - (IOPATH B Y (0.480:0.480:0.480) (0.148:0.148:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _3303_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.243:0.243:0.243) (0.223:0.223:0.223)) - (IOPATH B Y (0.194:0.194:0.195) (0.167:0.167:0.167)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _3304_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.445:0.445:0.445) (0.145:0.145:0.145)) - (IOPATH B Y (0.446:0.456:0.465) (0.146:0.146:0.146)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _3305_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.066:0.066:0.066) (0.061:0.061:0.061)) - (IOPATH B Y (0.156:0.156:0.156) (0.075:0.075:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3306_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.493:0.493:0.493) (0.145:0.145:0.145)) - (IOPATH B Y (0.478:0.478:0.478) (0.135:0.135:0.135)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _3307_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.262:0.262:0.262) (0.228:0.228:0.228)) - (IOPATH B Y (0.283:0.284:0.284) (0.236:0.236:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3308_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.382:0.382:0.382) (0.129:0.129:0.129)) - (IOPATH B Y (0.427:0.427:0.428) (0.149:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3b_4") - (INSTANCE _3309_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.209:0.209:0.209) (0.454:0.454:0.454)) - (IOPATH B X (0.210:0.210:0.210) (0.438:0.438:0.438)) - (IOPATH C_N X (0.305:0.305:0.305) (0.448:0.448:0.448)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3310_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.458:0.458:0.458) (0.135:0.135:0.135)) - (IOPATH B Y (0.425:0.425:0.425) (0.121:0.121:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3311_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.507:0.514:0.521) (0.161:0.164:0.167)) - (IOPATH B Y (0.450:0.450:0.450) (0.128:0.128:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3312_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.525:0.526:0.526) (0.183:0.184:0.186)) - (IOPATH B Y (0.455:0.455:0.455) (0.137:0.137:0.137)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _3313_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.288:0.288:0.288) (0.242:0.242:0.242)) - (IOPATH B Y (0.304:0.304:0.304) (0.241:0.241:0.241)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3314_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.372:0.372:0.372) (0.128:0.128:0.128)) - (IOPATH B Y (0.427:0.427:0.428) (0.156:0.157:0.157)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3315_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.477:0.477:0.477) (0.137:0.137:0.137)) - (IOPATH B Y (0.462:0.462:0.462) (0.124:0.124:0.124)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3316_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.434:0.434:0.434) (0.162:0.163:0.163)) - (IOPATH B Y (0.355:0.355:0.355) (0.110:0.110:0.110)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3317_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.402:0.402:0.402) (0.138:0.138:0.138)) - (IOPATH B Y (0.436:0.444:0.452) (0.152:0.153:0.154)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _3318_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.409:0.409:0.409) (0.133:0.133:0.133)) - (IOPATH B Y (0.392:0.392:0.392) (0.122:0.122:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3319_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.527:0.527:0.527) (0.157:0.157:0.157)) - (IOPATH B Y (0.536:0.545:0.553) (0.159:0.162:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3320_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.370:0.371:0.371) (0.126:0.127:0.128)) - (IOPATH B Y (0.315:0.315:0.315) (0.099:0.099:0.099)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3321_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.462:0.462:0.462) (0.140:0.140:0.140)) - (IOPATH B Y (0.444:0.444:0.444) (0.123:0.123:0.123)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3322_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.501:0.508:0.515) (0.180:0.181:0.182)) - (IOPATH B Y (0.420:0.420:0.420) (0.124:0.124:0.124)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3323_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.577:0.577:0.577) (0.195:0.196:0.197)) - (IOPATH B Y (0.492:0.492:0.492) (0.121:0.121:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3324_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.492:0.493:0.493) (0.172:0.174:0.175)) - (IOPATH B Y (0.421:0.421:0.421) (0.121:0.121:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3325_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.481:0.481:0.481) (0.152:0.152:0.152)) - (IOPATH B Y (0.454:0.454:0.454) (0.129:0.129:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3326_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.394:0.401:0.408) (0.149:0.150:0.151)) - (IOPATH B Y (0.312:0.312:0.312) (0.100:0.100:0.100)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3327_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.514:0.514:0.515) (0.163:0.165:0.166)) - (IOPATH B Y (0.459:0.459:0.459) (0.133:0.133:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _3328_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.419:0.419:0.420) (0.155:0.156:0.157)) - (IOPATH B Y (0.351:0.351:0.351) (0.118:0.118:0.118)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _3329_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.384:0.384:0.384) (0.129:0.129:0.129)) - (IOPATH B Y (0.390:0.398:0.407) (0.136:0.138:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _3330_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.086:0.086:0.086) (0.085:0.085:0.085)) - (IOPATH B Y (0.095:0.097:0.099) (0.076:0.077:0.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3331_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.348:0.348:0.348) (0.117:0.117:0.117)) - (IOPATH B Y (0.324:0.324:0.324) (0.110:0.110:0.110)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3332_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.496:0.496:0.496) (0.157:0.157:0.157)) - (IOPATH B Y (0.468:0.468:0.468) (0.134:0.134:0.134)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _3333_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.270:0.270:0.270) (0.112:0.112:0.112)) - (IOPATH B Y (0.307:0.315:0.323) (0.131:0.132:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _3334_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.277:0.277:0.277) (0.102:0.102:0.102)) - (IOPATH B Y (0.258:0.258:0.258) (0.104:0.104:0.104)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _3335_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.291:0.291:0.291) (0.109:0.109:0.109)) - (IOPATH B Y (0.272:0.272:0.272) (0.113:0.113:0.113)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3336_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.403:0.403:0.403) (0.143:0.143:0.143)) - (IOPATH B Y (0.406:0.414:0.422) (0.129:0.132:0.134)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3337_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.437:0.437:0.437) (0.153:0.153:0.153)) - (IOPATH B Y (0.488:0.488:0.488) (0.175:0.175:0.176)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3338_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.517:0.517:0.517) (0.152:0.152:0.152)) - (IOPATH B Y (0.494:0.494:0.494) (0.146:0.146:0.146)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _3339_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.338:0.338:0.338) (0.118:0.118:0.118)) - (IOPATH B Y (0.315:0.315:0.315) (0.115:0.115:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3340_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.432:0.432:0.432) (0.133:0.133:0.133)) - (IOPATH B Y (0.431:0.439:0.447) (0.132:0.134:0.137)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _3341_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.376:0.376:0.376) (0.129:0.129:0.129)) - (IOPATH B Y (0.358:0.358:0.358) (0.136:0.136:0.136)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3342_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.488:0.488:0.488) (0.143:0.143:0.143)) - (IOPATH B Y (0.469:0.469:0.469) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3343_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.455:0.455:0.455) (0.143:0.143:0.143)) - (IOPATH B Y (0.489:0.490:0.490) (0.171:0.171:0.172)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3344_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.492:0.492:0.492) (0.154:0.154:0.154)) - (IOPATH B Y (0.523:0.523:0.523) (0.181:0.181:0.182)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3345_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.213:0.213:0.213) (0.252:0.252:0.252)) - (IOPATH A2 X (0.217:0.217:0.217) (0.256:0.261:0.266)) - (IOPATH B1 X (0.217:0.217:0.218) (0.205:0.209:0.214)) - (IOPATH B2 X (0.164:0.164:0.164) (0.231:0.231:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3346_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.164:0.164:0.164) (0.224:0.224:0.224)) - (IOPATH A2 X (0.242:0.242:0.242) (0.261:0.267:0.273)) - (IOPATH B1 X (0.174:0.174:0.174) (0.205:0.205:0.205)) - (IOPATH B2 X (0.154:0.154:0.154) (0.219:0.219:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3347_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.171:0.171:0.171) (0.221:0.221:0.221)) - (IOPATH A2 X (0.222:0.222:0.222) (0.246:0.252:0.258)) - (IOPATH B1 X (0.216:0.216:0.216) (0.205:0.213:0.222)) - (IOPATH B2 X (0.149:0.149:0.149) (0.220:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3348_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.168:0.168:0.168) (0.330:0.330:0.330)) - (IOPATH A2 X (0.169:0.169:0.169) (0.349:0.349:0.349)) - (IOPATH B1 X (0.212:0.213:0.213) (0.302:0.306:0.310)) - (IOPATH B2 X (0.163:0.163:0.163) (0.339:0.339:0.339)) - (IOPATH C1 X (0.095:0.097:0.099) (0.249:0.250:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3349_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.175:0.175:0.175) (0.237:0.237:0.237)) - (IOPATH A2 X (0.176:0.176:0.176) (0.247:0.247:0.247)) - (IOPATH B1 X (0.212:0.212:0.212) (0.207:0.208:0.208)) - (IOPATH B2 X (0.127:0.127:0.127) (0.193:0.193:0.193)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3350_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.207:0.207:0.207) (0.361:0.361:0.361)) - (IOPATH A2 X (0.281:0.281:0.281) (0.408:0.413:0.418)) - (IOPATH B1 X (0.251:0.251:0.251) (0.370:0.370:0.370)) - (IOPATH B2 X (0.245:0.245:0.245) (0.411:0.411:0.411)) - (IOPATH C1 X (0.166:0.167:0.169) (0.312:0.313:0.313)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3351_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.172:0.172:0.172) (0.229:0.229:0.229)) - (IOPATH A2 X (0.200:0.200:0.200) (0.266:0.266:0.266)) - (IOPATH B1 X (0.236:0.236:0.236) (0.221:0.225:0.228)) - (IOPATH B2 X (0.170:0.170:0.170) (0.235:0.235:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_4") - (INSTANCE _3352_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.360:0.360:0.360) (0.518:0.518:0.518)) - (IOPATH A2 X (0.401:0.401:0.401) (0.566:0.568:0.570)) - (IOPATH B1 X (0.360:0.360:0.360) (0.496:0.496:0.496)) - (IOPATH B2 X (0.312:0.312:0.312) (0.519:0.519:0.519)) - (IOPATH C1 X (0.241:0.242:0.244) (0.441:0.441:0.441)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3353_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.226:0.226:0.226) (0.273:0.273:0.273)) - (IOPATH A2 X (0.286:0.286:0.287) (0.298:0.301:0.304)) - (IOPATH B1 X (0.252:0.252:0.252) (0.240:0.242:0.245)) - (IOPATH B2 X (0.208:0.208:0.208) (0.257:0.257:0.257)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_4") - (INSTANCE _3354_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.327:0.327:0.327) (0.507:0.507:0.507)) - (IOPATH A2 X (0.417:0.417:0.418) (0.570:0.574:0.578)) - (IOPATH B1 X (0.333:0.333:0.333) (0.483:0.483:0.483)) - (IOPATH B2 X (0.339:0.339:0.339) (0.543:0.543:0.543)) - (IOPATH C1 X (0.261:0.262:0.262) (0.451:0.451:0.451)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _3355_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.106:0.108:0.110) (0.528:0.529:0.529)) - (IOPATH B X (0.139:0.140:0.141) (0.526:0.526:0.526)) - (IOPATH C X (0.158:0.159:0.160) (0.509:0.510:0.511)) - (IOPATH D X (0.156:0.157:0.158) (0.442:0.443:0.444)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3356_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.178:0.178:0.178) (0.242:0.242:0.242)) - (IOPATH A2 X (0.181:0.181:0.181) (0.251:0.251:0.251)) - (IOPATH B1 X (0.220:0.220:0.221) (0.220:0.221:0.222)) - (IOPATH B2 X (0.180:0.180:0.180) (0.231:0.231:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3357_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.256:0.256:0.256) (0.403:0.403:0.403)) - (IOPATH A2 X (0.303:0.303:0.303) (0.428:0.432:0.437)) - (IOPATH B1 X (0.320:0.320:0.320) (0.380:0.388:0.395)) - (IOPATH B2 X (0.245:0.245:0.245) (0.408:0.408:0.408)) - (IOPATH C1 X (0.188:0.189:0.190) (0.326:0.326:0.327)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _3358_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.395:0.395:0.395) (0.390:0.390:0.390)) - (IOPATH A2 X (0.415:0.415:0.415) (0.410:0.414:0.418)) - (IOPATH B1 X (0.384:0.384:0.384) (0.366:0.366:0.366)) - (IOPATH B2 X (0.350:0.350:0.350) (0.372:0.372:0.372)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3359_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.189:0.189:0.189) (0.349:0.349:0.349)) - (IOPATH A2 X (0.267:0.267:0.267) (0.382:0.388:0.393)) - (IOPATH B1 X (0.206:0.206:0.206) (0.331:0.331:0.331)) - (IOPATH B2 X (0.209:0.209:0.209) (0.365:0.365:0.365)) - (IOPATH C1 X (0.177:0.177:0.177) (0.308:0.309:0.309)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_1") - (INSTANCE _3360_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.191:0.191:0.191) (0.315:0.315:0.315)) - (IOPATH A2 X (0.220:0.220:0.220) (0.337:0.340:0.343)) - (IOPATH B1 X (0.146:0.147:0.147) (0.307:0.307:0.307)) - (IOPATH C1 X (0.118:0.119:0.120) (0.249:0.249:0.249)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3361_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.198:0.198:0.198) (0.337:0.337:0.337)) - (IOPATH A2 X (0.217:0.217:0.217) (0.365:0.366:0.368)) - (IOPATH B1 X (0.224:0.224:0.224) (0.317:0.317:0.317)) - (IOPATH B2 X (0.199:0.199:0.199) (0.369:0.369:0.369)) - (IOPATH C1 X (0.119:0.120:0.121) (0.273:0.273:0.274)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3362_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.157:0.157:0.157) (0.220:0.220:0.220)) - (IOPATH A2 X (0.193:0.193:0.193) (0.258:0.258:0.258)) - (IOPATH B1 X (0.209:0.209:0.209) (0.207:0.208:0.209)) - (IOPATH B2 X (0.155:0.155:0.155) (0.224:0.224:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3363_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.211:0.211:0.211) (0.370:0.370:0.370)) - (IOPATH A2 X (0.293:0.293:0.293) (0.411:0.415:0.420)) - (IOPATH B1 X (0.268:0.268:0.268) (0.356:0.358:0.360)) - (IOPATH B2 X (0.219:0.219:0.219) (0.384:0.384:0.384)) - (IOPATH C1 X (0.141:0.142:0.144) (0.294:0.294:0.294)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3364_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.189:0.189:0.189) (0.341:0.341:0.341)) - (IOPATH A2 X (0.252:0.252:0.252) (0.371:0.377:0.383)) - (IOPATH B1 X (0.247:0.247:0.247) (0.320:0.323:0.325)) - (IOPATH B2 X (0.155:0.155:0.155) (0.329:0.329:0.329)) - (IOPATH C1 X (0.120:0.121:0.122) (0.272:0.272:0.273)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3365_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.316:0.316:0.316) (0.325:0.325:0.325)) - (IOPATH A2 X (0.375:0.376:0.376) (0.350:0.353:0.357)) - (IOPATH B1 X (0.357:0.358:0.358) (0.296:0.304:0.312)) - (IOPATH B2 X (0.297:0.297:0.297) (0.310:0.310:0.310)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3366_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.187:0.187:0.187) (0.344:0.344:0.344)) - (IOPATH A2 X (0.259:0.259:0.259) (0.380:0.387:0.394)) - (IOPATH B1 X (0.254:0.254:0.254) (0.334:0.335:0.335)) - (IOPATH B2 X (0.186:0.186:0.186) (0.352:0.352:0.352)) - (IOPATH C1 X (0.164:0.164:0.164) (0.297:0.298:0.298)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_2") - (INSTANCE _3367_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.318:0.318:0.318) (0.483:0.483:0.483)) - (IOPATH A2 X (0.361:0.361:0.362) (0.507:0.511:0.515)) - (IOPATH B1 X (0.385:0.386:0.386) (0.453:0.462:0.471)) - (IOPATH B2 X (0.286:0.286:0.286) (0.481:0.481:0.481)) - (IOPATH C1 X (0.207:0.209:0.210) (0.392:0.392:0.393)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3368_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.196:0.196:0.196) (0.352:0.352:0.352)) - (IOPATH A2 X (0.197:0.197:0.197) (0.376:0.376:0.376)) - (IOPATH B1 X (0.274:0.274:0.275) (0.340:0.343:0.346)) - (IOPATH B2 X (0.200:0.200:0.200) (0.368:0.368:0.368)) - (IOPATH C1 X (0.125:0.125:0.126) (0.278:0.278:0.278)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3369_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.177:0.177:0.177) (0.234:0.234:0.234)) - (IOPATH A2 X (0.232:0.232:0.232) (0.258:0.260:0.261)) - (IOPATH B1 X (0.225:0.226:0.226) (0.211:0.217:0.222)) - (IOPATH B2 X (0.158:0.158:0.158) (0.221:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3370_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.264:0.264:0.264) (0.398:0.398:0.398)) - (IOPATH A2 X (0.290:0.290:0.290) (0.415:0.423:0.431)) - (IOPATH B1 X (0.316:0.316:0.317) (0.375:0.378:0.381)) - (IOPATH B2 X (0.242:0.242:0.242) (0.401:0.401:0.401)) - (IOPATH C1 X (0.166:0.167:0.169) (0.312:0.312:0.312)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _3371_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.127:0.129:0.130) (0.550:0.550:0.551)) - (IOPATH B X (0.162:0.163:0.164) (0.555:0.555:0.555)) - (IOPATH C X (0.129:0.131:0.133) (0.501:0.502:0.502)) - (IOPATH D X (0.144:0.145:0.145) (0.441:0.441:0.441)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _3372_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.267:0.269:0.271) (0.346:0.346:0.347)) - (IOPATH B X (0.274:0.274:0.274) (0.347:0.347:0.347)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_4") - (INSTANCE _3373_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.316:0.316:0.316) (0.557:0.557:0.557)) - (IOPATH B X (0.318:0.319:0.321) (0.525:0.525:0.526)) - (IOPATH C X (0.388:0.388:0.388) (0.525:0.525:0.525)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE _3374_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.214:0.214:0.214) (0.183:0.183:0.183)) - (IOPATH B Y (0.224:0.224:0.224) (0.171:0.171:0.171)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3375_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.198:0.198:0.198) (0.355:0.355:0.355)) - (IOPATH A1 X (0.151:0.151:0.151) (0.311:0.311:0.311)) - (IOPATH S X (0.238:0.238:0.238) (0.344:0.344:0.344)) - (IOPATH S X (0.162:0.162:0.162) (0.345:0.345:0.345)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3376_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.120:0.121:0.123) (0.283:0.283:0.284)) - (IOPATH A1 X (0.130:0.130:0.130) (0.295:0.295:0.295)) - (IOPATH S X (0.243:0.245:0.246) (0.344:0.345:0.345)) - (IOPATH S X (0.171:0.171:0.172) (0.346:0.348:0.349)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3377_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.352:0.352:0.352) (0.121:0.121:0.121)) - (IOPATH B Y (0.366:0.366:0.367) (0.133:0.134:0.135)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _3378_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.254:0.254:0.254) (0.220:0.220:0.220)) - (IOPATH B Y (0.275:0.275:0.276) (0.226:0.226:0.226)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3379_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.416:0.416:0.416) (0.140:0.140:0.140)) - (IOPATH B Y (0.455:0.455:0.455) (0.160:0.161:0.161)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_4") - (INSTANCE _3380_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.307:0.307:0.307) (0.392:0.392:0.392)) - (IOPATH A1 X (0.260:0.260:0.260) (0.351:0.351:0.351)) - (IOPATH S X (0.348:0.348:0.348) (0.419:0.419:0.419)) - (IOPATH S X (0.313:0.313:0.313) (0.426:0.426:0.426)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3381_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.162:0.162:0.162) (0.225:0.225:0.225)) - (IOPATH A2 X (0.218:0.218:0.218) (0.248:0.257:0.266)) - (IOPATH B1 X (0.161:0.161:0.161) (0.195:0.195:0.195)) - (IOPATH B2 X (0.173:0.173:0.173) (0.239:0.239:0.239)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3382_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.188:0.188:0.188) (0.232:0.232:0.232)) - (IOPATH A2 X (0.208:0.208:0.208) (0.249:0.250:0.252)) - (IOPATH B1 X (0.208:0.208:0.208) (0.205:0.210:0.215)) - (IOPATH B2 X (0.168:0.168:0.168) (0.230:0.230:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3383_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.199:0.199:0.199) (0.253:0.253:0.253)) - (IOPATH A2 X (0.242:0.242:0.242) (0.270:0.276:0.281)) - (IOPATH B1 X (0.251:0.251:0.252) (0.228:0.232:0.236)) - (IOPATH B2 X (0.197:0.197:0.197) (0.252:0.252:0.252)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3384_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.234:0.234:0.234) (0.275:0.275:0.275)) - (IOPATH A2 X (0.268:0.268:0.268) (0.286:0.288:0.289)) - (IOPATH B1 X (0.271:0.271:0.271) (0.253:0.253:0.254)) - (IOPATH B2 X (0.241:0.241:0.241) (0.278:0.278:0.278)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3385_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.174:0.174:0.174) (0.232:0.232:0.232)) - (IOPATH A2 X (0.237:0.237:0.237) (0.256:0.260:0.264)) - (IOPATH B1 X (0.220:0.220:0.221) (0.206:0.212:0.218)) - (IOPATH B2 X (0.171:0.171:0.171) (0.232:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3386_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.295:0.295:0.295) (0.431:0.431:0.431)) - (IOPATH A2 X (0.368:0.369:0.369) (0.466:0.469:0.473)) - (IOPATH B1 X (0.349:0.349:0.349) (0.410:0.413:0.415)) - (IOPATH B2 X (0.300:0.300:0.300) (0.442:0.442:0.442)) - (IOPATH C1 X (0.223:0.223:0.223) (0.353:0.354:0.354)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3387_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.172:0.172:0.172) (0.237:0.237:0.237)) - (IOPATH A2 X (0.179:0.179:0.179) (0.250:0.250:0.250)) - (IOPATH B1 X (0.165:0.165:0.165) (0.202:0.202:0.202)) - (IOPATH B2 X (0.169:0.169:0.169) (0.229:0.229:0.229)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3388_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.165:0.165:0.165) (0.327:0.327:0.327)) - (IOPATH A2 X (0.165:0.165:0.165) (0.345:0.345:0.345)) - (IOPATH B1 X (0.240:0.240:0.240) (0.309:0.312:0.316)) - (IOPATH B2 X (0.157:0.157:0.157) (0.329:0.329:0.329)) - (IOPATH C1 X (0.097:0.097:0.097) (0.247:0.248:0.248)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3389_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.161:0.161:0.161) (0.229:0.229:0.229)) - (IOPATH A2 X (0.230:0.231:0.231) (0.254:0.261:0.269)) - (IOPATH B1 X (0.215:0.215:0.216) (0.203:0.209:0.214)) - (IOPATH B2 X (0.159:0.159:0.159) (0.221:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3390_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.226:0.226:0.226) (0.375:0.375:0.375)) - (IOPATH A2 X (0.266:0.266:0.267) (0.405:0.408:0.410)) - (IOPATH B1 X (0.209:0.209:0.209) (0.339:0.339:0.339)) - (IOPATH B2 X (0.214:0.214:0.214) (0.373:0.373:0.373)) - (IOPATH C1 X (0.135:0.137:0.138) (0.288:0.289:0.289)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_2") - (INSTANCE _3391_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.310:0.310:0.310) (0.472:0.472:0.472)) - (IOPATH A2 X (0.359:0.359:0.359) (0.506:0.512:0.517)) - (IOPATH B1 X (0.398:0.398:0.399) (0.468:0.474:0.479)) - (IOPATH B2 X (0.305:0.305:0.305) (0.491:0.491:0.491)) - (IOPATH C1 X (0.233:0.234:0.236) (0.410:0.410:0.410)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _3392_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.161:0.162:0.162) (0.558:0.558:0.558)) - (IOPATH B X (0.106:0.109:0.112) (0.511:0.511:0.511)) - (IOPATH C X (0.123:0.124:0.125) (0.486:0.487:0.487)) - (IOPATH D X (0.152:0.152:0.153) (0.437:0.438:0.438)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3393_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.239:0.239:0.239) (0.383:0.383:0.383)) - (IOPATH A2 X (0.308:0.308:0.309) (0.419:0.422:0.425)) - (IOPATH B1 X (0.302:0.302:0.302) (0.365:0.370:0.374)) - (IOPATH B2 X (0.218:0.218:0.218) (0.384:0.384:0.384)) - (IOPATH C1 X (0.171:0.172:0.173) (0.312:0.312:0.313)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3394_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.360:0.360:0.360) (0.456:0.456:0.456)) - (IOPATH A2 X (0.393:0.393:0.393) (0.483:0.484:0.484)) - (IOPATH B1 X (0.408:0.408:0.408) (0.430:0.440:0.449)) - (IOPATH B2 X (0.324:0.324:0.324) (0.456:0.456:0.456)) - (IOPATH C1 X (0.255:0.256:0.257) (0.373:0.373:0.373)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_1") - (INSTANCE _3395_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.227:0.227:0.227) (0.338:0.338:0.338)) - (IOPATH A2 X (0.286:0.286:0.286) (0.380:0.387:0.395)) - (IOPATH B1 X (0.195:0.196:0.197) (0.346:0.347:0.347)) - (IOPATH C1 X (0.216:0.216:0.217) (0.327:0.328:0.328)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3396_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.199:0.199:0.199) (0.349:0.349:0.349)) - (IOPATH A2 X (0.260:0.260:0.260) (0.380:0.386:0.392)) - (IOPATH B1 X (0.262:0.263:0.263) (0.332:0.335:0.337)) - (IOPATH B2 X (0.173:0.173:0.173) (0.351:0.351:0.351)) - (IOPATH C1 X (0.142:0.142:0.142) (0.287:0.287:0.288)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3397_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.192:0.192:0.192) (0.247:0.247:0.247)) - (IOPATH A2 X (0.236:0.236:0.236) (0.273:0.276:0.279)) - (IOPATH B1 X (0.258:0.259:0.259) (0.236:0.244:0.252)) - (IOPATH B2 X (0.205:0.205:0.205) (0.259:0.259:0.259)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3398_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.255:0.255:0.255) (0.288:0.288:0.288)) - (IOPATH A2 X (0.312:0.312:0.312) (0.314:0.318:0.322)) - (IOPATH B1 X (0.280:0.280:0.281) (0.258:0.264:0.269)) - (IOPATH B2 X (0.262:0.262:0.262) (0.284:0.284:0.284)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3399_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.243:0.243:0.243) (0.388:0.388:0.388)) - (IOPATH A2 X (0.317:0.317:0.317) (0.439:0.442:0.446)) - (IOPATH B1 X (0.332:0.332:0.332) (0.384:0.387:0.391)) - (IOPATH B2 X (0.243:0.243:0.243) (0.399:0.399:0.399)) - (IOPATH C1 X (0.217:0.217:0.218) (0.342:0.343:0.343)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_1") - (INSTANCE _3400_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.177:0.177:0.177) (0.303:0.303:0.303)) - (IOPATH A2 X (0.211:0.211:0.211) (0.353:0.353:0.353)) - (IOPATH B1 X (0.145:0.146:0.147) (0.305:0.305:0.305)) - (IOPATH C1 X (0.154:0.154:0.155) (0.279:0.279:0.279)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3401_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.209:0.209:0.209) (0.261:0.261:0.261)) - (IOPATH A2 X (0.191:0.191:0.191) (0.259:0.259:0.259)) - (IOPATH B1 X (0.236:0.236:0.236) (0.228:0.229:0.230)) - (IOPATH B2 X (0.188:0.188:0.188) (0.250:0.250:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3402_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.317:0.317:0.317) (0.436:0.436:0.436)) - (IOPATH A2 X (0.374:0.374:0.375) (0.467:0.474:0.482)) - (IOPATH B1 X (0.343:0.343:0.343) (0.410:0.414:0.417)) - (IOPATH B2 X (0.318:0.318:0.318) (0.451:0.451:0.451)) - (IOPATH C1 X (0.228:0.229:0.231) (0.355:0.355:0.356)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3403_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.166:0.166:0.166) (0.227:0.227:0.227)) - (IOPATH A2 X (0.219:0.219:0.219) (0.248:0.249:0.250)) - (IOPATH B1 X (0.200:0.200:0.200) (0.206:0.209:0.213)) - (IOPATH B2 X (0.149:0.149:0.149) (0.215:0.216:0.217)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3404_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.201:0.201:0.201) (0.356:0.356:0.356)) - (IOPATH A2 X (0.243:0.243:0.243) (0.382:0.387:0.392)) - (IOPATH B1 X (0.210:0.210:0.210) (0.334:0.334:0.334)) - (IOPATH B2 X (0.202:0.202:0.202) (0.368:0.368:0.368)) - (IOPATH C1 X (0.123:0.125:0.126) (0.278:0.278:0.279)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3405_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.201:0.201:0.201) (0.347:0.347:0.347)) - (IOPATH A2 X (0.207:0.207:0.207) (0.377:0.377:0.377)) - (IOPATH B1 X (0.193:0.193:0.193) (0.320:0.320:0.320)) - (IOPATH B2 X (0.153:0.153:0.153) (0.321:0.321:0.321)) - (IOPATH C1 X (0.128:0.128:0.129) (0.270:0.271:0.271)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3406_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.261:0.261:0.261) (0.288:0.288:0.288)) - (IOPATH A2 X (0.284:0.284:0.284) (0.303:0.304:0.305)) - (IOPATH B1 X (0.278:0.279:0.279) (0.249:0.252:0.255)) - (IOPATH B2 X (0.225:0.225:0.225) (0.270:0.270:0.270)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3407_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.226:0.226:0.226) (0.380:0.380:0.380)) - (IOPATH A2 X (0.277:0.277:0.277) (0.399:0.405:0.411)) - (IOPATH B1 X (0.278:0.278:0.279) (0.352:0.354:0.355)) - (IOPATH B2 X (0.240:0.240:0.240) (0.397:0.397:0.397)) - (IOPATH C1 X (0.166:0.166:0.167) (0.306:0.306:0.307)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _3408_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.149:0.149:0.150) (0.540:0.540:0.540)) - (IOPATH B X (0.110:0.111:0.112) (0.500:0.501:0.501)) - (IOPATH C X (0.098:0.100:0.101) (0.462:0.463:0.463)) - (IOPATH D X (0.111:0.112:0.113) (0.401:0.402:0.402)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _3409_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.206:0.206:0.206) (0.566:0.567:0.569)) - (IOPATH B X (0.129:0.131:0.132) (0.533:0.533:0.534)) - (IOPATH C X (0.135:0.136:0.137) (0.504:0.504:0.505)) - (IOPATH D X (0.115:0.116:0.116) (0.438:0.438:0.438)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_4") - (INSTANCE _3410_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.316:0.317:0.317) (0.395:0.395:0.395)) - (IOPATH B X (0.320:0.321:0.322) (0.380:0.380:0.380)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3411_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.195:0.195:0.195) (0.332:0.332:0.332)) - (IOPATH A1 X (0.143:0.143:0.143) (0.304:0.304:0.304)) - (IOPATH S X (0.237:0.237:0.238) (0.343:0.343:0.343)) - (IOPATH S X (0.161:0.161:0.161) (0.344:0.344:0.344)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3412_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.148:0.149:0.150) (0.313:0.313:0.313)) - (IOPATH A1 X (0.172:0.172:0.172) (0.332:0.332:0.332)) - (IOPATH S X (0.270:0.272:0.273) (0.374:0.374:0.375)) - (IOPATH S X (0.198:0.198:0.199) (0.376:0.377:0.378)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_8") - (INSTANCE _3413_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.275:0.275:0.275) (0.393:0.393:0.393)) - (IOPATH A1 X (0.287:0.287:0.287) (0.397:0.397:0.397)) - (IOPATH S X (0.398:0.398:0.398) (0.488:0.488:0.488)) - (IOPATH S X (0.323:0.323:0.323) (0.453:0.453:0.453)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _3414_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.185:0.185:0.185) (0.165:0.165:0.165)) - (IOPATH B Y (0.198:0.198:0.198) (0.163:0.163:0.163)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3415_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.359:0.359:0.359) (0.131:0.131:0.131)) - (IOPATH B Y (0.360:0.360:0.360) (0.116:0.117:0.118)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _3416_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.218:0.218:0.218) (0.190:0.190:0.190)) - (IOPATH B Y (0.232:0.232:0.232) (0.190:0.190:0.190)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3417_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.397:0.397:0.397) (0.135:0.135:0.135)) - (IOPATH B Y (0.420:0.421:0.421) (0.143:0.145:0.146)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3418_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.247:0.247:0.247) (0.282:0.282:0.282)) - (IOPATH A2 X (0.313:0.313:0.313) (0.312:0.315:0.319)) - (IOPATH B1 X (0.253:0.253:0.253) (0.259:0.259:0.259)) - (IOPATH B2 X (0.235:0.235:0.235) (0.273:0.273:0.273)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3419_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.206:0.206:0.206) (0.256:0.256:0.256)) - (IOPATH A2 X (0.271:0.271:0.271) (0.282:0.290:0.298)) - (IOPATH B1 X (0.248:0.248:0.248) (0.230:0.238:0.245)) - (IOPATH B2 X (0.195:0.195:0.195) (0.250:0.250:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3420_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.211:0.211:0.211) (0.250:0.250:0.250)) - (IOPATH A2 X (0.233:0.233:0.233) (0.268:0.270:0.271)) - (IOPATH B1 X (0.254:0.255:0.255) (0.230:0.237:0.244)) - (IOPATH B2 X (0.206:0.206:0.206) (0.264:0.264:0.264)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_2") - (INSTANCE _3421_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.420:0.420:0.420) (0.541:0.541:0.541)) - (IOPATH A2 X (0.448:0.448:0.448) (0.565:0.568:0.571)) - (IOPATH B1 X (0.382:0.382:0.382) (0.498:0.498:0.498)) - (IOPATH B2 X (0.395:0.395:0.395) (0.547:0.547:0.547)) - (IOPATH C1 X (0.319:0.320:0.321) (0.456:0.457:0.457)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _3422_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.418:0.418:0.418) (0.400:0.400:0.400)) - (IOPATH A2 X (0.485:0.485:0.485) (0.439:0.439:0.439)) - (IOPATH B1 X (0.490:0.490:0.490) (0.396:0.397:0.397)) - (IOPATH B2 X (0.454:0.454:0.454) (0.437:0.437:0.437)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3423_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.204:0.204:0.204) (0.351:0.351:0.351)) - (IOPATH A2 X (0.235:0.235:0.236) (0.371:0.379:0.388)) - (IOPATH B1 X (0.224:0.224:0.224) (0.315:0.323:0.330)) - (IOPATH B2 X (0.186:0.186:0.186) (0.355:0.355:0.355)) - (IOPATH C1 X (0.183:0.183:0.183) (0.320:0.320:0.320)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3424_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.193:0.193:0.193) (0.236:0.236:0.236)) - (IOPATH A2 X (0.167:0.167:0.167) (0.239:0.239:0.239)) - (IOPATH B1 X (0.206:0.206:0.206) (0.208:0.209:0.210)) - (IOPATH B2 X (0.150:0.150:0.150) (0.215:0.215:0.215)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _3425_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.369:0.369:0.369) (0.371:0.371:0.371)) - (IOPATH A2 X (0.461:0.461:0.461) (0.418:0.425:0.431)) - (IOPATH B1 X (0.442:0.442:0.442) (0.371:0.377:0.382)) - (IOPATH B2 X (0.421:0.421:0.421) (0.401:0.401:0.401)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3426_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.296:0.296:0.296) (0.313:0.313:0.313)) - (IOPATH A2 X (0.351:0.351:0.351) (0.335:0.343:0.351)) - (IOPATH B1 X (0.290:0.290:0.290) (0.283:0.283:0.283)) - (IOPATH B2 X (0.263:0.263:0.263) (0.292:0.292:0.292)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3427_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.183:0.183:0.183) (0.341:0.341:0.341)) - (IOPATH A2 X (0.273:0.273:0.273) (0.392:0.395:0.398)) - (IOPATH B1 X (0.229:0.229:0.229) (0.323:0.326:0.328)) - (IOPATH B2 X (0.202:0.202:0.202) (0.359:0.359:0.359)) - (IOPATH C1 X (0.156:0.156:0.156) (0.293:0.293:0.293)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3428_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.249:0.249:0.249) (0.390:0.390:0.390)) - (IOPATH A2 X (0.314:0.314:0.314) (0.427:0.430:0.433)) - (IOPATH B1 X (0.302:0.302:0.303) (0.370:0.373:0.376)) - (IOPATH B2 X (0.214:0.214:0.214) (0.379:0.379:0.379)) - (IOPATH C1 X (0.175:0.176:0.177) (0.316:0.316:0.316)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3429_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.183:0.183:0.183) (0.338:0.338:0.338)) - (IOPATH A2 X (0.198:0.198:0.198) (0.375:0.375:0.375)) - (IOPATH B1 X (0.252:0.252:0.252) (0.326:0.328:0.331)) - (IOPATH B2 X (0.183:0.183:0.183) (0.352:0.352:0.352)) - (IOPATH C1 X (0.107:0.109:0.111) (0.263:0.263:0.263)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3430_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.198:0.198:0.198) (0.339:0.339:0.339)) - (IOPATH A2 X (0.250:0.250:0.250) (0.378:0.380:0.382)) - (IOPATH B1 X (0.252:0.253:0.253) (0.324:0.327:0.331)) - (IOPATH B2 X (0.166:0.166:0.166) (0.338:0.338:0.338)) - (IOPATH C1 X (0.140:0.140:0.141) (0.280:0.280:0.281)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _3431_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.134:0.135:0.137) (0.558:0.558:0.559)) - (IOPATH B X (0.156:0.157:0.157) (0.550:0.551:0.551)) - (IOPATH C X (0.128:0.130:0.132) (0.506:0.506:0.506)) - (IOPATH D X (0.125:0.127:0.129) (0.437:0.437:0.438)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3432_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.322:0.322:0.322) (0.328:0.328:0.328)) - (IOPATH A2 X (0.313:0.313:0.313) (0.337:0.337:0.337)) - (IOPATH B1 X (0.353:0.353:0.353) (0.304:0.308:0.312)) - (IOPATH B2 X (0.300:0.300:0.300) (0.318:0.318:0.318)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3433_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.189:0.189:0.189) (0.340:0.340:0.340)) - (IOPATH A2 X (0.184:0.184:0.184) (0.366:0.366:0.366)) - (IOPATH B1 X (0.205:0.205:0.205) (0.328:0.328:0.328)) - (IOPATH B2 X (0.174:0.174:0.174) (0.343:0.343:0.343)) - (IOPATH C1 X (0.158:0.158:0.158) (0.291:0.291:0.292)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3434_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.172:0.172:0.172) (0.232:0.232:0.232)) - (IOPATH A2 X (0.243:0.243:0.243) (0.267:0.271:0.276)) - (IOPATH B1 X (0.221:0.222:0.222) (0.210:0.217:0.223)) - (IOPATH B2 X (0.164:0.164:0.164) (0.226:0.226:0.226)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3435_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.189:0.189:0.189) (0.342:0.342:0.342)) - (IOPATH A2 X (0.240:0.240:0.240) (0.373:0.382:0.390)) - (IOPATH B1 X (0.266:0.266:0.266) (0.335:0.338:0.340)) - (IOPATH B2 X (0.191:0.191:0.191) (0.358:0.358:0.358)) - (IOPATH C1 X (0.117:0.118:0.120) (0.269:0.269:0.270)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3436_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.236:0.236:0.236) (0.275:0.275:0.275)) - (IOPATH A2 X (0.307:0.308:0.308) (0.307:0.312:0.317)) - (IOPATH B1 X (0.252:0.252:0.252) (0.260:0.260:0.260)) - (IOPATH B2 X (0.239:0.239:0.239) (0.280:0.280:0.280)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3437_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.198:0.198:0.198) (0.359:0.359:0.359)) - (IOPATH A2 X (0.246:0.246:0.246) (0.384:0.389:0.393)) - (IOPATH B1 X (0.259:0.259:0.259) (0.347:0.351:0.355)) - (IOPATH B2 X (0.225:0.225:0.225) (0.379:0.379:0.379)) - (IOPATH C1 X (0.156:0.156:0.156) (0.296:0.296:0.296)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3438_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.203:0.203:0.203) (0.347:0.347:0.347)) - (IOPATH A2 X (0.207:0.207:0.207) (0.379:0.379:0.379)) - (IOPATH B1 X (0.246:0.247:0.247) (0.324:0.327:0.330)) - (IOPATH B2 X (0.171:0.171:0.171) (0.342:0.342:0.342)) - (IOPATH C1 X (0.173:0.173:0.173) (0.311:0.311:0.311)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _3439_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.343:0.343:0.343) (0.358:0.358:0.358)) - (IOPATH A2 X (0.411:0.412:0.412) (0.394:0.399:0.405)) - (IOPATH B1 X (0.429:0.429:0.430) (0.366:0.367:0.368)) - (IOPATH B2 X (0.340:0.340:0.340) (0.352:0.352:0.352)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3440_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.190:0.190:0.190) (0.346:0.346:0.346)) - (IOPATH A2 X (0.247:0.247:0.247) (0.375:0.379:0.383)) - (IOPATH B1 X (0.232:0.232:0.232) (0.324:0.327:0.329)) - (IOPATH B2 X (0.182:0.182:0.182) (0.352:0.352:0.352)) - (IOPATH C1 X (0.171:0.171:0.171) (0.306:0.307:0.307)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3441_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.187:0.187:0.187) (0.241:0.241:0.241)) - (IOPATH A2 X (0.243:0.243:0.243) (0.262:0.271:0.280)) - (IOPATH B1 X (0.231:0.231:0.231) (0.214:0.220:0.226)) - (IOPATH B2 X (0.177:0.177:0.177) (0.237:0.237:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3442_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.180:0.180:0.180) (0.343:0.343:0.343)) - (IOPATH A2 X (0.199:0.199:0.199) (0.374:0.374:0.374)) - (IOPATH B1 X (0.232:0.232:0.232) (0.326:0.327:0.328)) - (IOPATH B2 X (0.210:0.210:0.210) (0.382:0.382:0.382)) - (IOPATH C1 X (0.115:0.116:0.117) (0.266:0.266:0.266)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3443_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.213:0.213:0.213) (0.262:0.262:0.262)) - (IOPATH A2 X (0.288:0.288:0.288) (0.296:0.305:0.314)) - (IOPATH B1 X (0.199:0.199:0.199) (0.225:0.225:0.225)) - (IOPATH B2 X (0.199:0.199:0.199) (0.253:0.253:0.253)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3444_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.410:0.410:0.410) (0.485:0.485:0.485)) - (IOPATH A2 X (0.381:0.381:0.381) (0.503:0.503:0.503)) - (IOPATH B1 X (0.434:0.434:0.434) (0.462:0.464:0.466)) - (IOPATH B2 X (0.363:0.363:0.363) (0.475:0.475:0.475)) - (IOPATH C1 X (0.323:0.323:0.324) (0.411:0.411:0.411)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _3445_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.228:0.228:0.229) (0.630:0.630:0.630)) - (IOPATH B X (0.160:0.161:0.161) (0.572:0.572:0.572)) - (IOPATH C X (0.154:0.156:0.157) (0.537:0.538:0.538)) - (IOPATH D X (0.217:0.218:0.218) (0.512:0.512:0.513)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _3446_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.172:0.173:0.175) (0.598:0.599:0.599)) - (IOPATH B X (0.164:0.165:0.166) (0.576:0.576:0.577)) - (IOPATH C X (0.162:0.163:0.164) (0.543:0.543:0.544)) - (IOPATH D X (0.178:0.178:0.179) (0.498:0.498:0.498)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_4") - (INSTANCE _3447_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.332:0.333:0.333) (0.741:0.741:0.741)) - (IOPATH B X (0.322:0.323:0.323) (0.700:0.700:0.701)) - (IOPATH C X (0.321:0.324:0.326) (0.670:0.670:0.670)) - (IOPATH D X (0.340:0.340:0.341) (0.630:0.630:0.631)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3448_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.197:0.197:0.197) (0.368:0.369:0.369)) - (IOPATH A1 X (0.139:0.139:0.139) (0.301:0.301:0.301)) - (IOPATH S X (0.237:0.237:0.237) (0.343:0.343:0.343)) - (IOPATH S X (0.161:0.161:0.161) (0.344:0.344:0.344)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3449_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.128:0.130:0.131) (0.294:0.294:0.294)) - (IOPATH A1 X (0.144:0.144:0.144) (0.309:0.309:0.309)) - (IOPATH S X (0.252:0.253:0.255) (0.355:0.355:0.356)) - (IOPATH S X (0.179:0.180:0.181) (0.357:0.358:0.360)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _3450_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.465:0.465:0.465) (0.147:0.147:0.147)) - (IOPATH B Y (0.506:0.506:0.506) (0.187:0.188:0.189)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3451_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.367:0.367:0.367) (0.132:0.132:0.132)) - (IOPATH B Y (0.371:0.371:0.371) (0.124:0.125:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3452_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.168:0.168:0.168) (0.228:0.228:0.228)) - (IOPATH A2 X (0.208:0.209:0.209) (0.243:0.252:0.260)) - (IOPATH B1 X (0.195:0.195:0.195) (0.198:0.200:0.202)) - (IOPATH B2 X (0.160:0.160:0.160) (0.222:0.222:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3453_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.201:0.201:0.201) (0.356:0.356:0.356)) - (IOPATH A2 X (0.255:0.255:0.255) (0.395:0.397:0.400)) - (IOPATH B1 X (0.263:0.263:0.263) (0.339:0.345:0.351)) - (IOPATH B2 X (0.195:0.195:0.195) (0.364:0.364:0.364)) - (IOPATH C1 X (0.125:0.126:0.127) (0.279:0.279:0.279)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _3454_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.343:0.352:0.361) (0.122:0.122:0.122)) - (IOPATH B Y (0.389:0.389:0.389) (0.182:0.182:0.182)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _3455_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.332:0.332:0.332) (0.113:0.113:0.113)) - (IOPATH B Y (0.306:0.306:0.306) (0.110:0.110:0.110)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _3456_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.398:0.398:0.398) (0.119:0.119:0.119)) - (IOPATH B Y (0.429:0.430:0.430) (0.156:0.157:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3457_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.175:0.175:0.175) (0.241:0.241:0.241)) - (IOPATH A2 X (0.245:0.245:0.245) (0.260:0.267:0.273)) - (IOPATH B1 X (0.211:0.211:0.211) (0.204:0.209:0.215)) - (IOPATH B2 X (0.162:0.162:0.162) (0.232:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3458_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.256:0.256:0.256) (0.396:0.396:0.396)) - (IOPATH A2 X (0.282:0.283:0.283) (0.418:0.433:0.448)) - (IOPATH B1 X (0.280:0.280:0.280) (0.362:0.362:0.362)) - (IOPATH B2 X (0.233:0.233:0.233) (0.395:0.395:0.395)) - (IOPATH C1 X (0.173:0.174:0.175) (0.317:0.317:0.317)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3459_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.173:0.173:0.173) (0.231:0.231:0.231)) - (IOPATH A2 X (0.233:0.233:0.233) (0.254:0.258:0.262)) - (IOPATH B1 X (0.218:0.219:0.219) (0.209:0.213:0.216)) - (IOPATH B2 X (0.157:0.157:0.157) (0.222:0.222:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _3460_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.317:0.317:0.317) (0.118:0.118:0.118)) - (IOPATH B Y (0.358:0.358:0.358) (0.140:0.140:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3461_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.401:0.401:0.401) (0.480:0.480:0.480)) - (IOPATH A2 X (0.418:0.418:0.418) (0.505:0.508:0.512)) - (IOPATH B1 X (0.398:0.398:0.398) (0.440:0.444:0.449)) - (IOPATH B2 X (0.357:0.357:0.357) (0.476:0.476:0.476)) - (IOPATH C1 X (0.289:0.291:0.292) (0.393:0.393:0.393)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3462_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.296:0.296:0.296) (0.104:0.104:0.104)) - (IOPATH B Y (0.296:0.296:0.296) (0.121:0.121:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3463_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.328:0.328:0.328) (0.106:0.106:0.106)) - (IOPATH B Y (0.377:0.378:0.378) (0.138:0.138:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3464_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.262:0.262:0.262) (0.292:0.292:0.292)) - (IOPATH A2 X (0.273:0.273:0.273) (0.295:0.298:0.301)) - (IOPATH B1 X (0.267:0.268:0.268) (0.248:0.253:0.258)) - (IOPATH B2 X (0.233:0.233:0.233) (0.278:0.278:0.278)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _3465_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.275:0.275:0.275) (0.101:0.101:0.101)) - (IOPATH B Y (0.245:0.245:0.245) (0.095:0.095:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _3466_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.434:0.434:0.434) (0.149:0.149:0.149)) - (IOPATH B Y (0.435:0.435:0.436) (0.142:0.143:0.144)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3467_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.195:0.195:0.195) (0.352:0.352:0.352)) - (IOPATH A2 X (0.227:0.227:0.227) (0.374:0.375:0.375)) - (IOPATH B1 X (0.258:0.258:0.258) (0.338:0.339:0.340)) - (IOPATH B2 X (0.191:0.191:0.191) (0.363:0.363:0.363)) - (IOPATH C1 X (0.157:0.157:0.157) (0.297:0.297:0.297)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _3468_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.126:0.128:0.129) (0.544:0.545:0.545)) - (IOPATH B X (0.147:0.148:0.148) (0.536:0.537:0.537)) - (IOPATH C X (0.181:0.181:0.181) (0.528:0.529:0.529)) - (IOPATH D X (0.122:0.123:0.124) (0.425:0.425:0.426)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3469_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.194:0.194:0.194) (0.254:0.254:0.254)) - (IOPATH A2 X (0.267:0.267:0.267) (0.281:0.289:0.297)) - (IOPATH B1 X (0.242:0.242:0.242) (0.227:0.231:0.235)) - (IOPATH B2 X (0.189:0.189:0.189) (0.246:0.246:0.246)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3470_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.331:0.331:0.331) (0.449:0.449:0.449)) - (IOPATH A2 X (0.400:0.400:0.401) (0.482:0.490:0.497)) - (IOPATH B1 X (0.396:0.396:0.396) (0.438:0.439:0.439)) - (IOPATH B2 X (0.329:0.329:0.329) (0.460:0.460:0.460)) - (IOPATH C1 X (0.267:0.268:0.269) (0.379:0.379:0.380)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _3471_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.359:0.359:0.359) (0.110:0.110:0.110)) - (IOPATH B Y (0.366:0.366:0.366) (0.141:0.141:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _3472_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.337:0.337:0.337) (0.143:0.143:0.143)) - (IOPATH B Y (0.383:0.383:0.384) (0.179:0.180:0.181)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_1") - (INSTANCE _3473_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.181:0.181:0.181) (0.309:0.309:0.309)) - (IOPATH A2 X (0.222:0.222:0.222) (0.333:0.337:0.342)) - (IOPATH B1 X (0.190:0.191:0.191) (0.315:0.320:0.325)) - (IOPATH C1 X (0.182:0.182:0.182) (0.272:0.274:0.276)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _3474_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.312:0.312:0.312) (0.108:0.108:0.108)) - (IOPATH B Y (0.322:0.332:0.342) (0.115:0.115:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_2") - (INSTANCE _3475_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.350:0.350:0.350) (0.509:0.509:0.509)) - (IOPATH A2 X (0.399:0.399:0.399) (0.526:0.532:0.538)) - (IOPATH B1 X (0.373:0.373:0.373) (0.468:0.469:0.470)) - (IOPATH B2 X (0.310:0.310:0.310) (0.485:0.485:0.485)) - (IOPATH C1 X (0.261:0.261:0.262) (0.429:0.429:0.430)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _3476_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.452:0.452:0.452) (0.130:0.130:0.130)) - (IOPATH B Y (0.503:0.503:0.503) (0.187:0.188:0.189)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _3477_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.442:0.442:0.442) (0.214:0.214:0.214)) - (IOPATH B Y (0.378:0.379:0.379) (0.141:0.142:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _3478_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.351:0.351:0.351) (0.119:0.119:0.119)) - (IOPATH B Y (0.359:0.360:0.360) (0.126:0.127:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3479_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.182:0.182:0.182) (0.240:0.240:0.240)) - (IOPATH A2 X (0.234:0.234:0.234) (0.271:0.286:0.300)) - (IOPATH B1 X (0.217:0.217:0.217) (0.212:0.214:0.216)) - (IOPATH B2 X (0.177:0.177:0.177) (0.235:0.235:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3480_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.169:0.169:0.169) (0.331:0.331:0.331)) - (IOPATH A2 X (0.217:0.218:0.218) (0.352:0.361:0.369)) - (IOPATH B1 X (0.230:0.230:0.230) (0.307:0.314:0.322)) - (IOPATH B2 X (0.164:0.164:0.164) (0.334:0.334:0.334)) - (IOPATH C1 X (0.106:0.107:0.107) (0.254:0.254:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _3481_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.344:0.344:0.344) (0.365:0.365:0.365)) - (IOPATH A2 X (0.358:0.358:0.358) (0.376:0.377:0.379)) - (IOPATH B1 X (0.371:0.371:0.371) (0.337:0.343:0.348)) - (IOPATH B2 X (0.378:0.378:0.378) (0.409:0.409:0.409)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3482_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.352:0.352:0.352) (0.109:0.109:0.109)) - (IOPATH B Y (0.417:0.417:0.417) (0.149:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3483_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.171:0.171:0.171) (0.335:0.335:0.335)) - (IOPATH A2 X (0.252:0.252:0.252) (0.373:0.376:0.380)) - (IOPATH B1 X (0.219:0.220:0.220) (0.313:0.319:0.326)) - (IOPATH B2 X (0.170:0.170:0.170) (0.346:0.346:0.346)) - (IOPATH C1 X (0.155:0.155:0.155) (0.290:0.290:0.290)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _3484_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.214:0.215:0.215) (0.617:0.617:0.618)) - (IOPATH B X (0.206:0.206:0.207) (0.597:0.598:0.598)) - (IOPATH C X (0.146:0.148:0.150) (0.532:0.533:0.533)) - (IOPATH D X (0.147:0.148:0.150) (0.465:0.465:0.466)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3485_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.494:0.494:0.494) (0.227:0.227:0.227)) - (IOPATH B Y (0.424:0.424:0.425) (0.149:0.150:0.151)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3486_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.178:0.178:0.178) (0.237:0.237:0.237)) - (IOPATH A2 X (0.202:0.202:0.202) (0.266:0.266:0.266)) - (IOPATH B1 X (0.207:0.207:0.207) (0.219:0.238:0.256)) - (IOPATH B2 X (0.169:0.169:0.169) (0.236:0.236:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_2") - (INSTANCE _3487_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.177:0.177:0.177) (0.371:0.371:0.371)) - (IOPATH A2 X (0.201:0.201:0.201) (0.407:0.407:0.407)) - (IOPATH B1 X (0.242:0.242:0.242) (0.358:0.362:0.367)) - (IOPATH B2 X (0.131:0.131:0.131) (0.345:0.345:0.345)) - (IOPATH C1 X (0.101:0.102:0.103) (0.292:0.292:0.292)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3488_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.349:0.349:0.349) (0.342:0.342:0.342)) - (IOPATH A2 X (0.357:0.357:0.357) (0.361:0.361:0.361)) - (IOPATH B1 X (0.382:0.382:0.382) (0.315:0.318:0.320)) - (IOPATH B2 X (0.359:0.359:0.359) (0.358:0.358:0.358)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _3489_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.291:0.291:0.291) (0.106:0.106:0.106)) - (IOPATH B Y (0.350:0.351:0.351) (0.138:0.139:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _3490_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.387:0.387:0.387) (0.143:0.143:0.143)) - (IOPATH B Y (0.405:0.406:0.406) (0.149:0.151:0.152)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3491_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.250:0.250:0.250) (0.394:0.394:0.394)) - (IOPATH A2 X (0.282:0.282:0.282) (0.416:0.424:0.431)) - (IOPATH B1 X (0.296:0.296:0.296) (0.374:0.376:0.377)) - (IOPATH B2 X (0.253:0.253:0.253) (0.410:0.410:0.410)) - (IOPATH C1 X (0.238:0.238:0.238) (0.360:0.360:0.360)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _3492_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.367:0.367:0.367) (0.125:0.125:0.125)) - (IOPATH B Y (0.374:0.374:0.375) (0.130:0.132:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3493_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.308:0.308:0.308) (0.326:0.326:0.326)) - (IOPATH A2 X (0.391:0.391:0.391) (0.357:0.360:0.364)) - (IOPATH B1 X (0.343:0.343:0.343) (0.290:0.292:0.293)) - (IOPATH B2 X (0.294:0.294:0.294) (0.309:0.309:0.309)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_2") - (INSTANCE _3494_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.353:0.353:0.353) (0.495:0.495:0.495)) - (IOPATH A2 X (0.392:0.392:0.393) (0.525:0.527:0.529)) - (IOPATH B1 X (0.387:0.387:0.387) (0.475:0.476:0.477)) - (IOPATH B2 X (0.335:0.335:0.335) (0.522:0.522:0.522)) - (IOPATH C1 X (0.293:0.294:0.294) (0.441:0.441:0.441)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _3495_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.418:0.418:0.418) (0.137:0.137:0.137)) - (IOPATH B Y (0.419:0.429:0.439) (0.139:0.139:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _3496_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.341:0.341:0.341) (0.125:0.125:0.125)) - (IOPATH B Y (0.396:0.396:0.396) (0.155:0.155:0.156)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3497_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.403:0.403:0.403) (0.382:0.382:0.382)) - (IOPATH A2 X (0.400:0.400:0.401) (0.371:0.372:0.374)) - (IOPATH B1 X (0.375:0.375:0.375) (0.309:0.316:0.322)) - (IOPATH B2 X (0.361:0.361:0.361) (0.341:0.341:0.341)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3498_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.245:0.245:0.245) (0.396:0.396:0.396)) - (IOPATH A2 X (0.294:0.294:0.294) (0.424:0.426:0.427)) - (IOPATH B1 X (0.303:0.303:0.303) (0.374:0.374:0.375)) - (IOPATH B2 X (0.238:0.238:0.238) (0.405:0.405:0.405)) - (IOPATH C1 X (0.236:0.236:0.236) (0.358:0.358:0.359)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_2") - (INSTANCE _3499_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.103:0.106:0.109) (0.663:0.663:0.664)) - (IOPATH B X (0.145:0.145:0.146) (0.661:0.661:0.661)) - (IOPATH C X (0.162:0.163:0.163) (0.638:0.638:0.639)) - (IOPATH D X (0.139:0.140:0.140) (0.556:0.557:0.557)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3500_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.179:0.179:0.179) (0.228:0.228:0.228)) - (IOPATH A2 X (0.210:0.210:0.210) (0.241:0.246:0.252)) - (IOPATH B1 X (0.213:0.214:0.214) (0.208:0.213:0.217)) - (IOPATH B2 X (0.171:0.171:0.171) (0.237:0.237:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_2") - (INSTANCE _3501_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.311:0.311:0.311) (0.479:0.479:0.479)) - (IOPATH A2 X (0.346:0.346:0.346) (0.509:0.512:0.515)) - (IOPATH B1 X (0.380:0.380:0.380) (0.473:0.476:0.479)) - (IOPATH B2 X (0.336:0.336:0.336) (0.511:0.511:0.511)) - (IOPATH C1 X (0.234:0.235:0.236) (0.410:0.411:0.411)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _3502_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.389:0.389:0.389) (0.131:0.131:0.131)) - (IOPATH B Y (0.365:0.365:0.365) (0.138:0.138:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _3503_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.395:0.395:0.395) (0.132:0.132:0.132)) - (IOPATH B Y (0.440:0.441:0.441) (0.167:0.167:0.168)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3504_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.346:0.346:0.346) (0.122:0.122:0.122)) - (IOPATH B Y (0.386:0.386:0.386) (0.134:0.134:0.135)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3505_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.310:0.310:0.310) (0.322:0.322:0.322)) - (IOPATH A2 X (0.336:0.337:0.337) (0.329:0.335:0.342)) - (IOPATH B1 X (0.313:0.314:0.314) (0.280:0.284:0.288)) - (IOPATH B2 X (0.276:0.276:0.276) (0.301:0.301:0.301)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3506_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.392:0.392:0.392) (0.481:0.481:0.481)) - (IOPATH A2 X (0.456:0.456:0.456) (0.513:0.521:0.528)) - (IOPATH B1 X (0.429:0.430:0.430) (0.454:0.455:0.456)) - (IOPATH B2 X (0.366:0.366:0.366) (0.484:0.484:0.484)) - (IOPATH C1 X (0.349:0.350:0.350) (0.428:0.428:0.428)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _3507_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.378:0.378:0.378) (0.130:0.131:0.132)) - (IOPATH B Y (0.321:0.321:0.321) (0.104:0.104:0.104)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _3508_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.329:0.329:0.329) (0.363:0.363:0.363)) - (IOPATH A2 X (0.396:0.397:0.397) (0.397:0.397:0.398)) - (IOPATH B1 X (0.358:0.358:0.358) (0.322:0.325:0.329)) - (IOPATH B2 X (0.324:0.324:0.324) (0.360:0.360:0.360)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3509_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.261:0.261:0.261) (0.402:0.402:0.402)) - (IOPATH A2 X (0.301:0.301:0.301) (0.429:0.432:0.436)) - (IOPATH B1 X (0.269:0.269:0.269) (0.376:0.376:0.376)) - (IOPATH B2 X (0.233:0.233:0.233) (0.402:0.402:0.402)) - (IOPATH C1 X (0.217:0.217:0.217) (0.343:0.343:0.344)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _3510_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.401:0.401:0.401) (0.130:0.130:0.130)) - (IOPATH B Y (0.456:0.456:0.457) (0.172:0.173:0.174)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3511_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.375:0.375:0.375) (0.146:0.147:0.147)) - (IOPATH B Y (0.287:0.287:0.287) (0.099:0.099:0.099)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _3512_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.480:0.481:0.481) (0.154:0.156:0.157)) - (IOPATH B Y (0.434:0.434:0.434) (0.147:0.147:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3513_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.251:0.251:0.251) (0.287:0.287:0.287)) - (IOPATH A2 X (0.285:0.285:0.285) (0.298:0.306:0.315)) - (IOPATH B1 X (0.297:0.297:0.297) (0.264:0.265:0.266)) - (IOPATH B2 X (0.270:0.270:0.270) (0.295:0.295:0.295)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3514_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.170:0.170:0.170) (0.330:0.330:0.330)) - (IOPATH A2 X (0.220:0.220:0.220) (0.354:0.362:0.371)) - (IOPATH B1 X (0.219:0.219:0.219) (0.306:0.313:0.321)) - (IOPATH B2 X (0.162:0.162:0.162) (0.335:0.335:0.335)) - (IOPATH C1 X (0.129:0.129:0.130) (0.267:0.268:0.268)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _3515_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.265:0.266:0.266) (0.675:0.675:0.675)) - (IOPATH B X (0.288:0.288:0.288) (0.674:0.674:0.674)) - (IOPATH C X (0.242:0.242:0.243) (0.611:0.611:0.611)) - (IOPATH D X (0.206:0.208:0.210) (0.527:0.528:0.528)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3516_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.178:0.178:0.178) (0.235:0.235:0.235)) - (IOPATH A2 X (0.172:0.172:0.172) (0.245:0.245:0.245)) - (IOPATH B1 X (0.214:0.214:0.214) (0.205:0.213:0.222)) - (IOPATH B2 X (0.153:0.153:0.153) (0.215:0.215:0.215)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3517_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.195:0.195:0.195) (0.349:0.349:0.349)) - (IOPATH A2 X (0.274:0.275:0.275) (0.391:0.395:0.399)) - (IOPATH B1 X (0.265:0.265:0.265) (0.337:0.342:0.348)) - (IOPATH B2 X (0.196:0.196:0.196) (0.365:0.365:0.365)) - (IOPATH C1 X (0.124:0.125:0.127) (0.278:0.278:0.278)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _3518_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.339:0.339:0.339) (0.114:0.114:0.114)) - (IOPATH B Y (0.312:0.312:0.312) (0.114:0.114:0.114)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _3519_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.346:0.346:0.346) (0.128:0.128:0.128)) - (IOPATH B Y (0.369:0.369:0.369) (0.138:0.140:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3520_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.220:0.220:0.220) (0.261:0.261:0.261)) - (IOPATH A2 X (0.259:0.259:0.259) (0.283:0.284:0.284)) - (IOPATH B1 X (0.246:0.246:0.246) (0.236:0.238:0.241)) - (IOPATH B2 X (0.206:0.206:0.206) (0.258:0.258:0.258)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3521_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.173:0.173:0.173) (0.234:0.234:0.234)) - (IOPATH A2 X (0.186:0.186:0.186) (0.254:0.254:0.254)) - (IOPATH B1 X (0.204:0.204:0.204) (0.207:0.209:0.212)) - (IOPATH B2 X (0.181:0.181:0.181) (0.243:0.243:0.243)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3522_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.171:0.171:0.171) (0.229:0.229:0.229)) - (IOPATH A2 X (0.251:0.251:0.251) (0.274:0.278:0.283)) - (IOPATH B1 X (0.212:0.212:0.212) (0.209:0.211:0.213)) - (IOPATH B2 X (0.181:0.181:0.181) (0.239:0.239:0.239)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3523_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.182:0.182:0.182) (0.333:0.333:0.333)) - (IOPATH A2 X (0.174:0.174:0.174) (0.355:0.355:0.355)) - (IOPATH B1 X (0.184:0.184:0.184) (0.307:0.307:0.307)) - (IOPATH B2 X (0.159:0.159:0.159) (0.332:0.332:0.332)) - (IOPATH C1 X (0.103:0.103:0.104) (0.253:0.253:0.253)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_2") - (INSTANCE _3524_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.212:0.214:0.216) (0.802:0.802:0.803)) - (IOPATH B X (0.229:0.230:0.230) (0.786:0.786:0.786)) - (IOPATH C X (0.203:0.204:0.205) (0.743:0.744:0.744)) - (IOPATH D X (0.195:0.196:0.198) (0.676:0.676:0.676)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _3525_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.491:0.491:0.491) (0.234:0.234:0.234)) - (IOPATH B Y (0.403:0.403:0.403) (0.147:0.149:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3526_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.381:0.381:0.381) (0.145:0.146:0.147)) - (IOPATH B Y (0.314:0.314:0.314) (0.111:0.111:0.111)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3527_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.585:0.585:0.585) (0.466:0.466:0.466)) - (IOPATH A2 X (0.630:0.630:0.631) (0.491:0.510:0.529)) - (IOPATH B1 X (0.607:0.607:0.608) (0.429:0.434:0.440)) - (IOPATH B2 X (0.576:0.576:0.576) (0.461:0.461:0.461)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _3528_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.396:0.396:0.396) (0.138:0.138:0.138)) - (IOPATH B Y (0.405:0.415:0.424) (0.142:0.142:0.142)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3529_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.192:0.192:0.192) (0.350:0.350:0.350)) - (IOPATH A2 X (0.230:0.230:0.230) (0.370:0.373:0.375)) - (IOPATH B1 X (0.237:0.237:0.238) (0.323:0.324:0.325)) - (IOPATH B2 X (0.180:0.180:0.180) (0.353:0.353:0.353)) - (IOPATH C1 X (0.215:0.215:0.215) (0.367:0.367:0.367)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _3530_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.416:0.417:0.417) (0.143:0.145:0.146)) - (IOPATH B Y (0.365:0.365:0.365) (0.128:0.128:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3531_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.444:0.444:0.444) (0.170:0.170:0.170)) - (IOPATH B Y (0.474:0.474:0.474) (0.167:0.168:0.169)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3532_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.237:0.237:0.237) (0.277:0.277:0.277)) - (IOPATH A2 X (0.292:0.292:0.292) (0.301:0.303:0.305)) - (IOPATH B1 X (0.282:0.282:0.282) (0.271:0.273:0.275)) - (IOPATH B2 X (0.237:0.237:0.237) (0.282:0.282:0.282)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3533_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.239:0.239:0.239) (0.383:0.383:0.383)) - (IOPATH A2 X (0.308:0.308:0.308) (0.418:0.423:0.428)) - (IOPATH B1 X (0.301:0.301:0.301) (0.365:0.367:0.370)) - (IOPATH B2 X (0.212:0.212:0.212) (0.377:0.377:0.377)) - (IOPATH C1 X (0.185:0.185:0.186) (0.320:0.320:0.320)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _3534_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.346:0.346:0.346) (0.117:0.117:0.117)) - (IOPATH B Y (0.356:0.356:0.357) (0.126:0.127:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3535_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.309:0.309:0.309) (0.326:0.326:0.326)) - (IOPATH A2 X (0.368:0.368:0.369) (0.342:0.347:0.352)) - (IOPATH B1 X (0.326:0.327:0.327) (0.281:0.283:0.285)) - (IOPATH B2 X (0.291:0.291:0.291) (0.314:0.314:0.314)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _3536_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.341:0.341:0.341) (0.124:0.124:0.124)) - (IOPATH B Y (0.348:0.349:0.349) (0.127:0.128:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_2") - (INSTANCE _3537_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.341:0.341:0.341) (0.498:0.498:0.498)) - (IOPATH A2 X (0.395:0.395:0.396) (0.517:0.527:0.536)) - (IOPATH B1 X (0.346:0.346:0.346) (0.451:0.452:0.453)) - (IOPATH B2 X (0.288:0.288:0.288) (0.479:0.479:0.479)) - (IOPATH C1 X (0.270:0.270:0.270) (0.428:0.428:0.428)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _3538_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.382:0.382:0.382) (0.187:0.187:0.187)) - (IOPATH B Y (0.336:0.336:0.336) (0.132:0.133:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _3539_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.351:0.351:0.351) (0.375:0.375:0.375)) - (IOPATH A2 X (0.437:0.437:0.437) (0.408:0.412:0.416)) - (IOPATH B1 X (0.376:0.376:0.376) (0.347:0.359:0.370)) - (IOPATH B2 X (0.335:0.335:0.335) (0.363:0.363:0.363)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_2") - (INSTANCE _3540_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.374:0.374:0.374) (0.508:0.508:0.508)) - (IOPATH A2 X (0.433:0.434:0.434) (0.551:0.551:0.552)) - (IOPATH B1 X (0.377:0.377:0.377) (0.497:0.497:0.497)) - (IOPATH B2 X (0.377:0.377:0.377) (0.544:0.544:0.544)) - (IOPATH C1 X (0.345:0.345:0.346) (0.473:0.473:0.473)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _3541_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.133:0.135:0.136) (0.558:0.558:0.558)) - (IOPATH B X (0.153:0.154:0.155) (0.549:0.549:0.550)) - (IOPATH C X (0.167:0.168:0.168) (0.528:0.529:0.529)) - (IOPATH D X (0.184:0.185:0.185) (0.473:0.473:0.473)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_2") - (INSTANCE _3542_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.110:0.110:0.110) (0.688:0.689:0.689)) - (IOPATH B X (0.166:0.166:0.166) (0.696:0.696:0.696)) - (IOPATH C X (0.151:0.151:0.151) (0.665:0.665:0.665)) - (IOPATH D X (0.120:0.121:0.121) (0.568:0.568:0.568)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_2") - (INSTANCE _3543_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.219:0.220:0.220) (0.615:0.615:0.615)) - (IOPATH B X (0.235:0.235:0.235) (0.601:0.601:0.601)) - (IOPATH C X (0.207:0.207:0.208) (0.554:0.554:0.554)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3544_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.116:0.116:0.116) (0.278:0.278:0.278)) - (IOPATH A1 X (0.149:0.149:0.149) (0.309:0.309:0.309)) - (IOPATH S X (0.235:0.235:0.235) (0.341:0.341:0.341)) - (IOPATH S X (0.159:0.159:0.159) (0.341:0.342:0.342)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3545_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.125:0.126:0.126) (0.290:0.290:0.291)) - (IOPATH A1 X (0.140:0.140:0.140) (0.301:0.301:0.301)) - (IOPATH S X (0.250:0.251:0.253) (0.352:0.352:0.353)) - (IOPATH S X (0.177:0.178:0.178) (0.354:0.355:0.357)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _3546_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.377:0.377:0.377) (0.120:0.120:0.120)) - (IOPATH B Y (0.415:0.415:0.416) (0.147:0.147:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _3547_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.386:0.386:0.387) (0.159:0.160:0.162)) - (IOPATH B Y (0.373:0.373:0.373) (0.158:0.158:0.158)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3548_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.170:0.170:0.170) (0.232:0.232:0.232)) - (IOPATH A2 X (0.234:0.235:0.235) (0.254:0.261:0.267)) - (IOPATH B1 X (0.213:0.213:0.213) (0.203:0.208:0.213)) - (IOPATH B2 X (0.154:0.154:0.154) (0.223:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3549_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.247:0.247:0.247) (0.293:0.293:0.293)) - (IOPATH A2 X (0.230:0.230:0.230) (0.288:0.288:0.289)) - (IOPATH B1 X (0.249:0.249:0.249) (0.238:0.240:0.242)) - (IOPATH B2 X (0.207:0.207:0.207) (0.258:0.258:0.258)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _3550_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.327:0.327:0.327) (0.366:0.366:0.366)) - (IOPATH A2 X (0.398:0.398:0.398) (0.392:0.396:0.399)) - (IOPATH B1 X (0.360:0.360:0.360) (0.330:0.332:0.333)) - (IOPATH B2 X (0.317:0.317:0.317) (0.359:0.359:0.359)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3551_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.221:0.221:0.221) (0.268:0.268:0.268)) - (IOPATH A2 X (0.271:0.271:0.271) (0.278:0.288:0.298)) - (IOPATH B1 X (0.219:0.219:0.219) (0.216:0.224:0.232)) - (IOPATH B2 X (0.175:0.175:0.175) (0.235:0.235:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3552_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.300:0.300:0.300) (0.320:0.320:0.320)) - (IOPATH A2 X (0.326:0.326:0.326) (0.329:0.332:0.336)) - (IOPATH B1 X (0.312:0.312:0.313) (0.280:0.284:0.287)) - (IOPATH B2 X (0.261:0.261:0.261) (0.292:0.292:0.292)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3553_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.209:0.209:0.209) (0.260:0.260:0.260)) - (IOPATH A2 X (0.248:0.249:0.249) (0.263:0.268:0.274)) - (IOPATH B1 X (0.212:0.212:0.212) (0.205:0.210:0.216)) - (IOPATH B2 X (0.160:0.160:0.160) (0.229:0.229:0.229)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3554_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.332:0.332:0.332) (0.338:0.338:0.338)) - (IOPATH A2 X (0.368:0.368:0.368) (0.348:0.354:0.359)) - (IOPATH B1 X (0.357:0.357:0.357) (0.293:0.297:0.301)) - (IOPATH B2 X (0.329:0.329:0.329) (0.336:0.336:0.336)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3555_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.218:0.218:0.218) (0.270:0.270:0.270)) - (IOPATH A2 X (0.250:0.250:0.250) (0.266:0.274:0.283)) - (IOPATH B1 X (0.208:0.208:0.208) (0.213:0.233:0.253)) - (IOPATH B2 X (0.161:0.161:0.161) (0.230:0.230:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3556_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.322:0.322:0.322) (0.328:0.328:0.328)) - (IOPATH A2 X (0.335:0.335:0.335) (0.328:0.329:0.330)) - (IOPATH B1 X (0.298:0.298:0.298) (0.265:0.266:0.267)) - (IOPATH B2 X (0.254:0.254:0.254) (0.283:0.283:0.283)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3557_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.365:0.365:0.365) (0.355:0.355:0.355)) - (IOPATH A2 X (0.408:0.408:0.409) (0.367:0.376:0.384)) - (IOPATH B1 X (0.384:0.384:0.384) (0.337:0.337:0.337)) - (IOPATH B2 X (0.362:0.362:0.362) (0.351:0.351:0.351)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3558_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.163:0.163:0.163) (0.228:0.228:0.228)) - (IOPATH A2 X (0.223:0.223:0.223) (0.247:0.254:0.262)) - (IOPATH B1 X (0.177:0.177:0.178) (0.183:0.192:0.200)) - (IOPATH B2 X (0.148:0.148:0.148) (0.218:0.218:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3559_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.197:0.197:0.197) (0.251:0.251:0.251)) - (IOPATH A2 X (0.174:0.174:0.174) (0.245:0.245:0.245)) - (IOPATH B1 X (0.203:0.203:0.204) (0.203:0.211:0.220)) - (IOPATH B2 X (0.152:0.152:0.152) (0.222:0.222:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3560_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.334:0.334:0.334) (0.346:0.346:0.346)) - (IOPATH A2 X (0.341:0.342:0.342) (0.328:0.338:0.347)) - (IOPATH B1 X (0.326:0.326:0.326) (0.278:0.283:0.287)) - (IOPATH B2 X (0.291:0.291:0.291) (0.314:0.314:0.314)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3561_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.223:0.223:0.223) (0.270:0.270:0.270)) - (IOPATH A2 X (0.217:0.217:0.217) (0.277:0.277:0.277)) - (IOPATH B1 X (0.231:0.231:0.231) (0.221:0.222:0.222)) - (IOPATH B2 X (0.193:0.193:0.193) (0.248:0.248:0.248)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3562_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.323:0.323:0.323) (0.446:0.446:0.446)) - (IOPATH A2 X (0.367:0.367:0.367) (0.477:0.479:0.481)) - (IOPATH B1 X (0.305:0.305:0.305) (0.408:0.408:0.408)) - (IOPATH B2 X (0.301:0.301:0.301) (0.449:0.449:0.449)) - (IOPATH C1 X (0.233:0.235:0.237) (0.361:0.361:0.361)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_2") - (INSTANCE _3563_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.316:0.316:0.316) (0.483:0.483:0.483)) - (IOPATH A2 X (0.382:0.382:0.382) (0.522:0.523:0.524)) - (IOPATH B1 X (0.374:0.374:0.374) (0.464:0.469:0.475)) - (IOPATH B2 X (0.327:0.327:0.327) (0.504:0.504:0.504)) - (IOPATH C1 X (0.252:0.253:0.253) (0.418:0.418:0.418)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_1") - (INSTANCE _3564_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.179:0.179:0.179) (0.304:0.304:0.304)) - (IOPATH A2 X (0.175:0.175:0.175) (0.322:0.322:0.322)) - (IOPATH B1 X (0.150:0.150:0.150) (0.309:0.309:0.309)) - (IOPATH C1 X (0.139:0.139:0.140) (0.265:0.266:0.266)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3565_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.344:0.344:0.344) (0.340:0.340:0.340)) - (IOPATH A2 X (0.372:0.372:0.372) (0.351:0.356:0.361)) - (IOPATH B1 X (0.372:0.372:0.372) (0.305:0.307:0.309)) - (IOPATH B2 X (0.324:0.324:0.324) (0.332:0.332:0.332)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3566_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.266:0.266:0.266) (0.409:0.409:0.409)) - (IOPATH A2 X (0.303:0.303:0.303) (0.432:0.436:0.439)) - (IOPATH B1 X (0.301:0.301:0.301) (0.376:0.378:0.381)) - (IOPATH B2 X (0.258:0.258:0.258) (0.417:0.417:0.417)) - (IOPATH C1 X (0.235:0.235:0.235) (0.356:0.356:0.356)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3567_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.388:0.388:0.388) (0.362:0.362:0.362)) - (IOPATH A2 X (0.420:0.421:0.421) (0.377:0.393:0.409)) - (IOPATH B1 X (0.415:0.415:0.415) (0.335:0.350:0.365)) - (IOPATH B2 X (0.366:0.366:0.366) (0.343:0.343:0.343)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3568_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.255:0.255:0.255) (0.395:0.395:0.395)) - (IOPATH A2 X (0.298:0.298:0.298) (0.412:0.416:0.420)) - (IOPATH B1 X (0.276:0.276:0.276) (0.359:0.363:0.367)) - (IOPATH B2 X (0.232:0.232:0.232) (0.399:0.399:0.399)) - (IOPATH C1 X (0.222:0.222:0.222) (0.353:0.353:0.353)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3569_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.223:0.223:0.223) (0.269:0.269:0.269)) - (IOPATH A2 X (0.298:0.298:0.298) (0.300:0.304:0.308)) - (IOPATH B1 X (0.279:0.279:0.279) (0.251:0.254:0.257)) - (IOPATH B2 X (0.225:0.225:0.225) (0.270:0.270:0.270)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3570_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.316:0.316:0.316) (0.444:0.444:0.444)) - (IOPATH A2 X (0.369:0.369:0.369) (0.471:0.479:0.487)) - (IOPATH B1 X (0.317:0.317:0.317) (0.415:0.415:0.415)) - (IOPATH B2 X (0.325:0.325:0.325) (0.463:0.463:0.463)) - (IOPATH C1 X (0.266:0.266:0.267) (0.378:0.378:0.378)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3571_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.221:0.221:0.221) (0.275:0.275:0.275)) - (IOPATH A2 X (0.250:0.250:0.250) (0.266:0.269:0.272)) - (IOPATH B1 X (0.205:0.205:0.205) (0.203:0.204:0.205)) - (IOPATH B2 X (0.157:0.157:0.157) (0.221:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3572_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.320:0.320:0.320) (0.440:0.440:0.440)) - (IOPATH A2 X (0.361:0.361:0.362) (0.457:0.464:0.472)) - (IOPATH B1 X (0.328:0.328:0.329) (0.401:0.404:0.408)) - (IOPATH B2 X (0.308:0.308:0.308) (0.439:0.439:0.439)) - (IOPATH C1 X (0.213:0.214:0.215) (0.346:0.346:0.346)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _3573_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.141:0.141:0.142) (0.542:0.542:0.543)) - (IOPATH B X (0.132:0.133:0.133) (0.519:0.520:0.520)) - (IOPATH C X (0.158:0.159:0.159) (0.504:0.505:0.505)) - (IOPATH D X (0.145:0.145:0.146) (0.430:0.431:0.431)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3574_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.383:0.383:0.383) (0.361:0.361:0.361)) - (IOPATH A2 X (0.391:0.391:0.391) (0.358:0.359:0.360)) - (IOPATH B1 X (0.395:0.395:0.395) (0.318:0.319:0.321)) - (IOPATH B2 X (0.357:0.357:0.357) (0.345:0.345:0.345)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3575_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.336:0.336:0.336) (0.455:0.455:0.455)) - (IOPATH A2 X (0.419:0.419:0.419) (0.494:0.498:0.501)) - (IOPATH B1 X (0.372:0.372:0.372) (0.426:0.428:0.430)) - (IOPATH B2 X (0.323:0.323:0.323) (0.462:0.462:0.462)) - (IOPATH C1 X (0.322:0.322:0.322) (0.419:0.419:0.419)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3576_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.349:0.349:0.349) (0.347:0.347:0.347)) - (IOPATH A2 X (0.356:0.356:0.356) (0.363:0.363:0.363)) - (IOPATH B1 X (0.359:0.359:0.359) (0.301:0.303:0.305)) - (IOPATH B2 X (0.314:0.314:0.314) (0.326:0.326:0.326)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3577_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.201:0.201:0.201) (0.352:0.352:0.352)) - (IOPATH A2 X (0.203:0.203:0.203) (0.377:0.377:0.377)) - (IOPATH B1 X (0.247:0.247:0.247) (0.331:0.331:0.332)) - (IOPATH B2 X (0.194:0.194:0.194) (0.365:0.365:0.365)) - (IOPATH C1 X (0.168:0.168:0.168) (0.301:0.301:0.301)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3578_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.185:0.185:0.185) (0.246:0.246:0.246)) - (IOPATH A2 X (0.198:0.198:0.198) (0.264:0.264:0.264)) - (IOPATH B1 X (0.206:0.206:0.206) (0.205:0.206:0.206)) - (IOPATH B2 X (0.181:0.181:0.181) (0.233:0.233:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3579_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.228:0.228:0.228) (0.382:0.382:0.382)) - (IOPATH A2 X (0.298:0.298:0.298) (0.418:0.420:0.421)) - (IOPATH B1 X (0.258:0.258:0.258) (0.349:0.355:0.362)) - (IOPATH B2 X (0.231:0.231:0.231) (0.397:0.397:0.397)) - (IOPATH C1 X (0.160:0.161:0.162) (0.307:0.307:0.307)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _3580_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.325:0.325:0.325) (0.359:0.359:0.359)) - (IOPATH A2 X (0.387:0.387:0.387) (0.386:0.388:0.389)) - (IOPATH B1 X (0.360:0.360:0.360) (0.338:0.350:0.361)) - (IOPATH B2 X (0.317:0.317:0.317) (0.357:0.357:0.357)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3581_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.196:0.196:0.196) (0.358:0.358:0.358)) - (IOPATH A2 X (0.272:0.272:0.272) (0.394:0.402:0.410)) - (IOPATH B1 X (0.246:0.246:0.246) (0.338:0.340:0.342)) - (IOPATH B2 X (0.202:0.202:0.202) (0.377:0.377:0.377)) - (IOPATH C1 X (0.183:0.183:0.183) (0.317:0.317:0.317)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _3582_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.262:0.262:0.262) (0.664:0.664:0.664)) - (IOPATH B X (0.203:0.204:0.205) (0.615:0.616:0.616)) - (IOPATH C X (0.219:0.220:0.222) (0.591:0.591:0.592)) - (IOPATH D X (0.205:0.206:0.207) (0.518:0.518:0.518)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_2") - (INSTANCE _3583_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.216:0.217:0.218) (0.812:0.812:0.812)) - (IOPATH B X (0.221:0.222:0.222) (0.798:0.798:0.799)) - (IOPATH C X (0.216:0.216:0.217) (0.774:0.774:0.774)) - (IOPATH D X (0.257:0.257:0.257) (0.731:0.731:0.732)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3584_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.248:0.248:0.248) (0.388:0.388:0.388)) - (IOPATH A2 X (0.288:0.289:0.289) (0.403:0.409:0.415)) - (IOPATH B1 X (0.270:0.270:0.271) (0.353:0.354:0.355)) - (IOPATH B2 X (0.234:0.234:0.234) (0.412:0.412:0.412)) - (IOPATH C1 X (0.138:0.140:0.141) (0.292:0.292:0.293)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3585_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.227:0.227:0.227) (0.373:0.373:0.373)) - (IOPATH A2 X (0.259:0.259:0.259) (0.399:0.400:0.401)) - (IOPATH B1 X (0.247:0.247:0.247) (0.341:0.341:0.341)) - (IOPATH B2 X (0.226:0.226:0.226) (0.383:0.383:0.383)) - (IOPATH C1 X (0.169:0.169:0.169) (0.306:0.306:0.306)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3586_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.211:0.211:0.211) (0.364:0.364:0.364)) - (IOPATH A2 X (0.256:0.256:0.256) (0.395:0.395:0.395)) - (IOPATH B1 X (0.265:0.265:0.265) (0.360:0.376:0.392)) - (IOPATH B2 X (0.204:0.204:0.204) (0.380:0.380:0.380)) - (IOPATH C1 X (0.145:0.146:0.147) (0.296:0.297:0.297)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3587_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.187:0.187:0.187) (0.344:0.344:0.344)) - (IOPATH A2 X (0.238:0.238:0.238) (0.375:0.377:0.378)) - (IOPATH B1 X (0.226:0.226:0.226) (0.320:0.325:0.330)) - (IOPATH B2 X (0.198:0.198:0.198) (0.368:0.368:0.368)) - (IOPATH C1 X (0.164:0.165:0.165) (0.300:0.300:0.300)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _3588_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.123:0.125:0.126) (0.533:0.534:0.534)) - (IOPATH B X (0.120:0.121:0.122) (0.514:0.514:0.514)) - (IOPATH C X (0.122:0.123:0.124) (0.482:0.483:0.483)) - (IOPATH D X (0.108:0.109:0.110) (0.408:0.409:0.409)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3589_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.225:0.225:0.225) (0.357:0.357:0.357)) - (IOPATH A2 X (0.273:0.273:0.273) (0.400:0.400:0.400)) - (IOPATH B1 X (0.224:0.224:0.224) (0.324:0.327:0.329)) - (IOPATH B2 X (0.199:0.199:0.199) (0.367:0.367:0.367)) - (IOPATH C1 X (0.133:0.134:0.134) (0.282:0.282:0.282)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3590_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.206:0.206:0.206) (0.348:0.348:0.348)) - (IOPATH A2 X (0.237:0.238:0.238) (0.361:0.365:0.368)) - (IOPATH B1 X (0.203:0.204:0.204) (0.299:0.304:0.310)) - (IOPATH B2 X (0.179:0.179:0.179) (0.335:0.335:0.335)) - (IOPATH C1 X (0.105:0.106:0.107) (0.253:0.253:0.253)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3591_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.376:0.376:0.376) (0.466:0.466:0.466)) - (IOPATH A2 X (0.400:0.400:0.400) (0.493:0.494:0.496)) - (IOPATH B1 X (0.414:0.414:0.414) (0.450:0.455:0.460)) - (IOPATH B2 X (0.410:0.410:0.410) (0.520:0.520:0.520)) - (IOPATH C1 X (0.341:0.341:0.341) (0.424:0.424:0.424)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_2") - (INSTANCE _3592_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.338:0.338:0.338) (0.487:0.487:0.487)) - (IOPATH A2 X (0.359:0.359:0.359) (0.506:0.512:0.517)) - (IOPATH B1 X (0.387:0.387:0.387) (0.470:0.470:0.470)) - (IOPATH B2 X (0.277:0.277:0.277) (0.464:0.464:0.464)) - (IOPATH C1 X (0.298:0.298:0.298) (0.444:0.445:0.445)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _3593_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.130:0.132:0.133) (0.549:0.549:0.549)) - (IOPATH B X (0.118:0.120:0.122) (0.525:0.526:0.526)) - (IOPATH C X (0.186:0.187:0.187) (0.535:0.535:0.535)) - (IOPATH D X (0.162:0.162:0.163) (0.451:0.452:0.452)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3594_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.283:0.283:0.283) (0.415:0.415:0.415)) - (IOPATH A2 X (0.296:0.296:0.296) (0.421:0.423:0.425)) - (IOPATH B1 X (0.308:0.308:0.308) (0.374:0.380:0.386)) - (IOPATH B2 X (0.255:0.255:0.255) (0.411:0.411:0.411)) - (IOPATH C1 X (0.172:0.173:0.174) (0.316:0.316:0.316)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_2") - (INSTANCE _3595_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.320:0.320:0.320) (0.473:0.473:0.473)) - (IOPATH A2 X (0.355:0.355:0.355) (0.498:0.504:0.510)) - (IOPATH B1 X (0.362:0.362:0.362) (0.452:0.456:0.459)) - (IOPATH B2 X (0.332:0.332:0.332) (0.522:0.522:0.522)) - (IOPATH C1 X (0.249:0.250:0.250) (0.414:0.414:0.414)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3596_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.244:0.244:0.244) (0.387:0.387:0.387)) - (IOPATH A2 X (0.295:0.295:0.295) (0.409:0.412:0.416)) - (IOPATH B1 X (0.281:0.281:0.281) (0.352:0.360:0.367)) - (IOPATH B2 X (0.213:0.213:0.213) (0.385:0.385:0.385)) - (IOPATH C1 X (0.209:0.209:0.209) (0.341:0.341:0.341)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3597_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.196:0.196:0.196) (0.250:0.250:0.250)) - (IOPATH A2 X (0.197:0.197:0.197) (0.261:0.261:0.261)) - (IOPATH B1 X (0.207:0.207:0.208) (0.222:0.223:0.225)) - (IOPATH B2 X (0.168:0.168:0.168) (0.235:0.235:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3598_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.205:0.205:0.205) (0.339:0.339:0.339)) - (IOPATH A2 X (0.197:0.197:0.197) (0.372:0.372:0.372)) - (IOPATH B1 X (0.212:0.212:0.212) (0.306:0.307:0.308)) - (IOPATH B2 X (0.194:0.194:0.194) (0.353:0.353:0.353)) - (IOPATH C1 X (0.107:0.108:0.109) (0.260:0.260:0.261)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3599_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.199:0.199:0.199) (0.342:0.342:0.342)) - (IOPATH A2 X (0.237:0.238:0.238) (0.358:0.363:0.368)) - (IOPATH B1 X (0.203:0.203:0.203) (0.294:0.296:0.298)) - (IOPATH B2 X (0.163:0.163:0.163) (0.337:0.337:0.337)) - (IOPATH C1 X (0.092:0.093:0.095) (0.249:0.249:0.249)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _3600_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.167:0.167:0.168) (0.580:0.580:0.581)) - (IOPATH B X (0.176:0.177:0.177) (0.572:0.573:0.573)) - (IOPATH C X (0.153:0.154:0.154) (0.523:0.523:0.524)) - (IOPATH D X (0.126:0.128:0.131) (0.445:0.445:0.445)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_4") - (INSTANCE _3601_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.294:0.295:0.295) (0.728:0.728:0.728)) - (IOPATH B X (0.247:0.247:0.247) (0.666:0.666:0.666)) - (IOPATH C X (0.251:0.251:0.251) (0.637:0.637:0.637)) - (IOPATH D X (0.254:0.255:0.255) (0.576:0.577:0.577)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3602_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.179:0.180:0.180) (0.346:0.346:0.346)) - (IOPATH A1 X (0.157:0.157:0.157) (0.315:0.315:0.315)) - (IOPATH S X (0.244:0.244:0.245) (0.351:0.351:0.351)) - (IOPATH S X (0.168:0.168:0.168) (0.351:0.351:0.352)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3603_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.150:0.150:0.151) (0.314:0.314:0.314)) - (IOPATH A1 X (0.173:0.173:0.173) (0.332:0.332:0.332)) - (IOPATH S X (0.269:0.271:0.273) (0.373:0.374:0.374)) - (IOPATH S X (0.197:0.198:0.198) (0.375:0.376:0.378)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3604_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.413:0.413:0.413) (0.373:0.373:0.373)) - (IOPATH A2 X (0.487:0.487:0.488) (0.404:0.408:0.412)) - (IOPATH B1 X (0.450:0.450:0.450) (0.350:0.355:0.359)) - (IOPATH B2 X (0.402:0.402:0.402) (0.372:0.372:0.372)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3605_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.150:0.150:0.150) (0.213:0.213:0.213)) - (IOPATH A2 X (0.218:0.218:0.218) (0.254:0.258:0.261)) - (IOPATH B1 X (0.215:0.215:0.215) (0.205:0.213:0.222)) - (IOPATH B2 X (0.162:0.162:0.162) (0.224:0.224:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3606_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.291:0.291:0.291) (0.316:0.316:0.316)) - (IOPATH A2 X (0.318:0.318:0.318) (0.344:0.344:0.344)) - (IOPATH B1 X (0.342:0.342:0.343) (0.286:0.294:0.302)) - (IOPATH B2 X (0.282:0.282:0.282) (0.304:0.304:0.304)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _3607_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.343:0.343:0.343) (0.132:0.132:0.132)) - (IOPATH B Y (0.385:0.385:0.385) (0.159:0.159:0.160)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3608_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.178:0.178:0.178) (0.236:0.236:0.236)) - (IOPATH A2 X (0.209:0.209:0.209) (0.273:0.273:0.273)) - (IOPATH B1 X (0.229:0.229:0.229) (0.225:0.227:0.230)) - (IOPATH B2 X (0.195:0.195:0.195) (0.250:0.250:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3609_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.187:0.187:0.187) (0.246:0.246:0.246)) - (IOPATH A2 X (0.210:0.210:0.210) (0.249:0.250:0.251)) - (IOPATH B1 X (0.198:0.199:0.199) (0.211:0.223:0.235)) - (IOPATH B2 X (0.199:0.199:0.199) (0.247:0.247:0.247)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3610_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.185:0.185:0.185) (0.229:0.229:0.229)) - (IOPATH A2 X (0.216:0.216:0.216) (0.247:0.249:0.250)) - (IOPATH B1 X (0.191:0.191:0.191) (0.195:0.198:0.200)) - (IOPATH B2 X (0.169:0.169:0.169) (0.220:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3611_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.169:0.169:0.169) (0.232:0.232:0.232)) - (IOPATH A2 X (0.226:0.226:0.226) (0.247:0.255:0.263)) - (IOPATH B1 X (0.173:0.173:0.173) (0.181:0.181:0.182)) - (IOPATH B2 X (0.179:0.179:0.179) (0.237:0.237:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3612_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.275:0.275:0.275) (0.305:0.305:0.305)) - (IOPATH A2 X (0.334:0.335:0.335) (0.321:0.326:0.331)) - (IOPATH B1 X (0.287:0.287:0.287) (0.257:0.258:0.259)) - (IOPATH B2 X (0.257:0.257:0.257) (0.288:0.288:0.288)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3613_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.268:0.268:0.268) (0.296:0.296:0.296)) - (IOPATH A2 X (0.339:0.340:0.340) (0.327:0.330:0.334)) - (IOPATH B1 X (0.321:0.321:0.321) (0.273:0.282:0.291)) - (IOPATH B2 X (0.273:0.273:0.273) (0.299:0.299:0.299)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3614_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.250:0.250:0.250) (0.285:0.285:0.285)) - (IOPATH A2 X (0.323:0.323:0.324) (0.318:0.321:0.324)) - (IOPATH B1 X (0.236:0.236:0.236) (0.248:0.248:0.248)) - (IOPATH B2 X (0.232:0.232:0.232) (0.274:0.274:0.274)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3615_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.299:0.299:0.299) (0.321:0.321:0.321)) - (IOPATH A2 X (0.374:0.374:0.374) (0.346:0.352:0.359)) - (IOPATH B1 X (0.332:0.332:0.332) (0.284:0.289:0.293)) - (IOPATH B2 X (0.296:0.296:0.296) (0.317:0.317:0.317)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3616_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.269:0.269:0.269) (0.307:0.307:0.307)) - (IOPATH A2 X (0.294:0.294:0.294) (0.313:0.317:0.322)) - (IOPATH B1 X (0.289:0.289:0.289) (0.255:0.258:0.261)) - (IOPATH B2 X (0.228:0.228:0.228) (0.271:0.271:0.271)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3617_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.196:0.196:0.196) (0.256:0.256:0.256)) - (IOPATH A2 X (0.196:0.196:0.196) (0.263:0.263:0.263)) - (IOPATH B1 X (0.222:0.222:0.222) (0.217:0.219:0.220)) - (IOPATH B2 X (0.200:0.200:0.200) (0.249:0.249:0.249)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3618_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.183:0.183:0.183) (0.239:0.239:0.239)) - (IOPATH A2 X (0.201:0.201:0.201) (0.265:0.265:0.265)) - (IOPATH B1 X (0.192:0.192:0.192) (0.197:0.202:0.206)) - (IOPATH B2 X (0.187:0.187:0.187) (0.237:0.237:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3619_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.320:0.320:0.320) (0.448:0.448:0.448)) - (IOPATH A2 X (0.348:0.348:0.348) (0.463:0.467:0.470)) - (IOPATH B1 X (0.346:0.346:0.346) (0.412:0.414:0.416)) - (IOPATH B2 X (0.301:0.301:0.301) (0.442:0.442:0.442)) - (IOPATH C1 X (0.220:0.221:0.222) (0.352:0.352:0.352)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_2") - (INSTANCE _3620_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.303:0.303:0.303) (0.465:0.465:0.465)) - (IOPATH A2 X (0.337:0.337:0.337) (0.494:0.496:0.498)) - (IOPATH B1 X (0.348:0.348:0.348) (0.446:0.451:0.457)) - (IOPATH B2 X (0.296:0.296:0.296) (0.476:0.476:0.476)) - (IOPATH C1 X (0.291:0.291:0.291) (0.446:0.446:0.446)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3621_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.216:0.216:0.216) (0.345:0.345:0.345)) - (IOPATH A2 X (0.215:0.215:0.216) (0.360:0.375:0.391)) - (IOPATH B1 X (0.226:0.226:0.226) (0.311:0.312:0.314)) - (IOPATH B2 X (0.197:0.197:0.197) (0.351:0.351:0.351)) - (IOPATH C1 X (0.154:0.154:0.154) (0.287:0.287:0.287)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a2111o_1") - (INSTANCE _3622_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.224:0.224:0.224) (0.443:0.443:0.443)) - (IOPATH A2 X (0.273:0.273:0.273) (0.485:0.486:0.486)) - (IOPATH B1 X (0.193:0.194:0.194) (0.457:0.457:0.458)) - (IOPATH C1 X (0.194:0.194:0.194) (0.433:0.434:0.434)) - (IOPATH D1 X (0.152:0.153:0.153) (0.349:0.350:0.350)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3623_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.238:0.238:0.238) (0.385:0.385:0.385)) - (IOPATH A2 X (0.255:0.255:0.255) (0.395:0.397:0.400)) - (IOPATH B1 X (0.265:0.266:0.266) (0.345:0.346:0.346)) - (IOPATH B2 X (0.226:0.226:0.226) (0.378:0.378:0.378)) - (IOPATH C1 X (0.171:0.171:0.171) (0.309:0.309:0.309)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3624_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.231:0.231:0.231) (0.374:0.374:0.374)) - (IOPATH A2 X (0.263:0.263:0.263) (0.393:0.393:0.394)) - (IOPATH B1 X (0.235:0.235:0.235) (0.331:0.334:0.337)) - (IOPATH B2 X (0.189:0.189:0.189) (0.364:0.364:0.364)) - (IOPATH C1 X (0.119:0.119:0.119) (0.274:0.274:0.274)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3625_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.229:0.229:0.229) (0.384:0.384:0.384)) - (IOPATH A2 X (0.285:0.286:0.286) (0.411:0.419:0.428)) - (IOPATH B1 X (0.265:0.265:0.265) (0.351:0.359:0.366)) - (IOPATH B2 X (0.243:0.243:0.243) (0.398:0.398:0.398)) - (IOPATH C1 X (0.199:0.199:0.199) (0.330:0.331:0.331)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3626_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.228:0.228:0.228) (0.382:0.382:0.382)) - (IOPATH A2 X (0.283:0.283:0.283) (0.405:0.407:0.409)) - (IOPATH B1 X (0.261:0.261:0.261) (0.348:0.350:0.351)) - (IOPATH B2 X (0.243:0.243:0.243) (0.396:0.396:0.396)) - (IOPATH C1 X (0.170:0.170:0.170) (0.309:0.309:0.309)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _3627_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.120:0.121:0.123) (0.531:0.531:0.532)) - (IOPATH B X (0.114:0.115:0.117) (0.510:0.510:0.511)) - (IOPATH C X (0.130:0.130:0.131) (0.484:0.485:0.485)) - (IOPATH D X (0.117:0.118:0.119) (0.412:0.412:0.413)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3628_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.287:0.287:0.287) (0.411:0.411:0.411)) - (IOPATH A2 X (0.323:0.323:0.323) (0.449:0.462:0.476)) - (IOPATH B1 X (0.328:0.329:0.329) (0.393:0.393:0.394)) - (IOPATH B2 X (0.275:0.275:0.275) (0.417:0.417:0.417)) - (IOPATH C1 X (0.208:0.208:0.209) (0.341:0.341:0.341)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3629_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.201:0.201:0.201) (0.357:0.357:0.357)) - (IOPATH A2 X (0.196:0.196:0.196) (0.372:0.372:0.372)) - (IOPATH B1 X (0.237:0.237:0.237) (0.334:0.336:0.337)) - (IOPATH B2 X (0.187:0.187:0.187) (0.352:0.352:0.352)) - (IOPATH C1 X (0.154:0.154:0.154) (0.290:0.290:0.291)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3630_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.294:0.294:0.294) (0.408:0.408:0.408)) - (IOPATH A2 X (0.307:0.307:0.307) (0.432:0.434:0.436)) - (IOPATH B1 X (0.287:0.288:0.288) (0.370:0.376:0.383)) - (IOPATH B2 X (0.277:0.277:0.277) (0.416:0.416:0.416)) - (IOPATH C1 X (0.184:0.184:0.185) (0.325:0.325:0.326)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3631_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.381:0.381:0.381) (0.477:0.477:0.477)) - (IOPATH A2 X (0.400:0.400:0.400) (0.513:0.513:0.513)) - (IOPATH B1 X (0.462:0.462:0.462) (0.469:0.472:0.475)) - (IOPATH B2 X (0.366:0.366:0.366) (0.476:0.476:0.476)) - (IOPATH C1 X (0.317:0.318:0.319) (0.408:0.408:0.408)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _3632_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.135:0.135:0.136) (0.522:0.522:0.522)) - (IOPATH B X (0.095:0.097:0.098) (0.483:0.483:0.483)) - (IOPATH C X (0.124:0.125:0.125) (0.465:0.466:0.466)) - (IOPATH D X (0.155:0.155:0.155) (0.425:0.425:0.425)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_2") - (INSTANCE _3633_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.247:0.247:0.248) (0.810:0.810:0.810)) - (IOPATH B X (0.222:0.223:0.224) (0.782:0.783:0.783)) - (IOPATH C X (0.189:0.190:0.190) (0.742:0.742:0.742)) - (IOPATH D X (0.181:0.182:0.183) (0.669:0.669:0.669)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3634_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.179:0.179:0.179) (0.244:0.244:0.244)) - (IOPATH A2 X (0.180:0.180:0.180) (0.250:0.250:0.250)) - (IOPATH B1 X (0.216:0.216:0.217) (0.211:0.212:0.212)) - (IOPATH B2 X (0.183:0.183:0.183) (0.231:0.231:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3635_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.251:0.251:0.251) (0.393:0.393:0.393)) - (IOPATH A2 X (0.328:0.329:0.329) (0.434:0.437:0.440)) - (IOPATH B1 X (0.322:0.322:0.322) (0.377:0.383:0.389)) - (IOPATH B2 X (0.271:0.271:0.271) (0.427:0.427:0.427)) - (IOPATH C1 X (0.182:0.183:0.184) (0.323:0.323:0.323)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3636_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.209:0.209:0.209) (0.249:0.249:0.249)) - (IOPATH A2 X (0.237:0.237:0.237) (0.270:0.271:0.272)) - (IOPATH B1 X (0.201:0.201:0.201) (0.202:0.208:0.213)) - (IOPATH B2 X (0.201:0.201:0.201) (0.253:0.253:0.253)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3637_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.363:0.363:0.363) (0.464:0.464:0.464)) - (IOPATH A2 X (0.351:0.351:0.351) (0.457:0.462:0.467)) - (IOPATH B1 X (0.354:0.354:0.354) (0.417:0.421:0.426)) - (IOPATH B2 X (0.363:0.363:0.363) (0.503:0.503:0.503)) - (IOPATH C1 X (0.239:0.239:0.240) (0.363:0.364:0.364)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3638_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.245:0.245:0.245) (0.276:0.276:0.276)) - (IOPATH A2 X (0.281:0.281:0.281) (0.296:0.304:0.313)) - (IOPATH B1 X (0.254:0.254:0.254) (0.238:0.239:0.240)) - (IOPATH B2 X (0.212:0.212:0.212) (0.252:0.252:0.252)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3639_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.275:0.275:0.275) (0.412:0.412:0.412)) - (IOPATH A2 X (0.305:0.305:0.305) (0.430:0.432:0.433)) - (IOPATH B1 X (0.313:0.314:0.314) (0.387:0.390:0.393)) - (IOPATH B2 X (0.268:0.268:0.268) (0.420:0.420:0.420)) - (IOPATH C1 X (0.210:0.210:0.210) (0.338:0.338:0.338)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3640_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.174:0.174:0.174) (0.233:0.233:0.233)) - (IOPATH A2 X (0.241:0.242:0.242) (0.257:0.261:0.265)) - (IOPATH B1 X (0.205:0.205:0.205) (0.200:0.205:0.211)) - (IOPATH B2 X (0.168:0.168:0.168) (0.219:0.219:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3641_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.208:0.208:0.208) (0.340:0.340:0.340)) - (IOPATH A2 X (0.235:0.235:0.235) (0.366:0.366:0.366)) - (IOPATH B1 X (0.206:0.206:0.206) (0.300:0.300:0.300)) - (IOPATH B2 X (0.185:0.185:0.185) (0.345:0.345:0.345)) - (IOPATH C1 X (0.100:0.101:0.102) (0.253:0.253:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _3642_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.217:0.218:0.219) (0.632:0.632:0.632)) - (IOPATH B X (0.236:0.237:0.237) (0.626:0.626:0.627)) - (IOPATH C X (0.215:0.216:0.216) (0.582:0.582:0.583)) - (IOPATH D X (0.175:0.177:0.179) (0.495:0.495:0.496)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3643_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.194:0.194:0.194) (0.238:0.238:0.238)) - (IOPATH A2 X (0.215:0.215:0.216) (0.260:0.278:0.296)) - (IOPATH B1 X (0.187:0.187:0.187) (0.191:0.197:0.203)) - (IOPATH B2 X (0.191:0.191:0.191) (0.254:0.254:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3644_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.313:0.313:0.313) (0.436:0.436:0.436)) - (IOPATH A2 X (0.358:0.358:0.358) (0.471:0.472:0.473)) - (IOPATH B1 X (0.347:0.347:0.347) (0.408:0.409:0.410)) - (IOPATH B2 X (0.334:0.334:0.334) (0.469:0.469:0.469)) - (IOPATH C1 X (0.227:0.227:0.228) (0.356:0.357:0.357)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3645_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.243:0.243:0.243) (0.280:0.280:0.280)) - (IOPATH A2 X (0.269:0.269:0.269) (0.286:0.295:0.304)) - (IOPATH B1 X (0.261:0.261:0.262) (0.249:0.269:0.289)) - (IOPATH B2 X (0.226:0.226:0.226) (0.260:0.260:0.260)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3646_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.208:0.208:0.208) (0.346:0.346:0.346)) - (IOPATH A2 X (0.214:0.214:0.214) (0.362:0.364:0.365)) - (IOPATH B1 X (0.262:0.262:0.262) (0.325:0.334:0.343)) - (IOPATH B2 X (0.176:0.176:0.176) (0.352:0.352:0.352)) - (IOPATH C1 X (0.135:0.136:0.136) (0.277:0.277:0.277)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3647_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.336:0.336:0.336) (0.337:0.337:0.337)) - (IOPATH A2 X (0.351:0.351:0.351) (0.333:0.339:0.345)) - (IOPATH B1 X (0.321:0.321:0.321) (0.281:0.286:0.291)) - (IOPATH B2 X (0.309:0.309:0.309) (0.332:0.332:0.332)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3648_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.273:0.273:0.273) (0.399:0.399:0.399)) - (IOPATH A2 X (0.305:0.306:0.306) (0.423:0.429:0.436)) - (IOPATH B1 X (0.272:0.273:0.273) (0.358:0.364:0.370)) - (IOPATH B2 X (0.235:0.235:0.235) (0.396:0.396:0.396)) - (IOPATH C1 X (0.207:0.207:0.207) (0.336:0.336:0.337)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3649_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.298:0.298:0.298) (0.319:0.319:0.319)) - (IOPATH A2 X (0.292:0.292:0.292) (0.325:0.325:0.325)) - (IOPATH B1 X (0.320:0.320:0.320) (0.285:0.285:0.285)) - (IOPATH B2 X (0.311:0.311:0.311) (0.324:0.324:0.324)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3650_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.203:0.203:0.203) (0.364:0.364:0.364)) - (IOPATH A2 X (0.280:0.281:0.281) (0.398:0.402:0.406)) - (IOPATH B1 X (0.277:0.278:0.278) (0.354:0.354:0.354)) - (IOPATH B2 X (0.204:0.204:0.204) (0.362:0.362:0.362)) - (IOPATH C1 X (0.179:0.179:0.179) (0.314:0.314:0.314)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _3651_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.275:0.276:0.276) (0.684:0.684:0.684)) - (IOPATH B X (0.226:0.228:0.230) (0.640:0.640:0.641)) - (IOPATH C X (0.247:0.248:0.248) (0.617:0.617:0.618)) - (IOPATH D X (0.231:0.233:0.234) (0.543:0.544:0.544)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3652_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.168:0.168:0.168) (0.227:0.227:0.227)) - (IOPATH A2 X (0.197:0.197:0.197) (0.261:0.261:0.261)) - (IOPATH B1 X (0.197:0.197:0.197) (0.195:0.199:0.204)) - (IOPATH B2 X (0.165:0.165:0.165) (0.223:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3653_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.262:0.262:0.262) (0.399:0.399:0.399)) - (IOPATH A2 X (0.315:0.315:0.315) (0.430:0.434:0.438)) - (IOPATH B1 X (0.319:0.319:0.320) (0.375:0.380:0.385)) - (IOPATH B2 X (0.239:0.239:0.239) (0.405:0.405:0.405)) - (IOPATH C1 X (0.173:0.174:0.175) (0.318:0.318:0.318)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3654_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.290:0.290:0.290) (0.420:0.420:0.420)) - (IOPATH A2 X (0.354:0.354:0.354) (0.453:0.457:0.462)) - (IOPATH B1 X (0.299:0.299:0.299) (0.398:0.398:0.398)) - (IOPATH B2 X (0.277:0.277:0.277) (0.431:0.431:0.431)) - (IOPATH C1 X (0.201:0.203:0.205) (0.338:0.339:0.339)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3655_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.171:0.171:0.171) (0.222:0.222:0.222)) - (IOPATH A2 X (0.193:0.194:0.194) (0.238:0.241:0.244)) - (IOPATH B1 X (0.188:0.188:0.188) (0.186:0.190:0.193)) - (IOPATH B2 X (0.184:0.184:0.184) (0.239:0.239:0.239)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3656_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.279:0.279:0.279) (0.402:0.402:0.402)) - (IOPATH A2 X (0.316:0.316:0.316) (0.427:0.435:0.443)) - (IOPATH B1 X (0.289:0.289:0.289) (0.368:0.375:0.382)) - (IOPATH B2 X (0.251:0.251:0.251) (0.402:0.402:0.402)) - (IOPATH C1 X (0.169:0.170:0.171) (0.315:0.315:0.316)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a2bb2o_1") - (INSTANCE _3657_) - (DELAY - (ABSOLUTE - (IOPATH A1_N X (0.485:0.485:0.485) (0.451:0.451:0.451)) - (IOPATH A2_N X (0.519:0.520:0.520) (0.455:0.455:0.456)) - (IOPATH B1 X (0.447:0.447:0.447) (0.449:0.451:0.452)) - (IOPATH B2 X (0.412:0.412:0.412) (0.436:0.436:0.436)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _3658_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.258:0.258:0.259) (0.626:0.627:0.629)) - (IOPATH B X (0.190:0.191:0.192) (0.595:0.595:0.595)) - (IOPATH C X (0.204:0.205:0.205) (0.573:0.573:0.574)) - (IOPATH D X (0.242:0.242:0.243) (0.536:0.536:0.537)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _3659_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.156:0.156:0.156) (0.567:0.567:0.567)) - (IOPATH B X (0.137:0.138:0.139) (0.519:0.520:0.520)) - (IOPATH C X (0.144:0.144:0.145) (0.492:0.493:0.493)) - (IOPATH D X (0.131:0.131:0.132) (0.433:0.434:0.434)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_4") - (INSTANCE _3660_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.257:0.258:0.258) (0.539:0.540:0.540)) - (IOPATH B X (0.260:0.260:0.261) (0.511:0.512:0.512)) - (IOPATH C X (0.221:0.222:0.222) (0.448:0.448:0.448)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3661_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.157:0.157:0.157) (0.313:0.313:0.313)) - (IOPATH A1 X (0.146:0.146:0.146) (0.303:0.303:0.303)) - (IOPATH S X (0.228:0.229:0.229) (0.333:0.333:0.333)) - (IOPATH S X (0.152:0.152:0.152) (0.334:0.334:0.334)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3662_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.113:0.114:0.114) (0.276:0.277:0.277)) - (IOPATH A1 X (0.133:0.133:0.133) (0.295:0.295:0.295)) - (IOPATH S X (0.240:0.241:0.243) (0.340:0.340:0.341)) - (IOPATH S X (0.167:0.168:0.169) (0.342:0.343:0.345)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3663_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.263:0.263:0.263) (0.294:0.294:0.294)) - (IOPATH A2 X (0.269:0.270:0.270) (0.287:0.296:0.305)) - (IOPATH B1 X (0.256:0.256:0.256) (0.237:0.246:0.255)) - (IOPATH B2 X (0.209:0.209:0.209) (0.251:0.251:0.251)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3664_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.239:0.239:0.239) (0.273:0.273:0.273)) - (IOPATH A2 X (0.259:0.259:0.259) (0.283:0.285:0.287)) - (IOPATH B1 X (0.242:0.242:0.242) (0.233:0.238:0.243)) - (IOPATH B2 X (0.222:0.222:0.222) (0.274:0.274:0.274)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _3665_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.297:0.297:0.297) (0.344:0.344:0.344)) - (IOPATH A2 X (0.357:0.357:0.357) (0.372:0.381:0.390)) - (IOPATH B1 X (0.338:0.338:0.338) (0.314:0.316:0.318)) - (IOPATH B2 X (0.308:0.308:0.308) (0.352:0.352:0.352)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3666_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.199:0.199:0.199) (0.343:0.343:0.343)) - (IOPATH A2 X (0.256:0.256:0.256) (0.377:0.381:0.385)) - (IOPATH B1 X (0.254:0.254:0.255) (0.325:0.330:0.334)) - (IOPATH B2 X (0.192:0.192:0.192) (0.353:0.353:0.353)) - (IOPATH C1 X (0.156:0.156:0.156) (0.293:0.293:0.294)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3667_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.251:0.251:0.251) (0.286:0.286:0.286)) - (IOPATH A2 X (0.275:0.275:0.275) (0.285:0.293:0.301)) - (IOPATH B1 X (0.259:0.259:0.259) (0.236:0.245:0.254)) - (IOPATH B2 X (0.200:0.200:0.200) (0.258:0.258:0.258)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3668_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.204:0.204:0.204) (0.335:0.335:0.335)) - (IOPATH A2 X (0.242:0.243:0.243) (0.361:0.365:0.369)) - (IOPATH B1 X (0.206:0.206:0.206) (0.301:0.302:0.303)) - (IOPATH B2 X (0.166:0.166:0.166) (0.328:0.328:0.328)) - (IOPATH C1 X (0.113:0.114:0.115) (0.256:0.256:0.257)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _3669_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.172:0.173:0.173) (0.585:0.586:0.586)) - (IOPATH B X (0.170:0.170:0.171) (0.567:0.567:0.567)) - (IOPATH C X (0.148:0.149:0.151) (0.529:0.529:0.529)) - (IOPATH D X (0.136:0.138:0.140) (0.457:0.457:0.457)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3670_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.220:0.220:0.220) (0.267:0.267:0.267)) - (IOPATH A2 X (0.247:0.247:0.248) (0.277:0.284:0.291)) - (IOPATH B1 X (0.233:0.233:0.233) (0.225:0.226:0.227)) - (IOPATH B2 X (0.192:0.192:0.192) (0.246:0.246:0.246)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3671_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.249:0.249:0.249) (0.381:0.381:0.381)) - (IOPATH A2 X (0.292:0.292:0.292) (0.423:0.426:0.430)) - (IOPATH B1 X (0.281:0.282:0.282) (0.367:0.384:0.401)) - (IOPATH B2 X (0.241:0.241:0.241) (0.400:0.400:0.400)) - (IOPATH C1 X (0.176:0.177:0.177) (0.316:0.316:0.316)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3672_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.197:0.197:0.197) (0.242:0.242:0.242)) - (IOPATH A2 X (0.226:0.226:0.226) (0.247:0.256:0.265)) - (IOPATH B1 X (0.182:0.183:0.183) (0.190:0.206:0.222)) - (IOPATH B2 X (0.158:0.158:0.158) (0.225:0.225:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3673_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.407:0.407:0.407) (0.487:0.487:0.487)) - (IOPATH A2 X (0.367:0.367:0.367) (0.493:0.493:0.493)) - (IOPATH B1 X (0.417:0.418:0.418) (0.447:0.449:0.451)) - (IOPATH B2 X (0.368:0.368:0.368) (0.487:0.487:0.487)) - (IOPATH C1 X (0.291:0.291:0.292) (0.395:0.395:0.395)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _3674_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.346:0.346:0.346) (0.129:0.129:0.129)) - (IOPATH B Y (0.328:0.328:0.328) (0.118:0.118:0.118)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3675_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.410:0.410:0.410) (0.380:0.380:0.380)) - (IOPATH A2 X (0.416:0.416:0.416) (0.379:0.381:0.382)) - (IOPATH B1 X (0.392:0.392:0.392) (0.316:0.317:0.318)) - (IOPATH B2 X (0.390:0.390:0.390) (0.374:0.374:0.374)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3676_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.196:0.196:0.196) (0.347:0.347:0.347)) - (IOPATH A2 X (0.232:0.232:0.232) (0.371:0.373:0.374)) - (IOPATH B1 X (0.233:0.233:0.233) (0.324:0.325:0.327)) - (IOPATH B2 X (0.177:0.177:0.177) (0.355:0.355:0.355)) - (IOPATH C1 X (0.177:0.177:0.177) (0.311:0.312:0.312)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3677_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.320:0.320:0.320) (0.325:0.325:0.325)) - (IOPATH A2 X (0.368:0.368:0.368) (0.358:0.361:0.364)) - (IOPATH B1 X (0.371:0.371:0.371) (0.307:0.308:0.309)) - (IOPATH B2 X (0.316:0.316:0.316) (0.322:0.322:0.322)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3678_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.228:0.228:0.228) (0.380:0.380:0.380)) - (IOPATH A2 X (0.243:0.243:0.243) (0.377:0.382:0.388)) - (IOPATH B1 X (0.259:0.259:0.259) (0.340:0.340:0.341)) - (IOPATH B2 X (0.214:0.214:0.214) (0.378:0.378:0.378)) - (IOPATH C1 X (0.184:0.184:0.184) (0.316:0.316:0.316)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _3679_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.135:0.135:0.136) (0.539:0.539:0.539)) - (IOPATH B X (0.177:0.177:0.177) (0.549:0.549:0.549)) - (IOPATH C X (0.111:0.111:0.112) (0.477:0.477:0.478)) - (IOPATH D X (0.113:0.114:0.115) (0.412:0.412:0.413)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3680_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.276:0.276:0.276) (0.301:0.301:0.301)) - (IOPATH A2 X (0.317:0.317:0.317) (0.317:0.326:0.335)) - (IOPATH B1 X (0.324:0.324:0.324) (0.297:0.299:0.301)) - (IOPATH B2 X (0.275:0.275:0.275) (0.299:0.299:0.299)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3681_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.184:0.184:0.184) (0.330:0.330:0.330)) - (IOPATH A2 X (0.241:0.241:0.241) (0.361:0.366:0.371)) - (IOPATH B1 X (0.220:0.220:0.220) (0.305:0.305:0.306)) - (IOPATH B2 X (0.169:0.169:0.169) (0.337:0.337:0.337)) - (IOPATH C1 X (0.137:0.138:0.138) (0.274:0.274:0.274)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _3682_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.402:0.402:0.402) (0.388:0.388:0.388)) - (IOPATH A2 X (0.485:0.485:0.485) (0.431:0.437:0.443)) - (IOPATH B1 X (0.482:0.482:0.482) (0.393:0.394:0.395)) - (IOPATH B2 X (0.396:0.396:0.396) (0.380:0.380:0.380)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3683_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.282:0.282:0.282) (0.415:0.415:0.415)) - (IOPATH A2 X (0.328:0.328:0.328) (0.447:0.449:0.451)) - (IOPATH B1 X (0.317:0.317:0.317) (0.389:0.394:0.399)) - (IOPATH B2 X (0.274:0.274:0.274) (0.428:0.428:0.428)) - (IOPATH C1 X (0.278:0.278:0.278) (0.397:0.397:0.398)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3684_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.271:0.271:0.271) (0.291:0.291:0.291)) - (IOPATH A2 X (0.340:0.340:0.340) (0.330:0.333:0.337)) - (IOPATH B1 X (0.284:0.284:0.284) (0.280:0.280:0.280)) - (IOPATH B2 X (0.281:0.281:0.281) (0.301:0.301:0.301)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_2") - (INSTANCE _3685_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.311:0.311:0.311) (0.470:0.470:0.470)) - (IOPATH A2 X (0.337:0.337:0.338) (0.495:0.504:0.512)) - (IOPATH B1 X (0.348:0.349:0.349) (0.447:0.448:0.449)) - (IOPATH B2 X (0.307:0.307:0.307) (0.496:0.496:0.496)) - (IOPATH C1 X (0.252:0.252:0.253) (0.415:0.416:0.416)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3686_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.178:0.178:0.178) (0.229:0.229:0.229)) - (IOPATH A2 X (0.235:0.235:0.235) (0.252:0.257:0.263)) - (IOPATH B1 X (0.188:0.189:0.189) (0.187:0.190:0.194)) - (IOPATH B2 X (0.158:0.158:0.158) (0.220:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3687_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.301:0.301:0.301) (0.414:0.414:0.414)) - (IOPATH A2 X (0.332:0.332:0.332) (0.443:0.447:0.450)) - (IOPATH B1 X (0.308:0.308:0.308) (0.382:0.389:0.396)) - (IOPATH B2 X (0.252:0.252:0.252) (0.408:0.408:0.408)) - (IOPATH C1 X (0.188:0.189:0.190) (0.329:0.329:0.329)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _3688_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.102:0.104:0.105) (0.522:0.522:0.522)) - (IOPATH B X (0.148:0.149:0.149) (0.528:0.529:0.529)) - (IOPATH C X (0.142:0.143:0.144) (0.497:0.497:0.498)) - (IOPATH D X (0.136:0.136:0.137) (0.422:0.422:0.423)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _3689_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.216:0.217:0.218) (0.330:0.330:0.330)) - (IOPATH B X (0.216:0.216:0.216) (0.312:0.312:0.312)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3690_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.182:0.182:0.182) (0.232:0.232:0.232)) - (IOPATH A2 X (0.253:0.253:0.253) (0.270:0.273:0.276)) - (IOPATH B1 X (0.205:0.205:0.205) (0.204:0.205:0.205)) - (IOPATH B2 X (0.167:0.167:0.167) (0.227:0.227:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3691_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.413:0.413:0.413) (0.489:0.489:0.489)) - (IOPATH A2 X (0.429:0.430:0.430) (0.512:0.514:0.517)) - (IOPATH B1 X (0.373:0.373:0.373) (0.446:0.446:0.446)) - (IOPATH B2 X (0.390:0.390:0.390) (0.487:0.487:0.487)) - (IOPATH C1 X (0.303:0.303:0.304) (0.399:0.399:0.399)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_1") - (INSTANCE _3692_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.185:0.185:0.185) (0.311:0.311:0.311)) - (IOPATH A2 X (0.210:0.210:0.210) (0.329:0.332:0.334)) - (IOPATH B1 X (0.176:0.177:0.177) (0.336:0.336:0.337)) - (IOPATH C1 X (0.174:0.174:0.174) (0.266:0.268:0.269)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3693_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.252:0.252:0.252) (0.278:0.278:0.278)) - (IOPATH A2 X (0.288:0.288:0.289) (0.296:0.300:0.303)) - (IOPATH B1 X (0.230:0.230:0.230) (0.223:0.224:0.224)) - (IOPATH B2 X (0.211:0.211:0.211) (0.265:0.265:0.265)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3694_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.198:0.198:0.198) (0.351:0.351:0.351)) - (IOPATH A2 X (0.234:0.235:0.235) (0.361:0.363:0.365)) - (IOPATH B1 X (0.196:0.196:0.196) (0.293:0.294:0.295)) - (IOPATH B2 X (0.163:0.163:0.163) (0.327:0.327:0.327)) - (IOPATH C1 X (0.117:0.118:0.118) (0.259:0.259:0.260)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3695_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.208:0.208:0.208) (0.253:0.253:0.253)) - (IOPATH A2 X (0.241:0.241:0.241) (0.260:0.266:0.272)) - (IOPATH B1 X (0.203:0.203:0.203) (0.206:0.210:0.215)) - (IOPATH B2 X (0.162:0.162:0.162) (0.229:0.229:0.229)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3696_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.332:0.332:0.332) (0.454:0.454:0.454)) - (IOPATH A2 X (0.376:0.376:0.376) (0.483:0.486:0.490)) - (IOPATH B1 X (0.322:0.322:0.322) (0.420:0.420:0.420)) - (IOPATH B2 X (0.285:0.285:0.285) (0.423:0.423:0.423)) - (IOPATH C1 X (0.248:0.249:0.250) (0.370:0.370:0.370)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3697_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.376:0.376:0.376) (0.354:0.354:0.354)) - (IOPATH A2 X (0.402:0.402:0.402) (0.365:0.366:0.366)) - (IOPATH B1 X (0.368:0.368:0.369) (0.306:0.311:0.316)) - (IOPATH B2 X (0.356:0.356:0.356) (0.355:0.355:0.355)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3698_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.202:0.202:0.202) (0.337:0.337:0.337)) - (IOPATH A2 X (0.172:0.172:0.172) (0.352:0.352:0.352)) - (IOPATH B1 X (0.234:0.235:0.235) (0.307:0.312:0.318)) - (IOPATH B2 X (0.186:0.186:0.186) (0.341:0.341:0.341)) - (IOPATH C1 X (0.155:0.155:0.155) (0.287:0.287:0.288)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3699_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.213:0.213:0.213) (0.250:0.250:0.250)) - (IOPATH A2 X (0.220:0.220:0.220) (0.279:0.279:0.279)) - (IOPATH B1 X (0.226:0.226:0.227) (0.233:0.251:0.270)) - (IOPATH B2 X (0.177:0.177:0.177) (0.242:0.242:0.242)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3700_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.385:0.385:0.385) (0.472:0.472:0.472)) - (IOPATH A2 X (0.427:0.427:0.427) (0.496:0.505:0.514)) - (IOPATH B1 X (0.372:0.372:0.372) (0.424:0.425:0.426)) - (IOPATH B2 X (0.341:0.341:0.341) (0.468:0.468:0.468)) - (IOPATH C1 X (0.273:0.274:0.275) (0.383:0.383:0.383)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _3701_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.193:0.195:0.197) (0.628:0.629:0.629)) - (IOPATH B X (0.254:0.255:0.255) (0.643:0.643:0.644)) - (IOPATH C X (0.193:0.195:0.196) (0.578:0.578:0.578)) - (IOPATH D X (0.251:0.252:0.252) (0.545:0.545:0.545)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3702_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.330:0.330:0.330) (0.324:0.324:0.324)) - (IOPATH A2 X (0.349:0.349:0.350) (0.342:0.348:0.353)) - (IOPATH B1 X (0.328:0.328:0.328) (0.282:0.282:0.282)) - (IOPATH B2 X (0.292:0.292:0.292) (0.309:0.309:0.309)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3703_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.241:0.241:0.241) (0.374:0.374:0.374)) - (IOPATH A2 X (0.272:0.272:0.272) (0.390:0.397:0.405)) - (IOPATH B1 X (0.243:0.243:0.243) (0.328:0.333:0.339)) - (IOPATH B2 X (0.187:0.187:0.187) (0.362:0.362:0.362)) - (IOPATH C1 X (0.169:0.169:0.169) (0.303:0.303:0.303)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3704_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.196:0.196:0.196) (0.256:0.256:0.256)) - (IOPATH A2 X (0.233:0.233:0.233) (0.266:0.274:0.282)) - (IOPATH B1 X (0.238:0.238:0.238) (0.227:0.228:0.229)) - (IOPATH B2 X (0.189:0.189:0.189) (0.250:0.250:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3705_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.324:0.324:0.324) (0.448:0.448:0.448)) - (IOPATH A2 X (0.394:0.394:0.394) (0.484:0.490:0.496)) - (IOPATH B1 X (0.356:0.356:0.356) (0.417:0.421:0.425)) - (IOPATH B2 X (0.314:0.314:0.314) (0.458:0.458:0.458)) - (IOPATH C1 X (0.261:0.262:0.263) (0.376:0.376:0.377)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3706_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.301:0.301:0.301) (0.319:0.319:0.319)) - (IOPATH A2 X (0.333:0.333:0.333) (0.333:0.337:0.340)) - (IOPATH B1 X (0.309:0.309:0.309) (0.271:0.272:0.273)) - (IOPATH B2 X (0.280:0.280:0.280) (0.304:0.304:0.304)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3707_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.194:0.194:0.194) (0.338:0.338:0.338)) - (IOPATH A2 X (0.245:0.245:0.245) (0.376:0.377:0.378)) - (IOPATH B1 X (0.203:0.203:0.203) (0.305:0.308:0.310)) - (IOPATH B2 X (0.168:0.168:0.168) (0.341:0.341:0.341)) - (IOPATH C1 X (0.145:0.145:0.145) (0.282:0.282:0.282)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3708_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.264:0.264:0.264) (0.296:0.296:0.296)) - (IOPATH A2 X (0.250:0.250:0.250) (0.280:0.284:0.287)) - (IOPATH B1 X (0.250:0.250:0.250) (0.242:0.257:0.272)) - (IOPATH B2 X (0.211:0.211:0.211) (0.264:0.264:0.264)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3709_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.302:0.302:0.302) (0.420:0.420:0.420)) - (IOPATH A2 X (0.292:0.292:0.292) (0.446:0.446:0.446)) - (IOPATH B1 X (0.291:0.291:0.291) (0.396:0.396:0.396)) - (IOPATH B2 X (0.296:0.296:0.296) (0.455:0.455:0.455)) - (IOPATH C1 X (0.224:0.225:0.225) (0.349:0.349:0.349)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _3710_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.157:0.158:0.160) (0.585:0.586:0.586)) - (IOPATH B X (0.207:0.207:0.207) (0.595:0.595:0.596)) - (IOPATH C X (0.147:0.149:0.150) (0.531:0.531:0.532)) - (IOPATH D X (0.183:0.183:0.184) (0.483:0.483:0.484)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _3711_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.354:0.354:0.354) (0.135:0.135:0.135)) - (IOPATH B Y (0.330:0.330:0.330) (0.130:0.130:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3712_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.314:0.314:0.314) (0.322:0.322:0.322)) - (IOPATH A2 X (0.316:0.316:0.316) (0.325:0.325:0.326)) - (IOPATH B1 X (0.298:0.298:0.299) (0.266:0.267:0.267)) - (IOPATH B2 X (0.278:0.278:0.278) (0.293:0.293:0.293)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3713_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.203:0.203:0.203) (0.349:0.349:0.349)) - (IOPATH A2 X (0.274:0.274:0.275) (0.393:0.400:0.407)) - (IOPATH B1 X (0.254:0.254:0.254) (0.335:0.336:0.337)) - (IOPATH B2 X (0.193:0.193:0.193) (0.355:0.355:0.355)) - (IOPATH C1 X (0.165:0.166:0.166) (0.303:0.303:0.303)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _3714_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.302:0.310:0.317) (0.152:0.154:0.155)) - (IOPATH B Y (0.236:0.236:0.236) (0.116:0.116:0.116)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _3715_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.354:0.354:0.354) (0.376:0.376:0.376)) - (IOPATH A2 X (0.412:0.412:0.412) (0.396:0.402:0.408)) - (IOPATH B1 X (0.369:0.369:0.370) (0.339:0.344:0.349)) - (IOPATH B2 X (0.325:0.325:0.325) (0.351:0.351:0.351)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3716_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.205:0.205:0.205) (0.343:0.343:0.343)) - (IOPATH A2 X (0.230:0.230:0.230) (0.367:0.369:0.371)) - (IOPATH B1 X (0.255:0.255:0.255) (0.324:0.327:0.330)) - (IOPATH B2 X (0.176:0.176:0.176) (0.340:0.340:0.340)) - (IOPATH C1 X (0.165:0.165:0.165) (0.299:0.299:0.300)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_4") - (INSTANCE _3717_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.341:0.341:0.341) (0.375:0.375:0.375)) - (IOPATH A2 X (0.359:0.359:0.359) (0.373:0.374:0.376)) - (IOPATH B1 X (0.365:0.365:0.365) (0.360:0.361:0.361)) - (IOPATH B2 X (0.335:0.335:0.335) (0.368:0.368:0.368)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3718_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.404:0.404:0.404) (0.482:0.482:0.482)) - (IOPATH A2 X (0.450:0.450:0.450) (0.521:0.523:0.526)) - (IOPATH B1 X (0.436:0.436:0.436) (0.463:0.465:0.467)) - (IOPATH B2 X (0.392:0.392:0.392) (0.502:0.502:0.502)) - (IOPATH C1 X (0.375:0.375:0.375) (0.443:0.444:0.445)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3719_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.232:0.232:0.232) (0.273:0.273:0.273)) - (IOPATH A2 X (0.262:0.263:0.263) (0.276:0.279:0.283)) - (IOPATH B1 X (0.220:0.220:0.221) (0.212:0.217:0.221)) - (IOPATH B2 X (0.188:0.188:0.188) (0.249:0.249:0.249)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3720_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.188:0.188:0.188) (0.339:0.339:0.339)) - (IOPATH A2 X (0.261:0.261:0.261) (0.378:0.381:0.384)) - (IOPATH B1 X (0.205:0.205:0.205) (0.313:0.323:0.333)) - (IOPATH B2 X (0.171:0.171:0.171) (0.346:0.346:0.346)) - (IOPATH C1 X (0.113:0.114:0.114) (0.263:0.263:0.263)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _3721_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.153:0.155:0.156) (0.581:0.581:0.582)) - (IOPATH B X (0.145:0.147:0.148) (0.559:0.559:0.559)) - (IOPATH C X (0.212:0.212:0.212) (0.571:0.572:0.572)) - (IOPATH D X (0.136:0.139:0.141) (0.456:0.456:0.456)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _3722_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.123:0.124:0.124) (0.539:0.539:0.540)) - (IOPATH B X (0.155:0.155:0.155) (0.555:0.555:0.555)) - (IOPATH C X (0.133:0.133:0.133) (0.508:0.508:0.508)) - (IOPATH D X (0.126:0.127:0.127) (0.437:0.437:0.437)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_4") - (INSTANCE _3723_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.238:0.239:0.239) (0.517:0.517:0.518)) - (IOPATH B X (0.275:0.275:0.275) (0.501:0.501:0.501)) - (IOPATH C X (0.221:0.222:0.222) (0.448:0.448:0.448)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3724_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.153:0.153:0.153) (0.309:0.309:0.310)) - (IOPATH A1 X (0.136:0.136:0.136) (0.293:0.293:0.293)) - (IOPATH S X (0.227:0.227:0.227) (0.331:0.331:0.331)) - (IOPATH S X (0.151:0.151:0.151) (0.331:0.332:0.332)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3725_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.130:0.131:0.131) (0.296:0.296:0.296)) - (IOPATH A1 X (0.164:0.164:0.164) (0.321:0.321:0.321)) - (IOPATH S X (0.257:0.258:0.260) (0.360:0.361:0.361)) - (IOPATH S X (0.184:0.185:0.186) (0.362:0.363:0.365)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _3726_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.185:0.185:0.185) (0.101:0.101:0.101)) - (IOPATH B Y (0.198:0.199:0.199) (0.095:0.096:0.097)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _3727_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.203:0.203:0.203) (0.099:0.099:0.099)) - (IOPATH B Y (0.206:0.206:0.207) (0.099:0.100:0.101)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3728_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.185:0.185:0.185) (0.229:0.229:0.229)) - (IOPATH A2 X (0.247:0.247:0.247) (0.264:0.267:0.270)) - (IOPATH B1 X (0.192:0.192:0.192) (0.197:0.199:0.202)) - (IOPATH B2 X (0.157:0.157:0.157) (0.225:0.225:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _3729_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.219:0.219:0.219) (0.106:0.106:0.106)) - (IOPATH B Y (0.224:0.224:0.224) (0.107:0.108:0.109)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_4") - (INSTANCE _3730_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.198:0.198:0.198) (0.461:0.461:0.461)) - (IOPATH B X (0.196:0.196:0.196) (0.440:0.440:0.440)) - (IOPATH C X (0.192:0.192:0.192) (0.394:0.394:0.394)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _3731_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.404:0.404:0.404) (0.162:0.162:0.162)) - (IOPATH B Y (0.454:0.454:0.454) (0.203:0.204:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _3732_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.319:0.319:0.319) (0.351:0.351:0.351)) - (IOPATH A2 X (0.369:0.370:0.370) (0.378:0.380:0.382)) - (IOPATH B1 X (0.373:0.373:0.374) (0.327:0.331:0.335)) - (IOPATH B2 X (0.275:0.275:0.275) (0.322:0.322:0.322)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3733_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.230:0.230:0.230) (0.271:0.271:0.271)) - (IOPATH A2 X (0.238:0.238:0.239) (0.264:0.273:0.282)) - (IOPATH B1 X (0.203:0.203:0.203) (0.205:0.205:0.206)) - (IOPATH B2 X (0.209:0.209:0.209) (0.257:0.257:0.257)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3734_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.186:0.186:0.186) (0.235:0.235:0.235)) - (IOPATH A2 X (0.180:0.180:0.180) (0.249:0.249:0.249)) - (IOPATH B1 X (0.174:0.174:0.175) (0.195:0.197:0.198)) - (IOPATH B2 X (0.174:0.174:0.174) (0.223:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3735_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.279:0.279:0.279) (0.301:0.301:0.301)) - (IOPATH A2 X (0.313:0.313:0.313) (0.318:0.318:0.319)) - (IOPATH B1 X (0.209:0.209:0.209) (0.249:0.249:0.249)) - (IOPATH B2 X (0.281:0.281:0.281) (0.281:0.286:0.291)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3736_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.216:0.216:0.216) (0.257:0.257:0.257)) - (IOPATH A2 X (0.284:0.284:0.285) (0.292:0.296:0.300)) - (IOPATH B1 X (0.269:0.269:0.269) (0.240:0.246:0.253)) - (IOPATH B2 X (0.202:0.202:0.202) (0.247:0.247:0.247)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _3737_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.308:0.308:0.308) (0.356:0.356:0.356)) - (IOPATH A2 X (0.379:0.379:0.379) (0.384:0.385:0.386)) - (IOPATH B1 X (0.365:0.365:0.365) (0.329:0.329:0.330)) - (IOPATH B2 X (0.297:0.297:0.297) (0.347:0.347:0.347)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3738_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.218:0.218:0.218) (0.259:0.259:0.259)) - (IOPATH A2 X (0.252:0.252:0.252) (0.268:0.275:0.283)) - (IOPATH B1 X (0.228:0.228:0.228) (0.213:0.221:0.229)) - (IOPATH B2 X (0.188:0.188:0.188) (0.239:0.239:0.239)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3739_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.177:0.177:0.177) (0.223:0.223:0.223)) - (IOPATH A2 X (0.195:0.195:0.195) (0.261:0.261:0.261)) - (IOPATH B1 X (0.180:0.180:0.180) (0.211:0.211:0.211)) - (IOPATH B2 X (0.154:0.154:0.154) (0.211:0.211:0.211)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3740_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.394:0.394:0.394) (0.363:0.363:0.363)) - (IOPATH A2 X (0.407:0.407:0.407) (0.375:0.378:0.381)) - (IOPATH B1 X (0.379:0.379:0.380) (0.309:0.310:0.311)) - (IOPATH B2 X (0.342:0.342:0.342) (0.335:0.335:0.335)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3741_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.190:0.190:0.190) (0.238:0.238:0.238)) - (IOPATH A2 X (0.233:0.233:0.233) (0.253:0.259:0.265)) - (IOPATH B1 X (0.195:0.195:0.195) (0.194:0.196:0.198)) - (IOPATH B2 X (0.160:0.160:0.160) (0.227:0.227:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3742_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.239:0.239:0.239) (0.376:0.376:0.376)) - (IOPATH A2 X (0.239:0.239:0.239) (0.409:0.409:0.409)) - (IOPATH B1 X (0.299:0.299:0.299) (0.363:0.366:0.369)) - (IOPATH B2 X (0.222:0.222:0.222) (0.381:0.381:0.381)) - (IOPATH C1 X (0.144:0.145:0.147) (0.297:0.298:0.298)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3743_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.271:0.271:0.271) (0.305:0.305:0.305)) - (IOPATH A2 X (0.296:0.296:0.296) (0.306:0.306:0.307)) - (IOPATH B1 X (0.289:0.289:0.290) (0.270:0.282:0.294)) - (IOPATH B2 X (0.259:0.259:0.259) (0.294:0.294:0.294)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3744_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.199:0.199:0.199) (0.337:0.337:0.337)) - (IOPATH A2 X (0.171:0.171:0.171) (0.352:0.352:0.352)) - (IOPATH B1 X (0.199:0.200:0.200) (0.298:0.305:0.311)) - (IOPATH B2 X (0.175:0.175:0.175) (0.347:0.347:0.347)) - (IOPATH C1 X (0.138:0.138:0.138) (0.275:0.275:0.276)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3745_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.379:0.379:0.379) (0.357:0.357:0.357)) - (IOPATH A2 X (0.409:0.410:0.411) (0.372:0.388:0.404)) - (IOPATH B1 X (0.403:0.403:0.404) (0.322:0.323:0.325)) - (IOPATH B2 X (0.360:0.360:0.360) (0.350:0.350:0.350)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3746_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.192:0.192:0.192) (0.338:0.338:0.338)) - (IOPATH A2 X (0.249:0.249:0.249) (0.368:0.373:0.378)) - (IOPATH B1 X (0.222:0.222:0.222) (0.309:0.310:0.311)) - (IOPATH B2 X (0.178:0.178:0.178) (0.351:0.351:0.351)) - (IOPATH C1 X (0.168:0.168:0.168) (0.303:0.303:0.303)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3747_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.221:0.221:0.221) (0.257:0.257:0.257)) - (IOPATH A2 X (0.263:0.263:0.263) (0.277:0.285:0.294)) - (IOPATH B1 X (0.228:0.228:0.228) (0.227:0.242:0.257)) - (IOPATH B2 X (0.190:0.190:0.190) (0.250:0.250:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3748_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.253:0.253:0.253) (0.385:0.385:0.385)) - (IOPATH A2 X (0.221:0.221:0.221) (0.393:0.393:0.393)) - (IOPATH B1 X (0.249:0.249:0.249) (0.338:0.340:0.342)) - (IOPATH B2 X (0.207:0.207:0.207) (0.379:0.379:0.379)) - (IOPATH C1 X (0.145:0.146:0.146) (0.292:0.292:0.293)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_2") - (INSTANCE _3749_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.251:0.253:0.254) (0.837:0.838:0.838)) - (IOPATH B X (0.230:0.231:0.231) (0.810:0.810:0.810)) - (IOPATH C X (0.229:0.230:0.231) (0.776:0.776:0.776)) - (IOPATH D X (0.239:0.240:0.241) (0.713:0.713:0.714)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3750_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.177:0.177:0.177) (0.234:0.234:0.234)) - (IOPATH A2 X (0.222:0.222:0.222) (0.256:0.257:0.259)) - (IOPATH B1 X (0.225:0.226:0.226) (0.235:0.237:0.238)) - (IOPATH B2 X (0.172:0.172:0.172) (0.239:0.239:0.239)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3751_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.377:0.377:0.377) (0.466:0.466:0.466)) - (IOPATH A2 X (0.368:0.368:0.368) (0.498:0.498:0.498)) - (IOPATH B1 X (0.367:0.367:0.367) (0.443:0.443:0.443)) - (IOPATH B2 X (0.373:0.373:0.373) (0.501:0.501:0.501)) - (IOPATH C1 X (0.285:0.286:0.287) (0.389:0.390:0.390)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _3752_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.138:0.138:0.138) (0.183:0.183:0.183)) - (IOPATH A2 X (0.186:0.186:0.186) (0.199:0.205:0.211)) - (IOPATH B1 X (0.090:0.090:0.090) (0.154:0.154:0.154)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3753_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.319:0.319:0.319) (0.443:0.443:0.443)) - (IOPATH A2 X (0.342:0.342:0.342) (0.459:0.463:0.468)) - (IOPATH B1 X (0.300:0.300:0.300) (0.398:0.398:0.398)) - (IOPATH B2 X (0.301:0.301:0.301) (0.442:0.442:0.442)) - (IOPATH C1 X (0.271:0.272:0.272) (0.383:0.383:0.383)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3754_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.251:0.251:0.251) (0.378:0.378:0.378)) - (IOPATH A2 X (0.284:0.284:0.284) (0.402:0.406:0.409)) - (IOPATH B1 X (0.245:0.246:0.246) (0.337:0.345:0.352)) - (IOPATH B2 X (0.209:0.209:0.209) (0.382:0.382:0.382)) - (IOPATH C1 X (0.146:0.147:0.148) (0.295:0.296:0.296)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _3755_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.202:0.202:0.202) (0.597:0.598:0.598)) - (IOPATH B X (0.129:0.131:0.132) (0.539:0.539:0.539)) - (IOPATH C X (0.179:0.179:0.180) (0.538:0.538:0.538)) - (IOPATH D X (0.142:0.144:0.145) (0.448:0.449:0.449)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3756_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.267:0.267:0.267) (0.391:0.391:0.391)) - (IOPATH A2 X (0.301:0.301:0.301) (0.416:0.418:0.421)) - (IOPATH B1 X (0.265:0.265:0.265) (0.353:0.356:0.358)) - (IOPATH B2 X (0.252:0.252:0.252) (0.407:0.407:0.407)) - (IOPATH C1 X (0.148:0.148:0.149) (0.299:0.299:0.300)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_2") - (INSTANCE _3757_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.289:0.289:0.289) (0.461:0.461:0.461)) - (IOPATH A2 X (0.308:0.308:0.308) (0.506:0.506:0.506)) - (IOPATH B1 X (0.366:0.366:0.366) (0.464:0.468:0.472)) - (IOPATH B2 X (0.319:0.319:0.319) (0.504:0.504:0.504)) - (IOPATH C1 X (0.298:0.298:0.298) (0.446:0.446:0.447)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3758_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.361:0.361:0.361) (0.455:0.455:0.455)) - (IOPATH A2 X (0.397:0.397:0.398) (0.490:0.490:0.491)) - (IOPATH B1 X (0.374:0.374:0.374) (0.427:0.428:0.430)) - (IOPATH B2 X (0.325:0.325:0.325) (0.462:0.462:0.462)) - (IOPATH C1 X (0.252:0.253:0.253) (0.372:0.372:0.372)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3759_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.187:0.187:0.187) (0.331:0.331:0.331)) - (IOPATH A2 X (0.232:0.232:0.232) (0.369:0.371:0.374)) - (IOPATH B1 X (0.177:0.177:0.177) (0.306:0.306:0.306)) - (IOPATH B2 X (0.200:0.200:0.200) (0.355:0.355:0.355)) - (IOPATH C1 X (0.150:0.150:0.150) (0.284:0.285:0.285)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_4") - (INSTANCE _3760_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.253:0.255:0.256) (0.674:0.674:0.675)) - (IOPATH B X (0.281:0.282:0.282) (0.671:0.671:0.672)) - (IOPATH C X (0.293:0.294:0.294) (0.644:0.644:0.645)) - (IOPATH D X (0.224:0.226:0.227) (0.546:0.546:0.547)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3761_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.204:0.204:0.204) (0.257:0.257:0.257)) - (IOPATH A2 X (0.238:0.238:0.238) (0.274:0.277:0.280)) - (IOPATH B1 X (0.216:0.217:0.217) (0.223:0.228:0.233)) - (IOPATH B2 X (0.182:0.182:0.182) (0.238:0.238:0.238)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3762_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.204:0.204:0.204) (0.249:0.249:0.249)) - (IOPATH A2 X (0.244:0.244:0.244) (0.268:0.272:0.276)) - (IOPATH B1 X (0.208:0.208:0.208) (0.205:0.207:0.209)) - (IOPATH B2 X (0.170:0.170:0.170) (0.232:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3763_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.180:0.180:0.180) (0.328:0.328:0.328)) - (IOPATH A2 X (0.247:0.247:0.248) (0.376:0.376:0.377)) - (IOPATH B1 X (0.252:0.253:0.253) (0.321:0.326:0.331)) - (IOPATH B2 X (0.199:0.199:0.199) (0.357:0.357:0.357)) - (IOPATH C1 X (0.116:0.117:0.117) (0.266:0.266:0.266)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_1") - (INSTANCE _3764_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.246:0.246:0.246) (0.348:0.348:0.348)) - (IOPATH A2 X (0.259:0.259:0.259) (0.367:0.368:0.368)) - (IOPATH B1 X (0.179:0.180:0.180) (0.331:0.331:0.331)) - (IOPATH C1 X (0.160:0.162:0.164) (0.286:0.287:0.287)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a2111o_1") - (INSTANCE _3765_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.269:0.269:0.269) (0.475:0.475:0.475)) - (IOPATH A2 X (0.311:0.311:0.311) (0.522:0.525:0.528)) - (IOPATH B1 X (0.237:0.237:0.237) (0.515:0.515:0.515)) - (IOPATH C1 X (0.217:0.218:0.218) (0.447:0.448:0.448)) - (IOPATH D1 X (0.241:0.241:0.241) (0.394:0.399:0.403)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3766_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.184:0.184:0.184) (0.331:0.331:0.331)) - (IOPATH A2 X (0.233:0.233:0.233) (0.373:0.377:0.380)) - (IOPATH B1 X (0.220:0.220:0.220) (0.310:0.311:0.313)) - (IOPATH B2 X (0.166:0.166:0.166) (0.339:0.339:0.339)) - (IOPATH C1 X (0.125:0.126:0.126) (0.269:0.269:0.269)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3767_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.183:0.183:0.183) (0.239:0.239:0.239)) - (IOPATH A2 X (0.226:0.226:0.226) (0.257:0.265:0.274)) - (IOPATH B1 X (0.203:0.203:0.204) (0.210:0.230:0.250)) - (IOPATH B2 X (0.160:0.160:0.160) (0.225:0.225:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3768_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.278:0.278:0.278) (0.302:0.302:0.302)) - (IOPATH A2 X (0.349:0.349:0.349) (0.332:0.341:0.349)) - (IOPATH B1 X (0.315:0.315:0.315) (0.276:0.284:0.292)) - (IOPATH B2 X (0.270:0.270:0.270) (0.294:0.294:0.294)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3769_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.220:0.220:0.220) (0.349:0.349:0.349)) - (IOPATH A2 X (0.231:0.232:0.232) (0.373:0.379:0.384)) - (IOPATH B1 X (0.262:0.262:0.262) (0.325:0.334:0.343)) - (IOPATH B2 X (0.189:0.189:0.189) (0.352:0.352:0.352)) - (IOPATH C1 X (0.153:0.153:0.153) (0.289:0.289:0.289)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3770_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.179:0.179:0.179) (0.244:0.244:0.244)) - (IOPATH A2 X (0.242:0.243:0.243) (0.267:0.268:0.269)) - (IOPATH B1 X (0.208:0.208:0.209) (0.213:0.214:0.214)) - (IOPATH B2 X (0.178:0.178:0.178) (0.245:0.245:0.245)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3771_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.248:0.248:0.248) (0.383:0.383:0.383)) - (IOPATH A2 X (0.274:0.274:0.274) (0.405:0.407:0.409)) - (IOPATH B1 X (0.258:0.258:0.258) (0.345:0.345:0.345)) - (IOPATH B2 X (0.229:0.229:0.229) (0.391:0.391:0.391)) - (IOPATH C1 X (0.152:0.153:0.154) (0.300:0.300:0.300)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a2111o_1") - (INSTANCE _3772_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.182:0.182:0.182) (0.405:0.405:0.405)) - (IOPATH A2 X (0.220:0.220:0.220) (0.437:0.443:0.448)) - (IOPATH B1 X (0.117:0.118:0.119) (0.394:0.395:0.395)) - (IOPATH C1 X (0.112:0.114:0.115) (0.359:0.360:0.360)) - (IOPATH D1 X (0.118:0.119:0.120) (0.310:0.311:0.311)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3773_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.335:0.335:0.335) (0.333:0.333:0.333)) - (IOPATH A2 X (0.396:0.396:0.396) (0.358:0.361:0.365)) - (IOPATH B1 X (0.366:0.366:0.366) (0.303:0.304:0.305)) - (IOPATH B2 X (0.321:0.321:0.321) (0.326:0.326:0.326)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3774_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.236:0.236:0.236) (0.380:0.380:0.380)) - (IOPATH A2 X (0.264:0.265:0.265) (0.403:0.410:0.416)) - (IOPATH B1 X (0.261:0.261:0.261) (0.348:0.349:0.350)) - (IOPATH B2 X (0.206:0.206:0.206) (0.374:0.374:0.374)) - (IOPATH C1 X (0.204:0.204:0.204) (0.334:0.334:0.334)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3775_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.257:0.257:0.257) (0.291:0.291:0.291)) - (IOPATH A2 X (0.305:0.305:0.305) (0.317:0.318:0.320)) - (IOPATH B1 X (0.302:0.302:0.302) (0.266:0.273:0.280)) - (IOPATH B2 X (0.259:0.259:0.259) (0.292:0.292:0.292)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3776_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.230:0.230:0.230) (0.371:0.371:0.371)) - (IOPATH A2 X (0.259:0.259:0.259) (0.396:0.399:0.402)) - (IOPATH B1 X (0.248:0.248:0.248) (0.344:0.360:0.377)) - (IOPATH B2 X (0.189:0.189:0.189) (0.366:0.366:0.366)) - (IOPATH C1 X (0.159:0.160:0.160) (0.298:0.298:0.298)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3777_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.278:0.278:0.278) (0.296:0.296:0.296)) - (IOPATH A2 X (0.286:0.286:0.287) (0.294:0.300:0.305)) - (IOPATH B1 X (0.291:0.291:0.291) (0.267:0.268:0.269)) - (IOPATH B2 X (0.256:0.256:0.256) (0.284:0.284:0.284)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3778_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.256:0.256:0.256) (0.386:0.386:0.386)) - (IOPATH A2 X (0.223:0.223:0.223) (0.399:0.399:0.399)) - (IOPATH B1 X (0.265:0.265:0.265) (0.348:0.352:0.357)) - (IOPATH B2 X (0.242:0.242:0.242) (0.399:0.399:0.399)) - (IOPATH C1 X (0.177:0.177:0.177) (0.314:0.314:0.314)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _3779_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.429:0.429:0.429) (0.404:0.404:0.404)) - (IOPATH A2 X (0.495:0.495:0.496) (0.446:0.451:0.455)) - (IOPATH B1 X (0.440:0.440:0.440) (0.391:0.391:0.391)) - (IOPATH B2 X (0.406:0.406:0.406) (0.392:0.392:0.392)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3780_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.226:0.226:0.226) (0.358:0.358:0.358)) - (IOPATH A2 X (0.241:0.241:0.241) (0.367:0.373:0.379)) - (IOPATH B1 X (0.217:0.217:0.217) (0.312:0.317:0.321)) - (IOPATH B2 X (0.174:0.174:0.174) (0.350:0.350:0.350)) - (IOPATH C1 X (0.178:0.178:0.178) (0.315:0.315:0.315)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _3781_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.227:0.227:0.228) (0.648:0.648:0.648)) - (IOPATH B X (0.216:0.217:0.219) (0.626:0.626:0.626)) - (IOPATH C X (0.222:0.222:0.223) (0.596:0.596:0.597)) - (IOPATH D X (0.203:0.204:0.205) (0.519:0.520:0.520)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3782_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.300:0.300:0.300) (0.419:0.419:0.419)) - (IOPATH A2 X (0.311:0.311:0.311) (0.439:0.440:0.442)) - (IOPATH B1 X (0.320:0.320:0.320) (0.389:0.390:0.391)) - (IOPATH B2 X (0.289:0.289:0.289) (0.434:0.434:0.434)) - (IOPATH C1 X (0.235:0.236:0.236) (0.357:0.357:0.357)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3783_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.275:0.275:0.275) (0.292:0.292:0.292)) - (IOPATH A2 X (0.363:0.363:0.363) (0.340:0.349:0.357)) - (IOPATH B1 X (0.316:0.316:0.316) (0.278:0.283:0.288)) - (IOPATH B2 X (0.292:0.292:0.292) (0.317:0.317:0.317)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3784_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.219:0.219:0.219) (0.349:0.349:0.349)) - (IOPATH A2 X (0.223:0.223:0.223) (0.366:0.367:0.368)) - (IOPATH B1 X (0.218:0.218:0.218) (0.306:0.309:0.313)) - (IOPATH B2 X (0.197:0.197:0.197) (0.362:0.362:0.362)) - (IOPATH C1 X (0.150:0.150:0.150) (0.287:0.287:0.287)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_1") - (INSTANCE _3785_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.298:0.298:0.298) (0.309:0.309:0.309)) - (IOPATH A2 X (0.229:0.229:0.229) (0.286:0.286:0.286)) - (IOPATH A3 X (0.240:0.241:0.241) (0.303:0.304:0.304)) - (IOPATH B1 X (0.271:0.271:0.271) (0.270:0.276:0.281)) - (IOPATH B2 X (0.227:0.227:0.227) (0.282:0.282:0.282)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3786_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.201:0.201:0.201) (0.338:0.338:0.338)) - (IOPATH A2 X (0.220:0.221:0.221) (0.354:0.363:0.372)) - (IOPATH B1 X (0.214:0.215:0.215) (0.302:0.306:0.310)) - (IOPATH B2 X (0.162:0.162:0.162) (0.335:0.335:0.335)) - (IOPATH C1 X (0.129:0.130:0.130) (0.267:0.267:0.267)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3787_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.239:0.239:0.239) (0.373:0.373:0.373)) - (IOPATH A2 X (0.298:0.299:0.299) (0.411:0.414:0.418)) - (IOPATH B1 X (0.218:0.218:0.219) (0.338:0.339:0.340)) - (IOPATH B2 X (0.220:0.220:0.220) (0.390:0.390:0.390)) - (IOPATH C1 X (0.150:0.151:0.151) (0.300:0.300:0.301)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _3788_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.145:0.146:0.146) (0.539:0.539:0.540)) - (IOPATH B X (0.103:0.104:0.105) (0.499:0.499:0.500)) - (IOPATH C X (0.098:0.099:0.101) (0.464:0.465:0.465)) - (IOPATH D X (0.115:0.116:0.118) (0.405:0.406:0.406)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _3789_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.156:0.158:0.159) (0.588:0.588:0.589)) - (IOPATH B X (0.161:0.162:0.164) (0.575:0.576:0.577)) - (IOPATH C X (0.195:0.195:0.195) (0.577:0.577:0.577)) - (IOPATH D X (0.148:0.148:0.149) (0.478:0.478:0.478)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_4") - (INSTANCE _3790_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.297:0.297:0.297) (0.726:0.726:0.726)) - (IOPATH B X (0.255:0.256:0.256) (0.672:0.672:0.672)) - (IOPATH C X (0.282:0.283:0.284) (0.645:0.646:0.646)) - (IOPATH D X (0.261:0.261:0.261) (0.579:0.579:0.579)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _3791_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.368:0.369:0.369) (0.142:0.142:0.142)) - (IOPATH B Y (0.367:0.368:0.369) (0.157:0.158:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3792_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.310:0.310:0.310) (0.324:0.324:0.324)) - (IOPATH A2 X (0.295:0.296:0.297) (0.350:0.351:0.353)) - (IOPATH B1 X (0.282:0.282:0.282) (0.307:0.307:0.307)) - (IOPATH B2 X (0.316:0.316:0.316) (0.301:0.302:0.304)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _3793_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.324:0.324:0.324) (0.384:0.384:0.384)) - (IOPATH B X (0.305:0.305:0.305) (0.356:0.356:0.356)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_2") - (INSTANCE _3794_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.226:0.226:0.226) (0.383:0.383:0.383)) - (IOPATH B X (0.234:0.234:0.234) (0.379:0.379:0.379)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3795_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.066:0.066:0.066) (0.042:0.042:0.042)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_1") - (INSTANCE _3796_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.243:0.243:0.243) (0.215:0.215:0.215)) - (IOPATH B X (0.249:0.249:0.249) (0.236:0.236:0.236)) - (IOPATH C X (0.234:0.234:0.234) (0.240:0.240:0.241)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _3797_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.214:0.214:0.214) (0.203:0.203:0.203)) - (IOPATH B X (0.202:0.202:0.202) (0.220:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_1") - (INSTANCE _3798_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.168:0.168:0.168) (0.075:0.075:0.075)) - (IOPATH A X (0.154:0.154:0.154) (0.168:0.168:0.168)) - (IOPATH B X (0.150:0.151:0.152) (0.088:0.088:0.088)) - (IOPATH B X (0.170:0.170:0.170) (0.152:0.152:0.153)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _3799_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.176:0.176:0.176) (0.100:0.100:0.100)) - (IOPATH A2 Y (0.183:0.183:0.184) (0.089:0.089:0.089)) - (IOPATH B1 Y (0.166:0.166:0.166) (0.070:0.070:0.070)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _3800_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.155:0.155:0.156) (0.083:0.083:0.084)) - (IOPATH B Y (0.133:0.136:0.139) (0.074:0.076:0.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_1") - (INSTANCE _3801_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.148:0.148:0.148) (0.068:0.068:0.068)) - (IOPATH A X (0.134:0.134:0.134) (0.161:0.161:0.161)) - (IOPATH B X (0.139:0.139:0.139) (0.075:0.075:0.075)) - (IOPATH B X (0.147:0.147:0.147) (0.154:0.154:0.154)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _3802_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.362:0.362:0.362) (0.297:0.297:0.297)) - (IOPATH B X (0.348:0.348:0.348) (0.318:0.318:0.318)) - (IOPATH C X (0.349:0.349:0.349) (0.333:0.333:0.333)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _3803_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.275:0.275:0.275) (0.241:0.241:0.241)) - (IOPATH B Y (0.254:0.254:0.254) (0.220:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3b_1") - (INSTANCE _3804_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.292:0.292:0.292) (0.528:0.528:0.528)) - (IOPATH B X (0.286:0.286:0.286) (0.502:0.502:0.502)) - (IOPATH C_N X (0.343:0.343:0.343) (0.506:0.506:0.506)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_1") - (INSTANCE _3805_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.291:0.291:0.291) (0.280:0.280:0.280)) - (IOPATH A2 X (0.308:0.308:0.308) (0.310:0.311:0.311)) - (IOPATH A3 X (0.313:0.313:0.313) (0.346:0.346:0.346)) - (IOPATH B1 X (0.267:0.267:0.267) (0.302:0.302:0.302)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_4") - (INSTANCE _3806_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.267:0.267:0.267) (0.241:0.241:0.241)) - (IOPATH B Y (0.179:0.179:0.180) (0.151:0.151:0.151)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_1") - (INSTANCE _3807_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.284:0.284:0.284) (0.232:0.232:0.232)) - (IOPATH B X (0.292:0.292:0.292) (0.260:0.260:0.260)) - (IOPATH C X (0.291:0.291:0.291) (0.277:0.277:0.277)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _3808_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.515:0.515:0.515) (0.352:0.352:0.352)) - (IOPATH B X (0.512:0.512:0.512) (0.364:0.365:0.365)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4_1") - (INSTANCE _3809_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.282:0.282:0.282) (0.344:0.344:0.344)) - (IOPATH B Y (0.332:0.332:0.332) (0.373:0.373:0.373)) - (IOPATH C Y (0.342:0.342:0.342) (0.366:0.366:0.366)) - (IOPATH D Y (0.330:0.331:0.331) (0.379:0.380:0.380)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _3810_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.088:0.088:0.088) (0.075:0.075:0.075)) - (IOPATH B Y (0.115:0.118:0.120) (0.096:0.096:0.097)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3811_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.151:0.151:0.151) (0.290:0.290:0.291)) - (IOPATH A1 X (0.172:0.174:0.177) (0.294:0.307:0.319)) - (IOPATH S X (0.308:0.309:0.311) (0.351:0.353:0.355)) - (IOPATH S X (0.183:0.186:0.189) (0.408:0.410:0.411)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _3812_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.158:0.160:0.162) (0.093:0.094:0.094)) - (IOPATH A2 Y (0.123:0.123:0.123) (0.055:0.056:0.057)) - (IOPATH B1 Y (0.080:0.082:0.083) (0.067:0.069:0.070)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_1") - (INSTANCE _3813_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.355:0.355:0.355) (0.340:0.340:0.340)) - (IOPATH A2 X (0.365:0.365:0.365) (0.377:0.377:0.377)) - (IOPATH A3 X (0.373:0.373:0.373) (0.394:0.395:0.395)) - (IOPATH B1 X (0.300:0.300:0.300) (0.332:0.332:0.332)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_1") - (INSTANCE _3814_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.254:0.254:0.254) (0.308:0.308:0.308)) - (IOPATH A2 X (0.275:0.278:0.281) (0.369:0.370:0.372)) - (IOPATH A3 X (0.268:0.268:0.268) (0.303:0.304:0.304)) - (IOPATH B1 X (0.217:0.217:0.217) (0.262:0.262:0.262)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3815_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.154:0.154:0.155) (0.297:0.297:0.298)) - (IOPATH A1 X (0.126:0.126:0.126) (0.295:0.295:0.295)) - (IOPATH S X (0.224:0.227:0.229) (0.338:0.338:0.339)) - (IOPATH S X (0.156:0.157:0.158) (0.332:0.334:0.336)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _3816_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.179:0.179:0.179) (0.104:0.104:0.104)) - (IOPATH B Y (0.214:0.215:0.215) (0.121:0.121:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _3817_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.213:0.213:0.213) (0.253:0.253:0.253)) - (IOPATH A2 X (0.224:0.224:0.225) (0.291:0.291:0.291)) - (IOPATH B1 X (0.236:0.236:0.236) (0.218:0.218:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _3818_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.223:0.224:0.224) (0.229:0.239:0.250)) - (IOPATH A Y (0.351:0.361:0.370) (0.169:0.169:0.170)) - (IOPATH B Y (0.204:0.204:0.204) (0.184:0.185:0.185)) - (IOPATH B Y (0.295:0.295:0.296) (0.131:0.131:0.131)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3819_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.153:0.171:0.189) (0.302:0.305:0.309)) - (IOPATH A1 X (0.128:0.128:0.128) (0.295:0.295:0.295)) - (IOPATH S X (0.223:0.226:0.228) (0.336:0.337:0.338)) - (IOPATH S X (0.155:0.156:0.157) (0.331:0.333:0.335)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _3820_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.143:0.143:0.143) (0.084:0.084:0.084)) - (IOPATH B Y (0.109:0.109:0.110) (0.063:0.063:0.063)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3821_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.123:0.124:0.125) (0.278:0.278:0.278)) - (IOPATH A1 X (0.151:0.153:0.155) (0.316:0.316:0.317)) - (IOPATH S X (0.286:0.286:0.286) (0.372:0.372:0.372)) - (IOPATH S X (0.222:0.222:0.222) (0.384:0.385:0.385)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3822_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.118:0.120:0.121) (0.285:0.285:0.285)) - (IOPATH A1 X (0.126:0.126:0.126) (0.295:0.295:0.295)) - (IOPATH S X (0.225:0.228:0.230) (0.339:0.340:0.340)) - (IOPATH S X (0.157:0.158:0.159) (0.334:0.336:0.337)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _3823_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.183:0.183:0.183) (0.290:0.290:0.290)) - (IOPATH B X (0.180:0.180:0.180) (0.266:0.266:0.267)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3824_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.179:0.179:0.179) (0.237:0.237:0.237)) - (IOPATH A2 X (0.188:0.188:0.188) (0.270:0.270:0.270)) - (IOPATH B1 X (0.148:0.150:0.153) (0.190:0.198:0.207)) - (IOPATH B2 X (0.143:0.143:0.143) (0.213:0.213:0.213)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3825_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.116:0.116:0.117) (0.274:0.274:0.274)) - (IOPATH A1 X (0.121:0.121:0.121) (0.289:0.289:0.289)) - (IOPATH S X (0.219:0.221:0.224) (0.331:0.332:0.332)) - (IOPATH S X (0.151:0.152:0.153) (0.326:0.328:0.330)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _3826_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.176:0.176:0.176) (0.208:0.208:0.208)) - (IOPATH A2 X (0.183:0.183:0.183) (0.230:0.230:0.230)) - (IOPATH B1 X (0.139:0.139:0.139) (0.201:0.201:0.201)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3827_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.173:0.173:0.173) (0.230:0.230:0.230)) - (IOPATH A2 X (0.175:0.175:0.175) (0.259:0.259:0.259)) - (IOPATH B1 X (0.121:0.121:0.122) (0.169:0.171:0.174)) - (IOPATH B2 X (0.119:0.119:0.119) (0.189:0.189:0.189)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3828_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.122:0.122:0.123) (0.283:0.284:0.284)) - (IOPATH A1 X (0.130:0.130:0.130) (0.300:0.300:0.300)) - (IOPATH S X (0.229:0.232:0.234) (0.344:0.344:0.345)) - (IOPATH S X (0.161:0.162:0.163) (0.338:0.340:0.342)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _3829_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.283:0.283:0.283) (0.139:0.139:0.139)) - (IOPATH A2 Y (0.228:0.228:0.228) (0.135:0.135:0.135)) - (IOPATH B1 Y (0.168:0.168:0.168) (0.149:0.149:0.149)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _3830_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.184:0.184:0.184) (0.066:0.066:0.066)) - (IOPATH B Y (0.192:0.194:0.196) (0.091:0.092:0.093)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _3831_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.177:0.182:0.187) (0.172:0.177:0.181)) - (IOPATH A Y (0.250:0.254:0.257) (0.126:0.133:0.140)) - (IOPATH B Y (0.184:0.184:0.184) (0.151:0.155:0.158)) - (IOPATH B Y (0.216:0.218:0.221) (0.126:0.126:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3832_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.113:0.113:0.113) (0.272:0.272:0.272)) - (IOPATH A1 X (0.182:0.182:0.182) (0.345:0.345:0.345)) - (IOPATH S X (0.232:0.232:0.232) (0.341:0.341:0.341)) - (IOPATH S X (0.170:0.170:0.170) (0.335:0.335:0.335)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3833_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.110:0.111:0.113) (0.275:0.276:0.276)) - (IOPATH A1 X (0.122:0.122:0.122) (0.289:0.289:0.289)) - (IOPATH S X (0.219:0.221:0.224) (0.331:0.331:0.332)) - (IOPATH S X (0.151:0.151:0.152) (0.325:0.327:0.329)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _3834_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.153:0.153:0.153) (0.154:0.154:0.154)) - (IOPATH B Y (0.153:0.154:0.155) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3835_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.152:0.152:0.152) (0.298:0.298:0.298)) - (IOPATH A1 X (0.179:0.179:0.179) (0.330:0.330:0.330)) - (IOPATH S X (0.214:0.217:0.220) (0.325:0.326:0.326)) - (IOPATH S X (0.143:0.143:0.144) (0.321:0.324:0.326)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _3836_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.515:0.515:0.515) (0.169:0.169:0.169)) - (IOPATH B Y (0.497:0.497:0.497) (0.161:0.161:0.161)) - (IOPATH C Y (0.445:0.445:0.445) (0.125:0.125:0.125)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_1") - (INSTANCE _3837_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.213:0.213:0.213) (0.228:0.228:0.228)) - (IOPATH A2 X (0.242:0.242:0.242) (0.282:0.282:0.282)) - (IOPATH A3 X (0.276:0.276:0.276) (0.272:0.277:0.282)) - (IOPATH B1 X (0.200:0.200:0.200) (0.270:0.270:0.270)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _3838_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.152:0.152:0.152) (0.153:0.153:0.153)) - (IOPATH B Y (0.130:0.130:0.130) (0.117:0.117:0.117)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _3839_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.235:0.235:0.235) (0.112:0.112:0.112)) - (IOPATH B Y (0.210:0.215:0.220) (0.092:0.092:0.092)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3840_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.150:0.150:0.150) (0.302:0.302:0.302)) - (IOPATH A1 X (0.151:0.151:0.151) (0.311:0.311:0.311)) - (IOPATH S X (0.200:0.203:0.206) (0.346:0.347:0.347)) - (IOPATH S X (0.172:0.172:0.172) (0.313:0.315:0.318)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _3841_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.137:0.137:0.137) (0.179:0.179:0.179)) - (IOPATH A2 X (0.112:0.112:0.112) (0.173:0.173:0.173)) - (IOPATH B1 X (0.092:0.092:0.092) (0.162:0.162:0.162)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _3842_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.350:0.350:0.350) (0.179:0.179:0.179)) - (IOPATH A2 Y (0.387:0.387:0.387) (0.207:0.207:0.207)) - (IOPATH B1 Y (0.350:0.350:0.350) (0.140:0.140:0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211oi_1") - (INSTANCE _3843_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.422:0.422:0.422) (0.185:0.185:0.185)) - (IOPATH A2 Y (0.475:0.475:0.476) (0.184:0.184:0.184)) - (IOPATH B1 Y (0.414:0.421:0.428) (0.153:0.154:0.155)) - (IOPATH C1 Y (0.380:0.380:0.380) (0.130:0.130:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4b_1") - (INSTANCE _3844_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.180:0.180:0.180) (0.577:0.577:0.577)) - (IOPATH B X (0.166:0.166:0.166) (0.557:0.557:0.557)) - (IOPATH C X (0.165:0.165:0.165) (0.522:0.522:0.522)) - (IOPATH D_N X (0.220:0.220:0.220) (0.498:0.498:0.498)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3845_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.194:0.194:0.194) (0.354:0.354:0.354)) - (IOPATH A1 X (0.139:0.139:0.139) (0.305:0.305:0.305)) - (IOPATH S X (0.227:0.227:0.227) (0.327:0.327:0.327)) - (IOPATH S X (0.140:0.140:0.141) (0.336:0.336:0.336)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4bb_1") - (INSTANCE _3846_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.186:0.186:0.186) (0.562:0.562:0.562)) - (IOPATH B X (0.171:0.171:0.171) (0.536:0.536:0.536)) - (IOPATH C_N X (0.237:0.237:0.237) (0.539:0.539:0.539)) - (IOPATH D_N X (0.227:0.227:0.227) (0.463:0.463:0.463)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3847_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.191:0.191:0.191) (0.350:0.350:0.350)) - (IOPATH A1 X (0.134:0.134:0.134) (0.301:0.301:0.301)) - (IOPATH S X (0.225:0.225:0.225) (0.325:0.325:0.326)) - (IOPATH S X (0.139:0.139:0.140) (0.333:0.334:0.334)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _3848_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.355:0.355:0.355) (0.187:0.187:0.187)) - (IOPATH A2 Y (0.344:0.344:0.344) (0.171:0.171:0.171)) - (IOPATH B1 Y (0.247:0.247:0.247) (0.205:0.205:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_1") - (INSTANCE _3849_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.312:0.312:0.312) (0.335:0.335:0.335)) - (IOPATH A2 X (0.279:0.279:0.279) (0.318:0.318:0.318)) - (IOPATH B1 X (0.314:0.314:0.314) (0.240:0.240:0.240)) - (IOPATH C1 X (0.316:0.324:0.332) (0.226:0.231:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_4") - (INSTANCE _3850_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.363:0.363:0.363) (0.568:0.568:0.568)) - (IOPATH B X (0.334:0.334:0.334) (0.527:0.527:0.527)) - (IOPATH C X (0.361:0.361:0.361) (0.502:0.502:0.502)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _3851_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.193:0.193:0.193) (0.114:0.114:0.114)) - (IOPATH A2 Y (0.177:0.177:0.177) (0.098:0.098:0.098)) - (IOPATH B1 Y (0.133:0.134:0.134) (0.127:0.127:0.127)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ba_1") - (INSTANCE _3852_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.223:0.223:0.223) (0.260:0.260:0.260)) - (IOPATH A2 X (0.170:0.173:0.177) (0.197:0.203:0.209)) - (IOPATH B1_N X (0.280:0.281:0.283) (0.202:0.203:0.203)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _3853_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.301:0.301:0.301) (0.134:0.134:0.134)) - (IOPATH B Y (0.266:0.266:0.267) (0.101:0.101:0.102)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _3854_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.162:0.163:0.165) (0.093:0.093:0.093)) - (IOPATH B Y (0.134:0.137:0.140) (0.099:0.099:0.099)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _3855_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.177:0.177:0.177) (0.234:0.234:0.234)) - (IOPATH A2 X (0.171:0.171:0.172) (0.227:0.229:0.230)) - (IOPATH B1 X (0.162:0.163:0.163) (0.189:0.192:0.196)) - (IOPATH B2 X (0.135:0.135:0.135) (0.173:0.173:0.173)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _3856_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.165:0.165:0.165) (0.062:0.062:0.062)) - (IOPATH B Y (0.173:0.174:0.176) (0.095:0.095:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _3857_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.192:0.192:0.192) (0.160:0.163:0.167)) - (IOPATH A Y (0.224:0.228:0.231) (0.153:0.153:0.153)) - (IOPATH B Y (0.168:0.168:0.168) (0.141:0.147:0.152)) - (IOPATH B Y (0.192:0.197:0.201) (0.111:0.111:0.111)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_1") - (INSTANCE _3858_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.229:0.229:0.229) (0.219:0.219:0.219)) - (IOPATH B X (0.180:0.180:0.180) (0.172:0.176:0.179)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _3859_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.242:0.242:0.242) (0.393:0.393:0.393)) - (IOPATH A2 X (0.208:0.208:0.208) (0.393:0.394:0.396)) - (IOPATH B1 X (0.172:0.173:0.173) (0.318:0.318:0.319)) - (IOPATH B2 X (0.161:0.161:0.161) (0.343:0.343:0.343)) - (IOPATH C1 X (0.127:0.128:0.128) (0.281:0.281:0.281)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3860_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.151:0.151:0.151) (0.301:0.301:0.301)) - (IOPATH A1 X (0.138:0.138:0.138) (0.306:0.306:0.306)) - (IOPATH S X (0.271:0.271:0.271) (0.369:0.369:0.369)) - (IOPATH S X (0.202:0.202:0.202) (0.374:0.374:0.374)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3861_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.157:0.157:0.157) (0.310:0.310:0.310)) - (IOPATH A1 X (0.160:0.160:0.160) (0.318:0.318:0.318)) - (IOPATH S X (0.277:0.277:0.277) (0.375:0.375:0.375)) - (IOPATH S X (0.208:0.208:0.208) (0.381:0.381:0.381)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3862_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.140:0.140:0.140) (0.290:0.290:0.290)) - (IOPATH A1 X (0.139:0.139:0.139) (0.299:0.299:0.299)) - (IOPATH S X (0.257:0.257:0.257) (0.353:0.353:0.353)) - (IOPATH S X (0.187:0.187:0.187) (0.359:0.359:0.359)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3863_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.136:0.136:0.136) (0.292:0.292:0.292)) - (IOPATH A1 X (0.147:0.147:0.147) (0.306:0.306:0.306)) - (IOPATH S X (0.261:0.261:0.261) (0.359:0.359:0.359)) - (IOPATH S X (0.191:0.191:0.192) (0.364:0.364:0.364)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3864_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.124:0.124:0.124) (0.281:0.281:0.281)) - (IOPATH A1 X (0.132:0.132:0.132) (0.294:0.294:0.294)) - (IOPATH S X (0.255:0.255:0.255) (0.351:0.351:0.351)) - (IOPATH S X (0.185:0.185:0.185) (0.356:0.356:0.356)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3865_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.133:0.133:0.133) (0.293:0.293:0.293)) - (IOPATH A1 X (0.141:0.141:0.141) (0.306:0.306:0.306)) - (IOPATH S X (0.268:0.268:0.268) (0.366:0.366:0.366)) - (IOPATH S X (0.198:0.198:0.198) (0.372:0.372:0.372)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3866_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.186:0.186:0.186) (0.342:0.342:0.342)) - (IOPATH A1 X (0.127:0.127:0.127) (0.294:0.294:0.294)) - (IOPATH S X (0.259:0.259:0.260) (0.357:0.357:0.357)) - (IOPATH S X (0.190:0.190:0.190) (0.362:0.362:0.362)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_1") - (INSTANCE _3867_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.311:0.311:0.311) (0.247:0.247:0.247)) - (IOPATH B X (0.302:0.302:0.302) (0.266:0.266:0.266)) - (IOPATH C X (0.315:0.315:0.315) (0.286:0.286:0.286)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _3868_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.121:0.121:0.121) (0.050:0.050:0.050)) - (IOPATH B Y (0.131:0.132:0.132) (0.076:0.076:0.076)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_1") - (INSTANCE _3869_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.143:0.143:0.143) (0.181:0.181:0.181)) - (IOPATH A2 X (0.213:0.213:0.213) (0.282:0.282:0.282)) - (IOPATH A3 X (0.190:0.190:0.190) (0.250:0.251:0.251)) - (IOPATH B1 X (0.126:0.126:0.127) (0.189:0.193:0.198)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _3870_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.166:0.166:0.166) (0.204:0.204:0.204)) - (IOPATH A2 X (0.162:0.162:0.162) (0.218:0.218:0.218)) - (IOPATH B1 X (0.127:0.127:0.128) (0.189:0.194:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3871_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.208:0.208:0.208) (0.272:0.272:0.272)) - (IOPATH A2 X (0.185:0.185:0.185) (0.239:0.242:0.244)) - (IOPATH B1 X (0.132:0.132:0.133) (0.184:0.184:0.185)) - (IOPATH B2 X (0.161:0.161:0.161) (0.227:0.227:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_2") - (INSTANCE _3872_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.000:0.000:0.000)) - (IOPATH B Y (0.000:0.000:0.000)) - (IOPATH C Y (0.345:0.345:0.345) (0.126:0.126:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _3873_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.156:0.156:0.156) (0.154:0.154:0.154)) - (IOPATH B X (0.157:0.157:0.157) (0.188:0.188:0.188)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_1") - (INSTANCE _3874_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.191:0.191:0.191) (0.250:0.250:0.250)) - (IOPATH A2 X (0.165:0.165:0.165) (0.231:0.231:0.231)) - (IOPATH B1 X (0.199:0.199:0.199) (0.176:0.176:0.176)) - (IOPATH C1 X (0.207:0.207:0.207) (0.156:0.157:0.157)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_4") - (INSTANCE _3875_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.232:0.232:0.232) (0.342:0.342:0.342)) - (IOPATH A1 X (0.298:0.298:0.298) (0.371:0.371:0.371)) - (IOPATH S X (0.325:0.325:0.325) (0.401:0.401:0.401)) - (IOPATH S X (0.291:0.291:0.291) (0.408:0.408:0.408)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _3876_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.251:0.251:0.251) (0.275:0.275:0.275)) - (IOPATH A2 X (0.215:0.215:0.215) (0.232:0.232:0.232)) - (IOPATH B1 X (0.241:0.241:0.241) (0.189:0.189:0.189)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _3877_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.306:0.306:0.306) (0.172:0.172:0.172)) - (IOPATH A2 Y (0.267:0.267:0.267) (0.131:0.131:0.131)) - (IOPATH B1 Y (0.204:0.204:0.204) (0.165:0.165:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _3878_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.114:0.114:0.114) (0.508:0.508:0.508)) - (IOPATH B X (0.119:0.119:0.119) (0.492:0.492:0.492)) - (IOPATH C X (0.117:0.117:0.117) (0.459:0.459:0.459)) - (IOPATH D X (0.111:0.111:0.111) (0.390:0.390:0.390)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _3879_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.138:0.138:0.138) (0.388:0.388:0.388)) - (IOPATH B X (0.135:0.135:0.135) (0.364:0.364:0.364)) - (IOPATH C X (0.106:0.106:0.107) (0.335:0.335:0.335)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4b_1") - (INSTANCE _3880_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.120:0.120:0.120) (0.520:0.520:0.520)) - (IOPATH B X (0.127:0.127:0.127) (0.504:0.504:0.504)) - (IOPATH C X (0.115:0.115:0.115) (0.468:0.468:0.468)) - (IOPATH D_N X (0.167:0.167:0.167) (0.447:0.447:0.447)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _3881_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.124:0.124:0.124) (0.519:0.519:0.519)) - (IOPATH B X (0.140:0.140:0.140) (0.510:0.510:0.510)) - (IOPATH C X (0.115:0.115:0.115) (0.467:0.467:0.467)) - (IOPATH D X (0.116:0.116:0.116) (0.399:0.399:0.399)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _3882_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.245:0.245:0.245) (0.205:0.205:0.205)) - (IOPATH B X (0.237:0.237:0.237) (0.230:0.230:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _3883_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.192:0.192:0.192) (0.287:0.287:0.287)) - (IOPATH B X (0.186:0.186:0.186) (0.267:0.267:0.267)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4bb_1") - (INSTANCE _3884_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.127:0.127:0.127) (0.527:0.527:0.527)) - (IOPATH B X (0.126:0.126:0.126) (0.503:0.503:0.503)) - (IOPATH C_N X (0.245:0.245:0.245) (0.551:0.551:0.551)) - (IOPATH D_N X (0.239:0.239:0.239) (0.459:0.459:0.459)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4bb_1") - (INSTANCE _3885_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.120:0.120:0.120) (0.518:0.518:0.518)) - (IOPATH B X (0.123:0.123:0.123) (0.495:0.495:0.495)) - (IOPATH C_N X (0.185:0.185:0.185) (0.500:0.500:0.500)) - (IOPATH D_N X (0.182:0.182:0.182) (0.428:0.428:0.428)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _3886_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.153:0.153:0.153) (0.544:0.545:0.545)) - (IOPATH B X (0.139:0.139:0.139) (0.526:0.526:0.527)) - (IOPATH C X (0.123:0.123:0.123) (0.500:0.500:0.500)) - (IOPATH D X (0.116:0.116:0.117) (0.429:0.429:0.429)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor4_1") - (INSTANCE _3887_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.406:0.406:0.406) (0.068:0.068:0.068)) - (IOPATH B Y (0.396:0.396:0.396) (0.061:0.061:0.061)) - (IOPATH C Y (0.364:0.364:0.364) (0.058:0.058:0.059)) - (IOPATH D Y (0.310:0.310:0.310) (0.060:0.060:0.060)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _3888_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.082:0.082:0.082) (0.071:0.071:0.071)) - (IOPATH B Y (0.115:0.115:0.115) (0.095:0.095:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3889_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.155:0.155:0.155) (0.224:0.224:0.224)) - (IOPATH A2 X (0.160:0.160:0.160) (0.239:0.240:0.241)) - (IOPATH B1 X (0.150:0.150:0.150) (0.203:0.203:0.203)) - (IOPATH B2 X (0.127:0.127:0.127) (0.200:0.200:0.200)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _3890_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.446:0.447:0.447) (0.202:0.203:0.203)) - (IOPATH B Y (0.416:0.416:0.416) (0.184:0.184:0.185)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _3891_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.245:0.245:0.245) (0.277:0.277:0.277)) - (IOPATH A2 X (0.253:0.253:0.253) (0.339:0.340:0.341)) - (IOPATH B1 X (0.261:0.261:0.261) (0.254:0.256:0.258)) - (IOPATH B2 X (0.197:0.197:0.197) (0.251:0.251:0.251)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _3892_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.143:0.143:0.143) (0.188:0.188:0.188)) - (IOPATH A2 X (0.136:0.136:0.136) (0.188:0.189:0.190)) - (IOPATH B1 X (0.084:0.084:0.084) (0.154:0.154:0.154)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _3893_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.152:0.152:0.152) (0.194:0.194:0.194)) - (IOPATH A2 X (0.144:0.144:0.144) (0.195:0.196:0.197)) - (IOPATH B1 X (0.088:0.088:0.088) (0.159:0.159:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _3894_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.138:0.138:0.138) (0.184:0.184:0.184)) - (IOPATH A2 X (0.135:0.135:0.135) (0.188:0.188:0.189)) - (IOPATH B1 X (0.079:0.079:0.079) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4b_1") - (INSTANCE _3895_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.210:0.210:0.210) (0.615:0.615:0.615)) - (IOPATH B X (0.204:0.204:0.204) (0.594:0.594:0.594)) - (IOPATH C X (0.197:0.197:0.197) (0.557:0.557:0.557)) - (IOPATH D_N X (0.254:0.254:0.254) (0.535:0.535:0.535)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _3896_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.164:0.164:0.164) (0.272:0.272:0.272)) - (IOPATH B X (0.160:0.160:0.160) (0.278:0.278:0.278)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _3897_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.183:0.183:0.183) (0.096:0.096:0.096)) - (IOPATH A2 Y (0.203:0.203:0.203) (0.117:0.117:0.117)) - (IOPATH B1 Y (0.125:0.125:0.125) (0.101:0.101:0.101)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _3898_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.196:0.196:0.196) (0.107:0.107:0.107)) - (IOPATH B Y (0.185:0.185:0.185) (0.102:0.102:0.102)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4b_1") - (INSTANCE _3899_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.356:0.357:0.358) (0.263:0.263:0.264)) - (IOPATH B X (0.304:0.304:0.304) (0.236:0.237:0.237)) - (IOPATH C X (0.309:0.309:0.309) (0.267:0.267:0.267)) - (IOPATH D X (0.311:0.311:0.311) (0.279:0.279:0.279)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _3900_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.287:0.287:0.287) (0.157:0.157:0.157)) - (IOPATH B Y (0.259:0.260:0.261) (0.122:0.122:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _3901_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.124:0.124:0.124) (0.249:0.249:0.249)) - (IOPATH B X (0.168:0.168:0.168) (0.238:0.242:0.247)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_4") - (INSTANCE _3902_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.300:0.300:0.300) (0.257:0.257:0.257)) - (IOPATH B Y (0.204:0.204:0.204) (0.154:0.154:0.154)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_4") - (INSTANCE _3903_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.383:0.383:0.383) (0.285:0.285:0.285)) - (IOPATH B X (0.293:0.293:0.293) (0.249:0.249:0.249)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_4") - (INSTANCE _3904_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.367:0.367:0.367) (0.269:0.269:0.269)) - (IOPATH B X (0.262:0.262:0.262) (0.233:0.233:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE _3905_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.140:0.140:0.140) (0.127:0.127:0.127)) - (IOPATH B Y (0.148:0.148:0.149) (0.122:0.122:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _3906_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.238:0.239:0.240) (0.336:0.336:0.336)) - (IOPATH B X (0.222:0.222:0.223) (0.302:0.304:0.306)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _3907_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.271:0.271:0.271) (0.147:0.147:0.147)) - (IOPATH B Y (0.248:0.249:0.250) (0.105:0.105:0.106)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _3908_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.085:0.085:0.085) (0.087:0.087:0.087)) - (IOPATH B Y (0.086:0.087:0.087) (0.080:0.080:0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_1") - (INSTANCE _3909_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.215:0.215:0.215) (0.250:0.250:0.250)) - (IOPATH A2 X (0.203:0.203:0.203) (0.269:0.270:0.271)) - (IOPATH A3 X (0.205:0.205:0.205) (0.295:0.295:0.295)) - (IOPATH B1 X (0.173:0.173:0.173) (0.242:0.242:0.242)) - (IOPATH B2 X (0.187:0.187:0.187) (0.258:0.258:0.258)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a2bb2o_1") - (INSTANCE _3910_) - (DELAY - (ABSOLUTE - (IOPATH A1_N X (0.298:0.298:0.298) (0.325:0.325:0.325)) - (IOPATH A2_N X (0.258:0.261:0.265) (0.294:0.294:0.294)) - (IOPATH B1 X (0.175:0.175:0.175) (0.316:0.316:0.316)) - (IOPATH B2 X (0.167:0.167:0.168) (0.295:0.295:0.295)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _3911_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.141:0.141:0.141) (0.081:0.081:0.081)) - (IOPATH A2 Y (0.158:0.158:0.158) (0.088:0.088:0.088)) - (IOPATH B1 Y (0.101:0.101:0.101) (0.094:0.094:0.094)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a41o_1") - (INSTANCE _3912_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.161:0.161:0.161) (0.185:0.185:0.185)) - (IOPATH A2 X (0.152:0.152:0.152) (0.198:0.198:0.198)) - (IOPATH A3 X (0.202:0.202:0.202) (0.255:0.255:0.255)) - (IOPATH A4 X (0.197:0.197:0.197) (0.257:0.258:0.259)) - (IOPATH B1 X (0.129:0.129:0.129) (0.235:0.235:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_1") - (INSTANCE _3913_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.158:0.158:0.158) (0.198:0.198:0.198)) - (IOPATH A2 X (0.188:0.188:0.188) (0.238:0.238:0.238)) - (IOPATH A3 X (0.178:0.178:0.178) (0.243:0.244:0.244)) - (IOPATH B1 X (0.120:0.120:0.120) (0.203:0.203:0.203)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o32ai_1") - (INSTANCE _3914_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.300:0.300:0.300) (0.120:0.120:0.120)) - (IOPATH A2 Y (0.286:0.286:0.286) (0.106:0.106:0.106)) - (IOPATH A3 Y (0.246:0.248:0.251) (0.091:0.091:0.092)) - (IOPATH B1 Y (0.149:0.149:0.150) (0.128:0.128:0.128)) - (IOPATH B2 Y (0.190:0.190:0.190) (0.105:0.105:0.105)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a2bb2o_1") - (INSTANCE _3915_) - (DELAY - (ABSOLUTE - (IOPATH A1_N X (0.318:0.318:0.318) (0.345:0.345:0.345)) - (IOPATH A2_N X (0.325:0.327:0.329) (0.363:0.363:0.363)) - (IOPATH B1 X (0.223:0.223:0.223) (0.343:0.344:0.344)) - (IOPATH B2 X (0.229:0.229:0.229) (0.325:0.325:0.325)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _3916_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.206:0.206:0.206) (0.115:0.115:0.115)) - (IOPATH A2 Y (0.195:0.195:0.195) (0.105:0.105:0.105)) - (IOPATH B1 Y (0.136:0.136:0.136) (0.120:0.120:0.120)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _3917_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.386:0.387:0.388) (0.332:0.334:0.336)) - (IOPATH B X (0.362:0.368:0.374) (0.315:0.319:0.323)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_4") - (INSTANCE _3918_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.261:0.261:0.261) (0.364:0.364:0.364)) - (IOPATH A1 X (0.321:0.321:0.321) (0.382:0.382:0.382)) - (IOPATH S X (0.339:0.339:0.339) (0.418:0.418:0.418)) - (IOPATH S X (0.311:0.311:0.311) (0.417:0.417:0.417)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _3919_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.333:0.333:0.333) (0.391:0.391:0.391)) - (IOPATH A1 X (0.394:0.394:0.394) (0.425:0.425:0.425)) - (IOPATH S X (0.436:0.436:0.436) (0.499:0.499:0.499)) - (IOPATH S X (0.385:0.385:0.385) (0.429:0.429:0.429)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _3920_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.308:0.308:0.308) (0.377:0.377:0.377)) - (IOPATH A1 X (0.345:0.345:0.345) (0.415:0.415:0.415)) - (IOPATH S X (0.400:0.400:0.400) (0.480:0.480:0.480)) - (IOPATH S X (0.349:0.349:0.349) (0.410:0.410:0.410)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _3921_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.272:0.272:0.272) (0.364:0.364:0.364)) - (IOPATH A1 X (0.329:0.329:0.329) (0.406:0.406:0.406)) - (IOPATH S X (0.389:0.389:0.389) (0.473:0.473:0.473)) - (IOPATH S X (0.337:0.337:0.337) (0.404:0.404:0.404)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_8") - (INSTANCE _3922_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.354:0.354:0.354) (0.480:0.480:0.480)) - (IOPATH A1 X (0.371:0.371:0.371) (0.438:0.438:0.438)) - (IOPATH S X (0.427:0.427:0.427) (0.496:0.496:0.496)) - (IOPATH S X (0.346:0.346:0.346) (0.460:0.460:0.460)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_8") - (INSTANCE _3923_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.326:0.326:0.326) (0.421:0.421:0.421)) - (IOPATH A1 X (0.365:0.365:0.365) (0.434:0.434:0.434)) - (IOPATH S X (0.425:0.425:0.425) (0.495:0.495:0.495)) - (IOPATH S X (0.344:0.344:0.344) (0.458:0.458:0.458)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_8") - (INSTANCE _3924_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.303:0.303:0.303) (0.404:0.404:0.404)) - (IOPATH A1 X (0.327:0.327:0.327) (0.407:0.407:0.407)) - (IOPATH S X (0.397:0.397:0.397) (0.475:0.475:0.475)) - (IOPATH S X (0.316:0.316:0.316) (0.438:0.438:0.438)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_8") - (INSTANCE _3925_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.305:0.305:0.305) (0.405:0.405:0.405)) - (IOPATH A1 X (0.335:0.335:0.335) (0.413:0.413:0.413)) - (IOPATH S X (0.405:0.405:0.405) (0.480:0.480:0.480)) - (IOPATH S X (0.324:0.324:0.324) (0.443:0.443:0.443)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3926_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.179:0.179:0.179) (0.329:0.329:0.329)) - (IOPATH A1 X (0.237:0.237:0.237) (0.376:0.376:0.376)) - (IOPATH S X (0.297:0.297:0.297) (0.401:0.401:0.401)) - (IOPATH S X (0.237:0.237:0.237) (0.397:0.397:0.397)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3927_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.171:0.171:0.171) (0.313:0.313:0.313)) - (IOPATH A1 X (0.123:0.123:0.123) (0.288:0.288:0.288)) - (IOPATH S X (0.248:0.248:0.248) (0.354:0.354:0.354)) - (IOPATH S X (0.186:0.186:0.186) (0.352:0.352:0.352)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3928_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.229:0.229:0.229) (0.365:0.365:0.365)) - (IOPATH A1 X (0.230:0.230:0.230) (0.360:0.360:0.360)) - (IOPATH S X (0.298:0.298:0.298) (0.402:0.402:0.402)) - (IOPATH S X (0.237:0.237:0.237) (0.398:0.398:0.398)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_4") - (INSTANCE _3929_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.346:0.346:0.346) (0.410:0.410:0.410)) - (IOPATH A1 X (0.324:0.324:0.324) (0.380:0.380:0.380)) - (IOPATH S X (0.376:0.376:0.376) (0.436:0.436:0.436)) - (IOPATH S X (0.343:0.343:0.343) (0.428:0.428:0.428)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3930_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.125:0.125:0.125) (0.278:0.278:0.278)) - (IOPATH A1 X (0.144:0.144:0.144) (0.298:0.298:0.298)) - (IOPATH S X (0.229:0.229:0.229) (0.350:0.350:0.350)) - (IOPATH S X (0.184:0.184:0.184) (0.334:0.334:0.334)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3931_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.203:0.203:0.204) (0.357:0.357:0.357)) - (IOPATH A1 X (0.275:0.275:0.275) (0.422:0.422:0.422)) - (IOPATH S X (0.333:0.333:0.333) (0.432:0.432:0.432)) - (IOPATH S X (0.272:0.272:0.272) (0.427:0.427:0.427)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_4") - (INSTANCE _3932_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.303:0.304:0.304) (0.394:0.394:0.394)) - (IOPATH A1 X (0.320:0.320:0.320) (0.378:0.378:0.378)) - (IOPATH S X (0.352:0.352:0.352) (0.415:0.415:0.415)) - (IOPATH S X (0.305:0.305:0.305) (0.421:0.421:0.421)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_4") - (INSTANCE _3933_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.286:0.286:0.286) (0.378:0.378:0.378)) - (IOPATH A1 X (0.303:0.303:0.303) (0.369:0.369:0.369)) - (IOPATH S X (0.356:0.356:0.356) (0.419:0.419:0.419)) - (IOPATH S X (0.312:0.312:0.312) (0.424:0.424:0.424)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_8") - (INSTANCE _3934_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.267:0.267:0.267) (0.387:0.387:0.387)) - (IOPATH A1 X (0.280:0.280:0.280) (0.385:0.385:0.385)) - (IOPATH S X (0.393:0.393:0.393) (0.478:0.478:0.478)) - (IOPATH S X (0.314:0.314:0.314) (0.442:0.442:0.442)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_4") - (INSTANCE _3935_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.280:0.280:0.280) (0.378:0.378:0.378)) - (IOPATH A1 X (0.325:0.325:0.325) (0.381:0.381:0.381)) - (IOPATH S X (0.362:0.362:0.362) (0.423:0.423:0.423)) - (IOPATH S X (0.318:0.318:0.318) (0.427:0.427:0.427)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3936_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.150:0.150:0.150) (0.296:0.296:0.296)) - (IOPATH A1 X (0.144:0.144:0.144) (0.313:0.313:0.313)) - (IOPATH S X (0.249:0.249:0.249) (0.355:0.355:0.355)) - (IOPATH S X (0.188:0.188:0.188) (0.352:0.352:0.352)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3937_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.236:0.236:0.236) (0.372:0.372:0.372)) - (IOPATH A1 X (0.255:0.255:0.255) (0.396:0.396:0.396)) - (IOPATH S X (0.337:0.337:0.337) (0.434:0.434:0.434)) - (IOPATH S X (0.274:0.274:0.274) (0.431:0.431:0.431)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _3938_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.293:0.293:0.293) (0.371:0.371:0.371)) - (IOPATH A1 X (0.339:0.339:0.339) (0.400:0.400:0.400)) - (IOPATH S X (0.373:0.373:0.373) (0.455:0.455:0.455)) - (IOPATH S X (0.309:0.309:0.309) (0.396:0.396:0.396)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _3939_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.247:0.247:0.247) (0.341:0.341:0.341)) - (IOPATH A1 X (0.312:0.312:0.312) (0.385:0.385:0.385)) - (IOPATH S X (0.373:0.373:0.373) (0.457:0.457:0.457)) - (IOPATH S X (0.313:0.313:0.313) (0.396:0.396:0.396)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _3940_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.255:0.255:0.255) (0.344:0.344:0.344)) - (IOPATH A1 X (0.278:0.278:0.278) (0.365:0.365:0.365)) - (IOPATH S X (0.359:0.359:0.359) (0.447:0.447:0.447)) - (IOPATH S X (0.299:0.299:0.299) (0.386:0.386:0.386)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _3941_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.133:0.133:0.133) (0.069:0.069:0.069)) - (IOPATH B Y (0.084:0.084:0.084) (0.030:0.030:0.030)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _3942_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.339:0.339:0.339) (0.357:0.357:0.357)) - (IOPATH A2 X (0.289:0.289:0.289) (0.353:0.353:0.353)) - (IOPATH B1 X (0.326:0.326:0.326) (0.341:0.341:0.341)) - (IOPATH B2 X (0.298:0.298:0.298) (0.323:0.328:0.333)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _3943_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.312:0.312:0.312) (0.376:0.376:0.376)) - (IOPATH A1 X (0.297:0.297:0.297) (0.364:0.364:0.364)) - (IOPATH S X (0.335:0.335:0.335) (0.424:0.424:0.424)) - (IOPATH S X (0.263:0.263:0.263) (0.365:0.365:0.365)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _3944_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.210:0.210:0.210) (0.096:0.096:0.096)) - (IOPATH B Y (0.186:0.186:0.186) (0.098:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3945_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.264:0.264:0.264) (0.385:0.385:0.385)) - (IOPATH A1 X (0.208:0.208:0.208) (0.365:0.365:0.365)) - (IOPATH S X (0.307:0.307:0.307) (0.412:0.412:0.412)) - (IOPATH S X (0.239:0.239:0.239) (0.405:0.405:0.405)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _3946_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.216:0.216:0.216) (0.099:0.099:0.099)) - (IOPATH B Y (0.191:0.191:0.191) (0.100:0.100:0.100)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _3947_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.237:0.237:0.237) (0.249:0.249:0.249)) - (IOPATH B Y (0.239:0.239:0.239) (0.198:0.198:0.198)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3948_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.106:0.109:0.111) (0.072:0.072:0.072)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _3949_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.225:0.225:0.225) (0.319:0.319:0.319)) - (IOPATH B X (0.251:0.251:0.251) (0.339:0.339:0.339)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3950_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.096:0.096:0.096) (0.069:0.069:0.069)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _3951_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.290:0.290:0.290) (0.360:0.360:0.360)) - (IOPATH A1 X (0.293:0.293:0.293) (0.389:0.389:0.389)) - (IOPATH S X (0.316:0.316:0.316) (0.410:0.410:0.410)) - (IOPATH S X (0.244:0.244:0.244) (0.351:0.351:0.351)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_4") - (INSTANCE _3952_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.412:0.412:0.412) (0.276:0.276:0.276)) - (IOPATH B X (0.294:0.294:0.294) (0.228:0.228:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_4") - (INSTANCE _3953_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.432:0.432:0.432) (0.286:0.286:0.286)) - (IOPATH B X (0.347:0.347:0.347) (0.267:0.267:0.267)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _3954_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.162:0.162:0.162) (0.176:0.176:0.176)) - (IOPATH B X (0.158:0.166:0.174) (0.178:0.185:0.192)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _3955_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.145:0.145:0.145) (0.162:0.162:0.162)) - (IOPATH B X (0.159:0.167:0.175) (0.179:0.186:0.192)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _3956_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.168:0.168:0.168) (0.179:0.179:0.179)) - (IOPATH B X (0.164:0.172:0.180) (0.182:0.189:0.196)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _3957_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.236:0.236:0.236) (0.213:0.213:0.213)) - (IOPATH B X (0.192:0.192:0.192) (0.189:0.189:0.189)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _3958_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.245:0.245:0.245) (0.237:0.237:0.237)) - (IOPATH B X (0.216:0.216:0.216) (0.218:0.218:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _3959_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.226:0.226:0.226) (0.231:0.231:0.231)) - (IOPATH B X (0.195:0.195:0.195) (0.209:0.209:0.209)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _3960_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.305:0.305:0.305) (0.271:0.271:0.271)) - (IOPATH B X (0.351:0.351:0.351) (0.326:0.326:0.326)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _3961_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.282:0.282:0.282) (0.260:0.260:0.260)) - (IOPATH B X (0.323:0.323:0.323) (0.331:0.331:0.331)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _3962_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.159:0.159:0.159) (0.174:0.174:0.174)) - (IOPATH B X (0.161:0.169:0.177) (0.180:0.187:0.194)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _3963_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.093:0.093:0.093) (0.136:0.136:0.136)) - (IOPATH B Y (0.083:0.083:0.083) (0.076:0.076:0.076)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_4") - (INSTANCE _3964_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.180:0.180:0.180) (0.258:0.258:0.258)) - (IOPATH A2 X (0.149:0.149:0.149) (0.223:0.223:0.223)) - (IOPATH B1 X (0.145:0.145:0.145) (0.139:0.138:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_4") - (INSTANCE _3965_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.194:0.194:0.194) (0.098:0.098:0.098)) - (IOPATH A2 Y (0.135:0.135:0.135) (0.067:0.067:0.067)) - (IOPATH B1 Y (0.083:0.083:0.083) (0.078:0.078:0.078)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _3966_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.356:0.356:0.356) (0.271:0.273:0.275)) - (IOPATH B X (0.372:0.372:0.372) (0.368:0.368:0.368)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_8") - (INSTANCE _3967_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.250:0.250:0.250) (0.382:0.382:0.382)) - (IOPATH A1 X (0.189:0.189:0.189) (0.328:0.328:0.328)) - (IOPATH S X (0.307:0.307:0.307) (0.420:0.420:0.420)) - (IOPATH S X (0.236:0.236:0.236) (0.381:0.381:0.381)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3968_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.175:0.175:0.175) (0.330:0.330:0.330)) - (IOPATH A1 X (0.200:0.200:0.200) (0.353:0.353:0.353)) - (IOPATH S X (0.262:0.262:0.262) (0.387:0.387:0.387)) - (IOPATH S X (0.212:0.212:0.212) (0.370:0.370:0.370)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_8") - (INSTANCE _3969_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.162:0.162:0.162) (0.305:0.305:0.305)) - (IOPATH A1 X (0.163:0.163:0.163) (0.303:0.303:0.303)) - (IOPATH S X (0.260:0.260:0.260) (0.385:0.385:0.385)) - (IOPATH S X (0.197:0.197:0.197) (0.335:0.335:0.335)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3970_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.186:0.186:0.186) (0.337:0.337:0.337)) - (IOPATH A1 X (0.213:0.213:0.213) (0.351:0.351:0.351)) - (IOPATH S X (0.263:0.264:0.264) (0.388:0.388:0.388)) - (IOPATH S X (0.214:0.214:0.214) (0.371:0.371:0.372)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_4") - (INSTANCE _3971_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.141:0.141:0.141) (0.269:0.269:0.269)) - (IOPATH A1 X (0.141:0.141:0.141) (0.259:0.259:0.259)) - (IOPATH S X (0.209:0.209:0.209) (0.317:0.317:0.317)) - (IOPATH S X (0.183:0.183:0.183) (0.306:0.306:0.306)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3972_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.145:0.145:0.145) (0.303:0.303:0.303)) - (IOPATH A1 X (0.205:0.205:0.205) (0.342:0.342:0.342)) - (IOPATH S X (0.240:0.240:0.241) (0.364:0.364:0.364)) - (IOPATH S X (0.190:0.191:0.191) (0.348:0.348:0.348)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_4") - (INSTANCE _3973_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.143:0.143:0.143) (0.272:0.272:0.272)) - (IOPATH A1 X (0.145:0.145:0.145) (0.263:0.263:0.263)) - (IOPATH S X (0.242:0.242:0.242) (0.334:0.334:0.334)) - (IOPATH S X (0.215:0.215:0.215) (0.338:0.338:0.338)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3974_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.157:0.157:0.157) (0.312:0.312:0.312)) - (IOPATH A1 X (0.208:0.208:0.208) (0.345:0.345:0.345)) - (IOPATH S X (0.244:0.245:0.245) (0.368:0.369:0.369)) - (IOPATH S X (0.194:0.195:0.195) (0.352:0.352:0.352)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_4") - (INSTANCE _3975_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.155:0.155:0.155) (0.283:0.283:0.283)) - (IOPATH A1 X (0.159:0.159:0.159) (0.275:0.275:0.275)) - (IOPATH S X (0.251:0.251:0.251) (0.344:0.344:0.344)) - (IOPATH S X (0.225:0.225:0.225) (0.348:0.348:0.348)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3976_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.147:0.147:0.147) (0.304:0.304:0.304)) - (IOPATH A1 X (0.203:0.203:0.203) (0.339:0.339:0.339)) - (IOPATH S X (0.241:0.242:0.242) (0.366:0.366:0.366)) - (IOPATH S X (0.192:0.192:0.192) (0.349:0.349:0.350)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3977_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.165:0.165:0.165) (0.325:0.325:0.325)) - (IOPATH A1 X (0.177:0.177:0.177) (0.343:0.343:0.343)) - (IOPATH S X (0.246:0.246:0.246) (0.364:0.364:0.364)) - (IOPATH S X (0.177:0.177:0.177) (0.359:0.359:0.359)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3978_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.178:0.178:0.178) (0.331:0.331:0.331)) - (IOPATH A1 X (0.227:0.227:0.227) (0.401:0.401:0.401)) - (IOPATH S X (0.261:0.262:0.262) (0.386:0.386:0.386)) - (IOPATH S X (0.212:0.212:0.212) (0.369:0.370:0.370)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _3979_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.158:0.158:0.158) (0.275:0.275:0.275)) - (IOPATH A1 X (0.161:0.161:0.161) (0.280:0.280:0.280)) - (IOPATH S X (0.237:0.237:0.237) (0.344:0.344:0.344)) - (IOPATH S X (0.164:0.164:0.164) (0.286:0.286:0.286)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3980_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.216:0.216:0.216) (0.360:0.360:0.360)) - (IOPATH A1 X (0.244:0.244:0.244) (0.377:0.377:0.377)) - (IOPATH S X (0.286:0.287:0.287) (0.407:0.407:0.407)) - (IOPATH S X (0.237:0.237:0.237) (0.390:0.390:0.390)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3981_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.147:0.147:0.147) (0.307:0.307:0.307)) - (IOPATH A1 X (0.155:0.155:0.155) (0.321:0.321:0.321)) - (IOPATH S X (0.269:0.269:0.269) (0.380:0.380:0.380)) - (IOPATH S X (0.213:0.213:0.213) (0.374:0.374:0.374)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3982_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.204:0.204:0.204) (0.351:0.351:0.351)) - (IOPATH A1 X (0.244:0.244:0.244) (0.382:0.382:0.382)) - (IOPATH S X (0.279:0.279:0.280) (0.401:0.401:0.401)) - (IOPATH S X (0.230:0.230:0.230) (0.384:0.384:0.385)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _3983_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.413:0.413:0.414) (0.303:0.303:0.304)) - (IOPATH B X (0.329:0.329:0.329) (0.303:0.303:0.303)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3984_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.180:0.180:0.180) (0.331:0.331:0.331)) - (IOPATH A1 X (0.198:0.198:0.198) (0.351:0.351:0.351)) - (IOPATH S X (0.262:0.262:0.262) (0.386:0.386:0.386)) - (IOPATH S X (0.212:0.212:0.213) (0.369:0.369:0.370)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3985_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.205:0.205:0.205) (0.352:0.352:0.352)) - (IOPATH A1 X (0.232:0.232:0.232) (0.366:0.366:0.366)) - (IOPATH S X (0.283:0.283:0.284) (0.404:0.404:0.404)) - (IOPATH S X (0.233:0.234:0.234) (0.387:0.387:0.388)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3986_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.272:0.272:0.272) (0.402:0.402:0.402)) - (IOPATH A1 X (0.318:0.318:0.318) (0.434:0.434:0.434)) - (IOPATH S X (0.353:0.354:0.354) (0.458:0.458:0.458)) - (IOPATH S X (0.303:0.304:0.304) (0.440:0.441:0.441)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3987_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.191:0.191:0.191) (0.344:0.344:0.344)) - (IOPATH A1 X (0.248:0.248:0.248) (0.381:0.381:0.381)) - (IOPATH S X (0.285:0.285:0.286) (0.406:0.406:0.406)) - (IOPATH S X (0.236:0.236:0.236) (0.389:0.389:0.389)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3988_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.172:0.172:0.172) (0.329:0.329:0.329)) - (IOPATH A1 X (0.227:0.227:0.227) (0.362:0.362:0.362)) - (IOPATH S X (0.265:0.266:0.266) (0.390:0.390:0.390)) - (IOPATH S X (0.216:0.216:0.216) (0.373:0.373:0.374)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3989_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.132:0.132:0.132) (0.292:0.292:0.292)) - (IOPATH A1 X (0.195:0.195:0.195) (0.370:0.370:0.370)) - (IOPATH S X (0.232:0.233:0.233) (0.356:0.356:0.356)) - (IOPATH S X (0.183:0.183:0.183) (0.340:0.340:0.340)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3990_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.148:0.148:0.148) (0.303:0.303:0.303)) - (IOPATH A1 X (0.208:0.208:0.208) (0.376:0.376:0.376)) - (IOPATH S X (0.237:0.238:0.238) (0.361:0.361:0.361)) - (IOPATH S X (0.188:0.188:0.188) (0.345:0.345:0.345)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3991_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.129:0.129:0.129) (0.289:0.289:0.289)) - (IOPATH A1 X (0.192:0.192:0.192) (0.335:0.335:0.335)) - (IOPATH S X (0.231:0.231:0.232) (0.354:0.354:0.355)) - (IOPATH S X (0.181:0.181:0.182) (0.338:0.338:0.338)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _3992_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.389:0.389:0.389) (0.291:0.297:0.304)) - (IOPATH B X (0.336:0.336:0.336) (0.309:0.309:0.309)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3993_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.324:0.324:0.324) (0.443:0.443:0.443)) - (IOPATH A1 X (0.301:0.301:0.301) (0.420:0.420:0.420)) - (IOPATH S X (0.363:0.363:0.364) (0.465:0.465:0.466)) - (IOPATH S X (0.314:0.314:0.314) (0.448:0.448:0.449)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3994_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.174:0.174:0.174) (0.326:0.326:0.326)) - (IOPATH A1 X (0.205:0.205:0.205) (0.342:0.342:0.342)) - (IOPATH S X (0.258:0.259:0.259) (0.382:0.382:0.383)) - (IOPATH S X (0.210:0.210:0.210) (0.366:0.366:0.366)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3995_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.206:0.206:0.206) (0.352:0.352:0.352)) - (IOPATH A1 X (0.246:0.246:0.246) (0.378:0.378:0.378)) - (IOPATH S X (0.283:0.283:0.283) (0.404:0.404:0.404)) - (IOPATH S X (0.234:0.234:0.234) (0.387:0.387:0.388)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3996_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.295:0.295:0.295) (0.419:0.419:0.419)) - (IOPATH A1 X (0.319:0.319:0.319) (0.435:0.435:0.435)) - (IOPATH S X (0.357:0.357:0.358) (0.461:0.461:0.461)) - (IOPATH S X (0.308:0.308:0.308) (0.443:0.444:0.444)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3997_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.262:0.262:0.262) (0.394:0.394:0.394)) - (IOPATH A1 X (0.299:0.299:0.299) (0.419:0.419:0.419)) - (IOPATH S X (0.338:0.339:0.339) (0.446:0.446:0.446)) - (IOPATH S X (0.289:0.289:0.289) (0.429:0.429:0.430)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3998_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.200:0.200:0.200) (0.348:0.348:0.348)) - (IOPATH A1 X (0.243:0.243:0.243) (0.416:0.416:0.416)) - (IOPATH S X (0.280:0.281:0.281) (0.402:0.402:0.402)) - (IOPATH S X (0.232:0.232:0.232) (0.385:0.386:0.386)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _3999_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.176:0.176:0.176) (0.330:0.330:0.330)) - (IOPATH A1 X (0.236:0.236:0.236) (0.405:0.405:0.405)) - (IOPATH S X (0.265:0.265:0.265) (0.389:0.389:0.389)) - (IOPATH S X (0.216:0.216:0.216) (0.372:0.372:0.373)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4000_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.236:0.236:0.236) (0.375:0.375:0.375)) - (IOPATH A1 X (0.274:0.274:0.274) (0.405:0.405:0.405)) - (IOPATH S X (0.311:0.312:0.312) (0.426:0.426:0.426)) - (IOPATH S X (0.262:0.262:0.262) (0.409:0.409:0.409)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _4001_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.261:0.267:0.273) (0.290:0.291:0.291)) - (IOPATH B Y (0.327:0.327:0.327) (0.272:0.272:0.272)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4002_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.192:0.192:0.192) (0.326:0.326:0.326)) - (IOPATH A1 X (0.136:0.136:0.136) (0.303:0.303:0.303)) - (IOPATH S X (0.296:0.298:0.301) (0.365:0.365:0.365)) - (IOPATH S X (0.197:0.198:0.198) (0.396:0.398:0.400)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4003_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.175:0.175:0.175) (0.305:0.305:0.305)) - (IOPATH A1 X (0.124:0.124:0.124) (0.292:0.292:0.292)) - (IOPATH S X (0.287:0.290:0.292) (0.355:0.355:0.355)) - (IOPATH S X (0.188:0.189:0.190) (0.386:0.388:0.390)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4004_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.153:0.153:0.153) (0.297:0.297:0.297)) - (IOPATH A1 X (0.122:0.122:0.122) (0.288:0.288:0.288)) - (IOPATH S X (0.285:0.287:0.290) (0.351:0.351:0.351)) - (IOPATH S X (0.185:0.186:0.186) (0.383:0.385:0.387)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4005_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.170:0.170:0.170) (0.300:0.300:0.300)) - (IOPATH A1 X (0.127:0.127:0.127) (0.294:0.294:0.294)) - (IOPATH S X (0.290:0.292:0.295) (0.357:0.357:0.358)) - (IOPATH S X (0.190:0.191:0.192) (0.389:0.391:0.393)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4006_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.177:0.177:0.177) (0.304:0.304:0.304)) - (IOPATH A1 X (0.122:0.122:0.122) (0.290:0.290:0.290)) - (IOPATH S X (0.288:0.291:0.294) (0.355:0.355:0.356)) - (IOPATH S X (0.189:0.189:0.190) (0.387:0.389:0.392)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4007_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.176:0.176:0.176) (0.303:0.303:0.303)) - (IOPATH A1 X (0.124:0.124:0.124) (0.289:0.289:0.289)) - (IOPATH S X (0.285:0.287:0.290) (0.351:0.351:0.352)) - (IOPATH S X (0.185:0.186:0.187) (0.383:0.385:0.387)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4008_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.181:0.181:0.181) (0.312:0.312:0.312)) - (IOPATH A1 X (0.125:0.125:0.125) (0.295:0.295:0.295)) - (IOPATH S X (0.292:0.294:0.297) (0.360:0.361:0.361)) - (IOPATH S X (0.193:0.193:0.194) (0.391:0.394:0.396)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4009_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.182:0.182:0.182) (0.316:0.316:0.316)) - (IOPATH A1 X (0.119:0.119:0.119) (0.288:0.288:0.288)) - (IOPATH S X (0.286:0.289:0.292) (0.354:0.354:0.354)) - (IOPATH S X (0.187:0.188:0.189) (0.385:0.387:0.389)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _4010_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.388:0.389:0.389) (0.282:0.292:0.302)) - (IOPATH B X (0.334:0.334:0.334) (0.312:0.312:0.312)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4011_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.124:0.124:0.124) (0.286:0.286:0.286)) - (IOPATH A1 X (0.166:0.166:0.166) (0.319:0.319:0.319)) - (IOPATH S X (0.227:0.227:0.227) (0.351:0.351:0.351)) - (IOPATH S X (0.175:0.176:0.176) (0.335:0.335:0.335)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4012_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.124:0.124:0.124) (0.285:0.285:0.285)) - (IOPATH A1 X (0.188:0.188:0.188) (0.320:0.320:0.320)) - (IOPATH S X (0.225:0.225:0.226) (0.348:0.349:0.349)) - (IOPATH S X (0.174:0.174:0.174) (0.332:0.333:0.333)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4013_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.138:0.138:0.138) (0.297:0.297:0.297)) - (IOPATH A1 X (0.201:0.201:0.201) (0.337:0.337:0.337)) - (IOPATH S X (0.234:0.234:0.235) (0.358:0.359:0.359)) - (IOPATH S X (0.183:0.183:0.183) (0.342:0.343:0.343)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4014_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.160:0.160:0.160) (0.315:0.315:0.315)) - (IOPATH A1 X (0.188:0.188:0.188) (0.343:0.343:0.343)) - (IOPATH S X (0.244:0.245:0.245) (0.369:0.369:0.369)) - (IOPATH S X (0.193:0.193:0.194) (0.353:0.353:0.353)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4015_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.123:0.123:0.123) (0.283:0.283:0.283)) - (IOPATH A1 X (0.173:0.173:0.173) (0.328:0.328:0.328)) - (IOPATH S X (0.223:0.224:0.224) (0.347:0.347:0.347)) - (IOPATH S X (0.172:0.172:0.172) (0.331:0.331:0.331)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _4016_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.180:0.182:0.184) (0.225:0.225:0.225)) - (IOPATH B Y (0.202:0.202:0.202) (0.196:0.196:0.196)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4017_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.174:0.174:0.174) (0.323:0.323:0.323)) - (IOPATH A1 X (0.136:0.136:0.136) (0.303:0.303:0.303)) - (IOPATH S X (0.249:0.257:0.265) (0.349:0.349:0.350)) - (IOPATH S X (0.170:0.170:0.171) (0.355:0.362:0.369)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4018_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.182:0.182:0.182) (0.307:0.307:0.307)) - (IOPATH A1 X (0.126:0.126:0.126) (0.293:0.293:0.293)) - (IOPATH S X (0.241:0.250:0.258) (0.340:0.340:0.340)) - (IOPATH S X (0.162:0.163:0.163) (0.346:0.353:0.360)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4019_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.178:0.178:0.178) (0.306:0.306:0.306)) - (IOPATH A1 X (0.121:0.121:0.121) (0.288:0.288:0.288)) - (IOPATH S X (0.238:0.246:0.254) (0.335:0.336:0.336)) - (IOPATH S X (0.158:0.159:0.160) (0.341:0.348:0.356)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4020_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.183:0.183:0.183) (0.309:0.309:0.309)) - (IOPATH A1 X (0.129:0.129:0.129) (0.294:0.294:0.294)) - (IOPATH S X (0.241:0.249:0.257) (0.339:0.339:0.339)) - (IOPATH S X (0.161:0.162:0.162) (0.345:0.352:0.359)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4021_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.175:0.175:0.175) (0.301:0.301:0.301)) - (IOPATH A1 X (0.119:0.119:0.119) (0.285:0.285:0.285)) - (IOPATH S X (0.235:0.244:0.252) (0.332:0.333:0.333)) - (IOPATH S X (0.156:0.156:0.157) (0.338:0.345:0.353)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _4022_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.404:0.405:0.405) (0.295:0.305:0.314)) - (IOPATH B X (0.374:0.374:0.374) (0.329:0.329:0.329)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4023_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.116:0.116:0.116) (0.276:0.276:0.276)) - (IOPATH A1 X (0.160:0.160:0.160) (0.299:0.299:0.299)) - (IOPATH S X (0.230:0.231:0.231) (0.349:0.349:0.349)) - (IOPATH S X (0.181:0.181:0.182) (0.334:0.335:0.335)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4024_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.124:0.124:0.124) (0.283:0.283:0.283)) - (IOPATH A1 X (0.173:0.173:0.173) (0.310:0.310:0.310)) - (IOPATH S X (0.235:0.235:0.235) (0.354:0.354:0.354)) - (IOPATH S X (0.186:0.186:0.186) (0.340:0.340:0.340)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4025_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.116:0.116:0.116) (0.276:0.276:0.276)) - (IOPATH A1 X (0.184:0.184:0.184) (0.318:0.318:0.318)) - (IOPATH S X (0.231:0.231:0.231) (0.349:0.349:0.349)) - (IOPATH S X (0.182:0.182:0.182) (0.335:0.335:0.335)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4026_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.144:0.144:0.144) (0.305:0.305:0.305)) - (IOPATH A1 X (0.189:0.189:0.189) (0.344:0.344:0.344)) - (IOPATH S X (0.257:0.258:0.258) (0.379:0.379:0.379)) - (IOPATH S X (0.209:0.209:0.209) (0.364:0.364:0.365)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4027_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.146:0.146:0.146) (0.305:0.305:0.305)) - (IOPATH A1 X (0.191:0.191:0.191) (0.346:0.346:0.346)) - (IOPATH S X (0.252:0.253:0.253) (0.374:0.374:0.374)) - (IOPATH S X (0.204:0.204:0.204) (0.359:0.359:0.359)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _4028_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.340:0.340:0.340) (0.260:0.261:0.262)) - (IOPATH B X (0.297:0.297:0.297) (0.290:0.290:0.290)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4029_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.143:0.143:0.143) (0.303:0.303:0.303)) - (IOPATH A1 X (0.188:0.188:0.188) (0.343:0.343:0.343)) - (IOPATH S X (0.232:0.232:0.233) (0.358:0.358:0.358)) - (IOPATH S X (0.177:0.177:0.178) (0.342:0.342:0.343)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4030_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.135:0.135:0.135) (0.295:0.295:0.295)) - (IOPATH A1 X (0.195:0.195:0.195) (0.328:0.328:0.328)) - (IOPATH S X (0.223:0.224:0.224) (0.349:0.349:0.349)) - (IOPATH S X (0.169:0.169:0.169) (0.333:0.334:0.334)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4031_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.154:0.154:0.154) (0.313:0.313:0.313)) - (IOPATH A1 X (0.214:0.214:0.214) (0.349:0.349:0.349)) - (IOPATH S X (0.240:0.241:0.241) (0.367:0.367:0.367)) - (IOPATH S X (0.186:0.186:0.186) (0.351:0.351:0.352)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4032_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.153:0.153:0.153) (0.310:0.310:0.310)) - (IOPATH A1 X (0.187:0.187:0.187) (0.342:0.342:0.342)) - (IOPATH S X (0.234:0.235:0.235) (0.360:0.361:0.361)) - (IOPATH S X (0.180:0.180:0.180) (0.345:0.345:0.345)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4033_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.148:0.148:0.148) (0.309:0.309:0.309)) - (IOPATH A1 X (0.198:0.198:0.198) (0.353:0.353:0.353)) - (IOPATH S X (0.239:0.239:0.240) (0.365:0.365:0.365)) - (IOPATH S X (0.184:0.184:0.185) (0.349:0.350:0.350)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _4034_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.310:0.310:0.310) (0.239:0.243:0.248)) - (IOPATH B X (0.262:0.262:0.262) (0.268:0.268:0.268)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4035_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.182:0.182:0.182) (0.336:0.336:0.336)) - (IOPATH A1 X (0.207:0.207:0.207) (0.348:0.348:0.348)) - (IOPATH S X (0.250:0.251:0.251) (0.379:0.379:0.379)) - (IOPATH S X (0.195:0.195:0.196) (0.362:0.363:0.363)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4036_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.189:0.189:0.189) (0.341:0.341:0.341)) - (IOPATH A1 X (0.223:0.223:0.223) (0.359:0.359:0.359)) - (IOPATH S X (0.257:0.258:0.258) (0.384:0.384:0.384)) - (IOPATH S X (0.202:0.202:0.203) (0.368:0.368:0.368)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4037_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.175:0.175:0.175) (0.330:0.330:0.330)) - (IOPATH A1 X (0.228:0.228:0.228) (0.364:0.364:0.364)) - (IOPATH S X (0.247:0.247:0.248) (0.375:0.375:0.375)) - (IOPATH S X (0.192:0.192:0.192) (0.359:0.359:0.359)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4038_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.199:0.199:0.199) (0.348:0.348:0.348)) - (IOPATH A1 X (0.244:0.244:0.244) (0.379:0.379:0.379)) - (IOPATH S X (0.263:0.264:0.264) (0.388:0.389:0.389)) - (IOPATH S X (0.208:0.208:0.209) (0.372:0.372:0.373)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4039_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.206:0.206:0.206) (0.355:0.355:0.355)) - (IOPATH A1 X (0.260:0.260:0.260) (0.389:0.389:0.389)) - (IOPATH S X (0.281:0.281:0.282) (0.402:0.402:0.402)) - (IOPATH S X (0.225:0.225:0.226) (0.385:0.386:0.386)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _4040_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.169:0.169:0.169) (0.207:0.207:0.207)) - (IOPATH B Y (0.198:0.198:0.198) (0.190:0.190:0.190)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4041_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.162:0.162:0.162) (0.310:0.310:0.310)) - (IOPATH A1 X (0.124:0.124:0.124) (0.290:0.290:0.290)) - (IOPATH S X (0.235:0.241:0.246) (0.334:0.334:0.335)) - (IOPATH S X (0.156:0.157:0.158) (0.339:0.344:0.348)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4042_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.173:0.173:0.173) (0.298:0.298:0.298)) - (IOPATH A1 X (0.116:0.116:0.116) (0.282:0.282:0.282)) - (IOPATH S X (0.231:0.237:0.242) (0.329:0.330:0.330)) - (IOPATH S X (0.152:0.153:0.154) (0.334:0.339:0.344)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4043_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.176:0.176:0.176) (0.301:0.301:0.301)) - (IOPATH A1 X (0.118:0.118:0.118) (0.284:0.284:0.284)) - (IOPATH S X (0.231:0.237:0.242) (0.329:0.330:0.330)) - (IOPATH S X (0.152:0.153:0.154) (0.334:0.339:0.344)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4044_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.206:0.206:0.206) (0.332:0.332:0.332)) - (IOPATH A1 X (0.152:0.152:0.152) (0.319:0.319:0.319)) - (IOPATH S X (0.259:0.265:0.270) (0.361:0.361:0.362)) - (IOPATH S X (0.181:0.181:0.182) (0.365:0.370:0.375)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4045_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.175:0.175:0.175) (0.301:0.301:0.301)) - (IOPATH A1 X (0.122:0.122:0.122) (0.287:0.287:0.287)) - (IOPATH S X (0.233:0.238:0.244) (0.331:0.331:0.332)) - (IOPATH S X (0.154:0.155:0.155) (0.336:0.341:0.345)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _4046_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.190:0.213:0.236) (0.227:0.228:0.228)) - (IOPATH B Y (0.189:0.189:0.189) (0.171:0.171:0.171)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4047_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.156:0.156:0.156) (0.288:0.288:0.288)) - (IOPATH A1 X (0.120:0.120:0.120) (0.287:0.287:0.287)) - (IOPATH S X (0.231:0.242:0.254) (0.333:0.333:0.334)) - (IOPATH S X (0.156:0.157:0.158) (0.334:0.345:0.355)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4048_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.176:0.176:0.176) (0.306:0.306:0.306)) - (IOPATH A1 X (0.132:0.132:0.132) (0.300:0.300:0.300)) - (IOPATH S X (0.242:0.253:0.265) (0.346:0.347:0.347)) - (IOPATH S X (0.167:0.168:0.169) (0.348:0.358:0.368)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4049_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.175:0.175:0.175) (0.303:0.303:0.303)) - (IOPATH A1 X (0.116:0.116:0.116) (0.282:0.282:0.282)) - (IOPATH S X (0.228:0.239:0.251) (0.329:0.329:0.330)) - (IOPATH S X (0.153:0.154:0.155) (0.330:0.341:0.351)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4050_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.161:0.161:0.161) (0.308:0.308:0.308)) - (IOPATH A1 X (0.123:0.123:0.123) (0.291:0.291:0.291)) - (IOPATH S X (0.235:0.247:0.258) (0.338:0.339:0.339)) - (IOPATH S X (0.161:0.162:0.163) (0.340:0.350:0.360)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4051_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.190:0.190:0.190) (0.317:0.317:0.317)) - (IOPATH A1 X (0.132:0.132:0.132) (0.301:0.301:0.301)) - (IOPATH S X (0.244:0.255:0.267) (0.348:0.349:0.349)) - (IOPATH S X (0.169:0.170:0.171) (0.350:0.360:0.370)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_4") - (INSTANCE _4052_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.430:0.430:0.430) (0.293:0.293:0.293)) - (IOPATH B X (0.397:0.397:0.397) (0.275:0.277:0.279)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_4") - (INSTANCE _4053_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.415:0.416:0.417) (0.458:0.459:0.459)) - (IOPATH A2 X (0.382:0.382:0.382) (0.385:0.385:0.385)) - (IOPATH B1 X (0.406:0.407:0.407) (0.366:0.367:0.367)) - (IOPATH B2 X (0.425:0.425:0.426) (0.350:0.354:0.359)) - (IOPATH C1 X (0.411:0.411:0.411) (0.237:0.237:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4054_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.128:0.128:0.128) (0.286:0.286:0.286)) - (IOPATH A1 X (0.174:0.174:0.174) (0.314:0.314:0.314)) - (IOPATH S X (0.212:0.212:0.212) (0.340:0.340:0.340)) - (IOPATH S X (0.162:0.162:0.162) (0.322:0.322:0.322)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4055_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.140:0.140:0.140) (0.299:0.299:0.299)) - (IOPATH A1 X (0.134:0.134:0.135) (0.307:0.307:0.308)) - (IOPATH S X (0.242:0.245:0.249) (0.367:0.367:0.368)) - (IOPATH S X (0.197:0.197:0.197) (0.348:0.352:0.355)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4056_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.128:0.128:0.128) (0.283:0.283:0.283)) - (IOPATH A1 X (0.180:0.180:0.180) (0.314:0.314:0.314)) - (IOPATH S X (0.208:0.208:0.208) (0.335:0.335:0.335)) - (IOPATH S X (0.157:0.157:0.157) (0.317:0.317:0.317)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4057_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.121:0.121:0.121) (0.280:0.280:0.280)) - (IOPATH A1 X (0.116:0.118:0.119) (0.289:0.289:0.289)) - (IOPATH S X (0.226:0.230:0.234) (0.350:0.350:0.350)) - (IOPATH S X (0.181:0.181:0.181) (0.331:0.335:0.338)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4058_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.140:0.140:0.140) (0.297:0.297:0.297)) - (IOPATH A1 X (0.203:0.203:0.203) (0.340:0.340:0.340)) - (IOPATH S X (0.220:0.220:0.220) (0.349:0.349:0.349)) - (IOPATH S X (0.170:0.170:0.170) (0.331:0.331:0.331)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4059_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.139:0.139:0.139) (0.297:0.297:0.297)) - (IOPATH A1 X (0.134:0.135:0.137) (0.307:0.307:0.307)) - (IOPATH S X (0.239:0.243:0.247) (0.365:0.365:0.365)) - (IOPATH S X (0.194:0.194:0.194) (0.346:0.349:0.353)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4060_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.129:0.129:0.129) (0.284:0.284:0.284)) - (IOPATH A1 X (0.161:0.161:0.161) (0.314:0.314:0.314)) - (IOPATH S X (0.207:0.207:0.207) (0.334:0.334:0.334)) - (IOPATH S X (0.157:0.157:0.157) (0.316:0.316:0.316)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4061_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.129:0.129:0.129) (0.289:0.289:0.289)) - (IOPATH A1 X (0.124:0.125:0.126) (0.298:0.299:0.299)) - (IOPATH S X (0.234:0.238:0.242) (0.360:0.360:0.360)) - (IOPATH S X (0.189:0.189:0.190) (0.341:0.344:0.348)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4062_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.154:0.154:0.154) (0.310:0.310:0.310)) - (IOPATH A1 X (0.200:0.200:0.200) (0.334:0.334:0.334)) - (IOPATH S X (0.227:0.227:0.227) (0.358:0.358:0.358)) - (IOPATH S X (0.178:0.178:0.178) (0.338:0.338:0.338)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4063_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.127:0.127:0.127) (0.288:0.288:0.288)) - (IOPATH A1 X (0.132:0.133:0.134) (0.303:0.303:0.303)) - (IOPATH S X (0.232:0.236:0.240) (0.358:0.359:0.359)) - (IOPATH S X (0.188:0.188:0.188) (0.339:0.343:0.346)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4064_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.171:0.171:0.171) (0.321:0.321:0.321)) - (IOPATH A1 X (0.207:0.207:0.207) (0.339:0.339:0.339)) - (IOPATH S X (0.230:0.230:0.231) (0.362:0.362:0.362)) - (IOPATH S X (0.182:0.182:0.182) (0.342:0.342:0.342)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4065_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.193:0.193:0.193) (0.343:0.343:0.343)) - (IOPATH A1 X (0.178:0.179:0.179) (0.347:0.347:0.347)) - (IOPATH S X (0.276:0.280:0.284) (0.402:0.402:0.402)) - (IOPATH S X (0.232:0.232:0.232) (0.382:0.386:0.389)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4066_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.154:0.154:0.154) (0.304:0.304:0.304)) - (IOPATH A1 X (0.196:0.196:0.196) (0.319:0.319:0.319)) - (IOPATH S X (0.217:0.217:0.217) (0.348:0.348:0.348)) - (IOPATH S X (0.168:0.168:0.168) (0.329:0.329:0.329)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4067_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.178:0.178:0.178) (0.330:0.330:0.330)) - (IOPATH A1 X (0.159:0.160:0.161) (0.332:0.332:0.332)) - (IOPATH S X (0.263:0.267:0.271) (0.390:0.390:0.390)) - (IOPATH S X (0.219:0.219:0.219) (0.371:0.374:0.378)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4068_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.152:0.152:0.152) (0.303:0.303:0.303)) - (IOPATH A1 X (0.196:0.196:0.196) (0.329:0.329:0.329)) - (IOPATH S X (0.216:0.216:0.216) (0.346:0.346:0.346)) - (IOPATH S X (0.167:0.167:0.167) (0.327:0.327:0.327)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4069_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.209:0.209:0.209) (0.355:0.355:0.355)) - (IOPATH A1 X (0.176:0.177:0.178) (0.347:0.347:0.347)) - (IOPATH S X (0.281:0.285:0.289) (0.405:0.405:0.406)) - (IOPATH S X (0.237:0.237:0.237) (0.386:0.389:0.393)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_4") - (INSTANCE _4070_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.512:0.513:0.514) (0.512:0.512:0.512)) - (IOPATH A2 X (0.470:0.470:0.470) (0.425:0.425:0.425)) - (IOPATH B1 X (0.497:0.497:0.497) (0.413:0.414:0.414)) - (IOPATH B2 X (0.480:0.480:0.480) (0.394:0.394:0.394)) - (IOPATH C1 X (0.498:0.498:0.498) (0.280:0.280:0.280)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4071_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.132:0.132:0.132) (0.290:0.290:0.290)) - (IOPATH A1 X (0.168:0.168:0.168) (0.309:0.309:0.309)) - (IOPATH S X (0.240:0.245:0.250) (0.375:0.375:0.375)) - (IOPATH S X (0.221:0.221:0.221) (0.345:0.350:0.354)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4072_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.125:0.125:0.125) (0.287:0.287:0.287)) - (IOPATH A1 X (0.127:0.128:0.130) (0.301:0.301:0.301)) - (IOPATH S X (0.254:0.258:0.263) (0.370:0.370:0.370)) - (IOPATH S X (0.208:0.208:0.208) (0.359:0.363:0.367)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4073_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.138:0.138:0.138) (0.295:0.295:0.295)) - (IOPATH A1 X (0.193:0.193:0.193) (0.326:0.326:0.326)) - (IOPATH S X (0.244:0.249:0.254) (0.380:0.380:0.380)) - (IOPATH S X (0.226:0.226:0.226) (0.350:0.355:0.359)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4074_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.156:0.156:0.156) (0.310:0.310:0.310)) - (IOPATH A1 X (0.141:0.142:0.143) (0.313:0.314:0.314)) - (IOPATH S X (0.265:0.269:0.274) (0.381:0.381:0.381)) - (IOPATH S X (0.219:0.219:0.220) (0.370:0.374:0.378)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4075_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.146:0.146:0.146) (0.299:0.299:0.299)) - (IOPATH A1 X (0.198:0.198:0.198) (0.341:0.341:0.341)) - (IOPATH S X (0.237:0.237:0.237) (0.358:0.358:0.358)) - (IOPATH S X (0.186:0.186:0.186) (0.343:0.343:0.343)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4076_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.174:0.174:0.174) (0.329:0.329:0.329)) - (IOPATH A1 X (0.160:0.161:0.163) (0.334:0.334:0.334)) - (IOPATH S X (0.285:0.290:0.294) (0.402:0.402:0.402)) - (IOPATH S X (0.240:0.240:0.240) (0.390:0.394:0.398)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4077_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.135:0.135:0.135) (0.291:0.291:0.291)) - (IOPATH A1 X (0.190:0.190:0.190) (0.332:0.332:0.332)) - (IOPATH S X (0.233:0.233:0.233) (0.354:0.354:0.354)) - (IOPATH S X (0.182:0.182:0.182) (0.339:0.339:0.339)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4078_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.142:0.142:0.142) (0.299:0.299:0.299)) - (IOPATH A1 X (0.133:0.134:0.135) (0.306:0.306:0.307)) - (IOPATH S X (0.260:0.264:0.269) (0.376:0.376:0.376)) - (IOPATH S X (0.214:0.214:0.214) (0.364:0.368:0.372)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4079_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.136:0.136:0.136) (0.288:0.288:0.288)) - (IOPATH A1 X (0.175:0.175:0.175) (0.313:0.313:0.313)) - (IOPATH S X (0.227:0.227:0.227) (0.346:0.346:0.346)) - (IOPATH S X (0.176:0.176:0.176) (0.331:0.331:0.331)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4080_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.156:0.156:0.156) (0.315:0.315:0.315)) - (IOPATH A1 X (0.147:0.148:0.149) (0.321:0.322:0.322)) - (IOPATH S X (0.276:0.281:0.285) (0.392:0.392:0.392)) - (IOPATH S X (0.230:0.231:0.231) (0.381:0.385:0.389)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4081_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.141:0.141:0.141) (0.298:0.298:0.298)) - (IOPATH A1 X (0.193:0.193:0.193) (0.361:0.361:0.361)) - (IOPATH S X (0.238:0.238:0.238) (0.360:0.360:0.360)) - (IOPATH S X (0.188:0.188:0.188) (0.344:0.344:0.344)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4082_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.124:0.124:0.124) (0.286:0.286:0.286)) - (IOPATH A1 X (0.129:0.130:0.131) (0.301:0.301:0.301)) - (IOPATH S X (0.253:0.258:0.262) (0.369:0.369:0.369)) - (IOPATH S X (0.207:0.207:0.207) (0.358:0.362:0.366)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4083_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.130:0.130:0.130) (0.284:0.284:0.284)) - (IOPATH A1 X (0.192:0.192:0.192) (0.363:0.363:0.363)) - (IOPATH S X (0.232:0.237:0.242) (0.365:0.365:0.365)) - (IOPATH S X (0.212:0.212:0.212) (0.336:0.340:0.344)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4084_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.116:0.116:0.116) (0.275:0.275:0.275)) - (IOPATH A1 X (0.111:0.113:0.114) (0.283:0.283:0.283)) - (IOPATH S X (0.241:0.245:0.250) (0.354:0.354:0.354)) - (IOPATH S X (0.194:0.194:0.194) (0.343:0.347:0.351)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4085_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.156:0.156:0.156) (0.310:0.310:0.310)) - (IOPATH A1 X (0.205:0.205:0.205) (0.343:0.343:0.343)) - (IOPATH S X (0.248:0.248:0.248) (0.369:0.369:0.369)) - (IOPATH S X (0.197:0.197:0.197) (0.354:0.354:0.355)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4086_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.122:0.122:0.122) (0.277:0.277:0.277)) - (IOPATH A1 X (0.119:0.120:0.121) (0.288:0.288:0.288)) - (IOPATH S X (0.241:0.245:0.250) (0.353:0.353:0.353)) - (IOPATH S X (0.194:0.194:0.194) (0.342:0.346:0.350)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_4") - (INSTANCE _4087_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.433:0.434:0.435) (0.461:0.469:0.477)) - (IOPATH A2 X (0.404:0.404:0.404) (0.395:0.395:0.395)) - (IOPATH B1 X (0.429:0.429:0.429) (0.378:0.378:0.379)) - (IOPATH B2 X (0.421:0.421:0.421) (0.344:0.349:0.354)) - (IOPATH C1 X (0.432:0.432:0.432) (0.247:0.247:0.247)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4088_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.159:0.159:0.159) (0.310:0.310:0.310)) - (IOPATH A1 X (0.189:0.189:0.189) (0.330:0.330:0.330)) - (IOPATH S X (0.231:0.231:0.231) (0.358:0.358:0.358)) - (IOPATH S X (0.180:0.180:0.180) (0.341:0.341:0.341)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4089_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.149:0.149:0.149) (0.306:0.306:0.306)) - (IOPATH A1 X (0.142:0.143:0.144) (0.314:0.314:0.314)) - (IOPATH S X (0.249:0.253:0.257) (0.373:0.374:0.374)) - (IOPATH S X (0.205:0.205:0.205) (0.355:0.359:0.363)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4090_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.174:0.174:0.174) (0.323:0.323:0.323)) - (IOPATH A1 X (0.211:0.211:0.211) (0.343:0.343:0.343)) - (IOPATH S X (0.238:0.238:0.238) (0.366:0.366:0.366)) - (IOPATH S X (0.187:0.187:0.187) (0.348:0.348:0.348)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4091_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.165:0.165:0.165) (0.320:0.320:0.320)) - (IOPATH A1 X (0.157:0.158:0.159) (0.328:0.328:0.328)) - (IOPATH S X (0.260:0.264:0.269) (0.385:0.385:0.385)) - (IOPATH S X (0.217:0.217:0.217) (0.367:0.371:0.374)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4092_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.135:0.135:0.135) (0.287:0.287:0.287)) - (IOPATH A1 X (0.190:0.190:0.190) (0.327:0.327:0.327)) - (IOPATH S X (0.214:0.214:0.214) (0.338:0.338:0.338)) - (IOPATH S X (0.162:0.162:0.162) (0.321:0.321:0.321)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4093_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.133:0.133:0.133) (0.292:0.292:0.292)) - (IOPATH A1 X (0.126:0.127:0.129) (0.300:0.300:0.300)) - (IOPATH S X (0.240:0.244:0.248) (0.364:0.364:0.364)) - (IOPATH S X (0.196:0.196:0.196) (0.346:0.350:0.353)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4094_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.165:0.165:0.165) (0.316:0.316:0.316)) - (IOPATH A1 X (0.212:0.212:0.212) (0.351:0.351:0.351)) - (IOPATH S X (0.235:0.235:0.235) (0.362:0.362:0.362)) - (IOPATH S X (0.184:0.184:0.184) (0.345:0.345:0.345)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4095_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.169:0.169:0.169) (0.324:0.324:0.324)) - (IOPATH A1 X (0.160:0.161:0.162) (0.331:0.331:0.332)) - (IOPATH S X (0.265:0.269:0.273) (0.390:0.390:0.390)) - (IOPATH S X (0.221:0.221:0.221) (0.372:0.375:0.379)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4096_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.163:0.163:0.163) (0.315:0.315:0.315)) - (IOPATH A1 X (0.203:0.203:0.203) (0.337:0.337:0.337)) - (IOPATH S X (0.237:0.237:0.237) (0.364:0.364:0.364)) - (IOPATH S X (0.185:0.185:0.185) (0.346:0.346:0.346)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4097_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.161:0.161:0.161) (0.318:0.318:0.318)) - (IOPATH A1 X (0.158:0.159:0.160) (0.329:0.329:0.329)) - (IOPATH S X (0.262:0.266:0.270) (0.387:0.387:0.387)) - (IOPATH S X (0.219:0.219:0.219) (0.369:0.373:0.376)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4098_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.145:0.145:0.145) (0.297:0.297:0.297)) - (IOPATH A1 X (0.187:0.187:0.187) (0.320:0.320:0.320)) - (IOPATH S X (0.214:0.214:0.214) (0.344:0.344:0.344)) - (IOPATH S X (0.167:0.167:0.167) (0.324:0.324:0.324)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4099_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.134:0.134:0.134) (0.293:0.293:0.293)) - (IOPATH A1 X (0.128:0.129:0.130) (0.302:0.302:0.302)) - (IOPATH S X (0.240:0.244:0.248) (0.364:0.364:0.364)) - (IOPATH S X (0.196:0.196:0.196) (0.346:0.350:0.353)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4100_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.145:0.145:0.145) (0.298:0.298:0.298)) - (IOPATH A1 X (0.194:0.194:0.194) (0.327:0.327:0.327)) - (IOPATH S X (0.218:0.218:0.218) (0.348:0.348:0.348)) - (IOPATH S X (0.170:0.170:0.170) (0.328:0.328:0.328)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4101_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.123:0.123:0.123) (0.283:0.283:0.283)) - (IOPATH A1 X (0.122:0.123:0.125) (0.294:0.294:0.295)) - (IOPATH S X (0.233:0.237:0.241) (0.356:0.356:0.356)) - (IOPATH S X (0.189:0.189:0.189) (0.338:0.342:0.345)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4102_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.142:0.142:0.142) (0.291:0.291:0.291)) - (IOPATH A1 X (0.185:0.185:0.185) (0.319:0.319:0.319)) - (IOPATH S X (0.211:0.211:0.211) (0.337:0.337:0.337)) - (IOPATH S X (0.161:0.161:0.161) (0.319:0.319:0.319)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4103_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.189:0.189:0.189) (0.340:0.340:0.340)) - (IOPATH A1 X (0.164:0.165:0.167) (0.338:0.338:0.338)) - (IOPATH S X (0.277:0.281:0.285) (0.402:0.402:0.402)) - (IOPATH S X (0.234:0.234:0.234) (0.383:0.387:0.391)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _4104_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.211:0.214:0.217) (0.242:0.242:0.242)) - (IOPATH B Y (0.233:0.233:0.233) (0.204:0.204:0.204)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4105_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.162:0.162:0.162) (0.294:0.294:0.294)) - (IOPATH A1 X (0.123:0.123:0.123) (0.291:0.291:0.291)) - (IOPATH S X (0.261:0.268:0.274) (0.347:0.348:0.348)) - (IOPATH S X (0.175:0.176:0.177) (0.363:0.369:0.375)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4106_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.184:0.184:0.184) (0.314:0.314:0.314)) - (IOPATH A1 X (0.142:0.142:0.142) (0.309:0.309:0.309)) - (IOPATH S X (0.275:0.281:0.287) (0.362:0.363:0.363)) - (IOPATH S X (0.188:0.189:0.190) (0.378:0.383:0.389)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4107_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.176:0.176:0.176) (0.304:0.304:0.304)) - (IOPATH A1 X (0.125:0.125:0.125) (0.291:0.291:0.291)) - (IOPATH S X (0.256:0.263:0.269) (0.344:0.344:0.345)) - (IOPATH S X (0.171:0.172:0.173) (0.358:0.364:0.370)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4108_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.186:0.186:0.186) (0.317:0.317:0.317)) - (IOPATH A1 X (0.126:0.126:0.126) (0.294:0.294:0.294)) - (IOPATH S X (0.263:0.269:0.275) (0.349:0.349:0.350)) - (IOPATH S X (0.176:0.177:0.178) (0.365:0.370:0.376)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4109_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.177:0.177:0.177) (0.307:0.307:0.307)) - (IOPATH A1 X (0.136:0.136:0.136) (0.301:0.301:0.301)) - (IOPATH S X (0.261:0.268:0.274) (0.350:0.350:0.351)) - (IOPATH S X (0.176:0.177:0.178) (0.364:0.370:0.376)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4110_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.161:0.161:0.161) (0.301:0.301:0.301)) - (IOPATH A1 X (0.115:0.115:0.115) (0.281:0.281:0.281)) - (IOPATH S X (0.251:0.257:0.264) (0.337:0.337:0.338)) - (IOPATH S X (0.165:0.166:0.167) (0.351:0.357:0.363)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_4") - (INSTANCE _4111_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.179:0.179:0.179) (0.567:0.567:0.567)) - (IOPATH B X (0.214:0.215:0.216) (0.606:0.606:0.606)) - (IOPATH C X (0.176:0.176:0.176) (0.528:0.528:0.528)) - (IOPATH D X (0.226:0.226:0.226) (0.500:0.500:0.500)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4112_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.178:0.178:0.178) (0.312:0.312:0.312)) - (IOPATH A1 X (0.138:0.138:0.138) (0.304:0.304:0.304)) - (IOPATH S X (0.321:0.321:0.321) (0.391:0.391:0.391)) - (IOPATH S X (0.250:0.250:0.250) (0.425:0.425:0.425)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4113_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.180:0.180:0.180) (0.308:0.308:0.308)) - (IOPATH A1 X (0.134:0.134:0.134) (0.297:0.297:0.297)) - (IOPATH S X (0.314:0.314:0.314) (0.382:0.382:0.382)) - (IOPATH S X (0.241:0.241:0.241) (0.416:0.416:0.416)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4114_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.152:0.152:0.152) (0.295:0.295:0.295)) - (IOPATH A1 X (0.127:0.127:0.127) (0.290:0.290:0.290)) - (IOPATH S X (0.308:0.308:0.308) (0.375:0.375:0.375)) - (IOPATH S X (0.235:0.235:0.235) (0.410:0.410:0.410)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4115_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.175:0.175:0.175) (0.306:0.306:0.306)) - (IOPATH A1 X (0.142:0.142:0.142) (0.306:0.306:0.306)) - (IOPATH S X (0.320:0.320:0.320) (0.389:0.389:0.389)) - (IOPATH S X (0.248:0.248:0.248) (0.424:0.424:0.424)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4116_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.194:0.194:0.194) (0.321:0.321:0.321)) - (IOPATH A1 X (0.154:0.154:0.154) (0.317:0.317:0.317)) - (IOPATH S X (0.329:0.329:0.329) (0.399:0.399:0.399)) - (IOPATH S X (0.258:0.258:0.258) (0.433:0.433:0.433)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4117_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.217:0.217:0.217) (0.358:0.358:0.358)) - (IOPATH A1 X (0.198:0.198:0.198) (0.354:0.354:0.354)) - (IOPATH S X (0.359:0.359:0.359) (0.428:0.428:0.428)) - (IOPATH S X (0.290:0.290:0.290) (0.463:0.463:0.463)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4118_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.219:0.219:0.219) (0.334:0.334:0.334)) - (IOPATH A1 X (0.181:0.181:0.181) (0.340:0.340:0.340)) - (IOPATH S X (0.347:0.347:0.347) (0.417:0.417:0.417)) - (IOPATH S X (0.277:0.277:0.277) (0.451:0.451:0.451)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4119_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.242:0.242:0.242) (0.364:0.364:0.364)) - (IOPATH A1 X (0.202:0.202:0.202) (0.358:0.358:0.358)) - (IOPATH S X (0.367:0.367:0.367) (0.434:0.434:0.434)) - (IOPATH S X (0.298:0.298:0.298) (0.469:0.469:0.469)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_4") - (INSTANCE _4120_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.446:0.446:0.446) (0.780:0.780:0.780)) - (IOPATH B X (0.489:0.490:0.491) (0.828:0.828:0.828)) - (IOPATH C X (0.441:0.441:0.441) (0.738:0.738:0.738)) - (IOPATH D X (0.493:0.493:0.493) (0.713:0.713:0.713)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4121_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.170:0.170:0.170) (0.303:0.303:0.303)) - (IOPATH A1 X (0.138:0.138:0.138) (0.304:0.304:0.304)) - (IOPATH S X (0.308:0.308:0.308) (0.376:0.376:0.376)) - (IOPATH S X (0.218:0.218:0.218) (0.409:0.409:0.409)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4122_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.199:0.199:0.199) (0.325:0.325:0.325)) - (IOPATH A1 X (0.149:0.149:0.149) (0.314:0.314:0.314)) - (IOPATH S X (0.317:0.317:0.317) (0.385:0.385:0.385)) - (IOPATH S X (0.227:0.227:0.227) (0.418:0.418:0.418)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4123_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.209:0.209:0.209) (0.345:0.345:0.345)) - (IOPATH A1 X (0.162:0.162:0.162) (0.323:0.323:0.323)) - (IOPATH S X (0.322:0.322:0.322) (0.389:0.389:0.389)) - (IOPATH S X (0.232:0.232:0.232) (0.423:0.423:0.423)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4124_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.194:0.194:0.194) (0.330:0.330:0.330)) - (IOPATH A1 X (0.145:0.145:0.145) (0.309:0.309:0.309)) - (IOPATH S X (0.311:0.311:0.311) (0.378:0.378:0.378)) - (IOPATH S X (0.221:0.221:0.221) (0.412:0.412:0.412)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4125_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.181:0.181:0.181) (0.313:0.313:0.313)) - (IOPATH A1 X (0.147:0.147:0.147) (0.308:0.308:0.308)) - (IOPATH S X (0.306:0.306:0.306) (0.373:0.373:0.373)) - (IOPATH S X (0.216:0.216:0.216) (0.407:0.407:0.407)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4126_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.179:0.179:0.179) (0.339:0.339:0.339)) - (IOPATH A1 X (0.133:0.133:0.133) (0.296:0.296:0.296)) - (IOPATH S X (0.299:0.299:0.299) (0.364:0.364:0.364)) - (IOPATH S X (0.208:0.208:0.208) (0.398:0.398:0.398)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4127_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.195:0.195:0.195) (0.360:0.360:0.360)) - (IOPATH A1 X (0.138:0.138:0.138) (0.300:0.300:0.300)) - (IOPATH S X (0.301:0.301:0.301) (0.368:0.368:0.368)) - (IOPATH S X (0.211:0.211:0.211) (0.401:0.401:0.401)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4128_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.187:0.187:0.187) (0.318:0.318:0.318)) - (IOPATH A1 X (0.144:0.144:0.144) (0.305:0.305:0.305)) - (IOPATH S X (0.304:0.304:0.304) (0.370:0.370:0.370)) - (IOPATH S X (0.213:0.213:0.214) (0.404:0.404:0.405)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _4129_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.359:0.359:0.359) (0.250:0.258:0.266)) - (IOPATH B X (0.327:0.327:0.327) (0.271:0.271:0.271)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4130_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.205:0.205:0.205) (0.352:0.352:0.352)) - (IOPATH A1 X (0.217:0.217:0.217) (0.356:0.356:0.356)) - (IOPATH S X (0.285:0.285:0.285) (0.406:0.406:0.406)) - (IOPATH S X (0.238:0.238:0.238) (0.389:0.389:0.390)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4131_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.179:0.179:0.179) (0.334:0.334:0.334)) - (IOPATH A1 X (0.216:0.216:0.216) (0.354:0.354:0.354)) - (IOPATH S X (0.275:0.275:0.275) (0.398:0.398:0.398)) - (IOPATH S X (0.228:0.228:0.228) (0.382:0.382:0.382)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4132_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.184:0.184:0.184) (0.337:0.337:0.337)) - (IOPATH A1 X (0.234:0.234:0.234) (0.370:0.370:0.370)) - (IOPATH S X (0.276:0.277:0.277) (0.399:0.399:0.399)) - (IOPATH S X (0.230:0.230:0.230) (0.383:0.383:0.383)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4133_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.159:0.159:0.159) (0.319:0.319:0.319)) - (IOPATH A1 X (0.225:0.225:0.225) (0.362:0.362:0.362)) - (IOPATH S X (0.269:0.270:0.270) (0.392:0.392:0.392)) - (IOPATH S X (0.223:0.223:0.223) (0.376:0.376:0.376)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4134_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.130:0.130:0.130) (0.290:0.290:0.290)) - (IOPATH A1 X (0.192:0.192:0.192) (0.328:0.328:0.328)) - (IOPATH S X (0.239:0.240:0.240) (0.361:0.361:0.361)) - (IOPATH S X (0.192:0.192:0.192) (0.345:0.346:0.346)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _4135_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.349:0.349:0.349) (0.247:0.249:0.250)) - (IOPATH B X (0.297:0.297:0.297) (0.257:0.257:0.257)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4136_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.151:0.151:0.151) (0.309:0.309:0.309)) - (IOPATH A1 X (0.189:0.189:0.189) (0.330:0.330:0.330)) - (IOPATH S X (0.248:0.249:0.249) (0.373:0.374:0.374)) - (IOPATH S X (0.200:0.200:0.200) (0.356:0.356:0.357)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4137_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.149:0.149:0.149) (0.307:0.307:0.307)) - (IOPATH A1 X (0.193:0.193:0.193) (0.331:0.331:0.331)) - (IOPATH S X (0.244:0.245:0.245) (0.369:0.369:0.370)) - (IOPATH S X (0.196:0.196:0.196) (0.352:0.352:0.353)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4138_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.181:0.181:0.181) (0.336:0.336:0.336)) - (IOPATH A1 X (0.234:0.234:0.234) (0.369:0.369:0.369)) - (IOPATH S X (0.268:0.269:0.269) (0.394:0.394:0.394)) - (IOPATH S X (0.220:0.220:0.220) (0.376:0.376:0.376)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4139_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.177:0.177:0.177) (0.333:0.333:0.333)) - (IOPATH A1 X (0.232:0.232:0.232) (0.370:0.370:0.370)) - (IOPATH S X (0.268:0.268:0.268) (0.393:0.393:0.393)) - (IOPATH S X (0.219:0.219:0.220) (0.376:0.376:0.376)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4140_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.173:0.173:0.173) (0.327:0.327:0.327)) - (IOPATH A1 X (0.222:0.222:0.222) (0.358:0.358:0.358)) - (IOPATH S X (0.259:0.259:0.260) (0.384:0.385:0.385)) - (IOPATH S X (0.211:0.211:0.211) (0.367:0.367:0.367)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _4141_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.083:0.086:0.089) (0.091:0.091:0.091)) - (IOPATH B Y (0.106:0.106:0.106) (0.095:0.095:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4142_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.175:0.175:0.175) (0.304:0.304:0.304)) - (IOPATH A1 X (0.121:0.121:0.121) (0.287:0.287:0.287)) - (IOPATH S X (0.242:0.242:0.242) (0.350:0.350:0.350)) - (IOPATH S X (0.185:0.185:0.185) (0.344:0.344:0.344)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4143_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.187:0.187:0.187) (0.317:0.317:0.317)) - (IOPATH A1 X (0.134:0.134:0.134) (0.300:0.300:0.300)) - (IOPATH S X (0.252:0.252:0.252) (0.362:0.362:0.362)) - (IOPATH S X (0.195:0.195:0.195) (0.357:0.357:0.357)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4144_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.192:0.192:0.192) (0.321:0.321:0.321)) - (IOPATH A1 X (0.144:0.144:0.144) (0.310:0.310:0.310)) - (IOPATH S X (0.260:0.260:0.260) (0.371:0.371:0.371)) - (IOPATH S X (0.203:0.203:0.203) (0.365:0.365:0.365)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4145_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.191:0.191:0.191) (0.319:0.319:0.319)) - (IOPATH A1 X (0.126:0.126:0.126) (0.295:0.295:0.295)) - (IOPATH S X (0.251:0.251:0.251) (0.360:0.360:0.360)) - (IOPATH S X (0.193:0.193:0.193) (0.355:0.355:0.355)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4146_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.194:0.194:0.194) (0.327:0.327:0.327)) - (IOPATH A1 X (0.139:0.139:0.139) (0.305:0.305:0.305)) - (IOPATH S X (0.254:0.254:0.254) (0.364:0.364:0.364)) - (IOPATH S X (0.197:0.197:0.197) (0.359:0.359:0.359)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE _4147_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.162:0.166:0.170) (0.180:0.180:0.180)) - (IOPATH B Y (0.163:0.163:0.163) (0.138:0.138:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4148_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.163:0.163:0.163) (0.309:0.309:0.309)) - (IOPATH A1 X (0.129:0.129:0.129) (0.298:0.298:0.298)) - (IOPATH S X (0.228:0.238:0.249) (0.339:0.340:0.340)) - (IOPATH S X (0.157:0.157:0.158) (0.336:0.345:0.353)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4149_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.175:0.175:0.175) (0.306:0.306:0.306)) - (IOPATH A1 X (0.135:0.135:0.135) (0.301:0.301:0.301)) - (IOPATH S X (0.228:0.239:0.249) (0.340:0.340:0.341)) - (IOPATH S X (0.157:0.158:0.158) (0.336:0.345:0.354)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4150_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.194:0.194:0.194) (0.323:0.323:0.323)) - (IOPATH A1 X (0.148:0.148:0.148) (0.312:0.312:0.312)) - (IOPATH S X (0.235:0.245:0.256) (0.347:0.347:0.348)) - (IOPATH S X (0.164:0.164:0.165) (0.343:0.352:0.361)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4151_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.193:0.193:0.193) (0.340:0.340:0.340)) - (IOPATH A1 X (0.159:0.159:0.159) (0.326:0.326:0.326)) - (IOPATH S X (0.253:0.264:0.274) (0.365:0.366:0.366)) - (IOPATH S X (0.182:0.182:0.183) (0.361:0.370:0.379)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4152_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.181:0.181:0.181) (0.329:0.329:0.329)) - (IOPATH A1 X (0.138:0.138:0.138) (0.306:0.306:0.306)) - (IOPATH S X (0.235:0.245:0.256) (0.347:0.347:0.348)) - (IOPATH S X (0.164:0.164:0.165) (0.343:0.352:0.361)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _4153_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.171:0.172:0.174) (0.216:0.216:0.216)) - (IOPATH B Y (0.191:0.191:0.191) (0.188:0.188:0.188)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4154_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.184:0.184:0.184) (0.311:0.311:0.311)) - (IOPATH A1 X (0.127:0.127:0.127) (0.295:0.295:0.295)) - (IOPATH S X (0.240:0.249:0.257) (0.341:0.341:0.341)) - (IOPATH S X (0.161:0.162:0.162) (0.345:0.353:0.360)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4155_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.183:0.183:0.183) (0.311:0.311:0.311)) - (IOPATH A1 X (0.125:0.125:0.125) (0.293:0.293:0.293)) - (IOPATH S X (0.239:0.247:0.256) (0.339:0.339:0.340)) - (IOPATH S X (0.160:0.160:0.161) (0.344:0.351:0.359)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4156_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.179:0.179:0.179) (0.307:0.307:0.307)) - (IOPATH A1 X (0.128:0.128:0.128) (0.294:0.294:0.294)) - (IOPATH S X (0.238:0.246:0.255) (0.337:0.338:0.338)) - (IOPATH S X (0.158:0.159:0.160) (0.342:0.350:0.357)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4157_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.184:0.184:0.184) (0.312:0.312:0.312)) - (IOPATH A1 X (0.121:0.121:0.121) (0.288:0.288:0.288)) - (IOPATH S X (0.234:0.243:0.251) (0.333:0.334:0.334)) - (IOPATH S X (0.155:0.155:0.156) (0.338:0.345:0.353)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4158_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.180:0.180:0.180) (0.312:0.312:0.312)) - (IOPATH A1 X (0.118:0.118:0.118) (0.285:0.285:0.285)) - (IOPATH S X (0.232:0.241:0.249) (0.330:0.331:0.331)) - (IOPATH S X (0.153:0.153:0.154) (0.335:0.343:0.350)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _4159_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.317:0.317:0.317) (0.247:0.248:0.249)) - (IOPATH B X (0.280:0.280:0.280) (0.278:0.278:0.278)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4160_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.127:0.127:0.127) (0.286:0.286:0.286)) - (IOPATH A1 X (0.187:0.187:0.187) (0.324:0.324:0.324)) - (IOPATH S X (0.210:0.211:0.211) (0.337:0.338:0.338)) - (IOPATH S X (0.155:0.156:0.156) (0.321:0.322:0.322)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4161_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.116:0.116:0.116) (0.273:0.273:0.273)) - (IOPATH A1 X (0.175:0.175:0.175) (0.310:0.310:0.310)) - (IOPATH S X (0.199:0.199:0.200) (0.323:0.323:0.324)) - (IOPATH S X (0.144:0.144:0.145) (0.307:0.307:0.308)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4162_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.129:0.129:0.129) (0.288:0.288:0.288)) - (IOPATH A1 X (0.186:0.186:0.186) (0.321:0.321:0.321)) - (IOPATH S X (0.211:0.211:0.212) (0.339:0.339:0.339)) - (IOPATH S X (0.156:0.156:0.157) (0.322:0.323:0.323)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4163_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.130:0.130:0.130) (0.292:0.292:0.292)) - (IOPATH A1 X (0.201:0.201:0.201) (0.336:0.336:0.336)) - (IOPATH S X (0.217:0.217:0.218) (0.345:0.345:0.345)) - (IOPATH S X (0.162:0.162:0.163) (0.328:0.329:0.329)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4164_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.126:0.126:0.126) (0.285:0.285:0.285)) - (IOPATH A1 X (0.191:0.191:0.191) (0.332:0.332:0.332)) - (IOPATH S X (0.209:0.209:0.210) (0.336:0.336:0.336)) - (IOPATH S X (0.154:0.154:0.155) (0.319:0.320:0.320)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _4165_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.309:0.309:0.309) (0.225:0.225:0.225)) - (IOPATH B X (0.336:0.336:0.336) (0.255:0.255:0.255)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4166_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.210:0.210:0.210) (0.343:0.343:0.343)) - (IOPATH A1 X (0.203:0.203:0.204) (0.377:0.377:0.378)) - (IOPATH S X (0.265:0.265:0.266) (0.391:0.391:0.391)) - (IOPATH S X (0.218:0.218:0.219) (0.373:0.373:0.374)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4167_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.117:0.117:0.117) (0.279:0.279:0.279)) - (IOPATH A1 X (0.158:0.158:0.158) (0.322:0.322:0.322)) - (IOPATH S X (0.227:0.228:0.228) (0.351:0.351:0.351)) - (IOPATH S X (0.181:0.181:0.181) (0.334:0.334:0.335)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4168_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.154:0.154:0.154) (0.308:0.308:0.308)) - (IOPATH A1 X (0.175:0.175:0.175) (0.340:0.340:0.340)) - (IOPATH S X (0.242:0.243:0.243) (0.368:0.368:0.368)) - (IOPATH S X (0.196:0.196:0.196) (0.350:0.351:0.351)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4169_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.116:0.116:0.116) (0.278:0.278:0.278)) - (IOPATH A1 X (0.165:0.165:0.165) (0.338:0.338:0.338)) - (IOPATH S X (0.227:0.227:0.227) (0.350:0.350:0.350)) - (IOPATH S X (0.180:0.180:0.180) (0.333:0.333:0.334)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4170_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.188:0.188:0.188) (0.320:0.320:0.320)) - (IOPATH A1 X (0.122:0.122:0.122) (0.294:0.294:0.294)) - (IOPATH S X (0.237:0.238:0.238) (0.362:0.362:0.362)) - (IOPATH S X (0.191:0.191:0.191) (0.345:0.345:0.346)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4171_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.113:0.113:0.113) (0.274:0.274:0.274)) - (IOPATH A1 X (0.186:0.186:0.186) (0.363:0.364:0.364)) - (IOPATH S X (0.223:0.223:0.224) (0.346:0.346:0.346)) - (IOPATH S X (0.177:0.177:0.177) (0.329:0.329:0.329)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4172_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.115:0.115:0.115) (0.275:0.275:0.275)) - (IOPATH A1 X (0.184:0.184:0.184) (0.327:0.328:0.328)) - (IOPATH S X (0.223:0.224:0.224) (0.347:0.347:0.347)) - (IOPATH S X (0.177:0.177:0.177) (0.329:0.329:0.330)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4173_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.124:0.124:0.124) (0.287:0.287:0.287)) - (IOPATH A1 X (0.198:0.199:0.199) (0.363:0.363:0.363)) - (IOPATH S X (0.234:0.235:0.235) (0.359:0.360:0.360)) - (IOPATH S X (0.188:0.188:0.188) (0.342:0.342:0.343)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _4174_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.201:0.203:0.206) (0.243:0.243:0.243)) - (IOPATH B Y (0.219:0.219:0.219) (0.212:0.212:0.212)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4175_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.193:0.193:0.193) (0.341:0.341:0.341)) - (IOPATH A1 X (0.164:0.164:0.164) (0.328:0.328:0.328)) - (IOPATH S X (0.272:0.279:0.285) (0.371:0.371:0.372)) - (IOPATH S X (0.194:0.194:0.195) (0.377:0.383:0.389)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4176_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.177:0.177:0.177) (0.302:0.302:0.302)) - (IOPATH A1 X (0.124:0.124:0.124) (0.289:0.289:0.289)) - (IOPATH S X (0.242:0.249:0.255) (0.338:0.339:0.339)) - (IOPATH S X (0.164:0.164:0.165) (0.345:0.351:0.357)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4177_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.196:0.196:0.196) (0.324:0.324:0.324)) - (IOPATH A1 X (0.140:0.140:0.140) (0.308:0.308:0.308)) - (IOPATH S X (0.259:0.265:0.272) (0.358:0.358:0.358)) - (IOPATH S X (0.181:0.181:0.182) (0.364:0.370:0.376)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4178_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.160:0.160:0.160) (0.307:0.307:0.307)) - (IOPATH A1 X (0.123:0.123:0.123) (0.290:0.290:0.290)) - (IOPATH S X (0.244:0.251:0.257) (0.341:0.341:0.341)) - (IOPATH S X (0.166:0.166:0.167) (0.347:0.353:0.359)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4179_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.170:0.170:0.170) (0.318:0.318:0.318)) - (IOPATH A1 X (0.126:0.126:0.126) (0.293:0.293:0.293)) - (IOPATH S X (0.246:0.253:0.260) (0.344:0.344:0.345)) - (IOPATH S X (0.168:0.169:0.169) (0.350:0.356:0.362)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _4180_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.315:0.315:0.315) (0.227:0.227:0.227)) - (IOPATH B X (0.341:0.341:0.341) (0.258:0.258:0.258)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4181_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.147:0.147:0.147) (0.303:0.303:0.303)) - (IOPATH A1 X (0.177:0.177:0.177) (0.351:0.352:0.352)) - (IOPATH S X (0.240:0.241:0.241) (0.366:0.366:0.366)) - (IOPATH S X (0.195:0.195:0.195) (0.348:0.348:0.349)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4182_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.134:0.134:0.134) (0.293:0.293:0.293)) - (IOPATH A1 X (0.165:0.165:0.165) (0.330:0.330:0.330)) - (IOPATH S X (0.235:0.235:0.236) (0.360:0.360:0.360)) - (IOPATH S X (0.189:0.189:0.189) (0.342:0.343:0.343)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4183_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.137:0.137:0.137) (0.294:0.294:0.294)) - (IOPATH A1 X (0.164:0.164:0.164) (0.328:0.328:0.329)) - (IOPATH S X (0.232:0.233:0.233) (0.357:0.357:0.357)) - (IOPATH S X (0.187:0.187:0.187) (0.340:0.340:0.340)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4184_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.159:0.159:0.159) (0.314:0.314:0.314)) - (IOPATH A1 X (0.188:0.188:0.188) (0.362:0.362:0.362)) - (IOPATH S X (0.249:0.250:0.250) (0.375:0.375:0.375)) - (IOPATH S X (0.204:0.204:0.204) (0.357:0.358:0.358)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4185_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.144:0.144:0.144) (0.282:0.282:0.282)) - (IOPATH A1 X (0.107:0.107:0.107) (0.277:0.277:0.277)) - (IOPATH S X (0.224:0.224:0.225) (0.346:0.346:0.347)) - (IOPATH S X (0.178:0.178:0.178) (0.329:0.329:0.330)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4186_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.127:0.127:0.127) (0.289:0.289:0.289)) - (IOPATH A1 X (0.201:0.201:0.201) (0.379:0.379:0.379)) - (IOPATH S X (0.237:0.237:0.238) (0.362:0.362:0.362)) - (IOPATH S X (0.191:0.191:0.192) (0.345:0.345:0.345)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4187_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.124:0.124:0.124) (0.286:0.286:0.286)) - (IOPATH A1 X (0.194:0.194:0.194) (0.338:0.338:0.338)) - (IOPATH S X (0.233:0.234:0.234) (0.358:0.358:0.358)) - (IOPATH S X (0.188:0.188:0.188) (0.341:0.341:0.342)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4188_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.115:0.115:0.115) (0.276:0.276:0.276)) - (IOPATH A1 X (0.187:0.187:0.187) (0.351:0.351:0.351)) - (IOPATH S X (0.225:0.226:0.226) (0.349:0.349:0.349)) - (IOPATH S X (0.179:0.179:0.179) (0.331:0.331:0.332)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _4189_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.332:0.332:0.332) (0.234:0.234:0.234)) - (IOPATH B X (0.358:0.358:0.358) (0.264:0.264:0.264)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4190_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.124:0.124:0.124) (0.281:0.281:0.281)) - (IOPATH A1 X (0.159:0.159:0.159) (0.332:0.332:0.332)) - (IOPATH S X (0.229:0.229:0.230) (0.348:0.348:0.348)) - (IOPATH S X (0.182:0.182:0.182) (0.334:0.334:0.334)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4191_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.140:0.140:0.140) (0.294:0.294:0.294)) - (IOPATH A1 X (0.160:0.160:0.161) (0.325:0.325:0.325)) - (IOPATH S X (0.237:0.237:0.237) (0.358:0.358:0.358)) - (IOPATH S X (0.190:0.190:0.190) (0.343:0.343:0.344)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4192_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.143:0.143:0.143) (0.300:0.300:0.300)) - (IOPATH A1 X (0.170:0.170:0.170) (0.335:0.335:0.335)) - (IOPATH S X (0.244:0.245:0.245) (0.366:0.366:0.366)) - (IOPATH S X (0.197:0.197:0.197) (0.351:0.352:0.352)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4193_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.130:0.130:0.130) (0.283:0.283:0.283)) - (IOPATH A1 X (0.159:0.159:0.159) (0.332:0.332:0.332)) - (IOPATH S X (0.228:0.229:0.229) (0.348:0.348:0.348)) - (IOPATH S X (0.181:0.181:0.181) (0.333:0.333:0.334)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4194_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.168:0.168:0.168) (0.300:0.300:0.300)) - (IOPATH A1 X (0.109:0.109:0.109) (0.278:0.278:0.278)) - (IOPATH S X (0.229:0.229:0.230) (0.349:0.349:0.349)) - (IOPATH S X (0.182:0.182:0.183) (0.334:0.334:0.335)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4195_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.132:0.132:0.132) (0.287:0.287:0.287)) - (IOPATH A1 X (0.189:0.189:0.189) (0.367:0.367:0.367)) - (IOPATH S X (0.232:0.233:0.233) (0.353:0.353:0.353)) - (IOPATH S X (0.185:0.185:0.185) (0.338:0.338:0.338)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4196_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.139:0.139:0.139) (0.293:0.293:0.293)) - (IOPATH A1 X (0.189:0.189:0.189) (0.333:0.333:0.334)) - (IOPATH S X (0.235:0.236:0.236) (0.356:0.356:0.356)) - (IOPATH S X (0.188:0.188:0.188) (0.341:0.342:0.342)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4197_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.136:0.136:0.136) (0.291:0.291:0.291)) - (IOPATH A1 X (0.192:0.192:0.192) (0.356:0.356:0.356)) - (IOPATH S X (0.235:0.236:0.236) (0.356:0.356:0.356)) - (IOPATH S X (0.188:0.188:0.188) (0.341:0.342:0.342)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _4198_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.357:0.357:0.357) (0.270:0.278:0.285)) - (IOPATH B X (0.321:0.321:0.321) (0.303:0.303:0.303)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4199_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.154:0.154:0.154) (0.314:0.314:0.314)) - (IOPATH A1 X (0.198:0.198:0.198) (0.353:0.353:0.353)) - (IOPATH S X (0.246:0.247:0.247) (0.372:0.372:0.372)) - (IOPATH S X (0.194:0.194:0.194) (0.356:0.356:0.356)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4200_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.185:0.185:0.185) (0.340:0.340:0.340)) - (IOPATH A1 X (0.245:0.245:0.245) (0.373:0.373:0.373)) - (IOPATH S X (0.276:0.277:0.277) (0.399:0.399:0.399)) - (IOPATH S X (0.224:0.224:0.224) (0.382:0.382:0.383)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4201_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.126:0.126:0.126) (0.286:0.286:0.286)) - (IOPATH A1 X (0.187:0.187:0.187) (0.322:0.322:0.322)) - (IOPATH S X (0.220:0.220:0.220) (0.344:0.344:0.344)) - (IOPATH S X (0.167:0.167:0.168) (0.328:0.328:0.329)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4202_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.124:0.124:0.124) (0.285:0.285:0.285)) - (IOPATH A1 X (0.169:0.169:0.169) (0.324:0.324:0.324)) - (IOPATH S X (0.222:0.222:0.223) (0.347:0.347:0.347)) - (IOPATH S X (0.170:0.170:0.170) (0.331:0.331:0.332)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4203_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.132:0.132:0.132) (0.294:0.294:0.294)) - (IOPATH A1 X (0.185:0.185:0.185) (0.340:0.340:0.340)) - (IOPATH S X (0.230:0.231:0.231) (0.356:0.356:0.356)) - (IOPATH S X (0.178:0.178:0.178) (0.340:0.340:0.340)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _4204_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.333:0.334:0.334) (0.276:0.278:0.280)) - (IOPATH B X (0.266:0.266:0.266) (0.272:0.272:0.272)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4205_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.141:0.141:0.141) (0.302:0.302:0.302)) - (IOPATH A1 X (0.184:0.184:0.184) (0.325:0.325:0.325)) - (IOPATH S X (0.229:0.230:0.230) (0.356:0.357:0.357)) - (IOPATH S X (0.174:0.175:0.175) (0.340:0.341:0.341)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4206_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.123:0.123:0.123) (0.285:0.285:0.285)) - (IOPATH A1 X (0.177:0.177:0.177) (0.315:0.315:0.315)) - (IOPATH S X (0.215:0.215:0.216) (0.341:0.341:0.342)) - (IOPATH S X (0.160:0.160:0.161) (0.325:0.326:0.326)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4207_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.120:0.120:0.120) (0.280:0.280:0.280)) - (IOPATH A1 X (0.188:0.188:0.188) (0.323:0.323:0.323)) - (IOPATH S X (0.210:0.211:0.211) (0.336:0.336:0.336)) - (IOPATH S X (0.156:0.156:0.156) (0.320:0.320:0.321)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4208_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.117:0.117:0.117) (0.277:0.277:0.277)) - (IOPATH A1 X (0.184:0.184:0.184) (0.322:0.322:0.322)) - (IOPATH S X (0.208:0.208:0.209) (0.332:0.333:0.333)) - (IOPATH S X (0.153:0.153:0.154) (0.317:0.317:0.317)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4209_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.119:0.119:0.119) (0.278:0.278:0.278)) - (IOPATH A1 X (0.182:0.182:0.182) (0.319:0.319:0.319)) - (IOPATH S X (0.207:0.208:0.208) (0.332:0.332:0.332)) - (IOPATH S X (0.153:0.153:0.153) (0.316:0.316:0.317)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _4210_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.375:0.375:0.375) (0.281:0.281:0.282)) - (IOPATH B X (0.327:0.327:0.327) (0.308:0.308:0.308)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4211_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.115:0.115:0.115) (0.273:0.273:0.273)) - (IOPATH A1 X (0.156:0.156:0.156) (0.294:0.294:0.294)) - (IOPATH S X (0.212:0.212:0.213) (0.333:0.334:0.334)) - (IOPATH S X (0.160:0.160:0.161) (0.317:0.318:0.318)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4212_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.115:0.115:0.115) (0.274:0.274:0.274)) - (IOPATH A1 X (0.167:0.167:0.167) (0.302:0.302:0.302)) - (IOPATH S X (0.215:0.215:0.216) (0.337:0.337:0.337)) - (IOPATH S X (0.163:0.163:0.163) (0.321:0.321:0.321)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4213_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.129:0.129:0.129) (0.289:0.289:0.289)) - (IOPATH A1 X (0.191:0.191:0.191) (0.327:0.327:0.327)) - (IOPATH S X (0.226:0.226:0.227) (0.350:0.350:0.351)) - (IOPATH S X (0.174:0.174:0.175) (0.334:0.335:0.335)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4214_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.115:0.115:0.115) (0.275:0.275:0.275)) - (IOPATH A1 X (0.160:0.160:0.160) (0.313:0.313:0.313)) - (IOPATH S X (0.216:0.216:0.217) (0.338:0.338:0.339)) - (IOPATH S X (0.164:0.164:0.165) (0.322:0.323:0.323)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4215_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.124:0.124:0.124) (0.286:0.286:0.286)) - (IOPATH A1 X (0.176:0.176:0.176) (0.331:0.331:0.331)) - (IOPATH S X (0.224:0.224:0.225) (0.348:0.348:0.349)) - (IOPATH S X (0.172:0.172:0.173) (0.332:0.333:0.333)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _4216_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.168:0.185:0.202) (0.198:0.198:0.198)) - (IOPATH B Y (0.184:0.184:0.184) (0.180:0.180:0.180)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4217_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.185:0.185:0.185) (0.316:0.316:0.316)) - (IOPATH A1 X (0.125:0.125:0.125) (0.295:0.295:0.295)) - (IOPATH S X (0.237:0.244:0.250) (0.340:0.341:0.341)) - (IOPATH S X (0.159:0.160:0.161) (0.343:0.349:0.355)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4218_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.174:0.174:0.174) (0.299:0.299:0.299)) - (IOPATH A1 X (0.115:0.115:0.115) (0.283:0.283:0.283)) - (IOPATH S X (0.228:0.234:0.241) (0.328:0.329:0.329)) - (IOPATH S X (0.149:0.150:0.151) (0.331:0.337:0.343)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4219_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.183:0.183:0.183) (0.312:0.312:0.312)) - (IOPATH A1 X (0.129:0.129:0.129) (0.298:0.298:0.298)) - (IOPATH S X (0.238:0.244:0.251) (0.341:0.342:0.342)) - (IOPATH S X (0.160:0.161:0.161) (0.344:0.350:0.356)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4220_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.155:0.155:0.155) (0.302:0.302:0.302)) - (IOPATH A1 X (0.119:0.119:0.119) (0.285:0.285:0.285)) - (IOPATH S X (0.228:0.234:0.241) (0.328:0.329:0.329)) - (IOPATH S X (0.150:0.150:0.151) (0.331:0.337:0.343)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4221_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.165:0.165:0.165) (0.312:0.312:0.312)) - (IOPATH A1 X (0.118:0.118:0.118) (0.286:0.286:0.286)) - (IOPATH S X (0.230:0.237:0.243) (0.331:0.332:0.332)) - (IOPATH S X (0.152:0.153:0.154) (0.334:0.340:0.346)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _4222_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.070:0.070:0.070) (0.057:0.057:0.057)) - (IOPATH B Y (0.104:0.104:0.104) (0.092:0.092:0.092)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4223_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.177:0.177:0.177) (0.307:0.307:0.307)) - (IOPATH A1 X (0.123:0.123:0.123) (0.289:0.289:0.289)) - (IOPATH S X (0.232:0.232:0.232) (0.345:0.345:0.345)) - (IOPATH S X (0.175:0.175:0.175) (0.336:0.336:0.336)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4224_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.193:0.193:0.193) (0.323:0.323:0.323)) - (IOPATH A1 X (0.141:0.141:0.141) (0.307:0.307:0.307)) - (IOPATH S X (0.246:0.246:0.246) (0.361:0.361:0.361)) - (IOPATH S X (0.189:0.189:0.189) (0.352:0.352:0.352)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4225_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.185:0.185:0.185) (0.314:0.314:0.314)) - (IOPATH A1 X (0.136:0.136:0.136) (0.302:0.302:0.302)) - (IOPATH S X (0.242:0.242:0.242) (0.357:0.357:0.357)) - (IOPATH S X (0.184:0.184:0.184) (0.348:0.348:0.348)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4226_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.185:0.185:0.185) (0.313:0.313:0.313)) - (IOPATH A1 X (0.125:0.125:0.125) (0.291:0.291:0.291)) - (IOPATH S X (0.234:0.234:0.234) (0.347:0.347:0.347)) - (IOPATH S X (0.176:0.176:0.176) (0.338:0.338:0.338)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4227_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.182:0.182:0.182) (0.316:0.316:0.316)) - (IOPATH A1 X (0.123:0.123:0.123) (0.289:0.289:0.289)) - (IOPATH S X (0.232:0.232:0.232) (0.345:0.345:0.345)) - (IOPATH S X (0.174:0.174:0.174) (0.336:0.336:0.336)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _4228_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.541:0.541:0.541) (0.168:0.168:0.168)) - (IOPATH B Y (0.531:0.531:0.531) (0.159:0.159:0.159)) - (IOPATH C Y (0.489:0.489:0.489) (0.149:0.149:0.149)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_2") - (INSTANCE _4229_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.235:0.235:0.235) (0.583:0.583:0.583)) - (IOPATH B X (0.231:0.231:0.231) (0.560:0.560:0.560)) - (IOPATH C X (0.223:0.223:0.223) (0.517:0.517:0.517)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _4230_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.243:0.243:0.243) (0.647:0.647:0.647)) - (IOPATH B X (0.212:0.212:0.212) (0.612:0.612:0.612)) - (IOPATH C X (0.209:0.209:0.209) (0.578:0.578:0.578)) - (IOPATH D X (0.206:0.206:0.206) (0.510:0.510:0.510)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _4231_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.281:0.281:0.281) (0.099:0.099:0.099)) - (IOPATH B Y (0.266:0.266:0.266) (0.083:0.083:0.083)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_2") - (INSTANCE _4232_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.270:0.270:0.270) (0.428:0.428:0.428)) - (IOPATH B X (0.263:0.263:0.263) (0.417:0.417:0.417)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a2111o_1") - (INSTANCE _4233_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.137:0.137:0.137) (0.361:0.361:0.361)) - (IOPATH A2 X (0.169:0.169:0.169) (0.422:0.422:0.422)) - (IOPATH B1 X (0.136:0.136:0.136) (0.403:0.403:0.403)) - (IOPATH C1 X (0.130:0.130:0.131) (0.383:0.384:0.384)) - (IOPATH D1 X (0.128:0.128:0.128) (0.317:0.317:0.317)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _4234_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.076:0.076:0.076) (0.060:0.060:0.060)) - (IOPATH B Y (0.098:0.098:0.098) (0.082:0.082:0.082)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4235_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.187:0.187:0.187) (0.318:0.318:0.318)) - (IOPATH A1 X (0.128:0.128:0.128) (0.297:0.297:0.297)) - (IOPATH S X (0.244:0.244:0.244) (0.358:0.358:0.358)) - (IOPATH S X (0.187:0.187:0.187) (0.350:0.350:0.350)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4236_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.192:0.192:0.192) (0.322:0.322:0.322)) - (IOPATH A1 X (0.133:0.133:0.133) (0.302:0.302:0.302)) - (IOPATH S X (0.248:0.248:0.248) (0.362:0.362:0.362)) - (IOPATH S X (0.192:0.192:0.192) (0.354:0.354:0.354)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4237_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.188:0.188:0.188) (0.317:0.317:0.317)) - (IOPATH A1 X (0.133:0.133:0.133) (0.302:0.302:0.302)) - (IOPATH S X (0.248:0.248:0.248) (0.362:0.362:0.362)) - (IOPATH S X (0.191:0.191:0.191) (0.353:0.353:0.353)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4238_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.191:0.191:0.191) (0.319:0.319:0.319)) - (IOPATH A1 X (0.130:0.130:0.130) (0.297:0.297:0.297)) - (IOPATH S X (0.242:0.242:0.242) (0.356:0.356:0.356)) - (IOPATH S X (0.185:0.185:0.185) (0.347:0.347:0.347)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4239_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.186:0.186:0.186) (0.320:0.320:0.320)) - (IOPATH A1 X (0.127:0.127:0.127) (0.293:0.293:0.293)) - (IOPATH S X (0.238:0.238:0.238) (0.351:0.351:0.351)) - (IOPATH S X (0.181:0.181:0.181) (0.342:0.342:0.342)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _4240_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.312:0.313:0.314) (0.250:0.269:0.288)) - (IOPATH B X (0.294:0.294:0.294) (0.288:0.288:0.288)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4241_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.121:0.121:0.121) (0.279:0.279:0.279)) - (IOPATH A1 X (0.165:0.165:0.165) (0.319:0.319:0.319)) - (IOPATH S X (0.209:0.209:0.210) (0.333:0.333:0.333)) - (IOPATH S X (0.154:0.155:0.155) (0.317:0.318:0.318)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4242_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.118:0.118:0.118) (0.277:0.277:0.277)) - (IOPATH A1 X (0.180:0.180:0.180) (0.312:0.312:0.312)) - (IOPATH S X (0.209:0.210:0.210) (0.333:0.333:0.333)) - (IOPATH S X (0.155:0.155:0.155) (0.317:0.318:0.318)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4243_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.116:0.116:0.116) (0.276:0.276:0.276)) - (IOPATH A1 X (0.179:0.179:0.179) (0.313:0.313:0.313)) - (IOPATH S X (0.208:0.208:0.209) (0.331:0.331:0.331)) - (IOPATH S X (0.153:0.153:0.153) (0.316:0.316:0.316)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4244_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.120:0.120:0.120) (0.280:0.280:0.280)) - (IOPATH A1 X (0.163:0.163:0.163) (0.317:0.317:0.317)) - (IOPATH S X (0.211:0.212:0.212) (0.336:0.336:0.336)) - (IOPATH S X (0.157:0.157:0.157) (0.320:0.320:0.321)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4245_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.139:0.139:0.139) (0.298:0.298:0.298)) - (IOPATH A1 X (0.183:0.183:0.183) (0.339:0.339:0.339)) - (IOPATH S X (0.224:0.224:0.224) (0.350:0.350:0.350)) - (IOPATH S X (0.169:0.169:0.169) (0.334:0.334:0.335)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE _4246_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.188:0.190:0.191) (0.220:0.220:0.220)) - (IOPATH B Y (0.213:0.213:0.213) (0.191:0.191:0.191)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4247_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.173:0.173:0.173) (0.322:0.322:0.322)) - (IOPATH A1 X (0.134:0.134:0.134) (0.302:0.302:0.302)) - (IOPATH S X (0.247:0.254:0.260) (0.349:0.349:0.350)) - (IOPATH S X (0.171:0.172:0.172) (0.353:0.358:0.364)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4248_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.175:0.175:0.175) (0.300:0.300:0.300)) - (IOPATH A1 X (0.121:0.121:0.121) (0.287:0.287:0.287)) - (IOPATH S X (0.235:0.242:0.249) (0.334:0.335:0.335)) - (IOPATH S X (0.159:0.160:0.160) (0.338:0.344:0.350)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4249_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.173:0.173:0.173) (0.301:0.301:0.301)) - (IOPATH A1 X (0.116:0.116:0.116) (0.282:0.282:0.282)) - (IOPATH S X (0.233:0.239:0.246) (0.331:0.331:0.332)) - (IOPATH S X (0.156:0.157:0.157) (0.335:0.341:0.347)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4250_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.183:0.183:0.183) (0.330:0.330:0.330)) - (IOPATH A1 X (0.150:0.150:0.150) (0.317:0.317:0.317)) - (IOPATH S X (0.261:0.267:0.274) (0.363:0.363:0.363)) - (IOPATH S X (0.184:0.185:0.185) (0.366:0.372:0.378)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4251_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.165:0.165:0.165) (0.313:0.313:0.313)) - (IOPATH A1 X (0.121:0.121:0.121) (0.288:0.288:0.288)) - (IOPATH S X (0.238:0.244:0.251) (0.337:0.338:0.338)) - (IOPATH S X (0.161:0.162:0.163) (0.341:0.347:0.353)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _4252_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.337:0.337:0.337) (0.260:0.270:0.280)) - (IOPATH B X (0.320:0.320:0.320) (0.304:0.304:0.304)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4253_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.121:0.121:0.121) (0.280:0.280:0.280)) - (IOPATH A1 X (0.165:0.165:0.165) (0.320:0.320:0.320)) - (IOPATH S X (0.215:0.216:0.216) (0.339:0.339:0.339)) - (IOPATH S X (0.163:0.163:0.163) (0.323:0.323:0.323)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4254_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.112:0.112:0.112) (0.271:0.271:0.271)) - (IOPATH A1 X (0.175:0.175:0.175) (0.306:0.306:0.306)) - (IOPATH S X (0.210:0.210:0.210) (0.331:0.331:0.331)) - (IOPATH S X (0.157:0.157:0.157) (0.315:0.316:0.316)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4255_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.131:0.131:0.131) (0.290:0.290:0.290)) - (IOPATH A1 X (0.189:0.189:0.189) (0.324:0.324:0.324)) - (IOPATH S X (0.222:0.222:0.223) (0.347:0.347:0.347)) - (IOPATH S X (0.170:0.170:0.170) (0.331:0.331:0.331)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4256_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.121:0.121:0.121) (0.283:0.283:0.283)) - (IOPATH A1 X (0.167:0.167:0.167) (0.322:0.322:0.322)) - (IOPATH S X (0.221:0.221:0.221) (0.345:0.345:0.345)) - (IOPATH S X (0.169:0.169:0.169) (0.329:0.329:0.330)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4257_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.146:0.146:0.146) (0.304:0.304:0.304)) - (IOPATH A1 X (0.190:0.190:0.190) (0.345:0.345:0.345)) - (IOPATH S X (0.236:0.236:0.237) (0.361:0.361:0.361)) - (IOPATH S X (0.184:0.184:0.184) (0.345:0.345:0.346)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _4258_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.335:0.335:0.335) (0.235:0.243:0.252)) - (IOPATH B X (0.331:0.331:0.331) (0.276:0.276:0.276)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4259_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.139:0.139:0.139) (0.295:0.295:0.295)) - (IOPATH A1 X (0.190:0.190:0.190) (0.326:0.326:0.326)) - (IOPATH S X (0.234:0.234:0.234) (0.357:0.357:0.357)) - (IOPATH S X (0.186:0.186:0.186) (0.341:0.341:0.341)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4260_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.124:0.124:0.124) (0.283:0.283:0.283)) - (IOPATH A1 X (0.184:0.184:0.184) (0.320:0.320:0.320)) - (IOPATH S X (0.229:0.229:0.229) (0.351:0.351:0.351)) - (IOPATH S X (0.181:0.181:0.181) (0.334:0.335:0.335)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4261_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.126:0.126:0.126) (0.287:0.287:0.287)) - (IOPATH A1 X (0.186:0.186:0.186) (0.322:0.322:0.322)) - (IOPATH S X (0.233:0.234:0.234) (0.357:0.357:0.357)) - (IOPATH S X (0.185:0.185:0.185) (0.340:0.340:0.340)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4262_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.137:0.137:0.137) (0.298:0.298:0.298)) - (IOPATH A1 X (0.206:0.206:0.206) (0.340:0.340:0.340)) - (IOPATH S X (0.243:0.243:0.244) (0.367:0.367:0.367)) - (IOPATH S X (0.195:0.195:0.195) (0.350:0.350:0.350)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4263_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.128:0.128:0.128) (0.287:0.287:0.287)) - (IOPATH A1 X (0.193:0.193:0.193) (0.333:0.333:0.333)) - (IOPATH S X (0.232:0.232:0.232) (0.355:0.355:0.355)) - (IOPATH S X (0.184:0.184:0.184) (0.338:0.338:0.338)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _4264_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.312:0.312:0.312) (0.245:0.247:0.248)) - (IOPATH B X (0.318:0.318:0.318) (0.334:0.334:0.334)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4265_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.119:0.119:0.119) (0.279:0.279:0.279)) - (IOPATH A1 X (0.161:0.161:0.161) (0.313:0.313:0.313)) - (IOPATH S X (0.211:0.212:0.212) (0.336:0.336:0.336)) - (IOPATH S X (0.157:0.157:0.157) (0.320:0.320:0.321)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4266_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.114:0.114:0.114) (0.273:0.273:0.273)) - (IOPATH A1 X (0.181:0.181:0.181) (0.318:0.318:0.318)) - (IOPATH S X (0.205:0.206:0.206) (0.328:0.328:0.328)) - (IOPATH S X (0.151:0.151:0.151) (0.313:0.313:0.313)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4267_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.123:0.123:0.123) (0.284:0.284:0.284)) - (IOPATH A1 X (0.193:0.193:0.193) (0.334:0.334:0.334)) - (IOPATH S X (0.214:0.214:0.215) (0.340:0.340:0.340)) - (IOPATH S X (0.160:0.160:0.160) (0.324:0.324:0.324)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4268_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.119:0.119:0.119) (0.280:0.280:0.280)) - (IOPATH A1 X (0.185:0.185:0.185) (0.319:0.319:0.319)) - (IOPATH S X (0.211:0.211:0.212) (0.336:0.336:0.336)) - (IOPATH S X (0.157:0.157:0.157) (0.320:0.320:0.321)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4269_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.116:0.116:0.116) (0.277:0.277:0.277)) - (IOPATH A1 X (0.184:0.184:0.184) (0.318:0.318:0.318)) - (IOPATH S X (0.210:0.211:0.211) (0.335:0.335:0.335)) - (IOPATH S X (0.156:0.156:0.156) (0.319:0.320:0.320)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _4270_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.323:0.323:0.323) (0.249:0.251:0.253)) - (IOPATH B X (0.288:0.288:0.288) (0.282:0.282:0.282)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4271_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.115:0.115:0.115) (0.275:0.275:0.275)) - (IOPATH A1 X (0.178:0.178:0.178) (0.313:0.313:0.313)) - (IOPATH S X (0.205:0.206:0.206) (0.330:0.330:0.330)) - (IOPATH S X (0.150:0.151:0.151) (0.314:0.314:0.314)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4272_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.130:0.130:0.130) (0.290:0.290:0.290)) - (IOPATH A1 X (0.191:0.191:0.191) (0.327:0.327:0.327)) - (IOPATH S X (0.215:0.216:0.216) (0.342:0.343:0.343)) - (IOPATH S X (0.161:0.161:0.161) (0.326:0.327:0.327)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4273_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.122:0.122:0.122) (0.281:0.281:0.281)) - (IOPATH A1 X (0.180:0.180:0.180) (0.314:0.314:0.314)) - (IOPATH S X (0.208:0.209:0.209) (0.334:0.334:0.334)) - (IOPATH S X (0.154:0.154:0.154) (0.318:0.318:0.319)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4274_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.129:0.129:0.129) (0.290:0.290:0.290)) - (IOPATH A1 X (0.198:0.198:0.198) (0.333:0.333:0.333)) - (IOPATH S X (0.217:0.217:0.218) (0.344:0.344:0.344)) - (IOPATH S X (0.162:0.162:0.162) (0.328:0.328:0.328)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4275_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.119:0.119:0.119) (0.280:0.280:0.280)) - (IOPATH A1 X (0.190:0.190:0.190) (0.329:0.329:0.329)) - (IOPATH S X (0.210:0.211:0.211) (0.336:0.336:0.336)) - (IOPATH S X (0.156:0.156:0.156) (0.320:0.320:0.321)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _4276_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.147:0.148:0.148) (0.180:0.180:0.180)) - (IOPATH B Y (0.172:0.172:0.172) (0.168:0.168:0.168)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4277_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.165:0.165:0.165) (0.296:0.296:0.296)) - (IOPATH A1 X (0.123:0.123:0.123) (0.288:0.288:0.288)) - (IOPATH S X (0.225:0.230:0.235) (0.328:0.329:0.329)) - (IOPATH S X (0.148:0.148:0.149) (0.329:0.334:0.339)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4278_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.191:0.191:0.191) (0.319:0.319:0.319)) - (IOPATH A1 X (0.133:0.133:0.133) (0.302:0.302:0.302)) - (IOPATH S X (0.238:0.243:0.249) (0.344:0.344:0.345)) - (IOPATH S X (0.161:0.161:0.162) (0.345:0.349:0.354)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4279_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.176:0.176:0.176) (0.304:0.304:0.304)) - (IOPATH A1 X (0.125:0.125:0.125) (0.291:0.291:0.291)) - (IOPATH S X (0.227:0.232:0.238) (0.331:0.331:0.332)) - (IOPATH S X (0.150:0.151:0.151) (0.332:0.337:0.341)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4280_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.195:0.195:0.195) (0.325:0.325:0.325)) - (IOPATH A1 X (0.133:0.133:0.133) (0.301:0.301:0.301)) - (IOPATH S X (0.235:0.241:0.246) (0.341:0.341:0.342)) - (IOPATH S X (0.158:0.159:0.160) (0.342:0.347:0.351)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4281_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.179:0.179:0.179) (0.311:0.311:0.311)) - (IOPATH A1 X (0.132:0.132:0.132) (0.300:0.300:0.300)) - (IOPATH S X (0.234:0.239:0.245) (0.340:0.340:0.341)) - (IOPATH S X (0.157:0.158:0.158) (0.341:0.346:0.350)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _4282_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.162:0.169:0.176) (0.210:0.210:0.210)) - (IOPATH B Y (0.169:0.169:0.169) (0.154:0.154:0.154)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4283_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.162:0.162:0.162) (0.295:0.295:0.295)) - (IOPATH A1 X (0.128:0.128:0.128) (0.295:0.295:0.295)) - (IOPATH S X (0.229:0.241:0.253) (0.336:0.337:0.337)) - (IOPATH S X (0.156:0.156:0.157) (0.334:0.345:0.356)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4284_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.181:0.181:0.181) (0.311:0.311:0.311)) - (IOPATH A1 X (0.143:0.143:0.143) (0.308:0.308:0.308)) - (IOPATH S X (0.238:0.250:0.262) (0.347:0.347:0.347)) - (IOPATH S X (0.165:0.166:0.166) (0.345:0.355:0.366)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4285_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.181:0.181:0.181) (0.306:0.306:0.306)) - (IOPATH A1 X (0.119:0.119:0.119) (0.286:0.286:0.286)) - (IOPATH S X (0.225:0.237:0.249) (0.331:0.332:0.332)) - (IOPATH S X (0.152:0.152:0.153) (0.329:0.340:0.351)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4286_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.179:0.179:0.179) (0.310:0.310:0.310)) - (IOPATH A1 X (0.121:0.121:0.121) (0.287:0.287:0.287)) - (IOPATH S X (0.224:0.236:0.248) (0.330:0.330:0.331)) - (IOPATH S X (0.151:0.152:0.152) (0.328:0.339:0.350)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4287_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.196:0.196:0.196) (0.326:0.326:0.326)) - (IOPATH A1 X (0.137:0.137:0.137) (0.306:0.306:0.306)) - (IOPATH S X (0.240:0.252:0.264) (0.349:0.349:0.350)) - (IOPATH S X (0.167:0.168:0.168) (0.347:0.358:0.369)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _4288_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.149:0.155:0.160) (0.179:0.179:0.179)) - (IOPATH B Y (0.174:0.174:0.174) (0.171:0.171:0.171)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4289_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.174:0.174:0.174) (0.301:0.301:0.301)) - (IOPATH A1 X (0.117:0.117:0.117) (0.284:0.284:0.284)) - (IOPATH S X (0.224:0.228:0.232) (0.326:0.327:0.327)) - (IOPATH S X (0.146:0.147:0.148) (0.328:0.332:0.335)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4290_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.185:0.185:0.185) (0.315:0.315:0.315)) - (IOPATH A1 X (0.128:0.128:0.128) (0.297:0.297:0.297)) - (IOPATH S X (0.234:0.238:0.242) (0.338:0.339:0.339)) - (IOPATH S X (0.156:0.157:0.158) (0.340:0.344:0.348)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4291_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.171:0.171:0.171) (0.298:0.298:0.298)) - (IOPATH A1 X (0.116:0.116:0.116) (0.283:0.283:0.283)) - (IOPATH S X (0.223:0.228:0.232) (0.326:0.326:0.327)) - (IOPATH S X (0.146:0.147:0.147) (0.327:0.331:0.335)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4292_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.183:0.183:0.183) (0.310:0.310:0.310)) - (IOPATH A1 X (0.123:0.123:0.123) (0.289:0.289:0.289)) - (IOPATH S X (0.226:0.230:0.234) (0.329:0.329:0.330)) - (IOPATH S X (0.148:0.149:0.150) (0.330:0.334:0.338)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4293_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.178:0.178:0.178) (0.308:0.308:0.308)) - (IOPATH A1 X (0.117:0.117:0.117) (0.283:0.283:0.283)) - (IOPATH S X (0.223:0.227:0.231) (0.325:0.325:0.325)) - (IOPATH S X (0.145:0.146:0.147) (0.326:0.330:0.334)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _4294_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.166:0.172:0.178) (0.201:0.201:0.201)) - (IOPATH B Y (0.176:0.176:0.176) (0.160:0.160:0.160)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4295_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.221:0.221:0.221) (0.350:0.350:0.350)) - (IOPATH A1 X (0.212:0.212:0.212) (0.365:0.365:0.365)) - (IOPATH S X (0.288:0.297:0.305) (0.393:0.393:0.393)) - (IOPATH S X (0.214:0.215:0.216) (0.392:0.399:0.406)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4296_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.246:0.246:0.246) (0.368:0.368:0.368)) - (IOPATH A1 X (0.222:0.222:0.222) (0.374:0.374:0.374)) - (IOPATH S X (0.305:0.313:0.321) (0.406:0.406:0.406)) - (IOPATH S X (0.231:0.232:0.232) (0.404:0.412:0.419)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4297_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.315:0.315:0.315) (0.423:0.423:0.423)) - (IOPATH A1 X (0.283:0.283:0.283) (0.420:0.420:0.420)) - (IOPATH S X (0.357:0.366:0.374) (0.445:0.446:0.446)) - (IOPATH S X (0.283:0.284:0.284) (0.444:0.452:0.459)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4298_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.286:0.286:0.286) (0.402:0.402:0.402)) - (IOPATH A1 X (0.261:0.261:0.261) (0.402:0.402:0.402)) - (IOPATH S X (0.331:0.339:0.347) (0.425:0.425:0.426)) - (IOPATH S X (0.257:0.257:0.258) (0.424:0.431:0.439)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4299_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.239:0.239:0.239) (0.365:0.365:0.365)) - (IOPATH A1 X (0.203:0.203:0.203) (0.359:0.359:0.359)) - (IOPATH S X (0.285:0.293:0.301) (0.390:0.390:0.391)) - (IOPATH S X (0.211:0.211:0.212) (0.389:0.396:0.403)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _4300_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.158:0.160:0.162) (0.191:0.191:0.192)) - (IOPATH B Y (0.173:0.173:0.173) (0.170:0.170:0.170)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4301_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.172:0.172:0.172) (0.299:0.299:0.299)) - (IOPATH A1 X (0.117:0.117:0.117) (0.283:0.283:0.283)) - (IOPATH S X (0.222:0.229:0.237) (0.324:0.324:0.324)) - (IOPATH S X (0.144:0.145:0.146) (0.325:0.332:0.339)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4302_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.173:0.173:0.173) (0.302:0.302:0.302)) - (IOPATH A1 X (0.120:0.120:0.120) (0.285:0.285:0.285)) - (IOPATH S X (0.222:0.230:0.238) (0.325:0.325:0.326)) - (IOPATH S X (0.145:0.146:0.146) (0.326:0.333:0.340)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4303_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.182:0.182:0.182) (0.310:0.310:0.310)) - (IOPATH A1 X (0.127:0.127:0.127) (0.295:0.295:0.295)) - (IOPATH S X (0.232:0.240:0.248) (0.337:0.337:0.338)) - (IOPATH S X (0.155:0.156:0.156) (0.338:0.345:0.352)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4304_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.187:0.187:0.187) (0.314:0.314:0.314)) - (IOPATH A1 X (0.121:0.121:0.121) (0.290:0.290:0.290)) - (IOPATH S X (0.229:0.237:0.244) (0.333:0.333:0.334)) - (IOPATH S X (0.152:0.152:0.153) (0.334:0.341:0.348)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4305_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.180:0.180:0.180) (0.313:0.313:0.313)) - (IOPATH A1 X (0.118:0.118:0.118) (0.285:0.285:0.285)) - (IOPATH S X (0.224:0.232:0.239) (0.326:0.327:0.327)) - (IOPATH S X (0.147:0.147:0.148) (0.328:0.335:0.341)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE _4306_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.140:0.143:0.147) (0.159:0.159:0.159)) - (IOPATH B Y (0.155:0.155:0.155) (0.132:0.132:0.132)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4307_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.155:0.155:0.155) (0.300:0.300:0.300)) - (IOPATH A1 X (0.122:0.122:0.122) (0.289:0.289:0.289)) - (IOPATH S X (0.217:0.224:0.230) (0.328:0.329:0.329)) - (IOPATH S X (0.147:0.148:0.148) (0.324:0.329:0.334)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4308_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.179:0.179:0.179) (0.305:0.305:0.305)) - (IOPATH A1 X (0.124:0.124:0.124) (0.291:0.291:0.291)) - (IOPATH S X (0.218:0.225:0.231) (0.330:0.330:0.331)) - (IOPATH S X (0.148:0.149:0.149) (0.325:0.330:0.336)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4309_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.175:0.175:0.175) (0.300:0.300:0.300)) - (IOPATH A1 X (0.116:0.116:0.116) (0.282:0.282:0.282)) - (IOPATH S X (0.212:0.219:0.225) (0.322:0.323:0.323)) - (IOPATH S X (0.142:0.143:0.143) (0.318:0.323:0.328)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4310_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.175:0.175:0.175) (0.300:0.300:0.300)) - (IOPATH A1 X (0.117:0.117:0.117) (0.284:0.284:0.284)) - (IOPATH S X (0.213:0.220:0.226) (0.323:0.324:0.324)) - (IOPATH S X (0.143:0.144:0.144) (0.319:0.324:0.329)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4311_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.163:0.163:0.163) (0.310:0.310:0.310)) - (IOPATH A1 X (0.122:0.122:0.122) (0.288:0.288:0.288)) - (IOPATH S X (0.215:0.222:0.228) (0.326:0.327:0.327)) - (IOPATH S X (0.145:0.146:0.147) (0.322:0.327:0.332)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _4312_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.310:0.310:0.310) (0.244:0.246:0.247)) - (IOPATH B X (0.286:0.286:0.286) (0.285:0.285:0.285)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4313_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.128:0.128:0.128) (0.290:0.290:0.290)) - (IOPATH A1 X (0.171:0.171:0.171) (0.325:0.325:0.325)) - (IOPATH S X (0.219:0.219:0.220) (0.346:0.346:0.346)) - (IOPATH S X (0.164:0.164:0.165) (0.330:0.330:0.331)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4314_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.163:0.163:0.163) (0.320:0.320:0.320)) - (IOPATH A1 X (0.217:0.217:0.217) (0.349:0.349:0.349)) - (IOPATH S X (0.240:0.240:0.241) (0.367:0.368:0.368)) - (IOPATH S X (0.185:0.185:0.185) (0.351:0.351:0.352)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4315_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.122:0.122:0.122) (0.281:0.281:0.281)) - (IOPATH A1 X (0.183:0.183:0.183) (0.319:0.319:0.319)) - (IOPATH S X (0.208:0.208:0.209) (0.333:0.333:0.333)) - (IOPATH S X (0.153:0.153:0.153) (0.317:0.318:0.318)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4316_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.167:0.167:0.167) (0.326:0.326:0.326)) - (IOPATH A1 X (0.228:0.228:0.228) (0.365:0.365:0.365)) - (IOPATH S X (0.249:0.249:0.249) (0.377:0.377:0.377)) - (IOPATH S X (0.194:0.194:0.194) (0.360:0.360:0.361)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4317_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.126:0.126:0.126) (0.287:0.287:0.287)) - (IOPATH A1 X (0.190:0.190:0.190) (0.327:0.327:0.327)) - (IOPATH S X (0.214:0.214:0.215) (0.341:0.341:0.341)) - (IOPATH S X (0.159:0.159:0.159) (0.325:0.325:0.325)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _4318_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.287:0.287:0.287) (0.264:0.264:0.264)) - (IOPATH B X (0.326:0.326:0.326) (0.302:0.302:0.302)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4319_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.126:0.126:0.126) (0.282:0.282:0.282)) - (IOPATH A1 X (0.160:0.160:0.160) (0.300:0.300:0.300)) - (IOPATH S X (0.223:0.223:0.223) (0.343:0.343:0.343)) - (IOPATH S X (0.172:0.172:0.172) (0.328:0.328:0.328)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4320_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.157:0.157:0.157) (0.315:0.315:0.315)) - (IOPATH A1 X (0.203:0.203:0.203) (0.340:0.340:0.340)) - (IOPATH S X (0.255:0.255:0.256) (0.378:0.379:0.379)) - (IOPATH S X (0.205:0.205:0.205) (0.362:0.363:0.363)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4321_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.126:0.126:0.126) (0.284:0.284:0.284)) - (IOPATH A1 X (0.188:0.188:0.188) (0.323:0.323:0.323)) - (IOPATH S X (0.226:0.227:0.227) (0.348:0.348:0.348)) - (IOPATH S X (0.176:0.176:0.176) (0.332:0.332:0.333)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4322_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.146:0.146:0.146) (0.306:0.306:0.306)) - (IOPATH A1 X (0.211:0.211:0.211) (0.349:0.349:0.349)) - (IOPATH S X (0.248:0.249:0.249) (0.372:0.372:0.372)) - (IOPATH S X (0.198:0.198:0.198) (0.356:0.356:0.356)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4323_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.122:0.122:0.122) (0.282:0.282:0.282)) - (IOPATH A1 X (0.185:0.185:0.185) (0.319:0.319:0.319)) - (IOPATH S X (0.226:0.227:0.227) (0.348:0.348:0.348)) - (IOPATH S X (0.176:0.176:0.176) (0.332:0.333:0.333)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _4324_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.369:0.369:0.369) (0.277:0.288:0.298)) - (IOPATH B X (0.355:0.355:0.355) (0.361:0.361:0.361)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4325_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.155:0.155:0.155) (0.314:0.314:0.314)) - (IOPATH A1 X (0.192:0.192:0.192) (0.345:0.345:0.345)) - (IOPATH S X (0.250:0.250:0.251) (0.375:0.375:0.375)) - (IOPATH S X (0.198:0.198:0.199) (0.359:0.359:0.359)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4326_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.121:0.121:0.121) (0.281:0.281:0.281)) - (IOPATH A1 X (0.189:0.189:0.189) (0.328:0.328:0.328)) - (IOPATH S X (0.220:0.221:0.221) (0.344:0.344:0.344)) - (IOPATH S X (0.169:0.169:0.169) (0.328:0.328:0.328)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4327_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.122:0.122:0.122) (0.282:0.282:0.282)) - (IOPATH A1 X (0.187:0.187:0.187) (0.323:0.323:0.323)) - (IOPATH S X (0.222:0.222:0.222) (0.345:0.345:0.345)) - (IOPATH S X (0.170:0.170:0.170) (0.329:0.329:0.330)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4328_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.138:0.138:0.138) (0.296:0.296:0.296)) - (IOPATH A1 X (0.197:0.197:0.197) (0.338:0.338:0.338)) - (IOPATH S X (0.230:0.230:0.231) (0.355:0.355:0.355)) - (IOPATH S X (0.179:0.179:0.179) (0.338:0.339:0.339)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4329_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.118:0.118:0.118) (0.278:0.278:0.278)) - (IOPATH A1 X (0.183:0.183:0.183) (0.317:0.317:0.317)) - (IOPATH S X (0.218:0.218:0.219) (0.341:0.341:0.341)) - (IOPATH S X (0.166:0.166:0.166) (0.324:0.325:0.325)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _4330_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.154:0.157:0.159) (0.191:0.191:0.192)) - (IOPATH B Y (0.182:0.182:0.182) (0.173:0.173:0.173)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4331_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.161:0.161:0.161) (0.307:0.307:0.307)) - (IOPATH A1 X (0.128:0.128:0.128) (0.296:0.296:0.296)) - (IOPATH S X (0.233:0.239:0.246) (0.337:0.337:0.338)) - (IOPATH S X (0.156:0.157:0.157) (0.339:0.344:0.350)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4332_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.180:0.180:0.180) (0.306:0.306:0.306)) - (IOPATH A1 X (0.127:0.127:0.127) (0.293:0.293:0.293)) - (IOPATH S X (0.229:0.236:0.242) (0.333:0.333:0.334)) - (IOPATH S X (0.152:0.153:0.154) (0.334:0.340:0.346)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4333_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.178:0.178:0.178) (0.308:0.308:0.308)) - (IOPATH A1 X (0.118:0.118:0.118) (0.284:0.284:0.284)) - (IOPATH S X (0.225:0.231:0.238) (0.327:0.327:0.328)) - (IOPATH S X (0.148:0.149:0.149) (0.329:0.334:0.340)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4334_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.182:0.182:0.182) (0.310:0.310:0.310)) - (IOPATH A1 X (0.125:0.125:0.125) (0.291:0.291:0.291)) - (IOPATH S X (0.229:0.236:0.242) (0.332:0.333:0.333)) - (IOPATH S X (0.152:0.153:0.154) (0.334:0.340:0.345)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _4335_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.187:0.187:0.187) (0.316:0.316:0.316)) - (IOPATH A1 X (0.130:0.130:0.130) (0.298:0.298:0.298)) - (IOPATH S X (0.235:0.242:0.248) (0.340:0.341:0.341)) - (IOPATH S X (0.158:0.159:0.160) (0.342:0.348:0.353)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_4") - (INSTANCE _4336_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.271:0.271:0.271) (0.502:0.502:0.502)) - (IOPATH B X (0.274:0.274:0.274) (0.485:0.485:0.485)) - (IOPATH C X (0.269:0.269:0.269) (0.444:0.444:0.444)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4_1") - (INSTANCE _4337_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.191:0.191:0.191) (0.154:0.154:0.154)) - (IOPATH B X (0.205:0.205:0.205) (0.182:0.182:0.182)) - (IOPATH C X (0.207:0.207:0.207) (0.195:0.195:0.195)) - (IOPATH D X (0.215:0.215:0.215) (0.209:0.209:0.209)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4_1") - (INSTANCE _4338_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.192:0.192:0.192) (0.155:0.155:0.155)) - (IOPATH B X (0.200:0.200:0.200) (0.179:0.179:0.179)) - (IOPATH C X (0.204:0.204:0.204) (0.194:0.194:0.194)) - (IOPATH D X (0.220:0.220:0.220) (0.216:0.216:0.216)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4_1") - (INSTANCE _4339_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.214:0.214:0.214) (0.167:0.167:0.167)) - (IOPATH B X (0.222:0.222:0.222) (0.192:0.192:0.192)) - (IOPATH C X (0.222:0.222:0.222) (0.204:0.204:0.204)) - (IOPATH D X (0.230:0.230:0.230) (0.217:0.217:0.217)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_1") - (INSTANCE _4340_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.300:0.300:0.300) (0.226:0.227:0.228)) - (IOPATH B X (0.303:0.303:0.303) (0.246:0.247:0.248)) - (IOPATH C X (0.313:0.313:0.313) (0.266:0.267:0.268)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _4341_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.282:0.282:0.282) (0.251:0.251:0.251)) - (IOPATH B X (0.275:0.275:0.275) (0.271:0.271:0.271)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _4342_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.394:0.394:0.394) (0.300:0.300:0.300)) - (IOPATH B X (0.398:0.398:0.398) (0.323:0.323:0.323)) - (IOPATH C X (0.392:0.392:0.392) (0.336:0.336:0.336)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _4343_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.170:0.170:0.170) (0.148:0.148:0.148)) - (IOPATH B Y (0.180:0.180:0.180) (0.171:0.171:0.171)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4344_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.247:0.247:0.247) (0.319:0.319:0.319)) - (IOPATH B X (0.241:0.241:0.241) (0.302:0.302:0.302)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_4") - (INSTANCE _4345_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.294:0.294:0.294) (0.329:0.329:0.329)) - (IOPATH A2 X (0.278:0.278:0.278) (0.309:0.309:0.309)) - (IOPATH B1 X (0.285:0.285:0.285) (0.223:0.223:0.223)) - (IOPATH C1 X (0.301:0.301:0.301) (0.226:0.226:0.226)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4346_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.193:0.194:0.195) (0.196:0.197:0.197)) - (IOPATH B Y (0.175:0.177:0.179) (0.159:0.159:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_1") - (INSTANCE _4347_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.286:0.286:0.286) (0.216:0.216:0.216)) - (IOPATH B X (0.309:0.309:0.309) (0.262:0.263:0.264)) - (IOPATH C X (0.279:0.279:0.280) (0.252:0.254:0.257)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_1") - (INSTANCE _4348_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.259:0.259:0.259) (0.205:0.206:0.207)) - (IOPATH B X (0.263:0.263:0.263) (0.225:0.226:0.227)) - (IOPATH C X (0.272:0.272:0.272) (0.245:0.246:0.247)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _4349_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.206:0.206:0.206) (0.221:0.221:0.222)) - (IOPATH A2 X (0.196:0.196:0.196) (0.228:0.229:0.230)) - (IOPATH B1 X (0.159:0.159:0.159) (0.211:0.211:0.211)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _4350_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.157:0.157:0.157) (0.163:0.163:0.163)) - (IOPATH B Y (0.167:0.168:0.169) (0.171:0.171:0.171)) - (IOPATH C Y (0.166:0.167:0.168) (0.172:0.172:0.172)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4351_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.124:0.124:0.125) (0.115:0.115:0.116)) - (IOPATH B Y (0.164:0.165:0.165) (0.125:0.127:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_2") - (INSTANCE _4352_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.223:0.224:0.224) (0.396:0.396:0.396)) - (IOPATH B X (0.208:0.209:0.210) (0.363:0.363:0.364)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _4353_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.190:0.190:0.190) (0.208:0.208:0.209)) - (IOPATH A2 X (0.192:0.192:0.192) (0.225:0.227:0.229)) - (IOPATH B1 X (0.162:0.162:0.162) (0.203:0.203:0.203)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4354_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.108:0.111:0.115) (0.089:0.090:0.090)) - (IOPATH B Y (0.086:0.086:0.087) (0.078:0.079:0.079)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _4355_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.263:0.263:0.264) (0.160:0.160:0.160)) - (IOPATH A2 Y (0.259:0.261:0.263) (0.129:0.129:0.129)) - (IOPATH B1 Y (0.234:0.234:0.234) (0.102:0.102:0.102)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2bb2ai_2") - (INSTANCE _4356_) - (DELAY - (ABSOLUTE - (IOPATH A1_N Y (0.204:0.204:0.205) (0.201:0.205:0.209)) - (IOPATH A2_N Y (0.187:0.187:0.187) (0.171:0.171:0.172)) - (IOPATH B1 Y (0.286:0.293:0.300) (0.151:0.154:0.156)) - (IOPATH B2 Y (0.270:0.270:0.271) (0.131:0.131:0.131)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4357_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.135:0.135:0.135) (0.083:0.083:0.083)) - (IOPATH B Y (0.120:0.122:0.124) (0.069:0.069:0.069)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _4358_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.169:0.169:0.169) (0.159:0.159:0.159)) - (IOPATH B X (0.162:0.162:0.162) (0.179:0.181:0.183)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _4359_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.166:0.166:0.166) (0.202:0.202:0.202)) - (IOPATH A Y (0.285:0.285:0.285) (0.118:0.118:0.118)) - (IOPATH B Y (0.175:0.175:0.175) (0.183:0.185:0.187)) - (IOPATH B Y (0.248:0.250:0.252) (0.123:0.124:0.124)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4360_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.142:0.142:0.142) (0.248:0.249:0.250)) - (IOPATH B X (0.126:0.127:0.127) (0.227:0.227:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2bb2a_1") - (INSTANCE _4361_) - (DELAY - (ABSOLUTE - (IOPATH A1_N X (0.315:0.315:0.316) (0.276:0.276:0.276)) - (IOPATH A2_N X (0.296:0.298:0.300) (0.275:0.275:0.275)) - (IOPATH B1 X (0.203:0.203:0.204) (0.330:0.331:0.331)) - (IOPATH B2 X (0.225:0.225:0.225) (0.336:0.336:0.336)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4362_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.255:0.256:0.258) (0.098:0.123:0.149)) - (IOPATH B Y (0.235:0.238:0.240) (0.101:0.102:0.102)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _4363_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.214:0.229:0.245) (0.457:0.460:0.462)) - (IOPATH B X (0.196:0.216:0.235) (0.432:0.434:0.436)) - (IOPATH C X (0.200:0.200:0.200) (0.391:0.393:0.395)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_4") - (INSTANCE _4364_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.289:0.289:0.289) (0.369:0.369:0.369)) - (IOPATH B X (0.289:0.289:0.290) (0.356:0.356:0.356)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _4365_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.276:0.276:0.276) (0.288:0.288:0.288)) - (IOPATH B Y (0.194:0.194:0.194) (0.180:0.180:0.180)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _4366_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.162:0.163:0.163) (0.112:0.112:0.113)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _4367_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.184:0.184:0.184) (0.163:0.163:0.163)) - (IOPATH B Y (0.180:0.180:0.181) (0.152:0.152:0.152)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _4368_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.303:0.304:0.305) (0.111:0.111:0.112)) - (IOPATH B Y (0.289:0.289:0.290) (0.115:0.115:0.116)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_4") - (INSTANCE _4369_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.375:0.375:0.376) (0.418:0.419:0.420)) - (IOPATH B X (0.382:0.383:0.383) (0.406:0.406:0.407)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _4370_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.227:0.227:0.228) (0.142:0.142:0.142)) - (IOPATH A2 Y (0.234:0.234:0.234) (0.142:0.142:0.142)) - (IOPATH B1 Y (0.157:0.157:0.157) (0.133:0.133:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _4371_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.188:0.188:0.188) (0.179:0.179:0.179)) - (IOPATH B Y (0.197:0.197:0.197) (0.174:0.174:0.174)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _4372_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.342:0.342:0.342) (0.118:0.118:0.118)) - (IOPATH B Y (0.330:0.332:0.334) (0.109:0.110:0.110)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_2") - (INSTANCE _4373_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.201:0.201:0.201) (0.371:0.371:0.371)) - (IOPATH B X (0.203:0.203:0.204) (0.364:0.366:0.368)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4374_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.362:0.362:0.362) (0.170:0.170:0.170)) - (IOPATH B Y (0.333:0.333:0.334) (0.120:0.120:0.120)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3b_2") - (INSTANCE _4375_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.443:0.443:0.443) (0.132:0.132:0.132)) - (IOPATH B Y (0.429:0.429:0.429) (0.120:0.120:0.120)) - (IOPATH C_N Y (0.451:0.451:0.451) (0.223:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _4376_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.183:0.183:0.183) (0.178:0.178:0.178)) - (IOPATH B Y (0.176:0.176:0.176) (0.138:0.138:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _4377_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.371:0.371:0.371) (0.113:0.113:0.113)) - (IOPATH B Y (0.354:0.354:0.354) (0.128:0.128:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_4") - (INSTANCE _4378_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.247:0.247:0.247) (0.360:0.360:0.360)) - (IOPATH B X (0.262:0.262:0.263) (0.344:0.344:0.344)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor4_4") - (INSTANCE _4379_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.531:0.531:0.531) (0.111:0.111:0.111)) - (IOPATH B Y (0.512:0.512:0.512) (0.113:0.113:0.113)) - (IOPATH C Y (0.470:0.470:0.470) (0.109:0.109:0.109)) - (IOPATH D Y (0.394:0.394:0.394) (0.095:0.095:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_4") - (INSTANCE _4380_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.356:0.356:0.356) (0.722:0.722:0.722)) - (IOPATH B X (0.354:0.354:0.354) (0.702:0.702:0.702)) - (IOPATH C X (0.352:0.352:0.352) (0.668:0.668:0.668)) - (IOPATH D X (0.346:0.346:0.346) (0.603:0.603:0.603)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4381_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.158:0.160:0.162) (0.203:0.203:0.204)) - (IOPATH B Y (0.176:0.176:0.176) (0.148:0.148:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4382_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.383:0.388:0.392) (0.148:0.150:0.151)) - (IOPATH B Y (0.367:0.376:0.385) (0.142:0.142:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _4383_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.140:0.140:0.140) (0.135:0.135:0.135)) - (IOPATH B Y (0.157:0.157:0.157) (0.127:0.127:0.127)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _4384_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.330:0.330:0.330) (0.135:0.135:0.135)) - (IOPATH B Y (0.289:0.291:0.294) (0.090:0.091:0.092)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_4") - (INSTANCE _4385_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.256:0.256:0.256) (0.339:0.339:0.339)) - (IOPATH B X (0.212:0.212:0.213) (0.302:0.304:0.307)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _4386_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.280:0.280:0.280) (0.113:0.113:0.113)) - (IOPATH B Y (0.247:0.247:0.247) (0.086:0.086:0.086)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _4387_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.161:0.161:0.161) (0.154:0.154:0.154)) - (IOPATH B Y (0.162:0.171:0.180) (0.170:0.170:0.170)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4388_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.110:0.110:0.110) (0.069:0.069:0.069)) - (IOPATH B Y (0.100:0.100:0.100) (0.054:0.054:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _4389_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.389:0.389:0.389) (0.127:0.127:0.127)) - (IOPATH B Y (0.374:0.375:0.375) (0.115:0.116:0.116)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_4") - (INSTANCE _4390_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.262:0.262:0.262) (0.657:0.657:0.657)) - (IOPATH B X (0.250:0.250:0.250) (0.640:0.640:0.640)) - (IOPATH C X (0.259:0.259:0.259) (0.606:0.606:0.606)) - (IOPATH D X (0.253:0.253:0.253) (0.539:0.539:0.539)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31oi_2") - (INSTANCE _4391_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.208:0.208:0.208) (0.148:0.148:0.148)) - (IOPATH A2 Y (0.244:0.244:0.244) (0.146:0.146:0.146)) - (IOPATH A3 Y (0.254:0.254:0.254) (0.137:0.137:0.137)) - (IOPATH B1 Y (0.222:0.224:0.227) (0.099:0.099:0.099)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_2") - (INSTANCE _4392_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.295:0.295:0.295) (0.290:0.290:0.290)) - (IOPATH A2 X (0.296:0.296:0.296) (0.321:0.321:0.321)) - (IOPATH A3 X (0.284:0.284:0.284) (0.328:0.328:0.328)) - (IOPATH B1 X (0.282:0.283:0.283) (0.304:0.307:0.309)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_4") - (INSTANCE _4393_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.224:0.224:0.224) (0.270:0.270:0.271)) - (IOPATH A2 X (0.234:0.235:0.237) (0.313:0.314:0.314)) - (IOPATH B1 X (0.204:0.209:0.214) (0.264:0.267:0.270)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_2") - (INSTANCE _4394_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.197:0.197:0.198) (0.379:0.380:0.380)) - (IOPATH B X (0.197:0.197:0.197) (0.348:0.348:0.348)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _4395_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.078:0.078:0.078) (0.051:0.051:0.051)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_2") - (INSTANCE _4396_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.227:0.227:0.227) (0.570:0.570:0.570)) - (IOPATH B X (0.206:0.207:0.208) (0.557:0.558:0.558)) - (IOPATH C X (0.244:0.244:0.244) (0.551:0.551:0.551)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4397_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.187:0.189:0.191) (0.225:0.225:0.226)) - (IOPATH B Y (0.191:0.194:0.198) (0.268:0.268:0.268)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _4398_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.350:0.350:0.350) (0.235:0.235:0.235)) - (IOPATH B X (0.341:0.341:0.341) (0.267:0.267:0.267)) - (IOPATH C X (0.356:0.356:0.356) (0.282:0.282:0.282)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_2") - (INSTANCE _4399_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.205:0.205:0.205) (0.404:0.404:0.404)) - (IOPATH B X (0.202:0.203:0.204) (0.365:0.366:0.367)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _4400_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.357:0.357:0.357) (0.131:0.131:0.131)) - (IOPATH B Y (0.333:0.333:0.334) (0.103:0.103:0.103)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _4401_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.183:0.183:0.183) (0.173:0.173:0.173)) - (IOPATH B Y (0.181:0.182:0.183) (0.168:0.168:0.168)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_1") - (INSTANCE _4402_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.250:0.250:0.250) (0.200:0.200:0.200)) - (IOPATH B X (0.273:0.273:0.273) (0.244:0.245:0.245)) - (IOPATH C X (0.251:0.251:0.251) (0.236:0.236:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _4403_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.270:0.270:0.270) (0.257:0.258:0.258)) - (IOPATH A2 X (0.250:0.250:0.250) (0.258:0.258:0.259)) - (IOPATH B1 X (0.213:0.213:0.213) (0.254:0.254:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_2") - (INSTANCE _4404_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.154:0.154:0.154) (0.143:0.143:0.143)) - (IOPATH B Y (0.168:0.169:0.170) (0.192:0.192:0.192)) - (IOPATH C Y (0.141:0.142:0.143) (0.157:0.157:0.157)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _4405_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.314:0.314:0.314) (0.226:0.227:0.228)) - (IOPATH B X (0.321:0.321:0.321) (0.253:0.253:0.254)) - (IOPATH C X (0.301:0.302:0.303) (0.279:0.282:0.285)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_2") - (INSTANCE _4406_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.157:0.158:0.159) (0.182:0.182:0.182)) - (IOPATH B Y (0.175:0.176:0.176) (0.197:0.197:0.197)) - (IOPATH C Y (0.184:0.187:0.190) (0.173:0.174:0.175)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _4407_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.195:0.195:0.195) (0.197:0.197:0.197)) - (IOPATH A Y (0.299:0.299:0.299) (0.149:0.149:0.149)) - (IOPATH B Y (0.191:0.191:0.191) (0.192:0.193:0.194)) - (IOPATH B Y (0.274:0.275:0.275) (0.140:0.140:0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _4408_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.144:0.144:0.144) (0.094:0.094:0.094)) - (IOPATH A2 Y (0.164:0.165:0.165) (0.098:0.099:0.099)) - (IOPATH B1 Y (0.136:0.136:0.136) (0.066:0.066:0.066)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _4409_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.196:0.196:0.196) (0.213:0.214:0.214)) - (IOPATH A2 X (0.206:0.206:0.206) (0.233:0.233:0.234)) - (IOPATH B1 X (0.153:0.155:0.158) (0.197:0.198:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_1") - (INSTANCE _4410_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.312:0.312:0.313) (0.264:0.264:0.264)) - (IOPATH B X (0.250:0.268:0.287) (0.247:0.250:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4411_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.132:0.133:0.134) (0.130:0.130:0.130)) - (IOPATH B Y (0.152:0.152:0.152) (0.139:0.139:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _4412_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.170:0.171:0.171) (0.197:0.198:0.198)) - (IOPATH A2 X (0.180:0.180:0.180) (0.216:0.217:0.218)) - (IOPATH B1 X (0.144:0.144:0.144) (0.192:0.192:0.192)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21boi_2") - (INSTANCE _4413_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.266:0.266:0.267) (0.141:0.141:0.141)) - (IOPATH A2 Y (0.254:0.254:0.255) (0.122:0.122:0.122)) - (IOPATH B1_N Y (0.264:0.264:0.264) (0.187:0.187:0.187)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _4414_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.259:0.259:0.260) (0.160:0.160:0.160)) - (IOPATH A2 Y (0.257:0.258:0.258) (0.138:0.138:0.138)) - (IOPATH B1 Y (0.231:0.231:0.231) (0.102:0.102:0.102)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_2") - (INSTANCE _4415_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.193:0.193:0.194) (0.358:0.358:0.359)) - (IOPATH B X (0.215:0.217:0.220) (0.341:0.348:0.355)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _4416_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.225:0.233:0.241) (0.109:0.109:0.109)) - (IOPATH B Y (0.213:0.213:0.213) (0.077:0.077:0.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _4417_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.326:0.326:0.326) (0.265:0.266:0.267)) - (IOPATH B X (0.338:0.338:0.338) (0.301:0.301:0.301)) - (IOPATH C X (0.340:0.340:0.340) (0.290:0.296:0.303)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4418_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.178:0.183:0.188) (0.235:0.235:0.235)) - (IOPATH B Y (0.195:0.196:0.197) (0.179:0.180:0.180)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _4419_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.412:0.412:0.412) (0.278:0.278:0.278)) - (IOPATH B X (0.324:0.324:0.324) (0.246:0.246:0.246)) - (IOPATH C X (0.320:0.320:0.320) (0.267:0.267:0.267)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_4") - (INSTANCE _4420_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.263:0.263:0.263) (0.536:0.536:0.536)) - (IOPATH B X (0.274:0.274:0.274) (0.487:0.487:0.487)) - (IOPATH C X (0.266:0.266:0.266) (0.454:0.454:0.454)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _4421_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.335:0.335:0.335) (0.133:0.133:0.133)) - (IOPATH B Y (0.316:0.319:0.322) (0.103:0.127:0.151)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4422_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.130:0.131:0.132) (0.127:0.127:0.127)) - (IOPATH B Y (0.136:0.144:0.153) (0.170:0.171:0.171)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _4423_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.322:0.322:0.322) (0.226:0.227:0.228)) - (IOPATH B X (0.341:0.341:0.341) (0.234:0.241:0.247)) - (IOPATH C X (0.361:0.361:0.361) (0.259:0.267:0.275)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_4") - (INSTANCE _4424_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.139:0.140:0.141) (0.168:0.168:0.168)) - (IOPATH B Y (0.148:0.154:0.161) (0.184:0.184:0.184)) - (IOPATH C Y (0.166:0.174:0.182) (0.200:0.200:0.200)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4425_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.114:0.118:0.123) (0.162:0.162:0.162)) - (IOPATH B Y (0.121:0.122:0.122) (0.119:0.119:0.119)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4426_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.158:0.159:0.159) (0.129:0.129:0.129)) - (IOPATH B Y (0.168:0.171:0.174) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_2") - (INSTANCE _4427_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.225:0.225:0.226) (0.386:0.389:0.393)) - (IOPATH A2 X (0.208:0.208:0.208) (0.397:0.397:0.398)) - (IOPATH B1 X (0.212:0.214:0.216) (0.378:0.384:0.391)) - (IOPATH C1 X (0.197:0.198:0.198) (0.342:0.343:0.343)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4428_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.130:0.130:0.130) (0.135:0.135:0.135)) - (IOPATH B Y (0.137:0.139:0.141) (0.108:0.129:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4429_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.189:0.190:0.191) (0.086:0.086:0.087)) - (IOPATH B Y (0.173:0.177:0.180) (0.080:0.081:0.082)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _4430_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.319:0.319:0.319) (0.137:0.137:0.137)) - (IOPATH B Y (0.297:0.297:0.297) (0.108:0.108:0.108)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE _4431_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.191:0.191:0.191) (0.137:0.137:0.137)) - (IOPATH B Y (0.169:0.170:0.171) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4432_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.193:0.196:0.200) (0.158:0.187:0.217)) - (IOPATH B Y (0.188:0.189:0.189) (0.161:0.162:0.162)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4_2") - (INSTANCE _4433_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.368:0.368:0.368) (0.267:0.268:0.269)) - (IOPATH B X (0.361:0.386:0.411) (0.304:0.307:0.311)) - (IOPATH C X (0.366:0.366:0.366) (0.310:0.311:0.311)) - (IOPATH D X (0.389:0.389:0.389) (0.312:0.318:0.325)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_4") - (INSTANCE _4434_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.201:0.203:0.205) (0.634:0.635:0.637)) - (IOPATH B X (0.224:0.224:0.224) (0.578:0.586:0.594)) - (IOPATH C X (0.186:0.186:0.186) (0.556:0.556:0.556)) - (IOPATH D X (0.208:0.209:0.209) (0.509:0.514:0.518)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _4435_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.174:0.174:0.175) (0.139:0.139:0.139)) - (IOPATH B Y (0.193:0.201:0.209) (0.184:0.187:0.189)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4436_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.290:0.290:0.290) (0.120:0.120:0.120)) - (IOPATH B Y (0.277:0.280:0.283) (0.107:0.134:0.161)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4437_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.156:0.157:0.158) (0.148:0.148:0.148)) - (IOPATH B Y (0.163:0.171:0.180) (0.186:0.186:0.186)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4438_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.363:0.365:0.367) (0.154:0.155:0.156)) - (IOPATH B Y (0.343:0.346:0.349) (0.136:0.136:0.137)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_4") - (INSTANCE _4439_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.286:0.287:0.287) (0.374:0.376:0.379)) - (IOPATH B X (0.271:0.272:0.273) (0.347:0.350:0.353)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _4440_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.338:0.342:0.346) (0.106:0.107:0.107)) - (IOPATH B Y (0.331:0.334:0.336) (0.120:0.120:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_2") - (INSTANCE _4441_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.256:0.257:0.258) (0.422:0.426:0.430)) - (IOPATH B X (0.276:0.277:0.277) (0.419:0.421:0.424)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _4442_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.332:0.332:0.332) (0.111:0.112:0.112)) - (IOPATH B Y (0.326:0.328:0.331) (0.126:0.127:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_4") - (INSTANCE _4443_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.236:0.237:0.237) (0.344:0.344:0.344)) - (IOPATH B X (0.254:0.254:0.255) (0.339:0.342:0.344)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _4444_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.264:0.264:0.264) (0.257:0.267:0.277)) - (IOPATH B Y (0.178:0.178:0.178) (0.141:0.141:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_4") - (INSTANCE _4445_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.276:0.277:0.277) (0.365:0.365:0.365)) - (IOPATH B X (0.287:0.287:0.287) (0.352:0.353:0.354)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _4446_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.163:0.163:0.163) (0.127:0.127:0.127)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4447_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.141:0.145:0.150) (0.192:0.192:0.192)) - (IOPATH B Y (0.155:0.157:0.158) (0.148:0.148:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4448_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.136:0.140:0.145) (0.187:0.187:0.187)) - (IOPATH B Y (0.152:0.155:0.157) (0.184:0.184:0.184)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_1") - (INSTANCE _4449_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.273:0.273:0.274) (0.226:0.226:0.226)) - (IOPATH B X (0.272:0.272:0.273) (0.208:0.212:0.217)) - (IOPATH C X (0.231:0.231:0.231) (0.209:0.210:0.210)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _4450_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.305:0.305:0.305) (0.117:0.117:0.117)) - (IOPATH B Y (0.277:0.277:0.277) (0.091:0.091:0.091)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _4451_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.143:0.143:0.143) (0.133:0.133:0.133)) - (IOPATH B Y (0.134:0.143:0.152) (0.157:0.157:0.157)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4_1") - (INSTANCE _4452_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.146:0.147:0.148) (0.184:0.184:0.184)) - (IOPATH B Y (0.179:0.179:0.179) (0.202:0.202:0.202)) - (IOPATH C Y (0.159:0.166:0.172) (0.207:0.207:0.207)) - (IOPATH D Y (0.158:0.164:0.170) (0.223:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_4") - (INSTANCE _4453_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.283:0.285:0.286) (0.545:0.547:0.548)) - (IOPATH B X (0.299:0.300:0.300) (0.522:0.527:0.532)) - (IOPATH C X (0.297:0.297:0.298) (0.479:0.482:0.484)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _4454_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.140:0.140:0.140) (0.100:0.100:0.100)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _4455_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.399:0.401:0.404) (0.133:0.134:0.135)) - (IOPATH B Y (0.376:0.377:0.378) (0.133:0.133:0.134)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_4") - (INSTANCE _4456_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.280:0.280:0.281) (0.371:0.374:0.376)) - (IOPATH B X (0.281:0.281:0.281) (0.349:0.350:0.351)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4457_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.218:0.219:0.221) (0.210:0.210:0.210)) - (IOPATH B Y (0.211:0.217:0.222) (0.243:0.243:0.243)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _4458_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.353:0.353:0.353) (0.108:0.108:0.108)) - (IOPATH B Y (0.328:0.329:0.329) (0.115:0.115:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _4459_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.166:0.166:0.166) (0.158:0.158:0.158)) - (IOPATH B Y (0.147:0.148:0.149) (0.124:0.124:0.124)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4460_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.218:0.219:0.220) (0.210:0.210:0.210)) - (IOPATH B Y (0.216:0.218:0.220) (0.255:0.255:0.255)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4461_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.321:0.321:0.321) (0.180:0.180:0.180)) - (IOPATH B Y (0.278:0.278:0.278) (0.107:0.107:0.107)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4462_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.279:0.279:0.279) (0.137:0.137:0.137)) - (IOPATH B Y (0.257:0.257:0.257) (0.092:0.092:0.092)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3b_4") - (INSTANCE _4463_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.368:0.368:0.369) (0.090:0.090:0.090)) - (IOPATH B Y (0.346:0.348:0.351) (0.091:0.104:0.116)) - (IOPATH C_N Y (0.403:0.403:0.403) (0.191:0.196:0.201)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _4464_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.059:0.059:0.059) (0.041:0.041:0.041)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _4465_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.317:0.317:0.317) (0.260:0.260:0.260)) - (IOPATH B X (0.317:0.317:0.317) (0.256:0.256:0.256)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _4466_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.232:0.232:0.232) (0.172:0.172:0.172)) - (IOPATH B Y (0.217:0.217:0.217) (0.169:0.169:0.169)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_2") - (INSTANCE _4467_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.220:0.220:0.220) (0.575:0.575:0.575)) - (IOPATH B X (0.202:0.202:0.202) (0.550:0.550:0.550)) - (IOPATH C X (0.183:0.184:0.185) (0.498:0.499:0.500)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3b_2") - (INSTANCE _4468_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.206:0.206:0.206) (0.562:0.563:0.563)) - (IOPATH B X (0.197:0.197:0.197) (0.544:0.544:0.544)) - (IOPATH C_N X (0.273:0.274:0.274) (0.529:0.529:0.530)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4469_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.146:0.146:0.146) (0.264:0.265:0.265)) - (IOPATH B X (0.161:0.162:0.162) (0.277:0.277:0.278)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4470_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.173:0.176:0.180) (0.223:0.223:0.223)) - (IOPATH B Y (0.175:0.181:0.186) (0.209:0.209:0.209)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4471_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.214:0.214:0.214) (0.124:0.124:0.124)) - (IOPATH B Y (0.198:0.200:0.202) (0.094:0.094:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4bb_4") - (INSTANCE _4472_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.392:0.392:0.392) (0.748:0.748:0.748)) - (IOPATH B X (0.404:0.404:0.404) (0.735:0.735:0.735)) - (IOPATH C_N X (0.461:0.461:0.461) (0.751:0.751:0.751)) - (IOPATH D_N X (0.438:0.438:0.438) (0.680:0.680:0.680)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_2") - (INSTANCE _4473_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.230:0.247:0.264) (0.389:0.392:0.395)) - (IOPATH B X (0.232:0.232:0.233) (0.383:0.383:0.383)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _4474_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.335:0.335:0.335) (0.109:0.109:0.109)) - (IOPATH B Y (0.315:0.315:0.315) (0.107:0.107:0.107)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4475_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.057:0.061:0.065) (0.063:0.063:0.063)) - (IOPATH B Y (0.073:0.073:0.073) (0.083:0.083:0.083)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4b_1") - (INSTANCE _4476_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.186:0.186:0.186) (0.580:0.580:0.580)) - (IOPATH B X (0.187:0.187:0.187) (0.563:0.563:0.563)) - (IOPATH C X (0.184:0.184:0.184) (0.528:0.528:0.528)) - (IOPATH D_N X (0.215:0.215:0.215) (0.506:0.506:0.506)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_4") - (INSTANCE _4477_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.339:0.339:0.339) (0.388:0.388:0.388)) - (IOPATH B X (0.307:0.308:0.308) (0.371:0.371:0.371)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _4478_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.132:0.132:0.132) (0.109:0.109:0.109)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _4479_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.347:0.347:0.347) (0.153:0.153:0.153)) - (IOPATH B Y (0.315:0.315:0.315) (0.135:0.135:0.135)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_4") - (INSTANCE _4480_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.335:0.335:0.335) (0.711:0.711:0.711)) - (IOPATH B X (0.334:0.334:0.334) (0.691:0.691:0.691)) - (IOPATH C X (0.314:0.314:0.314) (0.659:0.659:0.659)) - (IOPATH D X (0.326:0.326:0.326) (0.592:0.592:0.592)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_4") - (INSTANCE _4481_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.359:0.359:0.359) (0.398:0.398:0.398)) - (IOPATH B X (0.376:0.376:0.377) (0.414:0.415:0.415)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_2") - (INSTANCE _4482_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.239:0.240:0.241) (0.414:0.415:0.416)) - (IOPATH B X (0.278:0.278:0.278) (0.409:0.409:0.409)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _4483_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.136:0.137:0.137) (0.095:0.095:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3b_1") - (INSTANCE _4484_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.168:0.169:0.169) (0.442:0.447:0.451)) - (IOPATH B X (0.147:0.147:0.147) (0.404:0.404:0.404)) - (IOPATH C_N X (0.202:0.202:0.203) (0.384:0.385:0.385)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4485_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.192:0.193:0.194) (0.188:0.188:0.188)) - (IOPATH B Y (0.193:0.199:0.204) (0.226:0.227:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4486_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.313:0.314:0.314) (0.146:0.146:0.146)) - (IOPATH B Y (0.297:0.298:0.299) (0.113:0.114:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _4487_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.184:0.190:0.196) (0.216:0.216:0.216)) - (IOPATH B Y (0.189:0.196:0.204) (0.228:0.228:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _4488_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.215:0.221:0.227) (0.239:0.239:0.239)) - (IOPATH B Y (0.232:0.232:0.232) (0.256:0.256:0.256)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _4489_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.143:0.143:0.143) (0.403:0.403:0.403)) - (IOPATH B X (0.141:0.141:0.141) (0.370:0.370:0.370)) - (IOPATH C X (0.171:0.171:0.171) (0.384:0.384:0.384)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_2") - (INSTANCE _4490_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.256:0.256:0.256) (0.413:0.413:0.413)) - (IOPATH B X (0.226:0.227:0.228) (0.387:0.389:0.390)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4491_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.196:0.197:0.199) (0.162:0.163:0.164)) - (IOPATH B Y (0.195:0.196:0.196) (0.160:0.161:0.161)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _4492_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.192:0.193:0.194) (0.454:0.458:0.462)) - (IOPATH B X (0.196:0.196:0.196) (0.425:0.425:0.425)) - (IOPATH C X (0.215:0.215:0.215) (0.428:0.428:0.428)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4493_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.141:0.141:0.141) (0.250:0.250:0.251)) - (IOPATH B X (0.135:0.136:0.136) (0.254:0.255:0.255)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _4494_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.349:0.349:0.349) (0.107:0.107:0.107)) - (IOPATH B Y (0.306:0.306:0.306) (0.094:0.094:0.094)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE _4495_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.195:0.195:0.195) (0.186:0.186:0.186)) - (IOPATH B Y (0.186:0.188:0.190) (0.195:0.195:0.195)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4496_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.278:0.278:0.278) (0.353:0.353:0.354)) - (IOPATH B X (0.262:0.263:0.263) (0.345:0.345:0.346)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4497_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.268:0.268:0.268) (0.352:0.352:0.352)) - (IOPATH B X (0.261:0.261:0.261) (0.332:0.332:0.332)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a2111o_1") - (INSTANCE _4498_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.181:0.182:0.182) (0.409:0.409:0.409)) - (IOPATH A2 X (0.168:0.169:0.170) (0.439:0.443:0.446)) - (IOPATH B1 X (0.143:0.143:0.144) (0.416:0.416:0.417)) - (IOPATH C1 X (0.149:0.149:0.149) (0.383:0.383:0.383)) - (IOPATH D1 X (0.135:0.135:0.135) (0.319:0.319:0.319)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o311a_1") - (INSTANCE _4499_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.206:0.206:0.206) (0.408:0.408:0.408)) - (IOPATH A2 X (0.207:0.207:0.207) (0.358:0.358:0.358)) - (IOPATH A3 X (0.161:0.162:0.162) (0.308:0.309:0.310)) - (IOPATH B1 X (0.174:0.175:0.175) (0.144:0.144:0.144)) - (IOPATH C1 X (0.173:0.173:0.173) (0.132:0.132:0.132)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a2111o_1") - (INSTANCE _4500_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.172:0.173:0.173) (0.395:0.396:0.396)) - (IOPATH A2 X (0.182:0.184:0.186) (0.468:0.469:0.469)) - (IOPATH B1 X (0.196:0.196:0.196) (0.455:0.456:0.456)) - (IOPATH C1 X (0.180:0.180:0.180) (0.430:0.431:0.431)) - (IOPATH D1 X (0.142:0.147:0.151) (0.330:0.332:0.334)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31ai_1") - (INSTANCE _4501_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.334:0.334:0.334) (0.117:0.117:0.117)) - (IOPATH A2 Y (0.328:0.329:0.331) (0.114:0.114:0.115)) - (IOPATH A3 Y (0.279:0.279:0.279) (0.103:0.103:0.103)) - (IOPATH B1 Y (0.100:0.101:0.102) (0.088:0.089:0.090)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3b_1") - (INSTANCE _4502_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.133:0.134:0.134) (0.388:0.388:0.389)) - (IOPATH B X (0.123:0.147:0.171) (0.364:0.367:0.369)) - (IOPATH C_N X (0.221:0.222:0.222) (0.373:0.375:0.377)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4b_1") - (INSTANCE _4503_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.275:0.275:0.275) (0.202:0.202:0.202)) - (IOPATH B X (0.243:0.243:0.244) (0.242:0.245:0.248)) - (IOPATH C X (0.239:0.240:0.240) (0.248:0.257:0.267)) - (IOPATH D X (0.221:0.221:0.221) (0.210:0.215:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_1") - (INSTANCE _4504_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.165:0.166:0.166) (0.164:0.175:0.185)) - (IOPATH B X (0.204:0.204:0.205) (0.232:0.234:0.235)) - (IOPATH C X (0.200:0.200:0.201) (0.247:0.251:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_1") - (INSTANCE _4505_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.200:0.200:0.200) (0.269:0.269:0.269)) - (IOPATH A2 X (0.169:0.171:0.174) (0.262:0.264:0.266)) - (IOPATH B1 X (0.170:0.170:0.170) (0.138:0.139:0.140)) - (IOPATH C1 X (0.156:0.156:0.157) (0.118:0.119:0.120)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _4506_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.321:0.321:0.322) (0.130:0.130:0.130)) - (IOPATH B Y (0.295:0.301:0.308) (0.104:0.105:0.106)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _4507_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.138:0.138:0.138) (0.189:0.189:0.189)) - (IOPATH A2 X (0.128:0.128:0.129) (0.197:0.199:0.200)) - (IOPATH B1 X (0.112:0.112:0.112) (0.177:0.177:0.178)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_1") - (INSTANCE _4508_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.225:0.225:0.226) (0.277:0.277:0.278)) - (IOPATH A2 X (0.184:0.185:0.185) (0.262:0.263:0.263)) - (IOPATH B1 X (0.209:0.210:0.210) (0.172:0.182:0.192)) - (IOPATH C1 X (0.185:0.186:0.186) (0.131:0.133:0.135)) - (IOPATH D1 X (0.166:0.166:0.167) (0.112:0.113:0.113)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4509_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.195:0.198:0.201) (0.245:0.245:0.245)) - (IOPATH B Y (0.202:0.204:0.206) (0.241:0.241:0.241)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_1") - (INSTANCE _4510_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.163:0.164:0.165) (0.180:0.183:0.187)) - (IOPATH B X (0.180:0.181:0.181) (0.214:0.218:0.223)) - (IOPATH C X (0.185:0.185:0.185) (0.243:0.246:0.248)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_1") - (INSTANCE _4511_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.210:0.211:0.211) (0.275:0.275:0.276)) - (IOPATH A2 X (0.189:0.189:0.189) (0.251:0.251:0.252)) - (IOPATH B1 X (0.176:0.176:0.177) (0.143:0.145:0.147)) - (IOPATH C1 X (0.165:0.166:0.166) (0.125:0.126:0.127)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4512_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.127:0.132:0.136) (0.179:0.179:0.179)) - (IOPATH B Y (0.133:0.137:0.140) (0.170:0.170:0.170)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4513_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.090:0.093:0.096) (0.124:0.124:0.124)) - (IOPATH B Y (0.093:0.099:0.104) (0.121:0.121:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4514_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.118:0.121:0.124) (0.164:0.164:0.164)) - (IOPATH B Y (0.127:0.128:0.130) (0.162:0.162:0.162)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4_1") - (INSTANCE _4515_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.174:0.174:0.174) (0.149:0.151:0.153)) - (IOPATH B X (0.193:0.194:0.195) (0.204:0.209:0.214)) - (IOPATH C X (0.186:0.186:0.187) (0.201:0.206:0.210)) - (IOPATH D X (0.199:0.199:0.200) (0.226:0.229:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4516_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.119:0.123:0.128) (0.167:0.167:0.168)) - (IOPATH B Y (0.125:0.128:0.131) (0.161:0.161:0.161)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _4517_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.340:0.342:0.343) (0.111:0.111:0.112)) - (IOPATH B Y (0.335:0.335:0.335) (0.133:0.133:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _4518_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.056:0.059:0.061) (0.048:0.048:0.048)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_1") - (INSTANCE _4519_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.233:0.234:0.234) (0.283:0.283:0.284)) - (IOPATH A2 X (0.215:0.215:0.215) (0.275:0.276:0.276)) - (IOPATH B1 X (0.200:0.200:0.200) (0.142:0.143:0.144)) - (IOPATH C1 X (0.204:0.204:0.205) (0.168:0.173:0.178)) - (IOPATH D1 X (0.172:0.173:0.173) (0.128:0.128:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4520_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.215:0.215:0.216) (0.324:0.326:0.327)) - (IOPATH B X (0.220:0.220:0.220) (0.312:0.312:0.312)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4521_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.114:0.119:0.125) (0.157:0.158:0.158)) - (IOPATH B Y (0.136:0.136:0.136) (0.173:0.174:0.174)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_1") - (INSTANCE _4522_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.217:0.217:0.217) (0.274:0.274:0.274)) - (IOPATH A2 X (0.197:0.197:0.197) (0.267:0.267:0.267)) - (IOPATH B1 X (0.184:0.184:0.185) (0.133:0.135:0.137)) - (IOPATH C1 X (0.200:0.200:0.200) (0.153:0.153:0.153)) - (IOPATH D1 X (0.175:0.175:0.176) (0.147:0.147:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _4523_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.341:0.342:0.342) (0.137:0.137:0.138)) - (IOPATH B Y (0.314:0.320:0.326) (0.109:0.110:0.110)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4524_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.109:0.113:0.117) (0.155:0.155:0.155)) - (IOPATH B Y (0.124:0.125:0.125) (0.160:0.160:0.160)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_1") - (INSTANCE _4525_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.229:0.229:0.229) (0.283:0.283:0.283)) - (IOPATH A2 X (0.209:0.209:0.209) (0.264:0.264:0.264)) - (IOPATH B1 X (0.218:0.218:0.218) (0.180:0.180:0.180)) - (IOPATH C1 X (0.183:0.183:0.183) (0.126:0.128:0.131)) - (IOPATH D1 X (0.182:0.183:0.184) (0.152:0.154:0.157)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4526_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.413:0.413:0.413) (0.179:0.179:0.179)) - (IOPATH B Y (0.394:0.400:0.406) (0.143:0.144:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_1") - (INSTANCE _4527_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.204:0.204:0.204) (0.284:0.284:0.284)) - (IOPATH A2 X (0.206:0.206:0.206) (0.260:0.261:0.261)) - (IOPATH B1 X (0.216:0.217:0.218) (0.265:0.265:0.266)) - (IOPATH B2 X (0.179:0.179:0.179) (0.226:0.227:0.227)) - (IOPATH C1 X (0.156:0.157:0.157) (0.105:0.107:0.109)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4b_1") - (INSTANCE _4528_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.259:0.270:0.281) (0.242:0.242:0.242)) - (IOPATH B X (0.235:0.235:0.236) (0.249:0.250:0.251)) - (IOPATH C X (0.221:0.221:0.221) (0.222:0.222:0.222)) - (IOPATH D X (0.196:0.197:0.197) (0.194:0.197:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _4529_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.145:0.145:0.145) (0.095:0.095:0.095)) - (IOPATH A2 Y (0.152:0.156:0.160) (0.096:0.096:0.097)) - (IOPATH B1 Y (0.142:0.145:0.147) (0.077:0.077:0.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4_1") - (INSTANCE _4530_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.157:0.158:0.160) (0.145:0.148:0.151)) - (IOPATH B X (0.216:0.216:0.217) (0.229:0.230:0.230)) - (IOPATH C X (0.183:0.184:0.184) (0.181:0.182:0.183)) - (IOPATH D X (0.196:0.198:0.200) (0.198:0.204:0.210)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o311a_1") - (INSTANCE _4531_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.200:0.200:0.200) (0.395:0.395:0.395)) - (IOPATH A2 X (0.207:0.207:0.208) (0.378:0.379:0.379)) - (IOPATH A3 X (0.152:0.152:0.152) (0.297:0.297:0.297)) - (IOPATH B1 X (0.223:0.223:0.223) (0.172:0.172:0.173)) - (IOPATH C1 X (0.169:0.169:0.169) (0.110:0.111:0.112)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _4532_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.360:0.360:0.360) (0.155:0.155:0.155)) - (IOPATH B Y (0.323:0.323:0.323) (0.103:0.103:0.103)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _4533_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.182:0.182:0.182) (0.134:0.134:0.134)) - (IOPATH B Y (0.168:0.171:0.173) (0.172:0.172:0.172)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4534_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.403:0.403:0.403) (0.182:0.182:0.182)) - (IOPATH B Y (0.385:0.389:0.394) (0.145:0.146:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4535_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.195:0.196:0.196) (0.297:0.298:0.298)) - (IOPATH B X (0.173:0.174:0.175) (0.275:0.280:0.285)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a41oi_1") - (INSTANCE _4536_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.249:0.249:0.249) (0.152:0.152:0.152)) - (IOPATH A2 Y (0.249:0.249:0.249) (0.140:0.140:0.140)) - (IOPATH A3 Y (0.267:0.272:0.277) (0.154:0.154:0.155)) - (IOPATH A4 Y (0.289:0.289:0.289) (0.167:0.167:0.167)) - (IOPATH B1 Y (0.247:0.248:0.249) (0.085:0.090:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4_4") - (INSTANCE _4537_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.395:0.395:0.395) (0.237:0.237:0.237)) - (IOPATH B X (0.396:0.396:0.396) (0.263:0.263:0.263)) - (IOPATH C X (0.390:0.390:0.390) (0.285:0.285:0.285)) - (IOPATH D X (0.406:0.406:0.406) (0.300:0.300:0.300)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _4538_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.164:0.164:0.164) (0.156:0.156:0.156)) - (IOPATH B Y (0.171:0.172:0.173) (0.147:0.147:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _4539_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.250:0.251:0.251) (0.219:0.220:0.220)) - (IOPATH B X (0.234:0.234:0.234) (0.217:0.219:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_1") - (INSTANCE _4540_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.265:0.265:0.265) (0.208:0.208:0.208)) - (IOPATH B X (0.288:0.288:0.288) (0.252:0.253:0.254)) - (IOPATH C X (0.269:0.269:0.269) (0.247:0.248:0.249)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _4541_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.147:0.147:0.147) (0.146:0.146:0.146)) - (IOPATH B Y (0.150:0.150:0.150) (0.134:0.134:0.135)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4542_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.120:0.121:0.121) (0.122:0.122:0.122)) - (IOPATH B Y (0.118:0.118:0.119) (0.112:0.112:0.112)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _4543_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.200:0.200:0.200) (0.203:0.203:0.203)) - (IOPATH B Y (0.149:0.149:0.149) (0.133:0.133:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_4") - (INSTANCE _4544_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.370:0.370:0.370) (0.278:0.278:0.278)) - (IOPATH B X (0.311:0.311:0.311) (0.265:0.266:0.266)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_4") - (INSTANCE _4545_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.264:0.264:0.264) (0.511:0.511:0.511)) - (IOPATH B X (0.250:0.250:0.250) (0.500:0.500:0.500)) - (IOPATH C X (0.261:0.262:0.262) (0.454:0.455:0.456)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _4546_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.167:0.167:0.167) (0.203:0.203:0.203)) - (IOPATH A Y (0.284:0.284:0.284) (0.119:0.119:0.119)) - (IOPATH B Y (0.158:0.159:0.159) (0.177:0.180:0.183)) - (IOPATH B Y (0.241:0.243:0.246) (0.105:0.106:0.106)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_2") - (INSTANCE _4547_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.256:0.256:0.256) (0.424:0.424:0.424)) - (IOPATH B X (0.232:0.255:0.277) (0.386:0.387:0.389)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _4548_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.301:0.304:0.306) (0.133:0.134:0.134)) - (IOPATH A2 Y (0.290:0.291:0.291) (0.137:0.137:0.137)) - (IOPATH B1 Y (0.168:0.168:0.168) (0.156:0.156:0.156)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _4549_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.065:0.069:0.072) (0.044:0.049:0.053)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _4550_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.202:0.202:0.202) (0.180:0.180:0.180)) - (IOPATH A Y (0.285:0.285:0.285) (0.147:0.147:0.147)) - (IOPATH B Y (0.207:0.207:0.207) (0.182:0.183:0.184)) - (IOPATH B Y (0.271:0.272:0.273) (0.144:0.144:0.144)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _4551_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.143:0.144:0.144) (0.088:0.088:0.088)) - (IOPATH A2 Y (0.161:0.162:0.163) (0.100:0.100:0.100)) - (IOPATH B1 Y (0.132:0.132:0.132) (0.062:0.062:0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4552_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.155:0.156:0.156) (0.084:0.085:0.085)) - (IOPATH B Y (0.133:0.134:0.134) (0.064:0.067:0.070)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4553_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.157:0.157:0.157) (0.092:0.092:0.092)) - (IOPATH B Y (0.137:0.138:0.138) (0.075:0.075:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _4554_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.300:0.301:0.302) (0.158:0.158:0.158)) - (IOPATH A2 Y (0.275:0.277:0.279) (0.137:0.137:0.137)) - (IOPATH B1_N Y (0.207:0.207:0.207) (0.207:0.210:0.213)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4555_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.209:0.209:0.210) (0.104:0.104:0.104)) - (IOPATH B Y (0.186:0.189:0.191) (0.092:0.106:0.120)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _4556_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.086:0.092:0.098) (0.080:0.080:0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_2") - (INSTANCE _4557_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.215:0.215:0.215) (0.557:0.557:0.557)) - (IOPATH B X (0.193:0.214:0.234) (0.532:0.535:0.538)) - (IOPATH C X (0.201:0.220:0.238) (0.500:0.502:0.505)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _4558_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.281:0.281:0.282) (0.114:0.114:0.114)) - (IOPATH B Y (0.255:0.255:0.255) (0.087:0.087:0.087)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _4559_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.272:0.273:0.273) (0.253:0.253:0.254)) - (IOPATH B X (0.247:0.268:0.289) (0.268:0.270:0.272)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _4560_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.192:0.192:0.193) (0.173:0.174:0.174)) - (IOPATH B Y (0.193:0.195:0.197) (0.149:0.172:0.196)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _4561_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.445:0.445:0.445) (0.179:0.179:0.179)) - (IOPATH B Y (0.422:0.422:0.422) (0.152:0.152:0.152)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_2") - (INSTANCE _4562_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.297:0.297:0.297) (0.433:0.433:0.433)) - (IOPATH B X (0.277:0.277:0.277) (0.410:0.410:0.410)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _4563_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.181:0.181:0.181) (0.159:0.159:0.159)) - (IOPATH B Y (0.193:0.196:0.199) (0.228:0.228:0.229)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_4") - (INSTANCE _4564_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.232:0.232:0.232) (0.236:0.236:0.236)) - (IOPATH B Y (0.153:0.153:0.153) (0.169:0.169:0.169)) - (IOPATH C Y (0.151:0.151:0.151) (0.165:0.165:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_4") - (INSTANCE _4565_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.314:0.314:0.314) (0.366:0.366:0.366)) - (IOPATH B X (0.293:0.294:0.295) (0.365:0.366:0.368)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_2") - (INSTANCE _4566_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.240:0.240:0.240) (0.404:0.404:0.404)) - (IOPATH B X (0.243:0.243:0.243) (0.385:0.385:0.385)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_4") - (INSTANCE _4567_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.382:0.382:0.382) (0.430:0.430:0.430)) - (IOPATH B X (0.388:0.388:0.388) (0.400:0.400:0.400)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4568_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.124:0.125:0.126) (0.259:0.261:0.262)) - (IOPATH B X (0.162:0.162:0.162) (0.262:0.263:0.263)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4569_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.315:0.315:0.315) (0.137:0.137:0.137)) - (IOPATH B Y (0.310:0.310:0.310) (0.142:0.142:0.142)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_4") - (INSTANCE _4570_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.346:0.346:0.346) (0.713:0.713:0.713)) - (IOPATH B X (0.338:0.338:0.338) (0.694:0.694:0.694)) - (IOPATH C X (0.328:0.328:0.328) (0.666:0.666:0.666)) - (IOPATH D X (0.349:0.349:0.349) (0.602:0.602:0.602)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_1") - (INSTANCE _4571_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.192:0.192:0.192) (0.257:0.257:0.257)) - (IOPATH A2 X (0.177:0.177:0.177) (0.241:0.241:0.241)) - (IOPATH B1 X (0.144:0.145:0.146) (0.128:0.128:0.128)) - (IOPATH C1 X (0.181:0.181:0.181) (0.168:0.173:0.178)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _4572_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.191:0.191:0.191) (0.117:0.117:0.117)) - (IOPATH A2 Y (0.154:0.155:0.156) (0.078:0.079:0.079)) - (IOPATH B1 Y (0.068:0.070:0.071) (0.058:0.059:0.059)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _4573_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.203:0.203:0.203) (0.270:0.270:0.270)) - (IOPATH B Y (0.142:0.144:0.146) (0.116:0.133:0.151)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _4574_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.333:0.335:0.336) (0.103:0.104:0.105)) - (IOPATH B Y (0.318:0.318:0.318) (0.083:0.083:0.084)) - (IOPATH C Y (0.272:0.274:0.277) (0.086:0.086:0.086)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_4") - (INSTANCE _4575_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.291:0.291:0.291) (0.515:0.515:0.515)) - (IOPATH B X (0.258:0.258:0.258) (0.505:0.505:0.505)) - (IOPATH C X (0.270:0.270:0.270) (0.459:0.460:0.461)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_4") - (INSTANCE _4576_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.379:0.379:0.379) (0.399:0.399:0.399)) - (IOPATH B X (0.360:0.360:0.361) (0.392:0.393:0.393)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4577_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.174:0.174:0.174) (0.095:0.095:0.095)) - (IOPATH B Y (0.148:0.148:0.148) (0.085:0.085:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4b_4") - (INSTANCE _4578_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.287:0.287:0.287) (0.680:0.680:0.680)) - (IOPATH B X (0.288:0.288:0.288) (0.658:0.658:0.658)) - (IOPATH C X (0.281:0.281:0.281) (0.625:0.625:0.625)) - (IOPATH D_N X (0.339:0.339:0.339) (0.621:0.621:0.621)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_4") - (INSTANCE _4579_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.280:0.280:0.280) (0.356:0.356:0.356)) - (IOPATH B X (0.297:0.297:0.298) (0.360:0.361:0.361)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _4580_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.249:0.249:0.249) (0.121:0.121:0.121)) - (IOPATH B Y (0.248:0.248:0.248) (0.099:0.099:0.099)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_4") - (INSTANCE _4581_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.300:0.300:0.300) (0.362:0.362:0.362)) - (IOPATH B X (0.279:0.279:0.279) (0.365:0.365:0.365)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4582_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.281:0.281:0.281) (0.165:0.165:0.165)) - (IOPATH B Y (0.244:0.245:0.245) (0.121:0.121:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_4") - (INSTANCE _4583_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.285:0.285:0.285) (0.358:0.358:0.358)) - (IOPATH B X (0.284:0.285:0.285) (0.372:0.372:0.372)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _4584_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.249:0.249:0.249) (0.282:0.282:0.282)) - (IOPATH A2 X (0.202:0.203:0.204) (0.263:0.265:0.266)) - (IOPATH B1 X (0.222:0.222:0.222) (0.266:0.266:0.266)) - (IOPATH B2 X (0.199:0.199:0.199) (0.223:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3b_4") - (INSTANCE _4585_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.276:0.276:0.276) (0.510:0.510:0.510)) - (IOPATH B X (0.274:0.274:0.274) (0.488:0.488:0.488)) - (IOPATH C_N X (0.361:0.362:0.362) (0.504:0.504:0.504)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_4") - (INSTANCE _4586_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.396:0.396:0.396) (0.409:0.409:0.409)) - (IOPATH B X (0.372:0.372:0.372) (0.395:0.395:0.395)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4587_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.194:0.194:0.194) (0.121:0.121:0.121)) - (IOPATH B Y (0.178:0.178:0.178) (0.105:0.105:0.105)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4bb_4") - (INSTANCE _4588_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.316:0.316:0.316) (0.701:0.701:0.701)) - (IOPATH B X (0.317:0.317:0.317) (0.679:0.679:0.679)) - (IOPATH C_N X (0.381:0.381:0.381) (0.708:0.708:0.708)) - (IOPATH D_N X (0.368:0.368:0.368) (0.634:0.634:0.634)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_4") - (INSTANCE _4589_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.330:0.330:0.330) (0.376:0.376:0.376)) - (IOPATH B X (0.319:0.319:0.320) (0.386:0.386:0.386)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4590_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.264:0.264:0.264) (0.146:0.146:0.146)) - (IOPATH B Y (0.259:0.259:0.259) (0.137:0.137:0.137)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_4") - (INSTANCE _4591_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.318:0.318:0.318) (0.530:0.530:0.530)) - (IOPATH B X (0.315:0.315:0.315) (0.510:0.510:0.510)) - (IOPATH C X (0.297:0.297:0.297) (0.474:0.476:0.477)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _4592_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.078:0.078:0.078) (0.045:0.045:0.045)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_4") - (INSTANCE _4593_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.347:0.347:0.347) (0.387:0.387:0.387)) - (IOPATH B X (0.338:0.338:0.338) (0.383:0.383:0.384)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4594_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.315:0.315:0.315) (0.181:0.181:0.181)) - (IOPATH B Y (0.288:0.288:0.288) (0.154:0.154:0.154)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _4595_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.162:0.165:0.168) (0.200:0.200:0.200)) - (IOPATH B Y (0.162:0.163:0.163) (0.139:0.139:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_2") - (INSTANCE _4596_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.211:0.212:0.213) (0.391:0.392:0.393)) - (IOPATH B X (0.221:0.221:0.221) (0.369:0.369:0.369)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _4597_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.147:0.148:0.148) (0.088:0.088:0.088)) - (IOPATH A2 Y (0.151:0.151:0.151) (0.095:0.095:0.095)) - (IOPATH B1 Y (0.134:0.144:0.154) (0.053:0.053:0.053)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _4598_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.144:0.144:0.145) (0.543:0.544:0.545)) - (IOPATH B X (0.174:0.174:0.175) (0.530:0.531:0.531)) - (IOPATH C X (0.175:0.175:0.175) (0.499:0.502:0.506)) - (IOPATH D X (0.117:0.122:0.126) (0.412:0.413:0.415)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4b_1") - (INSTANCE _4599_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.228:0.228:0.228) (0.640:0.640:0.641)) - (IOPATH B X (0.207:0.207:0.208) (0.630:0.630:0.630)) - (IOPATH C X (0.259:0.260:0.260) (0.594:0.600:0.605)) - (IOPATH D_N X (0.272:0.274:0.276) (0.561:0.561:0.561)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a2111o_1") - (INSTANCE _4600_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.169:0.169:0.169) (0.392:0.392:0.392)) - (IOPATH A2 X (0.162:0.162:0.162) (0.431:0.431:0.431)) - (IOPATH B1 X (0.181:0.181:0.182) (0.401:0.403:0.406)) - (IOPATH C1 X (0.149:0.149:0.149) (0.403:0.403:0.403)) - (IOPATH D1 X (0.158:0.158:0.159) (0.322:0.324:0.326)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a2111o_1") - (INSTANCE _4601_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.161:0.161:0.161) (0.375:0.375:0.376)) - (IOPATH A2 X (0.136:0.142:0.147) (0.401:0.406:0.411)) - (IOPATH B1 X (0.106:0.107:0.108) (0.387:0.387:0.388)) - (IOPATH C1 X (0.166:0.166:0.166) (0.363:0.364:0.364)) - (IOPATH D1 X (0.147:0.147:0.147) (0.300:0.304:0.308)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a41o_1") - (INSTANCE _4602_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.191:0.191:0.191) (0.197:0.197:0.197)) - (IOPATH A2 X (0.197:0.197:0.197) (0.228:0.228:0.228)) - (IOPATH A3 X (0.213:0.213:0.213) (0.259:0.259:0.259)) - (IOPATH A4 X (0.214:0.214:0.214) (0.241:0.245:0.249)) - (IOPATH B1 X (0.089:0.091:0.092) (0.205:0.206:0.207)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _4603_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.386:0.386:0.386) (0.156:0.156:0.156)) - (IOPATH B Y (0.405:0.405:0.405) (0.170:0.171:0.171)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _4604_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.157:0.157:0.157) (0.181:0.181:0.181)) - (IOPATH A2 X (0.166:0.166:0.166) (0.205:0.207:0.208)) - (IOPATH B1 X (0.119:0.119:0.119) (0.180:0.180:0.180)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _4605_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.160:0.160:0.160) (0.396:0.396:0.396)) - (IOPATH B X (0.129:0.129:0.129) (0.382:0.382:0.382)) - (IOPATH C X (0.143:0.144:0.145) (0.350:0.351:0.353)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4b_1") - (INSTANCE _4606_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.341:0.345:0.348) (0.258:0.270:0.282)) - (IOPATH B X (0.257:0.257:0.257) (0.212:0.213:0.213)) - (IOPATH C X (0.263:0.263:0.263) (0.242:0.242:0.242)) - (IOPATH D X (0.285:0.298:0.310) (0.261:0.265:0.269)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4b_1") - (INSTANCE _4607_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.341:0.345:0.349) (0.253:0.253:0.253)) - (IOPATH B X (0.282:0.282:0.282) (0.260:0.260:0.260)) - (IOPATH C X (0.328:0.328:0.328) (0.249:0.251:0.253)) - (IOPATH D X (0.293:0.293:0.293) (0.257:0.258:0.259)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _4608_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.174:0.174:0.174) (0.195:0.196:0.197)) - (IOPATH A2 X (0.179:0.179:0.180) (0.221:0.222:0.222)) - (IOPATH B1 X (0.145:0.145:0.145) (0.192:0.193:0.194)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_2") - (INSTANCE _4609_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.368:0.370:0.371) (0.102:0.103:0.104)) - (IOPATH B Y (0.346:0.346:0.346) (0.086:0.087:0.087)) - (IOPATH C Y (0.305:0.308:0.310) (0.104:0.105:0.105)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_2") - (INSTANCE _4610_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.258:0.258:0.258) (0.432:0.432:0.432)) - (IOPATH B X (0.268:0.269:0.271) (0.421:0.423:0.424)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_2") - (INSTANCE _4611_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.273:0.273:0.273) (0.413:0.413:0.413)) - (IOPATH B X (0.262:0.262:0.263) (0.404:0.405:0.405)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4612_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.253:0.253:0.253) (0.337:0.337:0.337)) - (IOPATH B X (0.252:0.252:0.252) (0.332:0.332:0.332)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_2") - (INSTANCE _4613_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.249:0.249:0.249) (0.397:0.397:0.397)) - (IOPATH B X (0.237:0.237:0.237) (0.369:0.369:0.369)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4614_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.253:0.253:0.254) (0.360:0.360:0.360)) - (IOPATH B X (0.226:0.226:0.226) (0.309:0.309:0.309)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _4615_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.247:0.247:0.247) (0.282:0.282:0.282)) - (IOPATH A2 X (0.246:0.246:0.246) (0.292:0.292:0.292)) - (IOPATH B1 X (0.255:0.255:0.255) (0.255:0.255:0.255)) - (IOPATH B2 X (0.253:0.253:0.253) (0.266:0.266:0.267)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4616_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.193:0.193:0.193) (0.094:0.094:0.094)) - (IOPATH B Y (0.184:0.184:0.184) (0.104:0.104:0.104)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a41o_1") - (INSTANCE _4617_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.296:0.296:0.296) (0.304:0.304:0.304)) - (IOPATH A2 X (0.318:0.318:0.318) (0.309:0.309:0.309)) - (IOPATH A3 X (0.313:0.313:0.313) (0.331:0.331:0.331)) - (IOPATH A4 X (0.326:0.326:0.326) (0.324:0.326:0.329)) - (IOPATH B1 X (0.234:0.234:0.234) (0.284:0.287:0.290)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4b_1") - (INSTANCE _4618_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.264:0.265:0.267) (0.219:0.220:0.220)) - (IOPATH B X (0.211:0.212:0.212) (0.203:0.203:0.203)) - (IOPATH C X (0.218:0.218:0.218) (0.222:0.222:0.222)) - (IOPATH D X (0.209:0.209:0.209) (0.215:0.217:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4619_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.309:0.309:0.309) (0.178:0.178:0.178)) - (IOPATH B Y (0.275:0.285:0.295) (0.116:0.117:0.118)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4620_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.240:0.240:0.240) (0.136:0.136:0.136)) - (IOPATH B Y (0.211:0.211:0.211) (0.115:0.115:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_4") - (INSTANCE _4621_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.315:0.315:0.315) (0.539:0.539:0.539)) - (IOPATH B X (0.330:0.330:0.330) (0.518:0.518:0.518)) - (IOPATH C X (0.312:0.313:0.313) (0.482:0.483:0.484)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _4622_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.114:0.114:0.114) (0.079:0.079:0.079)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4623_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.199:0.199:0.199) (0.101:0.101:0.101)) - (IOPATH B Y (0.210:0.210:0.210) (0.112:0.112:0.112)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_4") - (INSTANCE _4624_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.333:0.333:0.333) (0.380:0.380:0.380)) - (IOPATH B X (0.331:0.331:0.331) (0.380:0.380:0.380)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4625_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.302:0.302:0.302) (0.149:0.149:0.149)) - (IOPATH B Y (0.301:0.301:0.302) (0.151:0.151:0.151)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _4626_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.072:0.073:0.073) (0.060:0.060:0.060)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _4627_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.175:0.175:0.175) (0.532:0.540:0.547)) - (IOPATH B X (0.156:0.156:0.156) (0.515:0.517:0.519)) - (IOPATH C X (0.143:0.143:0.144) (0.476:0.479:0.481)) - (IOPATH D X (0.167:0.167:0.167) (0.419:0.420:0.420)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _4628_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.356:0.356:0.356) (0.140:0.140:0.140)) - (IOPATH B Y (0.305:0.305:0.306) (0.110:0.110:0.110)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4629_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.214:0.214:0.214) (0.302:0.302:0.302)) - (IOPATH B X (0.209:0.209:0.209) (0.290:0.290:0.290)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_2") - (INSTANCE _4630_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.240:0.240:0.240) (0.392:0.392:0.392)) - (IOPATH B X (0.214:0.214:0.214) (0.368:0.368:0.368)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_1") - (INSTANCE _4631_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.212:0.212:0.212) (0.197:0.202:0.206)) - (IOPATH B Y (0.150:0.150:0.150) (0.119:0.119:0.119)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4632_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.355:0.355:0.355) (0.195:0.195:0.195)) - (IOPATH B Y (0.336:0.336:0.336) (0.177:0.177:0.177)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4633_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.280:0.281:0.281) (0.140:0.141:0.141)) - (IOPATH B Y (0.232:0.232:0.232) (0.109:0.110:0.110)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor4_1") - (INSTANCE _4634_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.305:0.305:0.305) (0.049:0.050:0.050)) - (IOPATH B Y (0.292:0.293:0.293) (0.074:0.074:0.074)) - (IOPATH C Y (0.275:0.277:0.279) (0.102:0.102:0.102)) - (IOPATH D Y (0.201:0.204:0.207) (0.083:0.083:0.083)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4635_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.308:0.308:0.308) (0.171:0.171:0.171)) - (IOPATH B Y (0.271:0.271:0.271) (0.139:0.139:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _4636_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.203:0.203:0.203) (0.249:0.250:0.250)) - (IOPATH A2 X (0.166:0.166:0.166) (0.213:0.213:0.213)) - (IOPATH B1 X (0.185:0.185:0.185) (0.207:0.208:0.208)) - (IOPATH B2 X (0.164:0.164:0.164) (0.180:0.180:0.180)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_1") - (INSTANCE _4637_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.263:0.263:0.263) (0.318:0.318:0.318)) - (IOPATH A2 X (0.224:0.225:0.226) (0.289:0.290:0.292)) - (IOPATH B1 X (0.256:0.256:0.256) (0.267:0.267:0.267)) - (IOPATH B2 X (0.262:0.262:0.262) (0.271:0.271:0.271)) - (IOPATH C1 X (0.183:0.183:0.183) (0.127:0.128:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_4") - (INSTANCE _4638_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.369:0.369:0.369) (0.395:0.395:0.395)) - (IOPATH B X (0.367:0.367:0.367) (0.411:0.411:0.411)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4639_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.164:0.164:0.165) (0.089:0.089:0.090)) - (IOPATH B Y (0.176:0.176:0.176) (0.098:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _4640_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.167:0.167:0.167) (0.205:0.205:0.205)) - (IOPATH A2 X (0.165:0.165:0.165) (0.213:0.213:0.214)) - (IOPATH B1 X (0.122:0.122:0.122) (0.178:0.178:0.178)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_1") - (INSTANCE _4641_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.223:0.223:0.223) (0.288:0.288:0.289)) - (IOPATH A2 X (0.238:0.238:0.238) (0.298:0.298:0.298)) - (IOPATH B1 X (0.256:0.256:0.256) (0.275:0.275:0.275)) - (IOPATH B2 X (0.236:0.236:0.236) (0.240:0.240:0.240)) - (IOPATH C1 X (0.171:0.172:0.172) (0.118:0.118:0.119)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4_1") - (INSTANCE _4642_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.329:0.329:0.329) (0.231:0.231:0.232)) - (IOPATH B X (0.391:0.392:0.392) (0.258:0.268:0.278)) - (IOPATH C X (0.352:0.353:0.353) (0.280:0.283:0.286)) - (IOPATH D X (0.354:0.355:0.355) (0.287:0.290:0.293)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_1") - (INSTANCE _4643_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.241:0.241:0.241) (0.288:0.289:0.289)) - (IOPATH A2 X (0.209:0.209:0.209) (0.272:0.272:0.273)) - (IOPATH B1 X (0.245:0.245:0.245) (0.185:0.186:0.187)) - (IOPATH C1 X (0.242:0.242:0.242) (0.211:0.212:0.213)) - (IOPATH D1 X (0.222:0.223:0.223) (0.183:0.183:0.184)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4b_1") - (INSTANCE _4644_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.213:0.213:0.213) (0.570:0.573:0.576)) - (IOPATH B X (0.142:0.142:0.143) (0.534:0.534:0.535)) - (IOPATH C X (0.195:0.195:0.195) (0.500:0.502:0.504)) - (IOPATH D_N X (0.181:0.183:0.185) (0.468:0.468:0.468)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4645_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.148:0.148:0.148) (0.248:0.248:0.248)) - (IOPATH B X (0.138:0.138:0.138) (0.243:0.244:0.244)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4646_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.246:0.246:0.246) (0.354:0.354:0.355)) - (IOPATH B X (0.233:0.233:0.233) (0.314:0.314:0.315)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4647_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.249:0.250:0.251) (0.082:0.083:0.085)) - (IOPATH B Y (0.261:0.261:0.261) (0.087:0.088:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4648_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.133:0.137:0.140) (0.072:0.083:0.094)) - (IOPATH B Y (0.108:0.109:0.109) (0.080:0.080:0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _4649_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.215:0.223:0.231) (0.117:0.118:0.118)) - (IOPATH A2 Y (0.238:0.238:0.238) (0.128:0.128:0.128)) - (IOPATH B1 Y (0.144:0.146:0.147) (0.194:0.194:0.194)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _4650_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.089:0.106:0.124) (0.071:0.076:0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_4") - (INSTANCE _4651_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.260:0.260:0.260) (0.375:0.375:0.375)) - (IOPATH B X (0.301:0.301:0.301) (0.385:0.385:0.385)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4652_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.146:0.146:0.147) (0.277:0.277:0.277)) - (IOPATH B X (0.140:0.140:0.140) (0.246:0.246:0.246)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _4653_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.164:0.164:0.164) (0.395:0.395:0.395)) - (IOPATH B X (0.154:0.155:0.156) (0.397:0.397:0.397)) - (IOPATH C X (0.149:0.149:0.149) (0.346:0.346:0.346)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3b_4") - (INSTANCE _4654_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.261:0.261:0.261) (0.512:0.512:0.512)) - (IOPATH B X (0.240:0.240:0.240) (0.481:0.481:0.481)) - (IOPATH C_N X (0.352:0.352:0.352) (0.505:0.505:0.505)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _4655_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.360:0.360:0.360) (0.141:0.142:0.143)) - (IOPATH B Y (0.317:0.327:0.338) (0.113:0.114:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4656_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.216:0.216:0.216) (0.119:0.119:0.120)) - (IOPATH B Y (0.162:0.163:0.165) (0.075:0.076:0.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_1") - (INSTANCE _4657_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.329:0.329:0.329) (0.221:0.223:0.225)) - (IOPATH B X (0.284:0.284:0.284) (0.253:0.253:0.253)) - (IOPATH C X (0.310:0.310:0.310) (0.250:0.255:0.261)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4658_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.288:0.288:0.288) (0.385:0.385:0.386)) - (IOPATH B X (0.262:0.262:0.262) (0.327:0.327:0.327)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4659_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.136:0.140:0.144) (0.071:0.071:0.072)) - (IOPATH B Y (0.122:0.131:0.141) (0.061:0.061:0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _4660_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.317:0.318:0.320) (0.294:0.300:0.306)) - (IOPATH B X (0.356:0.356:0.357) (0.367:0.367:0.367)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_2") - (INSTANCE _4661_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.251:0.252:0.253) (0.422:0.426:0.431)) - (IOPATH B X (0.249:0.249:0.249) (0.416:0.416:0.416)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _4662_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.144:0.145:0.147) (0.171:0.171:0.171)) - (IOPATH B Y (0.163:0.166:0.169) (0.190:0.190:0.190)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4663_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.132:0.132:0.132) (0.056:0.057:0.057)) - (IOPATH B Y (0.149:0.149:0.150) (0.074:0.074:0.074)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4664_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.207:0.207:0.207) (0.323:0.323:0.323)) - (IOPATH B X (0.244:0.245:0.245) (0.341:0.341:0.341)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4665_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.186:0.186:0.186) (0.094:0.094:0.094)) - (IOPATH B Y (0.164:0.164:0.164) (0.087:0.087:0.087)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4666_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.136:0.140:0.144) (0.070:0.071:0.071)) - (IOPATH B Y (0.125:0.126:0.127) (0.057:0.057:0.058)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_1") - (INSTANCE _4667_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.360:0.361:0.362) (0.266:0.266:0.267)) - (IOPATH B X (0.364:0.364:0.364) (0.242:0.246:0.249)) - (IOPATH C X (0.311:0.311:0.311) (0.276:0.276:0.276)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_2") - (INSTANCE _4668_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.269:0.269:0.269) (0.442:0.442:0.442)) - (IOPATH B X (0.235:0.236:0.237) (0.394:0.396:0.398)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o32a_1") - (INSTANCE _4669_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.200:0.203:0.205) (0.371:0.376:0.380)) - (IOPATH A2 X (0.196:0.197:0.198) (0.356:0.357:0.359)) - (IOPATH A3 X (0.212:0.212:0.213) (0.338:0.339:0.339)) - (IOPATH B1 X (0.211:0.211:0.211) (0.208:0.208:0.208)) - (IOPATH B2 X (0.244:0.244:0.244) (0.208:0.210:0.213)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4670_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.279:0.280:0.282) (0.121:0.122:0.122)) - (IOPATH B Y (0.269:0.269:0.269) (0.146:0.146:0.146)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _4671_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.362:0.362:0.362) (0.158:0.158:0.158)) - (IOPATH B Y (0.322:0.322:0.322) (0.134:0.134:0.134)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4672_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.168:0.168:0.168) (0.274:0.274:0.274)) - (IOPATH B X (0.151:0.151:0.151) (0.239:0.239:0.239)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4673_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.161:0.161:0.161) (0.096:0.096:0.096)) - (IOPATH B Y (0.141:0.141:0.141) (0.082:0.082:0.083)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _4674_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.167:0.170:0.173) (0.205:0.205:0.205)) - (IOPATH B Y (0.183:0.184:0.184) (0.159:0.159:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4675_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.165:0.165:0.165) (0.086:0.086:0.086)) - (IOPATH B Y (0.134:0.142:0.150) (0.064:0.065:0.065)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _4676_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.346:0.346:0.346) (0.360:0.361:0.361)) - (IOPATH A2 X (0.318:0.318:0.319) (0.338:0.340:0.341)) - (IOPATH B1 X (0.331:0.332:0.333) (0.329:0.338:0.346)) - (IOPATH B2 X (0.330:0.330:0.330) (0.334:0.334:0.334)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4677_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.146:0.147:0.148) (0.270:0.274:0.279)) - (IOPATH B X (0.175:0.175:0.175) (0.273:0.273:0.273)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_2") - (INSTANCE _4678_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.300:0.300:0.300) (0.452:0.452:0.452)) - (IOPATH B X (0.290:0.291:0.292) (0.432:0.441:0.450)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4679_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.159:0.159:0.159) (0.293:0.293:0.293)) - (IOPATH B X (0.143:0.143:0.143) (0.240:0.240:0.240)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4680_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.158:0.158:0.158) (0.096:0.096:0.096)) - (IOPATH B Y (0.145:0.153:0.162) (0.070:0.071:0.072)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _4681_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.175:0.176:0.176) (0.248:0.248:0.249)) - (IOPATH A2 X (0.147:0.147:0.147) (0.217:0.217:0.217)) - (IOPATH B1 X (0.145:0.145:0.145) (0.181:0.181:0.181)) - (IOPATH B2 X (0.167:0.167:0.167) (0.197:0.197:0.197)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _4682_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.127:0.128:0.128) (0.181:0.185:0.190)) - (IOPATH A2 X (0.163:0.164:0.164) (0.237:0.237:0.237)) - (IOPATH B1 X (0.106:0.106:0.106) (0.173:0.173:0.173)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4683_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.128:0.128:0.128) (0.253:0.253:0.253)) - (IOPATH B X (0.114:0.115:0.115) (0.235:0.237:0.238)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _4684_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.245:0.246:0.246) (0.239:0.239:0.239)) - (IOPATH B Y (0.235:0.238:0.241) (0.231:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _4685_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.161:0.161:0.161) (0.111:0.111:0.111)) - (IOPATH A2 Y (0.168:0.168:0.168) (0.085:0.085:0.085)) - (IOPATH B1 Y (0.070:0.070:0.070) (0.058:0.058:0.058)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4686_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.273:0.273:0.273) (0.352:0.352:0.352)) - (IOPATH B X (0.253:0.253:0.253) (0.311:0.311:0.311)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4687_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.281:0.283:0.284) (0.122:0.123:0.124)) - (IOPATH B Y (0.277:0.277:0.277) (0.152:0.152:0.152)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _4688_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.163:0.163:0.163) (0.417:0.417:0.417)) - (IOPATH B X (0.167:0.167:0.167) (0.384:0.384:0.384)) - (IOPATH C X (0.129:0.129:0.129) (0.329:0.329:0.329)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4689_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.144:0.145:0.146) (0.269:0.274:0.278)) - (IOPATH B X (0.168:0.168:0.168) (0.264:0.264:0.265)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4690_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.172:0.172:0.172) (0.291:0.292:0.292)) - (IOPATH B X (0.150:0.151:0.152) (0.264:0.274:0.283)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4691_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.159:0.159:0.159) (0.280:0.280:0.280)) - (IOPATH B X (0.144:0.144:0.144) (0.252:0.252:0.253)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4692_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.208:0.208:0.208) (0.317:0.317:0.317)) - (IOPATH B X (0.195:0.196:0.197) (0.296:0.305:0.315)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _4693_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.128:0.128:0.128) (0.395:0.395:0.395)) - (IOPATH B X (0.142:0.143:0.144) (0.381:0.381:0.381)) - (IOPATH C X (0.142:0.143:0.143) (0.326:0.326:0.326)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4694_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.206:0.207:0.208) (0.325:0.325:0.326)) - (IOPATH B X (0.206:0.206:0.206) (0.290:0.290:0.290)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_1") - (INSTANCE _4695_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.246:0.247:0.247) (0.308:0.308:0.308)) - (IOPATH A2 X (0.214:0.214:0.214) (0.268:0.268:0.268)) - (IOPATH B1 X (0.232:0.232:0.232) (0.257:0.257:0.257)) - (IOPATH B2 X (0.221:0.221:0.221) (0.234:0.234:0.235)) - (IOPATH C1 X (0.189:0.189:0.189) (0.137:0.137:0.137)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _4696_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.215:0.215:0.215) (0.259:0.259:0.259)) - (IOPATH A2 X (0.179:0.179:0.179) (0.233:0.233:0.233)) - (IOPATH B1 X (0.176:0.176:0.176) (0.205:0.205:0.205)) - (IOPATH B2 X (0.144:0.145:0.147) (0.196:0.197:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_1") - (INSTANCE _4697_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.193:0.193:0.193) (0.249:0.249:0.249)) - (IOPATH A2 X (0.149:0.150:0.150) (0.237:0.238:0.239)) - (IOPATH B1 X (0.139:0.139:0.140) (0.118:0.119:0.121)) - (IOPATH C1 X (0.130:0.130:0.130) (0.115:0.116:0.116)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4b_1") - (INSTANCE _4698_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.258:0.260:0.261) (0.206:0.207:0.207)) - (IOPATH B X (0.183:0.183:0.184) (0.183:0.183:0.183)) - (IOPATH C X (0.196:0.196:0.196) (0.185:0.188:0.190)) - (IOPATH D X (0.199:0.199:0.200) (0.196:0.198:0.200)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4_1") - (INSTANCE _4699_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.163:0.164:0.164) (0.140:0.141:0.141)) - (IOPATH B X (0.176:0.176:0.176) (0.172:0.172:0.172)) - (IOPATH C X (0.233:0.234:0.234) (0.263:0.265:0.266)) - (IOPATH D X (0.196:0.196:0.196) (0.195:0.196:0.197)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_1") - (INSTANCE _4700_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.162:0.162:0.162) (0.195:0.196:0.196)) - (IOPATH A2 X (0.167:0.167:0.167) (0.235:0.235:0.235)) - (IOPATH A3 X (0.160:0.161:0.162) (0.246:0.255:0.264)) - (IOPATH B1 X (0.125:0.125:0.125) (0.216:0.216:0.217)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o311a_1") - (INSTANCE _4701_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.204:0.204:0.205) (0.388:0.390:0.391)) - (IOPATH A2 X (0.214:0.214:0.214) (0.360:0.360:0.360)) - (IOPATH A3 X (0.197:0.197:0.197) (0.341:0.341:0.341)) - (IOPATH B1 X (0.172:0.172:0.172) (0.123:0.124:0.126)) - (IOPATH C1 X (0.159:0.160:0.160) (0.106:0.107:0.108)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _4702_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.182:0.182:0.182) (0.247:0.248:0.248)) - (IOPATH A2 X (0.153:0.154:0.154) (0.234:0.235:0.237)) - (IOPATH B1 X (0.165:0.166:0.168) (0.213:0.221:0.230)) - (IOPATH B2 X (0.172:0.172:0.172) (0.210:0.210:0.210)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_1") - (INSTANCE _4703_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.219:0.219:0.219) (0.281:0.281:0.281)) - (IOPATH A2 X (0.180:0.180:0.180) (0.253:0.253:0.253)) - (IOPATH B1 X (0.165:0.165:0.166) (0.133:0.138:0.143)) - (IOPATH C1 X (0.152:0.153:0.153) (0.119:0.120:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4704_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.146:0.146:0.146) (0.270:0.270:0.270)) - (IOPATH B X (0.139:0.139:0.139) (0.248:0.248:0.249)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_1") - (INSTANCE _4705_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.192:0.192:0.192) (0.262:0.262:0.262)) - (IOPATH A2 X (0.167:0.167:0.167) (0.233:0.233:0.233)) - (IOPATH B1 X (0.152:0.153:0.153) (0.127:0.129:0.131)) - (IOPATH C1 X (0.142:0.142:0.142) (0.116:0.116:0.116)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_1") - (INSTANCE _4706_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.237:0.237:0.237) (0.297:0.298:0.298)) - (IOPATH A2 X (0.194:0.195:0.195) (0.275:0.277:0.278)) - (IOPATH B1 X (0.209:0.209:0.209) (0.178:0.178:0.178)) - (IOPATH C1 X (0.176:0.176:0.177) (0.133:0.135:0.137)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4707_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.163:0.163:0.163) (0.283:0.283:0.283)) - (IOPATH B X (0.148:0.148:0.148) (0.246:0.246:0.246)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4_1") - (INSTANCE _4708_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.178:0.178:0.179) (0.158:0.159:0.159)) - (IOPATH B X (0.184:0.184:0.184) (0.179:0.179:0.179)) - (IOPATH C X (0.197:0.198:0.198) (0.195:0.197:0.199)) - (IOPATH D X (0.197:0.197:0.197) (0.205:0.205:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_1") - (INSTANCE _4709_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.261:0.262:0.262) (0.314:0.315:0.317)) - (IOPATH A2 X (0.267:0.267:0.267) (0.316:0.316:0.317)) - (IOPATH B1 X (0.228:0.228:0.228) (0.186:0.186:0.186)) - (IOPATH C1 X (0.218:0.218:0.218) (0.158:0.159:0.161)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_1") - (INSTANCE _4710_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.221:0.221:0.222) (0.306:0.306:0.307)) - (IOPATH A2 X (0.235:0.235:0.235) (0.288:0.288:0.288)) - (IOPATH B1 X (0.241:0.241:0.241) (0.262:0.262:0.262)) - (IOPATH B2 X (0.240:0.240:0.240) (0.253:0.253:0.253)) - (IOPATH C1 X (0.189:0.189:0.189) (0.132:0.134:0.136)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o311a_1") - (INSTANCE _4711_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.265:0.265:0.265) (0.415:0.415:0.415)) - (IOPATH A2 X (0.270:0.270:0.270) (0.441:0.441:0.441)) - (IOPATH A3 X (0.221:0.221:0.221) (0.354:0.355:0.355)) - (IOPATH B1 X (0.218:0.219:0.219) (0.161:0.162:0.162)) - (IOPATH C1 X (0.208:0.208:0.209) (0.133:0.136:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _4712_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.141:0.141:0.141) (0.384:0.384:0.384)) - (IOPATH B X (0.156:0.156:0.156) (0.395:0.395:0.395)) - (IOPATH C X (0.134:0.134:0.134) (0.333:0.333:0.333)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4_1") - (INSTANCE _4713_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.212:0.212:0.212) (0.256:0.256:0.256)) - (IOPATH B Y (0.201:0.201:0.201) (0.209:0.209:0.210)) - (IOPATH C Y (0.209:0.214:0.219) (0.234:0.235:0.235)) - (IOPATH D Y (0.194:0.194:0.194) (0.219:0.219:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4b_1") - (INSTANCE _4714_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.129:0.136:0.143) (0.541:0.545:0.548)) - (IOPATH B X (0.168:0.171:0.174) (0.586:0.588:0.589)) - (IOPATH C X (0.182:0.183:0.183) (0.503:0.508:0.513)) - (IOPATH D_N X (0.214:0.214:0.214) (0.484:0.484:0.484)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_1") - (INSTANCE _4715_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.139:0.139:0.139) (0.278:0.278:0.278)) - (IOPATH A2 X (0.213:0.213:0.213) (0.327:0.329:0.331)) - (IOPATH B1 X (0.160:0.160:0.160) (0.289:0.294:0.298)) - (IOPATH C1 X (0.107:0.107:0.107) (0.253:0.253:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4716_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.267:0.267:0.267) (0.140:0.140:0.140)) - (IOPATH B Y (0.244:0.250:0.256) (0.102:0.103:0.104)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4717_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.280:0.280:0.280) (0.353:0.353:0.353)) - (IOPATH B X (0.254:0.255:0.256) (0.327:0.333:0.339)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4718_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.286:0.286:0.287) (0.147:0.147:0.148)) - (IOPATH B Y (0.277:0.285:0.294) (0.119:0.120:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4719_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.132:0.139:0.146) (0.069:0.070:0.070)) - (IOPATH B Y (0.141:0.141:0.141) (0.079:0.079:0.079)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _4720_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.156:0.156:0.156) (0.368:0.372:0.376)) - (IOPATH B X (0.156:0.156:0.156) (0.349:0.352:0.355)) - (IOPATH C X (0.104:0.107:0.109) (0.298:0.302:0.307)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_2") - (INSTANCE _4721_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.212:0.212:0.212) (0.568:0.568:0.568)) - (IOPATH B X (0.239:0.239:0.239) (0.581:0.582:0.582)) - (IOPATH C X (0.250:0.250:0.250) (0.531:0.531:0.531)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _4722_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.144:0.144:0.145) (0.383:0.384:0.384)) - (IOPATH B X (0.102:0.103:0.104) (0.346:0.347:0.347)) - (IOPATH C X (0.093:0.093:0.094) (0.311:0.311:0.311)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_1") - (INSTANCE _4723_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.123:0.125:0.127) (0.257:0.258:0.260)) - (IOPATH A2 X (0.119:0.119:0.119) (0.299:0.299:0.299)) - (IOPATH B1 X (0.154:0.154:0.154) (0.274:0.277:0.280)) - (IOPATH C1 X (0.109:0.109:0.110) (0.227:0.231:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4724_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.279:0.284:0.289) (0.129:0.130:0.130)) - (IOPATH B Y (0.256:0.257:0.258) (0.115:0.115:0.116)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211oi_4") - (INSTANCE _4725_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.356:0.356:0.357) (0.123:0.123:0.123)) - (IOPATH A2 Y (0.394:0.397:0.399) (0.104:0.105:0.107)) - (IOPATH B1 Y (0.362:0.363:0.364) (0.089:0.089:0.089)) - (IOPATH C1 Y (0.315:0.315:0.315) (0.080:0.080:0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _4726_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.049:0.054:0.059) (0.043:0.043:0.043)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _4727_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.268:0.269:0.269) (0.396:0.398:0.399)) - (IOPATH A2 X (0.269:0.269:0.269) (0.382:0.387:0.392)) - (IOPATH A3 X (0.211:0.212:0.213) (0.306:0.306:0.307)) - (IOPATH B1 X (0.281:0.281:0.281) (0.200:0.200:0.200)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4728_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.275:0.280:0.284) (0.160:0.160:0.160)) - (IOPATH B Y (0.263:0.265:0.266) (0.168:0.168:0.168)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4729_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.161:0.162:0.164) (0.133:0.134:0.135)) - (IOPATH B Y (0.172:0.173:0.173) (0.137:0.138:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4730_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.244:0.245:0.246) (0.107:0.108:0.109)) - (IOPATH B Y (0.234:0.234:0.234) (0.113:0.113:0.113)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_1") - (INSTANCE _4731_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.361:0.361:0.361) (0.259:0.259:0.259)) - (IOPATH B X (0.289:0.290:0.291) (0.270:0.273:0.276)) - (IOPATH C X (0.306:0.306:0.306) (0.272:0.272:0.273)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_1") - (INSTANCE _4732_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.323:0.323:0.323) (0.200:0.203:0.207)) - (IOPATH B X (0.305:0.305:0.305) (0.227:0.232:0.236)) - (IOPATH C X (0.267:0.267:0.267) (0.252:0.252:0.253)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _4733_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.165:0.166:0.166) (0.208:0.214:0.221)) - (IOPATH A2 X (0.164:0.164:0.164) (0.216:0.217:0.217)) - (IOPATH B1 X (0.161:0.161:0.162) (0.187:0.187:0.188)) - (IOPATH B2 X (0.146:0.146:0.146) (0.168:0.169:0.170)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4734_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.253:0.253:0.253) (0.129:0.129:0.129)) - (IOPATH B Y (0.237:0.242:0.246) (0.103:0.104:0.105)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4735_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.091:0.096:0.102) (0.124:0.124:0.124)) - (IOPATH B Y (0.117:0.117:0.118) (0.107:0.107:0.107)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4736_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.321:0.322:0.324) (0.130:0.131:0.132)) - (IOPATH B Y (0.300:0.300:0.300) (0.141:0.141:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4737_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.100:0.108:0.115) (0.136:0.136:0.136)) - (IOPATH B Y (0.101:0.108:0.115) (0.130:0.132:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4738_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.291:0.291:0.291) (0.130:0.130:0.130)) - (IOPATH B Y (0.259:0.264:0.268) (0.090:0.091:0.092)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4739_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.214:0.216:0.217) (0.102:0.102:0.103)) - (IOPATH B Y (0.181:0.186:0.190) (0.069:0.070:0.071)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4740_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.106:0.110:0.115) (0.140:0.140:0.140)) - (IOPATH B Y (0.114:0.116:0.118) (0.132:0.132:0.132)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_1") - (INSTANCE _4741_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.236:0.236:0.236) (0.172:0.176:0.181)) - (IOPATH B X (0.228:0.228:0.228) (0.193:0.195:0.197)) - (IOPATH C X (0.210:0.210:0.210) (0.217:0.218:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_1") - (INSTANCE _4742_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.285:0.285:0.285) (0.195:0.200:0.204)) - (IOPATH B X (0.240:0.240:0.240) (0.214:0.215:0.216)) - (IOPATH C X (0.261:0.261:0.261) (0.232:0.234:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4743_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.159:0.161:0.162) (0.077:0.078:0.079)) - (IOPATH B Y (0.131:0.131:0.131) (0.069:0.069:0.069)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_1") - (INSTANCE _4744_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.287:0.287:0.287) (0.219:0.220:0.221)) - (IOPATH B X (0.305:0.305:0.305) (0.228:0.234:0.240)) - (IOPATH C X (0.317:0.317:0.317) (0.256:0.265:0.273)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4745_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.170:0.170:0.170) (0.100:0.100:0.100)) - (IOPATH B Y (0.153:0.153:0.154) (0.077:0.077:0.078)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4746_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.242:0.244:0.245) (0.105:0.107:0.108)) - (IOPATH B Y (0.227:0.228:0.228) (0.110:0.111:0.111)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4747_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.254:0.254:0.254) (0.116:0.116:0.116)) - (IOPATH B Y (0.250:0.252:0.253) (0.107:0.108:0.109)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4748_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.211:0.212:0.213) (0.097:0.098:0.099)) - (IOPATH B Y (0.190:0.190:0.190) (0.103:0.103:0.103)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_2") - (INSTANCE _4749_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.413:0.413:0.413) (0.324:0.324:0.324)) - (IOPATH B X (0.343:0.343:0.343) (0.296:0.298:0.299)) - (IOPATH C X (0.330:0.330:0.330) (0.309:0.310:0.310)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4750_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.313:0.313:0.313) (0.144:0.144:0.144)) - (IOPATH B Y (0.293:0.294:0.295) (0.120:0.121:0.123)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4751_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.229:0.229:0.229) (0.115:0.115:0.115)) - (IOPATH B Y (0.213:0.215:0.216) (0.095:0.096:0.097)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4752_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.230:0.230:0.230) (0.119:0.119:0.119)) - (IOPATH B Y (0.221:0.223:0.224) (0.099:0.100:0.101)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4753_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.190:0.192:0.193) (0.090:0.091:0.092)) - (IOPATH B Y (0.167:0.167:0.167) (0.091:0.091:0.091)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4754_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.343:0.343:0.343) (0.113:0.113:0.113)) - (IOPATH B Y (0.333:0.335:0.336) (0.130:0.132:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a41o_1") - (INSTANCE _4755_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.201:0.201:0.201) (0.181:0.186:0.192)) - (IOPATH A2 X (0.184:0.184:0.184) (0.218:0.218:0.219)) - (IOPATH A3 X (0.195:0.195:0.195) (0.249:0.249:0.249)) - (IOPATH A4 X (0.202:0.202:0.202) (0.234:0.240:0.246)) - (IOPATH B1 X (0.142:0.142:0.143) (0.215:0.217:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _4756_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.180:0.180:0.180) (0.233:0.234:0.234)) - (IOPATH A2 X (0.166:0.166:0.166) (0.217:0.218:0.218)) - (IOPATH B1 X (0.132:0.133:0.134) (0.174:0.175:0.176)) - (IOPATH B2 X (0.161:0.161:0.161) (0.179:0.180:0.181)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _4757_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.149:0.150:0.151) (0.080:0.080:0.081)) - (IOPATH A2 Y (0.170:0.170:0.170) (0.087:0.087:0.088)) - (IOPATH B1 Y (0.145:0.147:0.149) (0.051:0.052:0.053)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4_2") - (INSTANCE _4758_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.140:0.141:0.142) (0.185:0.185:0.185)) - (IOPATH B Y (0.149:0.155:0.162) (0.219:0.219:0.219)) - (IOPATH C Y (0.169:0.177:0.186) (0.240:0.240:0.240)) - (IOPATH D Y (0.169:0.171:0.172) (0.214:0.214:0.214)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4759_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.097:0.103:0.108) (0.132:0.132:0.132)) - (IOPATH B Y (0.121:0.122:0.123) (0.115:0.115:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_1") - (INSTANCE _4760_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.194:0.195:0.196) (0.282:0.283:0.285)) - (IOPATH A2 X (0.172:0.172:0.172) (0.256:0.256:0.256)) - (IOPATH B1 X (0.189:0.190:0.191) (0.238:0.242:0.246)) - (IOPATH B2 X (0.178:0.181:0.185) (0.235:0.238:0.240)) - (IOPATH C1 X (0.173:0.177:0.181) (0.163:0.166:0.169)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4b_1") - (INSTANCE _4761_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.144:0.144:0.145) (0.573:0.574:0.574)) - (IOPATH B X (0.148:0.148:0.148) (0.557:0.558:0.558)) - (IOPATH C X (0.155:0.159:0.163) (0.523:0.524:0.525)) - (IOPATH D_N X (0.195:0.197:0.199) (0.489:0.489:0.489)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_1") - (INSTANCE _4762_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.187:0.187:0.187) (0.270:0.272:0.274)) - (IOPATH A2 X (0.158:0.158:0.158) (0.309:0.310:0.311)) - (IOPATH B1 X (0.162:0.162:0.162) (0.282:0.284:0.285)) - (IOPATH C1 X (0.109:0.109:0.109) (0.251:0.252:0.252)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_1") - (INSTANCE _4763_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.183:0.183:0.183) (0.268:0.270:0.272)) - (IOPATH A2 X (0.187:0.187:0.187) (0.308:0.310:0.312)) - (IOPATH B1 X (0.124:0.124:0.124) (0.267:0.268:0.269)) - (IOPATH C1 X (0.087:0.088:0.089) (0.223:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _4764_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.124:0.124:0.124) (0.365:0.365:0.365)) - (IOPATH B X (0.146:0.146:0.146) (0.349:0.351:0.353)) - (IOPATH C X (0.092:0.093:0.095) (0.303:0.303:0.304)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a2111o_1") - (INSTANCE _4765_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.205:0.205:0.205) (0.377:0.379:0.381)) - (IOPATH A2 X (0.206:0.207:0.207) (0.419:0.422:0.425)) - (IOPATH B1 X (0.151:0.152:0.152) (0.390:0.392:0.393)) - (IOPATH C1 X (0.150:0.151:0.151) (0.353:0.354:0.354)) - (IOPATH D1 X (0.088:0.089:0.089) (0.291:0.291:0.291)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a2111o_1") - (INSTANCE _4766_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.210:0.210:0.210) (0.382:0.384:0.386)) - (IOPATH A2 X (0.213:0.213:0.213) (0.424:0.427:0.429)) - (IOPATH B1 X (0.156:0.157:0.157) (0.396:0.398:0.399)) - (IOPATH C1 X (0.145:0.145:0.145) (0.354:0.357:0.359)) - (IOPATH D1 X (0.094:0.095:0.097) (0.294:0.294:0.295)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a2111o_1") - (INSTANCE _4767_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.201:0.202:0.202) (0.373:0.375:0.377)) - (IOPATH A2 X (0.143:0.143:0.143) (0.412:0.412:0.412)) - (IOPATH B1 X (0.167:0.167:0.167) (0.394:0.395:0.397)) - (IOPATH C1 X (0.155:0.155:0.155) (0.351:0.354:0.357)) - (IOPATH D1 X (0.088:0.090:0.091) (0.285:0.286:0.286)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a2111o_1") - (INSTANCE _4768_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.277:0.277:0.277) (0.443:0.445:0.447)) - (IOPATH A2 X (0.292:0.292:0.292) (0.496:0.496:0.496)) - (IOPATH B1 X (0.211:0.211:0.211) (0.456:0.458:0.460)) - (IOPATH C1 X (0.205:0.205:0.205) (0.431:0.432:0.432)) - (IOPATH D1 X (0.155:0.157:0.159) (0.356:0.356:0.357)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a2111o_1") - (INSTANCE _4769_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.163:0.163:0.163) (0.388:0.388:0.388)) - (IOPATH A2 X (0.212:0.212:0.212) (0.424:0.425:0.426)) - (IOPATH B1 X (0.120:0.120:0.121) (0.389:0.389:0.390)) - (IOPATH C1 X (0.129:0.130:0.130) (0.357:0.358:0.358)) - (IOPATH D1 X (0.121:0.122:0.122) (0.314:0.315:0.315)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4b_1") - (INSTANCE _4770_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.174:0.174:0.175) (0.534:0.539:0.544)) - (IOPATH B X (0.109:0.110:0.111) (0.509:0.509:0.510)) - (IOPATH C X (0.160:0.160:0.160) (0.478:0.480:0.483)) - (IOPATH D_N X (0.199:0.199:0.199) (0.462:0.462:0.462)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _4771_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.168:0.168:0.168) (0.168:0.173:0.178)) - (IOPATH A2 X (0.152:0.152:0.152) (0.197:0.197:0.197)) - (IOPATH B1 X (0.129:0.129:0.129) (0.170:0.175:0.180)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_1") - (INSTANCE _4772_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.179:0.179:0.179) (0.261:0.265:0.270)) - (IOPATH A2 X (0.183:0.183:0.183) (0.300:0.300:0.300)) - (IOPATH B1 X (0.093:0.094:0.095) (0.277:0.277:0.277)) - (IOPATH C1 X (0.090:0.090:0.091) (0.219:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a2111o_1") - (INSTANCE _4773_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.196:0.196:0.196) (0.401:0.401:0.401)) - (IOPATH A2 X (0.202:0.202:0.203) (0.423:0.426:0.430)) - (IOPATH B1 X (0.149:0.149:0.149) (0.390:0.393:0.397)) - (IOPATH C1 X (0.101:0.102:0.103) (0.349:0.350:0.350)) - (IOPATH D1 X (0.153:0.153:0.153) (0.311:0.313:0.315)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4774_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.098:0.098:0.098) (0.085:0.085:0.085)) - (IOPATH B Y (0.103:0.103:0.103) (0.068:0.068:0.068)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o41a_1") - (INSTANCE _4775_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.210:0.210:0.210) (0.428:0.431:0.434)) - (IOPATH A2 X (0.163:0.163:0.163) (0.402:0.404:0.407)) - (IOPATH A3 X (0.135:0.136:0.137) (0.370:0.371:0.372)) - (IOPATH A4 X (0.124:0.124:0.124) (0.306:0.310:0.314)) - (IOPATH B1 X (0.181:0.181:0.181) (0.129:0.133:0.137)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o32a_1") - (INSTANCE _4776_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.188:0.192:0.197) (0.345:0.357:0.370)) - (IOPATH A2 X (0.140:0.140:0.141) (0.322:0.322:0.323)) - (IOPATH A3 X (0.130:0.131:0.132) (0.276:0.280:0.284)) - (IOPATH B1 X (0.188:0.188:0.188) (0.202:0.202:0.202)) - (IOPATH B2 X (0.191:0.191:0.191) (0.208:0.208:0.208)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _4777_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.357:0.358:0.358) (0.145:0.146:0.147)) - (IOPATH A2 Y (0.343:0.343:0.343) (0.168:0.168:0.168)) - (IOPATH B1 Y (0.320:0.320:0.320) (0.125:0.125:0.125)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4778_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.095:0.097:0.099) (0.126:0.126:0.126)) - (IOPATH B Y (0.090:0.095:0.099) (0.117:0.117:0.117)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _4779_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.306:0.311:0.316) (0.154:0.154:0.155)) - (IOPATH A2 Y (0.300:0.300:0.300) (0.142:0.142:0.142)) - (IOPATH B1 Y (0.282:0.284:0.286) (0.115:0.115:0.116)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4780_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.311:0.313:0.315) (0.124:0.125:0.126)) - (IOPATH B Y (0.292:0.295:0.298) (0.116:0.117:0.117)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _4781_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.193:0.195:0.197) (0.176:0.176:0.176)) - (IOPATH B Y (0.230:0.232:0.234) (0.187:0.187:0.188)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21bo_1") - (INSTANCE _4782_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.180:0.181:0.181) (0.215:0.215:0.216)) - (IOPATH A2 X (0.183:0.183:0.184) (0.252:0.252:0.252)) - (IOPATH B1_N X (0.266:0.270:0.274) (0.270:0.284:0.297)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4783_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.283:0.283:0.283) (0.096:0.096:0.097)) - (IOPATH B Y (0.278:0.278:0.279) (0.117:0.117:0.118)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4784_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.333:0.334:0.334) (0.164:0.164:0.164)) - (IOPATH B Y (0.310:0.310:0.311) (0.135:0.135:0.135)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _4785_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.157:0.157:0.157) (0.159:0.165:0.170)) - (IOPATH A2 X (0.142:0.142:0.143) (0.188:0.188:0.189)) - (IOPATH B1 X (0.128:0.128:0.128) (0.171:0.174:0.177)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _4786_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.197:0.197:0.198) (0.117:0.118:0.118)) - (IOPATH A2 Y (0.214:0.220:0.227) (0.159:0.159:0.159)) - (IOPATH B1 Y (0.195:0.195:0.196) (0.103:0.103:0.103)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_2") - (INSTANCE _4787_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.320:0.320:0.320) (0.185:0.185:0.185)) - (IOPATH A2 Y (0.314:0.314:0.315) (0.179:0.179:0.179)) - (IOPATH B1_N Y (0.243:0.243:0.243) (0.266:0.270:0.274)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22ai_1") - (INSTANCE _4788_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.217:0.217:0.217) (0.126:0.126:0.126)) - (IOPATH A2 Y (0.210:0.214:0.219) (0.109:0.110:0.111)) - (IOPATH B1 Y (0.185:0.185:0.185) (0.147:0.147:0.147)) - (IOPATH B2 Y (0.179:0.179:0.179) (0.126:0.126:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22ai_1") - (INSTANCE _4789_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.258:0.259:0.259) (0.149:0.149:0.149)) - (IOPATH A2 Y (0.234:0.239:0.243) (0.119:0.120:0.121)) - (IOPATH B1 Y (0.211:0.211:0.211) (0.159:0.159:0.159)) - (IOPATH B2 Y (0.207:0.208:0.208) (0.144:0.144:0.144)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _4790_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.167:0.167:0.168) (0.104:0.104:0.104)) - (IOPATH A2 Y (0.187:0.187:0.188) (0.097:0.098:0.098)) - (IOPATH B1 Y (0.098:0.103:0.108) (0.076:0.077:0.078)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22ai_1") - (INSTANCE _4791_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.211:0.211:0.211) (0.127:0.127:0.127)) - (IOPATH A2 Y (0.190:0.195:0.200) (0.101:0.101:0.102)) - (IOPATH B1 Y (0.166:0.166:0.166) (0.135:0.135:0.135)) - (IOPATH B2 Y (0.171:0.171:0.171) (0.123:0.123:0.123)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _4792_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.204:0.204:0.204) (0.448:0.449:0.449)) - (IOPATH B X (0.197:0.197:0.197) (0.413:0.415:0.416)) - (IOPATH C X (0.193:0.193:0.193) (0.378:0.380:0.381)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4793_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.217:0.217:0.217) (0.308:0.308:0.308)) - (IOPATH B X (0.214:0.228:0.243) (0.288:0.292:0.295)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _4794_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.199:0.200:0.200) (0.456:0.458:0.460)) - (IOPATH B X (0.183:0.183:0.183) (0.426:0.426:0.426)) - (IOPATH C X (0.187:0.187:0.187) (0.384:0.384:0.384)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4795_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.165:0.165:0.165) (0.085:0.086:0.086)) - (IOPATH B Y (0.164:0.164:0.164) (0.078:0.078:0.078)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a2bb2o_1") - (INSTANCE _4796_) - (DELAY - (ABSOLUTE - (IOPATH A1_N X (0.292:0.292:0.292) (0.331:0.331:0.331)) - (IOPATH A2_N X (0.288:0.288:0.288) (0.321:0.322:0.322)) - (IOPATH B1 X (0.171:0.171:0.171) (0.289:0.290:0.290)) - (IOPATH B2 X (0.217:0.217:0.217) (0.270:0.276:0.282)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4797_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.090:0.090:0.091) (0.087:0.087:0.087)) - (IOPATH B Y (0.084:0.084:0.085) (0.066:0.066:0.067)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_1") - (INSTANCE _4798_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.218:0.218:0.218) (0.266:0.266:0.266)) - (IOPATH A2 X (0.282:0.282:0.282) (0.296:0.298:0.301)) - (IOPATH A3 X (0.232:0.232:0.233) (0.287:0.290:0.292)) - (IOPATH B1 X (0.187:0.187:0.187) (0.242:0.243:0.245)) - (IOPATH B2 X (0.219:0.219:0.219) (0.246:0.252:0.258)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _4799_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.168:0.168:0.168) (0.224:0.224:0.224)) - (IOPATH A2 X (0.140:0.141:0.142) (0.212:0.217:0.222)) - (IOPATH B1 X (0.176:0.176:0.176) (0.189:0.189:0.189)) - (IOPATH B2 X (0.133:0.134:0.135) (0.176:0.187:0.198)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _4800_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.196:0.196:0.196) (0.198:0.199:0.200)) - (IOPATH A2 X (0.186:0.186:0.186) (0.209:0.212:0.215)) - (IOPATH B1 X (0.136:0.136:0.136) (0.179:0.180:0.182)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_1") - (INSTANCE _4801_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.213:0.213:0.213) (0.202:0.204:0.207)) - (IOPATH A2 X (0.177:0.177:0.177) (0.221:0.221:0.222)) - (IOPATH A3 X (0.202:0.202:0.202) (0.241:0.241:0.242)) - (IOPATH B1 X (0.120:0.120:0.120) (0.189:0.189:0.190)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor4_1") - (INSTANCE _4802_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.639:0.642:0.646) (0.129:0.130:0.131)) - (IOPATH B Y (0.632:0.632:0.632) (0.136:0.136:0.136)) - (IOPATH C Y (0.592:0.594:0.596) (0.126:0.146:0.165)) - (IOPATH D Y (0.527:0.528:0.528) (0.116:0.116:0.116)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_1") - (INSTANCE _4803_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.219:0.219:0.219) (0.206:0.209:0.211)) - (IOPATH A2 X (0.214:0.214:0.214) (0.234:0.235:0.236)) - (IOPATH A3 X (0.152:0.152:0.152) (0.221:0.221:0.221)) - (IOPATH B1 X (0.164:0.164:0.164) (0.210:0.212:0.214)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_1") - (INSTANCE _4804_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.203:0.203:0.203) (0.194:0.197:0.200)) - (IOPATH A2 X (0.198:0.198:0.198) (0.222:0.223:0.224)) - (IOPATH A3 X (0.143:0.143:0.143) (0.219:0.219:0.219)) - (IOPATH B1 X (0.133:0.133:0.133) (0.190:0.192:0.193)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o32a_1") - (INSTANCE _4805_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.193:0.193:0.193) (0.356:0.356:0.356)) - (IOPATH A2 X (0.170:0.170:0.170) (0.348:0.348:0.348)) - (IOPATH A3 X (0.171:0.171:0.171) (0.294:0.294:0.294)) - (IOPATH B1 X (0.176:0.176:0.177) (0.186:0.186:0.186)) - (IOPATH B2 X (0.144:0.145:0.146) (0.172:0.177:0.181)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_1") - (INSTANCE _4806_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.213:0.213:0.213) (0.197:0.204:0.210)) - (IOPATH A2 X (0.180:0.180:0.180) (0.231:0.231:0.232)) - (IOPATH A3 X (0.176:0.176:0.176) (0.236:0.236:0.237)) - (IOPATH B1 X (0.162:0.162:0.162) (0.196:0.199:0.202)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o32a_1") - (INSTANCE _4807_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.180:0.181:0.182) (0.368:0.370:0.372)) - (IOPATH A2 X (0.178:0.178:0.178) (0.350:0.350:0.350)) - (IOPATH A3 X (0.167:0.167:0.167) (0.309:0.309:0.309)) - (IOPATH B1 X (0.153:0.153:0.153) (0.186:0.186:0.186)) - (IOPATH B2 X (0.147:0.148:0.148) (0.175:0.179:0.184)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o32a_1") - (INSTANCE _4808_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.202:0.202:0.202) (0.364:0.364:0.364)) - (IOPATH A2 X (0.193:0.193:0.193) (0.354:0.354:0.354)) - (IOPATH A3 X (0.183:0.183:0.184) (0.339:0.340:0.340)) - (IOPATH B1 X (0.163:0.167:0.171) (0.207:0.209:0.211)) - (IOPATH B2 X (0.155:0.156:0.158) (0.189:0.190:0.192)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_1") - (INSTANCE _4809_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.222:0.228:0.234) (0.215:0.217:0.218)) - (IOPATH B X (0.147:0.147:0.147) (0.156:0.158:0.161)) - (IOPATH C X (0.156:0.156:0.156) (0.173:0.176:0.178)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4b_1") - (INSTANCE _4810_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.262:0.262:0.263) (0.206:0.206:0.206)) - (IOPATH B X (0.203:0.203:0.204) (0.181:0.182:0.183)) - (IOPATH C X (0.215:0.216:0.217) (0.211:0.217:0.222)) - (IOPATH D X (0.220:0.220:0.220) (0.213:0.217:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_1") - (INSTANCE _4811_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.260:0.260:0.260) (0.318:0.318:0.319)) - (IOPATH A2 X (0.228:0.228:0.228) (0.279:0.279:0.280)) - (IOPATH B1 X (0.212:0.213:0.214) (0.167:0.172:0.178)) - (IOPATH C1 X (0.227:0.229:0.232) (0.159:0.163:0.168)) - (IOPATH D1 X (0.195:0.195:0.195) (0.130:0.131:0.132)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4bb_1") - (INSTANCE _4812_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.114:0.115:0.116) (0.487:0.488:0.488)) - (IOPATH B X (0.110:0.110:0.111) (0.463:0.463:0.463)) - (IOPATH C_N X (0.163:0.166:0.168) (0.459:0.460:0.460)) - (IOPATH D_N X (0.156:0.158:0.160) (0.387:0.387:0.387)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _4813_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.142:0.142:0.143) (0.518:0.524:0.529)) - (IOPATH B X (0.103:0.105:0.106) (0.495:0.495:0.495)) - (IOPATH C X (0.098:0.099:0.099) (0.460:0.461:0.461)) - (IOPATH D X (0.091:0.091:0.091) (0.402:0.402:0.402)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _4814_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.157:0.157:0.157) (0.387:0.387:0.387)) - (IOPATH B X (0.155:0.155:0.155) (0.395:0.395:0.395)) - (IOPATH C X (0.136:0.136:0.136) (0.336:0.336:0.336)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4b_1") - (INSTANCE _4815_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.160:0.160:0.160) (0.529:0.530:0.532)) - (IOPATH B X (0.140:0.141:0.142) (0.506:0.512:0.517)) - (IOPATH C X (0.100:0.100:0.101) (0.479:0.479:0.479)) - (IOPATH D_N X (0.170:0.170:0.170) (0.433:0.434:0.434)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _4816_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.234:0.234:0.234) (0.273:0.273:0.274)) - (IOPATH A2 X (0.204:0.204:0.205) (0.260:0.265:0.270)) - (IOPATH B1 X (0.241:0.241:0.241) (0.245:0.245:0.245)) - (IOPATH B2 X (0.231:0.231:0.231) (0.252:0.252:0.252)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4b_1") - (INSTANCE _4817_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.147:0.147:0.148) (0.515:0.520:0.525)) - (IOPATH B X (0.105:0.105:0.106) (0.489:0.489:0.489)) - (IOPATH C X (0.095:0.095:0.096) (0.467:0.467:0.467)) - (IOPATH D_N X (0.166:0.169:0.171) (0.435:0.435:0.435)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3b_1") - (INSTANCE _4818_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.189:0.190:0.190) (0.475:0.475:0.475)) - (IOPATH B X (0.232:0.232:0.232) (0.447:0.448:0.450)) - (IOPATH C_N X (0.290:0.290:0.290) (0.465:0.466:0.466)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4bb_1") - (INSTANCE _4819_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.302:0.303:0.303) (0.234:0.234:0.234)) - (IOPATH B_N X (0.327:0.330:0.334) (0.255:0.255:0.255)) - (IOPATH C X (0.279:0.279:0.279) (0.257:0.258:0.259)) - (IOPATH D X (0.268:0.268:0.268) (0.248:0.249:0.251)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a41o_1") - (INSTANCE _4820_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.234:0.234:0.234) (0.226:0.226:0.226)) - (IOPATH A2 X (0.263:0.264:0.264) (0.278:0.279:0.279)) - (IOPATH A3 X (0.249:0.249:0.249) (0.279:0.280:0.281)) - (IOPATH A4 X (0.241:0.241:0.241) (0.287:0.288:0.288)) - (IOPATH B1 X (0.163:0.163:0.163) (0.247:0.248:0.249)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _4821_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.152:0.172:0.191) (0.564:0.565:0.566)) - (IOPATH B X (0.157:0.157:0.157) (0.551:0.552:0.552)) - (IOPATH C X (0.137:0.137:0.137) (0.491:0.492:0.493)) - (IOPATH D X (0.132:0.133:0.134) (0.425:0.425:0.426)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4b_1") - (INSTANCE _4822_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.162:0.163:0.163) (0.514:0.519:0.524)) - (IOPATH B X (0.101:0.101:0.102) (0.501:0.501:0.501)) - (IOPATH C X (0.200:0.200:0.200) (0.462:0.468:0.473)) - (IOPATH D_N X (0.186:0.186:0.186) (0.442:0.442:0.442)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4b_1") - (INSTANCE _4823_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.180:0.180:0.180) (0.536:0.538:0.540)) - (IOPATH B X (0.182:0.182:0.182) (0.516:0.518:0.521)) - (IOPATH C X (0.096:0.099:0.101) (0.473:0.473:0.473)) - (IOPATH D_N X (0.175:0.181:0.186) (0.436:0.436:0.437)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4824_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.074:0.074:0.074) (0.065:0.065:0.065)) - (IOPATH B Y (0.102:0.102:0.102) (0.066:0.066:0.067)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _4825_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.210:0.211:0.212) (0.325:0.328:0.332)) - (IOPATH A2 X (0.142:0.143:0.144) (0.301:0.301:0.301)) - (IOPATH A3 X (0.129:0.131:0.132) (0.246:0.247:0.248)) - (IOPATH B1 X (0.137:0.138:0.138) (0.105:0.109:0.113)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _4826_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.196:0.196:0.196) (0.115:0.115:0.115)) - (IOPATH A2 Y (0.170:0.170:0.170) (0.097:0.097:0.097)) - (IOPATH B1 Y (0.126:0.131:0.136) (0.092:0.093:0.094)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _4827_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.302:0.302:0.303) (0.169:0.170:0.170)) - (IOPATH A2 Y (0.275:0.275:0.275) (0.158:0.158:0.158)) - (IOPATH B1 Y (0.224:0.226:0.229) (0.165:0.166:0.166)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_1") - (INSTANCE _4828_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.183:0.183:0.183) (0.207:0.207:0.207)) - (IOPATH A2 X (0.163:0.163:0.163) (0.210:0.211:0.211)) - (IOPATH A3 X (0.166:0.166:0.166) (0.225:0.226:0.227)) - (IOPATH B1 X (0.107:0.109:0.110) (0.178:0.181:0.184)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _4829_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.256:0.256:0.256) (0.146:0.146:0.146)) - (IOPATH A2 Y (0.247:0.247:0.247) (0.124:0.124:0.124)) - (IOPATH B1 Y (0.215:0.216:0.217) (0.163:0.164:0.164)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _4830_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.286:0.286:0.286) (0.178:0.178:0.179)) - (IOPATH A2 Y (0.305:0.306:0.306) (0.153:0.154:0.155)) - (IOPATH B1 Y (0.258:0.258:0.258) (0.089:0.089:0.089)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _4831_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.262:0.262:0.262) (0.159:0.159:0.160)) - (IOPATH A2 Y (0.224:0.224:0.224) (0.137:0.137:0.137)) - (IOPATH B1 Y (0.148:0.150:0.153) (0.099:0.100:0.101)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _4832_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.434:0.434:0.435) (0.126:0.127:0.127)) - (IOPATH B Y (0.453:0.454:0.454) (0.142:0.143:0.144)) - (IOPATH C Y (0.391:0.391:0.391) (0.117:0.117:0.117)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _4833_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.209:0.209:0.209) (0.108:0.108:0.108)) - (IOPATH A2 Y (0.231:0.231:0.231) (0.120:0.121:0.122)) - (IOPATH B1 Y (0.133:0.133:0.133) (0.089:0.090:0.090)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o32a_1") - (INSTANCE _4834_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.174:0.174:0.175) (0.361:0.361:0.361)) - (IOPATH A2 X (0.156:0.156:0.156) (0.332:0.332:0.333)) - (IOPATH A3 X (0.147:0.148:0.148) (0.295:0.301:0.307)) - (IOPATH B1 X (0.187:0.188:0.189) (0.210:0.210:0.211)) - (IOPATH B2 X (0.171:0.171:0.172) (0.180:0.180:0.180)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4835_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.164:0.164:0.165) (0.275:0.275:0.275)) - (IOPATH B X (0.128:0.129:0.129) (0.236:0.238:0.240)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4836_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.177:0.177:0.178) (0.185:0.185:0.185)) - (IOPATH B Y (0.197:0.199:0.201) (0.235:0.235:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _4837_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.136:0.136:0.136) (0.179:0.179:0.179)) - (IOPATH A2 X (0.180:0.181:0.181) (0.244:0.248:0.251)) - (IOPATH B1 X (0.137:0.137:0.137) (0.193:0.194:0.194)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _4838_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.188:0.188:0.188) (0.143:0.146:0.149)) - (IOPATH B X (0.146:0.146:0.146) (0.167:0.167:0.167)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4839_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.214:0.214:0.214) (0.079:0.080:0.080)) - (IOPATH B Y (0.219:0.219:0.219) (0.101:0.101:0.101)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _4840_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.184:0.184:0.184) (0.112:0.112:0.112)) - (IOPATH A2 Y (0.136:0.146:0.157) (0.070:0.070:0.071)) - (IOPATH B1 Y (0.094:0.102:0.109) (0.077:0.078:0.078)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _4841_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.286:0.287:0.287) (0.171:0.171:0.171)) - (IOPATH A2 Y (0.256:0.256:0.256) (0.154:0.154:0.154)) - (IOPATH B1 Y (0.161:0.161:0.161) (0.131:0.131:0.131)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _4842_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.192:0.192:0.192) (0.107:0.107:0.107)) - (IOPATH A2 Y (0.168:0.177:0.186) (0.085:0.085:0.086)) - (IOPATH B1 Y (0.143:0.146:0.149) (0.113:0.113:0.113)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4843_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.165:0.165:0.165) (0.242:0.245:0.248)) - (IOPATH B X (0.158:0.159:0.159) (0.210:0.214:0.217)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4844_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.104:0.104:0.104) (0.083:0.083:0.083)) - (IOPATH B Y (0.123:0.123:0.123) (0.107:0.107:0.107)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _4845_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.203:0.204:0.204) (0.110:0.111:0.111)) - (IOPATH A2 Y (0.243:0.243:0.243) (0.128:0.129:0.129)) - (IOPATH B1 Y (0.197:0.197:0.197) (0.094:0.094:0.094)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4_1") - (INSTANCE _4846_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.269:0.269:0.269) (0.200:0.200:0.200)) - (IOPATH B X (0.265:0.265:0.265) (0.233:0.233:0.233)) - (IOPATH C X (0.257:0.257:0.257) (0.264:0.264:0.264)) - (IOPATH D X (0.296:0.296:0.296) (0.235:0.243:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4847_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.111:0.112:0.113) (0.078:0.078:0.078)) - (IOPATH B Y (0.097:0.097:0.097) (0.077:0.077:0.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _4848_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.169:0.169:0.169) (0.098:0.099:0.100)) - (IOPATH A2 Y (0.157:0.157:0.158) (0.088:0.088:0.088)) - (IOPATH B1_N Y (0.159:0.159:0.159) (0.158:0.162:0.166)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _4849_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.163:0.163:0.163) (0.100:0.100:0.100)) - (IOPATH A2 Y (0.136:0.142:0.148) (0.072:0.072:0.072)) - (IOPATH B1 Y (0.079:0.079:0.079) (0.066:0.066:0.066)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4850_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.102:0.106:0.110) (0.075:0.076:0.076)) - (IOPATH B Y (0.087:0.087:0.087) (0.068:0.068:0.068)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _4851_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.159:0.159:0.159) (0.102:0.102:0.103)) - (IOPATH A2 Y (0.136:0.145:0.154) (0.068:0.069:0.069)) - (IOPATH B1 Y (0.119:0.121:0.122) (0.088:0.089:0.089)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_1") - (INSTANCE _4852_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.203:0.203:0.203) (0.194:0.197:0.200)) - (IOPATH A2 X (0.184:0.184:0.184) (0.228:0.228:0.229)) - (IOPATH A3 X (0.166:0.166:0.166) (0.224:0.225:0.225)) - (IOPATH B1 X (0.148:0.148:0.148) (0.192:0.195:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _4853_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.155:0.155:0.155) (0.217:0.217:0.217)) - (IOPATH A2 X (0.136:0.136:0.136) (0.191:0.191:0.191)) - (IOPATH B1 X (0.139:0.139:0.140) (0.131:0.140:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _4854_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.147:0.147:0.147) (0.298:0.298:0.298)) - (IOPATH A2 X (0.172:0.173:0.173) (0.332:0.332:0.332)) - (IOPATH A3 X (0.142:0.142:0.142) (0.277:0.277:0.277)) - (IOPATH B1 X (0.154:0.155:0.155) (0.139:0.146:0.153)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_1") - (INSTANCE _4855_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.189:0.189:0.189) (0.269:0.269:0.269)) - (IOPATH A2 X (0.208:0.208:0.208) (0.253:0.253:0.253)) - (IOPATH B1 X (0.205:0.205:0.205) (0.240:0.240:0.240)) - (IOPATH B2 X (0.215:0.215:0.215) (0.232:0.232:0.232)) - (IOPATH C1 X (0.164:0.164:0.164) (0.113:0.114:0.114)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211oi_1") - (INSTANCE _4856_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.218:0.223:0.228) (0.123:0.123:0.123)) - (IOPATH A2 Y (0.237:0.237:0.237) (0.068:0.068:0.068)) - (IOPATH B1 Y (0.226:0.227:0.228) (0.066:0.066:0.066)) - (IOPATH C1 Y (0.191:0.192:0.193) (0.065:0.068:0.072)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4_1") - (INSTANCE _4857_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.193:0.193:0.193) (0.167:0.167:0.167)) - (IOPATH B X (0.187:0.188:0.188) (0.170:0.174:0.178)) - (IOPATH C X (0.196:0.196:0.197) (0.188:0.190:0.193)) - (IOPATH D X (0.228:0.230:0.233) (0.205:0.215:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_1") - (INSTANCE _4858_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.183:0.186:0.189) (0.270:0.272:0.274)) - (IOPATH A2 X (0.163:0.164:0.164) (0.236:0.243:0.249)) - (IOPATH B1 X (0.176:0.176:0.176) (0.152:0.152:0.152)) - (IOPATH C1 X (0.153:0.153:0.153) (0.114:0.115:0.116)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_1") - (INSTANCE _4859_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.224:0.224:0.224) (0.299:0.299:0.299)) - (IOPATH A2 X (0.178:0.179:0.179) (0.242:0.242:0.242)) - (IOPATH B1 X (0.157:0.158:0.158) (0.130:0.132:0.134)) - (IOPATH C1 X (0.161:0.162:0.163) (0.133:0.143:0.153)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4_1") - (INSTANCE _4860_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.152:0.155:0.158) (0.152:0.153:0.153)) - (IOPATH B Y (0.182:0.183:0.184) (0.166:0.167:0.167)) - (IOPATH C Y (0.108:0.109:0.110) (0.116:0.116:0.117)) - (IOPATH D Y (0.107:0.109:0.110) (0.124:0.124:0.125)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _4861_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.159:0.159:0.159) (0.102:0.102:0.102)) - (IOPATH A2 Y (0.126:0.127:0.127) (0.072:0.072:0.072)) - (IOPATH B1 Y (0.099:0.102:0.105) (0.074:0.075:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _4862_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.170:0.171:0.173) (0.584:0.587:0.590)) - (IOPATH B X (0.155:0.156:0.156) (0.567:0.567:0.567)) - (IOPATH C X (0.171:0.173:0.176) (0.553:0.556:0.560)) - (IOPATH D X (0.159:0.162:0.164) (0.466:0.468:0.470)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4863_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.106:0.109:0.113) (0.078:0.079:0.079)) - (IOPATH B Y (0.107:0.107:0.107) (0.089:0.089:0.089)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _4864_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.120:0.125:0.131) (0.512:0.514:0.515)) - (IOPATH B X (0.105:0.106:0.107) (0.491:0.492:0.493)) - (IOPATH C X (0.119:0.119:0.119) (0.484:0.484:0.484)) - (IOPATH D X (0.101:0.102:0.102) (0.392:0.392:0.392)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _4865_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.136:0.144:0.152) (0.525:0.528:0.530)) - (IOPATH B X (0.101:0.110:0.118) (0.491:0.493:0.495)) - (IOPATH C X (0.106:0.111:0.116) (0.457:0.459:0.461)) - (IOPATH D X (0.089:0.089:0.089) (0.399:0.399:0.399)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _4866_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.180:0.180:0.180) (0.532:0.540:0.548)) - (IOPATH B X (0.138:0.144:0.151) (0.513:0.517:0.521)) - (IOPATH C X (0.102:0.102:0.102) (0.470:0.470:0.470)) - (IOPATH D X (0.097:0.098:0.098) (0.412:0.412:0.412)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a2111o_1") - (INSTANCE _4867_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.209:0.209:0.209) (0.411:0.412:0.412)) - (IOPATH A2 X (0.230:0.230:0.230) (0.446:0.447:0.447)) - (IOPATH B1 X (0.177:0.179:0.181) (0.414:0.427:0.439)) - (IOPATH C1 X (0.122:0.124:0.126) (0.369:0.372:0.374)) - (IOPATH D1 X (0.111:0.112:0.113) (0.325:0.325:0.325)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _4868_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.103:0.104:0.105) (0.518:0.518:0.518)) - (IOPATH B X (0.115:0.116:0.117) (0.508:0.510:0.511)) - (IOPATH C X (0.129:0.129:0.130) (0.475:0.476:0.477)) - (IOPATH D X (0.109:0.111:0.112) (0.411:0.412:0.412)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ba_1") - (INSTANCE _4869_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.215:0.217:0.219) (0.232:0.233:0.234)) - (IOPATH A2 X (0.174:0.174:0.175) (0.223:0.223:0.223)) - (IOPATH B1_N X (0.291:0.292:0.294) (0.205:0.210:0.215)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4870_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.260:0.263:0.267) (0.160:0.160:0.160)) - (IOPATH B Y (0.241:0.243:0.244) (0.157:0.157:0.157)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4871_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.211:0.212:0.212) (0.182:0.183:0.184)) - (IOPATH B Y (0.231:0.231:0.232) (0.185:0.186:0.187)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4872_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.169:0.169:0.169) (0.096:0.096:0.096)) - (IOPATH B Y (0.152:0.153:0.155) (0.095:0.095:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4873_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.138:0.138:0.138) (0.132:0.133:0.133)) - (IOPATH B Y (0.145:0.145:0.145) (0.132:0.132:0.132)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_1") - (INSTANCE _4874_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.230:0.230:0.230) (0.197:0.197:0.197)) - (IOPATH B X (0.257:0.258:0.258) (0.207:0.211:0.216)) - (IOPATH C X (0.250:0.251:0.252) (0.214:0.221:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4875_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.195:0.195:0.195) (0.271:0.274:0.277)) - (IOPATH B X (0.142:0.142:0.142) (0.228:0.231:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4876_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.118:0.119:0.120) (0.116:0.116:0.116)) - (IOPATH B Y (0.120:0.121:0.123) (0.121:0.121:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _4877_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.198:0.198:0.198) (0.188:0.193:0.198)) - (IOPATH A2 X (0.219:0.220:0.220) (0.222:0.222:0.223)) - (IOPATH B1 X (0.161:0.161:0.161) (0.191:0.194:0.197)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4878_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.181:0.181:0.181) (0.252:0.255:0.258)) - (IOPATH B X (0.153:0.153:0.153) (0.227:0.230:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _4879_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.195:0.195:0.195) (0.121:0.121:0.122)) - (IOPATH A2 Y (0.188:0.189:0.191) (0.097:0.097:0.098)) - (IOPATH B1 Y (0.152:0.156:0.160) (0.115:0.115:0.116)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _4880_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.188:0.188:0.188) (0.182:0.184:0.187)) - (IOPATH A2 X (0.190:0.190:0.190) (0.199:0.204:0.209)) - (IOPATH B1 X (0.141:0.141:0.142) (0.177:0.178:0.178)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _4881_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.151:0.151:0.151) (0.075:0.075:0.076)) - (IOPATH A2 Y (0.153:0.157:0.161) (0.069:0.070:0.070)) - (IOPATH B1 Y (0.154:0.155:0.157) (0.055:0.056:0.056)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4882_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.129:0.129:0.129) (0.120:0.120:0.120)) - (IOPATH B Y (0.139:0.139:0.139) (0.122:0.122:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _4883_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.183:0.183:0.183) (0.298:0.302:0.306)) - (IOPATH A2 X (0.176:0.176:0.176) (0.276:0.280:0.285)) - (IOPATH A3 X (0.162:0.162:0.162) (0.238:0.239:0.241)) - (IOPATH B1 X (0.161:0.161:0.161) (0.123:0.124:0.125)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _4884_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.178:0.178:0.178) (0.293:0.297:0.301)) - (IOPATH A2 X (0.174:0.174:0.175) (0.271:0.273:0.274)) - (IOPATH A3 X (0.138:0.138:0.138) (0.240:0.241:0.242)) - (IOPATH B1 X (0.157:0.157:0.157) (0.116:0.117:0.118)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _4885_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.140:0.140:0.141) (0.530:0.530:0.531)) - (IOPATH B X (0.118:0.122:0.126) (0.501:0.502:0.503)) - (IOPATH C X (0.100:0.101:0.101) (0.465:0.467:0.468)) - (IOPATH D X (0.094:0.095:0.095) (0.397:0.398:0.400)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_1") - (INSTANCE _4886_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.170:0.173:0.175) (0.259:0.261:0.263)) - (IOPATH A2 X (0.176:0.176:0.176) (0.229:0.231:0.232)) - (IOPATH B1 X (0.163:0.166:0.169) (0.183:0.186:0.189)) - (IOPATH C1 X (0.183:0.183:0.184) (0.164:0.165:0.166)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4b_1") - (INSTANCE _4887_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.115:0.115:0.116) (0.201:0.201:0.201)) - (IOPATH B Y (0.092:0.094:0.095) (0.101:0.101:0.101)) - (IOPATH C Y (0.164:0.167:0.170) (0.142:0.143:0.143)) - (IOPATH D Y (0.123:0.124:0.125) (0.123:0.123:0.124)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_1") - (INSTANCE _4888_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.164:0.164:0.164) (0.282:0.283:0.284)) - (IOPATH A2 X (0.166:0.166:0.167) (0.337:0.337:0.338)) - (IOPATH B1 X (0.167:0.167:0.167) (0.289:0.291:0.292)) - (IOPATH C1 X (0.156:0.156:0.156) (0.245:0.249:0.252)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _4889_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.133:0.133:0.133) (0.083:0.083:0.083)) - (IOPATH A2 Y (0.145:0.145:0.145) (0.081:0.081:0.081)) - (IOPATH B1 Y (0.123:0.125:0.126) (0.058:0.058:0.058)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _4890_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.149:0.153:0.158) (0.547:0.550:0.554)) - (IOPATH B X (0.131:0.137:0.143) (0.539:0.541:0.543)) - (IOPATH C X (0.122:0.122:0.122) (0.493:0.494:0.494)) - (IOPATH D X (0.124:0.127:0.130) (0.424:0.426:0.429)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_1") - (INSTANCE _4891_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.194:0.194:0.194) (0.273:0.276:0.278)) - (IOPATH A2 X (0.166:0.167:0.167) (0.336:0.336:0.337)) - (IOPATH B1 X (0.109:0.109:0.109) (0.271:0.271:0.271)) - (IOPATH C1 X (0.104:0.104:0.105) (0.250:0.250:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a2111o_1") - (INSTANCE _4892_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.144:0.144:0.144) (0.371:0.371:0.371)) - (IOPATH A2 X (0.174:0.175:0.175) (0.447:0.447:0.447)) - (IOPATH B1 X (0.115:0.115:0.116) (0.383:0.383:0.384)) - (IOPATH C1 X (0.104:0.105:0.105) (0.346:0.346:0.346)) - (IOPATH D1 X (0.090:0.091:0.092) (0.285:0.286:0.286)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _4893_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.192:0.192:0.193) (0.191:0.191:0.191)) - (IOPATH A2 X (0.167:0.168:0.169) (0.233:0.233:0.233)) - (IOPATH B1 X (0.096:0.098:0.099) (0.169:0.170:0.171)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4b_1") - (INSTANCE _4894_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.150:0.150:0.150) (0.538:0.538:0.539)) - (IOPATH B X (0.110:0.111:0.112) (0.502:0.502:0.502)) - (IOPATH C X (0.131:0.131:0.131) (0.473:0.474:0.475)) - (IOPATH D_N X (0.196:0.196:0.197) (0.455:0.455:0.455)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_1") - (INSTANCE _4895_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.236:0.236:0.236) (0.212:0.216:0.220)) - (IOPATH A2 X (0.225:0.226:0.226) (0.243:0.245:0.246)) - (IOPATH A3 X (0.209:0.209:0.209) (0.262:0.262:0.263)) - (IOPATH B1 X (0.137:0.137:0.138) (0.207:0.207:0.208)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _4896_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.131:0.131:0.132) (0.522:0.522:0.523)) - (IOPATH B X (0.119:0.120:0.121) (0.509:0.510:0.511)) - (IOPATH C X (0.098:0.099:0.099) (0.476:0.476:0.476)) - (IOPATH D X (0.111:0.112:0.113) (0.399:0.399:0.399)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4b_1") - (INSTANCE _4897_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.189:0.189:0.189) (0.539:0.541:0.543)) - (IOPATH B X (0.105:0.105:0.106) (0.516:0.516:0.516)) - (IOPATH C X (0.126:0.126:0.127) (0.473:0.474:0.474)) - (IOPATH D_N X (0.218:0.218:0.219) (0.463:0.463:0.464)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o41a_1") - (INSTANCE _4898_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.200:0.200:0.200) (0.413:0.417:0.420)) - (IOPATH A2 X (0.145:0.146:0.146) (0.404:0.406:0.408)) - (IOPATH A3 X (0.132:0.132:0.132) (0.360:0.360:0.360)) - (IOPATH A4 X (0.111:0.112:0.113) (0.311:0.311:0.311)) - (IOPATH B1 X (0.170:0.171:0.171) (0.123:0.127:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4899_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.233:0.233:0.233) (0.299:0.302:0.306)) - (IOPATH B X (0.215:0.215:0.215) (0.269:0.273:0.276)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _4900_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.224:0.224:0.224) (0.242:0.242:0.242)) - (IOPATH B X (0.226:0.226:0.226) (0.241:0.241:0.241)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a41o_1") - (INSTANCE _4901_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.209:0.209:0.209) (0.222:0.222:0.223)) - (IOPATH A2 X (0.223:0.223:0.223) (0.257:0.257:0.257)) - (IOPATH A3 X (0.207:0.207:0.207) (0.261:0.261:0.261)) - (IOPATH A4 X (0.217:0.217:0.217) (0.277:0.278:0.278)) - (IOPATH B1 X (0.104:0.104:0.104) (0.203:0.204:0.204)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_1") - (INSTANCE _4902_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.261:0.261:0.262) (0.342:0.342:0.343)) - (IOPATH A2 X (0.237:0.237:0.238) (0.309:0.310:0.310)) - (IOPATH B1 X (0.209:0.209:0.209) (0.242:0.242:0.242)) - (IOPATH B2 X (0.210:0.211:0.212) (0.254:0.256:0.257)) - (IOPATH C1 X (0.215:0.215:0.215) (0.161:0.162:0.162)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _4903_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.209:0.209:0.209) (0.254:0.254:0.254)) - (IOPATH A2 X (0.196:0.196:0.196) (0.253:0.253:0.253)) - (IOPATH B1 X (0.180:0.181:0.181) (0.223:0.224:0.224)) - (IOPATH B2 X (0.177:0.177:0.177) (0.201:0.201:0.201)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_1") - (INSTANCE _4904_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.214:0.214:0.215) (0.282:0.282:0.282)) - (IOPATH A2 X (0.221:0.222:0.222) (0.298:0.298:0.298)) - (IOPATH B1 X (0.186:0.186:0.186) (0.225:0.227:0.230)) - (IOPATH B2 X (0.201:0.202:0.202) (0.252:0.252:0.253)) - (IOPATH C1 X (0.166:0.166:0.166) (0.115:0.116:0.118)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4905_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.113:0.114:0.114) (0.095:0.097:0.098)) - (IOPATH B Y (0.120:0.123:0.125) (0.104:0.104:0.104)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _4906_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.542:0.542:0.542) (0.185:0.185:0.185)) - (IOPATH B Y (0.499:0.499:0.499) (0.134:0.134:0.134)) - (IOPATH C Y (0.465:0.465:0.465) (0.136:0.136:0.136)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _4907_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.166:0.166:0.166) (0.532:0.537:0.542)) - (IOPATH B X (0.173:0.173:0.173) (0.520:0.524:0.527)) - (IOPATH C X (0.166:0.166:0.166) (0.478:0.486:0.493)) - (IOPATH D X (0.193:0.193:0.194) (0.415:0.420:0.424)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22ai_1") - (INSTANCE _4908_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.279:0.279:0.279) (0.157:0.158:0.158)) - (IOPATH A2 Y (0.253:0.253:0.253) (0.151:0.151:0.151)) - (IOPATH B1 Y (0.216:0.216:0.216) (0.153:0.153:0.153)) - (IOPATH B2 Y (0.229:0.229:0.229) (0.158:0.158:0.158)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _4909_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.071:0.076:0.081) (0.058:0.058:0.058)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _4910_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.186:0.186:0.186) (0.224:0.224:0.224)) - (IOPATH A2 X (0.191:0.191:0.191) (0.255:0.255:0.256)) - (IOPATH B1 X (0.155:0.155:0.155) (0.209:0.210:0.210)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _4911_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.239:0.239:0.239) (0.236:0.236:0.236)) - (IOPATH A2 X (0.262:0.262:0.262) (0.283:0.283:0.283)) - (IOPATH B1 X (0.209:0.209:0.209) (0.248:0.249:0.249)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_1") - (INSTANCE _4912_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.215:0.216:0.217) (0.279:0.280:0.282)) - (IOPATH A2 X (0.195:0.196:0.197) (0.267:0.277:0.286)) - (IOPATH B1 X (0.200:0.200:0.200) (0.148:0.148:0.149)) - (IOPATH C1 X (0.208:0.208:0.208) (0.150:0.151:0.151)) - (IOPATH D1 X (0.210:0.210:0.210) (0.154:0.154:0.154)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _4913_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.160:0.160:0.160) (0.228:0.228:0.229)) - (IOPATH A2 X (0.186:0.186:0.186) (0.242:0.242:0.242)) - (IOPATH B1 X (0.194:0.194:0.195) (0.214:0.215:0.215)) - (IOPATH B2 X (0.178:0.178:0.179) (0.234:0.234:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_1") - (INSTANCE _4914_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.376:0.376:0.376) (0.392:0.392:0.392)) - (IOPATH A2 X (0.333:0.334:0.335) (0.360:0.370:0.379)) - (IOPATH B1 X (0.330:0.330:0.330) (0.220:0.222:0.225)) - (IOPATH C1 X (0.318:0.319:0.319) (0.211:0.213:0.215)) - (IOPATH D1 X (0.358:0.358:0.358) (0.242:0.242:0.242)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4b_1") - (INSTANCE _4915_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.139:0.139:0.139) (0.542:0.543:0.543)) - (IOPATH B X (0.111:0.112:0.114) (0.524:0.524:0.524)) - (IOPATH C X (0.158:0.159:0.159) (0.491:0.495:0.499)) - (IOPATH D_N X (0.203:0.205:0.207) (0.475:0.475:0.475)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4916_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.098:0.098:0.098) (0.086:0.086:0.086)) - (IOPATH B Y (0.119:0.119:0.119) (0.098:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _4917_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.258:0.258:0.258) (0.145:0.145:0.146)) - (IOPATH A2 Y (0.240:0.240:0.240) (0.144:0.144:0.144)) - (IOPATH B1_N Y (0.183:0.183:0.183) (0.197:0.199:0.202)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _4918_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.401:0.406:0.410) (0.156:0.157:0.158)) - (IOPATH A2 Y (0.419:0.419:0.419) (0.211:0.211:0.211)) - (IOPATH B1 Y (0.364:0.373:0.382) (0.115:0.116:0.116)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ba_1") - (INSTANCE _4919_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.281:0.281:0.282) (0.305:0.305:0.305)) - (IOPATH A2 X (0.239:0.239:0.239) (0.252:0.253:0.253)) - (IOPATH B1_N X (0.315:0.315:0.315) (0.227:0.227:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_1") - (INSTANCE _4920_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.277:0.278:0.279) (0.335:0.345:0.355)) - (IOPATH A2 X (0.288:0.288:0.288) (0.307:0.307:0.307)) - (IOPATH B1 X (0.275:0.277:0.278) (0.310:0.319:0.327)) - (IOPATH B2 X (0.280:0.280:0.280) (0.308:0.309:0.309)) - (IOPATH C1 X (0.273:0.273:0.273) (0.182:0.183:0.184)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_1") - (INSTANCE _4921_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.231:0.231:0.231) (0.214:0.217:0.219)) - (IOPATH A2 X (0.211:0.211:0.211) (0.248:0.249:0.249)) - (IOPATH A3 X (0.171:0.171:0.171) (0.239:0.239:0.239)) - (IOPATH B1 X (0.173:0.173:0.173) (0.221:0.225:0.229)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4b_1") - (INSTANCE _4922_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.182:0.182:0.182) (0.541:0.542:0.542)) - (IOPATH B X (0.119:0.119:0.120) (0.507:0.507:0.507)) - (IOPATH C X (0.177:0.177:0.177) (0.483:0.486:0.489)) - (IOPATH D_N X (0.178:0.181:0.184) (0.456:0.456:0.456)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _4923_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.115:0.117:0.119) (0.533:0.533:0.534)) - (IOPATH B X (0.134:0.146:0.158) (0.522:0.524:0.526)) - (IOPATH C X (0.187:0.188:0.189) (0.491:0.502:0.513)) - (IOPATH D X (0.105:0.106:0.107) (0.421:0.421:0.421)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4924_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.298:0.298:0.299) (0.092:0.092:0.093)) - (IOPATH B Y (0.284:0.284:0.284) (0.087:0.088:0.089)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3b_1") - (INSTANCE _4925_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.265:0.265:0.265) (0.465:0.468:0.470)) - (IOPATH B X (0.216:0.217:0.217) (0.454:0.454:0.454)) - (IOPATH C_N X (0.265:0.266:0.266) (0.475:0.475:0.475)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4926_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.074:0.074:0.074) (0.055:0.055:0.055)) - (IOPATH B Y (0.090:0.090:0.090) (0.057:0.057:0.057)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _4927_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.133:0.133:0.133) (0.190:0.190:0.190)) - (IOPATH A2 X (0.124:0.124:0.124) (0.195:0.195:0.195)) - (IOPATH B1 X (0.109:0.111:0.113) (0.095:0.098:0.100)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _4928_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.169:0.169:0.169) (0.519:0.522:0.524)) - (IOPATH B X (0.130:0.130:0.130) (0.504:0.504:0.505)) - (IOPATH C X (0.098:0.099:0.100) (0.460:0.463:0.465)) - (IOPATH D X (0.091:0.091:0.091) (0.391:0.392:0.392)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _4929_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.203:0.203:0.203) (0.256:0.256:0.256)) - (IOPATH A2 X (0.162:0.162:0.162) (0.228:0.229:0.229)) - (IOPATH B1 X (0.131:0.131:0.132) (0.169:0.172:0.176)) - (IOPATH B2 X (0.107:0.108:0.109) (0.166:0.166:0.166)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _4930_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.162:0.168:0.174) (0.416:0.417:0.418)) - (IOPATH B X (0.210:0.210:0.210) (0.403:0.406:0.409)) - (IOPATH C X (0.159:0.161:0.163) (0.350:0.351:0.352)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4931_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.278:0.279:0.280) (0.166:0.166:0.166)) - (IOPATH B Y (0.265:0.269:0.273) (0.161:0.161:0.161)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4932_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.176:0.176:0.176) (0.281:0.281:0.281)) - (IOPATH B X (0.200:0.200:0.200) (0.272:0.272:0.273)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4933_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.256:0.256:0.257) (0.104:0.105:0.105)) - (IOPATH B Y (0.237:0.237:0.237) (0.097:0.097:0.097)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _4934_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.193:0.193:0.193) (0.191:0.198:0.204)) - (IOPATH A2 X (0.199:0.199:0.199) (0.212:0.212:0.213)) - (IOPATH B1 X (0.133:0.136:0.138) (0.179:0.185:0.191)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _4935_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.178:0.178:0.178) (0.417:0.417:0.417)) - (IOPATH B X (0.138:0.139:0.141) (0.381:0.384:0.387)) - (IOPATH C X (0.151:0.151:0.151) (0.353:0.354:0.354)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _4936_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.132:0.133:0.133) (0.078:0.078:0.078)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _4937_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.219:0.219:0.219) (0.205:0.209:0.214)) - (IOPATH A2 X (0.187:0.187:0.187) (0.221:0.221:0.221)) - (IOPATH B1 X (0.144:0.144:0.145) (0.196:0.196:0.196)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a311o_1") - (INSTANCE _4938_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.262:0.262:0.262) (0.326:0.326:0.326)) - (IOPATH A2 X (0.240:0.262:0.283) (0.373:0.375:0.377)) - (IOPATH A3 X (0.247:0.247:0.247) (0.402:0.402:0.402)) - (IOPATH B1 X (0.243:0.243:0.243) (0.380:0.384:0.387)) - (IOPATH C1 X (0.234:0.234:0.234) (0.330:0.332:0.335)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _4939_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.114:0.114:0.115) (0.369:0.369:0.369)) - (IOPATH B X (0.122:0.123:0.123) (0.350:0.350:0.351)) - (IOPATH C X (0.124:0.125:0.125) (0.318:0.319:0.320)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4940_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.134:0.134:0.134) (0.063:0.063:0.063)) - (IOPATH B Y (0.159:0.159:0.159) (0.076:0.076:0.076)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_1") - (INSTANCE _4941_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.189:0.189:0.189) (0.301:0.301:0.302)) - (IOPATH A2 X (0.185:0.185:0.185) (0.346:0.346:0.346)) - (IOPATH B1 X (0.210:0.210:0.211) (0.317:0.320:0.323)) - (IOPATH C1 X (0.144:0.148:0.151) (0.261:0.265:0.270)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4942_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.156:0.158:0.160) (0.129:0.130:0.131)) - (IOPATH B Y (0.219:0.219:0.220) (0.185:0.185:0.185)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _4943_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.161:0.161:0.161) (0.188:0.188:0.188)) - (IOPATH A2 X (0.191:0.193:0.195) (0.240:0.245:0.249)) - (IOPATH B1 X (0.159:0.162:0.166) (0.201:0.202:0.203)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4944_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.158:0.171:0.184) (0.267:0.270:0.272)) - (IOPATH B X (0.147:0.147:0.148) (0.247:0.251:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_1") - (INSTANCE _4945_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.269:0.269:0.269) (0.309:0.309:0.309)) - (IOPATH A2 X (0.254:0.254:0.254) (0.304:0.304:0.304)) - (IOPATH B1 X (0.233:0.234:0.234) (0.271:0.271:0.271)) - (IOPATH B2 X (0.225:0.225:0.225) (0.250:0.250:0.250)) - (IOPATH C1 X (0.272:0.272:0.273) (0.217:0.218:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4b_1") - (INSTANCE _4946_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.168:0.177:0.185) (0.563:0.565:0.567)) - (IOPATH B X (0.138:0.138:0.139) (0.533:0.533:0.534)) - (IOPATH C X (0.142:0.142:0.143) (0.497:0.497:0.497)) - (IOPATH D_N X (0.187:0.189:0.192) (0.470:0.470:0.470)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4947_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.232:0.232:0.232) (0.108:0.109:0.110)) - (IOPATH B Y (0.206:0.206:0.206) (0.078:0.079:0.079)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o41a_1") - (INSTANCE _4948_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.252:0.252:0.253) (0.491:0.492:0.492)) - (IOPATH A2 X (0.246:0.246:0.247) (0.488:0.488:0.489)) - (IOPATH A3 X (0.226:0.226:0.227) (0.441:0.442:0.442)) - (IOPATH A4 X (0.248:0.248:0.248) (0.392:0.392:0.392)) - (IOPATH B1 X (0.227:0.227:0.227) (0.165:0.165:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o41a_1") - (INSTANCE _4949_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.231:0.231:0.231) (0.476:0.477:0.477)) - (IOPATH A2 X (0.213:0.213:0.213) (0.461:0.461:0.462)) - (IOPATH A3 X (0.205:0.206:0.206) (0.424:0.428:0.431)) - (IOPATH A4 X (0.227:0.227:0.227) (0.377:0.377:0.377)) - (IOPATH B1 X (0.201:0.201:0.202) (0.144:0.144:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _4950_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.230:0.231:0.231) (0.250:0.254:0.258)) - (IOPATH B Y (0.190:0.197:0.203) (0.191:0.192:0.192)) - (IOPATH C Y (0.181:0.187:0.193) (0.184:0.184:0.185)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_1") - (INSTANCE _4951_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.219:0.219:0.219) (0.205:0.205:0.205)) - (IOPATH B X (0.263:0.263:0.263) (0.195:0.199:0.202)) - (IOPATH C X (0.240:0.240:0.240) (0.213:0.213:0.213)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _4952_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.177:0.177:0.177) (0.380:0.380:0.381)) - (IOPATH B X (0.150:0.150:0.150) (0.343:0.348:0.352)) - (IOPATH C X (0.104:0.105:0.105) (0.298:0.298:0.298)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _4953_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.233:0.233:0.233) (0.226:0.234:0.242)) - (IOPATH A2 X (0.188:0.188:0.188) (0.209:0.213:0.217)) - (IOPATH B1 X (0.231:0.231:0.231) (0.139:0.147:0.154)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _4954_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.194:0.195:0.195) (0.555:0.558:0.561)) - (IOPATH B X (0.157:0.159:0.161) (0.561:0.561:0.562)) - (IOPATH C X (0.110:0.111:0.112) (0.491:0.491:0.491)) - (IOPATH D X (0.134:0.135:0.135) (0.423:0.424:0.425)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _4955_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.250:0.255:0.259) (0.176:0.176:0.176)) - (IOPATH A2 Y (0.268:0.269:0.269) (0.168:0.168:0.168)) - (IOPATH B1 Y (0.228:0.229:0.229) (0.064:0.065:0.066)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_1") - (INSTANCE _4956_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.310:0.310:0.310) (0.344:0.344:0.344)) - (IOPATH A2 X (0.287:0.287:0.288) (0.321:0.327:0.334)) - (IOPATH B1 X (0.282:0.282:0.282) (0.301:0.301:0.301)) - (IOPATH B2 X (0.270:0.270:0.270) (0.280:0.280:0.280)) - (IOPATH C1 X (0.312:0.312:0.312) (0.219:0.219:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4957_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.074:0.081:0.088) (0.095:0.095:0.095)) - (IOPATH B Y (0.076:0.076:0.077) (0.074:0.074:0.074)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_1") - (INSTANCE _4958_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.266:0.266:0.266) (0.329:0.329:0.329)) - (IOPATH A2 X (0.242:0.243:0.243) (0.294:0.301:0.307)) - (IOPATH B1 X (0.272:0.273:0.274) (0.311:0.311:0.311)) - (IOPATH B2 X (0.276:0.276:0.276) (0.280:0.280:0.280)) - (IOPATH C1 X (0.207:0.208:0.209) (0.144:0.152:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_1") - (INSTANCE _4959_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.189:0.192:0.194) (0.143:0.158:0.174)) - (IOPATH B X (0.172:0.173:0.173) (0.177:0.180:0.184)) - (IOPATH C X (0.160:0.160:0.160) (0.179:0.183:0.186)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3b_1") - (INSTANCE _4960_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.114:0.114:0.115) (0.397:0.397:0.397)) - (IOPATH B X (0.110:0.111:0.112) (0.372:0.373:0.373)) - (IOPATH C_N X (0.160:0.160:0.161) (0.350:0.350:0.350)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4961_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.156:0.156:0.156) (0.052:0.053:0.053)) - (IOPATH B Y (0.144:0.144:0.144) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4962_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.339:0.339:0.339) (0.114:0.114:0.115)) - (IOPATH B Y (0.303:0.304:0.305) (0.131:0.131:0.131)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4963_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.140:0.154:0.169) (0.256:0.259:0.262)) - (IOPATH B X (0.176:0.177:0.178) (0.235:0.248:0.261)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4964_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.209:0.209:0.209) (0.284:0.287:0.290)) - (IOPATH B X (0.136:0.136:0.137) (0.242:0.242:0.242)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3b_1") - (INSTANCE _4965_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.173:0.173:0.173) (0.432:0.433:0.434)) - (IOPATH B X (0.182:0.182:0.182) (0.415:0.415:0.416)) - (IOPATH C_N X (0.212:0.214:0.216) (0.405:0.405:0.405)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_1") - (INSTANCE _4966_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.216:0.216:0.216) (0.270:0.270:0.271)) - (IOPATH A2 X (0.174:0.174:0.174) (0.238:0.239:0.239)) - (IOPATH B1 X (0.164:0.167:0.171) (0.184:0.188:0.191)) - (IOPATH C1 X (0.169:0.171:0.173) (0.124:0.129:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o311a_1") - (INSTANCE _4967_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.274:0.274:0.275) (0.437:0.439:0.441)) - (IOPATH A2 X (0.278:0.278:0.278) (0.414:0.414:0.414)) - (IOPATH A3 X (0.274:0.275:0.275) (0.391:0.391:0.391)) - (IOPATH B1 X (0.238:0.238:0.238) (0.163:0.168:0.172)) - (IOPATH C1 X (0.240:0.241:0.242) (0.164:0.165:0.166)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _4968_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.046:0.049:0.052) (0.056:0.056:0.056)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4969_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.086:0.088:0.090) (0.074:0.075:0.075)) - (IOPATH B Y (0.112:0.117:0.122) (0.107:0.107:0.107)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_1") - (INSTANCE _4970_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.318:0.318:0.318) (0.369:0.374:0.379)) - (IOPATH A2 X (0.299:0.299:0.299) (0.417:0.417:0.417)) - (IOPATH B1 X (0.299:0.299:0.299) (0.399:0.401:0.404)) - (IOPATH C1 X (0.295:0.295:0.295) (0.355:0.357:0.360)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4971_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.294:0.299:0.305) (0.182:0.182:0.182)) - (IOPATH B Y (0.284:0.285:0.286) (0.136:0.136:0.136)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o32ai_4") - (INSTANCE _4972_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.355:0.355:0.355) (0.117:0.118:0.118)) - (IOPATH A2 Y (0.357:0.357:0.357) (0.137:0.137:0.137)) - (IOPATH A3 Y (0.307:0.313:0.319) (0.148:0.148:0.148)) - (IOPATH B1 Y (0.221:0.222:0.222) (0.150:0.151:0.152)) - (IOPATH B2 Y (0.196:0.196:0.196) (0.172:0.172:0.172)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _4973_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.135:0.135:0.135) (0.248:0.249:0.249)) - (IOPATH B X (0.124:0.132:0.141) (0.208:0.216:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211oi_1") - (INSTANCE _4974_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.252:0.253:0.254) (0.098:0.099:0.100)) - (IOPATH A2 Y (0.266:0.266:0.266) (0.113:0.113:0.113)) - (IOPATH B1 Y (0.261:0.263:0.265) (0.080:0.081:0.081)) - (IOPATH C1 Y (0.248:0.248:0.248) (0.085:0.085:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a311o_1") - (INSTANCE _4975_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.287:0.287:0.287) (0.316:0.322:0.328)) - (IOPATH A2 X (0.247:0.247:0.247) (0.367:0.367:0.368)) - (IOPATH A3 X (0.242:0.243:0.243) (0.400:0.400:0.401)) - (IOPATH B1 X (0.201:0.204:0.208) (0.363:0.366:0.369)) - (IOPATH C1 X (0.221:0.221:0.221) (0.314:0.316:0.319)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _4976_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.161:0.161:0.161) (0.186:0.186:0.186)) - (IOPATH A2 X (0.183:0.183:0.183) (0.232:0.232:0.232)) - (IOPATH B1 X (0.116:0.116:0.116) (0.190:0.190:0.190)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _4977_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.194:0.194:0.194) (0.101:0.101:0.101)) - (IOPATH A2 Y (0.177:0.184:0.191) (0.124:0.124:0.124)) - (IOPATH B1 Y (0.098:0.098:0.099) (0.074:0.075:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_1") - (INSTANCE _4978_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.218:0.218:0.218) (0.295:0.296:0.298)) - (IOPATH A2 X (0.213:0.213:0.213) (0.333:0.334:0.335)) - (IOPATH B1 X (0.151:0.151:0.152) (0.308:0.310:0.311)) - (IOPATH C1 X (0.143:0.148:0.152) (0.261:0.264:0.268)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _4979_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.126:0.126:0.126) (0.543:0.543:0.543)) - (IOPATH B X (0.123:0.124:0.125) (0.514:0.516:0.517)) - (IOPATH C X (0.101:0.101:0.101) (0.474:0.474:0.474)) - (IOPATH D X (0.115:0.116:0.116) (0.414:0.414:0.415)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _4980_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.287:0.288:0.288) (0.493:0.496:0.499)) - (IOPATH B X (0.244:0.245:0.245) (0.462:0.463:0.463)) - (IOPATH C X (0.268:0.269:0.270) (0.426:0.430:0.433)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _4981_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.430:0.430:0.430) (0.161:0.161:0.161)) - (IOPATH B Y (0.394:0.395:0.396) (0.115:0.116:0.117)) - (IOPATH C Y (0.340:0.342:0.345) (0.103:0.103:0.103)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _4982_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.196:0.196:0.196) (0.422:0.425:0.428)) - (IOPATH B X (0.170:0.187:0.203) (0.415:0.416:0.417)) - (IOPATH C X (0.210:0.210:0.210) (0.358:0.365:0.372)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _4983_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.299:0.302:0.306) (0.135:0.135:0.135)) - (IOPATH B Y (0.277:0.280:0.282) (0.125:0.125:0.125)) - (IOPATH C Y (0.236:0.242:0.247) (0.099:0.100:0.100)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _4984_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.195:0.195:0.195) (0.422:0.428:0.433)) - (IOPATH B X (0.206:0.206:0.206) (0.400:0.402:0.403)) - (IOPATH C X (0.146:0.147:0.148) (0.350:0.351:0.351)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _4985_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.179:0.180:0.181) (0.424:0.427:0.430)) - (IOPATH B X (0.205:0.205:0.205) (0.407:0.408:0.409)) - (IOPATH C X (0.183:0.183:0.183) (0.365:0.371:0.376)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3b_1") - (INSTANCE _4986_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.185:0.186:0.186) (0.402:0.410:0.418)) - (IOPATH B X (0.165:0.165:0.165) (0.374:0.376:0.378)) - (IOPATH C_N X (0.171:0.171:0.172) (0.357:0.358:0.358)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _4987_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.245:0.246:0.246) (0.465:0.467:0.469)) - (IOPATH B X (0.214:0.214:0.215) (0.420:0.423:0.425)) - (IOPATH C X (0.198:0.199:0.200) (0.379:0.385:0.391)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor4_1") - (INSTANCE _4988_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.285:0.285:0.286) (0.061:0.061:0.062)) - (IOPATH B Y (0.276:0.276:0.276) (0.066:0.066:0.066)) - (IOPATH C Y (0.235:0.235:0.235) (0.051:0.051:0.051)) - (IOPATH D Y (0.191:0.191:0.191) (0.062:0.063:0.063)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4bb_1") - (INSTANCE _4989_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.298:0.298:0.298) (0.214:0.214:0.214)) - (IOPATH B_N X (0.291:0.291:0.291) (0.223:0.223:0.223)) - (IOPATH C X (0.240:0.240:0.240) (0.202:0.207:0.213)) - (IOPATH D X (0.232:0.232:0.233) (0.196:0.199:0.201)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_1") - (INSTANCE _4990_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.277:0.277:0.277) (0.218:0.219:0.219)) - (IOPATH B X (0.194:0.194:0.194) (0.197:0.199:0.200)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4991_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.117:0.117:0.117) (0.057:0.057:0.057)) - (IOPATH B Y (0.105:0.105:0.105) (0.058:0.058:0.058)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_1") - (INSTANCE _4992_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.250:0.250:0.250) (0.201:0.202:0.202)) - (IOPATH B X (0.204:0.204:0.204) (0.221:0.221:0.221)) - (IOPATH C X (0.224:0.224:0.225) (0.222:0.226:0.229)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _4993_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.247:0.249:0.251) (0.130:0.130:0.131)) - (IOPATH B Y (0.241:0.242:0.243) (0.093:0.093:0.093)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4994_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.195:0.195:0.195) (0.103:0.103:0.103)) - (IOPATH B Y (0.155:0.162:0.169) (0.100:0.100:0.100)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4995_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.197:0.197:0.197) (0.075:0.075:0.075)) - (IOPATH B Y (0.162:0.169:0.176) (0.103:0.103:0.103)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4996_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.122:0.122:0.122) (0.072:0.073:0.073)) - (IOPATH B Y (0.089:0.096:0.103) (0.064:0.064:0.064)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _4997_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.161:0.161:0.161) (0.091:0.091:0.091)) - (IOPATH B Y (0.127:0.133:0.140) (0.085:0.085:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a311o_1") - (INSTANCE _4998_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.226:0.226:0.226) (0.319:0.319:0.319)) - (IOPATH A2 X (0.266:0.266:0.266) (0.350:0.355:0.360)) - (IOPATH A3 X (0.239:0.239:0.239) (0.401:0.401:0.402)) - (IOPATH B1 X (0.200:0.200:0.200) (0.357:0.361:0.364)) - (IOPATH C1 X (0.169:0.170:0.170) (0.307:0.309:0.310)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _4999_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.085:0.085:0.085) (0.071:0.071:0.071)) - (IOPATH B Y (0.075:0.084:0.093) (0.094:0.094:0.094)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _5000_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.161:0.166:0.170) (0.075:0.076:0.077)) - (IOPATH A2 Y (0.164:0.166:0.169) (0.067:0.068:0.069)) - (IOPATH B1 Y (0.180:0.182:0.184) (0.065:0.066:0.067)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_1") - (INSTANCE _5001_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.236:0.236:0.236) (0.201:0.201:0.201)) - (IOPATH B X (0.270:0.270:0.270) (0.211:0.213:0.215)) - (IOPATH C X (0.256:0.257:0.258) (0.218:0.225:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _5002_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.231:0.231:0.231) (0.116:0.116:0.116)) - (IOPATH B Y (0.187:0.193:0.200) (0.114:0.114:0.114)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _5003_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.176:0.176:0.176) (0.091:0.091:0.091)) - (IOPATH B Y (0.149:0.155:0.162) (0.097:0.097:0.097)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _5004_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.188:0.188:0.188) (0.181:0.189:0.198)) - (IOPATH A2 X (0.171:0.171:0.171) (0.211:0.211:0.211)) - (IOPATH B1 X (0.154:0.154:0.154) (0.190:0.193:0.196)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a311o_1") - (INSTANCE _5005_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.271:0.271:0.271) (0.313:0.317:0.321)) - (IOPATH A2 X (0.275:0.275:0.275) (0.359:0.366:0.373)) - (IOPATH A3 X (0.269:0.270:0.272) (0.392:0.398:0.404)) - (IOPATH B1 X (0.171:0.172:0.173) (0.367:0.368:0.369)) - (IOPATH C1 X (0.210:0.210:0.210) (0.321:0.326:0.331)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o32a_1") - (INSTANCE _5006_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.184:0.184:0.184) (0.359:0.360:0.360)) - (IOPATH A2 X (0.175:0.175:0.175) (0.334:0.335:0.335)) - (IOPATH A3 X (0.166:0.166:0.166) (0.297:0.297:0.298)) - (IOPATH B1 X (0.176:0.176:0.177) (0.199:0.199:0.200)) - (IOPATH B2 X (0.192:0.192:0.192) (0.166:0.168:0.170)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5007_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.161:0.162:0.162) (0.560:0.561:0.562)) - (IOPATH B X (0.164:0.164:0.164) (0.534:0.538:0.542)) - (IOPATH C X (0.148:0.151:0.154) (0.500:0.500:0.501)) - (IOPATH D X (0.119:0.120:0.120) (0.430:0.431:0.432)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5008_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.142:0.142:0.142) (0.527:0.528:0.528)) - (IOPATH B X (0.114:0.115:0.116) (0.504:0.504:0.504)) - (IOPATH C X (0.135:0.136:0.136) (0.473:0.475:0.477)) - (IOPATH D X (0.107:0.108:0.108) (0.418:0.418:0.418)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5009_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.127:0.127:0.127) (0.516:0.516:0.517)) - (IOPATH B X (0.119:0.120:0.121) (0.501:0.502:0.503)) - (IOPATH C X (0.143:0.143:0.143) (0.470:0.471:0.471)) - (IOPATH D X (0.093:0.093:0.093) (0.404:0.404:0.404)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5010_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.134:0.134:0.134) (0.530:0.531:0.531)) - (IOPATH B X (0.119:0.119:0.119) (0.508:0.509:0.509)) - (IOPATH C X (0.130:0.131:0.132) (0.483:0.484:0.485)) - (IOPATH D X (0.100:0.100:0.101) (0.416:0.416:0.416)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _5011_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.158:0.158:0.159) (0.317:0.319:0.321)) - (IOPATH A2 X (0.162:0.162:0.162) (0.299:0.299:0.299)) - (IOPATH A3 X (0.126:0.126:0.126) (0.261:0.261:0.261)) - (IOPATH B1 X (0.154:0.154:0.154) (0.113:0.114:0.114)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_1") - (INSTANCE _5012_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.314:0.314:0.314) (0.257:0.259:0.261)) - (IOPATH A2 X (0.278:0.278:0.278) (0.300:0.300:0.300)) - (IOPATH A3 X (0.305:0.305:0.305) (0.292:0.301:0.309)) - (IOPATH B1 X (0.228:0.228:0.228) (0.267:0.267:0.267)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _5013_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.197:0.197:0.197) (0.300:0.301:0.301)) - (IOPATH B X (0.184:0.184:0.184) (0.264:0.265:0.265)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _5014_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.256:0.256:0.257) (0.123:0.123:0.123)) - (IOPATH A2 Y (0.291:0.299:0.307) (0.139:0.140:0.140)) - (IOPATH B1 Y (0.273:0.273:0.273) (0.134:0.134:0.134)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _5015_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.119:0.119:0.119) (0.361:0.362:0.363)) - (IOPATH B X (0.161:0.161:0.161) (0.351:0.354:0.357)) - (IOPATH C X (0.140:0.142:0.145) (0.311:0.313:0.316)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _5016_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.252:0.252:0.252) (0.233:0.233:0.233)) - (IOPATH B X (0.228:0.228:0.228) (0.224:0.224:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _5017_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.200:0.200:0.200) (0.093:0.093:0.093)) - (IOPATH B Y (0.179:0.179:0.179) (0.087:0.087:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _5018_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.424:0.424:0.424) (0.134:0.134:0.134)) - (IOPATH B Y (0.415:0.415:0.415) (0.120:0.120:0.120)) - (IOPATH C Y (0.383:0.383:0.383) (0.124:0.124:0.124)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5019_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.137:0.137:0.137) (0.513:0.515:0.518)) - (IOPATH B X (0.093:0.094:0.095) (0.495:0.495:0.495)) - (IOPATH C X (0.138:0.138:0.138) (0.461:0.461:0.462)) - (IOPATH D X (0.174:0.174:0.174) (0.400:0.401:0.403)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _5020_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.261:0.261:0.261) (0.167:0.167:0.167)) - (IOPATH A2 Y (0.256:0.256:0.256) (0.116:0.116:0.116)) - (IOPATH B1 Y (0.169:0.170:0.171) (0.147:0.147:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _5021_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.186:0.186:0.186) (0.447:0.447:0.447)) - (IOPATH B X (0.166:0.166:0.166) (0.385:0.385:0.385)) - (IOPATH C X (0.152:0.152:0.152) (0.359:0.359:0.360)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _5022_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.203:0.203:0.203) (0.137:0.137:0.137)) - (IOPATH A2 Y (0.158:0.158:0.158) (0.088:0.089:0.089)) - (IOPATH B1 Y (0.111:0.111:0.112) (0.078:0.078:0.078)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _5023_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.374:0.376:0.377) (0.310:0.316:0.321)) - (IOPATH B X (0.421:0.421:0.421) (0.382:0.383:0.383)) - (IOPATH C X (0.377:0.377:0.377) (0.346:0.346:0.346)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _5024_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.120:0.120:0.120) (0.065:0.065:0.065)) - (IOPATH B Y (0.107:0.108:0.108) (0.061:0.061:0.061)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_1") - (INSTANCE _5025_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.163:0.163:0.163) (0.196:0.196:0.197)) - (IOPATH A2 X (0.183:0.183:0.183) (0.236:0.236:0.236)) - (IOPATH A3 X (0.160:0.161:0.161) (0.241:0.241:0.242)) - (IOPATH B1 X (0.134:0.134:0.134) (0.210:0.210:0.210)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_1") - (INSTANCE _5026_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.187:0.187:0.187) (0.217:0.217:0.217)) - (IOPATH A2 X (0.155:0.156:0.157) (0.228:0.239:0.249)) - (IOPATH A3 X (0.175:0.175:0.175) (0.243:0.243:0.243)) - (IOPATH B1 X (0.134:0.134:0.134) (0.209:0.210:0.210)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _5027_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.198:0.198:0.198) (0.122:0.122:0.122)) - (IOPATH B Y (0.172:0.173:0.173) (0.103:0.103:0.103)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _5028_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.245:0.245:0.245) (0.251:0.251:0.251)) - (IOPATH A2 X (0.265:0.265:0.266) (0.293:0.293:0.293)) - (IOPATH B1 X (0.239:0.239:0.239) (0.266:0.266:0.266)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4b_1") - (INSTANCE _5029_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.268:0.271:0.273) (0.223:0.223:0.223)) - (IOPATH B X (0.233:0.233:0.233) (0.209:0.210:0.210)) - (IOPATH C X (0.198:0.198:0.198) (0.187:0.187:0.188)) - (IOPATH D X (0.206:0.206:0.206) (0.202:0.202:0.203)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4b_1") - (INSTANCE _5030_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.171:0.173:0.174) (0.615:0.615:0.615)) - (IOPATH B X (0.217:0.225:0.233) (0.600:0.605:0.609)) - (IOPATH C X (0.195:0.200:0.205) (0.555:0.560:0.564)) - (IOPATH D_N X (0.232:0.233:0.234) (0.526:0.526:0.526)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_2") - (INSTANCE _5031_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.228:0.235:0.243) (0.590:0.604:0.618)) - (IOPATH B X (0.262:0.262:0.262) (0.565:0.569:0.573)) - (IOPATH C X (0.190:0.191:0.193) (0.514:0.515:0.516)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ba_1") - (INSTANCE _5032_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.179:0.179:0.180) (0.214:0.214:0.215)) - (IOPATH A2 X (0.152:0.152:0.152) (0.219:0.219:0.219)) - (IOPATH B1_N X (0.274:0.274:0.274) (0.179:0.179:0.180)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _5033_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.164:0.165:0.165) (0.370:0.373:0.376)) - (IOPATH B X (0.104:0.105:0.105) (0.338:0.341:0.344)) - (IOPATH C X (0.102:0.103:0.103) (0.303:0.303:0.304)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o32a_1") - (INSTANCE _5034_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.224:0.224:0.224) (0.360:0.360:0.361)) - (IOPATH A2 X (0.155:0.155:0.155) (0.320:0.321:0.322)) - (IOPATH A3 X (0.129:0.129:0.130) (0.288:0.288:0.288)) - (IOPATH B1 X (0.190:0.190:0.191) (0.204:0.204:0.204)) - (IOPATH B2 X (0.182:0.182:0.182) (0.196:0.196:0.196)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _5035_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.199:0.199:0.199) (0.176:0.176:0.176)) - (IOPATH B Y (0.215:0.222:0.229) (0.177:0.178:0.178)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _5036_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.112:0.113:0.114) (0.089:0.090:0.091)) - (IOPATH B Y (0.093:0.101:0.109) (0.107:0.107:0.107)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5037_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.223:0.223:0.223) (0.259:0.259:0.260)) - (IOPATH A2 X (0.231:0.231:0.232) (0.313:0.313:0.313)) - (IOPATH B1 X (0.180:0.180:0.181) (0.223:0.224:0.225)) - (IOPATH B2 X (0.213:0.213:0.213) (0.258:0.258:0.259)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_1") - (INSTANCE _5038_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.163:0.164:0.164) (0.217:0.217:0.217)) - (IOPATH A2 X (0.179:0.179:0.179) (0.244:0.244:0.244)) - (IOPATH A3 X (0.187:0.187:0.188) (0.272:0.276:0.280)) - (IOPATH B1 X (0.133:0.134:0.135) (0.239:0.240:0.242)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3b_1") - (INSTANCE _5039_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.215:0.215:0.216) (0.461:0.461:0.462)) - (IOPATH B X (0.204:0.206:0.209) (0.426:0.427:0.428)) - (IOPATH C_N X (0.238:0.238:0.239) (0.424:0.425:0.425)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _5040_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.161:0.161:0.161) (0.160:0.169:0.177)) - (IOPATH A2 X (0.171:0.171:0.172) (0.186:0.186:0.186)) - (IOPATH B1 X (0.108:0.108:0.109) (0.166:0.167:0.167)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a2111o_1") - (INSTANCE _5041_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.189:0.189:0.189) (0.358:0.365:0.373)) - (IOPATH A2 X (0.160:0.160:0.160) (0.410:0.410:0.410)) - (IOPATH B1 X (0.163:0.163:0.163) (0.388:0.390:0.393)) - (IOPATH C1 X (0.118:0.118:0.118) (0.356:0.357:0.357)) - (IOPATH D1 X (0.077:0.077:0.078) (0.268:0.269:0.269)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _5042_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.206:0.206:0.206) (0.421:0.424:0.427)) - (IOPATH B X (0.178:0.178:0.178) (0.390:0.393:0.397)) - (IOPATH C X (0.158:0.159:0.159) (0.357:0.357:0.358)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a2111o_1") - (INSTANCE _5043_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.241:0.241:0.241) (0.412:0.419:0.427)) - (IOPATH A2 X (0.253:0.253:0.253) (0.471:0.472:0.474)) - (IOPATH B1 X (0.208:0.208:0.208) (0.435:0.438:0.441)) - (IOPATH C1 X (0.201:0.201:0.201) (0.401:0.402:0.404)) - (IOPATH D1 X (0.158:0.159:0.159) (0.329:0.333:0.337)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5044_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.142:0.142:0.142) (0.545:0.545:0.545)) - (IOPATH B X (0.110:0.112:0.114) (0.520:0.520:0.521)) - (IOPATH C X (0.131:0.131:0.131) (0.501:0.501:0.502)) - (IOPATH D X (0.127:0.128:0.129) (0.429:0.430:0.431)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _5045_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.155:0.156:0.157) (0.267:0.268:0.268)) - (IOPATH B X (0.157:0.158:0.159) (0.254:0.256:0.257)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a2111o_1") - (INSTANCE _5046_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.235:0.235:0.235) (0.405:0.407:0.410)) - (IOPATH A2 X (0.237:0.237:0.237) (0.452:0.453:0.455)) - (IOPATH B1 X (0.179:0.179:0.179) (0.422:0.423:0.425)) - (IOPATH C1 X (0.132:0.132:0.133) (0.373:0.374:0.374)) - (IOPATH D1 X (0.145:0.145:0.145) (0.321:0.323:0.325)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5047_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.180:0.180:0.181) (0.525:0.528:0.531)) - (IOPATH B X (0.174:0.174:0.174) (0.511:0.512:0.514)) - (IOPATH C X (0.113:0.113:0.114) (0.465:0.465:0.466)) - (IOPATH D X (0.140:0.141:0.141) (0.404:0.405:0.405)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _5048_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.121:0.121:0.121) (0.374:0.374:0.374)) - (IOPATH B X (0.109:0.110:0.111) (0.352:0.352:0.353)) - (IOPATH C X (0.091:0.092:0.094) (0.314:0.314:0.314)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5049_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.177:0.177:0.177) (0.520:0.523:0.526)) - (IOPATH B X (0.171:0.171:0.171) (0.506:0.507:0.508)) - (IOPATH C X (0.110:0.110:0.111) (0.460:0.460:0.461)) - (IOPATH D X (0.137:0.138:0.138) (0.399:0.400:0.400)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_1") - (INSTANCE _5050_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.255:0.255:0.255) (0.220:0.220:0.220)) - (IOPATH B X (0.257:0.257:0.257) (0.242:0.242:0.242)) - (IOPATH C X (0.226:0.226:0.226) (0.220:0.221:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _5051_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.133:0.133:0.133) (0.209:0.209:0.210)) - (IOPATH A2 X (0.113:0.113:0.113) (0.181:0.181:0.181)) - (IOPATH B1 X (0.149:0.149:0.149) (0.120:0.121:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _5052_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.181:0.181:0.181) (0.245:0.245:0.245)) - (IOPATH A2 X (0.193:0.193:0.193) (0.234:0.234:0.234)) - (IOPATH B1 X (0.205:0.205:0.205) (0.235:0.235:0.236)) - (IOPATH B2 X (0.177:0.177:0.177) (0.214:0.214:0.214)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3b_1") - (INSTANCE _5053_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.174:0.199:0.225) (0.439:0.441:0.443)) - (IOPATH B X (0.182:0.182:0.182) (0.418:0.419:0.419)) - (IOPATH C_N X (0.222:0.224:0.226) (0.412:0.412:0.413)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _5054_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.105:0.106:0.106) (0.074:0.075:0.076)) - (IOPATH B Y (0.086:0.086:0.086) (0.067:0.067:0.068)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5055_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.192:0.194:0.197) (0.567:0.577:0.588)) - (IOPATH B X (0.170:0.178:0.185) (0.559:0.562:0.564)) - (IOPATH C X (0.148:0.148:0.149) (0.518:0.518:0.519)) - (IOPATH D X (0.135:0.135:0.135) (0.442:0.442:0.443)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_1") - (INSTANCE _5056_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.180:0.181:0.182) (0.253:0.257:0.262)) - (IOPATH A2 X (0.176:0.176:0.176) (0.240:0.240:0.240)) - (IOPATH B1 X (0.173:0.173:0.174) (0.143:0.149:0.155)) - (IOPATH C1 X (0.181:0.182:0.182) (0.161:0.163:0.166)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o311a_1") - (INSTANCE _5057_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.249:0.249:0.249) (0.410:0.411:0.411)) - (IOPATH A2 X (0.274:0.274:0.274) (0.444:0.444:0.444)) - (IOPATH A3 X (0.239:0.239:0.239) (0.385:0.386:0.386)) - (IOPATH B1 X (0.217:0.218:0.218) (0.152:0.154:0.156)) - (IOPATH C1 X (0.253:0.254:0.254) (0.186:0.194:0.202)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _5058_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.205:0.205:0.205) (0.213:0.215:0.216)) - (IOPATH A2 X (0.196:0.196:0.196) (0.199:0.202:0.205)) - (IOPATH B1 X (0.205:0.205:0.205) (0.125:0.132:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _5059_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.293:0.296:0.299) (0.146:0.146:0.146)) - (IOPATH B Y (0.256:0.257:0.257) (0.073:0.074:0.074)) - (IOPATH C Y (0.220:0.221:0.221) (0.067:0.067:0.067)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _5060_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.222:0.222:0.222) (0.173:0.173:0.173)) - (IOPATH B X (0.179:0.181:0.183) (0.204:0.210:0.216)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _5061_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.120:0.121:0.121) (0.065:0.065:0.066)) - (IOPATH B Y (0.101:0.101:0.101) (0.053:0.053:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4_1") - (INSTANCE _5062_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.067:0.070:0.073) (0.077:0.077:0.078)) - (IOPATH B Y (0.083:0.088:0.093) (0.090:0.090:0.090)) - (IOPATH C Y (0.080:0.094:0.109) (0.114:0.114:0.114)) - (IOPATH D Y (0.072:0.073:0.075) (0.091:0.091:0.091)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _5063_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.150:0.155:0.159) (0.087:0.088:0.088)) - (IOPATH A2 Y (0.153:0.153:0.153) (0.090:0.090:0.090)) - (IOPATH B1 Y (0.082:0.087:0.091) (0.060:0.061:0.061)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5064_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.163:0.168:0.173) (0.574:0.576:0.577)) - (IOPATH B X (0.187:0.195:0.203) (0.573:0.576:0.578)) - (IOPATH C X (0.187:0.187:0.187) (0.523:0.525:0.528)) - (IOPATH D X (0.144:0.149:0.153) (0.450:0.452:0.454)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5065_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.223:0.223:0.223) (0.589:0.592:0.595)) - (IOPATH B X (0.162:0.164:0.166) (0.559:0.560:0.560)) - (IOPATH C X (0.153:0.158:0.163) (0.525:0.529:0.532)) - (IOPATH D X (0.154:0.155:0.155) (0.460:0.460:0.461)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _5066_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.100:0.104:0.108) (0.370:0.373:0.376)) - (IOPATH B X (0.116:0.116:0.116) (0.369:0.369:0.370)) - (IOPATH C X (0.115:0.116:0.116) (0.333:0.333:0.334)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _5067_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.261:0.261:0.261) (0.084:0.084:0.084)) - (IOPATH B Y (0.248:0.248:0.248) (0.066:0.066:0.067)) - (IOPATH C Y (0.195:0.195:0.195) (0.049:0.049:0.049)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _5068_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.169:0.171:0.172) (0.279:0.281:0.283)) - (IOPATH B X (0.172:0.172:0.173) (0.273:0.273:0.273)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _5069_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.343:0.347:0.351) (0.169:0.169:0.169)) - (IOPATH B Y (0.349:0.349:0.350) (0.135:0.135:0.135)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _5070_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.285:0.287:0.290) (0.130:0.130:0.130)) - (IOPATH B Y (0.284:0.285:0.285) (0.102:0.102:0.102)) - (IOPATH C Y (0.265:0.266:0.266) (0.087:0.087:0.087)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _5071_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.220:0.220:0.221) (0.434:0.436:0.439)) - (IOPATH B X (0.197:0.197:0.198) (0.423:0.424:0.424)) - (IOPATH C X (0.184:0.184:0.184) (0.404:0.404:0.404)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_1") - (INSTANCE _5072_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.147:0.147:0.147) (0.188:0.188:0.188)) - (IOPATH A2 X (0.190:0.190:0.190) (0.245:0.245:0.245)) - (IOPATH A3 X (0.185:0.185:0.185) (0.259:0.259:0.259)) - (IOPATH B1 X (0.141:0.141:0.141) (0.242:0.243:0.243)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3b_1") - (INSTANCE _5073_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.270:0.270:0.270) (0.469:0.472:0.474)) - (IOPATH B X (0.234:0.241:0.249) (0.455:0.460:0.465)) - (IOPATH C_N X (0.256:0.256:0.257) (0.442:0.442:0.443)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _5074_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.239:0.239:0.239) (0.282:0.283:0.283)) - (IOPATH A2 X (0.187:0.187:0.187) (0.228:0.228:0.228)) - (IOPATH B1 X (0.210:0.210:0.210) (0.168:0.168:0.168)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_4") - (INSTANCE _5075_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.275:0.275:0.275) (0.183:0.183:0.183)) - (IOPATH A2 Y (0.243:0.244:0.245) (0.154:0.154:0.154)) - (IOPATH B1 Y (0.154:0.155:0.155) (0.185:0.185:0.185)) - (IOPATH C1 Y (0.124:0.124:0.124) (0.158:0.158:0.158)) - (IOPATH D1 Y (0.108:0.108:0.109) (0.158:0.158:0.158)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _5076_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.319:0.319:0.319) (0.288:0.288:0.288)) - (IOPATH B X (0.292:0.292:0.292) (0.281:0.282:0.282)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_1") - (INSTANCE _5077_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.200:0.201:0.202) (0.282:0.292:0.303)) - (IOPATH A2 X (0.209:0.209:0.209) (0.254:0.254:0.254)) - (IOPATH B1 X (0.228:0.228:0.228) (0.250:0.250:0.250)) - (IOPATH B2 X (0.205:0.205:0.205) (0.227:0.227:0.227)) - (IOPATH C1 X (0.219:0.220:0.220) (0.179:0.180:0.181)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _5078_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.188:0.198:0.207) (0.104:0.105:0.106)) - (IOPATH A2 Y (0.162:0.163:0.163) (0.093:0.093:0.093)) - (IOPATH B1 Y (0.088:0.090:0.091) (0.070:0.070:0.071)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _5079_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.200:0.200:0.200) (0.258:0.263:0.269)) - (IOPATH B X (0.129:0.134:0.139) (0.221:0.227:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ba_1") - (INSTANCE _5080_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.184:0.184:0.184) (0.223:0.223:0.223)) - (IOPATH A2 X (0.138:0.138:0.138) (0.183:0.183:0.184)) - (IOPATH B1_N X (0.210:0.210:0.211) (0.148:0.149:0.149)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _5081_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.210:0.211:0.211) (0.136:0.136:0.136)) - (IOPATH A2 Y (0.197:0.198:0.198) (0.121:0.121:0.122)) - (IOPATH B1 Y (0.100:0.101:0.102) (0.076:0.077:0.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _5082_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.166:0.166:0.166) (0.110:0.110:0.110)) - (IOPATH A2 Y (0.135:0.136:0.136) (0.080:0.080:0.080)) - (IOPATH B1_N Y (0.165:0.165:0.165) (0.173:0.176:0.180)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5083_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.211:0.211:0.211) (0.570:0.573:0.577)) - (IOPATH B X (0.158:0.160:0.161) (0.542:0.545:0.548)) - (IOPATH C X (0.174:0.174:0.174) (0.512:0.512:0.512)) - (IOPATH D X (0.133:0.141:0.149) (0.440:0.442:0.444)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221ai_2") - (INSTANCE _5084_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.262:0.262:0.262) (0.171:0.171:0.171)) - (IOPATH A2 Y (0.239:0.239:0.240) (0.153:0.154:0.154)) - (IOPATH B1 Y (0.216:0.216:0.216) (0.145:0.145:0.145)) - (IOPATH B2 Y (0.226:0.226:0.226) (0.170:0.170:0.170)) - (IOPATH C1 Y (0.078:0.078:0.079) (0.098:0.098:0.099)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a2111o_1") - (INSTANCE _5085_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.147:0.147:0.147) (0.395:0.395:0.395)) - (IOPATH A2 X (0.133:0.133:0.133) (0.398:0.398:0.398)) - (IOPATH B1 X (0.115:0.120:0.125) (0.371:0.374:0.378)) - (IOPATH C1 X (0.097:0.098:0.098) (0.348:0.349:0.349)) - (IOPATH D1 X (0.091:0.104:0.116) (0.282:0.286:0.290)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5086_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.114:0.135:0.156) (0.531:0.535:0.539)) - (IOPATH B X (0.119:0.125:0.131) (0.493:0.495:0.496)) - (IOPATH C X (0.102:0.103:0.104) (0.461:0.461:0.461)) - (IOPATH D X (0.086:0.087:0.088) (0.389:0.390:0.390)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _5087_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.187:0.187:0.187) (0.306:0.306:0.306)) - (IOPATH B X (0.145:0.146:0.146) (0.264:0.264:0.264)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5088_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.151:0.151:0.151) (0.215:0.215:0.215)) - (IOPATH A2 X (0.187:0.187:0.187) (0.229:0.232:0.235)) - (IOPATH B1 X (0.171:0.171:0.171) (0.177:0.182:0.188)) - (IOPATH B2 X (0.136:0.136:0.136) (0.205:0.205:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _5089_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.168:0.168:0.168) (0.224:0.224:0.224)) - (IOPATH A2 X (0.146:0.146:0.147) (0.226:0.226:0.227)) - (IOPATH B1 X (0.149:0.151:0.152) (0.194:0.204:0.214)) - (IOPATH B2 X (0.148:0.148:0.148) (0.166:0.166:0.166)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3b_1") - (INSTANCE _5090_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.132:0.133:0.133) (0.395:0.396:0.396)) - (IOPATH B X (0.133:0.134:0.134) (0.386:0.386:0.386)) - (IOPATH C_N X (0.172:0.173:0.174) (0.361:0.361:0.361)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a2111o_1") - (INSTANCE _5091_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.253:0.253:0.253) (0.421:0.423:0.426)) - (IOPATH A2 X (0.202:0.203:0.203) (0.475:0.476:0.477)) - (IOPATH B1 X (0.169:0.169:0.169) (0.450:0.450:0.450)) - (IOPATH C1 X (0.179:0.179:0.180) (0.397:0.400:0.403)) - (IOPATH D1 X (0.187:0.187:0.187) (0.350:0.354:0.358)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5092_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.213:0.213:0.213) (0.579:0.580:0.581)) - (IOPATH B X (0.154:0.154:0.154) (0.564:0.564:0.564)) - (IOPATH C X (0.141:0.141:0.142) (0.526:0.526:0.526)) - (IOPATH D X (0.151:0.152:0.153) (0.460:0.461:0.461)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_1") - (INSTANCE _5093_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.169:0.169:0.169) (0.283:0.284:0.284)) - (IOPATH A2 X (0.202:0.202:0.202) (0.314:0.316:0.317)) - (IOPATH B1 X (0.174:0.174:0.174) (0.294:0.297:0.301)) - (IOPATH C1 X (0.105:0.105:0.106) (0.237:0.237:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _5094_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.127:0.127:0.127) (0.065:0.066:0.066)) - (IOPATH B Y (0.099:0.099:0.099) (0.048:0.048:0.048)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5095_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.214:0.214:0.214) (0.567:0.570:0.572)) - (IOPATH B X (0.198:0.200:0.202) (0.559:0.564:0.568)) - (IOPATH C X (0.160:0.161:0.162) (0.523:0.524:0.525)) - (IOPATH D X (0.139:0.139:0.140) (0.442:0.443:0.444)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _5096_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.205:0.205:0.206) (0.314:0.316:0.318)) - (IOPATH A2 X (0.197:0.197:0.197) (0.290:0.292:0.293)) - (IOPATH A3 X (0.160:0.160:0.160) (0.259:0.260:0.261)) - (IOPATH B1 X (0.197:0.197:0.197) (0.113:0.117:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _5097_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.228:0.228:0.228) (0.207:0.208:0.210)) - (IOPATH A2 X (0.232:0.232:0.232) (0.231:0.232:0.233)) - (IOPATH B1 X (0.145:0.146:0.146) (0.190:0.193:0.197)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211ai_1") - (INSTANCE _5098_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.322:0.323:0.323) (0.194:0.194:0.194)) - (IOPATH A2 Y (0.306:0.309:0.312) (0.192:0.192:0.192)) - (IOPATH B1 Y (0.171:0.176:0.182) (0.210:0.210:0.210)) - (IOPATH C1 Y (0.167:0.169:0.172) (0.168:0.168:0.168)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a311o_1") - (INSTANCE _5099_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.309:0.309:0.309) (0.332:0.334:0.336)) - (IOPATH A2 X (0.332:0.332:0.332) (0.373:0.376:0.379)) - (IOPATH A3 X (0.288:0.288:0.288) (0.409:0.414:0.419)) - (IOPATH B1 X (0.214:0.214:0.214) (0.383:0.383:0.384)) - (IOPATH C1 X (0.215:0.221:0.226) (0.339:0.344:0.350)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5100_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.083:0.083:0.084) (0.495:0.495:0.495)) - (IOPATH B X (0.148:0.148:0.148) (0.510:0.511:0.511)) - (IOPATH C X (0.128:0.142:0.156) (0.476:0.478:0.481)) - (IOPATH D X (0.119:0.120:0.120) (0.391:0.393:0.394)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5101_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.111:0.112:0.113) (0.525:0.525:0.526)) - (IOPATH B X (0.120:0.121:0.121) (0.526:0.526:0.526)) - (IOPATH C X (0.130:0.130:0.131) (0.478:0.478:0.479)) - (IOPATH D X (0.094:0.095:0.095) (0.410:0.410:0.410)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _5102_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.200:0.200:0.200) (0.075:0.076:0.076)) - (IOPATH B Y (0.174:0.174:0.174) (0.056:0.056:0.057)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _5103_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.111:0.111:0.111) (0.055:0.055:0.056)) - (IOPATH B Y (0.084:0.086:0.087) (0.061:0.061:0.061)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5104_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.106:0.106:0.106) (0.517:0.517:0.518)) - (IOPATH B X (0.186:0.186:0.186) (0.521:0.523:0.526)) - (IOPATH C X (0.098:0.099:0.100) (0.466:0.466:0.466)) - (IOPATH D X (0.108:0.108:0.109) (0.397:0.398:0.399)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_1") - (INSTANCE _5105_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.251:0.251:0.251) (0.307:0.307:0.307)) - (IOPATH A2 X (0.216:0.217:0.218) (0.282:0.284:0.286)) - (IOPATH B1 X (0.222:0.222:0.222) (0.263:0.264:0.264)) - (IOPATH B2 X (0.253:0.253:0.253) (0.263:0.263:0.263)) - (IOPATH C1 X (0.231:0.232:0.232) (0.166:0.166:0.166)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4b_1") - (INSTANCE _5106_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.152:0.152:0.152) (0.560:0.560:0.560)) - (IOPATH B X (0.217:0.218:0.218) (0.547:0.548:0.550)) - (IOPATH C X (0.134:0.135:0.136) (0.504:0.504:0.504)) - (IOPATH D_N X (0.187:0.189:0.192) (0.473:0.473:0.473)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4b_1") - (INSTANCE _5107_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.186:0.186:0.187) (0.585:0.586:0.587)) - (IOPATH B X (0.151:0.152:0.152) (0.568:0.569:0.569)) - (IOPATH C X (0.160:0.160:0.160) (0.518:0.519:0.519)) - (IOPATH D_N X (0.239:0.241:0.243) (0.516:0.516:0.516)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _5108_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.126:0.126:0.126) (0.079:0.079:0.079)) - (IOPATH B Y (0.093:0.094:0.094) (0.053:0.053:0.053)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5109_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.144:0.157:0.170) (0.552:0.555:0.557)) - (IOPATH B X (0.156:0.156:0.156) (0.533:0.535:0.537)) - (IOPATH C X (0.145:0.150:0.155) (0.496:0.499:0.502)) - (IOPATH D X (0.124:0.124:0.125) (0.421:0.423:0.425)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _5110_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.170:0.171:0.172) (0.450:0.450:0.451)) - (IOPATH B X (0.172:0.173:0.173) (0.430:0.430:0.430)) - (IOPATH C X (0.161:0.162:0.162) (0.387:0.387:0.387)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5111_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.166:0.166:0.166) (0.227:0.227:0.227)) - (IOPATH A2 X (0.188:0.188:0.188) (0.229:0.232:0.236)) - (IOPATH B1 X (0.171:0.171:0.171) (0.177:0.183:0.189)) - (IOPATH B2 X (0.137:0.137:0.137) (0.216:0.216:0.216)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5112_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.249:0.249:0.249) (0.611:0.615:0.619)) - (IOPATH B X (0.170:0.171:0.171) (0.581:0.581:0.581)) - (IOPATH C X (0.205:0.205:0.206) (0.561:0.562:0.562)) - (IOPATH D X (0.184:0.185:0.185) (0.483:0.483:0.483)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _5113_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.199:0.199:0.199) (0.096:0.096:0.096)) - (IOPATH A2 Y (0.199:0.199:0.199) (0.103:0.103:0.104)) - (IOPATH B1 Y (0.137:0.137:0.137) (0.122:0.122:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5114_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.194:0.201:0.207) (0.610:0.611:0.612)) - (IOPATH B X (0.193:0.194:0.195) (0.596:0.598:0.599)) - (IOPATH C X (0.181:0.182:0.183) (0.560:0.561:0.561)) - (IOPATH D X (0.197:0.202:0.207) (0.493:0.497:0.500)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _5115_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.158:0.158:0.158) (0.267:0.268:0.269)) - (IOPATH B X (0.178:0.178:0.178) (0.256:0.258:0.260)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a2111o_1") - (INSTANCE _5116_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.179:0.179:0.179) (0.405:0.405:0.405)) - (IOPATH A2 X (0.188:0.189:0.190) (0.462:0.463:0.463)) - (IOPATH B1 X (0.164:0.164:0.164) (0.442:0.442:0.443)) - (IOPATH C1 X (0.148:0.149:0.150) (0.393:0.394:0.394)) - (IOPATH D1 X (0.137:0.137:0.137) (0.329:0.329:0.329)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _5117_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.186:0.186:0.186) (0.180:0.187:0.193)) - (IOPATH A2 X (0.187:0.187:0.188) (0.201:0.202:0.203)) - (IOPATH B1 X (0.130:0.137:0.143) (0.179:0.187:0.194)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a2111o_1") - (INSTANCE _5118_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.282:0.282:0.282) (0.473:0.474:0.474)) - (IOPATH A2 X (0.255:0.255:0.255) (0.519:0.519:0.519)) - (IOPATH B1 X (0.224:0.224:0.225) (0.484:0.485:0.485)) - (IOPATH C1 X (0.240:0.245:0.251) (0.456:0.461:0.467)) - (IOPATH D1 X (0.200:0.200:0.201) (0.384:0.384:0.384)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _5119_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.324:0.325:0.326) (0.150:0.150:0.150)) - (IOPATH B Y (0.314:0.314:0.314) (0.083:0.083:0.084)) - (IOPATH C Y (0.293:0.293:0.294) (0.105:0.106:0.107)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3b_1") - (INSTANCE _5120_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.132:0.133:0.133) (0.404:0.404:0.405)) - (IOPATH B X (0.112:0.113:0.114) (0.359:0.359:0.360)) - (IOPATH C_N X (0.163:0.174:0.185) (0.383:0.383:0.383)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_1") - (INSTANCE _5121_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.230:0.230:0.230) (0.195:0.195:0.195)) - (IOPATH B X (0.118:0.118:0.118) (0.164:0.164:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_1") - (INSTANCE _5122_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.211:0.211:0.211) (0.266:0.266:0.266)) - (IOPATH A2 X (0.194:0.194:0.194) (0.251:0.251:0.251)) - (IOPATH B1 X (0.178:0.179:0.179) (0.157:0.168:0.179)) - (IOPATH C1 X (0.208:0.208:0.209) (0.183:0.184:0.185)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_1") - (INSTANCE _5123_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.269:0.272:0.274) (0.190:0.205:0.221)) - (IOPATH B X (0.241:0.241:0.242) (0.215:0.219:0.224)) - (IOPATH C X (0.230:0.230:0.230) (0.222:0.223:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4b_1") - (INSTANCE _5124_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.190:0.190:0.190) (0.537:0.540:0.542)) - (IOPATH B X (0.117:0.118:0.119) (0.509:0.510:0.511)) - (IOPATH C X (0.132:0.132:0.133) (0.481:0.481:0.481)) - (IOPATH D_N X (0.172:0.172:0.172) (0.444:0.444:0.444)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _5125_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.282:0.282:0.283) (0.100:0.100:0.100)) - (IOPATH B Y (0.257:0.258:0.258) (0.079:0.080:0.081)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4b_1") - (INSTANCE _5126_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.216:0.216:0.216) (0.582:0.590:0.598)) - (IOPATH B X (0.199:0.201:0.203) (0.560:0.571:0.582)) - (IOPATH C X (0.156:0.157:0.157) (0.528:0.529:0.529)) - (IOPATH D_N X (0.208:0.211:0.213) (0.500:0.500:0.500)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _5127_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.295:0.297:0.299) (0.138:0.138:0.138)) - (IOPATH B Y (0.264:0.266:0.268) (0.108:0.108:0.108)) - (IOPATH C Y (0.226:0.226:0.226) (0.072:0.072:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4b_1") - (INSTANCE _5128_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.294:0.294:0.295) (0.211:0.211:0.212)) - (IOPATH B X (0.204:0.204:0.204) (0.188:0.188:0.189)) - (IOPATH C X (0.258:0.258:0.258) (0.207:0.209:0.210)) - (IOPATH D X (0.255:0.255:0.255) (0.212:0.223:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _5129_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.289:0.290:0.291) (0.126:0.126:0.126)) - (IOPATH A2 Y (0.281:0.281:0.282) (0.110:0.110:0.110)) - (IOPATH B1 Y (0.269:0.269:0.269) (0.093:0.093:0.093)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4b_1") - (INSTANCE _5130_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.180:0.181:0.181) (0.577:0.577:0.578)) - (IOPATH B X (0.166:0.166:0.166) (0.557:0.557:0.557)) - (IOPATH C X (0.150:0.151:0.151) (0.526:0.526:0.526)) - (IOPATH D_N X (0.204:0.204:0.205) (0.492:0.493:0.493)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a2111o_1") - (INSTANCE _5131_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.149:0.149:0.149) (0.378:0.378:0.378)) - (IOPATH A2 X (0.212:0.212:0.212) (0.427:0.428:0.429)) - (IOPATH B1 X (0.156:0.156:0.156) (0.397:0.399:0.401)) - (IOPATH C1 X (0.109:0.110:0.110) (0.353:0.353:0.353)) - (IOPATH D1 X (0.124:0.124:0.124) (0.299:0.299:0.300)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _5132_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.125:0.126:0.127) (0.253:0.253:0.254)) - (IOPATH B X (0.112:0.114:0.115) (0.227:0.228:0.229)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_1") - (INSTANCE _5133_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.175:0.175:0.175) (0.255:0.263:0.271)) - (IOPATH A2 X (0.177:0.177:0.177) (0.295:0.295:0.295)) - (IOPATH B1 X (0.143:0.143:0.143) (0.271:0.276:0.280)) - (IOPATH C1 X (0.103:0.103:0.103) (0.220:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5134_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.171:0.171:0.171) (0.576:0.577:0.577)) - (IOPATH B X (0.166:0.167:0.168) (0.561:0.562:0.564)) - (IOPATH C X (0.160:0.160:0.160) (0.529:0.529:0.529)) - (IOPATH D X (0.131:0.132:0.133) (0.450:0.450:0.450)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111ai_1") - (INSTANCE _5135_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.262:0.264:0.266) (0.155:0.158:0.161)) - (IOPATH A2 Y (0.213:0.220:0.228) (0.153:0.153:0.153)) - (IOPATH B1 Y (0.177:0.181:0.184) (0.147:0.150:0.153)) - (IOPATH C1 Y (0.166:0.169:0.172) (0.169:0.169:0.169)) - (IOPATH D1 Y (0.146:0.147:0.149) (0.163:0.164:0.164)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3b_1") - (INSTANCE _5136_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.166:0.166:0.167) (0.427:0.427:0.427)) - (IOPATH B X (0.161:0.172:0.184) (0.412:0.416:0.421)) - (IOPATH C_N X (0.214:0.220:0.225) (0.397:0.398:0.399)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5137_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.172:0.172:0.172) (0.525:0.527:0.529)) - (IOPATH B X (0.104:0.104:0.104) (0.491:0.491:0.492)) - (IOPATH C X (0.110:0.110:0.111) (0.476:0.476:0.477)) - (IOPATH D X (0.108:0.108:0.108) (0.401:0.401:0.401)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_1") - (INSTANCE _5138_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.246:0.246:0.246) (0.203:0.203:0.203)) - (IOPATH B X (0.142:0.143:0.143) (0.188:0.188:0.188)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5139_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.095:0.096:0.097) (0.507:0.507:0.507)) - (IOPATH B X (0.097:0.098:0.098) (0.490:0.490:0.490)) - (IOPATH C X (0.160:0.160:0.161) (0.465:0.469:0.474)) - (IOPATH D X (0.104:0.104:0.104) (0.391:0.391:0.391)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3b_1") - (INSTANCE _5140_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.171:0.171:0.171) (0.419:0.420:0.420)) - (IOPATH B X (0.140:0.141:0.141) (0.395:0.395:0.395)) - (IOPATH C_N X (0.179:0.189:0.200) (0.400:0.400:0.400)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_1") - (INSTANCE _5141_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.181:0.181:0.181) (0.240:0.241:0.241)) - (IOPATH A2 X (0.172:0.172:0.172) (0.221:0.224:0.226)) - (IOPATH B1 X (0.169:0.169:0.169) (0.150:0.150:0.150)) - (IOPATH C1 X (0.171:0.171:0.171) (0.138:0.138:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3b_1") - (INSTANCE _5142_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.169:0.169:0.169) (0.444:0.444:0.444)) - (IOPATH B X (0.187:0.201:0.215) (0.434:0.437:0.440)) - (IOPATH C_N X (0.201:0.203:0.205) (0.395:0.395:0.395)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a2bb2oi_1") - (INSTANCE _5143_) - (DELAY - (ABSOLUTE - (IOPATH A1_N Y (0.214:0.214:0.214) (0.198:0.198:0.198)) - (IOPATH A2_N Y (0.189:0.189:0.189) (0.166:0.166:0.166)) - (IOPATH B1 Y (0.163:0.163:0.164) (0.088:0.088:0.088)) - (IOPATH B2 Y (0.149:0.150:0.152) (0.115:0.115:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_1") - (INSTANCE _5144_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.191:0.192:0.192) (0.261:0.263:0.265)) - (IOPATH A2 X (0.153:0.155:0.158) (0.206:0.216:0.226)) - (IOPATH B1 X (0.155:0.156:0.157) (0.140:0.146:0.151)) - (IOPATH C1 X (0.169:0.169:0.169) (0.142:0.142:0.142)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3b_1") - (INSTANCE _5145_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.223:0.229:0.234) (0.472:0.476:0.479)) - (IOPATH B X (0.211:0.212:0.212) (0.467:0.468:0.468)) - (IOPATH C_N X (0.253:0.255:0.257) (0.440:0.440:0.440)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _5146_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.113:0.114:0.115) (0.377:0.378:0.379)) - (IOPATH B X (0.119:0.120:0.120) (0.362:0.362:0.362)) - (IOPATH C X (0.133:0.133:0.133) (0.338:0.338:0.338)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_1") - (INSTANCE _5147_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.237:0.237:0.237) (0.201:0.201:0.201)) - (IOPATH B X (0.152:0.152:0.152) (0.187:0.187:0.187)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor4_1") - (INSTANCE _5148_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.428:0.430:0.432) (0.144:0.144:0.144)) - (IOPATH B Y (0.393:0.393:0.394) (0.072:0.072:0.072)) - (IOPATH C Y (0.386:0.386:0.386) (0.074:0.074:0.075)) - (IOPATH D Y (0.329:0.329:0.329) (0.073:0.073:0.074)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a2111o_1") - (INSTANCE _5149_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.213:0.213:0.213) (0.384:0.392:0.400)) - (IOPATH A2 X (0.170:0.170:0.170) (0.440:0.440:0.440)) - (IOPATH B1 X (0.196:0.197:0.197) (0.422:0.425:0.428)) - (IOPATH C1 X (0.148:0.148:0.148) (0.377:0.377:0.378)) - (IOPATH D1 X (0.124:0.124:0.124) (0.304:0.304:0.305)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5150_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.226:0.226:0.226) (0.370:0.371:0.372)) - (IOPATH A2 X (0.229:0.229:0.230) (0.425:0.425:0.425)) - (IOPATH B1 X (0.181:0.182:0.183) (0.335:0.336:0.337)) - (IOPATH B2 X (0.217:0.217:0.217) (0.375:0.375:0.376)) - (IOPATH C1 X (0.175:0.175:0.175) (0.325:0.325:0.325)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5151_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.096:0.097:0.098) (0.523:0.523:0.523)) - (IOPATH B X (0.109:0.109:0.109) (0.499:0.499:0.499)) - (IOPATH C X (0.103:0.104:0.106) (0.468:0.468:0.469)) - (IOPATH D X (0.114:0.115:0.115) (0.403:0.403:0.403)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _5152_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.202:0.202:0.202) (0.249:0.249:0.249)) - (IOPATH A2 X (0.170:0.170:0.170) (0.223:0.223:0.224)) - (IOPATH B1 X (0.159:0.159:0.159) (0.186:0.186:0.187)) - (IOPATH B2 X (0.168:0.168:0.168) (0.195:0.195:0.195)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3b_1") - (INSTANCE _5153_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.111:0.113:0.115) (0.383:0.383:0.383)) - (IOPATH B X (0.121:0.136:0.152) (0.369:0.373:0.377)) - (IOPATH C_N X (0.160:0.161:0.162) (0.347:0.347:0.348)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _5154_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.148:0.148:0.148) (0.102:0.102:0.102)) - (IOPATH A2 Y (0.161:0.161:0.161) (0.101:0.101:0.101)) - (IOPATH B1 Y (0.129:0.129:0.129) (0.056:0.056:0.056)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4b_1") - (INSTANCE _5155_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.148:0.149:0.151) (0.566:0.567:0.568)) - (IOPATH B X (0.181:0.181:0.181) (0.580:0.580:0.580)) - (IOPATH C X (0.153:0.156:0.159) (0.515:0.519:0.523)) - (IOPATH D_N X (0.191:0.192:0.193) (0.479:0.479:0.479)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _5156_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.479:0.479:0.479) (0.121:0.121:0.121)) - (IOPATH B Y (0.469:0.474:0.479) (0.106:0.129:0.153)) - (IOPATH C Y (0.428:0.428:0.428) (0.098:0.098:0.099)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _5157_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.199:0.199:0.199) (0.356:0.357:0.357)) - (IOPATH A2 X (0.191:0.191:0.191) (0.328:0.328:0.328)) - (IOPATH A3 X (0.187:0.188:0.188) (0.306:0.306:0.307)) - (IOPATH B1 X (0.285:0.285:0.285) (0.154:0.160:0.166)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _5158_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.250:0.252:0.255) (0.129:0.129:0.129)) - (IOPATH B Y (0.235:0.239:0.242) (0.113:0.113:0.114)) - (IOPATH C Y (0.187:0.187:0.188) (0.070:0.070:0.070)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _5159_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.064:0.078:0.092) (0.106:0.106:0.106)) - (IOPATH B Y (0.078:0.089:0.101) (0.112:0.112:0.112)) - (IOPATH C Y (0.077:0.088:0.100) (0.103:0.103:0.103)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _5160_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.185:0.185:0.185) (0.436:0.436:0.436)) - (IOPATH B X (0.170:0.170:0.171) (0.423:0.423:0.424)) - (IOPATH C X (0.151:0.153:0.156) (0.366:0.369:0.373)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _5161_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.212:0.212:0.212) (0.125:0.125:0.126)) - (IOPATH A2 Y (0.176:0.176:0.176) (0.094:0.094:0.095)) - (IOPATH B1 Y (0.126:0.126:0.126) (0.104:0.104:0.104)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor4_1") - (INSTANCE _5162_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.434:0.439:0.443) (0.101:0.108:0.115)) - (IOPATH B Y (0.428:0.431:0.433) (0.103:0.111:0.118)) - (IOPATH C Y (0.379:0.380:0.381) (0.070:0.070:0.071)) - (IOPATH D Y (0.327:0.330:0.333) (0.081:0.086:0.091)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_1") - (INSTANCE _5163_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.204:0.204:0.204) (0.178:0.182:0.185)) - (IOPATH B X (0.191:0.192:0.192) (0.186:0.189:0.192)) - (IOPATH C X (0.245:0.245:0.245) (0.196:0.203:0.210)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _5164_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.181:0.181:0.181) (0.327:0.327:0.328)) - (IOPATH A2 X (0.195:0.195:0.195) (0.324:0.324:0.324)) - (IOPATH A3 X (0.177:0.177:0.177) (0.279:0.280:0.280)) - (IOPATH B1 X (0.172:0.173:0.173) (0.125:0.131:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4bb_1") - (INSTANCE _5165_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.335:0.336:0.336) (0.242:0.243:0.243)) - (IOPATH B_N X (0.376:0.376:0.376) (0.275:0.275:0.275)) - (IOPATH C X (0.277:0.278:0.278) (0.235:0.239:0.243)) - (IOPATH D X (0.296:0.298:0.300) (0.308:0.309:0.310)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31oi_2") - (INSTANCE _5166_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.283:0.285:0.286) (0.226:0.226:0.226)) - (IOPATH A2 Y (0.321:0.321:0.322) (0.166:0.166:0.167)) - (IOPATH A3 Y (0.348:0.349:0.350) (0.186:0.186:0.186)) - (IOPATH B1 Y (0.319:0.320:0.320) (0.092:0.093:0.093)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_1") - (INSTANCE _5167_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.223:0.223:0.223) (0.284:0.294:0.305)) - (IOPATH A2 X (0.146:0.147:0.147) (0.331:0.331:0.331)) - (IOPATH B1 X (0.182:0.185:0.188) (0.303:0.323:0.342)) - (IOPATH C1 X (0.135:0.135:0.135) (0.258:0.258:0.258)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_1") - (INSTANCE _5168_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.174:0.174:0.174) (0.296:0.296:0.296)) - (IOPATH A2 X (0.173:0.173:0.173) (0.295:0.298:0.301)) - (IOPATH B1 X (0.120:0.121:0.121) (0.272:0.275:0.278)) - (IOPATH C1 X (0.101:0.101:0.102) (0.232:0.233:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_1") - (INSTANCE _5169_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.212:0.212:0.212) (0.291:0.291:0.292)) - (IOPATH A2 X (0.203:0.203:0.204) (0.260:0.260:0.261)) - (IOPATH B1 X (0.241:0.241:0.241) (0.245:0.248:0.251)) - (IOPATH B2 X (0.199:0.199:0.199) (0.224:0.224:0.225)) - (IOPATH C1 X (0.157:0.157:0.157) (0.119:0.119:0.119)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4b_1") - (INSTANCE _5170_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.143:0.143:0.144) (0.574:0.574:0.574)) - (IOPATH B X (0.144:0.144:0.144) (0.557:0.557:0.557)) - (IOPATH C X (0.174:0.174:0.174) (0.543:0.543:0.543)) - (IOPATH D_N X (0.188:0.191:0.193) (0.480:0.480:0.480)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _5171_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.141:0.141:0.141) (0.067:0.067:0.067)) - (IOPATH B Y (0.116:0.116:0.116) (0.047:0.047:0.047)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor4_1") - (INSTANCE _5172_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.342:0.343:0.344) (0.068:0.070:0.071)) - (IOPATH B Y (0.327:0.327:0.327) (0.058:0.059:0.059)) - (IOPATH C Y (0.305:0.305:0.305) (0.073:0.073:0.073)) - (IOPATH D Y (0.226:0.227:0.229) (0.061:0.061:0.061)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_1") - (INSTANCE _5173_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.203:0.204:0.205) (0.289:0.291:0.292)) - (IOPATH A2 X (0.198:0.198:0.198) (0.256:0.256:0.257)) - (IOPATH B1 X (0.223:0.223:0.223) (0.226:0.233:0.240)) - (IOPATH B2 X (0.206:0.206:0.206) (0.227:0.228:0.228)) - (IOPATH C1 X (0.206:0.206:0.207) (0.174:0.176:0.178)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4b_1") - (INSTANCE _5174_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.149:0.153:0.157) (0.546:0.549:0.553)) - (IOPATH B X (0.139:0.140:0.141) (0.538:0.539:0.539)) - (IOPATH C X (0.137:0.138:0.139) (0.500:0.501:0.501)) - (IOPATH D_N X (0.173:0.175:0.177) (0.458:0.458:0.458)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _5175_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.151:0.151:0.151) (0.216:0.216:0.216)) - (IOPATH A2 X (0.128:0.128:0.128) (0.201:0.202:0.202)) - (IOPATH B1 X (0.202:0.203:0.203) (0.116:0.128:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _5176_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.170:0.171:0.172) (0.241:0.250:0.260)) - (IOPATH A2 X (0.180:0.180:0.180) (0.231:0.232:0.232)) - (IOPATH B1 X (0.169:0.169:0.169) (0.196:0.197:0.197)) - (IOPATH B2 X (0.169:0.169:0.169) (0.196:0.196:0.196)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3b_1") - (INSTANCE _5177_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.174:0.174:0.174) (0.393:0.394:0.395)) - (IOPATH B X (0.172:0.172:0.172) (0.363:0.367:0.370)) - (IOPATH C_N X (0.159:0.160:0.161) (0.346:0.346:0.346)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5178_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.172:0.178:0.184) (0.584:0.586:0.587)) - (IOPATH B X (0.166:0.166:0.167) (0.586:0.586:0.586)) - (IOPATH C X (0.164:0.165:0.165) (0.552:0.553:0.553)) - (IOPATH D X (0.144:0.145:0.146) (0.469:0.469:0.469)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_1") - (INSTANCE _5179_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.222:0.222:0.223) (0.278:0.282:0.287)) - (IOPATH A2 X (0.213:0.213:0.213) (0.268:0.268:0.269)) - (IOPATH B1 X (0.187:0.188:0.188) (0.142:0.142:0.142)) - (IOPATH C1 X (0.201:0.201:0.202) (0.172:0.175:0.179)) - (IOPATH D1 X (0.206:0.206:0.207) (0.171:0.174:0.178)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4bb_1") - (INSTANCE _5180_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.279:0.281:0.283) (0.210:0.210:0.211)) - (IOPATH B_N X (0.313:0.314:0.314) (0.229:0.229:0.230)) - (IOPATH C X (0.227:0.227:0.227) (0.211:0.213:0.214)) - (IOPATH D X (0.213:0.213:0.213) (0.204:0.206:0.208)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _5181_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.328:0.329:0.329) (0.136:0.137:0.137)) - (IOPATH A2 Y (0.314:0.316:0.317) (0.119:0.119:0.119)) - (IOPATH B1 Y (0.310:0.310:0.310) (0.095:0.095:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5182_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.169:0.169:0.169) (0.322:0.322:0.322)) - (IOPATH A2 X (0.188:0.188:0.188) (0.335:0.338:0.341)) - (IOPATH B1 X (0.219:0.220:0.220) (0.289:0.294:0.299)) - (IOPATH B2 X (0.138:0.138:0.138) (0.333:0.333:0.333)) - (IOPATH C1 X (0.155:0.156:0.156) (0.254:0.260:0.267)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _5183_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.166:0.166:0.166) (0.363:0.365:0.366)) - (IOPATH B X (0.104:0.104:0.105) (0.341:0.342:0.342)) - (IOPATH C X (0.090:0.091:0.093) (0.304:0.304:0.304)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _5184_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.124:0.126:0.127) (0.168:0.168:0.168)) - (IOPATH B Y (0.136:0.136:0.136) (0.130:0.130:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5185_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.212:0.212:0.212) (0.344:0.344:0.344)) - (IOPATH A1 X (0.196:0.196:0.196) (0.354:0.354:0.354)) - (IOPATH S X (0.262:0.272:0.281) (0.376:0.377:0.378)) - (IOPATH S X (0.192:0.192:0.193) (0.371:0.379:0.386)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5186_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.218:0.218:0.218) (0.346:0.346:0.346)) - (IOPATH A1 X (0.194:0.194:0.194) (0.352:0.352:0.352)) - (IOPATH S X (0.259:0.268:0.278) (0.374:0.374:0.375)) - (IOPATH S X (0.188:0.189:0.190) (0.369:0.376:0.384)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _5187_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.151:0.158:0.164) (0.183:0.183:0.183)) - (IOPATH B Y (0.164:0.164:0.164) (0.148:0.148:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5188_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.208:0.208:0.208) (0.341:0.341:0.341)) - (IOPATH A1 X (0.181:0.181:0.181) (0.345:0.345:0.345)) - (IOPATH S X (0.269:0.277:0.285) (0.380:0.381:0.381)) - (IOPATH S X (0.197:0.198:0.198) (0.377:0.384:0.391)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5189_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.218:0.218:0.218) (0.346:0.346:0.346)) - (IOPATH A1 X (0.205:0.205:0.205) (0.359:0.359:0.359)) - (IOPATH S X (0.270:0.278:0.286) (0.381:0.381:0.382)) - (IOPATH S X (0.198:0.198:0.199) (0.377:0.384:0.391)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5190_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.237:0.237:0.237) (0.364:0.364:0.364)) - (IOPATH A1 X (0.197:0.197:0.197) (0.355:0.355:0.355)) - (IOPATH S X (0.274:0.282:0.290) (0.384:0.384:0.385)) - (IOPATH S X (0.202:0.202:0.203) (0.380:0.387:0.394)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5191_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.228:0.228:0.228) (0.359:0.359:0.359)) - (IOPATH A1 X (0.190:0.190:0.190) (0.349:0.349:0.349)) - (IOPATH S X (0.266:0.274:0.282) (0.377:0.378:0.378)) - (IOPATH S X (0.193:0.194:0.195) (0.374:0.381:0.388)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5192_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.240:0.240:0.240) (0.366:0.366:0.366)) - (IOPATH A1 X (0.201:0.201:0.201) (0.358:0.358:0.358)) - (IOPATH S X (0.279:0.287:0.295) (0.388:0.388:0.389)) - (IOPATH S X (0.207:0.208:0.208) (0.384:0.391:0.398)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _5193_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.223:0.224:0.226) (0.264:0.264:0.264)) - (IOPATH B Y (0.220:0.220:0.220) (0.197:0.197:0.197)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5194_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.233:0.233:0.233) (0.359:0.359:0.359)) - (IOPATH A1 X (0.229:0.229:0.229) (0.378:0.378:0.378)) - (IOPATH S X (0.318:0.327:0.337) (0.411:0.411:0.412)) - (IOPATH S X (0.242:0.242:0.242) (0.416:0.425:0.434)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5195_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.215:0.215:0.215) (0.345:0.345:0.345)) - (IOPATH A1 X (0.186:0.186:0.186) (0.347:0.347:0.347)) - (IOPATH S X (0.292:0.301:0.311) (0.391:0.391:0.392)) - (IOPATH S X (0.216:0.216:0.217) (0.396:0.405:0.414)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5196_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.231:0.231:0.231) (0.359:0.359:0.359)) - (IOPATH A1 X (0.195:0.195:0.195) (0.352:0.352:0.352)) - (IOPATH S X (0.292:0.301:0.311) (0.391:0.391:0.392)) - (IOPATH S X (0.216:0.216:0.217) (0.396:0.405:0.414)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5197_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.239:0.239:0.239) (0.368:0.368:0.368)) - (IOPATH A1 X (0.205:0.205:0.205) (0.360:0.360:0.360)) - (IOPATH S X (0.301:0.311:0.320) (0.398:0.398:0.399)) - (IOPATH S X (0.225:0.225:0.226) (0.403:0.412:0.421)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5198_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.320:0.320:0.320) (0.426:0.426:0.426)) - (IOPATH A1 X (0.319:0.319:0.319) (0.445:0.445:0.445)) - (IOPATH S X (0.382:0.392:0.401) (0.460:0.461:0.461)) - (IOPATH S X (0.305:0.306:0.306) (0.465:0.474:0.483)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5199_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.250:0.250:0.250) (0.414:0.414:0.414)) - (IOPATH A1 X (0.214:0.214:0.214) (0.367:0.367:0.367)) - (IOPATH S X (0.310:0.320:0.330) (0.405:0.406:0.406)) - (IOPATH S X (0.234:0.235:0.235) (0.411:0.419:0.428)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _5200_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.131:0.132:0.132) (0.173:0.173:0.173)) - (IOPATH B Y (0.137:0.137:0.137) (0.132:0.132:0.132)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5201_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.231:0.231:0.231) (0.363:0.363:0.363)) - (IOPATH A1 X (0.185:0.185:0.185) (0.347:0.347:0.347)) - (IOPATH S X (0.260:0.270:0.280) (0.375:0.376:0.376)) - (IOPATH S X (0.190:0.190:0.191) (0.370:0.377:0.385)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5202_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.219:0.219:0.219) (0.351:0.351:0.351)) - (IOPATH A1 X (0.185:0.185:0.185) (0.342:0.342:0.342)) - (IOPATH S X (0.246:0.255:0.265) (0.361:0.362:0.362)) - (IOPATH S X (0.175:0.176:0.177) (0.356:0.364:0.372)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _5203_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.080:0.081:0.083) (0.092:0.093:0.093)) - (IOPATH B Y (0.108:0.108:0.108) (0.104:0.104:0.104)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5204_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.178:0.178:0.178) (0.310:0.310:0.310)) - (IOPATH A1 X (0.120:0.120:0.120) (0.287:0.287:0.287)) - (IOPATH S X (0.198:0.198:0.199) (0.309:0.310:0.311)) - (IOPATH S X (0.125:0.126:0.128) (0.308:0.309:0.309)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _5205_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.306:0.306:0.306) (0.228:0.233:0.238)) - (IOPATH B X (0.304:0.304:0.304) (0.310:0.310:0.310)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5206_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.112:0.112:0.112) (0.271:0.271:0.271)) - (IOPATH A1 X (0.177:0.177:0.177) (0.317:0.317:0.317)) - (IOPATH S X (0.209:0.209:0.210) (0.332:0.332:0.332)) - (IOPATH S X (0.158:0.158:0.158) (0.315:0.315:0.316)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5207_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.120:0.120:0.120) (0.281:0.281:0.281)) - (IOPATH A1 X (0.190:0.190:0.190) (0.329:0.329:0.329)) - (IOPATH S X (0.217:0.218:0.218) (0.343:0.343:0.343)) - (IOPATH S X (0.167:0.167:0.167) (0.326:0.326:0.326)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5208_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.119:0.119:0.119) (0.278:0.278:0.278)) - (IOPATH A1 X (0.186:0.186:0.186) (0.328:0.328:0.328)) - (IOPATH S X (0.213:0.213:0.214) (0.338:0.338:0.338)) - (IOPATH S X (0.163:0.163:0.163) (0.320:0.321:0.321)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5209_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.120:0.120:0.120) (0.279:0.279:0.279)) - (IOPATH A1 X (0.183:0.183:0.183) (0.324:0.324:0.324)) - (IOPATH S X (0.214:0.214:0.214) (0.338:0.338:0.338)) - (IOPATH S X (0.163:0.163:0.163) (0.321:0.321:0.321)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _5210_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.100:0.104:0.109) (0.113:0.113:0.114)) - (IOPATH B Y (0.097:0.097:0.097) (0.089:0.089:0.089)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5211_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.194:0.194:0.194) (0.327:0.327:0.327)) - (IOPATH A1 X (0.179:0.179:0.179) (0.338:0.338:0.338)) - (IOPATH S X (0.228:0.239:0.251) (0.348:0.349:0.350)) - (IOPATH S X (0.161:0.162:0.163) (0.342:0.351:0.360)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _5212_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.149:0.149:0.149) (0.401:0.401:0.401)) - (IOPATH B X (0.152:0.153:0.154) (0.394:0.394:0.394)) - (IOPATH C X (0.145:0.145:0.145) (0.342:0.342:0.342)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_1") - (INSTANCE _5213_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.156:0.156:0.156) (0.225:0.225:0.225)) - (IOPATH A2 X (0.160:0.160:0.160) (0.209:0.210:0.211)) - (IOPATH B1 X (0.199:0.199:0.199) (0.161:0.161:0.161)) - (IOPATH C1 X (0.134:0.134:0.134) (0.119:0.119:0.119)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _5214_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.182:0.186:0.190) (0.220:0.220:0.220)) - (IOPATH B Y (0.239:0.239:0.239) (0.209:0.209:0.209)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5215_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.233:0.233:0.233) (0.361:0.361:0.361)) - (IOPATH A1 X (0.209:0.209:0.209) (0.363:0.363:0.363)) - (IOPATH S X (0.300:0.306:0.311) (0.391:0.392:0.393)) - (IOPATH S X (0.212:0.214:0.216) (0.403:0.408:0.413)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5216_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.210:0.210:0.210) (0.344:0.344:0.344)) - (IOPATH A1 X (0.167:0.167:0.167) (0.329:0.329:0.329)) - (IOPATH S X (0.270:0.276:0.281) (0.364:0.365:0.366)) - (IOPATH S X (0.183:0.184:0.186) (0.376:0.380:0.385)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5217_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.218:0.218:0.218) (0.353:0.353:0.353)) - (IOPATH A1 X (0.166:0.166:0.166) (0.330:0.330:0.330)) - (IOPATH S X (0.274:0.280:0.285) (0.368:0.369:0.370)) - (IOPATH S X (0.186:0.188:0.190) (0.379:0.384:0.389)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5218_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.201:0.201:0.201) (0.369:0.369:0.369)) - (IOPATH A1 X (0.154:0.154:0.154) (0.317:0.317:0.317)) - (IOPATH S X (0.260:0.266:0.271) (0.354:0.355:0.355)) - (IOPATH S X (0.173:0.174:0.176) (0.365:0.370:0.375)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5219_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.183:0.183:0.183) (0.315:0.315:0.315)) - (IOPATH A1 X (0.140:0.140:0.140) (0.304:0.304:0.304)) - (IOPATH S X (0.251:0.256:0.262) (0.344:0.345:0.346)) - (IOPATH S X (0.163:0.165:0.166) (0.356:0.361:0.365)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5220_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.240:0.240:0.240) (0.370:0.370:0.370)) - (IOPATH A1 X (0.208:0.208:0.208) (0.362:0.362:0.362)) - (IOPATH S X (0.297:0.302:0.308) (0.388:0.389:0.390)) - (IOPATH S X (0.209:0.210:0.212) (0.400:0.405:0.410)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _5221_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.096:0.096:0.096) (0.221:0.221:0.221)) - (IOPATH B X (0.159:0.159:0.159) (0.227:0.231:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o311a_1") - (INSTANCE _5222_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.183:0.183:0.183) (0.350:0.350:0.350)) - (IOPATH A2 X (0.200:0.200:0.201) (0.389:0.389:0.389)) - (IOPATH A3 X (0.178:0.178:0.178) (0.311:0.311:0.311)) - (IOPATH B1 X (0.151:0.151:0.151) (0.119:0.119:0.119)) - (IOPATH C1 X (0.235:0.235:0.235) (0.175:0.175:0.175)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _5223_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.080:0.080:0.080) (0.089:0.090:0.090)) - (IOPATH B Y (0.114:0.114:0.114) (0.113:0.113:0.113)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5224_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.188:0.188:0.188) (0.318:0.318:0.318)) - (IOPATH A1 X (0.132:0.132:0.132) (0.301:0.301:0.301)) - (IOPATH S X (0.208:0.210:0.212) (0.323:0.324:0.326)) - (IOPATH S X (0.137:0.138:0.140) (0.321:0.323:0.324)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _5225_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.358:0.358:0.358) (0.230:0.236:0.242)) - (IOPATH B X (0.358:0.358:0.358) (0.309:0.309:0.309)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5226_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.147:0.147:0.147) (0.305:0.305:0.305)) - (IOPATH A1 X (0.179:0.179:0.179) (0.331:0.331:0.331)) - (IOPATH S X (0.239:0.240:0.240) (0.366:0.366:0.366)) - (IOPATH S X (0.191:0.191:0.191) (0.348:0.348:0.349)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5227_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.149:0.149:0.149) (0.307:0.307:0.307)) - (IOPATH A1 X (0.207:0.207:0.207) (0.339:0.339:0.339)) - (IOPATH S X (0.240:0.241:0.241) (0.366:0.366:0.367)) - (IOPATH S X (0.191:0.192:0.192) (0.348:0.349:0.349)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5228_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.136:0.136:0.136) (0.296:0.296:0.296)) - (IOPATH A1 X (0.185:0.185:0.185) (0.325:0.325:0.325)) - (IOPATH S X (0.233:0.233:0.234) (0.359:0.359:0.359)) - (IOPATH S X (0.184:0.184:0.184) (0.341:0.342:0.342)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _5229_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.119:0.125:0.131) (0.145:0.146:0.146)) - (IOPATH B Y (0.130:0.130:0.130) (0.127:0.127:0.127)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5230_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.155:0.155:0.155) (0.300:0.300:0.300)) - (IOPATH A1 X (0.124:0.124:0.124) (0.290:0.290:0.290)) - (IOPATH S X (0.208:0.213:0.219) (0.322:0.322:0.323)) - (IOPATH S X (0.139:0.140:0.140) (0.317:0.321:0.325)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5231_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.176:0.176:0.176) (0.307:0.307:0.307)) - (IOPATH A1 X (0.131:0.131:0.131) (0.299:0.299:0.299)) - (IOPATH S X (0.217:0.222:0.227) (0.333:0.333:0.334)) - (IOPATH S X (0.148:0.148:0.149) (0.328:0.332:0.336)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE _5232_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.177:0.179:0.181) (0.219:0.219:0.219)) - (IOPATH B Y (0.203:0.203:0.203) (0.187:0.187:0.187)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5233_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.228:0.228:0.228) (0.357:0.357:0.357)) - (IOPATH A1 X (0.207:0.207:0.207) (0.362:0.362:0.362)) - (IOPATH S X (0.298:0.309:0.319) (0.392:0.392:0.393)) - (IOPATH S X (0.213:0.214:0.215) (0.401:0.410:0.419)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5234_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.261:0.261:0.261) (0.379:0.379:0.379)) - (IOPATH A1 X (0.237:0.237:0.237) (0.384:0.384:0.384)) - (IOPATH S X (0.320:0.330:0.340) (0.408:0.408:0.409)) - (IOPATH S X (0.234:0.235:0.236) (0.417:0.426:0.435)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5235_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.206:0.206:0.206) (0.349:0.349:0.349)) - (IOPATH A1 X (0.203:0.203:0.203) (0.359:0.359:0.359)) - (IOPATH S X (0.288:0.298:0.309) (0.384:0.385:0.385)) - (IOPATH S X (0.203:0.204:0.205) (0.393:0.402:0.411)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5236_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.229:0.229:0.229) (0.355:0.355:0.355)) - (IOPATH A1 X (0.211:0.211:0.211) (0.365:0.365:0.365)) - (IOPATH S X (0.300:0.311:0.321) (0.393:0.394:0.394)) - (IOPATH S X (0.215:0.216:0.216) (0.402:0.411:0.420)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5237_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.243:0.243:0.243) (0.364:0.364:0.364)) - (IOPATH A1 X (0.213:0.213:0.213) (0.367:0.367:0.367)) - (IOPATH S X (0.305:0.315:0.325) (0.396:0.397:0.397)) - (IOPATH S X (0.219:0.220:0.221) (0.405:0.414:0.423)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5238_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.235:0.235:0.235) (0.358:0.358:0.358)) - (IOPATH A1 X (0.201:0.201:0.201) (0.357:0.357:0.357)) - (IOPATH S X (0.294:0.304:0.314) (0.388:0.389:0.389)) - (IOPATH S X (0.208:0.209:0.210) (0.397:0.406:0.415)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5239_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.234:0.234:0.234) (0.348:0.348:0.348)) - (IOPATH A1 X (0.185:0.185:0.185) (0.348:0.348:0.348)) - (IOPATH S X (0.289:0.299:0.310) (0.385:0.385:0.386)) - (IOPATH S X (0.203:0.204:0.205) (0.394:0.403:0.412)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5240_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.200:0.200:0.200) (0.328:0.328:0.328)) - (IOPATH A1 X (0.166:0.166:0.166) (0.328:0.328:0.328)) - (IOPATH S X (0.265:0.275:0.285) (0.361:0.362:0.362)) - (IOPATH S X (0.180:0.180:0.181) (0.371:0.380:0.389)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_4") - (INSTANCE _5241_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.153:0.153:0.153) (0.571:0.571:0.571)) - (IOPATH B X (0.223:0.224:0.225) (0.617:0.624:0.631)) - (IOPATH C X (0.189:0.189:0.189) (0.546:0.546:0.546)) - (IOPATH D X (0.239:0.239:0.239) (0.518:0.518:0.518)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5242_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.215:0.215:0.215) (0.348:0.348:0.348)) - (IOPATH A1 X (0.190:0.190:0.190) (0.349:0.349:0.349)) - (IOPATH S X (0.372:0.372:0.372) (0.428:0.428:0.428)) - (IOPATH S X (0.294:0.294:0.294) (0.480:0.480:0.480)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5243_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.234:0.234:0.234) (0.357:0.357:0.357)) - (IOPATH A1 X (0.201:0.201:0.201) (0.357:0.357:0.357)) - (IOPATH S X (0.376:0.376:0.376) (0.431:0.431:0.431)) - (IOPATH S X (0.298:0.298:0.298) (0.483:0.483:0.483)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5244_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.218:0.218:0.218) (0.349:0.349:0.349)) - (IOPATH A1 X (0.167:0.167:0.167) (0.329:0.329:0.329)) - (IOPATH S X (0.355:0.355:0.355) (0.411:0.411:0.411)) - (IOPATH S X (0.276:0.276:0.276) (0.463:0.463:0.463)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5245_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.231:0.231:0.231) (0.363:0.363:0.363)) - (IOPATH A1 X (0.189:0.189:0.189) (0.348:0.348:0.348)) - (IOPATH S X (0.369:0.369:0.369) (0.425:0.425:0.425)) - (IOPATH S X (0.291:0.291:0.291) (0.477:0.477:0.477)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5246_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.187:0.187:0.187) (0.315:0.315:0.315)) - (IOPATH A1 X (0.153:0.153:0.153) (0.313:0.313:0.313)) - (IOPATH S X (0.337:0.337:0.337) (0.393:0.393:0.393)) - (IOPATH S X (0.256:0.256:0.256) (0.445:0.445:0.445)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5247_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.199:0.199:0.199) (0.325:0.325:0.325)) - (IOPATH A1 X (0.162:0.162:0.162) (0.321:0.321:0.321)) - (IOPATH S X (0.346:0.346:0.346) (0.402:0.402:0.402)) - (IOPATH S X (0.265:0.265:0.265) (0.453:0.453:0.453)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5248_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.197:0.197:0.197) (0.320:0.320:0.320)) - (IOPATH A1 X (0.153:0.153:0.153) (0.314:0.314:0.314)) - (IOPATH S X (0.340:0.340:0.340) (0.396:0.396:0.396)) - (IOPATH S X (0.260:0.260:0.260) (0.448:0.448:0.448)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5249_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.224:0.224:0.224) (0.351:0.351:0.351)) - (IOPATH A1 X (0.184:0.184:0.184) (0.343:0.343:0.343)) - (IOPATH S X (0.365:0.365:0.365) (0.421:0.421:0.421)) - (IOPATH S X (0.286:0.286:0.286) (0.473:0.473:0.473)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _5250_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.433:0.433:0.433) (0.260:0.270:0.279)) - (IOPATH B X (0.391:0.391:0.391) (0.325:0.325:0.325)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5251_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.127:0.127:0.127) (0.287:0.287:0.287)) - (IOPATH A1 X (0.190:0.190:0.190) (0.329:0.329:0.329)) - (IOPATH S X (0.230:0.231:0.231) (0.357:0.357:0.357)) - (IOPATH S X (0.186:0.186:0.186) (0.337:0.338:0.338)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5252_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.112:0.112:0.112) (0.272:0.272:0.272)) - (IOPATH A1 X (0.180:0.180:0.180) (0.314:0.314:0.314)) - (IOPATH S X (0.219:0.220:0.220) (0.343:0.343:0.343)) - (IOPATH S X (0.174:0.175:0.175) (0.323:0.324:0.324)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5253_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.117:0.117:0.117) (0.277:0.277:0.277)) - (IOPATH A1 X (0.187:0.187:0.187) (0.325:0.325:0.325)) - (IOPATH S X (0.224:0.224:0.225) (0.349:0.349:0.349)) - (IOPATH S X (0.179:0.180:0.180) (0.329:0.330:0.330)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5254_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.114:0.114:0.114) (0.273:0.273:0.273)) - (IOPATH A1 X (0.178:0.178:0.178) (0.315:0.315:0.315)) - (IOPATH S X (0.219:0.219:0.220) (0.343:0.343:0.343)) - (IOPATH S X (0.175:0.175:0.175) (0.323:0.324:0.324)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5255_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.126:0.126:0.126) (0.287:0.287:0.287)) - (IOPATH A1 X (0.184:0.184:0.184) (0.322:0.322:0.322)) - (IOPATH S X (0.231:0.232:0.232) (0.358:0.358:0.358)) - (IOPATH S X (0.187:0.187:0.188) (0.338:0.339:0.339)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5256_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.122:0.122:0.122) (0.281:0.281:0.281)) - (IOPATH A1 X (0.184:0.184:0.184) (0.318:0.318:0.318)) - (IOPATH S X (0.226:0.226:0.227) (0.351:0.351:0.351)) - (IOPATH S X (0.181:0.181:0.182) (0.331:0.332:0.332)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5257_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.129:0.129:0.129) (0.289:0.289:0.289)) - (IOPATH A1 X (0.185:0.185:0.185) (0.320:0.320:0.320)) - (IOPATH S X (0.232:0.232:0.233) (0.359:0.359:0.359)) - (IOPATH S X (0.188:0.188:0.188) (0.339:0.339:0.340)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5258_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.113:0.113:0.113) (0.271:0.271:0.271)) - (IOPATH A1 X (0.177:0.177:0.177) (0.310:0.310:0.310)) - (IOPATH S X (0.218:0.218:0.219) (0.342:0.342:0.342)) - (IOPATH S X (0.173:0.174:0.174) (0.322:0.322:0.323)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _5259_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.238:0.242:0.246) (0.297:0.297:0.298)) - (IOPATH B Y (0.257:0.257:0.257) (0.227:0.227:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5260_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.175:0.175:0.175) (0.302:0.302:0.302)) - (IOPATH A1 X (0.120:0.120:0.120) (0.287:0.287:0.287)) - (IOPATH S X (0.260:0.273:0.286) (0.342:0.342:0.343)) - (IOPATH S X (0.170:0.171:0.172) (0.360:0.372:0.384)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5261_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.175:0.175:0.175) (0.306:0.306:0.306)) - (IOPATH A1 X (0.129:0.129:0.129) (0.295:0.295:0.295)) - (IOPATH S X (0.264:0.277:0.291) (0.347:0.348:0.348)) - (IOPATH S X (0.174:0.175:0.176) (0.365:0.377:0.389)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5262_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.157:0.157:0.157) (0.301:0.301:0.301)) - (IOPATH A1 X (0.124:0.124:0.124) (0.291:0.291:0.291)) - (IOPATH S X (0.263:0.276:0.289) (0.346:0.346:0.347)) - (IOPATH S X (0.173:0.174:0.175) (0.364:0.376:0.388)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5263_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.169:0.169:0.169) (0.300:0.300:0.300)) - (IOPATH A1 X (0.127:0.127:0.127) (0.294:0.294:0.294)) - (IOPATH S X (0.264:0.277:0.291) (0.347:0.348:0.349)) - (IOPATH S X (0.174:0.175:0.177) (0.366:0.378:0.389)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5264_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.170:0.170:0.170) (0.300:0.300:0.300)) - (IOPATH A1 X (0.120:0.120:0.120) (0.287:0.287:0.287)) - (IOPATH S X (0.260:0.273:0.287) (0.342:0.343:0.343)) - (IOPATH S X (0.170:0.171:0.172) (0.361:0.373:0.384)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5265_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.187:0.187:0.187) (0.316:0.316:0.316)) - (IOPATH A1 X (0.132:0.132:0.132) (0.300:0.300:0.300)) - (IOPATH S X (0.270:0.283:0.297) (0.354:0.355:0.356)) - (IOPATH S X (0.180:0.181:0.183) (0.373:0.385:0.397)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5266_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.153:0.153:0.153) (0.299:0.299:0.299)) - (IOPATH A1 X (0.124:0.124:0.124) (0.288:0.288:0.288)) - (IOPATH S X (0.258:0.271:0.284) (0.339:0.340:0.341)) - (IOPATH S X (0.168:0.169:0.170) (0.358:0.370:0.382)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5267_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.176:0.176:0.176) (0.305:0.305:0.305)) - (IOPATH A1 X (0.128:0.128:0.128) (0.294:0.294:0.294)) - (IOPATH S X (0.264:0.277:0.290) (0.347:0.347:0.348)) - (IOPATH S X (0.174:0.175:0.176) (0.365:0.377:0.389)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _5268_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.232:0.242:0.251) (0.291:0.291:0.291)) - (IOPATH B Y (0.247:0.247:0.247) (0.207:0.207:0.207)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5269_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.184:0.184:0.184) (0.314:0.314:0.314)) - (IOPATH A1 X (0.127:0.127:0.127) (0.294:0.294:0.294)) - (IOPATH S X (0.261:0.275:0.289) (0.349:0.350:0.351)) - (IOPATH S X (0.177:0.178:0.179) (0.363:0.375:0.388)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5270_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.179:0.179:0.179) (0.306:0.306:0.306)) - (IOPATH A1 X (0.121:0.121:0.121) (0.287:0.287:0.287)) - (IOPATH S X (0.254:0.268:0.282) (0.341:0.342:0.343)) - (IOPATH S X (0.171:0.172:0.173) (0.355:0.367:0.380)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5271_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.170:0.170:0.170) (0.315:0.315:0.315)) - (IOPATH A1 X (0.151:0.151:0.151) (0.314:0.314:0.314)) - (IOPATH S X (0.272:0.286:0.300) (0.361:0.362:0.362)) - (IOPATH S X (0.188:0.189:0.190) (0.375:0.387:0.400)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5272_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.183:0.183:0.183) (0.315:0.315:0.315)) - (IOPATH A1 X (0.126:0.126:0.126) (0.293:0.293:0.293)) - (IOPATH S X (0.260:0.274:0.288) (0.349:0.349:0.350)) - (IOPATH S X (0.177:0.178:0.179) (0.362:0.375:0.387)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5273_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.169:0.169:0.169) (0.299:0.299:0.299)) - (IOPATH A1 X (0.119:0.119:0.119) (0.286:0.286:0.286)) - (IOPATH S X (0.256:0.270:0.284) (0.343:0.343:0.344)) - (IOPATH S X (0.172:0.173:0.174) (0.357:0.369:0.381)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5274_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.174:0.174:0.174) (0.301:0.301:0.301)) - (IOPATH A1 X (0.118:0.118:0.118) (0.284:0.284:0.284)) - (IOPATH S X (0.253:0.267:0.281) (0.340:0.340:0.341)) - (IOPATH S X (0.169:0.170:0.171) (0.353:0.366:0.378)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5275_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.170:0.170:0.170) (0.297:0.297:0.297)) - (IOPATH A1 X (0.121:0.121:0.121) (0.287:0.287:0.287)) - (IOPATH S X (0.254:0.268:0.282) (0.341:0.342:0.343)) - (IOPATH S X (0.171:0.172:0.173) (0.355:0.367:0.380)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5276_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.185:0.185:0.185) (0.312:0.312:0.312)) - (IOPATH A1 X (0.126:0.126:0.126) (0.294:0.294:0.294)) - (IOPATH S X (0.262:0.276:0.290) (0.351:0.351:0.352)) - (IOPATH S X (0.178:0.179:0.180) (0.364:0.377:0.389)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _5277_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.222:0.226:0.229) (0.281:0.281:0.282)) - (IOPATH B Y (0.246:0.246:0.246) (0.214:0.214:0.214)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5278_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.176:0.176:0.176) (0.304:0.304:0.304)) - (IOPATH A1 X (0.120:0.120:0.120) (0.286:0.286:0.286)) - (IOPATH S X (0.254:0.268:0.281) (0.339:0.339:0.340)) - (IOPATH S X (0.165:0.166:0.168) (0.355:0.367:0.379)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5279_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.177:0.177:0.177) (0.307:0.307:0.307)) - (IOPATH A1 X (0.120:0.120:0.120) (0.287:0.287:0.287)) - (IOPATH S X (0.255:0.269:0.282) (0.340:0.341:0.341)) - (IOPATH S X (0.166:0.167:0.169) (0.356:0.368:0.380)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5280_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.186:0.186:0.186) (0.317:0.317:0.317)) - (IOPATH A1 X (0.125:0.125:0.125) (0.290:0.290:0.290)) - (IOPATH S X (0.256:0.269:0.283) (0.340:0.341:0.342)) - (IOPATH S X (0.167:0.168:0.169) (0.357:0.369:0.381)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5281_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.190:0.190:0.190) (0.338:0.338:0.338)) - (IOPATH A1 X (0.160:0.160:0.160) (0.326:0.326:0.326)) - (IOPATH S X (0.285:0.299:0.312) (0.373:0.374:0.374)) - (IOPATH S X (0.197:0.198:0.199) (0.389:0.401:0.413)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5282_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.172:0.172:0.172) (0.302:0.302:0.302)) - (IOPATH A1 X (0.119:0.119:0.119) (0.288:0.288:0.288)) - (IOPATH S X (0.257:0.270:0.284) (0.342:0.343:0.343)) - (IOPATH S X (0.168:0.169:0.170) (0.358:0.370:0.382)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5283_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.185:0.185:0.185) (0.313:0.313:0.313)) - (IOPATH A1 X (0.126:0.126:0.126) (0.296:0.296:0.296)) - (IOPATH S X (0.262:0.276:0.290) (0.350:0.350:0.351)) - (IOPATH S X (0.174:0.175:0.176) (0.366:0.378:0.390)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5284_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.178:0.178:0.178) (0.318:0.318:0.318)) - (IOPATH A1 X (0.117:0.117:0.117) (0.283:0.283:0.283)) - (IOPATH S X (0.250:0.264:0.277) (0.334:0.335:0.335)) - (IOPATH S X (0.161:0.162:0.164) (0.350:0.362:0.374)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5285_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.188:0.188:0.188) (0.317:0.317:0.317)) - (IOPATH A1 X (0.134:0.134:0.134) (0.303:0.303:0.303)) - (IOPATH S X (0.269:0.283:0.296) (0.357:0.357:0.358)) - (IOPATH S X (0.181:0.182:0.183) (0.373:0.385:0.397)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE _5286_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.106:0.106:0.106) (0.065:0.065:0.065)) - (IOPATH B Y (0.059:0.059:0.059) (0.048:0.048:0.048)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5287_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.245:0.245:0.245) (0.470:0.470:0.470)) - (IOPATH A1 X (0.127:0.127:0.127) (0.295:0.295:0.295)) - (IOPATH S X (0.296:0.296:0.296) (0.376:0.376:0.376)) - (IOPATH S X (0.226:0.226:0.226) (0.394:0.394:0.394)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5288_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.184:0.184:0.184) (0.310:0.310:0.310)) - (IOPATH A1 X (0.125:0.125:0.125) (0.293:0.293:0.293)) - (IOPATH S X (0.295:0.295:0.295) (0.375:0.375:0.375)) - (IOPATH S X (0.225:0.225:0.225) (0.393:0.393:0.393)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5289_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.151:0.151:0.151) (0.294:0.294:0.294)) - (IOPATH A1 X (0.119:0.119:0.119) (0.285:0.285:0.285)) - (IOPATH S X (0.288:0.288:0.288) (0.367:0.367:0.367)) - (IOPATH S X (0.218:0.218:0.218) (0.385:0.385:0.385)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5290_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.171:0.171:0.171) (0.302:0.302:0.302)) - (IOPATH A1 X (0.131:0.131:0.131) (0.298:0.298:0.298)) - (IOPATH S X (0.297:0.297:0.297) (0.378:0.378:0.378)) - (IOPATH S X (0.228:0.228:0.228) (0.395:0.395:0.395)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5291_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.174:0.174:0.174) (0.300:0.300:0.300)) - (IOPATH A1 X (0.122:0.122:0.122) (0.289:0.289:0.289)) - (IOPATH S X (0.291:0.291:0.292) (0.371:0.371:0.371)) - (IOPATH S X (0.222:0.222:0.222) (0.389:0.389:0.389)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5292_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.190:0.190:0.190) (0.318:0.318:0.318)) - (IOPATH A1 X (0.137:0.137:0.137) (0.304:0.304:0.304)) - (IOPATH S X (0.304:0.304:0.304) (0.385:0.385:0.385)) - (IOPATH S X (0.235:0.235:0.235) (0.403:0.403:0.403)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5293_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.195:0.195:0.195) (0.316:0.316:0.316)) - (IOPATH A1 X (0.142:0.142:0.142) (0.308:0.308:0.308)) - (IOPATH S X (0.305:0.305:0.305) (0.386:0.386:0.386)) - (IOPATH S X (0.236:0.236:0.236) (0.404:0.404:0.404)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5294_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.172:0.172:0.172) (0.299:0.299:0.299)) - (IOPATH A1 X (0.124:0.124:0.124) (0.290:0.290:0.290)) - (IOPATH S X (0.291:0.291:0.291) (0.371:0.371:0.371)) - (IOPATH S X (0.221:0.221:0.221) (0.389:0.389:0.389)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _5295_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.233:0.233:0.233) (0.221:0.221:0.221)) - (IOPATH B Y (0.268:0.268:0.268) (0.235:0.235:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5296_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.169:0.169:0.169) (0.301:0.301:0.301)) - (IOPATH A1 X (0.123:0.123:0.123) (0.291:0.291:0.291)) - (IOPATH S X (0.265:0.267:0.269) (0.348:0.349:0.350)) - (IOPATH S X (0.177:0.178:0.180) (0.366:0.368:0.369)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5297_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.188:0.188:0.188) (0.316:0.316:0.316)) - (IOPATH A1 X (0.127:0.127:0.127) (0.295:0.295:0.295)) - (IOPATH S X (0.269:0.271:0.273) (0.352:0.353:0.354)) - (IOPATH S X (0.181:0.182:0.183) (0.371:0.372:0.374)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5298_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.169:0.169:0.169) (0.315:0.315:0.315)) - (IOPATH A1 X (0.135:0.135:0.135) (0.304:0.304:0.304)) - (IOPATH S X (0.277:0.279:0.281) (0.362:0.363:0.363)) - (IOPATH S X (0.189:0.190:0.192) (0.379:0.381:0.383)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5299_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.161:0.161:0.161) (0.290:0.290:0.290)) - (IOPATH A1 X (0.116:0.116:0.116) (0.282:0.282:0.282)) - (IOPATH S X (0.258:0.260:0.261) (0.340:0.340:0.341)) - (IOPATH S X (0.170:0.171:0.172) (0.357:0.359:0.361)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5300_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.172:0.172:0.172) (0.302:0.302:0.302)) - (IOPATH A1 X (0.123:0.123:0.123) (0.290:0.290:0.290)) - (IOPATH S X (0.264:0.266:0.268) (0.347:0.348:0.349)) - (IOPATH S X (0.176:0.177:0.179) (0.365:0.367:0.368)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5301_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.203:0.203:0.203) (0.331:0.331:0.331)) - (IOPATH A1 X (0.149:0.149:0.149) (0.316:0.316:0.316)) - (IOPATH S X (0.286:0.288:0.290) (0.371:0.372:0.373)) - (IOPATH S X (0.198:0.199:0.201) (0.388:0.390:0.392)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5302_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.161:0.161:0.161) (0.309:0.309:0.309)) - (IOPATH A1 X (0.126:0.126:0.126) (0.295:0.295:0.295)) - (IOPATH S X (0.268:0.270:0.272) (0.352:0.353:0.353)) - (IOPATH S X (0.180:0.181:0.183) (0.370:0.372:0.373)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5303_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.180:0.180:0.180) (0.309:0.309:0.309)) - (IOPATH A1 X (0.126:0.126:0.126) (0.295:0.295:0.295)) - (IOPATH S X (0.269:0.271:0.273) (0.354:0.354:0.355)) - (IOPATH S X (0.181:0.183:0.184) (0.371:0.373:0.375)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _5304_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.252:0.252:0.252) (0.241:0.241:0.241)) - (IOPATH B Y (0.264:0.264:0.264) (0.227:0.227:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5305_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.163:0.163:0.163) (0.295:0.295:0.295)) - (IOPATH A1 X (0.119:0.119:0.119) (0.285:0.285:0.285)) - (IOPATH S X (0.265:0.267:0.269) (0.345:0.346:0.346)) - (IOPATH S X (0.177:0.178:0.179) (0.365:0.366:0.368)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5306_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.184:0.184:0.184) (0.312:0.312:0.312)) - (IOPATH A1 X (0.124:0.124:0.124) (0.291:0.291:0.291)) - (IOPATH S X (0.272:0.274:0.275) (0.351:0.352:0.352)) - (IOPATH S X (0.183:0.183:0.184) (0.372:0.374:0.375)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5307_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.227:0.227:0.227) (0.357:0.357:0.357)) - (IOPATH A1 X (0.172:0.172:0.172) (0.336:0.336:0.336)) - (IOPATH S X (0.306:0.308:0.309) (0.389:0.389:0.390)) - (IOPATH S X (0.218:0.219:0.220) (0.408:0.410:0.411)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5308_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.210:0.210:0.210) (0.342:0.342:0.342)) - (IOPATH A1 X (0.148:0.148:0.148) (0.316:0.316:0.316)) - (IOPATH S X (0.292:0.293:0.295) (0.374:0.374:0.375)) - (IOPATH S X (0.203:0.204:0.205) (0.394:0.395:0.397)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5309_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.180:0.180:0.180) (0.311:0.311:0.311)) - (IOPATH A1 X (0.130:0.130:0.130) (0.299:0.299:0.299)) - (IOPATH S X (0.277:0.279:0.281) (0.360:0.360:0.361)) - (IOPATH S X (0.189:0.190:0.191) (0.379:0.381:0.382)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5310_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.193:0.193:0.193) (0.321:0.321:0.321)) - (IOPATH A1 X (0.145:0.145:0.145) (0.310:0.310:0.310)) - (IOPATH S X (0.282:0.284:0.285) (0.364:0.365:0.365)) - (IOPATH S X (0.194:0.195:0.195) (0.384:0.385:0.387)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5311_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.150:0.150:0.150) (0.297:0.297:0.297)) - (IOPATH A1 X (0.116:0.116:0.116) (0.282:0.282:0.282)) - (IOPATH S X (0.265:0.267:0.269) (0.343:0.343:0.344)) - (IOPATH S X (0.176:0.177:0.177) (0.364:0.365:0.367)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5312_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.178:0.178:0.178) (0.307:0.307:0.307)) - (IOPATH A1 X (0.127:0.127:0.127) (0.295:0.295:0.295)) - (IOPATH S X (0.274:0.276:0.277) (0.355:0.355:0.356)) - (IOPATH S X (0.185:0.186:0.187) (0.375:0.377:0.378)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE _5313_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.108:0.108:0.108) (0.084:0.084:0.084)) - (IOPATH B Y (0.071:0.071:0.071) (0.057:0.057:0.057)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5314_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.163:0.163:0.163) (0.295:0.295:0.295)) - (IOPATH A1 X (0.120:0.120:0.120) (0.286:0.286:0.286)) - (IOPATH S X (0.304:0.304:0.304) (0.375:0.375:0.375)) - (IOPATH S X (0.232:0.232:0.232) (0.404:0.404:0.404)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5315_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.190:0.190:0.190) (0.316:0.316:0.316)) - (IOPATH A1 X (0.135:0.135:0.135) (0.301:0.301:0.301)) - (IOPATH S X (0.314:0.314:0.314) (0.388:0.388:0.388)) - (IOPATH S X (0.245:0.245:0.245) (0.417:0.417:0.417)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5316_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.166:0.166:0.166) (0.311:0.311:0.311)) - (IOPATH A1 X (0.137:0.137:0.137) (0.304:0.304:0.304)) - (IOPATH S X (0.317:0.317:0.317) (0.391:0.391:0.391)) - (IOPATH S X (0.248:0.248:0.248) (0.420:0.420:0.420)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5317_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.156:0.156:0.156) (0.303:0.303:0.303)) - (IOPATH A1 X (0.121:0.121:0.121) (0.287:0.287:0.287)) - (IOPATH S X (0.304:0.304:0.304) (0.376:0.376:0.376)) - (IOPATH S X (0.233:0.233:0.234) (0.405:0.405:0.405)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5318_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.173:0.173:0.173) (0.304:0.304:0.304)) - (IOPATH A1 X (0.123:0.123:0.123) (0.291:0.291:0.291)) - (IOPATH S X (0.309:0.309:0.309) (0.381:0.381:0.381)) - (IOPATH S X (0.239:0.239:0.239) (0.410:0.410:0.410)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5319_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.171:0.171:0.171) (0.298:0.298:0.298)) - (IOPATH A1 X (0.116:0.116:0.116) (0.282:0.282:0.282)) - (IOPATH S X (0.302:0.302:0.302) (0.372:0.372:0.372)) - (IOPATH S X (0.230:0.230:0.230) (0.401:0.401:0.401)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5320_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.205:0.205:0.205) (0.341:0.341:0.341)) - (IOPATH A1 X (0.147:0.147:0.147) (0.314:0.314:0.314)) - (IOPATH S X (0.327:0.327:0.327) (0.400:0.400:0.400)) - (IOPATH S X (0.258:0.258:0.258) (0.430:0.430:0.430)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5321_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.190:0.190:0.190) (0.318:0.318:0.318)) - (IOPATH A1 X (0.137:0.137:0.137) (0.306:0.306:0.306)) - (IOPATH S X (0.322:0.322:0.322) (0.396:0.396:0.396)) - (IOPATH S X (0.253:0.253:0.253) (0.425:0.425:0.425)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _5322_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.382:0.382:0.382) (0.248:0.249:0.250)) - (IOPATH B X (0.346:0.346:0.346) (0.280:0.280:0.280)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5323_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.130:0.130:0.130) (0.288:0.288:0.288)) - (IOPATH A1 X (0.186:0.186:0.186) (0.319:0.319:0.319)) - (IOPATH S X (0.218:0.218:0.219) (0.347:0.347:0.347)) - (IOPATH S X (0.171:0.171:0.171) (0.327:0.327:0.328)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5324_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.114:0.114:0.114) (0.275:0.275:0.275)) - (IOPATH A1 X (0.179:0.179:0.179) (0.312:0.312:0.312)) - (IOPATH S X (0.211:0.211:0.212) (0.338:0.338:0.338)) - (IOPATH S X (0.164:0.164:0.164) (0.318:0.319:0.319)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5325_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.123:0.123:0.123) (0.284:0.284:0.284)) - (IOPATH A1 X (0.184:0.184:0.184) (0.319:0.319:0.319)) - (IOPATH S X (0.217:0.218:0.218) (0.346:0.346:0.346)) - (IOPATH S X (0.170:0.171:0.171) (0.326:0.327:0.327)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5326_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.172:0.172:0.172) (0.328:0.328:0.328)) - (IOPATH A1 X (0.210:0.210:0.210) (0.348:0.348:0.348)) - (IOPATH S X (0.252:0.252:0.253) (0.382:0.383:0.383)) - (IOPATH S X (0.205:0.205:0.205) (0.362:0.363:0.363)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5327_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.117:0.117:0.117) (0.277:0.277:0.277)) - (IOPATH A1 X (0.186:0.186:0.186) (0.318:0.318:0.318)) - (IOPATH S X (0.213:0.213:0.214) (0.341:0.341:0.341)) - (IOPATH S X (0.166:0.166:0.166) (0.321:0.321:0.322)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5328_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.150:0.150:0.150) (0.306:0.306:0.306)) - (IOPATH A1 X (0.201:0.201:0.201) (0.335:0.335:0.335)) - (IOPATH S X (0.231:0.232:0.232) (0.361:0.361:0.361)) - (IOPATH S X (0.184:0.185:0.185) (0.341:0.342:0.342)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5329_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.164:0.164:0.164) (0.320:0.320:0.320)) - (IOPATH A1 X (0.191:0.191:0.191) (0.345:0.345:0.345)) - (IOPATH S X (0.245:0.245:0.246) (0.375:0.375:0.375)) - (IOPATH S X (0.198:0.198:0.198) (0.355:0.355:0.356)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5330_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.147:0.147:0.147) (0.304:0.304:0.304)) - (IOPATH A1 X (0.195:0.195:0.195) (0.330:0.330:0.330)) - (IOPATH S X (0.230:0.231:0.231) (0.360:0.360:0.360)) - (IOPATH S X (0.183:0.183:0.184) (0.340:0.340:0.341)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _5331_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.215:0.215:0.215) (0.205:0.205:0.205)) - (IOPATH B Y (0.254:0.254:0.254) (0.221:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5332_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.182:0.182:0.182) (0.308:0.308:0.308)) - (IOPATH A1 X (0.124:0.124:0.124) (0.293:0.293:0.293)) - (IOPATH S X (0.259:0.261:0.263) (0.348:0.349:0.350)) - (IOPATH S X (0.174:0.175:0.176) (0.362:0.364:0.366)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5333_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.170:0.170:0.170) (0.300:0.300:0.300)) - (IOPATH A1 X (0.123:0.123:0.123) (0.288:0.288:0.288)) - (IOPATH S X (0.252:0.254:0.256) (0.339:0.340:0.341)) - (IOPATH S X (0.166:0.168:0.169) (0.353:0.355:0.357)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5334_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.187:0.187:0.187) (0.317:0.317:0.317)) - (IOPATH A1 X (0.123:0.123:0.123) (0.290:0.290:0.290)) - (IOPATH S X (0.254:0.256:0.258) (0.342:0.343:0.343)) - (IOPATH S X (0.169:0.170:0.171) (0.356:0.357:0.359)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5335_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.182:0.182:0.182) (0.330:0.330:0.330)) - (IOPATH A1 X (0.157:0.157:0.157) (0.321:0.321:0.321)) - (IOPATH S X (0.276:0.278:0.281) (0.367:0.368:0.368)) - (IOPATH S X (0.191:0.193:0.194) (0.380:0.382:0.384)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5336_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.174:0.174:0.174) (0.304:0.304:0.304)) - (IOPATH A1 X (0.129:0.129:0.129) (0.295:0.295:0.295)) - (IOPATH S X (0.257:0.259:0.261) (0.346:0.347:0.347)) - (IOPATH S X (0.172:0.173:0.174) (0.360:0.361:0.363)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5337_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.185:0.185:0.185) (0.313:0.313:0.313)) - (IOPATH A1 X (0.139:0.139:0.139) (0.304:0.304:0.304)) - (IOPATH S X (0.261:0.263:0.265) (0.351:0.352:0.352)) - (IOPATH S X (0.176:0.177:0.178) (0.364:0.366:0.368)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5338_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.151:0.151:0.151) (0.298:0.298:0.298)) - (IOPATH A1 X (0.119:0.119:0.119) (0.284:0.284:0.284)) - (IOPATH S X (0.249:0.251:0.253) (0.336:0.337:0.338)) - (IOPATH S X (0.164:0.165:0.167) (0.350:0.352:0.354)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5339_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.171:0.171:0.171) (0.299:0.299:0.299)) - (IOPATH A1 X (0.119:0.119:0.119) (0.286:0.286:0.286)) - (IOPATH S X (0.252:0.254:0.256) (0.340:0.340:0.341)) - (IOPATH S X (0.167:0.168:0.169) (0.353:0.355:0.357)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _5340_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.236:0.245:0.254) (0.289:0.289:0.289)) - (IOPATH B Y (0.286:0.286:0.286) (0.240:0.240:0.240)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5341_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.182:0.182:0.182) (0.312:0.312:0.312)) - (IOPATH A1 X (0.124:0.124:0.124) (0.292:0.292:0.292)) - (IOPATH S X (0.273:0.282:0.291) (0.350:0.351:0.352)) - (IOPATH S X (0.180:0.181:0.182) (0.373:0.381:0.389)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5342_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.178:0.178:0.178) (0.309:0.309:0.309)) - (IOPATH A1 X (0.131:0.131:0.131) (0.297:0.297:0.297)) - (IOPATH S X (0.276:0.285:0.294) (0.353:0.354:0.355)) - (IOPATH S X (0.183:0.184:0.185) (0.377:0.385:0.393)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5343_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.161:0.161:0.161) (0.306:0.306:0.306)) - (IOPATH A1 X (0.128:0.128:0.128) (0.296:0.296:0.296)) - (IOPATH S X (0.278:0.286:0.295) (0.355:0.355:0.356)) - (IOPATH S X (0.184:0.185:0.186) (0.379:0.386:0.394)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5344_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.237:0.237:0.237) (0.368:0.368:0.368)) - (IOPATH A1 X (0.184:0.184:0.184) (0.348:0.348:0.348)) - (IOPATH S X (0.319:0.328:0.337) (0.398:0.398:0.399)) - (IOPATH S X (0.226:0.227:0.229) (0.420:0.428:0.436)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5345_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.177:0.177:0.177) (0.302:0.302:0.302)) - (IOPATH A1 X (0.116:0.116:0.116) (0.282:0.282:0.282)) - (IOPATH S X (0.267:0.276:0.285) (0.342:0.343:0.343)) - (IOPATH S X (0.173:0.174:0.176) (0.366:0.374:0.382)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5346_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.179:0.179:0.179) (0.307:0.307:0.307)) - (IOPATH A1 X (0.124:0.124:0.124) (0.290:0.290:0.290)) - (IOPATH S X (0.271:0.280:0.289) (0.348:0.349:0.349)) - (IOPATH S X (0.178:0.179:0.180) (0.371:0.379:0.387)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5347_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.151:0.151:0.151) (0.297:0.297:0.297)) - (IOPATH A1 X (0.118:0.118:0.118) (0.284:0.284:0.284)) - (IOPATH S X (0.265:0.274:0.283) (0.341:0.342:0.343)) - (IOPATH S X (0.172:0.173:0.175) (0.364:0.372:0.380)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5348_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.177:0.177:0.177) (0.306:0.306:0.306)) - (IOPATH A1 X (0.127:0.127:0.127) (0.294:0.294:0.294)) - (IOPATH S X (0.273:0.282:0.291) (0.351:0.352:0.353)) - (IOPATH S X (0.180:0.182:0.183) (0.374:0.382:0.390)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _5349_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.228:0.235:0.243) (0.285:0.285:0.285)) - (IOPATH B Y (0.235:0.235:0.235) (0.200:0.200:0.200)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5350_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.170:0.170:0.170) (0.303:0.303:0.303)) - (IOPATH A1 X (0.129:0.129:0.129) (0.295:0.295:0.295)) - (IOPATH S X (0.257:0.272:0.286) (0.347:0.348:0.349)) - (IOPATH S X (0.174:0.175:0.176) (0.360:0.372:0.385)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5351_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.188:0.188:0.188) (0.319:0.319:0.319)) - (IOPATH A1 X (0.134:0.134:0.134) (0.304:0.304:0.304)) - (IOPATH S X (0.268:0.282:0.297) (0.359:0.360:0.360)) - (IOPATH S X (0.185:0.186:0.187) (0.371:0.384:0.397)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5352_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.195:0.195:0.195) (0.340:0.340:0.340)) - (IOPATH A1 X (0.174:0.174:0.174) (0.338:0.338:0.338)) - (IOPATH S X (0.293:0.307:0.322) (0.385:0.386:0.386)) - (IOPATH S X (0.210:0.211:0.212) (0.397:0.410:0.422)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5353_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.225:0.225:0.225) (0.367:0.367:0.367)) - (IOPATH A1 X (0.219:0.219:0.219) (0.370:0.370:0.370)) - (IOPATH S X (0.317:0.332:0.346) (0.406:0.406:0.407)) - (IOPATH S X (0.235:0.236:0.237) (0.417:0.430:0.443)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5354_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.182:0.182:0.182) (0.310:0.310:0.310)) - (IOPATH A1 X (0.127:0.127:0.127) (0.296:0.296:0.296)) - (IOPATH S X (0.260:0.275:0.290) (0.352:0.353:0.353)) - (IOPATH S X (0.178:0.179:0.180) (0.364:0.377:0.390)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5355_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.194:0.194:0.194) (0.322:0.322:0.322)) - (IOPATH A1 X (0.142:0.142:0.142) (0.309:0.309:0.309)) - (IOPATH S X (0.270:0.284:0.299) (0.361:0.362:0.362)) - (IOPATH S X (0.187:0.188:0.189) (0.373:0.386:0.399)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5356_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.161:0.161:0.161) (0.309:0.309:0.309)) - (IOPATH A1 X (0.127:0.127:0.127) (0.295:0.295:0.295)) - (IOPATH S X (0.258:0.273:0.287) (0.349:0.350:0.350)) - (IOPATH S X (0.176:0.177:0.178) (0.361:0.374:0.387)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5357_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.184:0.184:0.184) (0.309:0.309:0.309)) - (IOPATH A1 X (0.126:0.126:0.126) (0.294:0.294:0.294)) - (IOPATH S X (0.257:0.272:0.286) (0.348:0.348:0.349)) - (IOPATH S X (0.175:0.176:0.177) (0.360:0.373:0.386)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _5358_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.214:0.221:0.227) (0.271:0.272:0.272)) - (IOPATH B Y (0.235:0.235:0.235) (0.202:0.202:0.202)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5359_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.174:0.174:0.174) (0.299:0.299:0.299)) - (IOPATH A1 X (0.121:0.121:0.121) (0.287:0.287:0.287)) - (IOPATH S X (0.249:0.262:0.276) (0.338:0.339:0.339)) - (IOPATH S X (0.165:0.166:0.167) (0.350:0.362:0.374)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5360_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.172:0.172:0.172) (0.303:0.303:0.303)) - (IOPATH A1 X (0.122:0.122:0.122) (0.289:0.289:0.289)) - (IOPATH S X (0.251:0.264:0.278) (0.341:0.341:0.342)) - (IOPATH S X (0.167:0.168:0.169) (0.353:0.365:0.377)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5361_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.183:0.183:0.183) (0.328:0.328:0.328)) - (IOPATH A1 X (0.163:0.163:0.163) (0.326:0.326:0.326)) - (IOPATH S X (0.279:0.292:0.306) (0.371:0.372:0.372)) - (IOPATH S X (0.195:0.196:0.197) (0.383:0.395:0.407)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5362_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.185:0.185:0.185) (0.316:0.316:0.316)) - (IOPATH A1 X (0.148:0.148:0.148) (0.314:0.314:0.314)) - (IOPATH S X (0.270:0.283:0.297) (0.362:0.362:0.363)) - (IOPATH S X (0.186:0.187:0.188) (0.374:0.386:0.398)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5363_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.169:0.169:0.169) (0.299:0.299:0.299)) - (IOPATH A1 X (0.123:0.123:0.123) (0.289:0.289:0.289)) - (IOPATH S X (0.250:0.264:0.277) (0.340:0.340:0.341)) - (IOPATH S X (0.166:0.167:0.168) (0.352:0.364:0.376)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5364_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.203:0.203:0.203) (0.331:0.331:0.331)) - (IOPATH A1 X (0.153:0.153:0.153) (0.319:0.319:0.319)) - (IOPATH S X (0.275:0.289:0.302) (0.368:0.368:0.369)) - (IOPATH S X (0.191:0.193:0.194) (0.380:0.391:0.403)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5365_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.189:0.189:0.189) (0.336:0.336:0.336)) - (IOPATH A1 X (0.124:0.124:0.124) (0.292:0.292:0.292)) - (IOPATH S X (0.254:0.267:0.281) (0.345:0.345:0.346)) - (IOPATH S X (0.170:0.171:0.172) (0.357:0.369:0.381)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5366_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.177:0.177:0.177) (0.306:0.306:0.306)) - (IOPATH A1 X (0.131:0.131:0.131) (0.296:0.296:0.296)) - (IOPATH S X (0.255:0.268:0.281) (0.345:0.346:0.346)) - (IOPATH S X (0.170:0.172:0.173) (0.357:0.369:0.381)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _5367_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.195:0.199:0.204) (0.247:0.247:0.248)) - (IOPATH B Y (0.232:0.232:0.232) (0.201:0.201:0.201)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5368_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.167:0.167:0.167) (0.299:0.299:0.299)) - (IOPATH A1 X (0.123:0.123:0.123) (0.290:0.290:0.290)) - (IOPATH S X (0.251:0.261:0.270) (0.338:0.339:0.340)) - (IOPATH S X (0.163:0.164:0.165) (0.353:0.362:0.370)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5369_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.168:0.168:0.168) (0.297:0.297:0.297)) - (IOPATH A1 X (0.117:0.117:0.117) (0.283:0.283:0.283)) - (IOPATH S X (0.247:0.257:0.267) (0.333:0.334:0.335)) - (IOPATH S X (0.159:0.160:0.161) (0.348:0.357:0.366)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5370_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.161:0.161:0.161) (0.306:0.306:0.306)) - (IOPATH A1 X (0.125:0.125:0.125) (0.294:0.294:0.294)) - (IOPATH S X (0.256:0.266:0.276) (0.345:0.346:0.347)) - (IOPATH S X (0.168:0.170:0.171) (0.360:0.368:0.377)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5371_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.170:0.170:0.170) (0.318:0.318:0.318)) - (IOPATH A1 X (0.131:0.131:0.131) (0.300:0.300:0.300)) - (IOPATH S X (0.260:0.270:0.280) (0.350:0.351:0.352)) - (IOPATH S X (0.172:0.174:0.175) (0.364:0.373:0.382)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5372_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.197:0.197:0.197) (0.326:0.326:0.326)) - (IOPATH A1 X (0.136:0.136:0.136) (0.305:0.305:0.305)) - (IOPATH S X (0.264:0.274:0.284) (0.353:0.354:0.355)) - (IOPATH S X (0.176:0.177:0.179) (0.368:0.377:0.385)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5373_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.177:0.177:0.177) (0.304:0.304:0.304)) - (IOPATH A1 X (0.122:0.122:0.122) (0.288:0.288:0.288)) - (IOPATH S X (0.248:0.258:0.268) (0.336:0.337:0.338)) - (IOPATH S X (0.160:0.162:0.163) (0.350:0.359:0.368)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5374_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.183:0.183:0.183) (0.329:0.329:0.329)) - (IOPATH A1 X (0.123:0.123:0.123) (0.288:0.288:0.288)) - (IOPATH S X (0.248:0.258:0.267) (0.336:0.336:0.337)) - (IOPATH S X (0.160:0.161:0.163) (0.349:0.358:0.367)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5375_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.176:0.176:0.176) (0.304:0.304:0.304)) - (IOPATH A1 X (0.125:0.125:0.125) (0.292:0.292:0.292)) - (IOPATH S X (0.252:0.262:0.272) (0.341:0.341:0.342)) - (IOPATH S X (0.164:0.166:0.167) (0.354:0.363:0.372)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _5376_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.229:0.229:0.229) (0.206:0.206:0.206)) - (IOPATH B Y (0.264:0.264:0.264) (0.227:0.227:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5377_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.174:0.174:0.174) (0.300:0.300:0.300)) - (IOPATH A1 X (0.119:0.119:0.119) (0.286:0.286:0.286)) - (IOPATH S X (0.260:0.262:0.263) (0.344:0.345:0.346)) - (IOPATH S X (0.175:0.176:0.177) (0.360:0.362:0.363)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5378_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.177:0.177:0.177) (0.306:0.306:0.306)) - (IOPATH A1 X (0.121:0.121:0.121) (0.287:0.287:0.287)) - (IOPATH S X (0.261:0.263:0.264) (0.346:0.346:0.347)) - (IOPATH S X (0.176:0.177:0.178) (0.362:0.363:0.364)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5379_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.153:0.153:0.153) (0.297:0.297:0.297)) - (IOPATH A1 X (0.124:0.124:0.124) (0.290:0.290:0.290)) - (IOPATH S X (0.261:0.263:0.264) (0.346:0.347:0.347)) - (IOPATH S X (0.176:0.177:0.179) (0.362:0.363:0.364)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5380_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.165:0.165:0.165) (0.314:0.314:0.314)) - (IOPATH A1 X (0.137:0.137:0.137) (0.302:0.302:0.302)) - (IOPATH S X (0.268:0.270:0.271) (0.355:0.356:0.356)) - (IOPATH S X (0.184:0.185:0.186) (0.371:0.372:0.373)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5381_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.172:0.172:0.172) (0.302:0.302:0.302)) - (IOPATH A1 X (0.127:0.127:0.127) (0.293:0.293:0.293)) - (IOPATH S X (0.264:0.265:0.267) (0.348:0.349:0.350)) - (IOPATH S X (0.179:0.180:0.181) (0.364:0.366:0.367)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5382_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.181:0.181:0.181) (0.308:0.308:0.308)) - (IOPATH A1 X (0.127:0.127:0.127) (0.294:0.294:0.294)) - (IOPATH S X (0.265:0.267:0.268) (0.351:0.352:0.352)) - (IOPATH S X (0.181:0.182:0.183) (0.367:0.368:0.369)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5383_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.189:0.189:0.189) (0.318:0.318:0.318)) - (IOPATH A1 X (0.137:0.137:0.137) (0.306:0.306:0.306)) - (IOPATH S X (0.278:0.279:0.281) (0.364:0.365:0.366)) - (IOPATH S X (0.193:0.194:0.195) (0.380:0.382:0.383)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5384_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.185:0.185:0.185) (0.315:0.315:0.315)) - (IOPATH A1 X (0.122:0.122:0.122) (0.290:0.290:0.290)) - (IOPATH S X (0.264:0.266:0.267) (0.349:0.350:0.351)) - (IOPATH S X (0.179:0.180:0.181) (0.365:0.367:0.368)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _5385_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.234:0.244:0.255) (0.288:0.288:0.289)) - (IOPATH B Y (0.249:0.249:0.249) (0.209:0.209:0.209)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5386_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.174:0.174:0.174) (0.300:0.300:0.300)) - (IOPATH A1 X (0.118:0.118:0.118) (0.285:0.285:0.285)) - (IOPATH S X (0.263:0.275:0.288) (0.345:0.345:0.346)) - (IOPATH S X (0.176:0.177:0.178) (0.362:0.374:0.385)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5387_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.178:0.178:0.178) (0.307:0.307:0.307)) - (IOPATH A1 X (0.121:0.121:0.121) (0.288:0.288:0.288)) - (IOPATH S X (0.266:0.278:0.291) (0.348:0.349:0.349)) - (IOPATH S X (0.179:0.180:0.181) (0.366:0.377:0.388)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5388_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.190:0.190:0.190) (0.320:0.320:0.320)) - (IOPATH A1 X (0.127:0.127:0.127) (0.294:0.294:0.294)) - (IOPATH S X (0.268:0.281:0.294) (0.351:0.352:0.353)) - (IOPATH S X (0.182:0.183:0.183) (0.369:0.380:0.392)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5389_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.185:0.185:0.185) (0.333:0.333:0.333)) - (IOPATH A1 X (0.157:0.157:0.157) (0.322:0.322:0.322)) - (IOPATH S X (0.289:0.302:0.315) (0.375:0.376:0.376)) - (IOPATH S X (0.203:0.204:0.205) (0.391:0.403:0.414)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5390_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.170:0.170:0.170) (0.300:0.300:0.300)) - (IOPATH A1 X (0.121:0.121:0.121) (0.288:0.288:0.288)) - (IOPATH S X (0.265:0.278:0.290) (0.347:0.348:0.348)) - (IOPATH S X (0.178:0.179:0.180) (0.365:0.376:0.388)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5391_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.190:0.190:0.190) (0.318:0.318:0.318)) - (IOPATH A1 X (0.139:0.139:0.139) (0.306:0.306:0.306)) - (IOPATH S X (0.276:0.289:0.302) (0.361:0.362:0.362)) - (IOPATH S X (0.190:0.191:0.192) (0.378:0.390:0.401)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5392_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.179:0.179:0.179) (0.307:0.307:0.307)) - (IOPATH A1 X (0.124:0.124:0.124) (0.293:0.293:0.293)) - (IOPATH S X (0.268:0.281:0.294) (0.354:0.354:0.355)) - (IOPATH S X (0.183:0.184:0.185) (0.370:0.382:0.393)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5393_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.178:0.178:0.178) (0.307:0.307:0.307)) - (IOPATH A1 X (0.122:0.122:0.122) (0.288:0.288:0.288)) - (IOPATH S X (0.262:0.275:0.288) (0.345:0.346:0.346)) - (IOPATH S X (0.176:0.177:0.178) (0.362:0.373:0.385)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _5394_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.468:0.468:0.468) (0.271:0.281:0.291)) - (IOPATH B X (0.453:0.453:0.453) (0.327:0.327:0.327)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5395_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.117:0.117:0.117) (0.277:0.277:0.277)) - (IOPATH A1 X (0.181:0.181:0.181) (0.318:0.318:0.318)) - (IOPATH S X (0.245:0.246:0.246) (0.357:0.357:0.357)) - (IOPATH S X (0.196:0.196:0.196) (0.348:0.348:0.349)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5396_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.121:0.121:0.121) (0.281:0.281:0.281)) - (IOPATH A1 X (0.178:0.178:0.178) (0.315:0.315:0.315)) - (IOPATH S X (0.248:0.249:0.249) (0.362:0.362:0.362)) - (IOPATH S X (0.200:0.200:0.200) (0.352:0.352:0.353)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5397_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.119:0.119:0.119) (0.278:0.278:0.278)) - (IOPATH A1 X (0.188:0.188:0.188) (0.325:0.325:0.325)) - (IOPATH S X (0.243:0.243:0.244) (0.356:0.356:0.356)) - (IOPATH S X (0.195:0.195:0.195) (0.345:0.346:0.346)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5398_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.141:0.141:0.141) (0.299:0.299:0.299)) - (IOPATH A1 X (0.176:0.176:0.176) (0.331:0.331:0.331)) - (IOPATH S X (0.257:0.258:0.258) (0.374:0.374:0.374)) - (IOPATH S X (0.211:0.211:0.211) (0.362:0.362:0.363)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5399_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.116:0.116:0.116) (0.273:0.273:0.273)) - (IOPATH A1 X (0.168:0.168:0.168) (0.304:0.304:0.304)) - (IOPATH S X (0.238:0.239:0.239) (0.351:0.351:0.351)) - (IOPATH S X (0.191:0.191:0.191) (0.340:0.340:0.341)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5400_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.123:0.123:0.123) (0.282:0.282:0.282)) - (IOPATH A1 X (0.183:0.183:0.183) (0.317:0.317:0.317)) - (IOPATH S X (0.246:0.246:0.247) (0.361:0.361:0.361)) - (IOPATH S X (0.199:0.199:0.199) (0.349:0.350:0.350)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5401_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.122:0.122:0.122) (0.281:0.281:0.281)) - (IOPATH A1 X (0.191:0.191:0.191) (0.345:0.345:0.345)) - (IOPATH S X (0.249:0.249:0.250) (0.361:0.361:0.361)) - (IOPATH S X (0.199:0.199:0.199) (0.352:0.352:0.353)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5402_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.117:0.117:0.117) (0.277:0.277:0.277)) - (IOPATH A1 X (0.183:0.183:0.183) (0.315:0.315:0.315)) - (IOPATH S X (0.247:0.247:0.248) (0.358:0.358:0.358)) - (IOPATH S X (0.197:0.197:0.197) (0.350:0.350:0.350)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _5403_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.287:0.287:0.287) (0.268:0.268:0.268)) - (IOPATH B Y (0.310:0.310:0.310) (0.271:0.271:0.271)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5404_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.168:0.168:0.168) (0.300:0.300:0.300)) - (IOPATH A1 X (0.124:0.124:0.124) (0.291:0.291:0.291)) - (IOPATH S X (0.288:0.289:0.290) (0.355:0.356:0.356)) - (IOPATH S X (0.190:0.190:0.191) (0.386:0.387:0.388)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5405_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.180:0.180:0.180) (0.310:0.310:0.310)) - (IOPATH A1 X (0.125:0.125:0.125) (0.292:0.292:0.292)) - (IOPATH S X (0.289:0.290:0.291) (0.355:0.355:0.356)) - (IOPATH S X (0.190:0.190:0.191) (0.387:0.388:0.389)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5406_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.182:0.182:0.182) (0.313:0.313:0.313)) - (IOPATH A1 X (0.124:0.124:0.124) (0.290:0.290:0.290)) - (IOPATH S X (0.287:0.288:0.289) (0.352:0.352:0.353)) - (IOPATH S X (0.187:0.188:0.188) (0.384:0.385:0.386)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5407_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.186:0.186:0.186) (0.334:0.334:0.334)) - (IOPATH A1 X (0.157:0.157:0.157) (0.322:0.322:0.322)) - (IOPATH S X (0.312:0.313:0.314) (0.382:0.382:0.382)) - (IOPATH S X (0.215:0.215:0.216) (0.412:0.413:0.414)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5408_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.180:0.180:0.180) (0.311:0.311:0.311)) - (IOPATH A1 X (0.118:0.118:0.118) (0.285:0.285:0.285)) - (IOPATH S X (0.284:0.285:0.286) (0.350:0.351:0.351)) - (IOPATH S X (0.186:0.186:0.187) (0.382:0.383:0.384)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5409_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.195:0.195:0.195) (0.323:0.323:0.323)) - (IOPATH A1 X (0.137:0.137:0.137) (0.306:0.306:0.306)) - (IOPATH S X (0.302:0.303:0.304) (0.372:0.372:0.373)) - (IOPATH S X (0.205:0.205:0.206) (0.402:0.403:0.404)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5410_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.178:0.178:0.178) (0.306:0.306:0.306)) - (IOPATH A1 X (0.123:0.123:0.123) (0.291:0.291:0.291)) - (IOPATH S X (0.289:0.290:0.292) (0.358:0.358:0.358)) - (IOPATH S X (0.191:0.192:0.193) (0.388:0.389:0.390)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5411_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.173:0.173:0.173) (0.301:0.301:0.301)) - (IOPATH A1 X (0.121:0.121:0.121) (0.288:0.288:0.288)) - (IOPATH S X (0.285:0.286:0.288) (0.353:0.353:0.354)) - (IOPATH S X (0.188:0.188:0.189) (0.384:0.385:0.385)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _5412_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.255:0.255:0.255) (0.227:0.227:0.227)) - (IOPATH B Y (0.344:0.344:0.344) (0.275:0.275:0.275)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5413_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.153:0.153:0.153) (0.298:0.298:0.298)) - (IOPATH A1 X (0.120:0.120:0.120) (0.286:0.286:0.286)) - (IOPATH S X (0.279:0.284:0.289) (0.350:0.350:0.351)) - (IOPATH S X (0.185:0.186:0.187) (0.377:0.382:0.386)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5414_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.177:0.177:0.177) (0.305:0.305:0.305)) - (IOPATH A1 X (0.129:0.129:0.129) (0.296:0.296:0.296)) - (IOPATH S X (0.292:0.296:0.301) (0.360:0.361:0.361)) - (IOPATH S X (0.195:0.196:0.197) (0.391:0.396:0.400)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5415_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.195:0.195:0.195) (0.331:0.331:0.331)) - (IOPATH A1 X (0.136:0.136:0.136) (0.303:0.303:0.303)) - (IOPATH S X (0.292:0.297:0.302) (0.365:0.366:0.366)) - (IOPATH S X (0.199:0.199:0.200) (0.392:0.397:0.402)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5416_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.171:0.171:0.171) (0.320:0.320:0.320)) - (IOPATH A1 X (0.142:0.142:0.142) (0.307:0.307:0.307)) - (IOPATH S X (0.298:0.303:0.307) (0.368:0.369:0.369)) - (IOPATH S X (0.202:0.203:0.203) (0.398:0.403:0.407)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5417_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.166:0.166:0.166) (0.296:0.296:0.296)) - (IOPATH A1 X (0.117:0.117:0.117) (0.284:0.284:0.284)) - (IOPATH S X (0.283:0.288:0.292) (0.349:0.350:0.350)) - (IOPATH S X (0.186:0.186:0.187) (0.380:0.385:0.389)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5418_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.172:0.172:0.172) (0.299:0.299:0.299)) - (IOPATH A1 X (0.117:0.117:0.117) (0.283:0.283:0.283)) - (IOPATH S X (0.278:0.283:0.288) (0.347:0.348:0.348)) - (IOPATH S X (0.183:0.184:0.185) (0.376:0.380:0.385)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5419_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.194:0.194:0.194) (0.337:0.337:0.337)) - (IOPATH A1 X (0.132:0.132:0.132) (0.300:0.300:0.300)) - (IOPATH S X (0.292:0.297:0.302) (0.364:0.364:0.365)) - (IOPATH S X (0.197:0.198:0.199) (0.392:0.397:0.402)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5420_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.183:0.183:0.183) (0.317:0.317:0.317)) - (IOPATH A1 X (0.123:0.123:0.123) (0.291:0.291:0.291)) - (IOPATH S X (0.284:0.289:0.294) (0.356:0.356:0.357)) - (IOPATH S X (0.190:0.191:0.192) (0.383:0.387:0.392)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _5421_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.213:0.213:0.213) (0.199:0.199:0.199)) - (IOPATH B Y (0.246:0.246:0.246) (0.192:0.192:0.192)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5422_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.181:0.181:0.181) (0.314:0.314:0.314)) - (IOPATH A1 X (0.122:0.122:0.122) (0.289:0.289:0.289)) - (IOPATH S X (0.242:0.244:0.246) (0.335:0.336:0.337)) - (IOPATH S X (0.157:0.158:0.160) (0.346:0.347:0.349)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5423_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.185:0.185:0.185) (0.316:0.316:0.316)) - (IOPATH A1 X (0.125:0.125:0.125) (0.292:0.292:0.292)) - (IOPATH S X (0.243:0.244:0.246) (0.336:0.336:0.337)) - (IOPATH S X (0.157:0.158:0.160) (0.346:0.348:0.349)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5424_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.182:0.182:0.182) (0.314:0.314:0.314)) - (IOPATH A1 X (0.123:0.123:0.123) (0.289:0.289:0.289)) - (IOPATH S X (0.240:0.242:0.244) (0.333:0.334:0.335)) - (IOPATH S X (0.155:0.156:0.158) (0.343:0.345:0.346)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5425_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.192:0.192:0.192) (0.328:0.328:0.328)) - (IOPATH A1 X (0.137:0.137:0.137) (0.304:0.304:0.304)) - (IOPATH S X (0.252:0.254:0.256) (0.347:0.348:0.348)) - (IOPATH S X (0.166:0.168:0.169) (0.357:0.359:0.360)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5426_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.180:0.180:0.180) (0.311:0.311:0.311)) - (IOPATH A1 X (0.133:0.133:0.133) (0.299:0.299:0.299)) - (IOPATH S X (0.247:0.249:0.251) (0.341:0.342:0.343)) - (IOPATH S X (0.162:0.163:0.165) (0.352:0.353:0.355)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5427_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.170:0.170:0.170) (0.326:0.326:0.326)) - (IOPATH A1 X (0.117:0.117:0.117) (0.283:0.283:0.283)) - (IOPATH S X (0.237:0.239:0.240) (0.328:0.329:0.330)) - (IOPATH S X (0.151:0.153:0.154) (0.339:0.340:0.342)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5428_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.179:0.179:0.179) (0.310:0.310:0.310)) - (IOPATH A1 X (0.126:0.126:0.126) (0.294:0.294:0.294)) - (IOPATH S X (0.246:0.247:0.249) (0.340:0.340:0.341)) - (IOPATH S X (0.160:0.162:0.163) (0.350:0.351:0.353)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5429_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.188:0.188:0.188) (0.321:0.321:0.321)) - (IOPATH A1 X (0.125:0.125:0.125) (0.295:0.295:0.295)) - (IOPATH S X (0.247:0.249:0.251) (0.342:0.342:0.343)) - (IOPATH S X (0.162:0.163:0.165) (0.352:0.353:0.355)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _5430_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.217:0.224:0.231) (0.282:0.282:0.283)) - (IOPATH B Y (0.290:0.290:0.290) (0.227:0.227:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5431_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.185:0.185:0.185) (0.318:0.318:0.318)) - (IOPATH A1 X (0.122:0.122:0.122) (0.292:0.292:0.292)) - (IOPATH S X (0.274:0.285:0.296) (0.351:0.352:0.353)) - (IOPATH S X (0.179:0.181:0.183) (0.375:0.385:0.394)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5432_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.185:0.185:0.185) (0.318:0.318:0.318)) - (IOPATH A1 X (0.126:0.126:0.126) (0.293:0.293:0.293)) - (IOPATH S X (0.271:0.282:0.293) (0.348:0.349:0.350)) - (IOPATH S X (0.176:0.178:0.180) (0.372:0.381:0.391)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5433_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.152:0.152:0.152) (0.296:0.296:0.296)) - (IOPATH A1 X (0.120:0.120:0.120) (0.287:0.287:0.287)) - (IOPATH S X (0.272:0.282:0.292) (0.344:0.345:0.346)) - (IOPATH S X (0.175:0.176:0.178) (0.371:0.380:0.389)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5434_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.160:0.160:0.160) (0.290:0.290:0.290)) - (IOPATH A1 X (0.116:0.116:0.116) (0.282:0.282:0.282)) - (IOPATH S X (0.269:0.279:0.289) (0.340:0.341:0.342)) - (IOPATH S X (0.171:0.173:0.175) (0.367:0.376:0.385)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5435_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.186:0.186:0.186) (0.315:0.315:0.315)) - (IOPATH A1 X (0.127:0.127:0.127) (0.293:0.293:0.293)) - (IOPATH S X (0.276:0.286:0.296) (0.350:0.351:0.352)) - (IOPATH S X (0.179:0.181:0.182) (0.376:0.385:0.395)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5436_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.177:0.177:0.177) (0.304:0.304:0.304)) - (IOPATH A1 X (0.123:0.123:0.123) (0.288:0.288:0.288)) - (IOPATH S X (0.273:0.283:0.292) (0.345:0.346:0.347)) - (IOPATH S X (0.175:0.177:0.179) (0.372:0.381:0.390)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5437_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.185:0.185:0.185) (0.316:0.316:0.316)) - (IOPATH A1 X (0.135:0.135:0.135) (0.302:0.302:0.302)) - (IOPATH S X (0.278:0.289:0.300) (0.357:0.358:0.359)) - (IOPATH S X (0.184:0.185:0.187) (0.380:0.390:0.400)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5438_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.185:0.185:0.185) (0.319:0.319:0.319)) - (IOPATH A1 X (0.129:0.129:0.129) (0.296:0.296:0.296)) - (IOPATH S X (0.273:0.284:0.295) (0.350:0.351:0.352)) - (IOPATH S X (0.178:0.180:0.181) (0.374:0.383:0.393)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _5439_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.226:0.230:0.235) (0.282:0.282:0.282)) - (IOPATH B Y (0.249:0.249:0.249) (0.221:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5440_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.231:0.231:0.231) (0.458:0.458:0.458)) - (IOPATH A1 X (0.116:0.116:0.116) (0.282:0.282:0.282)) - (IOPATH S X (0.255:0.267:0.279) (0.336:0.337:0.338)) - (IOPATH S X (0.165:0.166:0.167) (0.355:0.365:0.376)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5441_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.169:0.169:0.169) (0.297:0.297:0.297)) - (IOPATH A1 X (0.121:0.121:0.121) (0.287:0.287:0.287)) - (IOPATH S X (0.257:0.269:0.281) (0.339:0.340:0.341)) - (IOPATH S X (0.168:0.169:0.170) (0.358:0.368:0.379)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5442_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.187:0.187:0.187) (0.317:0.317:0.317)) - (IOPATH A1 X (0.123:0.123:0.123) (0.291:0.291:0.291)) - (IOPATH S X (0.264:0.276:0.287) (0.347:0.347:0.348)) - (IOPATH S X (0.174:0.175:0.176) (0.366:0.376:0.386)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5443_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.210:0.210:0.210) (0.340:0.340:0.340)) - (IOPATH A1 X (0.185:0.185:0.185) (0.345:0.345:0.345)) - (IOPATH S X (0.302:0.314:0.325) (0.388:0.389:0.389)) - (IOPATH S X (0.213:0.214:0.215) (0.405:0.416:0.426)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5444_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.174:0.174:0.174) (0.304:0.304:0.304)) - (IOPATH A1 X (0.139:0.139:0.139) (0.301:0.301:0.301)) - (IOPATH S X (0.263:0.275:0.287) (0.347:0.347:0.348)) - (IOPATH S X (0.173:0.175:0.176) (0.365:0.375:0.386)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5445_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.206:0.206:0.206) (0.333:0.333:0.333)) - (IOPATH A1 X (0.163:0.163:0.163) (0.327:0.327:0.327)) - (IOPATH S X (0.287:0.299:0.310) (0.372:0.373:0.374)) - (IOPATH S X (0.197:0.199:0.200) (0.390:0.401:0.411)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5446_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.172:0.172:0.172) (0.298:0.298:0.298)) - (IOPATH A1 X (0.120:0.120:0.120) (0.287:0.287:0.287)) - (IOPATH S X (0.260:0.272:0.284) (0.342:0.343:0.343)) - (IOPATH S X (0.170:0.171:0.172) (0.361:0.371:0.381)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5447_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.206:0.206:0.206) (0.333:0.333:0.333)) - (IOPATH A1 X (0.172:0.172:0.172) (0.333:0.333:0.333)) - (IOPATH S X (0.291:0.303:0.315) (0.377:0.377:0.378)) - (IOPATH S X (0.202:0.203:0.204) (0.394:0.405:0.415)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _5448_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.279:0.287:0.295) (0.344:0.344:0.345)) - (IOPATH B Y (0.321:0.321:0.321) (0.276:0.276:0.276)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5449_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.182:0.182:0.182) (0.314:0.314:0.314)) - (IOPATH A1 X (0.126:0.126:0.126) (0.293:0.293:0.293)) - (IOPATH S X (0.293:0.301:0.309) (0.357:0.357:0.357)) - (IOPATH S X (0.191:0.192:0.193) (0.392:0.401:0.411)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5450_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.204:0.204:0.204) (0.332:0.332:0.332)) - (IOPATH A1 X (0.169:0.169:0.169) (0.331:0.331:0.331)) - (IOPATH S X (0.323:0.330:0.338) (0.387:0.388:0.388)) - (IOPATH S X (0.221:0.221:0.222) (0.423:0.432:0.442)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5451_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.289:0.289:0.289) (0.410:0.410:0.410)) - (IOPATH A1 X (0.260:0.260:0.260) (0.402:0.402:0.402)) - (IOPATH S X (0.392:0.400:0.408) (0.445:0.445:0.445)) - (IOPATH S X (0.291:0.291:0.292) (0.479:0.489:0.498)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5452_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.223:0.223:0.223) (0.350:0.350:0.350)) - (IOPATH A1 X (0.204:0.204:0.204) (0.360:0.360:0.360)) - (IOPATH S X (0.347:0.355:0.363) (0.410:0.410:0.410)) - (IOPATH S X (0.245:0.246:0.247) (0.445:0.454:0.464)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5453_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.172:0.172:0.172) (0.303:0.303:0.303)) - (IOPATH A1 X (0.123:0.123:0.123) (0.290:0.290:0.290)) - (IOPATH S X (0.293:0.301:0.309) (0.356:0.356:0.356)) - (IOPATH S X (0.190:0.191:0.192) (0.391:0.400:0.410)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5454_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.192:0.192:0.192) (0.359:0.359:0.359)) - (IOPATH A1 X (0.132:0.132:0.132) (0.301:0.301:0.301)) - (IOPATH S X (0.301:0.309:0.317) (0.365:0.366:0.366)) - (IOPATH S X (0.199:0.200:0.200) (0.401:0.410:0.420)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5455_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.196:0.196:0.196) (0.327:0.327:0.327)) - (IOPATH A1 X (0.145:0.145:0.145) (0.312:0.312:0.312)) - (IOPATH S X (0.311:0.319:0.327) (0.376:0.376:0.377)) - (IOPATH S X (0.209:0.210:0.211) (0.411:0.421:0.430)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5456_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.181:0.181:0.181) (0.310:0.310:0.310)) - (IOPATH A1 X (0.120:0.120:0.120) (0.287:0.287:0.287)) - (IOPATH S X (0.289:0.297:0.305) (0.352:0.352:0.352)) - (IOPATH S X (0.187:0.188:0.188) (0.386:0.396:0.405)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _5457_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.183:0.183:0.183) (0.170:0.170:0.170)) - (IOPATH B Y (0.273:0.273:0.273) (0.211:0.211:0.211)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5458_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.187:0.187:0.187) (0.320:0.320:0.320)) - (IOPATH A1 X (0.133:0.133:0.133) (0.300:0.300:0.300)) - (IOPATH S X (0.253:0.258:0.264) (0.349:0.350:0.351)) - (IOPATH S X (0.172:0.174:0.176) (0.357:0.362:0.367)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5459_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.189:0.189:0.189) (0.319:0.319:0.319)) - (IOPATH A1 X (0.130:0.130:0.130) (0.299:0.299:0.299)) - (IOPATH S X (0.257:0.263:0.268) (0.352:0.353:0.354)) - (IOPATH S X (0.176:0.178:0.179) (0.362:0.366:0.371)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5460_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.195:0.195:0.195) (0.330:0.330:0.330)) - (IOPATH A1 X (0.132:0.132:0.132) (0.301:0.301:0.301)) - (IOPATH S X (0.256:0.261:0.266) (0.351:0.353:0.354)) - (IOPATH S X (0.175:0.177:0.179) (0.360:0.365:0.370)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5461_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.183:0.183:0.183) (0.314:0.314:0.314)) - (IOPATH A1 X (0.121:0.121:0.121) (0.288:0.288:0.288)) - (IOPATH S X (0.246:0.252:0.257) (0.338:0.339:0.341)) - (IOPATH S X (0.165:0.166:0.168) (0.348:0.353:0.358)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5462_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.186:0.186:0.186) (0.316:0.316:0.316)) - (IOPATH A1 X (0.126:0.126:0.126) (0.293:0.293:0.293)) - (IOPATH S X (0.251:0.256:0.262) (0.344:0.345:0.347)) - (IOPATH S X (0.169:0.171:0.173) (0.354:0.359:0.364)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5463_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.180:0.180:0.180) (0.308:0.308:0.308)) - (IOPATH A1 X (0.122:0.122:0.122) (0.289:0.289:0.289)) - (IOPATH S X (0.250:0.255:0.260) (0.342:0.343:0.345)) - (IOPATH S X (0.168:0.170:0.172) (0.353:0.357:0.362)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5464_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.174:0.174:0.174) (0.302:0.302:0.302)) - (IOPATH A1 X (0.128:0.128:0.128) (0.293:0.293:0.293)) - (IOPATH S X (0.246:0.251:0.257) (0.340:0.341:0.343)) - (IOPATH S X (0.165:0.167:0.169) (0.349:0.353:0.358)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5465_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.183:0.183:0.183) (0.313:0.313:0.313)) - (IOPATH A1 X (0.131:0.131:0.131) (0.296:0.296:0.296)) - (IOPATH S X (0.247:0.253:0.258) (0.342:0.343:0.344)) - (IOPATH S X (0.167:0.169:0.171) (0.350:0.355:0.360)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _5466_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.530:0.530:0.531) (0.296:0.309:0.321)) - (IOPATH B X (0.481:0.481:0.481) (0.374:0.374:0.374)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5467_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.138:0.138:0.138) (0.298:0.298:0.298)) - (IOPATH A1 X (0.201:0.201:0.201) (0.340:0.340:0.340)) - (IOPATH S X (0.262:0.262:0.263) (0.377:0.378:0.378)) - (IOPATH S X (0.216:0.216:0.216) (0.367:0.367:0.367)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5468_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.153:0.153:0.153) (0.307:0.307:0.307)) - (IOPATH A1 X (0.203:0.203:0.203) (0.342:0.342:0.342)) - (IOPATH S X (0.261:0.261:0.262) (0.376:0.376:0.376)) - (IOPATH S X (0.214:0.215:0.215) (0.365:0.366:0.366)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5469_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.113:0.113:0.113) (0.272:0.272:0.272)) - (IOPATH A1 X (0.182:0.182:0.182) (0.323:0.323:0.323)) - (IOPATH S X (0.241:0.241:0.242) (0.353:0.353:0.353)) - (IOPATH S X (0.194:0.194:0.194) (0.342:0.343:0.343)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5470_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.122:0.122:0.122) (0.283:0.283:0.283)) - (IOPATH A1 X (0.193:0.193:0.193) (0.332:0.332:0.332)) - (IOPATH S X (0.251:0.251:0.252) (0.364:0.365:0.365)) - (IOPATH S X (0.203:0.204:0.204) (0.355:0.355:0.355)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5471_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.210:0.210:0.210) (0.356:0.356:0.356)) - (IOPATH A1 X (0.243:0.243:0.243) (0.376:0.376:0.376)) - (IOPATH S X (0.310:0.310:0.311) (0.421:0.421:0.421)) - (IOPATH S X (0.264:0.264:0.264) (0.410:0.411:0.411)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5472_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.118:0.118:0.118) (0.275:0.275:0.275)) - (IOPATH A1 X (0.176:0.176:0.176) (0.310:0.310:0.310)) - (IOPATH S X (0.242:0.243:0.243) (0.353:0.354:0.354)) - (IOPATH S X (0.194:0.194:0.194) (0.344:0.344:0.345)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5473_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.121:0.121:0.121) (0.280:0.280:0.280)) - (IOPATH A1 X (0.178:0.178:0.178) (0.315:0.315:0.315)) - (IOPATH S X (0.246:0.247:0.247) (0.360:0.360:0.360)) - (IOPATH S X (0.199:0.200:0.200) (0.349:0.350:0.350)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5474_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.113:0.113:0.113) (0.271:0.271:0.271)) - (IOPATH A1 X (0.178:0.178:0.178) (0.317:0.317:0.317)) - (IOPATH S X (0.240:0.241:0.241) (0.352:0.352:0.352)) - (IOPATH S X (0.193:0.193:0.193) (0.341:0.342:0.342)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _5475_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.134:0.134:0.134) (0.060:0.060:0.060)) - (IOPATH B Y (0.116:0.116:0.116) (0.067:0.067:0.067)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5476_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.157:0.157:0.157) (0.304:0.304:0.304)) - (IOPATH A1 X (0.115:0.115:0.115) (0.282:0.282:0.282)) - (IOPATH S X (0.239:0.239:0.239) (0.339:0.339:0.339)) - (IOPATH S X (0.169:0.169:0.169) (0.341:0.341:0.341)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5477_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.173:0.173:0.173) (0.303:0.303:0.303)) - (IOPATH A1 X (0.121:0.121:0.121) (0.289:0.289:0.289)) - (IOPATH S X (0.238:0.238:0.238) (0.345:0.345:0.345)) - (IOPATH S X (0.172:0.173:0.173) (0.342:0.342:0.342)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5478_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.177:0.177:0.177) (0.312:0.312:0.312)) - (IOPATH A1 X (0.115:0.115:0.115) (0.281:0.281:0.281)) - (IOPATH S X (0.237:0.237:0.237) (0.338:0.338:0.338)) - (IOPATH S X (0.168:0.168:0.168) (0.339:0.339:0.339)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5479_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.169:0.169:0.169) (0.299:0.299:0.299)) - (IOPATH A1 X (0.125:0.125:0.125) (0.292:0.292:0.292)) - (IOPATH S X (0.236:0.236:0.236) (0.346:0.346:0.346)) - (IOPATH S X (0.173:0.173:0.173) (0.340:0.340:0.341)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5480_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.178:0.178:0.178) (0.308:0.308:0.308)) - (IOPATH A1 X (0.117:0.117:0.117) (0.284:0.284:0.284)) - (IOPATH S X (0.229:0.229:0.229) (0.337:0.337:0.337)) - (IOPATH S X (0.166:0.166:0.166) (0.332:0.332:0.332)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5481_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.177:0.177:0.177) (0.304:0.304:0.304)) - (IOPATH A1 X (0.121:0.121:0.121) (0.287:0.287:0.287)) - (IOPATH S X (0.233:0.233:0.233) (0.341:0.341:0.341)) - (IOPATH S X (0.169:0.169:0.169) (0.337:0.337:0.337)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5482_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.169:0.169:0.169) (0.297:0.297:0.297)) - (IOPATH A1 X (0.119:0.119:0.119) (0.285:0.285:0.285)) - (IOPATH S X (0.237:0.237:0.237) (0.339:0.339:0.339)) - (IOPATH S X (0.169:0.169:0.169) (0.339:0.339:0.339)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5483_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.178:0.178:0.178) (0.304:0.304:0.304)) - (IOPATH A1 X (0.118:0.118:0.118) (0.284:0.284:0.284)) - (IOPATH S X (0.229:0.229:0.229) (0.337:0.337:0.338)) - (IOPATH S X (0.166:0.166:0.166) (0.332:0.332:0.332)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _5484_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.221:0.227:0.233) (0.259:0.259:0.259)) - (IOPATH B Y (0.302:0.302:0.302) (0.238:0.238:0.238)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5485_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.182:0.182:0.182) (0.315:0.315:0.315)) - (IOPATH A1 X (0.136:0.136:0.136) (0.299:0.299:0.299)) - (IOPATH S X (0.278:0.282:0.286) (0.351:0.352:0.352)) - (IOPATH S X (0.181:0.183:0.185) (0.378:0.381:0.385)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5486_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.165:0.165:0.165) (0.293:0.293:0.293)) - (IOPATH A1 X (0.117:0.117:0.117) (0.283:0.283:0.283)) - (IOPATH S X (0.277:0.281:0.284) (0.344:0.344:0.345)) - (IOPATH S X (0.177:0.178:0.179) (0.374:0.377:0.381)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5487_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.151:0.151:0.151) (0.294:0.294:0.294)) - (IOPATH A1 X (0.122:0.122:0.122) (0.286:0.286:0.286)) - (IOPATH S X (0.280:0.284:0.288) (0.346:0.347:0.347)) - (IOPATH S X (0.180:0.181:0.181) (0.378:0.381:0.384)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5488_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.178:0.178:0.178) (0.309:0.309:0.309)) - (IOPATH A1 X (0.135:0.135:0.135) (0.303:0.303:0.303)) - (IOPATH S X (0.295:0.299:0.302) (0.364:0.364:0.365)) - (IOPATH S X (0.195:0.196:0.197) (0.395:0.399:0.402)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5489_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.179:0.179:0.179) (0.306:0.306:0.306)) - (IOPATH A1 X (0.126:0.126:0.126) (0.290:0.290:0.290)) - (IOPATH S X (0.281:0.285:0.288) (0.348:0.348:0.349)) - (IOPATH S X (0.181:0.182:0.182) (0.379:0.382:0.385)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5490_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.174:0.174:0.174) (0.301:0.301:0.301)) - (IOPATH A1 X (0.121:0.121:0.121) (0.287:0.287:0.287)) - (IOPATH S X (0.282:0.285:0.289) (0.348:0.348:0.349)) - (IOPATH S X (0.181:0.182:0.183) (0.379:0.383:0.386)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5491_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.175:0.175:0.175) (0.306:0.306:0.306)) - (IOPATH A1 X (0.126:0.126:0.126) (0.292:0.292:0.292)) - (IOPATH S X (0.278:0.282:0.285) (0.350:0.351:0.352)) - (IOPATH S X (0.181:0.182:0.184) (0.377:0.380:0.384)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5492_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.179:0.179:0.179) (0.313:0.313:0.313)) - (IOPATH A1 X (0.119:0.119:0.119) (0.286:0.286:0.286)) - (IOPATH S X (0.275:0.279:0.283) (0.347:0.348:0.349)) - (IOPATH S X (0.178:0.180:0.181) (0.374:0.378:0.381)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _5493_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.080:0.080:0.080) (0.073:0.073:0.073)) - (IOPATH B Y (0.130:0.130:0.130) (0.087:0.087:0.087)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5494_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.171:0.171:0.171) (0.304:0.304:0.304)) - (IOPATH A1 X (0.143:0.143:0.143) (0.304:0.304:0.304)) - (IOPATH S X (0.225:0.225:0.225) (0.342:0.342:0.342)) - (IOPATH S X (0.165:0.165:0.165) (0.332:0.332:0.332)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5495_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.173:0.173:0.173) (0.302:0.302:0.302)) - (IOPATH A1 X (0.124:0.124:0.124) (0.291:0.291:0.291)) - (IOPATH S X (0.222:0.222:0.222) (0.338:0.338:0.338)) - (IOPATH S X (0.162:0.162:0.162) (0.328:0.328:0.328)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5496_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.186:0.186:0.186) (0.317:0.317:0.317)) - (IOPATH A1 X (0.123:0.123:0.123) (0.289:0.289:0.289)) - (IOPATH S X (0.221:0.221:0.221) (0.337:0.336:0.336)) - (IOPATH S X (0.160:0.160:0.160) (0.327:0.327:0.327)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5497_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.207:0.207:0.207) (0.337:0.337:0.337)) - (IOPATH A1 X (0.179:0.179:0.179) (0.341:0.341:0.341)) - (IOPATH S X (0.260:0.260:0.260) (0.379:0.379:0.379)) - (IOPATH S X (0.200:0.200:0.200) (0.369:0.369:0.369)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5498_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.179:0.179:0.179) (0.306:0.306:0.306)) - (IOPATH A1 X (0.124:0.124:0.124) (0.292:0.292:0.292)) - (IOPATH S X (0.225:0.225:0.225) (0.341:0.341:0.341)) - (IOPATH S X (0.164:0.164:0.164) (0.332:0.332:0.332)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5499_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.183:0.183:0.183) (0.311:0.311:0.311)) - (IOPATH A1 X (0.128:0.128:0.128) (0.296:0.296:0.296)) - (IOPATH S X (0.226:0.226:0.226) (0.344:0.344:0.344)) - (IOPATH S X (0.166:0.166:0.166) (0.334:0.334:0.334)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5500_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.208:0.208:0.208) (0.326:0.326:0.326)) - (IOPATH A1 X (0.155:0.155:0.155) (0.321:0.321:0.321)) - (IOPATH S X (0.246:0.246:0.246) (0.364:0.364:0.364)) - (IOPATH S X (0.186:0.186:0.186) (0.354:0.354:0.354)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5501_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.169:0.169:0.169) (0.296:0.296:0.296)) - (IOPATH A1 X (0.117:0.117:0.117) (0.283:0.283:0.283)) - (IOPATH S X (0.217:0.217:0.217) (0.332:0.332:0.332)) - (IOPATH S X (0.157:0.157:0.156) (0.322:0.322:0.322)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _5502_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.244:0.248:0.251) (0.296:0.296:0.297)) - (IOPATH B Y (0.264:0.264:0.264) (0.235:0.235:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5503_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.174:0.174:0.174) (0.299:0.299:0.299)) - (IOPATH A1 X (0.119:0.119:0.119) (0.286:0.286:0.286)) - (IOPATH S X (0.264:0.275:0.286) (0.343:0.343:0.344)) - (IOPATH S X (0.173:0.174:0.175) (0.363:0.373:0.383)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5504_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.205:0.205:0.205) (0.333:0.333:0.333)) - (IOPATH A1 X (0.163:0.163:0.163) (0.328:0.328:0.328)) - (IOPATH S X (0.298:0.310:0.321) (0.381:0.381:0.382)) - (IOPATH S X (0.208:0.209:0.210) (0.401:0.411:0.421)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5505_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.179:0.179:0.179) (0.312:0.312:0.312)) - (IOPATH A1 X (0.118:0.118:0.118) (0.284:0.284:0.284)) - (IOPATH S X (0.263:0.274:0.285) (0.341:0.342:0.342)) - (IOPATH S X (0.171:0.173:0.174) (0.362:0.372:0.382)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5506_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.214:0.214:0.214) (0.343:0.343:0.343)) - (IOPATH A1 X (0.184:0.184:0.184) (0.347:0.347:0.347)) - (IOPATH S X (0.312:0.323:0.335) (0.395:0.395:0.396)) - (IOPATH S X (0.221:0.223:0.224) (0.414:0.424:0.434)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5507_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.177:0.177:0.177) (0.306:0.306:0.306)) - (IOPATH A1 X (0.117:0.117:0.117) (0.283:0.283:0.283)) - (IOPATH S X (0.261:0.272:0.283) (0.339:0.340:0.340)) - (IOPATH S X (0.170:0.171:0.172) (0.360:0.370:0.380)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5508_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.178:0.178:0.178) (0.305:0.305:0.305)) - (IOPATH A1 X (0.124:0.124:0.124) (0.290:0.290:0.290)) - (IOPATH S X (0.266:0.277:0.288) (0.345:0.346:0.347)) - (IOPATH S X (0.175:0.176:0.177) (0.366:0.376:0.386)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5509_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.182:0.182:0.182) (0.310:0.310:0.310)) - (IOPATH A1 X (0.134:0.134:0.134) (0.301:0.301:0.301)) - (IOPATH S X (0.275:0.286:0.298) (0.356:0.357:0.358)) - (IOPATH S X (0.184:0.185:0.186) (0.377:0.387:0.397)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5510_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.198:0.198:0.198) (0.326:0.326:0.326)) - (IOPATH A1 X (0.163:0.163:0.163) (0.325:0.325:0.325)) - (IOPATH S X (0.290:0.301:0.313) (0.372:0.373:0.373)) - (IOPATH S X (0.199:0.200:0.201) (0.392:0.402:0.412)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _5511_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.216:0.221:0.226) (0.275:0.275:0.276)) - (IOPATH B Y (0.286:0.286:0.286) (0.227:0.227:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5512_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.186:0.186:0.186) (0.318:0.318:0.318)) - (IOPATH A1 X (0.126:0.126:0.126) (0.294:0.294:0.294)) - (IOPATH S X (0.269:0.278:0.288) (0.350:0.351:0.352)) - (IOPATH S X (0.176:0.178:0.180) (0.371:0.379:0.387)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5513_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.168:0.168:0.168) (0.296:0.296:0.296)) - (IOPATH A1 X (0.119:0.119:0.119) (0.285:0.285:0.285)) - (IOPATH S X (0.262:0.272:0.281) (0.340:0.341:0.342)) - (IOPATH S X (0.169:0.171:0.172) (0.362:0.370:0.378)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5514_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.184:0.184:0.184) (0.320:0.320:0.320)) - (IOPATH A1 X (0.120:0.120:0.120) (0.288:0.288:0.288)) - (IOPATH S X (0.264:0.273:0.283) (0.344:0.345:0.346)) - (IOPATH S X (0.171:0.173:0.175) (0.364:0.373:0.381)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5515_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.182:0.182:0.182) (0.313:0.313:0.313)) - (IOPATH A1 X (0.129:0.129:0.129) (0.294:0.294:0.294)) - (IOPATH S X (0.267:0.276:0.285) (0.346:0.347:0.348)) - (IOPATH S X (0.174:0.175:0.177) (0.368:0.376:0.384)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5516_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.177:0.177:0.177) (0.304:0.304:0.304)) - (IOPATH A1 X (0.122:0.122:0.122) (0.290:0.290:0.290)) - (IOPATH S X (0.268:0.277:0.287) (0.347:0.348:0.349)) - (IOPATH S X (0.175:0.176:0.178) (0.369:0.377:0.385)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5517_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.180:0.180:0.180) (0.307:0.307:0.307)) - (IOPATH A1 X (0.123:0.123:0.123) (0.290:0.290:0.290)) - (IOPATH S X (0.267:0.276:0.286) (0.346:0.347:0.348)) - (IOPATH S X (0.174:0.175:0.177) (0.368:0.376:0.384)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5518_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.172:0.172:0.172) (0.302:0.302:0.302)) - (IOPATH A1 X (0.121:0.121:0.121) (0.287:0.287:0.287)) - (IOPATH S X (0.261:0.271:0.280) (0.341:0.342:0.343)) - (IOPATH S X (0.169:0.170:0.172) (0.361:0.370:0.378)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5519_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.196:0.196:0.196) (0.330:0.330:0.330)) - (IOPATH A1 X (0.137:0.137:0.137) (0.305:0.305:0.305)) - (IOPATH S X (0.277:0.287:0.296) (0.359:0.360:0.361)) - (IOPATH S X (0.185:0.186:0.188) (0.380:0.388:0.396)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _5520_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.223:0.228:0.234) (0.273:0.273:0.274)) - (IOPATH B Y (0.250:0.250:0.250) (0.218:0.218:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5521_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.179:0.179:0.179) (0.306:0.306:0.306)) - (IOPATH A1 X (0.123:0.123:0.123) (0.291:0.291:0.291)) - (IOPATH S X (0.258:0.269:0.280) (0.343:0.344:0.345)) - (IOPATH S X (0.169:0.170:0.172) (0.360:0.370:0.379)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5522_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.168:0.168:0.168) (0.296:0.296:0.296)) - (IOPATH A1 X (0.121:0.121:0.121) (0.287:0.287:0.287)) - (IOPATH S X (0.253:0.264:0.275) (0.338:0.338:0.339)) - (IOPATH S X (0.165:0.166:0.167) (0.354:0.364:0.374)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5523_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.186:0.186:0.186) (0.318:0.318:0.318)) - (IOPATH A1 X (0.122:0.122:0.122) (0.290:0.290:0.290)) - (IOPATH S X (0.259:0.270:0.281) (0.344:0.345:0.346)) - (IOPATH S X (0.170:0.171:0.172) (0.361:0.370:0.380)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5524_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.169:0.169:0.169) (0.299:0.299:0.299)) - (IOPATH A1 X (0.126:0.126:0.126) (0.293:0.293:0.293)) - (IOPATH S X (0.259:0.270:0.281) (0.345:0.345:0.346)) - (IOPATH S X (0.170:0.171:0.173) (0.361:0.371:0.380)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5525_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.181:0.181:0.181) (0.310:0.310:0.310)) - (IOPATH A1 X (0.120:0.120:0.120) (0.287:0.287:0.287)) - (IOPATH S X (0.254:0.265:0.276) (0.339:0.340:0.340)) - (IOPATH S X (0.166:0.167:0.168) (0.355:0.365:0.375)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5526_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.188:0.188:0.188) (0.315:0.315:0.315)) - (IOPATH A1 X (0.135:0.135:0.135) (0.302:0.302:0.302)) - (IOPATH S X (0.265:0.276:0.287) (0.352:0.353:0.354)) - (IOPATH S X (0.177:0.178:0.179) (0.369:0.378:0.388)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5527_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.159:0.159:0.159) (0.306:0.306:0.306)) - (IOPATH A1 X (0.123:0.123:0.123) (0.291:0.291:0.291)) - (IOPATH S X (0.258:0.270:0.281) (0.344:0.345:0.345)) - (IOPATH S X (0.170:0.171:0.172) (0.360:0.370:0.380)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5528_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.195:0.195:0.195) (0.323:0.323:0.323)) - (IOPATH A1 X (0.153:0.153:0.153) (0.318:0.318:0.318)) - (IOPATH S X (0.277:0.288:0.299) (0.365:0.365:0.366)) - (IOPATH S X (0.189:0.190:0.191) (0.381:0.390:0.400)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _5529_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.414:0.414:0.414) (0.298:0.306:0.315)) - (IOPATH B X (0.336:0.336:0.336) (0.309:0.309:0.309)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5530_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.117:0.117:0.117) (0.276:0.276:0.276)) - (IOPATH A1 X (0.159:0.159:0.159) (0.299:0.299:0.299)) - (IOPATH S X (0.224:0.225:0.225) (0.344:0.344:0.344)) - (IOPATH S X (0.174:0.174:0.175) (0.329:0.329:0.329)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5531_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.143:0.143:0.143) (0.304:0.304:0.304)) - (IOPATH A1 X (0.207:0.207:0.207) (0.339:0.339:0.339)) - (IOPATH S X (0.250:0.251:0.251) (0.373:0.373:0.373)) - (IOPATH S X (0.201:0.201:0.201) (0.357:0.358:0.358)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5532_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.119:0.119:0.119) (0.280:0.280:0.280)) - (IOPATH A1 X (0.188:0.188:0.188) (0.322:0.322:0.322)) - (IOPATH S X (0.229:0.229:0.230) (0.350:0.350:0.350)) - (IOPATH S X (0.179:0.179:0.180) (0.334:0.335:0.335)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5533_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.117:0.117:0.117) (0.278:0.278:0.278)) - (IOPATH A1 X (0.163:0.163:0.163) (0.317:0.317:0.317)) - (IOPATH S X (0.228:0.228:0.228) (0.348:0.348:0.348)) - (IOPATH S X (0.178:0.178:0.178) (0.333:0.333:0.333)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5534_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.130:0.130:0.130) (0.292:0.292:0.292)) - (IOPATH A1 X (0.182:0.182:0.182) (0.338:0.338:0.338)) - (IOPATH S X (0.239:0.240:0.240) (0.362:0.362:0.362)) - (IOPATH S X (0.189:0.190:0.190) (0.346:0.346:0.347)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _5535_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.395:0.395:0.395) (0.252:0.256:0.261)) - (IOPATH B X (0.381:0.381:0.381) (0.333:0.333:0.333)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5536_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.124:0.124:0.124) (0.286:0.286:0.286)) - (IOPATH A1 X (0.191:0.191:0.191) (0.329:0.329:0.329)) - (IOPATH S X (0.227:0.228:0.228) (0.355:0.355:0.355)) - (IOPATH S X (0.182:0.182:0.182) (0.335:0.336:0.336)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5537_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.116:0.116:0.116) (0.275:0.275:0.275)) - (IOPATH A1 X (0.184:0.184:0.184) (0.320:0.320:0.320)) - (IOPATH S X (0.218:0.219:0.220) (0.343:0.343:0.344)) - (IOPATH S X (0.173:0.173:0.173) (0.324:0.324:0.325)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5538_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.123:0.123:0.123) (0.283:0.283:0.283)) - (IOPATH A1 X (0.192:0.192:0.192) (0.332:0.332:0.332)) - (IOPATH S X (0.224:0.225:0.226) (0.351:0.351:0.351)) - (IOPATH S X (0.179:0.179:0.179) (0.332:0.332:0.333)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5539_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.130:0.130:0.130) (0.290:0.290:0.290)) - (IOPATH A1 X (0.191:0.191:0.191) (0.330:0.330:0.330)) - (IOPATH S X (0.228:0.228:0.229) (0.355:0.355:0.355)) - (IOPATH S X (0.183:0.183:0.183) (0.335:0.336:0.336)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5540_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.117:0.117:0.117) (0.279:0.279:0.279)) - (IOPATH A1 X (0.178:0.178:0.178) (0.316:0.316:0.316)) - (IOPATH S X (0.222:0.223:0.223) (0.348:0.348:0.348)) - (IOPATH S X (0.177:0.177:0.177) (0.329:0.329:0.330)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5541_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.122:0.122:0.122) (0.283:0.283:0.283)) - (IOPATH A1 X (0.189:0.189:0.189) (0.361:0.361:0.361)) - (IOPATH S X (0.224:0.225:0.225) (0.351:0.351:0.351)) - (IOPATH S X (0.179:0.179:0.179) (0.331:0.332:0.332)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5542_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.122:0.122:0.122) (0.282:0.282:0.282)) - (IOPATH A1 X (0.182:0.182:0.182) (0.318:0.318:0.318)) - (IOPATH S X (0.224:0.225:0.225) (0.351:0.351:0.351)) - (IOPATH S X (0.179:0.179:0.179) (0.331:0.332:0.332)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5543_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.113:0.113:0.113) (0.271:0.271:0.271)) - (IOPATH A1 X (0.178:0.178:0.178) (0.316:0.316:0.316)) - (IOPATH S X (0.214:0.215:0.215) (0.339:0.339:0.339)) - (IOPATH S X (0.169:0.169:0.169) (0.319:0.319:0.320)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _5544_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.260:0.264:0.267) (0.321:0.321:0.322)) - (IOPATH B Y (0.287:0.287:0.287) (0.252:0.252:0.252)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5545_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.189:0.189:0.189) (0.322:0.322:0.322)) - (IOPATH A1 X (0.146:0.146:0.146) (0.314:0.314:0.314)) - (IOPATH S X (0.304:0.314:0.324) (0.375:0.376:0.376)) - (IOPATH S X (0.207:0.208:0.208) (0.405:0.415:0.426)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5546_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.169:0.169:0.169) (0.296:0.296:0.296)) - (IOPATH A1 X (0.121:0.121:0.121) (0.287:0.287:0.287)) - (IOPATH S X (0.280:0.290:0.300) (0.349:0.349:0.349)) - (IOPATH S X (0.183:0.183:0.184) (0.378:0.389:0.399)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5547_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.165:0.165:0.165) (0.310:0.310:0.310)) - (IOPATH A1 X (0.129:0.129:0.129) (0.299:0.299:0.299)) - (IOPATH S X (0.292:0.302:0.312) (0.363:0.363:0.364)) - (IOPATH S X (0.195:0.195:0.196) (0.392:0.403:0.413)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5548_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.199:0.199:0.199) (0.329:0.329:0.329)) - (IOPATH A1 X (0.173:0.173:0.173) (0.334:0.334:0.334)) - (IOPATH S X (0.313:0.323:0.333) (0.386:0.386:0.387)) - (IOPATH S X (0.217:0.218:0.218) (0.414:0.425:0.435)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5549_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.180:0.180:0.180) (0.309:0.309:0.309)) - (IOPATH A1 X (0.124:0.124:0.124) (0.289:0.289:0.289)) - (IOPATH S X (0.280:0.290:0.300) (0.348:0.349:0.349)) - (IOPATH S X (0.182:0.183:0.184) (0.378:0.388:0.399)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5550_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.181:0.181:0.181) (0.308:0.308:0.308)) - (IOPATH A1 X (0.129:0.129:0.129) (0.295:0.295:0.295)) - (IOPATH S X (0.285:0.295:0.305) (0.355:0.356:0.356)) - (IOPATH S X (0.188:0.189:0.189) (0.384:0.395:0.405)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5551_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.156:0.156:0.156) (0.303:0.303:0.303)) - (IOPATH A1 X (0.123:0.123:0.123) (0.289:0.289:0.289)) - (IOPATH S X (0.284:0.293:0.302) (0.351:0.352:0.352)) - (IOPATH S X (0.185:0.186:0.186) (0.382:0.392:0.402)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5552_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.189:0.189:0.189) (0.315:0.315:0.315)) - (IOPATH A1 X (0.135:0.135:0.135) (0.302:0.302:0.302)) - (IOPATH S X (0.292:0.302:0.311) (0.363:0.363:0.363)) - (IOPATH S X (0.194:0.195:0.196) (0.392:0.403:0.413)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _5553_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.236:0.236:0.236) (0.220:0.220:0.220)) - (IOPATH B Y (0.268:0.268:0.268) (0.237:0.237:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5554_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.161:0.161:0.161) (0.292:0.292:0.292)) - (IOPATH A1 X (0.118:0.118:0.118) (0.284:0.284:0.284)) - (IOPATH S X (0.262:0.264:0.267) (0.342:0.342:0.343)) - (IOPATH S X (0.174:0.175:0.176) (0.361:0.363:0.365)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5555_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.193:0.193:0.193) (0.325:0.325:0.325)) - (IOPATH A1 X (0.132:0.132:0.132) (0.300:0.300:0.300)) - (IOPATH S X (0.280:0.282:0.284) (0.360:0.361:0.361)) - (IOPATH S X (0.190:0.191:0.192) (0.381:0.383:0.385)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5556_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.164:0.164:0.164) (0.309:0.309:0.309)) - (IOPATH A1 X (0.133:0.133:0.133) (0.301:0.301:0.301)) - (IOPATH S X (0.276:0.278:0.280) (0.359:0.359:0.360)) - (IOPATH S X (0.188:0.189:0.190) (0.378:0.380:0.382)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5557_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.178:0.178:0.178) (0.326:0.326:0.326)) - (IOPATH A1 X (0.148:0.148:0.148) (0.313:0.313:0.313)) - (IOPATH S X (0.285:0.288:0.290) (0.368:0.369:0.370)) - (IOPATH S X (0.197:0.198:0.199) (0.388:0.390:0.392)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5558_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.184:0.184:0.184) (0.316:0.316:0.316)) - (IOPATH A1 X (0.139:0.139:0.139) (0.305:0.305:0.305)) - (IOPATH S X (0.281:0.284:0.286) (0.362:0.362:0.363)) - (IOPATH S X (0.191:0.192:0.194) (0.383:0.385:0.387)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5559_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.192:0.192:0.192) (0.320:0.320:0.320)) - (IOPATH A1 X (0.144:0.144:0.144) (0.309:0.309:0.309)) - (IOPATH S X (0.281:0.283:0.285) (0.364:0.364:0.365)) - (IOPATH S X (0.193:0.194:0.195) (0.383:0.385:0.387)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5560_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.159:0.159:0.159) (0.306:0.306:0.306)) - (IOPATH A1 X (0.124:0.124:0.124) (0.292:0.292:0.292)) - (IOPATH S X (0.272:0.275:0.277) (0.352:0.352:0.353)) - (IOPATH S X (0.183:0.184:0.185) (0.373:0.375:0.377)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5561_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.189:0.189:0.189) (0.317:0.317:0.317)) - (IOPATH A1 X (0.139:0.139:0.139) (0.307:0.307:0.307)) - (IOPATH S X (0.283:0.285:0.287) (0.366:0.366:0.367)) - (IOPATH S X (0.194:0.196:0.197) (0.385:0.387:0.389)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE _5562_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.094:0.096:0.098) (0.088:0.088:0.088)) - (IOPATH B Y (0.121:0.121:0.121) (0.091:0.091:0.091)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5563_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.168:0.168:0.168) (0.301:0.301:0.301)) - (IOPATH A1 X (0.123:0.123:0.123) (0.291:0.291:0.291)) - (IOPATH S X (0.297:0.297:0.297) (0.376:0.376:0.376)) - (IOPATH S X (0.229:0.229:0.229) (0.396:0.396:0.396)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5564_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.191:0.191:0.191) (0.319:0.319:0.319)) - (IOPATH A1 X (0.150:0.150:0.150) (0.315:0.315:0.315)) - (IOPATH S X (0.313:0.313:0.313) (0.394:0.394:0.394)) - (IOPATH S X (0.247:0.247:0.247) (0.414:0.414:0.414)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5565_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.160:0.160:0.160) (0.305:0.305:0.305)) - (IOPATH A1 X (0.138:0.138:0.138) (0.302:0.302:0.302)) - (IOPATH S X (0.300:0.300:0.300) (0.381:0.381:0.381)) - (IOPATH S X (0.233:0.233:0.233) (0.400:0.400:0.400)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5566_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.188:0.188:0.188) (0.318:0.318:0.318)) - (IOPATH A1 X (0.151:0.151:0.151) (0.317:0.317:0.317)) - (IOPATH S X (0.316:0.316:0.316) (0.397:0.397:0.397)) - (IOPATH S X (0.250:0.250:0.250) (0.416:0.416:0.416)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5567_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.170:0.170:0.170) (0.300:0.300:0.300)) - (IOPATH A1 X (0.125:0.125:0.125) (0.290:0.290:0.290)) - (IOPATH S X (0.295:0.295:0.295) (0.374:0.374:0.374)) - (IOPATH S X (0.227:0.227:0.227) (0.393:0.393:0.393)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5568_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.188:0.188:0.188) (0.316:0.316:0.316)) - (IOPATH A1 X (0.135:0.135:0.135) (0.303:0.303:0.303)) - (IOPATH S X (0.305:0.305:0.305) (0.386:0.386:0.386)) - (IOPATH S X (0.238:0.238:0.238) (0.406:0.406:0.406)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5569_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.207:0.207:0.207) (0.327:0.327:0.327)) - (IOPATH A1 X (0.159:0.159:0.159) (0.323:0.323:0.323)) - (IOPATH S X (0.319:0.319:0.319) (0.400:0.400:0.400)) - (IOPATH S X (0.253:0.253:0.253) (0.420:0.420:0.420)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5570_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.204:0.204:0.204) (0.331:0.331:0.331)) - (IOPATH A1 X (0.167:0.167:0.167) (0.330:0.330:0.330)) - (IOPATH S X (0.325:0.325:0.325) (0.406:0.406:0.406)) - (IOPATH S X (0.259:0.259:0.259) (0.425:0.425:0.425)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _5571_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.225:0.225:0.225) (0.218:0.218:0.218)) - (IOPATH B Y (0.247:0.247:0.247) (0.218:0.218:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5572_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.160:0.160:0.160) (0.292:0.292:0.292)) - (IOPATH A1 X (0.116:0.116:0.116) (0.282:0.282:0.282)) - (IOPATH S X (0.259:0.259:0.260) (0.338:0.339:0.339)) - (IOPATH S X (0.168:0.169:0.170) (0.358:0.358:0.359)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5573_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.179:0.179:0.179) (0.310:0.310:0.310)) - (IOPATH A1 X (0.126:0.126:0.126) (0.295:0.295:0.295)) - (IOPATH S X (0.272:0.273:0.273) (0.352:0.353:0.354)) - (IOPATH S X (0.180:0.181:0.182) (0.374:0.374:0.375)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5574_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.159:0.159:0.159) (0.303:0.303:0.303)) - (IOPATH A1 X (0.128:0.128:0.128) (0.295:0.295:0.295)) - (IOPATH S X (0.269:0.269:0.270) (0.349:0.350:0.351)) - (IOPATH S X (0.177:0.178:0.179) (0.370:0.370:0.371)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5575_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.183:0.183:0.183) (0.313:0.313:0.313)) - (IOPATH A1 X (0.150:0.150:0.150) (0.314:0.314:0.314)) - (IOPATH S X (0.280:0.281:0.281) (0.363:0.364:0.364)) - (IOPATH S X (0.190:0.191:0.192) (0.383:0.383:0.384)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5576_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.176:0.176:0.176) (0.302:0.302:0.302)) - (IOPATH A1 X (0.127:0.127:0.127) (0.292:0.292:0.292)) - (IOPATH S X (0.266:0.267:0.267) (0.346:0.347:0.347)) - (IOPATH S X (0.175:0.176:0.177) (0.367:0.367:0.368)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5577_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.193:0.193:0.193) (0.320:0.320:0.320)) - (IOPATH A1 X (0.139:0.139:0.139) (0.307:0.307:0.307)) - (IOPATH S X (0.277:0.278:0.278) (0.361:0.362:0.362)) - (IOPATH S X (0.187:0.188:0.189) (0.380:0.381:0.381)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5578_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.154:0.154:0.154) (0.301:0.301:0.301)) - (IOPATH A1 X (0.121:0.121:0.121) (0.287:0.287:0.287)) - (IOPATH S X (0.266:0.266:0.267) (0.344:0.344:0.345)) - (IOPATH S X (0.173:0.174:0.175) (0.366:0.366:0.367)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5579_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.169:0.169:0.169) (0.296:0.296:0.296)) - (IOPATH A1 X (0.122:0.122:0.122) (0.287:0.287:0.287)) - (IOPATH S X (0.260:0.260:0.261) (0.340:0.341:0.342)) - (IOPATH S X (0.169:0.171:0.172) (0.360:0.360:0.361)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _5580_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.114:0.114:0.114) (0.055:0.055:0.055)) - (IOPATH B Y (0.102:0.102:0.102) (0.064:0.064:0.064)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5581_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.169:0.169:0.169) (0.301:0.301:0.301)) - (IOPATH A1 X (0.122:0.122:0.122) (0.290:0.290:0.290)) - (IOPATH S X (0.229:0.229:0.229) (0.354:0.354:0.354)) - (IOPATH S X (0.186:0.186:0.186) (0.334:0.334:0.334)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5582_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.187:0.187:0.187) (0.312:0.312:0.312)) - (IOPATH A1 X (0.191:0.191:0.191) (0.328:0.328:0.328)) - (IOPATH S X (0.232:0.232:0.232) (0.358:0.358:0.358)) - (IOPATH S X (0.189:0.189:0.189) (0.338:0.338:0.338)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5583_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.185:0.185:0.185) (0.319:0.319:0.319)) - (IOPATH A1 X (0.120:0.120:0.120) (0.288:0.288:0.288)) - (IOPATH S X (0.231:0.231:0.231) (0.352:0.352:0.352)) - (IOPATH S X (0.185:0.185:0.185) (0.335:0.335:0.335)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5584_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.188:0.188:0.188) (0.318:0.318:0.318)) - (IOPATH A1 X (0.149:0.149:0.149) (0.315:0.315:0.315)) - (IOPATH S X (0.247:0.247:0.247) (0.374:0.374:0.374)) - (IOPATH S X (0.205:0.205:0.205) (0.354:0.354:0.354)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5585_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.181:0.181:0.181) (0.308:0.308:0.308)) - (IOPATH A1 X (0.127:0.127:0.127) (0.295:0.295:0.295)) - (IOPATH S X (0.232:0.232:0.232) (0.357:0.357:0.357)) - (IOPATH S X (0.189:0.189:0.189) (0.338:0.338:0.338)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5586_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.187:0.187:0.187) (0.316:0.316:0.316)) - (IOPATH A1 X (0.130:0.130:0.130) (0.299:0.299:0.299)) - (IOPATH S X (0.235:0.235:0.235) (0.362:0.362:0.362)) - (IOPATH S X (0.193:0.193:0.193) (0.342:0.342:0.342)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5587_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.183:0.183:0.183) (0.312:0.312:0.312)) - (IOPATH A1 X (0.129:0.129:0.129) (0.298:0.298:0.298)) - (IOPATH S X (0.238:0.238:0.238) (0.361:0.361:0.361)) - (IOPATH S X (0.192:0.193:0.193) (0.344:0.344:0.344)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5588_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.197:0.197:0.197) (0.324:0.324:0.324)) - (IOPATH A1 X (0.135:0.135:0.135) (0.304:0.304:0.304)) - (IOPATH S X (0.240:0.240:0.240) (0.367:0.367:0.367)) - (IOPATH S X (0.198:0.198:0.198) (0.347:0.347:0.347)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _5589_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.165:0.165:0.165) (0.196:0.196:0.196)) - (IOPATH A2 X (0.138:0.138:0.138) (0.192:0.192:0.192)) - (IOPATH B1 X (0.129:0.129:0.129) (0.200:0.200:0.200)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o311a_1") - (INSTANCE _5590_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.180:0.180:0.180) (0.352:0.352:0.352)) - (IOPATH A2 X (0.204:0.204:0.204) (0.365:0.365:0.365)) - (IOPATH A3 X (0.173:0.173:0.173) (0.316:0.316:0.316)) - (IOPATH B1 X (0.181:0.181:0.181) (0.141:0.142:0.142)) - (IOPATH C1 X (0.159:0.160:0.160) (0.107:0.108:0.108)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _5591_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.418:0.418:0.418) (0.184:0.184:0.184)) - (IOPATH B Y (0.412:0.412:0.412) (0.163:0.163:0.163)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _5592_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.236:0.236:0.236) (0.226:0.226:0.226)) - (IOPATH B Y (0.240:0.241:0.242) (0.195:0.196:0.196)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _5593_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.201:0.201:0.201) (0.096:0.096:0.096)) - (IOPATH B Y (0.185:0.185:0.185) (0.108:0.108:0.108)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _5594_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.377:0.377:0.377) (0.442:0.442:0.442)) - (IOPATH A2 X (0.390:0.390:0.390) (0.423:0.423:0.423)) - (IOPATH A3 X (0.365:0.365:0.365) (0.392:0.392:0.392)) - (IOPATH B1 X (0.403:0.404:0.404) (0.274:0.276:0.278)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _5595_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.085:0.086:0.088) (0.056:0.056:0.056)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _5596_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.254:0.254:0.254) (0.503:0.503:0.503)) - (IOPATH B X (0.283:0.283:0.283) (0.472:0.476:0.480)) - (IOPATH C X (0.301:0.301:0.301) (0.450:0.452:0.454)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _5597_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.123:0.123:0.123) (0.120:0.120:0.120)) - (IOPATH B Y (0.147:0.150:0.153) (0.148:0.148:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _5598_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.142:0.142:0.142) (0.256:0.256:0.256)) - (IOPATH B X (0.162:0.162:0.162) (0.254:0.257:0.260)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_1") - (INSTANCE _5599_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.194:0.194:0.194) (0.199:0.199:0.199)) - (IOPATH B X (0.165:0.167:0.168) (0.187:0.190:0.193)) - (IOPATH C X (0.159:0.160:0.160) (0.185:0.185:0.185)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _5600_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.180:0.180:0.180) (0.171:0.171:0.171)) - (IOPATH B Y (0.191:0.191:0.191) (0.175:0.175:0.175)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_1") - (INSTANCE _5601_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.273:0.273:0.273) (0.323:0.323:0.323)) - (IOPATH A2 X (0.272:0.272:0.272) (0.309:0.309:0.309)) - (IOPATH B1 X (0.259:0.259:0.259) (0.289:0.289:0.289)) - (IOPATH B2 X (0.276:0.276:0.276) (0.270:0.270:0.270)) - (IOPATH C1 X (0.280:0.280:0.280) (0.214:0.216:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5602_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.233:0.233:0.233) (0.275:0.275:0.275)) - (IOPATH A2 X (0.184:0.185:0.185) (0.271:0.271:0.271)) - (IOPATH B1 X (0.205:0.205:0.206) (0.251:0.252:0.253)) - (IOPATH B2 X (0.195:0.196:0.196) (0.244:0.246:0.249)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_1") - (INSTANCE _5603_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.175:0.175:0.175) (0.207:0.207:0.207)) - (IOPATH A2 X (0.184:0.184:0.184) (0.240:0.240:0.240)) - (IOPATH A3 X (0.202:0.202:0.202) (0.263:0.265:0.268)) - (IOPATH B1 X (0.132:0.132:0.132) (0.212:0.212:0.212)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4_1") - (INSTANCE _5604_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.335:0.335:0.335) (0.245:0.245:0.245)) - (IOPATH B X (0.346:0.346:0.346) (0.276:0.276:0.276)) - (IOPATH C X (0.338:0.338:0.338) (0.284:0.284:0.284)) - (IOPATH D X (0.366:0.366:0.366) (0.317:0.320:0.323)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _5605_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.069:0.070:0.070) (0.088:0.088:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_1") - (INSTANCE _5606_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.241:0.241:0.241) (0.228:0.228:0.228)) - (IOPATH B X (0.201:0.202:0.203) (0.193:0.194:0.195)) - (IOPATH C X (0.203:0.204:0.204) (0.218:0.218:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21boi_1") - (INSTANCE _5607_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.203:0.203:0.203) (0.115:0.115:0.115)) - (IOPATH A2 Y (0.221:0.222:0.223) (0.125:0.125:0.125)) - (IOPATH B1_N Y (0.248:0.248:0.249) (0.194:0.194:0.194)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _5608_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.168:0.168:0.168) (0.220:0.220:0.220)) - (IOPATH A2 X (0.182:0.183:0.183) (0.219:0.220:0.221)) - (IOPATH B1 X (0.189:0.191:0.193) (0.134:0.140:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _5609_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.316:0.316:0.316) (0.167:0.167:0.167)) - (IOPATH B Y (0.297:0.297:0.297) (0.134:0.134:0.134)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _5610_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.198:0.198:0.198) (0.297:0.297:0.297)) - (IOPATH B X (0.178:0.178:0.178) (0.275:0.275:0.275)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5611_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.165:0.165:0.165) (0.314:0.314:0.314)) - (IOPATH A1 X (0.196:0.196:0.196) (0.314:0.317:0.321)) - (IOPATH S X (0.203:0.203:0.203) (0.323:0.323:0.323)) - (IOPATH S X (0.136:0.136:0.136) (0.317:0.317:0.317)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _5612_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.318:0.318:0.318) (0.129:0.129:0.129)) - (IOPATH B Y (0.285:0.285:0.285) (0.099:0.099:0.099)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _5613_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.161:0.161:0.161) (0.148:0.148:0.148)) - (IOPATH B Y (0.146:0.151:0.156) (0.179:0.179:0.179)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _5614_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.332:0.332:0.332) (0.284:0.284:0.284)) - (IOPATH B X (0.303:0.303:0.303) (0.296:0.296:0.296)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _5615_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.318:0.318:0.318) (0.108:0.108:0.108)) - (IOPATH B Y (0.334:0.334:0.334) (0.144:0.144:0.144)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _5616_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.250:0.250:0.250) (0.247:0.247:0.247)) - (IOPATH B Y (0.249:0.249:0.249) (0.190:0.190:0.190)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o32a_1") - (INSTANCE _5617_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.174:0.174:0.174) (0.374:0.374:0.374)) - (IOPATH A2 X (0.215:0.215:0.215) (0.341:0.344:0.348)) - (IOPATH A3 X (0.182:0.182:0.182) (0.311:0.311:0.312)) - (IOPATH B1 X (0.153:0.153:0.153) (0.181:0.181:0.181)) - (IOPATH B2 X (0.150:0.150:0.150) (0.171:0.171:0.171)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _5618_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.242:0.242:0.242) (0.328:0.328:0.328)) - (IOPATH B X (0.224:0.225:0.225) (0.309:0.312:0.314)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _5619_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.087:0.087:0.087) (0.061:0.061:0.061)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_1") - (INSTANCE _5620_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.150:0.150:0.150) (0.190:0.190:0.190)) - (IOPATH A2 X (0.168:0.168:0.169) (0.239:0.241:0.243)) - (IOPATH A3 X (0.191:0.191:0.191) (0.278:0.280:0.282)) - (IOPATH B1 X (0.102:0.102:0.102) (0.189:0.189:0.189)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _5621_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.384:0.384:0.384) (0.145:0.145:0.145)) - (IOPATH B Y (0.363:0.363:0.363) (0.140:0.140:0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _5622_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.201:0.201:0.201) (0.107:0.107:0.107)) - (IOPATH B Y (0.184:0.184:0.184) (0.094:0.094:0.094)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_1") - (INSTANCE _5623_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.173:0.173:0.173) (0.206:0.206:0.206)) - (IOPATH A2 X (0.188:0.188:0.188) (0.262:0.264:0.266)) - (IOPATH A3 X (0.171:0.171:0.171) (0.244:0.244:0.244)) - (IOPATH B1 X (0.127:0.127:0.127) (0.192:0.193:0.194)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _5624_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.100:0.100:0.100) (0.068:0.068:0.068)) - (IOPATH B Y (0.116:0.118:0.120) (0.083:0.083:0.084)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5625_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.121:0.122:0.123) (0.277:0.278:0.278)) - (IOPATH A1 X (0.157:0.157:0.157) (0.337:0.337:0.337)) - (IOPATH S X (0.200:0.202:0.203) (0.336:0.336:0.336)) - (IOPATH S X (0.159:0.159:0.159) (0.311:0.312:0.313)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5626_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.143:0.143:0.143) (0.295:0.295:0.295)) - (IOPATH A1 X (0.146:0.146:0.146) (0.320:0.320:0.320)) - (IOPATH S X (0.229:0.229:0.229) (0.351:0.351:0.351)) - (IOPATH S X (0.178:0.178:0.178) (0.335:0.335:0.335)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _5627_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.326:0.326:0.326) (0.280:0.280:0.280)) - (IOPATH B X (0.328:0.328:0.328) (0.308:0.308:0.308)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_1") - (INSTANCE _5628_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.290:0.290:0.290) (0.239:0.239:0.239)) - (IOPATH B X (0.296:0.296:0.296) (0.251:0.251:0.251)) - (IOPATH C X (0.299:0.299:0.299) (0.272:0.272:0.272)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_4") - (INSTANCE _5629_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.403:0.403:0.403) (0.289:0.289:0.289)) - (IOPATH B X (0.325:0.325:0.325) (0.263:0.263:0.263)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o32a_1") - (INSTANCE _5630_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.167:0.167:0.167) (0.368:0.368:0.368)) - (IOPATH A2 X (0.179:0.179:0.179) (0.336:0.336:0.336)) - (IOPATH A3 X (0.174:0.174:0.174) (0.297:0.298:0.298)) - (IOPATH B1 X (0.158:0.158:0.158) (0.181:0.181:0.181)) - (IOPATH B2 X (0.129:0.129:0.129) (0.156:0.156:0.156)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _5631_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.154:0.154:0.154) (0.087:0.087:0.087)) - (IOPATH A2 Y (0.211:0.213:0.215) (0.115:0.115:0.115)) - (IOPATH B1 Y (0.149:0.150:0.150) (0.069:0.069:0.069)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _5632_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.159:0.159:0.159) (0.075:0.075:0.075)) - (IOPATH A2 Y (0.192:0.192:0.193) (0.111:0.111:0.111)) - (IOPATH B1 Y (0.150:0.152:0.154) (0.065:0.067:0.070)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _5633_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.344:0.344:0.344) (0.295:0.295:0.295)) - (IOPATH B X (0.326:0.326:0.326) (0.306:0.306:0.306)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _5634_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.119:0.120:0.120) (0.122:0.122:0.122)) - (IOPATH B Y (0.140:0.140:0.141) (0.138:0.138:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_1") - (INSTANCE _5635_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.183:0.183:0.183) (0.176:0.176:0.176)) - (IOPATH B X (0.178:0.178:0.178) (0.174:0.175:0.175)) - (IOPATH C X (0.190:0.190:0.190) (0.207:0.207:0.208)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_1") - (INSTANCE _5636_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.145:0.145:0.145) (0.186:0.186:0.186)) - (IOPATH A2 X (0.193:0.193:0.193) (0.266:0.268:0.270)) - (IOPATH A3 X (0.157:0.158:0.159) (0.237:0.237:0.238)) - (IOPATH B1 X (0.101:0.101:0.101) (0.183:0.184:0.185)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_1") - (INSTANCE _5637_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.252:0.252:0.252) (0.203:0.203:0.203)) - (IOPATH B X (0.241:0.241:0.241) (0.219:0.220:0.220)) - (IOPATH C X (0.252:0.252:0.252) (0.248:0.249:0.249)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _5638_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.245:0.245:0.245) (0.136:0.136:0.136)) - (IOPATH B Y (0.226:0.229:0.231) (0.152:0.152:0.152)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_1") - (INSTANCE _5639_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.168:0.169:0.169) (0.081:0.082:0.084)) - (IOPATH A2 Y (0.188:0.193:0.198) (0.120:0.120:0.120)) - (IOPATH B1 Y (0.149:0.150:0.151) (0.065:0.066:0.066)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_4") - (INSTANCE _5640_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.396:0.396:0.396) (0.272:0.272:0.272)) - (IOPATH B X (0.326:0.326:0.326) (0.259:0.259:0.259)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_4") - (INSTANCE _5641_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.325:0.325:0.325) (0.289:0.289:0.289)) - (IOPATH B Y (0.272:0.272:0.272) (0.221:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _5642_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.432:0.433:0.433) (0.276:0.277:0.277)) - (IOPATH B X (0.441:0.441:0.441) (0.306:0.306:0.306)) - (IOPATH C X (0.423:0.423:0.424) (0.301:0.301:0.302)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _5643_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.190:0.192:0.195) (0.136:0.136:0.136)) - (IOPATH B Y (0.163:0.164:0.165) (0.081:0.081:0.081)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5644_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.187:0.187:0.187) (0.247:0.247:0.247)) - (IOPATH A2 X (0.214:0.214:0.214) (0.277:0.277:0.278)) - (IOPATH B1 X (0.169:0.169:0.169) (0.193:0.202:0.211)) - (IOPATH B2 X (0.158:0.158:0.158) (0.226:0.226:0.226)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3b_1") - (INSTANCE _5645_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.150:0.150:0.150) (0.401:0.401:0.401)) - (IOPATH B X (0.144:0.144:0.144) (0.375:0.375:0.375)) - (IOPATH C_N X (0.206:0.207:0.207) (0.374:0.374:0.374)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5646_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.238:0.238:0.238) (0.260:0.263:0.266)) - (IOPATH A2 X (0.267:0.267:0.267) (0.295:0.299:0.303)) - (IOPATH B1 X (0.187:0.187:0.187) (0.235:0.235:0.235)) - (IOPATH B2 X (0.217:0.217:0.217) (0.267:0.267:0.267)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5647_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.182:0.182:0.182) (0.292:0.298:0.303)) - (IOPATH A1 X (0.126:0.126:0.126) (0.295:0.295:0.295)) - (IOPATH S X (0.211:0.211:0.211) (0.336:0.336:0.336)) - (IOPATH S X (0.153:0.153:0.154) (0.322:0.322:0.322)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4b_1") - (INSTANCE _5648_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.169:0.169:0.169) (0.569:0.569:0.569)) - (IOPATH B X (0.165:0.165:0.165) (0.549:0.549:0.549)) - (IOPATH C X (0.150:0.150:0.150) (0.506:0.506:0.506)) - (IOPATH D_N X (0.215:0.215:0.215) (0.490:0.490:0.490)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a2bb2o_1") - (INSTANCE _5649_) - (DELAY - (ABSOLUTE - (IOPATH A1_N X (0.330:0.330:0.330) (0.354:0.354:0.354)) - (IOPATH A2_N X (0.308:0.308:0.308) (0.322:0.322:0.323)) - (IOPATH B1 X (0.200:0.201:0.201) (0.329:0.330:0.330)) - (IOPATH B2 X (0.175:0.175:0.175) (0.287:0.287:0.287)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _5650_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.370:0.370:0.370) (0.146:0.146:0.146)) - (IOPATH B Y (0.353:0.353:0.353) (0.103:0.103:0.103)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _5651_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.365:0.370:0.375) (0.156:0.156:0.156)) - (IOPATH B Y (0.361:0.363:0.365) (0.180:0.180:0.180)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _5652_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.109:0.109:0.109) (0.093:0.093:0.093)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_2") - (INSTANCE _5653_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.342:0.342:0.342) (0.313:0.313:0.313)) - (IOPATH B X (0.280:0.280:0.280) (0.294:0.294:0.294)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_2") - (INSTANCE _5654_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.352:0.352:0.352) (0.318:0.318:0.318)) - (IOPATH B X (0.311:0.311:0.311) (0.306:0.306:0.306)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _5655_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.672:0.672:0.672) (0.371:0.371:0.371)) - (IOPATH B X (0.649:0.649:0.649) (0.388:0.388:0.388)) - (IOPATH C X (0.654:0.654:0.654) (0.406:0.406:0.406)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _5656_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.646:0.646:0.646) (0.370:0.370:0.370)) - (IOPATH B X (0.648:0.648:0.648) (0.393:0.393:0.393)) - (IOPATH C X (0.677:0.677:0.677) (0.403:0.403:0.404)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5657_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.186:0.186:0.186) (0.231:0.231:0.231)) - (IOPATH A2 X (0.258:0.258:0.258) (0.327:0.327:0.328)) - (IOPATH B1 X (0.233:0.233:0.233) (0.270:0.270:0.270)) - (IOPATH B2 X (0.181:0.181:0.181) (0.239:0.239:0.239)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _5658_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.290:0.290:0.290) (0.269:0.269:0.269)) - (IOPATH B X (0.287:0.287:0.287) (0.291:0.291:0.291)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _5659_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.682:0.682:0.682) (0.380:0.380:0.380)) - (IOPATH B X (0.668:0.668:0.668) (0.402:0.402:0.402)) - (IOPATH C X (0.659:0.659:0.659) (0.409:0.410:0.410)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_2") - (INSTANCE _5660_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.392:0.392:0.392) (0.347:0.347:0.347)) - (IOPATH B X (0.316:0.316:0.316) (0.308:0.308:0.308)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _5661_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.482:0.482:0.482) (0.296:0.296:0.296)) - (IOPATH B X (0.459:0.459:0.459) (0.313:0.313:0.313)) - (IOPATH C X (0.474:0.474:0.474) (0.339:0.339:0.339)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_2") - (INSTANCE _5662_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.351:0.351:0.351) (0.495:0.495:0.495)) - (IOPATH A2 X (0.419:0.419:0.419) (0.585:0.586:0.586)) - (IOPATH B1 X (0.338:0.338:0.338) (0.476:0.476:0.476)) - (IOPATH B2 X (0.328:0.328:0.328) (0.499:0.499:0.499)) - (IOPATH C1 X (0.242:0.244:0.245) (0.415:0.415:0.415)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _5663_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.636:0.636:0.636) (0.366:0.366:0.366)) - (IOPATH B X (0.623:0.623:0.623) (0.381:0.381:0.381)) - (IOPATH C X (0.628:0.628:0.628) (0.399:0.399:0.399)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _5664_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.601:0.601:0.601) (0.352:0.352:0.352)) - (IOPATH B X (0.628:0.628:0.628) (0.358:0.363:0.368)) - (IOPATH C X (0.585:0.585:0.585) (0.380:0.380:0.380)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5665_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.240:0.240:0.240) (0.272:0.272:0.272)) - (IOPATH A2 X (0.319:0.319:0.319) (0.361:0.362:0.362)) - (IOPATH B1 X (0.293:0.293:0.293) (0.304:0.304:0.304)) - (IOPATH B2 X (0.253:0.253:0.253) (0.284:0.284:0.284)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _5666_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.684:0.684:0.684) (0.380:0.380:0.380)) - (IOPATH B X (0.663:0.663:0.663) (0.397:0.397:0.398)) - (IOPATH C X (0.675:0.675:0.675) (0.424:0.424:0.424)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _5667_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.713:0.713:0.713) (0.392:0.392:0.392)) - (IOPATH B X (0.731:0.731:0.731) (0.400:0.405:0.410)) - (IOPATH C X (0.687:0.687:0.687) (0.422:0.422:0.422)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5668_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.203:0.203:0.203) (0.334:0.334:0.334)) - (IOPATH A2 X (0.254:0.254:0.254) (0.418:0.418:0.418)) - (IOPATH B1 X (0.248:0.248:0.248) (0.367:0.367:0.367)) - (IOPATH B2 X (0.190:0.190:0.190) (0.347:0.347:0.347)) - (IOPATH C1 X (0.125:0.125:0.126) (0.264:0.264:0.264)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_2") - (INSTANCE _5669_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.350:0.350:0.350) (0.319:0.319:0.319)) - (IOPATH B X (0.288:0.288:0.288) (0.298:0.298:0.298)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _5670_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.661:0.661:0.661) (0.372:0.372:0.372)) - (IOPATH B X (0.654:0.654:0.654) (0.396:0.397:0.397)) - (IOPATH C X (0.638:0.638:0.638) (0.404:0.404:0.404)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _5671_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.622:0.622:0.622) (0.353:0.353:0.353)) - (IOPATH B X (0.624:0.624:0.625) (0.376:0.377:0.377)) - (IOPATH C X (0.608:0.608:0.608) (0.384:0.384:0.384)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _5672_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.388:0.388:0.388) (0.254:0.254:0.254)) - (IOPATH B X (0.374:0.374:0.374) (0.275:0.275:0.275)) - (IOPATH C X (0.365:0.365:0.365) (0.284:0.284:0.284)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5673_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.190:0.190:0.190) (0.239:0.239:0.239)) - (IOPATH A2 X (0.243:0.243:0.243) (0.310:0.310:0.311)) - (IOPATH B1 X (0.162:0.162:0.162) (0.198:0.198:0.198)) - (IOPATH B2 X (0.172:0.172:0.172) (0.226:0.226:0.226)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _5674_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.152:0.152:0.152) (0.188:0.188:0.188)) - (IOPATH A2 X (0.201:0.201:0.201) (0.250:0.250:0.251)) - (IOPATH B1 X (0.077:0.077:0.077) (0.143:0.144:0.144)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _5675_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.687:0.687:0.687) (0.372:0.372:0.372)) - (IOPATH B X (0.680:0.680:0.680) (0.397:0.397:0.397)) - (IOPATH C X (0.664:0.664:0.664) (0.402:0.402:0.403)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _5676_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.434:0.434:0.434) (0.276:0.276:0.276)) - (IOPATH B X (0.441:0.441:0.441) (0.305:0.305:0.305)) - (IOPATH C X (0.421:0.421:0.421) (0.305:0.305:0.305)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _5677_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.647:0.647:0.647) (0.352:0.352:0.352)) - (IOPATH B X (0.645:0.645:0.645) (0.383:0.383:0.383)) - (IOPATH C X (0.624:0.624:0.624) (0.384:0.384:0.384)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _5678_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.610:0.610:0.610) (0.358:0.358:0.358)) - (IOPATH B X (0.637:0.637:0.637) (0.364:0.369:0.374)) - (IOPATH C X (0.596:0.596:0.596) (0.385:0.386:0.386)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5679_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.216:0.216:0.216) (0.255:0.255:0.255)) - (IOPATH A2 X (0.259:0.259:0.259) (0.327:0.327:0.328)) - (IOPATH B1 X (0.227:0.227:0.227) (0.260:0.260:0.260)) - (IOPATH B2 X (0.172:0.172:0.172) (0.230:0.230:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5680_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.259:0.259:0.259) (0.387:0.387:0.387)) - (IOPATH A2 X (0.327:0.327:0.327) (0.486:0.486:0.486)) - (IOPATH B1 X (0.267:0.267:0.267) (0.378:0.379:0.379)) - (IOPATH B2 X (0.258:0.258:0.258) (0.406:0.406:0.406)) - (IOPATH C1 X (0.164:0.165:0.166) (0.310:0.310:0.310)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5681_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.286:0.287:0.287) (0.694:0.694:0.695)) - (IOPATH B X (0.231:0.233:0.236) (0.648:0.648:0.648)) - (IOPATH C X (0.225:0.227:0.229) (0.611:0.611:0.612)) - (IOPATH D X (0.253:0.254:0.255) (0.558:0.559:0.559)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _5682_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.618:0.618:0.618) (0.357:0.357:0.357)) - (IOPATH B X (0.614:0.614:0.614) (0.378:0.378:0.378)) - (IOPATH C X (0.605:0.605:0.605) (0.385:0.386:0.386)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _5683_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.681:0.681:0.681) (0.376:0.376:0.376)) - (IOPATH B X (0.673:0.674:0.674) (0.400:0.401:0.401)) - (IOPATH C X (0.702:0.702:0.702) (0.411:0.411:0.411)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5684_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.211:0.211:0.211) (0.250:0.250:0.250)) - (IOPATH A2 X (0.274:0.274:0.274) (0.333:0.333:0.333)) - (IOPATH B1 X (0.263:0.263:0.263) (0.297:0.297:0.298)) - (IOPATH B2 X (0.202:0.202:0.202) (0.245:0.245:0.245)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _5685_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.666:0.666:0.666) (0.371:0.371:0.371)) - (IOPATH B X (0.684:0.684:0.684) (0.379:0.384:0.389)) - (IOPATH C X (0.643:0.643:0.643) (0.403:0.403:0.403)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _5686_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.611:0.611:0.611) (0.357:0.357:0.357)) - (IOPATH B X (0.597:0.597:0.597) (0.372:0.372:0.372)) - (IOPATH C X (0.612:0.612:0.612) (0.399:0.399:0.399)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_2") - (INSTANCE _5687_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.350:0.350:0.350) (0.491:0.491:0.491)) - (IOPATH A2 X (0.410:0.410:0.410) (0.579:0.580:0.580)) - (IOPATH B1 X (0.397:0.397:0.397) (0.509:0.510:0.510)) - (IOPATH B2 X (0.319:0.319:0.319) (0.490:0.490:0.490)) - (IOPATH C1 X (0.245:0.246:0.247) (0.416:0.416:0.416)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _5688_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.643:0.643:0.643) (0.358:0.358:0.358)) - (IOPATH B X (0.677:0.678:0.678) (0.381:0.381:0.381)) - (IOPATH C X (0.625:0.625:0.625) (0.393:0.393:0.393)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _5689_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.399:0.399:0.399) (0.242:0.247:0.251)) - (IOPATH B X (0.406:0.406:0.406) (0.278:0.278:0.279)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _5690_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.401:0.401:0.401) (0.258:0.258:0.258)) - (IOPATH B X (0.418:0.418:0.418) (0.265:0.270:0.275)) - (IOPATH C X (0.422:0.422:0.422) (0.292:0.292:0.292)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _5691_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.294:0.294:0.294) (0.220:0.220:0.220)) - (IOPATH B Y (0.265:0.265:0.265) (0.214:0.214:0.214)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5692_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.246:0.246:0.246) (0.377:0.377:0.377)) - (IOPATH A2 X (0.290:0.290:0.290) (0.448:0.449:0.449)) - (IOPATH B1 X (0.232:0.232:0.232) (0.346:0.347:0.347)) - (IOPATH B2 X (0.234:0.234:0.234) (0.393:0.393:0.393)) - (IOPATH C1 X (0.189:0.189:0.189) (0.312:0.313:0.314)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _5693_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.652:0.652:0.652) (0.363:0.363:0.363)) - (IOPATH B X (0.645:0.645:0.645) (0.387:0.387:0.388)) - (IOPATH C X (0.626:0.626:0.626) (0.392:0.392:0.392)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _5694_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.574:0.574:0.574) (0.340:0.340:0.340)) - (IOPATH B X (0.564:0.564:0.564) (0.355:0.355:0.356)) - (IOPATH C X (0.575:0.575:0.575) (0.382:0.382:0.382)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5695_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.211:0.211:0.211) (0.341:0.341:0.341)) - (IOPATH A2 X (0.250:0.250:0.250) (0.413:0.413:0.414)) - (IOPATH B1 X (0.226:0.226:0.226) (0.340:0.341:0.341)) - (IOPATH B2 X (0.157:0.157:0.157) (0.321:0.321:0.321)) - (IOPATH C1 X (0.107:0.108:0.109) (0.258:0.259:0.259)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _5696_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.453:0.453:0.453) (0.283:0.283:0.283)) - (IOPATH B X (0.480:0.480:0.480) (0.288:0.293:0.298)) - (IOPATH C X (0.440:0.440:0.440) (0.312:0.312:0.312)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _5697_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.639:0.639:0.639) (0.367:0.367:0.367)) - (IOPATH B X (0.683:0.684:0.684) (0.387:0.387:0.388)) - (IOPATH C X (0.631:0.631:0.631) (0.400:0.400:0.400)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5698_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.187:0.187:0.187) (0.237:0.237:0.237)) - (IOPATH A2 X (0.175:0.175:0.175) (0.247:0.247:0.247)) - (IOPATH B1 X (0.226:0.226:0.226) (0.264:0.264:0.264)) - (IOPATH B2 X (0.179:0.179:0.179) (0.233:0.233:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _5699_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.648:0.648:0.648) (0.369:0.369:0.369)) - (IOPATH B X (0.644:0.644:0.644) (0.390:0.390:0.390)) - (IOPATH C X (0.634:0.634:0.634) (0.399:0.399:0.399)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _5700_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.633:0.633:0.633) (0.366:0.366:0.366)) - (IOPATH B X (0.636:0.636:0.636) (0.389:0.389:0.389)) - (IOPATH C X (0.617:0.617:0.617) (0.394:0.394:0.394)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5701_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.233:0.233:0.233) (0.367:0.367:0.367)) - (IOPATH A2 X (0.278:0.278:0.278) (0.438:0.439:0.439)) - (IOPATH B1 X (0.267:0.267:0.267) (0.378:0.378:0.378)) - (IOPATH B2 X (0.191:0.191:0.191) (0.354:0.354:0.354)) - (IOPATH C1 X (0.121:0.123:0.125) (0.275:0.275:0.275)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _5702_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.667:0.667:0.667) (0.380:0.380:0.380)) - (IOPATH B X (0.712:0.712:0.712) (0.400:0.401:0.401)) - (IOPATH C X (0.668:0.668:0.668) (0.422:0.422:0.422)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _5703_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.677:0.677:0.677) (0.370:0.370:0.370)) - (IOPATH B X (0.712:0.712:0.712) (0.392:0.392:0.393)) - (IOPATH C X (0.669:0.669:0.669) (0.413:0.413:0.413)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _5704_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.377:0.377:0.377) (0.378:0.378:0.378)) - (IOPATH A2 X (0.468:0.468:0.468) (0.477:0.477:0.478)) - (IOPATH B1 X (0.464:0.464:0.464) (0.436:0.436:0.437)) - (IOPATH B2 X (0.376:0.376:0.376) (0.377:0.377:0.377)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _5705_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.674:0.674:0.674) (0.376:0.376:0.376)) - (IOPATH B X (0.691:0.691:0.691) (0.383:0.388:0.393)) - (IOPATH C X (0.650:0.650:0.650) (0.405:0.405:0.406)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _5706_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.657:0.657:0.657) (0.369:0.369:0.369)) - (IOPATH B X (0.660:0.660:0.660) (0.392:0.392:0.393)) - (IOPATH C X (0.644:0.644:0.644) (0.397:0.397:0.398)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5707_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.205:0.205:0.205) (0.350:0.350:0.350)) - (IOPATH A2 X (0.274:0.274:0.274) (0.436:0.436:0.436)) - (IOPATH B1 X (0.267:0.267:0.267) (0.381:0.381:0.381)) - (IOPATH B2 X (0.206:0.206:0.206) (0.365:0.365:0.365)) - (IOPATH C1 X (0.177:0.177:0.178) (0.313:0.313:0.313)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5708_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.154:0.154:0.155) (0.549:0.549:0.549)) - (IOPATH B X (0.101:0.103:0.105) (0.503:0.503:0.503)) - (IOPATH C X (0.109:0.111:0.113) (0.474:0.475:0.475)) - (IOPATH D X (0.105:0.106:0.107) (0.404:0.405:0.405)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_1") - (INSTANCE _5709_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.214:0.214:0.214) (0.272:0.272:0.272)) - (IOPATH A2 X (0.246:0.246:0.247) (0.325:0.325:0.326)) - (IOPATH B1 X (0.187:0.187:0.188) (0.249:0.249:0.249)) - (IOPATH B2 X (0.232:0.232:0.232) (0.274:0.275:0.275)) - (IOPATH C1 X (0.268:0.268:0.268) (0.142:0.149:0.155)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _5710_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.111:0.111:0.111) (0.161:0.161:0.161)) - (IOPATH A2 X (0.122:0.122:0.122) (0.189:0.189:0.189)) - (IOPATH B1 X (0.093:0.093:0.094) (0.155:0.157:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5711_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.204:0.204:0.204) (0.247:0.247:0.247)) - (IOPATH A2 X (0.258:0.258:0.258) (0.323:0.323:0.323)) - (IOPATH B1 X (0.239:0.239:0.239) (0.279:0.279:0.280)) - (IOPATH B2 X (0.190:0.190:0.190) (0.242:0.242:0.242)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5712_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.221:0.221:0.221) (0.356:0.356:0.356)) - (IOPATH A2 X (0.270:0.270:0.270) (0.433:0.433:0.434)) - (IOPATH B1 X (0.255:0.255:0.255) (0.368:0.368:0.369)) - (IOPATH B2 X (0.187:0.187:0.187) (0.349:0.349:0.349)) - (IOPATH C1 X (0.114:0.115:0.117) (0.265:0.266:0.266)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5713_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.207:0.207:0.207) (0.253:0.253:0.253)) - (IOPATH A2 X (0.245:0.245:0.245) (0.311:0.311:0.312)) - (IOPATH B1 X (0.227:0.227:0.227) (0.267:0.268:0.268)) - (IOPATH B2 X (0.171:0.171:0.171) (0.221:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5714_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.194:0.194:0.194) (0.340:0.340:0.340)) - (IOPATH A2 X (0.255:0.255:0.256) (0.420:0.420:0.421)) - (IOPATH B1 X (0.258:0.258:0.258) (0.375:0.376:0.376)) - (IOPATH B2 X (0.178:0.178:0.178) (0.348:0.348:0.348)) - (IOPATH C1 X (0.106:0.107:0.109) (0.260:0.260:0.260)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5715_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.196:0.196:0.196) (0.241:0.241:0.241)) - (IOPATH A2 X (0.249:0.249:0.249) (0.317:0.317:0.318)) - (IOPATH B1 X (0.217:0.217:0.217) (0.251:0.252:0.252)) - (IOPATH B2 X (0.159:0.159:0.159) (0.215:0.215:0.215)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _5716_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.168:0.168:0.168) (0.200:0.200:0.200)) - (IOPATH A2 X (0.220:0.220:0.220) (0.262:0.262:0.262)) - (IOPATH B1 X (0.103:0.104:0.105) (0.166:0.166:0.166)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5717_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.252:0.252:0.252) (0.285:0.285:0.285)) - (IOPATH A2 X (0.294:0.294:0.294) (0.347:0.347:0.348)) - (IOPATH B1 X (0.277:0.277:0.277) (0.302:0.303:0.303)) - (IOPATH B2 X (0.215:0.215:0.215) (0.258:0.258:0.258)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5718_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.234:0.234:0.234) (0.367:0.367:0.367)) - (IOPATH A2 X (0.285:0.285:0.285) (0.452:0.453:0.453)) - (IOPATH B1 X (0.274:0.274:0.274) (0.388:0.388:0.389)) - (IOPATH B2 X (0.205:0.205:0.205) (0.365:0.365:0.365)) - (IOPATH C1 X (0.140:0.141:0.142) (0.284:0.284:0.284)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5719_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.135:0.138:0.140) (0.565:0.565:0.566)) - (IOPATH B X (0.134:0.137:0.139) (0.547:0.547:0.548)) - (IOPATH C X (0.138:0.139:0.140) (0.512:0.512:0.512)) - (IOPATH D X (0.135:0.137:0.139) (0.448:0.448:0.448)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5720_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.226:0.226:0.226) (0.268:0.268:0.268)) - (IOPATH A2 X (0.196:0.196:0.196) (0.265:0.265:0.265)) - (IOPATH B1 X (0.245:0.246:0.246) (0.281:0.282:0.282)) - (IOPATH B2 X (0.203:0.203:0.203) (0.255:0.255:0.255)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5721_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.197:0.197:0.197) (0.342:0.342:0.342)) - (IOPATH A2 X (0.266:0.266:0.266) (0.429:0.430:0.430)) - (IOPATH B1 X (0.178:0.178:0.178) (0.310:0.310:0.310)) - (IOPATH B2 X (0.207:0.207:0.207) (0.364:0.364:0.364)) - (IOPATH C1 X (0.114:0.116:0.117) (0.265:0.265:0.265)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5722_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.194:0.194:0.194) (0.337:0.337:0.337)) - (IOPATH A2 X (0.189:0.189:0.189) (0.377:0.377:0.377)) - (IOPATH B1 X (0.179:0.179:0.179) (0.315:0.315:0.315)) - (IOPATH B2 X (0.173:0.173:0.173) (0.336:0.336:0.336)) - (IOPATH C1 X (0.166:0.166:0.166) (0.292:0.292:0.293)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5723_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.238:0.238:0.238) (0.372:0.372:0.372)) - (IOPATH A2 X (0.266:0.266:0.266) (0.427:0.428:0.428)) - (IOPATH B1 X (0.273:0.273:0.273) (0.389:0.389:0.390)) - (IOPATH B2 X (0.189:0.189:0.189) (0.351:0.351:0.351)) - (IOPATH C1 X (0.120:0.120:0.121) (0.276:0.276:0.277)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5724_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.184:0.184:0.184) (0.231:0.231:0.231)) - (IOPATH A2 X (0.245:0.245:0.245) (0.314:0.315:0.315)) - (IOPATH B1 X (0.224:0.224:0.224) (0.270:0.270:0.271)) - (IOPATH B2 X (0.173:0.173:0.173) (0.224:0.224:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5725_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.254:0.254:0.254) (0.380:0.380:0.380)) - (IOPATH A2 X (0.302:0.302:0.302) (0.460:0.460:0.461)) - (IOPATH B1 X (0.255:0.255:0.255) (0.369:0.369:0.370)) - (IOPATH B2 X (0.209:0.209:0.209) (0.370:0.370:0.370)) - (IOPATH C1 X (0.142:0.144:0.146) (0.295:0.295:0.295)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5726_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.179:0.179:0.179) (0.229:0.229:0.229)) - (IOPATH A2 X (0.251:0.251:0.251) (0.319:0.319:0.320)) - (IOPATH B1 X (0.225:0.225:0.225) (0.265:0.266:0.266)) - (IOPATH B2 X (0.157:0.157:0.157) (0.213:0.213:0.213)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5727_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.220:0.220:0.220) (0.351:0.351:0.351)) - (IOPATH A2 X (0.266:0.267:0.267) (0.429:0.429:0.429)) - (IOPATH B1 X (0.252:0.252:0.252) (0.365:0.365:0.366)) - (IOPATH B2 X (0.206:0.206:0.206) (0.362:0.362:0.362)) - (IOPATH C1 X (0.113:0.114:0.116) (0.267:0.267:0.267)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5728_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.109:0.111:0.113) (0.527:0.528:0.528)) - (IOPATH B X (0.114:0.117:0.119) (0.512:0.512:0.513)) - (IOPATH C X (0.124:0.125:0.126) (0.484:0.484:0.485)) - (IOPATH D X (0.106:0.108:0.110) (0.409:0.409:0.410)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_1") - (INSTANCE _5729_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.448:0.448:0.448) (0.427:0.427:0.427)) - (IOPATH A2 X (0.435:0.435:0.435) (0.432:0.433:0.434)) - (IOPATH B1 X (0.386:0.386:0.387) (0.378:0.379:0.379)) - (IOPATH B2 X (0.371:0.371:0.371) (0.351:0.351:0.351)) - (IOPATH C1 X (0.440:0.440:0.440) (0.286:0.286:0.286)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _5730_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.178:0.178:0.178) (0.199:0.199:0.199)) - (IOPATH A2 X (0.141:0.141:0.141) (0.196:0.196:0.196)) - (IOPATH B1 X (0.154:0.154:0.154) (0.215:0.218:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5731_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.138:0.138:0.138) (0.293:0.293:0.293)) - (IOPATH A1 X (0.134:0.134:0.134) (0.294:0.295:0.295)) - (IOPATH S X (0.225:0.225:0.225) (0.352:0.352:0.352)) - (IOPATH S X (0.180:0.180:0.180) (0.332:0.332:0.332)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5732_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.178:0.178:0.178) (0.242:0.242:0.242)) - (IOPATH A2 X (0.246:0.246:0.246) (0.309:0.309:0.309)) - (IOPATH B1 X (0.238:0.238:0.238) (0.276:0.277:0.277)) - (IOPATH B2 X (0.172:0.172:0.172) (0.238:0.238:0.238)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5733_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.271:0.271:0.271) (0.407:0.407:0.407)) - (IOPATH A2 X (0.347:0.347:0.347) (0.489:0.489:0.489)) - (IOPATH B1 X (0.346:0.346:0.346) (0.438:0.439:0.439)) - (IOPATH B2 X (0.276:0.276:0.276) (0.425:0.425:0.425)) - (IOPATH C1 X (0.201:0.203:0.204) (0.336:0.337:0.337)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5734_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.181:0.181:0.181) (0.245:0.245:0.245)) - (IOPATH A2 X (0.257:0.257:0.257) (0.323:0.323:0.324)) - (IOPATH B1 X (0.238:0.238:0.238) (0.275:0.275:0.276)) - (IOPATH B2 X (0.172:0.172:0.172) (0.232:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5735_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.338:0.338:0.338) (0.453:0.453:0.453)) - (IOPATH A2 X (0.356:0.356:0.356) (0.493:0.493:0.493)) - (IOPATH B1 X (0.422:0.422:0.422) (0.492:0.492:0.493)) - (IOPATH B2 X (0.324:0.324:0.324) (0.456:0.456:0.456)) - (IOPATH C1 X (0.269:0.270:0.272) (0.381:0.381:0.381)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5736_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.179:0.179:0.179) (0.243:0.243:0.243)) - (IOPATH A2 X (0.262:0.262:0.262) (0.324:0.324:0.325)) - (IOPATH B1 X (0.243:0.243:0.243) (0.279:0.279:0.280)) - (IOPATH B2 X (0.196:0.196:0.196) (0.260:0.260:0.260)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _5737_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.213:0.213:0.213) (0.231:0.231:0.231)) - (IOPATH A2 X (0.284:0.284:0.284) (0.313:0.313:0.314)) - (IOPATH B1 X (0.157:0.158:0.160) (0.202:0.202:0.203)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5738_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.176:0.176:0.176) (0.239:0.239:0.239)) - (IOPATH A2 X (0.253:0.253:0.253) (0.317:0.317:0.317)) - (IOPATH B1 X (0.235:0.235:0.235) (0.273:0.274:0.274)) - (IOPATH B2 X (0.171:0.171:0.171) (0.237:0.237:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5739_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.170:0.170:0.170) (0.331:0.331:0.331)) - (IOPATH A2 X (0.255:0.255:0.255) (0.421:0.421:0.422)) - (IOPATH B1 X (0.242:0.242:0.242) (0.356:0.356:0.357)) - (IOPATH B2 X (0.187:0.187:0.187) (0.356:0.356:0.356)) - (IOPATH C1 X (0.100:0.102:0.103) (0.251:0.252:0.252)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5740_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.155:0.156:0.156) (0.557:0.557:0.558)) - (IOPATH B X (0.177:0.177:0.178) (0.552:0.552:0.553)) - (IOPATH C X (0.142:0.142:0.143) (0.494:0.494:0.494)) - (IOPATH D X (0.105:0.108:0.110) (0.415:0.416:0.416)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5741_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.179:0.179:0.179) (0.234:0.234:0.234)) - (IOPATH A2 X (0.250:0.250:0.250) (0.324:0.324:0.324)) - (IOPATH B1 X (0.225:0.225:0.225) (0.265:0.266:0.266)) - (IOPATH B2 X (0.153:0.153:0.153) (0.216:0.216:0.216)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5742_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.205:0.205:0.205) (0.358:0.358:0.358)) - (IOPATH A2 X (0.275:0.275:0.275) (0.436:0.436:0.436)) - (IOPATH B1 X (0.198:0.198:0.198) (0.329:0.329:0.329)) - (IOPATH B2 X (0.194:0.194:0.194) (0.368:0.368:0.368)) - (IOPATH C1 X (0.126:0.128:0.130) (0.280:0.280:0.281)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _5743_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.125:0.125:0.125) (0.246:0.246:0.246)) - (IOPATH B X (0.128:0.128:0.128) (0.259:0.259:0.259)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5744_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.176:0.176:0.176) (0.237:0.237:0.237)) - (IOPATH A2 X (0.246:0.246:0.246) (0.313:0.314:0.314)) - (IOPATH B1 X (0.116:0.116:0.116) (0.177:0.177:0.177)) - (IOPATH B2 X (0.157:0.157:0.157) (0.218:0.218:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5745_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.360:0.360:0.360) (0.468:0.468:0.468)) - (IOPATH A2 X (0.360:0.360:0.360) (0.493:0.493:0.493)) - (IOPATH B1 X (0.431:0.431:0.432) (0.497:0.497:0.497)) - (IOPATH B2 X (0.358:0.358:0.358) (0.477:0.477:0.477)) - (IOPATH C1 X (0.275:0.276:0.277) (0.385:0.386:0.386)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5746_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.375:0.375:0.375) (0.357:0.357:0.357)) - (IOPATH A2 X (0.459:0.459:0.459) (0.451:0.451:0.452)) - (IOPATH B1 X (0.439:0.439:0.439) (0.402:0.403:0.403)) - (IOPATH B2 X (0.355:0.355:0.355) (0.338:0.338:0.338)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5747_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.200:0.200:0.200) (0.353:0.353:0.353)) - (IOPATH A2 X (0.191:0.191:0.191) (0.378:0.378:0.378)) - (IOPATH B1 X (0.264:0.264:0.264) (0.380:0.380:0.380)) - (IOPATH B2 X (0.181:0.181:0.181) (0.355:0.355:0.355)) - (IOPATH C1 X (0.177:0.177:0.177) (0.312:0.313:0.313)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5748_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.188:0.188:0.188) (0.247:0.247:0.247)) - (IOPATH A2 X (0.264:0.264:0.264) (0.328:0.328:0.329)) - (IOPATH B1 X (0.234:0.234:0.235) (0.268:0.268:0.268)) - (IOPATH B2 X (0.177:0.177:0.177) (0.237:0.237:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5749_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.241:0.241:0.241) (0.385:0.385:0.385)) - (IOPATH A2 X (0.312:0.312:0.312) (0.467:0.467:0.468)) - (IOPATH B1 X (0.289:0.289:0.289) (0.396:0.396:0.396)) - (IOPATH B2 X (0.214:0.214:0.214) (0.387:0.387:0.387)) - (IOPATH C1 X (0.159:0.160:0.161) (0.306:0.306:0.306)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5750_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.121:0.123:0.125) (0.536:0.537:0.537)) - (IOPATH B X (0.179:0.179:0.179) (0.551:0.551:0.551)) - (IOPATH C X (0.114:0.115:0.117) (0.482:0.482:0.482)) - (IOPATH D X (0.128:0.130:0.131) (0.422:0.422:0.423)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_1") - (INSTANCE _5751_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.420:0.420:0.420) (0.405:0.405:0.405)) - (IOPATH A2 X (0.431:0.432:0.432) (0.431:0.431:0.432)) - (IOPATH B1 X (0.374:0.375:0.375) (0.369:0.369:0.369)) - (IOPATH B2 X (0.369:0.369:0.370) (0.350:0.350:0.350)) - (IOPATH C1 X (0.436:0.436:0.436) (0.283:0.283:0.283)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _5752_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.177:0.177:0.177) (0.199:0.199:0.199)) - (IOPATH A2 X (0.150:0.150:0.150) (0.207:0.207:0.207)) - (IOPATH B1 X (0.154:0.154:0.154) (0.214:0.217:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5753_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.120:0.120:0.120) (0.281:0.281:0.281)) - (IOPATH A1 X (0.130:0.130:0.130) (0.290:0.291:0.291)) - (IOPATH S X (0.221:0.221:0.221) (0.348:0.348:0.348)) - (IOPATH S X (0.176:0.176:0.176) (0.328:0.328:0.328)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5754_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.189:0.189:0.189) (0.247:0.247:0.247)) - (IOPATH A2 X (0.258:0.258:0.258) (0.324:0.324:0.325)) - (IOPATH B1 X (0.239:0.239:0.239) (0.284:0.284:0.284)) - (IOPATH B2 X (0.167:0.167:0.167) (0.233:0.233:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5755_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.175:0.175:0.175) (0.237:0.237:0.237)) - (IOPATH A2 X (0.250:0.250:0.250) (0.317:0.317:0.317)) - (IOPATH B1 X (0.227:0.227:0.227) (0.271:0.271:0.271)) - (IOPATH B2 X (0.178:0.178:0.178) (0.242:0.242:0.242)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5756_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.183:0.183:0.183) (0.242:0.242:0.242)) - (IOPATH A2 X (0.187:0.187:0.187) (0.259:0.259:0.259)) - (IOPATH B1 X (0.163:0.163:0.163) (0.208:0.208:0.208)) - (IOPATH B2 X (0.163:0.163:0.163) (0.230:0.230:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5757_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.253:0.253:0.253) (0.393:0.393:0.393)) - (IOPATH A2 X (0.315:0.315:0.315) (0.473:0.473:0.473)) - (IOPATH B1 X (0.288:0.288:0.288) (0.395:0.396:0.396)) - (IOPATH B2 X (0.249:0.249:0.249) (0.412:0.412:0.412)) - (IOPATH C1 X (0.156:0.158:0.160) (0.303:0.304:0.304)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_1") - (INSTANCE _5758_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.171:0.171:0.171) (0.297:0.297:0.297)) - (IOPATH A2 X (0.227:0.227:0.227) (0.375:0.376:0.376)) - (IOPATH B1 X (0.099:0.100:0.100) (0.267:0.267:0.267)) - (IOPATH C1 X (0.114:0.115:0.116) (0.241:0.242:0.242)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5759_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.199:0.199:0.199) (0.252:0.252:0.252)) - (IOPATH A2 X (0.236:0.236:0.236) (0.301:0.301:0.301)) - (IOPATH B1 X (0.223:0.223:0.223) (0.264:0.264:0.265)) - (IOPATH B2 X (0.178:0.178:0.178) (0.241:0.241:0.241)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5760_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.182:0.182:0.182) (0.339:0.339:0.339)) - (IOPATH A2 X (0.248:0.248:0.248) (0.411:0.412:0.412)) - (IOPATH B1 X (0.245:0.245:0.245) (0.360:0.360:0.361)) - (IOPATH B2 X (0.193:0.193:0.193) (0.362:0.362:0.362)) - (IOPATH C1 X (0.103:0.104:0.106) (0.256:0.257:0.257)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5761_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.217:0.217:0.217) (0.277:0.277:0.277)) - (IOPATH A2 X (0.244:0.244:0.244) (0.310:0.310:0.310)) - (IOPATH B1 X (0.220:0.220:0.220) (0.261:0.262:0.262)) - (IOPATH B2 X (0.180:0.180:0.180) (0.247:0.247:0.247)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5762_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.185:0.185:0.185) (0.342:0.342:0.342)) - (IOPATH A2 X (0.262:0.262:0.262) (0.425:0.425:0.425)) - (IOPATH B1 X (0.246:0.246:0.246) (0.360:0.360:0.361)) - (IOPATH B2 X (0.190:0.190:0.190) (0.360:0.360:0.360)) - (IOPATH C1 X (0.106:0.107:0.108) (0.260:0.260:0.260)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5763_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.196:0.196:0.196) (0.248:0.248:0.248)) - (IOPATH A2 X (0.205:0.205:0.205) (0.273:0.274:0.274)) - (IOPATH B1 X (0.219:0.219:0.219) (0.260:0.260:0.260)) - (IOPATH B2 X (0.182:0.182:0.182) (0.252:0.252:0.252)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5764_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.204:0.204:0.204) (0.360:0.360:0.360)) - (IOPATH A2 X (0.208:0.208:0.208) (0.387:0.387:0.387)) - (IOPATH B1 X (0.273:0.273:0.273) (0.384:0.384:0.384)) - (IOPATH B2 X (0.188:0.188:0.188) (0.365:0.365:0.365)) - (IOPATH C1 X (0.124:0.126:0.128) (0.279:0.279:0.279)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5765_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.181:0.181:0.181) (0.244:0.244:0.244)) - (IOPATH A2 X (0.263:0.263:0.263) (0.327:0.327:0.328)) - (IOPATH B1 X (0.240:0.240:0.240) (0.278:0.278:0.278)) - (IOPATH B2 X (0.170:0.170:0.170) (0.236:0.236:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5766_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.221:0.221:0.221) (0.366:0.366:0.366)) - (IOPATH A2 X (0.282:0.282:0.282) (0.445:0.445:0.445)) - (IOPATH B1 X (0.273:0.273:0.273) (0.385:0.386:0.386)) - (IOPATH B2 X (0.204:0.204:0.204) (0.373:0.373:0.373)) - (IOPATH C1 X (0.125:0.127:0.129) (0.276:0.277:0.277)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5767_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.201:0.201:0.201) (0.343:0.343:0.343)) - (IOPATH A2 X (0.246:0.246:0.246) (0.409:0.410:0.410)) - (IOPATH B1 X (0.245:0.245:0.245) (0.363:0.363:0.363)) - (IOPATH B2 X (0.202:0.202:0.202) (0.375:0.375:0.375)) - (IOPATH C1 X (0.095:0.097:0.099) (0.247:0.247:0.248)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5768_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.177:0.177:0.177) (0.338:0.338:0.338)) - (IOPATH A2 X (0.181:0.181:0.181) (0.367:0.367:0.367)) - (IOPATH B1 X (0.256:0.256:0.256) (0.370:0.370:0.370)) - (IOPATH B2 X (0.196:0.196:0.196) (0.363:0.363:0.363)) - (IOPATH C1 X (0.162:0.162:0.162) (0.288:0.289:0.289)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5769_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.223:0.223:0.223) (0.371:0.371:0.371)) - (IOPATH A2 X (0.294:0.294:0.294) (0.458:0.458:0.459)) - (IOPATH B1 X (0.285:0.285:0.286) (0.404:0.404:0.404)) - (IOPATH B2 X (0.186:0.186:0.186) (0.363:0.363:0.363)) - (IOPATH C1 X (0.128:0.130:0.131) (0.285:0.285:0.286)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5770_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.191:0.193:0.195) (0.620:0.620:0.620)) - (IOPATH B X (0.189:0.191:0.193) (0.601:0.602:0.602)) - (IOPATH C X (0.175:0.178:0.181) (0.563:0.563:0.563)) - (IOPATH D X (0.187:0.189:0.190) (0.501:0.501:0.501)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _5771_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.109:0.110:0.112) (0.379:0.379:0.380)) - (IOPATH B X (0.107:0.109:0.112) (0.356:0.357:0.357)) - (IOPATH C X (0.106:0.108:0.110) (0.319:0.320:0.320)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_2") - (INSTANCE _5772_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.447:0.447:0.447) (0.464:0.464:0.464)) - (IOPATH A2 X (0.434:0.435:0.435) (0.459:0.460:0.461)) - (IOPATH B1 X (0.405:0.405:0.405) (0.414:0.414:0.414)) - (IOPATH B2 X (0.373:0.373:0.373) (0.365:0.365:0.365)) - (IOPATH C1 X (0.432:0.432:0.432) (0.267:0.267:0.267)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _5773_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.167:0.167:0.167) (0.191:0.191:0.191)) - (IOPATH A2 X (0.131:0.131:0.131) (0.189:0.189:0.189)) - (IOPATH B1 X (0.142:0.142:0.142) (0.201:0.205:0.209)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5774_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.137:0.137:0.137) (0.294:0.294:0.294)) - (IOPATH A1 X (0.131:0.131:0.131) (0.295:0.295:0.295)) - (IOPATH S X (0.226:0.226:0.226) (0.355:0.355:0.355)) - (IOPATH S X (0.182:0.182:0.182) (0.334:0.334:0.334)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5775_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.164:0.164:0.164) (0.226:0.226:0.226)) - (IOPATH A2 X (0.184:0.184:0.184) (0.257:0.257:0.257)) - (IOPATH B1 X (0.160:0.160:0.160) (0.206:0.206:0.206)) - (IOPATH B2 X (0.149:0.149:0.149) (0.220:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5776_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.174:0.174:0.174) (0.235:0.235:0.235)) - (IOPATH A2 X (0.253:0.253:0.253) (0.322:0.322:0.323)) - (IOPATH B1 X (0.214:0.215:0.215) (0.250:0.250:0.251)) - (IOPATH B2 X (0.167:0.167:0.167) (0.229:0.229:0.229)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5777_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.198:0.198:0.198) (0.252:0.252:0.252)) - (IOPATH A2 X (0.204:0.204:0.204) (0.273:0.273:0.274)) - (IOPATH B1 X (0.218:0.218:0.218) (0.259:0.259:0.260)) - (IOPATH B2 X (0.150:0.150:0.150) (0.222:0.222:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5778_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.223:0.223:0.223) (0.375:0.375:0.375)) - (IOPATH A2 X (0.232:0.232:0.232) (0.408:0.408:0.408)) - (IOPATH B1 X (0.298:0.298:0.298) (0.405:0.405:0.405)) - (IOPATH B2 X (0.215:0.215:0.215) (0.383:0.383:0.383)) - (IOPATH C1 X (0.147:0.149:0.151) (0.300:0.300:0.300)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5779_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.197:0.197:0.197) (0.249:0.249:0.249)) - (IOPATH A2 X (0.274:0.274:0.274) (0.336:0.337:0.337)) - (IOPATH B1 X (0.252:0.252:0.252) (0.286:0.286:0.286)) - (IOPATH B2 X (0.184:0.184:0.184) (0.241:0.241:0.241)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5780_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.188:0.188:0.188) (0.340:0.340:0.340)) - (IOPATH A2 X (0.267:0.267:0.267) (0.431:0.431:0.431)) - (IOPATH B1 X (0.259:0.259:0.259) (0.373:0.374:0.374)) - (IOPATH B2 X (0.180:0.180:0.180) (0.354:0.354:0.354)) - (IOPATH C1 X (0.116:0.118:0.119) (0.266:0.266:0.266)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5781_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.168:0.168:0.168) (0.235:0.235:0.235)) - (IOPATH A2 X (0.244:0.244:0.244) (0.310:0.310:0.310)) - (IOPATH B1 X (0.228:0.228:0.228) (0.272:0.272:0.272)) - (IOPATH B2 X (0.152:0.152:0.152) (0.223:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5782_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.192:0.192:0.192) (0.347:0.347:0.347)) - (IOPATH A2 X (0.275:0.275:0.275) (0.437:0.438:0.438)) - (IOPATH B1 X (0.268:0.268:0.268) (0.383:0.384:0.384)) - (IOPATH B2 X (0.189:0.189:0.189) (0.358:0.358:0.358)) - (IOPATH C1 X (0.115:0.117:0.119) (0.269:0.269:0.269)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5783_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.205:0.205:0.205) (0.362:0.362:0.362)) - (IOPATH A2 X (0.207:0.207:0.207) (0.391:0.391:0.391)) - (IOPATH B1 X (0.283:0.283:0.283) (0.393:0.393:0.394)) - (IOPATH B2 X (0.196:0.196:0.196) (0.369:0.369:0.369)) - (IOPATH C1 X (0.187:0.187:0.187) (0.311:0.312:0.313)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5784_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.188:0.188:0.188) (0.345:0.345:0.345)) - (IOPATH A2 X (0.282:0.282:0.282) (0.448:0.448:0.449)) - (IOPATH B1 X (0.274:0.274:0.274) (0.394:0.394:0.394)) - (IOPATH B2 X (0.177:0.177:0.177) (0.347:0.347:0.347)) - (IOPATH C1 X (0.128:0.129:0.130) (0.281:0.281:0.282)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5785_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.219:0.220:0.222) (0.639:0.640:0.640)) - (IOPATH B X (0.199:0.201:0.204) (0.613:0.613:0.614)) - (IOPATH C X (0.200:0.202:0.204) (0.581:0.581:0.582)) - (IOPATH D X (0.198:0.200:0.202) (0.513:0.513:0.514)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5786_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.180:0.180:0.180) (0.335:0.335:0.335)) - (IOPATH A2 X (0.262:0.262:0.262) (0.425:0.426:0.426)) - (IOPATH B1 X (0.258:0.258:0.258) (0.378:0.378:0.378)) - (IOPATH B2 X (0.170:0.170:0.170) (0.341:0.341:0.341)) - (IOPATH C1 X (0.106:0.107:0.109) (0.258:0.259:0.259)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_1") - (INSTANCE _5787_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.183:0.183:0.183) (0.312:0.312:0.312)) - (IOPATH A2 X (0.254:0.254:0.254) (0.401:0.402:0.402)) - (IOPATH B1 X (0.123:0.124:0.124) (0.291:0.292:0.292)) - (IOPATH C1 X (0.119:0.122:0.124) (0.255:0.255:0.256)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5788_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.170:0.170:0.170) (0.227:0.227:0.227)) - (IOPATH A2 X (0.231:0.231:0.231) (0.297:0.297:0.297)) - (IOPATH B1 X (0.217:0.217:0.217) (0.259:0.260:0.260)) - (IOPATH B2 X (0.156:0.156:0.156) (0.219:0.219:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5789_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.171:0.171:0.171) (0.335:0.335:0.335)) - (IOPATH A2 X (0.251:0.251:0.251) (0.414:0.415:0.415)) - (IOPATH B1 X (0.248:0.248:0.248) (0.363:0.364:0.364)) - (IOPATH B2 X (0.160:0.160:0.160) (0.333:0.333:0.333)) - (IOPATH C1 X (0.103:0.105:0.107) (0.259:0.259:0.259)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5790_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.193:0.193:0.193) (0.249:0.249:0.249)) - (IOPATH A2 X (0.254:0.254:0.254) (0.318:0.319:0.319)) - (IOPATH B1 X (0.231:0.231:0.231) (0.270:0.271:0.271)) - (IOPATH B2 X (0.177:0.177:0.177) (0.236:0.236:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5791_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.190:0.190:0.190) (0.341:0.341:0.341)) - (IOPATH A2 X (0.263:0.263:0.263) (0.425:0.426:0.426)) - (IOPATH B1 X (0.246:0.247:0.247) (0.360:0.361:0.361)) - (IOPATH B2 X (0.173:0.173:0.173) (0.346:0.346:0.346)) - (IOPATH C1 X (0.110:0.111:0.112) (0.262:0.262:0.263)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _5792_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.146:0.147:0.149) (0.414:0.414:0.415)) - (IOPATH B X (0.133:0.135:0.138) (0.385:0.385:0.386)) - (IOPATH C X (0.131:0.133:0.135) (0.348:0.348:0.349)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_4") - (INSTANCE _5793_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.375:0.375:0.375) (0.407:0.407:0.407)) - (IOPATH A2 X (0.392:0.392:0.392) (0.429:0.430:0.430)) - (IOPATH B1 X (0.374:0.374:0.375) (0.388:0.389:0.389)) - (IOPATH B2 X (0.339:0.339:0.339) (0.337:0.337:0.338)) - (IOPATH C1 X (0.402:0.402:0.402) (0.257:0.257:0.257)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _5794_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.155:0.155:0.155) (0.181:0.181:0.181)) - (IOPATH A2 X (0.125:0.125:0.125) (0.188:0.188:0.188)) - (IOPATH B1 X (0.123:0.123:0.123) (0.181:0.185:0.188)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5795_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.121:0.121:0.121) (0.282:0.282:0.282)) - (IOPATH A1 X (0.120:0.120:0.121) (0.287:0.287:0.288)) - (IOPATH S X (0.221:0.221:0.221) (0.349:0.349:0.349)) - (IOPATH S X (0.177:0.177:0.177) (0.329:0.329:0.329)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _5796_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.204:0.204:0.204) (0.240:0.240:0.240)) - (IOPATH A2 X (0.188:0.188:0.188) (0.260:0.260:0.260)) - (IOPATH B1 X (0.203:0.203:0.203) (0.164:0.164:0.164)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5797_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.190:0.190:0.190) (0.244:0.244:0.244)) - (IOPATH A2 X (0.262:0.262:0.262) (0.324:0.324:0.325)) - (IOPATH B1 X (0.238:0.239:0.239) (0.276:0.276:0.277)) - (IOPATH B2 X (0.178:0.178:0.178) (0.237:0.237:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5798_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.181:0.181:0.181) (0.334:0.334:0.334)) - (IOPATH A2 X (0.252:0.252:0.252) (0.414:0.414:0.415)) - (IOPATH B1 X (0.246:0.246:0.246) (0.359:0.359:0.360)) - (IOPATH B2 X (0.180:0.180:0.180) (0.348:0.348:0.348)) - (IOPATH C1 X (0.112:0.113:0.115) (0.262:0.262:0.262)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5799_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.206:0.206:0.206) (0.354:0.354:0.354)) - (IOPATH A2 X (0.264:0.264:0.264) (0.426:0.426:0.427)) - (IOPATH B1 X (0.262:0.262:0.262) (0.375:0.375:0.375)) - (IOPATH B2 X (0.182:0.182:0.182) (0.353:0.353:0.353)) - (IOPATH C1 X (0.117:0.119:0.121) (0.274:0.275:0.275)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5800_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.190:0.190:0.190) (0.341:0.341:0.341)) - (IOPATH A2 X (0.223:0.223:0.223) (0.393:0.394:0.394)) - (IOPATH B1 X (0.254:0.254:0.254) (0.367:0.367:0.367)) - (IOPATH B2 X (0.182:0.182:0.182) (0.350:0.350:0.350)) - (IOPATH C1 X (0.130:0.130:0.130) (0.270:0.271:0.272)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5801_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.206:0.206:0.206) (0.256:0.256:0.256)) - (IOPATH A2 X (0.215:0.215:0.215) (0.277:0.278:0.279)) - (IOPATH B1 X (0.259:0.259:0.259) (0.291:0.292:0.292)) - (IOPATH B2 X (0.184:0.184:0.184) (0.240:0.240:0.240)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5802_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.233:0.233:0.233) (0.386:0.386:0.386)) - (IOPATH A2 X (0.325:0.326:0.326) (0.478:0.478:0.478)) - (IOPATH B1 X (0.317:0.317:0.317) (0.419:0.420:0.420)) - (IOPATH B2 X (0.228:0.228:0.228) (0.391:0.391:0.391)) - (IOPATH C1 X (0.174:0.175:0.176) (0.314:0.314:0.315)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_1") - (INSTANCE _5803_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.163:0.163:0.163) (0.284:0.284:0.284)) - (IOPATH A2 X (0.218:0.218:0.218) (0.362:0.362:0.363)) - (IOPATH B1 X (0.096:0.098:0.100) (0.265:0.266:0.266)) - (IOPATH C1 X (0.114:0.114:0.115) (0.237:0.238:0.238)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_1") - (INSTANCE _5804_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.155:0.155:0.155) (0.285:0.285:0.285)) - (IOPATH A2 X (0.219:0.219:0.219) (0.364:0.364:0.365)) - (IOPATH B1 X (0.100:0.101:0.103) (0.270:0.270:0.270)) - (IOPATH C1 X (0.087:0.089:0.091) (0.224:0.224:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5805_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.183:0.183:0.183) (0.241:0.241:0.241)) - (IOPATH A2 X (0.239:0.239:0.239) (0.305:0.306:0.306)) - (IOPATH B1 X (0.222:0.222:0.222) (0.265:0.266:0.266)) - (IOPATH B2 X (0.150:0.150:0.150) (0.213:0.213:0.213)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5806_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.185:0.185:0.185) (0.334:0.334:0.334)) - (IOPATH A2 X (0.257:0.257:0.257) (0.423:0.423:0.424)) - (IOPATH B1 X (0.249:0.249:0.249) (0.366:0.366:0.366)) - (IOPATH B2 X (0.168:0.168:0.168) (0.338:0.338:0.338)) - (IOPATH C1 X (0.096:0.098:0.100) (0.251:0.251:0.251)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5807_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.170:0.170:0.170) (0.227:0.227:0.227)) - (IOPATH A2 X (0.248:0.248:0.248) (0.320:0.320:0.320)) - (IOPATH B1 X (0.223:0.223:0.223) (0.273:0.274:0.274)) - (IOPATH B2 X (0.155:0.155:0.155) (0.218:0.218:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5808_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.209:0.209:0.209) (0.364:0.364:0.364)) - (IOPATH A2 X (0.297:0.297:0.298) (0.457:0.458:0.458)) - (IOPATH B1 X (0.292:0.292:0.292) (0.402:0.403:0.403)) - (IOPATH B2 X (0.205:0.205:0.205) (0.373:0.373:0.373)) - (IOPATH C1 X (0.135:0.137:0.140) (0.289:0.290:0.290)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5809_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.187:0.187:0.187) (0.242:0.242:0.242)) - (IOPATH A2 X (0.204:0.204:0.204) (0.273:0.273:0.273)) - (IOPATH B1 X (0.181:0.181:0.181) (0.221:0.221:0.221)) - (IOPATH B2 X (0.188:0.188:0.188) (0.249:0.249:0.249)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5810_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.193:0.193:0.193) (0.343:0.343:0.343)) - (IOPATH A2 X (0.264:0.264:0.264) (0.429:0.429:0.430)) - (IOPATH B1 X (0.260:0.260:0.260) (0.380:0.380:0.380)) - (IOPATH B2 X (0.166:0.166:0.166) (0.340:0.340:0.340)) - (IOPATH C1 X (0.114:0.114:0.114) (0.264:0.264:0.265)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5811_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.160:0.160:0.160) (0.228:0.228:0.228)) - (IOPATH A2 X (0.244:0.244:0.244) (0.314:0.315:0.315)) - (IOPATH B1 X (0.206:0.206:0.206) (0.242:0.243:0.243)) - (IOPATH B2 X (0.138:0.138:0.138) (0.202:0.202:0.202)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5812_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.208:0.208:0.208) (0.352:0.352:0.352)) - (IOPATH A2 X (0.272:0.272:0.272) (0.438:0.438:0.438)) - (IOPATH B1 X (0.260:0.260:0.260) (0.375:0.375:0.376)) - (IOPATH B2 X (0.179:0.179:0.179) (0.349:0.349:0.349)) - (IOPATH C1 X (0.109:0.111:0.112) (0.265:0.265:0.265)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5813_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.175:0.178:0.181) (0.610:0.611:0.611)) - (IOPATH B X (0.194:0.196:0.197) (0.602:0.602:0.602)) - (IOPATH C X (0.177:0.180:0.182) (0.561:0.561:0.562)) - (IOPATH D X (0.176:0.178:0.180) (0.493:0.494:0.494)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_2") - (INSTANCE _5814_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.423:0.423:0.423) (0.437:0.437:0.437)) - (IOPATH A2 X (0.432:0.433:0.433) (0.458:0.459:0.460)) - (IOPATH B1 X (0.369:0.370:0.372) (0.371:0.371:0.372)) - (IOPATH B2 X (0.397:0.397:0.397) (0.395:0.395:0.395)) - (IOPATH C1 X (0.430:0.430:0.430) (0.266:0.266:0.266)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _5815_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.154:0.154:0.154) (0.181:0.181:0.181)) - (IOPATH A2 X (0.133:0.133:0.133) (0.190:0.190:0.190)) - (IOPATH B1 X (0.129:0.129:0.129) (0.191:0.195:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5816_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.126:0.126:0.126) (0.281:0.281:0.281)) - (IOPATH A1 X (0.115:0.115:0.116) (0.280:0.280:0.281)) - (IOPATH S X (0.222:0.231:0.239) (0.357:0.357:0.357)) - (IOPATH S X (0.198:0.198:0.198) (0.327:0.334:0.341)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5817_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.193:0.193:0.193) (0.250:0.250:0.250)) - (IOPATH A2 X (0.258:0.258:0.258) (0.321:0.321:0.322)) - (IOPATH B1 X (0.236:0.236:0.236) (0.272:0.272:0.273)) - (IOPATH B2 X (0.169:0.169:0.169) (0.231:0.231:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5818_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.204:0.204:0.204) (0.353:0.353:0.353)) - (IOPATH A2 X (0.270:0.270:0.270) (0.436:0.436:0.436)) - (IOPATH B1 X (0.249:0.249:0.249) (0.363:0.363:0.363)) - (IOPATH B2 X (0.164:0.164:0.164) (0.338:0.338:0.338)) - (IOPATH C1 X (0.110:0.112:0.113) (0.263:0.263:0.263)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5819_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.176:0.176:0.176) (0.235:0.235:0.235)) - (IOPATH A2 X (0.256:0.256:0.256) (0.321:0.322:0.322)) - (IOPATH B1 X (0.229:0.229:0.229) (0.265:0.265:0.266)) - (IOPATH B2 X (0.159:0.159:0.159) (0.223:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5820_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.198:0.198:0.198) (0.348:0.348:0.348)) - (IOPATH A2 X (0.266:0.266:0.266) (0.428:0.428:0.429)) - (IOPATH B1 X (0.244:0.244:0.244) (0.357:0.358:0.358)) - (IOPATH B2 X (0.178:0.178:0.178) (0.349:0.349:0.349)) - (IOPATH C1 X (0.113:0.115:0.116) (0.266:0.266:0.266)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5821_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.183:0.183:0.183) (0.236:0.236:0.236)) - (IOPATH A2 X (0.233:0.233:0.233) (0.297:0.297:0.298)) - (IOPATH B1 X (0.213:0.213:0.213) (0.250:0.250:0.250)) - (IOPATH B2 X (0.162:0.162:0.162) (0.225:0.225:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _5822_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.140:0.140:0.140) (0.182:0.182:0.182)) - (IOPATH A2 X (0.214:0.214:0.214) (0.263:0.263:0.264)) - (IOPATH B1 X (0.084:0.085:0.087) (0.151:0.151:0.151)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5823_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.195:0.195:0.195) (0.248:0.248:0.248)) - (IOPATH A2 X (0.281:0.281:0.281) (0.345:0.345:0.345)) - (IOPATH B1 X (0.258:0.258:0.258) (0.289:0.289:0.290)) - (IOPATH B2 X (0.196:0.196:0.196) (0.251:0.251:0.251)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5824_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.159:0.159:0.159) (0.319:0.319:0.319)) - (IOPATH A2 X (0.254:0.254:0.254) (0.418:0.418:0.419)) - (IOPATH B1 X (0.240:0.240:0.240) (0.357:0.357:0.357)) - (IOPATH B2 X (0.175:0.175:0.175) (0.347:0.347:0.347)) - (IOPATH C1 X (0.108:0.109:0.110) (0.255:0.255:0.255)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5825_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.116:0.118:0.121) (0.539:0.539:0.540)) - (IOPATH B X (0.119:0.121:0.123) (0.522:0.523:0.523)) - (IOPATH C X (0.112:0.113:0.115) (0.484:0.484:0.485)) - (IOPATH D X (0.107:0.109:0.112) (0.418:0.418:0.418)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5826_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.219:0.219:0.219) (0.266:0.266:0.266)) - (IOPATH A2 X (0.286:0.286:0.286) (0.341:0.342:0.342)) - (IOPATH B1 X (0.211:0.211:0.211) (0.232:0.232:0.233)) - (IOPATH B2 X (0.189:0.189:0.189) (0.251:0.251:0.251)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5827_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.190:0.190:0.190) (0.343:0.343:0.343)) - (IOPATH A2 X (0.267:0.267:0.267) (0.429:0.429:0.430)) - (IOPATH B1 X (0.220:0.220:0.220) (0.339:0.339:0.340)) - (IOPATH B2 X (0.180:0.180:0.180) (0.350:0.350:0.350)) - (IOPATH C1 X (0.126:0.127:0.127) (0.273:0.273:0.273)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _5828_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.123:0.123:0.123) (0.238:0.238:0.238)) - (IOPATH B X (0.125:0.125:0.125) (0.255:0.255:0.255)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5829_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.189:0.189:0.189) (0.242:0.242:0.242)) - (IOPATH A2 X (0.257:0.257:0.257) (0.323:0.324:0.324)) - (IOPATH B1 X (0.163:0.163:0.163) (0.199:0.199:0.199)) - (IOPATH B2 X (0.128:0.128:0.129) (0.202:0.202:0.202)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5830_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.210:0.210:0.210) (0.360:0.360:0.360)) - (IOPATH A2 X (0.278:0.278:0.278) (0.438:0.438:0.438)) - (IOPATH B1 X (0.286:0.286:0.286) (0.399:0.399:0.399)) - (IOPATH B2 X (0.195:0.195:0.195) (0.366:0.366:0.366)) - (IOPATH C1 X (0.132:0.133:0.134) (0.285:0.285:0.285)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5831_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.187:0.187:0.187) (0.244:0.244:0.244)) - (IOPATH A2 X (0.201:0.201:0.201) (0.267:0.267:0.267)) - (IOPATH B1 X (0.175:0.175:0.175) (0.211:0.211:0.211)) - (IOPATH B2 X (0.176:0.176:0.176) (0.235:0.235:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5832_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.171:0.171:0.171) (0.334:0.334:0.334)) - (IOPATH A2 X (0.262:0.262:0.262) (0.425:0.425:0.425)) - (IOPATH B1 X (0.253:0.253:0.253) (0.367:0.367:0.367)) - (IOPATH B2 X (0.171:0.171:0.171) (0.341:0.341:0.341)) - (IOPATH C1 X (0.108:0.109:0.109) (0.259:0.259:0.259)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5833_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.167:0.167:0.167) (0.233:0.233:0.233)) - (IOPATH A2 X (0.252:0.252:0.252) (0.320:0.321:0.321)) - (IOPATH B1 X (0.229:0.229:0.229) (0.268:0.268:0.269)) - (IOPATH B2 X (0.168:0.168:0.168) (0.234:0.234:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5834_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.198:0.198:0.198) (0.360:0.360:0.360)) - (IOPATH A2 X (0.286:0.286:0.286) (0.446:0.446:0.447)) - (IOPATH B1 X (0.277:0.277:0.277) (0.387:0.387:0.387)) - (IOPATH B2 X (0.194:0.194:0.194) (0.371:0.371:0.371)) - (IOPATH C1 X (0.130:0.132:0.134) (0.283:0.284:0.284)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5835_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.187:0.189:0.191) (0.618:0.618:0.619)) - (IOPATH B X (0.196:0.198:0.200) (0.605:0.606:0.606)) - (IOPATH C X (0.180:0.183:0.186) (0.565:0.566:0.566)) - (IOPATH D X (0.190:0.191:0.193) (0.503:0.503:0.503)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_1") - (INSTANCE _5836_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.376:0.376:0.376) (0.384:0.384:0.384)) - (IOPATH A2 X (0.383:0.383:0.383) (0.407:0.408:0.409)) - (IOPATH B1 X (0.327:0.327:0.327) (0.344:0.345:0.345)) - (IOPATH B2 X (0.349:0.349:0.349) (0.351:0.352:0.352)) - (IOPATH C1 X (0.378:0.378:0.378) (0.240:0.240:0.240)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _5837_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.169:0.169:0.169) (0.192:0.192:0.192)) - (IOPATH A2 X (0.140:0.140:0.140) (0.196:0.196:0.196)) - (IOPATH B1 X (0.139:0.139:0.139) (0.196:0.199:0.202)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5838_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.118:0.118:0.118) (0.278:0.278:0.278)) - (IOPATH A1 X (0.126:0.126:0.126) (0.288:0.288:0.288)) - (IOPATH S X (0.226:0.234:0.243) (0.362:0.362:0.362)) - (IOPATH S X (0.203:0.203:0.203) (0.331:0.339:0.346)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5839_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.194:0.194:0.194) (0.252:0.252:0.252)) - (IOPATH A2 X (0.253:0.253:0.253) (0.314:0.314:0.314)) - (IOPATH B1 X (0.234:0.234:0.234) (0.265:0.266:0.266)) - (IOPATH B2 X (0.170:0.170:0.170) (0.237:0.237:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5840_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.201:0.201:0.201) (0.354:0.354:0.354)) - (IOPATH A2 X (0.218:0.218:0.218) (0.391:0.392:0.393)) - (IOPATH B1 X (0.262:0.262:0.262) (0.374:0.375:0.375)) - (IOPATH B2 X (0.199:0.199:0.199) (0.373:0.373:0.373)) - (IOPATH C1 X (0.133:0.135:0.136) (0.284:0.284:0.284)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5841_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.165:0.165:0.165) (0.232:0.232:0.232)) - (IOPATH A2 X (0.250:0.250:0.250) (0.317:0.317:0.317)) - (IOPATH B1 X (0.226:0.226:0.226) (0.267:0.268:0.268)) - (IOPATH B2 X (0.149:0.149:0.149) (0.214:0.214:0.214)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5842_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.194:0.194:0.194) (0.349:0.349:0.349)) - (IOPATH A2 X (0.276:0.276:0.276) (0.439:0.439:0.440)) - (IOPATH B1 X (0.229:0.229:0.229) (0.348:0.348:0.349)) - (IOPATH B2 X (0.189:0.189:0.189) (0.363:0.363:0.363)) - (IOPATH C1 X (0.119:0.121:0.123) (0.273:0.273:0.273)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5843_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.180:0.180:0.180) (0.237:0.237:0.237)) - (IOPATH A2 X (0.247:0.247:0.247) (0.312:0.312:0.313)) - (IOPATH B1 X (0.222:0.222:0.222) (0.260:0.260:0.261)) - (IOPATH B2 X (0.152:0.152:0.152) (0.223:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _5844_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.170:0.170:0.170) (0.210:0.210:0.210)) - (IOPATH A2 X (0.229:0.229:0.229) (0.278:0.279:0.279)) - (IOPATH B1 X (0.097:0.099:0.100) (0.161:0.162:0.162)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5845_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.179:0.179:0.179) (0.234:0.234:0.234)) - (IOPATH A2 X (0.247:0.247:0.247) (0.316:0.316:0.316)) - (IOPATH B1 X (0.224:0.224:0.224) (0.270:0.271:0.271)) - (IOPATH B2 X (0.162:0.162:0.162) (0.225:0.225:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5846_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.193:0.193:0.193) (0.346:0.346:0.346)) - (IOPATH A2 X (0.209:0.209:0.209) (0.386:0.386:0.386)) - (IOPATH B1 X (0.273:0.273:0.273) (0.385:0.385:0.386)) - (IOPATH B2 X (0.188:0.188:0.188) (0.358:0.358:0.358)) - (IOPATH C1 X (0.117:0.119:0.121) (0.272:0.272:0.272)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5847_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.192:0.194:0.195) (0.620:0.621:0.621)) - (IOPATH B X (0.189:0.191:0.193) (0.601:0.601:0.602)) - (IOPATH C X (0.183:0.185:0.186) (0.563:0.563:0.563)) - (IOPATH D X (0.182:0.184:0.186) (0.498:0.499:0.499)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5848_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.167:0.167:0.167) (0.234:0.234:0.234)) - (IOPATH A2 X (0.237:0.237:0.238) (0.302:0.302:0.303)) - (IOPATH B1 X (0.160:0.160:0.160) (0.201:0.201:0.201)) - (IOPATH B2 X (0.163:0.163:0.163) (0.230:0.230:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5849_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.199:0.199:0.199) (0.360:0.360:0.360)) - (IOPATH A2 X (0.295:0.295:0.295) (0.460:0.460:0.460)) - (IOPATH B1 X (0.277:0.277:0.277) (0.387:0.388:0.388)) - (IOPATH B2 X (0.193:0.193:0.193) (0.370:0.370:0.370)) - (IOPATH C1 X (0.130:0.131:0.131) (0.284:0.284:0.284)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _5850_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.149:0.149:0.149) (0.261:0.261:0.261)) - (IOPATH B X (0.130:0.130:0.130) (0.261:0.261:0.261)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5851_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.185:0.185:0.185) (0.245:0.245:0.245)) - (IOPATH A2 X (0.261:0.261:0.261) (0.325:0.325:0.325)) - (IOPATH B1 X (0.168:0.168:0.168) (0.205:0.205:0.205)) - (IOPATH B2 X (0.134:0.134:0.134) (0.206:0.206:0.206)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5852_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.204:0.204:0.204) (0.359:0.359:0.359)) - (IOPATH A2 X (0.286:0.287:0.287) (0.449:0.449:0.449)) - (IOPATH B1 X (0.283:0.283:0.283) (0.394:0.394:0.395)) - (IOPATH B2 X (0.207:0.207:0.207) (0.374:0.374:0.374)) - (IOPATH C1 X (0.135:0.136:0.136) (0.286:0.286:0.287)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5853_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.198:0.198:0.198) (0.253:0.253:0.253)) - (IOPATH A2 X (0.253:0.253:0.253) (0.317:0.318:0.318)) - (IOPATH B1 X (0.232:0.232:0.232) (0.269:0.269:0.269)) - (IOPATH B2 X (0.155:0.155:0.155) (0.216:0.216:0.216)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5854_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.180:0.180:0.180) (0.342:0.342:0.342)) - (IOPATH A2 X (0.262:0.262:0.262) (0.425:0.425:0.425)) - (IOPATH B1 X (0.259:0.259:0.259) (0.373:0.373:0.373)) - (IOPATH B2 X (0.172:0.172:0.172) (0.345:0.345:0.345)) - (IOPATH C1 X (0.110:0.111:0.113) (0.263:0.263:0.263)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5855_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.158:0.158:0.158) (0.221:0.221:0.221)) - (IOPATH A2 X (0.247:0.247:0.247) (0.316:0.316:0.316)) - (IOPATH B1 X (0.224:0.224:0.224) (0.267:0.267:0.267)) - (IOPATH B2 X (0.155:0.155:0.155) (0.223:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5856_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.157:0.157:0.157) (0.316:0.316:0.316)) - (IOPATH A2 X (0.248:0.248:0.248) (0.414:0.414:0.414)) - (IOPATH B1 X (0.238:0.238:0.238) (0.353:0.353:0.353)) - (IOPATH B2 X (0.158:0.158:0.158) (0.333:0.333:0.333)) - (IOPATH C1 X (0.090:0.092:0.094) (0.243:0.243:0.243)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5857_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.147:0.149:0.151) (0.571:0.571:0.572)) - (IOPATH B X (0.148:0.149:0.151) (0.554:0.554:0.554)) - (IOPATH C X (0.134:0.136:0.139) (0.515:0.515:0.515)) - (IOPATH D X (0.124:0.127:0.130) (0.444:0.444:0.444)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_2") - (INSTANCE _5858_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.413:0.413:0.413) (0.434:0.434:0.434)) - (IOPATH A2 X (0.439:0.440:0.440) (0.463:0.464:0.464)) - (IOPATH B1 X (0.409:0.409:0.410) (0.418:0.418:0.418)) - (IOPATH B2 X (0.386:0.387:0.387) (0.385:0.385:0.386)) - (IOPATH C1 X (0.437:0.437:0.437) (0.270:0.270:0.270)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _5859_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.255:0.255:0.255) (0.246:0.246:0.246)) - (IOPATH A2 X (0.226:0.226:0.226) (0.252:0.252:0.252)) - (IOPATH B1 X (0.230:0.230:0.230) (0.258:0.261:0.265)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5860_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.122:0.122:0.122) (0.284:0.284:0.284)) - (IOPATH A1 X (0.164:0.164:0.164) (0.313:0.314:0.314)) - (IOPATH S X (0.223:0.223:0.223) (0.352:0.352:0.352)) - (IOPATH S X (0.179:0.179:0.179) (0.332:0.332:0.332)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5861_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.172:0.172:0.172) (0.237:0.237:0.237)) - (IOPATH A2 X (0.256:0.256:0.256) (0.321:0.322:0.322)) - (IOPATH B1 X (0.231:0.231:0.231) (0.269:0.269:0.270)) - (IOPATH B2 X (0.161:0.161:0.161) (0.228:0.228:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5862_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.165:0.165:0.165) (0.327:0.327:0.327)) - (IOPATH A2 X (0.246:0.246:0.246) (0.410:0.410:0.410)) - (IOPATH B1 X (0.236:0.236:0.236) (0.351:0.351:0.351)) - (IOPATH B2 X (0.156:0.156:0.156) (0.328:0.328:0.328)) - (IOPATH C1 X (0.097:0.099:0.101) (0.249:0.249:0.249)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5863_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.169:0.169:0.169) (0.232:0.232:0.232)) - (IOPATH A2 X (0.174:0.174:0.174) (0.247:0.247:0.247)) - (IOPATH B1 X (0.220:0.220:0.220) (0.260:0.261:0.261)) - (IOPATH B2 X (0.154:0.154:0.154) (0.223:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5864_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.230:0.230:0.230) (0.379:0.379:0.379)) - (IOPATH A2 X (0.302:0.302:0.302) (0.460:0.460:0.461)) - (IOPATH B1 X (0.296:0.296:0.296) (0.407:0.407:0.408)) - (IOPATH B2 X (0.205:0.205:0.205) (0.381:0.381:0.381)) - (IOPATH C1 X (0.138:0.140:0.142) (0.292:0.292:0.292)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5865_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.169:0.169:0.169) (0.235:0.235:0.235)) - (IOPATH A2 X (0.256:0.256:0.256) (0.326:0.326:0.327)) - (IOPATH B1 X (0.165:0.165:0.165) (0.200:0.200:0.200)) - (IOPATH B2 X (0.159:0.159:0.159) (0.221:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _5866_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.144:0.144:0.144) (0.190:0.190:0.190)) - (IOPATH A2 X (0.218:0.218:0.218) (0.264:0.265:0.265)) - (IOPATH B1 X (0.091:0.092:0.092) (0.156:0.157:0.157)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5867_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.193:0.193:0.193) (0.250:0.250:0.250)) - (IOPATH A2 X (0.272:0.272:0.272) (0.337:0.337:0.337)) - (IOPATH B1 X (0.244:0.244:0.244) (0.276:0.277:0.277)) - (IOPATH B2 X (0.172:0.172:0.172) (0.233:0.233:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5868_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.166:0.166:0.166) (0.325:0.325:0.325)) - (IOPATH A2 X (0.246:0.246:0.247) (0.409:0.410:0.410)) - (IOPATH B1 X (0.195:0.195:0.195) (0.315:0.315:0.316)) - (IOPATH B2 X (0.182:0.182:0.182) (0.346:0.346:0.346)) - (IOPATH C1 X (0.100:0.102:0.103) (0.248:0.248:0.249)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5869_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.124:0.127:0.129) (0.555:0.555:0.556)) - (IOPATH B X (0.145:0.147:0.148) (0.547:0.548:0.548)) - (IOPATH C X (0.127:0.128:0.130) (0.503:0.503:0.504)) - (IOPATH D X (0.118:0.121:0.123) (0.436:0.436:0.436)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5870_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.218:0.218:0.218) (0.266:0.266:0.266)) - (IOPATH A2 X (0.293:0.293:0.293) (0.346:0.346:0.347)) - (IOPATH B1 X (0.267:0.267:0.267) (0.288:0.288:0.289)) - (IOPATH B2 X (0.200:0.200:0.200) (0.253:0.253:0.253)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5871_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.183:0.183:0.183) (0.336:0.336:0.336)) - (IOPATH A2 X (0.256:0.256:0.256) (0.420:0.420:0.421)) - (IOPATH B1 X (0.249:0.249:0.249) (0.364:0.364:0.365)) - (IOPATH B2 X (0.165:0.165:0.165) (0.335:0.335:0.335)) - (IOPATH C1 X (0.118:0.119:0.120) (0.261:0.261:0.261)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _5872_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.151:0.151:0.151) (0.268:0.268:0.268)) - (IOPATH B X (0.152:0.152:0.152) (0.238:0.238:0.238)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5873_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.176:0.176:0.176) (0.238:0.238:0.238)) - (IOPATH A2 X (0.251:0.251:0.251) (0.315:0.316:0.316)) - (IOPATH B1 X (0.187:0.187:0.187) (0.213:0.214:0.214)) - (IOPATH B2 X (0.130:0.130:0.131) (0.203:0.203:0.203)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5874_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.221:0.221:0.221) (0.369:0.369:0.369)) - (IOPATH A2 X (0.301:0.301:0.301) (0.460:0.461:0.461)) - (IOPATH B1 X (0.292:0.292:0.293) (0.404:0.404:0.404)) - (IOPATH B2 X (0.221:0.221:0.221) (0.385:0.385:0.385)) - (IOPATH C1 X (0.138:0.139:0.140) (0.291:0.291:0.291)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5875_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.169:0.169:0.169) (0.230:0.230:0.230)) - (IOPATH A2 X (0.250:0.250:0.250) (0.313:0.313:0.314)) - (IOPATH B1 X (0.235:0.235:0.235) (0.273:0.273:0.274)) - (IOPATH B2 X (0.162:0.162:0.162) (0.232:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5876_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.162:0.162:0.162) (0.325:0.325:0.325)) - (IOPATH A2 X (0.209:0.209:0.209) (0.377:0.378:0.378)) - (IOPATH B1 X (0.233:0.233:0.233) (0.347:0.347:0.348)) - (IOPATH B2 X (0.174:0.174:0.174) (0.340:0.340:0.340)) - (IOPATH C1 X (0.098:0.100:0.102) (0.249:0.250:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5877_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.179:0.179:0.179) (0.237:0.237:0.237)) - (IOPATH A2 X (0.250:0.250:0.250) (0.311:0.312:0.312)) - (IOPATH B1 X (0.237:0.237:0.237) (0.273:0.273:0.274)) - (IOPATH B2 X (0.168:0.168:0.168) (0.230:0.230:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5878_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.210:0.210:0.210) (0.361:0.361:0.361)) - (IOPATH A2 X (0.286:0.286:0.286) (0.450:0.450:0.450)) - (IOPATH B1 X (0.255:0.255:0.255) (0.367:0.367:0.368)) - (IOPATH B2 X (0.193:0.193:0.193) (0.362:0.362:0.362)) - (IOPATH C1 X (0.125:0.127:0.128) (0.277:0.277:0.277)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5879_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.128:0.131:0.133) (0.558:0.559:0.559)) - (IOPATH B X (0.147:0.148:0.150) (0.550:0.550:0.550)) - (IOPATH C X (0.125:0.128:0.130) (0.508:0.508:0.508)) - (IOPATH D X (0.133:0.135:0.137) (0.444:0.444:0.444)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_1") - (INSTANCE _5880_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.278:0.278:0.278) (0.327:0.327:0.327)) - (IOPATH A2 X (0.291:0.291:0.292) (0.356:0.356:0.357)) - (IOPATH B1 X (0.241:0.241:0.241) (0.292:0.292:0.292)) - (IOPATH B2 X (0.237:0.237:0.237) (0.275:0.275:0.276)) - (IOPATH C1 X (0.286:0.286:0.286) (0.189:0.189:0.189)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _5881_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.180:0.180:0.180) (0.217:0.217:0.217)) - (IOPATH A2 X (0.189:0.189:0.189) (0.240:0.240:0.240)) - (IOPATH B1 X (0.122:0.122:0.122) (0.176:0.179:0.182)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5882_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.150:0.150:0.150) (0.302:0.302:0.302)) - (IOPATH A1 X (0.131:0.132:0.133) (0.296:0.296:0.296)) - (IOPATH S X (0.227:0.227:0.227) (0.355:0.355:0.355)) - (IOPATH S X (0.182:0.182:0.182) (0.335:0.335:0.335)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5883_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.174:0.174:0.174) (0.239:0.239:0.239)) - (IOPATH A2 X (0.252:0.252:0.252) (0.316:0.316:0.317)) - (IOPATH B1 X (0.196:0.196:0.196) (0.224:0.225:0.226)) - (IOPATH B2 X (0.171:0.171:0.171) (0.231:0.231:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5884_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.191:0.191:0.191) (0.349:0.349:0.349)) - (IOPATH A2 X (0.289:0.289:0.289) (0.452:0.452:0.452)) - (IOPATH B1 X (0.279:0.279:0.279) (0.392:0.392:0.393)) - (IOPATH B2 X (0.186:0.186:0.186) (0.356:0.356:0.356)) - (IOPATH C1 X (0.128:0.128:0.129) (0.280:0.280:0.280)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5885_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.227:0.227:0.227) (0.270:0.270:0.270)) - (IOPATH A2 X (0.295:0.295:0.295) (0.343:0.343:0.344)) - (IOPATH B1 X (0.280:0.280:0.280) (0.298:0.299:0.299)) - (IOPATH B2 X (0.228:0.228:0.228) (0.272:0.272:0.272)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5886_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.184:0.184:0.184) (0.343:0.343:0.343)) - (IOPATH A2 X (0.224:0.224:0.224) (0.392:0.393:0.393)) - (IOPATH B1 X (0.257:0.257:0.257) (0.370:0.370:0.371)) - (IOPATH B2 X (0.174:0.174:0.174) (0.347:0.347:0.347)) - (IOPATH C1 X (0.134:0.134:0.135) (0.275:0.275:0.276)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5887_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.221:0.221:0.221) (0.265:0.265:0.265)) - (IOPATH A2 X (0.275:0.275:0.275) (0.333:0.334:0.334)) - (IOPATH B1 X (0.263:0.263:0.263) (0.298:0.298:0.299)) - (IOPATH B2 X (0.207:0.207:0.207) (0.266:0.266:0.266)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _5888_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.128:0.128:0.128) (0.170:0.170:0.170)) - (IOPATH A2 X (0.139:0.139:0.139) (0.188:0.188:0.188)) - (IOPATH B1 X (0.087:0.088:0.089) (0.151:0.151:0.152)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5889_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.258:0.258:0.258) (0.294:0.294:0.294)) - (IOPATH A2 X (0.329:0.329:0.329) (0.371:0.371:0.371)) - (IOPATH B1 X (0.310:0.310:0.310) (0.325:0.325:0.326)) - (IOPATH B2 X (0.235:0.235:0.235) (0.279:0.279:0.279)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5890_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.226:0.226:0.226) (0.377:0.377:0.377)) - (IOPATH A2 X (0.303:0.303:0.303) (0.462:0.462:0.463)) - (IOPATH B1 X (0.292:0.292:0.292) (0.401:0.401:0.401)) - (IOPATH B2 X (0.220:0.220:0.220) (0.384:0.384:0.384)) - (IOPATH C1 X (0.171:0.171:0.172) (0.309:0.309:0.309)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5891_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.112:0.114:0.116) (0.524:0.525:0.525)) - (IOPATH B X (0.106:0.108:0.110) (0.503:0.504:0.504)) - (IOPATH C X (0.096:0.096:0.097) (0.465:0.465:0.465)) - (IOPATH D X (0.114:0.115:0.116) (0.408:0.408:0.408)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5892_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.185:0.185:0.185) (0.246:0.246:0.246)) - (IOPATH A2 X (0.192:0.192:0.192) (0.262:0.262:0.262)) - (IOPATH B1 X (0.241:0.241:0.241) (0.276:0.276:0.277)) - (IOPATH B2 X (0.172:0.172:0.172) (0.236:0.236:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5893_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.245:0.245:0.245) (0.385:0.385:0.385)) - (IOPATH A2 X (0.307:0.307:0.307) (0.470:0.470:0.470)) - (IOPATH B1 X (0.301:0.301:0.301) (0.411:0.411:0.412)) - (IOPATH B2 X (0.214:0.214:0.214) (0.381:0.381:0.381)) - (IOPATH C1 X (0.150:0.151:0.153) (0.299:0.299:0.300)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _5894_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.123:0.123:0.123) (0.243:0.243:0.243)) - (IOPATH B X (0.139:0.139:0.139) (0.226:0.226:0.226)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5895_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.181:0.181:0.181) (0.239:0.239:0.239)) - (IOPATH A2 X (0.250:0.250:0.250) (0.312:0.312:0.312)) - (IOPATH B1 X (0.195:0.195:0.195) (0.220:0.220:0.221)) - (IOPATH B2 X (0.134:0.134:0.134) (0.206:0.206:0.206)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5896_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.197:0.197:0.197) (0.350:0.350:0.350)) - (IOPATH A2 X (0.257:0.257:0.257) (0.420:0.420:0.420)) - (IOPATH B1 X (0.243:0.243:0.243) (0.357:0.357:0.357)) - (IOPATH B2 X (0.184:0.184:0.184) (0.353:0.353:0.353)) - (IOPATH C1 X (0.115:0.115:0.116) (0.266:0.267:0.267)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5897_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.196:0.196:0.196) (0.250:0.250:0.250)) - (IOPATH A2 X (0.253:0.254:0.254) (0.317:0.317:0.318)) - (IOPATH B1 X (0.233:0.233:0.233) (0.269:0.270:0.270)) - (IOPATH B2 X (0.165:0.165:0.165) (0.231:0.231:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5898_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.178:0.178:0.178) (0.331:0.331:0.331)) - (IOPATH A2 X (0.263:0.263:0.263) (0.426:0.426:0.427)) - (IOPATH B1 X (0.250:0.251:0.251) (0.365:0.365:0.366)) - (IOPATH B2 X (0.180:0.180:0.180) (0.352:0.352:0.352)) - (IOPATH C1 X (0.106:0.108:0.109) (0.258:0.258:0.259)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5899_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.171:0.171:0.171) (0.228:0.228:0.228)) - (IOPATH A2 X (0.245:0.245:0.245) (0.311:0.312:0.312)) - (IOPATH B1 X (0.223:0.223:0.223) (0.264:0.264:0.265)) - (IOPATH B2 X (0.157:0.157:0.157) (0.224:0.224:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5900_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.188:0.188:0.188) (0.340:0.340:0.340)) - (IOPATH A2 X (0.266:0.266:0.266) (0.430:0.430:0.430)) - (IOPATH B1 X (0.251:0.251:0.251) (0.365:0.365:0.365)) - (IOPATH B2 X (0.171:0.171:0.171) (0.348:0.348:0.348)) - (IOPATH C1 X (0.105:0.107:0.109) (0.260:0.260:0.260)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5901_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.221:0.223:0.224) (0.644:0.644:0.644)) - (IOPATH B X (0.205:0.207:0.209) (0.619:0.619:0.619)) - (IOPATH C X (0.199:0.201:0.204) (0.584:0.584:0.584)) - (IOPATH D X (0.197:0.200:0.202) (0.516:0.516:0.517)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_1") - (INSTANCE _5902_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.272:0.272:0.272) (0.325:0.325:0.325)) - (IOPATH A2 X (0.284:0.284:0.285) (0.351:0.352:0.353)) - (IOPATH B1 X (0.223:0.224:0.224) (0.277:0.278:0.278)) - (IOPATH B2 X (0.257:0.257:0.258) (0.296:0.296:0.296)) - (IOPATH C1 X (0.279:0.279:0.279) (0.185:0.185:0.185)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _5903_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.174:0.174:0.174) (0.212:0.212:0.212)) - (IOPATH A2 X (0.167:0.167:0.167) (0.219:0.219:0.219)) - (IOPATH B1 X (0.114:0.115:0.115) (0.170:0.173:0.176)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5904_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.161:0.161:0.161) (0.310:0.310:0.310)) - (IOPATH A1 X (0.130:0.130:0.131) (0.295:0.295:0.296)) - (IOPATH S X (0.227:0.227:0.227) (0.356:0.356:0.356)) - (IOPATH S X (0.182:0.182:0.182) (0.336:0.336:0.336)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5905_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.198:0.198:0.198) (0.237:0.237:0.237)) - (IOPATH A2 X (0.250:0.250:0.250) (0.321:0.322:0.322)) - (IOPATH B1 X (0.211:0.211:0.211) (0.246:0.246:0.247)) - (IOPATH B2 X (0.173:0.173:0.173) (0.223:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5906_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.304:0.304:0.304) (0.424:0.424:0.424)) - (IOPATH A2 X (0.379:0.379:0.379) (0.516:0.516:0.517)) - (IOPATH B1 X (0.375:0.375:0.375) (0.466:0.466:0.466)) - (IOPATH B2 X (0.298:0.298:0.298) (0.431:0.431:0.431)) - (IOPATH C1 X (0.215:0.216:0.217) (0.348:0.348:0.348)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5907_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.184:0.184:0.184) (0.231:0.231:0.231)) - (IOPATH A2 X (0.172:0.172:0.172) (0.245:0.245:0.245)) - (IOPATH B1 X (0.224:0.224:0.224) (0.263:0.263:0.264)) - (IOPATH B2 X (0.165:0.165:0.165) (0.216:0.216:0.216)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5908_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.225:0.225:0.225) (0.359:0.359:0.359)) - (IOPATH A2 X (0.253:0.253:0.253) (0.416:0.416:0.416)) - (IOPATH B1 X (0.254:0.254:0.254) (0.367:0.368:0.368)) - (IOPATH B2 X (0.180:0.180:0.180) (0.344:0.344:0.344)) - (IOPATH C1 X (0.102:0.104:0.106) (0.257:0.257:0.257)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5909_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.172:0.172:0.172) (0.235:0.235:0.235)) - (IOPATH A2 X (0.250:0.250:0.250) (0.318:0.319:0.319)) - (IOPATH B1 X (0.224:0.224:0.224) (0.264:0.265:0.265)) - (IOPATH B2 X (0.168:0.168:0.168) (0.223:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _5910_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.265:0.265:0.265) (0.255:0.255:0.255)) - (IOPATH A2 X (0.321:0.321:0.321) (0.331:0.332:0.332)) - (IOPATH B1 X (0.193:0.195:0.196) (0.224:0.225:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5911_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.216:0.216:0.216) (0.251:0.251:0.251)) - (IOPATH A2 X (0.268:0.268:0.268) (0.331:0.331:0.332)) - (IOPATH B1 X (0.244:0.244:0.244) (0.277:0.277:0.277)) - (IOPATH B2 X (0.210:0.210:0.210) (0.259:0.259:0.259)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5912_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.215:0.215:0.215) (0.344:0.344:0.344)) - (IOPATH A2 X (0.202:0.202:0.202) (0.372:0.372:0.373)) - (IOPATH B1 X (0.246:0.246:0.246) (0.362:0.363:0.363)) - (IOPATH B2 X (0.188:0.188:0.188) (0.356:0.356:0.356)) - (IOPATH C1 X (0.103:0.104:0.105) (0.251:0.251:0.251)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5913_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.172:0.173:0.174) (0.577:0.577:0.577)) - (IOPATH B X (0.125:0.127:0.130) (0.534:0.534:0.535)) - (IOPATH C X (0.167:0.167:0.167) (0.518:0.519:0.519)) - (IOPATH D X (0.115:0.118:0.121) (0.431:0.431:0.431)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5914_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.210:0.210:0.210) (0.251:0.251:0.251)) - (IOPATH A2 X (0.256:0.256:0.256) (0.319:0.319:0.319)) - (IOPATH B1 X (0.240:0.240:0.240) (0.279:0.280:0.280)) - (IOPATH B2 X (0.194:0.194:0.194) (0.247:0.247:0.247)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5915_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.202:0.202:0.202) (0.332:0.332:0.332)) - (IOPATH A2 X (0.251:0.251:0.251) (0.415:0.415:0.416)) - (IOPATH B1 X (0.237:0.237:0.237) (0.352:0.352:0.353)) - (IOPATH B2 X (0.168:0.168:0.168) (0.326:0.326:0.326)) - (IOPATH C1 X (0.099:0.100:0.101) (0.248:0.248:0.248)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _5916_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.153:0.153:0.153) (0.254:0.254:0.254)) - (IOPATH B X (0.141:0.141:0.141) (0.228:0.228:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5917_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.224:0.224:0.224) (0.263:0.263:0.263)) - (IOPATH A2 X (0.279:0.279:0.279) (0.337:0.337:0.337)) - (IOPATH B1 X (0.217:0.217:0.217) (0.236:0.236:0.237)) - (IOPATH B2 X (0.157:0.157:0.157) (0.223:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5918_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.194:0.194:0.194) (0.335:0.335:0.335)) - (IOPATH A2 X (0.260:0.260:0.260) (0.425:0.425:0.426)) - (IOPATH B1 X (0.242:0.242:0.242) (0.356:0.356:0.356)) - (IOPATH B2 X (0.187:0.187:0.187) (0.346:0.346:0.346)) - (IOPATH C1 X (0.113:0.113:0.113) (0.259:0.259:0.259)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5919_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.179:0.179:0.179) (0.229:0.229:0.229)) - (IOPATH A2 X (0.239:0.239:0.239) (0.303:0.304:0.304)) - (IOPATH B1 X (0.228:0.228:0.228) (0.266:0.266:0.267)) - (IOPATH B2 X (0.187:0.187:0.187) (0.239:0.239:0.239)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5920_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.236:0.236:0.236) (0.371:0.371:0.371)) - (IOPATH A2 X (0.282:0.282:0.282) (0.441:0.441:0.442)) - (IOPATH B1 X (0.288:0.288:0.288) (0.397:0.398:0.398)) - (IOPATH B2 X (0.227:0.227:0.227) (0.380:0.380:0.380)) - (IOPATH C1 X (0.135:0.136:0.138) (0.287:0.287:0.287)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5921_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.176:0.176:0.176) (0.228:0.228:0.228)) - (IOPATH A2 X (0.233:0.233:0.233) (0.298:0.298:0.299)) - (IOPATH B1 X (0.221:0.221:0.221) (0.263:0.264:0.264)) - (IOPATH B2 X (0.180:0.180:0.180) (0.238:0.238:0.238)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_2") - (INSTANCE _5922_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.314:0.314:0.314) (0.475:0.475:0.475)) - (IOPATH A2 X (0.351:0.351:0.351) (0.535:0.536:0.536)) - (IOPATH B1 X (0.310:0.310:0.310) (0.459:0.459:0.459)) - (IOPATH B2 X (0.303:0.303:0.303) (0.484:0.484:0.484)) - (IOPATH C1 X (0.220:0.222:0.224) (0.403:0.404:0.404)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5923_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.109:0.111:0.114) (0.533:0.534:0.534)) - (IOPATH B X (0.112:0.115:0.117) (0.517:0.517:0.517)) - (IOPATH C X (0.125:0.127:0.129) (0.491:0.491:0.492)) - (IOPATH D X (0.151:0.152:0.152) (0.440:0.440:0.440)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_1") - (INSTANCE _5924_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.374:0.374:0.374) (0.381:0.381:0.381)) - (IOPATH A2 X (0.388:0.388:0.389) (0.411:0.412:0.413)) - (IOPATH B1 X (0.336:0.336:0.336) (0.351:0.351:0.351)) - (IOPATH B2 X (0.327:0.327:0.327) (0.329:0.329:0.329)) - (IOPATH C1 X (0.383:0.383:0.383) (0.242:0.242:0.242)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _5925_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.221:0.221:0.221) (0.244:0.244:0.244)) - (IOPATH A2 X (0.223:0.223:0.223) (0.258:0.258:0.258)) - (IOPATH B1 X (0.182:0.182:0.182) (0.226:0.229:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5926_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.150:0.150:0.150) (0.303:0.303:0.303)) - (IOPATH A1 X (0.154:0.155:0.155) (0.308:0.309:0.309)) - (IOPATH S X (0.230:0.230:0.230) (0.358:0.358:0.358)) - (IOPATH S X (0.185:0.185:0.185) (0.339:0.339:0.339)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5927_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.287:0.287:0.287) (0.307:0.307:0.307)) - (IOPATH A2 X (0.380:0.380:0.380) (0.408:0.408:0.408)) - (IOPATH B1 X (0.361:0.361:0.361) (0.362:0.362:0.363)) - (IOPATH B2 X (0.284:0.284:0.284) (0.309:0.309:0.309)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5928_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.191:0.191:0.191) (0.349:0.349:0.349)) - (IOPATH A2 X (0.270:0.270:0.270) (0.432:0.432:0.432)) - (IOPATH B1 X (0.254:0.254:0.254) (0.366:0.367:0.367)) - (IOPATH B2 X (0.173:0.173:0.173) (0.344:0.344:0.344)) - (IOPATH C1 X (0.160:0.160:0.160) (0.296:0.296:0.296)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5929_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.184:0.184:0.184) (0.243:0.243:0.243)) - (IOPATH A2 X (0.244:0.244:0.244) (0.307:0.307:0.308)) - (IOPATH B1 X (0.229:0.229:0.229) (0.268:0.268:0.269)) - (IOPATH B2 X (0.159:0.159:0.159) (0.229:0.229:0.229)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5930_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.199:0.199:0.199) (0.348:0.348:0.348)) - (IOPATH A2 X (0.257:0.257:0.258) (0.420:0.421:0.421)) - (IOPATH B1 X (0.264:0.264:0.264) (0.377:0.377:0.378)) - (IOPATH B2 X (0.176:0.176:0.176) (0.354:0.354:0.354)) - (IOPATH C1 X (0.114:0.115:0.117) (0.267:0.267:0.267)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5931_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.198:0.198:0.198) (0.238:0.238:0.238)) - (IOPATH A2 X (0.248:0.248:0.248) (0.317:0.317:0.317)) - (IOPATH B1 X (0.219:0.219:0.219) (0.257:0.257:0.258)) - (IOPATH B2 X (0.156:0.156:0.156) (0.224:0.224:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _5932_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.131:0.131:0.131) (0.179:0.179:0.179)) - (IOPATH A2 X (0.202:0.202:0.202) (0.250:0.251:0.251)) - (IOPATH B1 X (0.078:0.079:0.081) (0.145:0.145:0.146)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5933_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.166:0.166:0.166) (0.225:0.225:0.225)) - (IOPATH A2 X (0.243:0.243:0.243) (0.311:0.311:0.312)) - (IOPATH B1 X (0.217:0.217:0.217) (0.258:0.259:0.259)) - (IOPATH B2 X (0.146:0.146:0.146) (0.217:0.217:0.217)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5934_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.213:0.213:0.213) (0.363:0.363:0.363)) - (IOPATH A2 X (0.282:0.282:0.282) (0.442:0.443:0.443)) - (IOPATH B1 X (0.193:0.193:0.193) (0.325:0.325:0.325)) - (IOPATH B2 X (0.184:0.184:0.184) (0.356:0.356:0.356)) - (IOPATH C1 X (0.119:0.121:0.123) (0.274:0.274:0.274)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5935_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.106:0.107:0.109) (0.518:0.518:0.518)) - (IOPATH B X (0.104:0.107:0.109) (0.500:0.500:0.500)) - (IOPATH C X (0.094:0.096:0.098) (0.461:0.461:0.461)) - (IOPATH D X (0.103:0.105:0.107) (0.400:0.400:0.400)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5936_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.163:0.163:0.163) (0.224:0.224:0.224)) - (IOPATH A2 X (0.204:0.204:0.204) (0.269:0.270:0.271)) - (IOPATH B1 X (0.182:0.182:0.182) (0.215:0.215:0.216)) - (IOPATH B2 X (0.162:0.162:0.162) (0.228:0.228:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5937_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.237:0.237:0.237) (0.390:0.390:0.390)) - (IOPATH A2 X (0.329:0.329:0.329) (0.481:0.481:0.482)) - (IOPATH B1 X (0.318:0.319:0.319) (0.421:0.421:0.421)) - (IOPATH B2 X (0.251:0.251:0.251) (0.408:0.408:0.408)) - (IOPATH C1 X (0.163:0.164:0.165) (0.311:0.311:0.311)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _5938_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.144:0.144:0.144) (0.262:0.262:0.262)) - (IOPATH B X (0.147:0.147:0.147) (0.235:0.235:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5939_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.184:0.184:0.184) (0.242:0.242:0.242)) - (IOPATH A2 X (0.265:0.265:0.265) (0.332:0.332:0.333)) - (IOPATH B1 X (0.197:0.197:0.197) (0.221:0.222:0.222)) - (IOPATH B2 X (0.139:0.139:0.139) (0.209:0.210:0.210)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5940_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.208:0.208:0.208) (0.354:0.354:0.354)) - (IOPATH A2 X (0.256:0.256:0.256) (0.419:0.419:0.419)) - (IOPATH B1 X (0.271:0.271:0.271) (0.385:0.385:0.385)) - (IOPATH B2 X (0.225:0.225:0.225) (0.382:0.382:0.382)) - (IOPATH C1 X (0.122:0.122:0.123) (0.273:0.273:0.273)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5941_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.216:0.216:0.216) (0.261:0.261:0.261)) - (IOPATH A2 X (0.233:0.233:0.233) (0.292:0.292:0.292)) - (IOPATH B1 X (0.287:0.287:0.287) (0.309:0.309:0.310)) - (IOPATH B2 X (0.226:0.226:0.226) (0.271:0.271:0.271)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5942_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.186:0.186:0.186) (0.339:0.339:0.339)) - (IOPATH A2 X (0.269:0.269:0.269) (0.433:0.433:0.433)) - (IOPATH B1 X (0.252:0.252:0.252) (0.366:0.366:0.366)) - (IOPATH B2 X (0.170:0.170:0.170) (0.343:0.343:0.343)) - (IOPATH C1 X (0.133:0.133:0.134) (0.274:0.275:0.275)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5943_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.165:0.165:0.165) (0.232:0.232:0.232)) - (IOPATH A2 X (0.243:0.243:0.244) (0.309:0.310:0.310)) - (IOPATH B1 X (0.227:0.227:0.227) (0.270:0.270:0.271)) - (IOPATH B2 X (0.173:0.173:0.173) (0.234:0.234:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5944_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.197:0.197:0.197) (0.351:0.351:0.351)) - (IOPATH A2 X (0.267:0.268:0.268) (0.430:0.430:0.430)) - (IOPATH B1 X (0.263:0.263:0.263) (0.376:0.376:0.376)) - (IOPATH B2 X (0.173:0.173:0.173) (0.351:0.351:0.351)) - (IOPATH C1 X (0.113:0.115:0.117) (0.267:0.268:0.268)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5945_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.171:0.172:0.173) (0.588:0.589:0.589)) - (IOPATH B X (0.148:0.150:0.152) (0.560:0.560:0.560)) - (IOPATH C X (0.142:0.144:0.146) (0.525:0.525:0.525)) - (IOPATH D X (0.140:0.142:0.144) (0.457:0.457:0.457)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_1") - (INSTANCE _5946_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.481:0.481:0.481) (0.428:0.428:0.428)) - (IOPATH A2 X (0.485:0.485:0.485) (0.458:0.459:0.460)) - (IOPATH B1 X (0.422:0.422:0.422) (0.391:0.391:0.391)) - (IOPATH B2 X (0.434:0.434:0.434) (0.389:0.390:0.390)) - (IOPATH C1 X (0.479:0.479:0.479) (0.289:0.289:0.289)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _5947_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.182:0.182:0.182) (0.218:0.218:0.218)) - (IOPATH A2 X (0.159:0.159:0.159) (0.212:0.212:0.212)) - (IOPATH B1 X (0.154:0.154:0.154) (0.223:0.226:0.229)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5948_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.147:0.147:0.147) (0.301:0.301:0.301)) - (IOPATH A1 X (0.135:0.135:0.135) (0.298:0.298:0.298)) - (IOPATH S X (0.228:0.228:0.229) (0.357:0.357:0.357)) - (IOPATH S X (0.184:0.184:0.184) (0.337:0.337:0.337)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5949_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.176:0.176:0.176) (0.235:0.235:0.235)) - (IOPATH A2 X (0.248:0.248:0.248) (0.310:0.310:0.311)) - (IOPATH B1 X (0.229:0.229:0.229) (0.262:0.262:0.263)) - (IOPATH B2 X (0.166:0.166:0.166) (0.233:0.233:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5950_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.185:0.185:0.185) (0.342:0.342:0.342)) - (IOPATH A2 X (0.272:0.272:0.272) (0.439:0.439:0.439)) - (IOPATH B1 X (0.261:0.261:0.261) (0.375:0.375:0.375)) - (IOPATH B2 X (0.176:0.176:0.176) (0.348:0.348:0.348)) - (IOPATH C1 X (0.116:0.118:0.119) (0.268:0.269:0.269)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5951_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.182:0.182:0.182) (0.242:0.242:0.242)) - (IOPATH A2 X (0.252:0.252:0.252) (0.316:0.316:0.317)) - (IOPATH B1 X (0.230:0.230:0.230) (0.266:0.267:0.267)) - (IOPATH B2 X (0.171:0.171:0.171) (0.236:0.236:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5952_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.392:0.392:0.392) (0.481:0.481:0.481)) - (IOPATH A2 X (0.406:0.406:0.406) (0.519:0.520:0.520)) - (IOPATH B1 X (0.454:0.454:0.454) (0.510:0.511:0.511)) - (IOPATH B2 X (0.396:0.396:0.396) (0.495:0.495:0.495)) - (IOPATH C1 X (0.298:0.300:0.301) (0.398:0.398:0.398)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5953_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.176:0.176:0.176) (0.233:0.233:0.233)) - (IOPATH A2 X (0.250:0.250:0.250) (0.318:0.318:0.318)) - (IOPATH B1 X (0.228:0.228:0.228) (0.269:0.270:0.270)) - (IOPATH B2 X (0.155:0.155:0.155) (0.218:0.218:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _5954_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.130:0.130:0.130) (0.179:0.179:0.179)) - (IOPATH A2 X (0.198:0.198:0.198) (0.247:0.248:0.248)) - (IOPATH B1 X (0.076:0.077:0.079) (0.143:0.143:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5955_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.158:0.158:0.158) (0.221:0.221:0.221)) - (IOPATH A2 X (0.175:0.175:0.175) (0.248:0.248:0.248)) - (IOPATH B1 X (0.224:0.224:0.224) (0.265:0.266:0.266)) - (IOPATH B2 X (0.147:0.147:0.147) (0.212:0.212:0.212)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5956_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.221:0.221:0.221) (0.365:0.365:0.365)) - (IOPATH A2 X (0.272:0.272:0.272) (0.434:0.434:0.434)) - (IOPATH B1 X (0.277:0.277:0.277) (0.388:0.388:0.388)) - (IOPATH B2 X (0.188:0.188:0.188) (0.360:0.360:0.360)) - (IOPATH C1 X (0.124:0.126:0.128) (0.279:0.279:0.279)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5957_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.103:0.105:0.108) (0.516:0.516:0.517)) - (IOPATH B X (0.171:0.171:0.171) (0.538:0.538:0.538)) - (IOPATH C X (0.092:0.094:0.096) (0.459:0.459:0.459)) - (IOPATH D X (0.104:0.106:0.108) (0.399:0.400:0.400)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5958_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.160:0.160:0.160) (0.222:0.222:0.222)) - (IOPATH A2 X (0.245:0.245:0.245) (0.312:0.312:0.313)) - (IOPATH B1 X (0.222:0.222:0.222) (0.266:0.267:0.267)) - (IOPATH B2 X (0.155:0.155:0.155) (0.218:0.218:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5959_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.237:0.237:0.237) (0.390:0.390:0.390)) - (IOPATH A2 X (0.337:0.337:0.337) (0.490:0.491:0.491)) - (IOPATH B1 X (0.327:0.327:0.327) (0.429:0.429:0.430)) - (IOPATH B2 X (0.239:0.239:0.239) (0.400:0.400:0.400)) - (IOPATH C1 X (0.168:0.170:0.172) (0.314:0.315:0.315)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _5960_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.133:0.133:0.133) (0.250:0.250:0.250)) - (IOPATH B X (0.132:0.132:0.132) (0.264:0.264:0.264)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5961_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.171:0.171:0.171) (0.231:0.231:0.231)) - (IOPATH A2 X (0.247:0.247:0.247) (0.312:0.312:0.313)) - (IOPATH B1 X (0.118:0.118:0.119) (0.178:0.178:0.178)) - (IOPATH B2 X (0.180:0.180:0.180) (0.228:0.229:0.229)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5962_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.179:0.179:0.179) (0.330:0.330:0.330)) - (IOPATH A2 X (0.255:0.255:0.255) (0.419:0.420:0.420)) - (IOPATH B1 X (0.249:0.249:0.249) (0.371:0.371:0.372)) - (IOPATH B2 X (0.160:0.160:0.160) (0.333:0.333:0.333)) - (IOPATH C1 X (0.096:0.097:0.097) (0.250:0.250:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5963_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.195:0.195:0.195) (0.253:0.253:0.253)) - (IOPATH A2 X (0.269:0.269:0.269) (0.329:0.330:0.330)) - (IOPATH B1 X (0.244:0.244:0.244) (0.275:0.275:0.276)) - (IOPATH B2 X (0.173:0.173:0.173) (0.233:0.233:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5964_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.182:0.182:0.182) (0.336:0.336:0.336)) - (IOPATH A2 X (0.256:0.256:0.256) (0.418:0.419:0.419)) - (IOPATH B1 X (0.229:0.229:0.229) (0.344:0.344:0.345)) - (IOPATH B2 X (0.160:0.160:0.160) (0.338:0.338:0.338)) - (IOPATH C1 X (0.107:0.109:0.110) (0.256:0.256:0.256)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5965_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.191:0.191:0.191) (0.243:0.243:0.243)) - (IOPATH A2 X (0.246:0.246:0.246) (0.312:0.312:0.313)) - (IOPATH B1 X (0.220:0.220:0.220) (0.258:0.258:0.259)) - (IOPATH B2 X (0.157:0.157:0.157) (0.220:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5966_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.210:0.210:0.210) (0.364:0.364:0.364)) - (IOPATH A2 X (0.250:0.250:0.250) (0.416:0.417:0.417)) - (IOPATH B1 X (0.206:0.206:0.206) (0.337:0.337:0.337)) - (IOPATH B2 X (0.200:0.200:0.200) (0.374:0.374:0.374)) - (IOPATH C1 X (0.133:0.135:0.137) (0.287:0.287:0.287)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _5967_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.148:0.149:0.150) (0.554:0.554:0.555)) - (IOPATH B X (0.114:0.117:0.120) (0.521:0.521:0.521)) - (IOPATH C X (0.112:0.115:0.117) (0.488:0.488:0.488)) - (IOPATH D X (0.125:0.126:0.127) (0.427:0.427:0.427)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_2") - (INSTANCE _5968_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.433:0.433:0.433) (0.435:0.435:0.435)) - (IOPATH A2 X (0.433:0.434:0.434) (0.460:0.460:0.461)) - (IOPATH B1 X (0.369:0.370:0.371) (0.385:0.385:0.385)) - (IOPATH B2 X (0.373:0.373:0.374) (0.373:0.373:0.373)) - (IOPATH C1 X (0.430:0.430:0.430) (0.263:0.263:0.263)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _5969_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.243:0.243:0.243) (0.257:0.257:0.257)) - (IOPATH A2 X (0.234:0.234:0.234) (0.265:0.265:0.265)) - (IOPATH B1 X (0.208:0.208:0.208) (0.245:0.249:0.253)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _5970_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.134:0.134:0.134) (0.291:0.291:0.291)) - (IOPATH A1 X (0.155:0.155:0.155) (0.306:0.307:0.307)) - (IOPATH S X (0.228:0.236:0.245) (0.365:0.366:0.366)) - (IOPATH S X (0.205:0.205:0.205) (0.335:0.342:0.350)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _5971_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.395:0.395:0.395) (0.148:0.148:0.148)) - (IOPATH B Y (0.378:0.378:0.378) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _5972_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.159:0.160:0.160) (0.144:0.144:0.144)) - (IOPATH B Y (0.185:0.186:0.187) (0.204:0.204:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _5973_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.295:0.295:0.295) (0.118:0.119:0.120)) - (IOPATH B Y (0.266:0.273:0.280) (0.103:0.104:0.105)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _5974_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.279:0.279:0.279) (0.122:0.122:0.122)) - (IOPATH B Y (0.250:0.250:0.250) (0.088:0.088:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_4") - (INSTANCE _5975_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.329:0.329:0.329) (0.372:0.372:0.372)) - (IOPATH B X (0.292:0.292:0.292) (0.345:0.345:0.345)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _5976_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.369:0.375:0.382) (0.144:0.145:0.146)) - (IOPATH B Y (0.356:0.356:0.356) (0.167:0.167:0.167)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _5977_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.640:0.640:0.640) (0.354:0.355:0.355)) - (IOPATH B X (0.664:0.664:0.664) (0.399:0.400:0.400)) - (IOPATH C X (0.646:0.646:0.646) (0.396:0.396:0.396)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _5978_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.336:0.336:0.336) (0.120:0.120:0.120)) - (IOPATH B Y (0.304:0.304:0.304) (0.100:0.100:0.100)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE _5979_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.127:0.127:0.128) (0.120:0.120:0.120)) - (IOPATH B Y (0.131:0.135:0.138) (0.131:0.131:0.131)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _5980_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.380:0.380:0.381) (0.143:0.143:0.143)) - (IOPATH B Y (0.347:0.348:0.349) (0.090:0.092:0.093)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5981_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.238:0.238:0.238) (0.267:0.267:0.267)) - (IOPATH A2 X (0.309:0.309:0.309) (0.360:0.360:0.361)) - (IOPATH B1 X (0.227:0.227:0.227) (0.254:0.254:0.254)) - (IOPATH B2 X (0.224:0.224:0.224) (0.263:0.263:0.263)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _5982_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.453:0.453:0.453) (0.327:0.327:0.328)) - (IOPATH B X (0.465:0.465:0.465) (0.367:0.367:0.367)) - (IOPATH C X (0.461:0.461:0.461) (0.352:0.359:0.365)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE _5983_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.153:0.154:0.154) (0.133:0.133:0.133)) - (IOPATH B Y (0.170:0.170:0.171) (0.144:0.144:0.144)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _5984_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.366:0.366:0.366) (0.129:0.130:0.131)) - (IOPATH B Y (0.332:0.332:0.333) (0.110:0.111:0.112)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5985_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.215:0.215:0.215) (0.345:0.345:0.345)) - (IOPATH A2 X (0.206:0.206:0.206) (0.388:0.388:0.388)) - (IOPATH B1 X (0.191:0.191:0.191) (0.320:0.320:0.320)) - (IOPATH B2 X (0.159:0.159:0.159) (0.325:0.325:0.325)) - (IOPATH C1 X (0.131:0.131:0.131) (0.271:0.272:0.272)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_2") - (INSTANCE _5986_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.367:0.367:0.367) (0.505:0.505:0.505)) - (IOPATH A2 X (0.399:0.399:0.399) (0.569:0.569:0.569)) - (IOPATH B1 X (0.398:0.398:0.398) (0.515:0.515:0.515)) - (IOPATH B2 X (0.393:0.393:0.393) (0.536:0.536:0.536)) - (IOPATH C1 X (0.281:0.282:0.283) (0.441:0.441:0.441)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_2") - (INSTANCE _5987_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.345:0.345:0.345) (0.313:0.313:0.313)) - (IOPATH B X (0.295:0.295:0.295) (0.300:0.300:0.300)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _5988_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.649:0.649:0.649) (0.354:0.354:0.354)) - (IOPATH B X (0.661:0.661:0.661) (0.382:0.382:0.383)) - (IOPATH C X (0.651:0.651:0.651) (0.401:0.401:0.401)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _5989_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.677:0.677:0.677) (0.371:0.372:0.372)) - (IOPATH B X (0.698:0.698:0.698) (0.411:0.412:0.412)) - (IOPATH C X (0.702:0.702:0.702) (0.401:0.407:0.414)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5990_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.209:0.209:0.209) (0.252:0.252:0.252)) - (IOPATH A2 X (0.257:0.257:0.257) (0.324:0.324:0.324)) - (IOPATH B1 X (0.236:0.236:0.236) (0.279:0.279:0.280)) - (IOPATH B2 X (0.193:0.193:0.193) (0.245:0.245:0.245)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_2") - (INSTANCE _5991_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.373:0.373:0.373) (0.301:0.302:0.302)) - (IOPATH B X (0.374:0.374:0.374) (0.293:0.294:0.295)) - (IOPATH C X (0.347:0.347:0.347) (0.291:0.294:0.297)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _5992_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.469:0.469:0.469) (0.292:0.293:0.293)) - (IOPATH B X (0.481:0.481:0.481) (0.292:0.298:0.304)) - (IOPATH C X (0.455:0.455:0.455) (0.323:0.323:0.323)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5993_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.182:0.182:0.182) (0.329:0.329:0.329)) - (IOPATH A2 X (0.201:0.201:0.201) (0.373:0.373:0.373)) - (IOPATH B1 X (0.211:0.211:0.211) (0.329:0.330:0.331)) - (IOPATH B2 X (0.190:0.190:0.190) (0.354:0.354:0.354)) - (IOPATH C1 X (0.106:0.107:0.109) (0.258:0.258:0.258)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _5994_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.550:0.550:0.550) (0.319:0.319:0.319)) - (IOPATH B X (0.562:0.562:0.562) (0.358:0.359:0.359)) - (IOPATH C X (0.545:0.545:0.545) (0.354:0.355:0.355)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _5995_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.195:0.195:0.195) (0.239:0.239:0.239)) - (IOPATH A2 X (0.196:0.196:0.197) (0.261:0.262:0.262)) - (IOPATH B1 X (0.202:0.202:0.202) (0.236:0.236:0.237)) - (IOPATH B2 X (0.149:0.149:0.149) (0.206:0.206:0.206)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE _5996_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.151:0.151:0.152) (0.134:0.134:0.134)) - (IOPATH B Y (0.159:0.162:0.166) (0.165:0.165:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _5997_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.418:0.419:0.421) (0.157:0.158:0.158)) - (IOPATH B Y (0.364:0.368:0.371) (0.106:0.107:0.108)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _5998_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.561:0.562:0.564) (0.195:0.196:0.197)) - (IOPATH B Y (0.507:0.508:0.508) (0.139:0.139:0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _5999_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.224:0.224:0.224) (0.360:0.360:0.360)) - (IOPATH A2 X (0.206:0.206:0.206) (0.380:0.380:0.380)) - (IOPATH B1 X (0.270:0.270:0.270) (0.335:0.343:0.351)) - (IOPATH B2 X (0.199:0.199:0.199) (0.356:0.356:0.356)) - (IOPATH C1 X (0.119:0.121:0.122) (0.274:0.274:0.275)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _6000_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.561:0.561:0.561) (0.324:0.325:0.325)) - (IOPATH B X (0.581:0.582:0.582) (0.364:0.365:0.365)) - (IOPATH C X (0.573:0.573:0.573) (0.367:0.367:0.368)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _6001_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.444:0.444:0.444) (0.156:0.157:0.157)) - (IOPATH B Y (0.416:0.419:0.423) (0.111:0.112:0.112)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _6002_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.365:0.367:0.369) (0.114:0.114:0.115)) - (IOPATH B Y (0.381:0.383:0.384) (0.141:0.141:0.142)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _6003_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.485:0.485:0.485) (0.285:0.285:0.286)) - (IOPATH B X (0.513:0.513:0.513) (0.300:0.306:0.312)) - (IOPATH C X (0.487:0.487:0.487) (0.331:0.331:0.332)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6004_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.167:0.167:0.167) (0.220:0.220:0.220)) - (IOPATH A2 X (0.213:0.213:0.213) (0.250:0.254:0.259)) - (IOPATH B1 X (0.155:0.155:0.155) (0.193:0.193:0.193)) - (IOPATH B2 X (0.173:0.173:0.173) (0.227:0.227:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6005_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.183:0.183:0.183) (0.329:0.329:0.329)) - (IOPATH A2 X (0.242:0.242:0.242) (0.406:0.406:0.407)) - (IOPATH B1 X (0.169:0.169:0.169) (0.303:0.303:0.303)) - (IOPATH B2 X (0.196:0.196:0.196) (0.357:0.357:0.357)) - (IOPATH C1 X (0.102:0.102:0.103) (0.256:0.256:0.256)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _6006_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.356:0.357:0.359) (0.140:0.140:0.141)) - (IOPATH B Y (0.306:0.313:0.321) (0.098:0.098:0.099)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _6007_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.401:0.401:0.401) (0.133:0.134:0.135)) - (IOPATH B Y (0.362:0.363:0.364) (0.093:0.095:0.096)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _6008_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.545:0.545:0.545) (0.324:0.325:0.325)) - (IOPATH B X (0.557:0.557:0.557) (0.324:0.330:0.336)) - (IOPATH C X (0.562:0.562:0.562) (0.343:0.346:0.350)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _6009_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.684:0.684:0.684) (0.375:0.376:0.376)) - (IOPATH B X (0.733:0.733:0.734) (0.408:0.409:0.410)) - (IOPATH C X (0.705:0.705:0.705) (0.404:0.407:0.411)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6010_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.194:0.194:0.194) (0.236:0.236:0.236)) - (IOPATH A2 X (0.233:0.233:0.233) (0.297:0.298:0.298)) - (IOPATH B1 X (0.237:0.237:0.237) (0.279:0.279:0.280)) - (IOPATH B2 X (0.196:0.196:0.196) (0.254:0.254:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6011_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.217:0.217:0.217) (0.352:0.352:0.352)) - (IOPATH A2 X (0.221:0.221:0.221) (0.365:0.372:0.379)) - (IOPATH B1 X (0.231:0.231:0.231) (0.315:0.321:0.327)) - (IOPATH B2 X (0.210:0.210:0.210) (0.367:0.367:0.367)) - (IOPATH C1 X (0.115:0.117:0.118) (0.267:0.267:0.267)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _6012_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.103:0.105:0.106) (0.522:0.523:0.523)) - (IOPATH B X (0.113:0.115:0.117) (0.509:0.509:0.510)) - (IOPATH C X (0.101:0.104:0.106) (0.471:0.472:0.472)) - (IOPATH D X (0.103:0.104:0.106) (0.405:0.405:0.405)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_1") - (INSTANCE _6013_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.294:0.294:0.294) (0.221:0.221:0.222)) - (IOPATH B X (0.310:0.310:0.310) (0.229:0.236:0.242)) - (IOPATH C X (0.288:0.288:0.288) (0.264:0.264:0.264)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_1") - (INSTANCE _6014_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.251:0.251:0.251) (0.197:0.197:0.198)) - (IOPATH B X (0.274:0.274:0.274) (0.240:0.241:0.241)) - (IOPATH C X (0.271:0.271:0.271) (0.226:0.232:0.239)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _6015_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.389:0.390:0.390) (0.238:0.239:0.240)) - (IOPATH B X (0.350:0.350:0.350) (0.237:0.243:0.249)) - (IOPATH C X (0.325:0.325:0.325) (0.268:0.268:0.268)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _6016_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.462:0.462:0.462) (0.289:0.290:0.290)) - (IOPATH B X (0.497:0.497:0.497) (0.306:0.307:0.308)) - (IOPATH C X (0.456:0.456:0.456) (0.295:0.301:0.307)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _6017_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.466:0.466:0.466) (0.303:0.304:0.305)) - (IOPATH B X (0.367:0.367:0.367) (0.276:0.276:0.277)) - (IOPATH C X (0.385:0.385:0.385) (0.272:0.275:0.278)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _6018_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.327:0.329:0.330) (0.105:0.106:0.107)) - (IOPATH B Y (0.314:0.314:0.314) (0.121:0.121:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_4") - (INSTANCE _6019_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.318:0.319:0.319) (0.118:0.119:0.120)) - (IOPATH B Y (0.285:0.288:0.292) (0.099:0.100:0.100)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _6020_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.457:0.457:0.457) (0.284:0.284:0.285)) - (IOPATH B X (0.465:0.465:0.465) (0.313:0.314:0.314)) - (IOPATH C X (0.461:0.461:0.461) (0.297:0.303:0.309)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _6021_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.424:0.424:0.424) (0.149:0.149:0.149)) - (IOPATH B Y (0.396:0.396:0.397) (0.106:0.107:0.108)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _6022_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.200:0.200:0.200) (0.554:0.556:0.559)) - (IOPATH B X (0.230:0.230:0.230) (0.597:0.597:0.598)) - (IOPATH C X (0.143:0.143:0.143) (0.491:0.491:0.492)) - (IOPATH D X (0.156:0.156:0.156) (0.428:0.429:0.429)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _6023_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.179:0.179:0.179) (0.537:0.539:0.541)) - (IOPATH B X (0.189:0.189:0.189) (0.524:0.526:0.528)) - (IOPATH C X (0.196:0.196:0.196) (0.531:0.531:0.532)) - (IOPATH D X (0.176:0.176:0.176) (0.422:0.424:0.426)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _6024_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.159:0.159:0.159) (0.527:0.527:0.527)) - (IOPATH B X (0.133:0.133:0.133) (0.495:0.495:0.495)) - (IOPATH C X (0.143:0.143:0.143) (0.469:0.469:0.469)) - (IOPATH D X (0.128:0.128:0.128) (0.394:0.394:0.394)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _6025_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.206:0.206:0.207) (0.540:0.545:0.551)) - (IOPATH B X (0.148:0.148:0.148) (0.515:0.515:0.516)) - (IOPATH C X (0.170:0.170:0.170) (0.478:0.480:0.483)) - (IOPATH D X (0.143:0.143:0.143) (0.415:0.415:0.415)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _6026_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.139:0.140:0.142) (0.575:0.575:0.575)) - (IOPATH B X (0.139:0.139:0.140) (0.557:0.557:0.557)) - (IOPATH C X (0.128:0.129:0.129) (0.518:0.518:0.518)) - (IOPATH D X (0.129:0.131:0.132) (0.452:0.452:0.452)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _6027_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.182:0.182:0.182) (0.573:0.573:0.573)) - (IOPATH B X (0.221:0.221:0.221) (0.587:0.587:0.588)) - (IOPATH C X (0.175:0.175:0.175) (0.522:0.522:0.523)) - (IOPATH D X (0.173:0.173:0.173) (0.452:0.452:0.452)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor4_1") - (INSTANCE _6028_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.604:0.604:0.604) (0.147:0.147:0.147)) - (IOPATH B Y (0.592:0.592:0.593) (0.127:0.128:0.128)) - (IOPATH C Y (0.568:0.568:0.568) (0.096:0.096:0.096)) - (IOPATH D Y (0.509:0.510:0.510) (0.093:0.094:0.094)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_4") - (INSTANCE _6029_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.497:0.497:0.497) (0.810:0.811:0.811)) - (IOPATH B X (0.479:0.479:0.480) (0.791:0.792:0.792)) - (IOPATH C X (0.448:0.448:0.448) (0.764:0.764:0.765)) - (IOPATH D X (0.444:0.444:0.445) (0.699:0.699:0.699)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _6030_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.666:0.666:0.666) (0.376:0.376:0.377)) - (IOPATH B X (0.662:0.662:0.662) (0.389:0.389:0.390)) - (IOPATH C X (0.683:0.683:0.683) (0.395:0.398:0.401)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6031_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.214:0.214:0.214) (0.250:0.250:0.250)) - (IOPATH A2 X (0.203:0.203:0.203) (0.280:0.280:0.280)) - (IOPATH B1 X (0.245:0.245:0.245) (0.283:0.283:0.284)) - (IOPATH B2 X (0.191:0.191:0.191) (0.246:0.246:0.246)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6032_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.221:0.221:0.221) (0.356:0.356:0.356)) - (IOPATH A2 X (0.206:0.206:0.206) (0.378:0.378:0.378)) - (IOPATH B1 X (0.182:0.182:0.182) (0.319:0.319:0.319)) - (IOPATH B2 X (0.180:0.180:0.180) (0.341:0.341:0.341)) - (IOPATH C1 X (0.109:0.111:0.112) (0.259:0.259:0.259)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _6033_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.414:0.414:0.414) (0.269:0.269:0.270)) - (IOPATH B X (0.410:0.410:0.410) (0.281:0.282:0.282)) - (IOPATH C X (0.400:0.400:0.400) (0.300:0.300:0.300)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6034_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.209:0.209:0.209) (0.249:0.249:0.249)) - (IOPATH A2 X (0.201:0.201:0.201) (0.270:0.270:0.270)) - (IOPATH B1 X (0.169:0.169:0.169) (0.206:0.206:0.206)) - (IOPATH B2 X (0.175:0.175:0.175) (0.231:0.231:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6035_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.213:0.213:0.213) (0.356:0.356:0.356)) - (IOPATH A2 X (0.201:0.201:0.201) (0.387:0.387:0.387)) - (IOPATH B1 X (0.224:0.224:0.224) (0.344:0.344:0.344)) - (IOPATH B2 X (0.196:0.196:0.196) (0.354:0.354:0.354)) - (IOPATH C1 X (0.124:0.124:0.125) (0.276:0.276:0.277)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _6036_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.412:0.412:0.412) (0.261:0.261:0.262)) - (IOPATH B X (0.461:0.461:0.461) (0.294:0.295:0.296)) - (IOPATH C X (0.402:0.402:0.402) (0.302:0.302:0.302)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6037_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.188:0.188:0.188) (0.237:0.237:0.237)) - (IOPATH A2 X (0.196:0.196:0.196) (0.277:0.277:0.277)) - (IOPATH B1 X (0.190:0.190:0.190) (0.232:0.232:0.232)) - (IOPATH B2 X (0.180:0.180:0.180) (0.236:0.236:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_8") - (INSTANCE _6038_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.396:0.397:0.399) (0.153:0.153:0.154)) - (IOPATH B Y (0.337:0.338:0.339) (0.092:0.093:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _6039_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.618:0.619:0.619) (0.355:0.356:0.356)) - (IOPATH B X (0.604:0.604:0.604) (0.362:0.363:0.363)) - (IOPATH C X (0.640:0.640:0.640) (0.385:0.386:0.387)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_4") - (INSTANCE _6040_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.477:0.477:0.477) (0.284:0.285:0.285)) - (IOPATH B X (0.477:0.477:0.477) (0.307:0.307:0.307)) - (IOPATH C X (0.467:0.467:0.467) (0.326:0.326:0.326)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6041_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.212:0.212:0.212) (0.250:0.250:0.250)) - (IOPATH A2 X (0.201:0.201:0.201) (0.276:0.276:0.276)) - (IOPATH B1 X (0.194:0.194:0.194) (0.228:0.228:0.228)) - (IOPATH B2 X (0.207:0.207:0.207) (0.253:0.253:0.253)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6042_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.197:0.197:0.197) (0.343:0.343:0.343)) - (IOPATH A2 X (0.206:0.206:0.206) (0.388:0.388:0.388)) - (IOPATH B1 X (0.266:0.266:0.266) (0.379:0.380:0.380)) - (IOPATH B2 X (0.195:0.195:0.195) (0.359:0.359:0.359)) - (IOPATH C1 X (0.132:0.132:0.133) (0.280:0.280:0.280)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_1") - (INSTANCE _6043_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.181:0.181:0.181) (0.295:0.295:0.295)) - (IOPATH A2 X (0.184:0.184:0.184) (0.333:0.333:0.333)) - (IOPATH B1 X (0.110:0.110:0.111) (0.274:0.274:0.274)) - (IOPATH C1 X (0.108:0.109:0.111) (0.239:0.239:0.240)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_4") - (INSTANCE _6044_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.301:0.301:0.301) (0.705:0.705:0.705)) - (IOPATH B X (0.240:0.242:0.244) (0.649:0.649:0.649)) - (IOPATH C X (0.247:0.248:0.249) (0.622:0.622:0.622)) - (IOPATH D X (0.237:0.238:0.238) (0.554:0.554:0.555)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _6045_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.221:0.221:0.221) (0.468:0.468:0.469)) - (IOPATH B X (0.153:0.153:0.153) (0.417:0.417:0.417)) - (IOPATH C X (0.195:0.196:0.196) (0.413:0.414:0.414)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _6046_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.124:0.124:0.124) (0.234:0.234:0.234)) - (IOPATH B X (0.178:0.178:0.178) (0.311:0.312:0.312)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_1") - (INSTANCE _6047_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.225:0.225:0.225) (0.229:0.235:0.241)) - (IOPATH A2 X (0.171:0.171:0.171) (0.266:0.266:0.266)) - (IOPATH A3 X (0.156:0.157:0.157) (0.252:0.252:0.252)) - (IOPATH B1 X (0.121:0.121:0.121) (0.206:0.206:0.206)) - (IOPATH B2 X (0.130:0.130:0.130) (0.219:0.219:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6048_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.225:0.225:0.225) (0.263:0.263:0.263)) - (IOPATH A2 X (0.204:0.204:0.204) (0.276:0.276:0.276)) - (IOPATH B1 X (0.183:0.183:0.183) (0.217:0.217:0.217)) - (IOPATH B2 X (0.213:0.213:0.213) (0.264:0.264:0.264)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6049_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.165:0.165:0.165) (0.165:0.165:0.165)) - (IOPATH B X (0.205:0.205:0.205) (0.236:0.237:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6050_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.201:0.201:0.201) (0.241:0.241:0.241)) - (IOPATH A2 X (0.184:0.184:0.184) (0.254:0.254:0.254)) - (IOPATH B1 X (0.181:0.181:0.181) (0.211:0.211:0.211)) - (IOPATH B2 X (0.165:0.165:0.165) (0.217:0.217:0.217)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6051_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.284:0.284:0.284) (0.302:0.302:0.302)) - (IOPATH A2 X (0.351:0.351:0.351) (0.386:0.386:0.387)) - (IOPATH B1 X (0.274:0.274:0.274) (0.286:0.286:0.286)) - (IOPATH B2 X (0.264:0.264:0.264) (0.287:0.287:0.287)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6052_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.205:0.205:0.205) (0.352:0.352:0.352)) - (IOPATH A2 X (0.267:0.267:0.267) (0.430:0.430:0.430)) - (IOPATH B1 X (0.281:0.281:0.281) (0.346:0.354:0.362)) - (IOPATH B2 X (0.233:0.233:0.233) (0.394:0.394:0.394)) - (IOPATH C1 X (0.169:0.169:0.169) (0.307:0.307:0.307)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6053_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.198:0.198:0.198) (0.343:0.343:0.343)) - (IOPATH A2 X (0.182:0.182:0.182) (0.365:0.365:0.365)) - (IOPATH B1 X (0.249:0.249:0.249) (0.366:0.366:0.366)) - (IOPATH B2 X (0.201:0.201:0.201) (0.357:0.357:0.357)) - (IOPATH C1 X (0.108:0.109:0.110) (0.261:0.261:0.261)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6054_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.207:0.207:0.207) (0.253:0.253:0.253)) - (IOPATH A2 X (0.183:0.183:0.183) (0.257:0.257:0.257)) - (IOPATH B1 X (0.171:0.171:0.171) (0.215:0.215:0.215)) - (IOPATH B2 X (0.166:0.166:0.166) (0.223:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6055_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.283:0.283:0.283) (0.401:0.401:0.401)) - (IOPATH A2 X (0.260:0.260:0.260) (0.429:0.429:0.429)) - (IOPATH B1 X (0.247:0.247:0.247) (0.367:0.367:0.367)) - (IOPATH B2 X (0.239:0.239:0.239) (0.392:0.392:0.392)) - (IOPATH C1 X (0.172:0.173:0.174) (0.317:0.317:0.318)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6056_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.201:0.201:0.201) (0.246:0.246:0.246)) - (IOPATH A2 X (0.192:0.192:0.192) (0.264:0.264:0.264)) - (IOPATH B1 X (0.165:0.165:0.165) (0.202:0.202:0.202)) - (IOPATH B2 X (0.172:0.172:0.172) (0.226:0.226:0.226)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6057_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.182:0.182:0.182) (0.331:0.331:0.331)) - (IOPATH A2 X (0.271:0.271:0.271) (0.437:0.437:0.437)) - (IOPATH B1 X (0.187:0.187:0.187) (0.321:0.321:0.321)) - (IOPATH B2 X (0.191:0.191:0.191) (0.348:0.348:0.348)) - (IOPATH C1 X (0.108:0.109:0.110) (0.263:0.264:0.264)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _6058_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.229:0.231:0.232) (0.655:0.656:0.656)) - (IOPATH B X (0.218:0.220:0.222) (0.633:0.633:0.633)) - (IOPATH C X (0.244:0.245:0.245) (0.613:0.613:0.614)) - (IOPATH D X (0.213:0.215:0.217) (0.531:0.531:0.532)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6059_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.179:0.179:0.179) (0.325:0.325:0.325)) - (IOPATH A2 X (0.203:0.203:0.203) (0.373:0.373:0.373)) - (IOPATH B1 X (0.198:0.198:0.198) (0.317:0.317:0.317)) - (IOPATH B2 X (0.190:0.190:0.190) (0.344:0.344:0.344)) - (IOPATH C1 X (0.100:0.101:0.102) (0.253:0.253:0.253)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6060_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.234:0.234:0.234) (0.368:0.368:0.368)) - (IOPATH A2 X (0.216:0.216:0.216) (0.386:0.386:0.386)) - (IOPATH B1 X (0.265:0.265:0.265) (0.378:0.378:0.378)) - (IOPATH B2 X (0.205:0.205:0.205) (0.359:0.359:0.359)) - (IOPATH C1 X (0.109:0.111:0.112) (0.269:0.269:0.269)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6061_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.220:0.220:0.220) (0.259:0.259:0.259)) - (IOPATH A2 X (0.226:0.226:0.226) (0.284:0.284:0.284)) - (IOPATH B1 X (0.188:0.188:0.188) (0.222:0.222:0.222)) - (IOPATH B2 X (0.197:0.197:0.197) (0.245:0.245:0.245)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6062_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.223:0.223:0.223) (0.358:0.358:0.358)) - (IOPATH A2 X (0.178:0.178:0.178) (0.364:0.364:0.364)) - (IOPATH B1 X (0.204:0.204:0.204) (0.326:0.326:0.326)) - (IOPATH B2 X (0.187:0.187:0.187) (0.349:0.349:0.349)) - (IOPATH C1 X (0.117:0.117:0.118) (0.266:0.266:0.266)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6063_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.175:0.175:0.175) (0.225:0.225:0.225)) - (IOPATH A2 X (0.192:0.192:0.192) (0.267:0.267:0.267)) - (IOPATH B1 X (0.192:0.192:0.192) (0.228:0.229:0.230)) - (IOPATH B2 X (0.159:0.159:0.159) (0.215:0.215:0.215)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6064_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.171:0.171:0.171) (0.319:0.319:0.319)) - (IOPATH A2 X (0.170:0.170:0.170) (0.353:0.353:0.353)) - (IOPATH B1 X (0.223:0.223:0.223) (0.309:0.315:0.321)) - (IOPATH B2 X (0.161:0.161:0.161) (0.324:0.324:0.324)) - (IOPATH C1 X (0.095:0.097:0.098) (0.249:0.250:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6065_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.198:0.198:0.198) (0.342:0.342:0.342)) - (IOPATH A2 X (0.197:0.197:0.197) (0.382:0.382:0.382)) - (IOPATH B1 X (0.209:0.209:0.209) (0.341:0.341:0.341)) - (IOPATH B2 X (0.215:0.215:0.215) (0.372:0.372:0.372)) - (IOPATH C1 X (0.126:0.126:0.127) (0.274:0.275:0.275)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6066_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.266:0.266:0.266) (0.289:0.289:0.289)) - (IOPATH A2 X (0.322:0.322:0.322) (0.372:0.372:0.373)) - (IOPATH B1 X (0.305:0.305:0.305) (0.326:0.326:0.326)) - (IOPATH B2 X (0.256:0.256:0.256) (0.291:0.291:0.291)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6067_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.247:0.247:0.247) (0.389:0.389:0.389)) - (IOPATH A2 X (0.249:0.249:0.249) (0.416:0.416:0.416)) - (IOPATH B1 X (0.240:0.240:0.240) (0.367:0.367:0.367)) - (IOPATH B2 X (0.257:0.257:0.257) (0.410:0.410:0.410)) - (IOPATH C1 X (0.189:0.190:0.190) (0.323:0.324:0.324)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _6068_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.109:0.111:0.113) (0.530:0.530:0.530)) - (IOPATH B X (0.106:0.109:0.111) (0.511:0.511:0.511)) - (IOPATH C X (0.112:0.113:0.114) (0.481:0.481:0.481)) - (IOPATH D X (0.130:0.131:0.131) (0.422:0.422:0.422)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _6069_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.156:0.156:0.156) (0.551:0.551:0.551)) - (IOPATH B X (0.150:0.150:0.150) (0.545:0.546:0.546)) - (IOPATH C X (0.104:0.107:0.109) (0.470:0.470:0.471)) - (IOPATH D X (0.099:0.099:0.099) (0.412:0.412:0.412)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_1") - (INSTANCE _6070_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.325:0.325:0.325) (0.350:0.350:0.350)) - (IOPATH A2 X (0.315:0.315:0.315) (0.389:0.389:0.389)) - (IOPATH B1 X (0.250:0.250:0.251) (0.215:0.215:0.215)) - (IOPATH C1 X (0.305:0.305:0.305) (0.218:0.218:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _6071_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.164:0.164:0.164) (0.189:0.189:0.189)) - (IOPATH A2 X (0.137:0.137:0.137) (0.197:0.197:0.197)) - (IOPATH B1 X (0.124:0.124:0.124) (0.187:0.189:0.190)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _6072_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.116:0.116:0.116) (0.276:0.276:0.276)) - (IOPATH A1 X (0.118:0.119:0.119) (0.282:0.282:0.283)) - (IOPATH S X (0.216:0.216:0.216) (0.343:0.343:0.343)) - (IOPATH S X (0.172:0.172:0.172) (0.323:0.323:0.323)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6073_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.169:0.169:0.169) (0.234:0.234:0.234)) - (IOPATH A2 X (0.172:0.172:0.172) (0.247:0.247:0.247)) - (IOPATH B1 X (0.170:0.170:0.170) (0.217:0.217:0.217)) - (IOPATH B2 X (0.170:0.170:0.170) (0.231:0.231:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6074_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.210:0.210:0.210) (0.352:0.352:0.352)) - (IOPATH A2 X (0.187:0.187:0.187) (0.366:0.366:0.366)) - (IOPATH B1 X (0.221:0.222:0.222) (0.341:0.342:0.342)) - (IOPATH B2 X (0.172:0.172:0.172) (0.345:0.345:0.345)) - (IOPATH C1 X (0.102:0.103:0.103) (0.255:0.255:0.256)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6075_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.183:0.183:0.183) (0.334:0.334:0.334)) - (IOPATH A2 X (0.202:0.202:0.202) (0.382:0.382:0.382)) - (IOPATH B1 X (0.198:0.198:0.198) (0.328:0.328:0.328)) - (IOPATH B2 X (0.163:0.163:0.163) (0.336:0.336:0.336)) - (IOPATH C1 X (0.096:0.097:0.099) (0.254:0.255:0.255)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6076_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.374:0.374:0.374) (0.356:0.356:0.356)) - (IOPATH A2 X (0.444:0.444:0.444) (0.435:0.435:0.435)) - (IOPATH B1 X (0.372:0.372:0.372) (0.332:0.332:0.332)) - (IOPATH B2 X (0.354:0.354:0.354) (0.346:0.346:0.346)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6077_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.240:0.240:0.240) (0.385:0.385:0.385)) - (IOPATH A2 X (0.301:0.301:0.301) (0.422:0.428:0.433)) - (IOPATH B1 X (0.261:0.261:0.261) (0.375:0.375:0.375)) - (IOPATH B2 X (0.225:0.225:0.225) (0.395:0.395:0.395)) - (IOPATH C1 X (0.224:0.224:0.224) (0.352:0.352:0.352)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6078_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.221:0.221:0.221) (0.267:0.267:0.267)) - (IOPATH A2 X (0.218:0.218:0.218) (0.289:0.289:0.289)) - (IOPATH B1 X (0.211:0.211:0.211) (0.240:0.240:0.240)) - (IOPATH B2 X (0.206:0.206:0.206) (0.263:0.263:0.263)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6079_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.209:0.209:0.209) (0.354:0.354:0.354)) - (IOPATH A2 X (0.280:0.280:0.280) (0.446:0.446:0.447)) - (IOPATH B1 X (0.188:0.188:0.188) (0.320:0.320:0.320)) - (IOPATH B2 X (0.186:0.186:0.186) (0.355:0.355:0.355)) - (IOPATH C1 X (0.132:0.132:0.133) (0.278:0.278:0.278)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6080_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.172:0.172:0.172) (0.233:0.233:0.233)) - (IOPATH A2 X (0.174:0.174:0.174) (0.254:0.254:0.254)) - (IOPATH B1 X (0.222:0.222:0.222) (0.268:0.269:0.269)) - (IOPATH B2 X (0.157:0.157:0.157) (0.224:0.224:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6081_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.161:0.161:0.161) (0.317:0.317:0.317)) - (IOPATH A2 X (0.179:0.179:0.179) (0.367:0.367:0.367)) - (IOPATH B1 X (0.165:0.165:0.165) (0.303:0.303:0.303)) - (IOPATH B2 X (0.156:0.156:0.156) (0.334:0.334:0.334)) - (IOPATH C1 X (0.094:0.097:0.099) (0.249:0.249:0.249)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6082_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.172:0.172:0.172) (0.233:0.233:0.233)) - (IOPATH A2 X (0.169:0.169:0.169) (0.249:0.249:0.249)) - (IOPATH B1 X (0.161:0.161:0.161) (0.206:0.206:0.206)) - (IOPATH B2 X (0.148:0.148:0.148) (0.213:0.213:0.213)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6083_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.212:0.212:0.212) (0.367:0.367:0.367)) - (IOPATH A2 X (0.246:0.246:0.246) (0.425:0.425:0.425)) - (IOPATH B1 X (0.246:0.246:0.246) (0.363:0.363:0.363)) - (IOPATH B2 X (0.196:0.196:0.196) (0.366:0.366:0.366)) - (IOPATH C1 X (0.141:0.141:0.142) (0.295:0.296:0.296)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _6084_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.137:0.138:0.138) (0.542:0.542:0.543)) - (IOPATH B X (0.115:0.117:0.120) (0.515:0.515:0.515)) - (IOPATH C X (0.104:0.105:0.107) (0.477:0.478:0.478)) - (IOPATH D X (0.122:0.123:0.124) (0.418:0.419:0.419)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_2") - (INSTANCE _6085_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.249:0.250:0.252) (0.417:0.418:0.418)) - (IOPATH B X (0.252:0.252:0.253) (0.414:0.414:0.414)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6086_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.166:0.166:0.166) (0.233:0.233:0.233)) - (IOPATH A2 X (0.254:0.254:0.254) (0.323:0.323:0.323)) - (IOPATH B1 X (0.229:0.229:0.229) (0.269:0.269:0.269)) - (IOPATH B2 X (0.166:0.166:0.166) (0.229:0.229:0.229)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6087_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.179:0.179:0.179) (0.340:0.340:0.340)) - (IOPATH A2 X (0.191:0.191:0.191) (0.369:0.369:0.369)) - (IOPATH B1 X (0.199:0.199:0.199) (0.323:0.323:0.323)) - (IOPATH B2 X (0.175:0.175:0.175) (0.350:0.350:0.350)) - (IOPATH C1 X (0.107:0.109:0.111) (0.261:0.261:0.261)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6088_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.166:0.166:0.166) (0.233:0.233:0.233)) - (IOPATH A2 X (0.177:0.177:0.177) (0.250:0.250:0.250)) - (IOPATH B1 X (0.161:0.161:0.161) (0.198:0.198:0.198)) - (IOPATH B2 X (0.152:0.152:0.152) (0.215:0.215:0.215)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6089_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.204:0.204:0.204) (0.357:0.357:0.357)) - (IOPATH A2 X (0.282:0.282:0.282) (0.395:0.403:0.411)) - (IOPATH B1 X (0.253:0.253:0.253) (0.368:0.368:0.369)) - (IOPATH B2 X (0.196:0.196:0.196) (0.364:0.364:0.364)) - (IOPATH C1 X (0.124:0.124:0.125) (0.279:0.279:0.279)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6090_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.201:0.201:0.201) (0.256:0.256:0.256)) - (IOPATH A2 X (0.184:0.184:0.184) (0.257:0.257:0.257)) - (IOPATH B1 X (0.219:0.219:0.219) (0.256:0.257:0.258)) - (IOPATH B2 X (0.154:0.154:0.154) (0.217:0.217:0.217)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6091_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.158:0.158:0.158) (0.225:0.225:0.225)) - (IOPATH A2 X (0.220:0.220:0.220) (0.284:0.285:0.285)) - (IOPATH B1 X (0.176:0.176:0.176) (0.203:0.203:0.203)) - (IOPATH B2 X (0.153:0.153:0.153) (0.221:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6092_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.187:0.187:0.187) (0.341:0.341:0.341)) - (IOPATH A2 X (0.202:0.202:0.202) (0.375:0.375:0.375)) - (IOPATH B1 X (0.211:0.211:0.211) (0.330:0.330:0.330)) - (IOPATH B2 X (0.167:0.167:0.167) (0.338:0.338:0.338)) - (IOPATH C1 X (0.113:0.113:0.114) (0.267:0.267:0.267)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_1") - (INSTANCE _6093_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.167:0.167:0.167) (0.291:0.291:0.291)) - (IOPATH A2 X (0.212:0.212:0.212) (0.356:0.356:0.357)) - (IOPATH B1 X (0.101:0.103:0.104) (0.268:0.269:0.269)) - (IOPATH C1 X (0.100:0.101:0.102) (0.234:0.234:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _6094_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.141:0.141:0.141) (0.535:0.535:0.535)) - (IOPATH B X (0.102:0.103:0.105) (0.498:0.498:0.499)) - (IOPATH C X (0.109:0.110:0.112) (0.469:0.469:0.469)) - (IOPATH D X (0.096:0.097:0.099) (0.395:0.396:0.396)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_1") - (INSTANCE _6095_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.261:0.261:0.261) (0.317:0.317:0.317)) - (IOPATH A2 X (0.305:0.305:0.305) (0.372:0.372:0.372)) - (IOPATH B1 X (0.269:0.269:0.269) (0.295:0.295:0.295)) - (IOPATH B2 X (0.206:0.206:0.206) (0.250:0.250:0.250)) - (IOPATH C1 X (0.277:0.277:0.277) (0.197:0.197:0.197)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _6096_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.152:0.152:0.152) (0.180:0.180:0.180)) - (IOPATH A2 X (0.116:0.116:0.116) (0.178:0.178:0.178)) - (IOPATH B1 X (0.097:0.097:0.098) (0.158:0.160:0.162)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _6097_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.132:0.132:0.132) (0.285:0.285:0.285)) - (IOPATH A1 X (0.114:0.115:0.116) (0.281:0.281:0.282)) - (IOPATH S X (0.218:0.218:0.218) (0.344:0.344:0.344)) - (IOPATH S X (0.173:0.173:0.173) (0.324:0.324:0.324)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6098_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.181:0.181:0.181) (0.245:0.245:0.245)) - (IOPATH A2 X (0.206:0.206:0.206) (0.275:0.275:0.275)) - (IOPATH B1 X (0.184:0.184:0.184) (0.228:0.228:0.228)) - (IOPATH B2 X (0.183:0.183:0.183) (0.246:0.246:0.246)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6099_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.205:0.205:0.205) (0.350:0.350:0.350)) - (IOPATH A2 X (0.191:0.191:0.191) (0.370:0.370:0.370)) - (IOPATH B1 X (0.256:0.256:0.256) (0.370:0.370:0.371)) - (IOPATH B2 X (0.183:0.183:0.183) (0.356:0.356:0.356)) - (IOPATH C1 X (0.119:0.119:0.120) (0.269:0.269:0.270)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6100_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.224:0.224:0.224) (0.367:0.367:0.367)) - (IOPATH A2 X (0.225:0.225:0.225) (0.405:0.405:0.405)) - (IOPATH B1 X (0.221:0.221:0.221) (0.351:0.351:0.351)) - (IOPATH B2 X (0.200:0.200:0.200) (0.371:0.371:0.371)) - (IOPATH C1 X (0.122:0.124:0.126) (0.279:0.279:0.280)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6101_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.193:0.193:0.193) (0.248:0.248:0.248)) - (IOPATH A2 X (0.197:0.197:0.197) (0.274:0.274:0.274)) - (IOPATH B1 X (0.168:0.168:0.168) (0.204:0.204:0.204)) - (IOPATH B2 X (0.184:0.184:0.184) (0.250:0.250:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6102_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.190:0.190:0.190) (0.348:0.348:0.348)) - (IOPATH A2 X (0.218:0.218:0.218) (0.390:0.390:0.390)) - (IOPATH B1 X (0.191:0.191:0.191) (0.325:0.325:0.325)) - (IOPATH B2 X (0.184:0.184:0.184) (0.358:0.358:0.358)) - (IOPATH C1 X (0.114:0.114:0.115) (0.268:0.268:0.268)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6103_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.174:0.174:0.174) (0.234:0.234:0.234)) - (IOPATH A2 X (0.247:0.247:0.247) (0.319:0.319:0.319)) - (IOPATH B1 X (0.165:0.165:0.165) (0.204:0.204:0.204)) - (IOPATH B2 X (0.156:0.156:0.156) (0.223:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6104_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.198:0.198:0.198) (0.354:0.354:0.354)) - (IOPATH A2 X (0.192:0.192:0.192) (0.378:0.378:0.378)) - (IOPATH B1 X (0.201:0.201:0.201) (0.331:0.331:0.331)) - (IOPATH B2 X (0.216:0.216:0.216) (0.386:0.386:0.386)) - (IOPATH C1 X (0.116:0.116:0.117) (0.271:0.271:0.271)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6105_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.171:0.171:0.171) (0.235:0.235:0.235)) - (IOPATH A2 X (0.181:0.181:0.181) (0.262:0.262:0.262)) - (IOPATH B1 X (0.229:0.229:0.229) (0.274:0.274:0.274)) - (IOPATH B2 X (0.180:0.180:0.180) (0.244:0.244:0.244)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6106_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.221:0.221:0.221) (0.361:0.361:0.361)) - (IOPATH A2 X (0.179:0.179:0.179) (0.354:0.354:0.354)) - (IOPATH B1 X (0.171:0.171:0.171) (0.307:0.307:0.307)) - (IOPATH B2 X (0.167:0.167:0.167) (0.339:0.339:0.339)) - (IOPATH C1 X (0.092:0.095:0.097) (0.245:0.245:0.245)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6107_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.209:0.209:0.209) (0.261:0.261:0.261)) - (IOPATH A2 X (0.232:0.232:0.232) (0.296:0.297:0.297)) - (IOPATH B1 X (0.173:0.173:0.173) (0.209:0.209:0.209)) - (IOPATH B2 X (0.186:0.186:0.186) (0.249:0.249:0.249)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6108_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.262:0.262:0.262) (0.400:0.400:0.400)) - (IOPATH A2 X (0.319:0.319:0.319) (0.426:0.434:0.442)) - (IOPATH B1 X (0.298:0.298:0.298) (0.403:0.403:0.404)) - (IOPATH B2 X (0.224:0.224:0.224) (0.394:0.394:0.394)) - (IOPATH C1 X (0.164:0.164:0.164) (0.310:0.310:0.310)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _6109_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.096:0.097:0.099) (0.501:0.502:0.502)) - (IOPATH B X (0.099:0.100:0.101) (0.485:0.485:0.486)) - (IOPATH C X (0.085:0.087:0.089) (0.447:0.447:0.447)) - (IOPATH D X (0.113:0.114:0.115) (0.392:0.392:0.392)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_1") - (INSTANCE _6110_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.206:0.207:0.208) (0.310:0.310:0.310)) - (IOPATH B X (0.192:0.193:0.193) (0.295:0.295:0.295)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6111_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.203:0.203:0.203) (0.255:0.255:0.255)) - (IOPATH A2 X (0.174:0.174:0.174) (0.248:0.248:0.248)) - (IOPATH B1 X (0.174:0.174:0.174) (0.215:0.215:0.215)) - (IOPATH B2 X (0.175:0.175:0.175) (0.240:0.240:0.240)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6112_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.223:0.223:0.223) (0.365:0.365:0.365)) - (IOPATH A2 X (0.275:0.275:0.275) (0.438:0.438:0.438)) - (IOPATH B1 X (0.248:0.248:0.248) (0.329:0.334:0.339)) - (IOPATH B2 X (0.205:0.205:0.205) (0.373:0.373:0.373)) - (IOPATH C1 X (0.116:0.116:0.117) (0.270:0.270:0.270)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6113_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.179:0.179:0.179) (0.241:0.241:0.241)) - (IOPATH A2 X (0.179:0.179:0.179) (0.257:0.257:0.257)) - (IOPATH B1 X (0.186:0.186:0.186) (0.229:0.229:0.229)) - (IOPATH B2 X (0.187:0.187:0.187) (0.250:0.250:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6114_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.174:0.174:0.174) (0.331:0.331:0.331)) - (IOPATH A2 X (0.221:0.221:0.221) (0.391:0.392:0.392)) - (IOPATH B1 X (0.196:0.196:0.196) (0.318:0.318:0.318)) - (IOPATH B2 X (0.178:0.178:0.178) (0.349:0.349:0.349)) - (IOPATH C1 X (0.100:0.100:0.101) (0.251:0.252:0.252)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6115_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.205:0.205:0.205) (0.256:0.256:0.256)) - (IOPATH A2 X (0.187:0.187:0.187) (0.266:0.266:0.266)) - (IOPATH B1 X (0.191:0.191:0.191) (0.223:0.223:0.223)) - (IOPATH B2 X (0.182:0.182:0.182) (0.245:0.245:0.245)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6116_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.165:0.165:0.165) (0.231:0.231:0.231)) - (IOPATH A2 X (0.250:0.250:0.250) (0.319:0.319:0.320)) - (IOPATH B1 X (0.225:0.225:0.225) (0.268:0.268:0.269)) - (IOPATH B2 X (0.195:0.195:0.195) (0.276:0.276:0.276)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6117_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.189:0.189:0.189) (0.344:0.344:0.344)) - (IOPATH A2 X (0.207:0.207:0.207) (0.386:0.386:0.386)) - (IOPATH B1 X (0.215:0.215:0.215) (0.336:0.336:0.336)) - (IOPATH B2 X (0.206:0.206:0.206) (0.375:0.375:0.375)) - (IOPATH C1 X (0.117:0.119:0.121) (0.270:0.270:0.270)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_1") - (INSTANCE _6118_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.224:0.224:0.224) (0.343:0.343:0.343)) - (IOPATH A2 X (0.240:0.240:0.240) (0.384:0.384:0.384)) - (IOPATH B1 X (0.178:0.179:0.179) (0.333:0.333:0.333)) - (IOPATH C1 X (0.175:0.176:0.177) (0.297:0.298:0.298)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _6119_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.176:0.176:0.176) (0.581:0.581:0.581)) - (IOPATH B X (0.131:0.133:0.135) (0.537:0.537:0.538)) - (IOPATH C X (0.120:0.122:0.124) (0.500:0.500:0.500)) - (IOPATH D X (0.153:0.153:0.154) (0.448:0.448:0.449)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_2") - (INSTANCE _6120_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.426:0.426:0.426) (0.456:0.456:0.456)) - (IOPATH A2 X (0.451:0.451:0.451) (0.483:0.483:0.483)) - (IOPATH B1 X (0.395:0.395:0.395) (0.392:0.392:0.392)) - (IOPATH B2 X (0.357:0.358:0.358) (0.368:0.368:0.369)) - (IOPATH C1 X (0.422:0.422:0.422) (0.278:0.278:0.278)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _6121_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.156:0.156:0.156) (0.183:0.183:0.183)) - (IOPATH A2 X (0.129:0.129:0.129) (0.191:0.191:0.191)) - (IOPATH B1 X (0.127:0.128:0.128) (0.186:0.190:0.194)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _6122_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.126:0.126:0.126) (0.283:0.283:0.283)) - (IOPATH A1 X (0.118:0.118:0.118) (0.284:0.284:0.284)) - (IOPATH S X (0.219:0.219:0.219) (0.346:0.346:0.346)) - (IOPATH S X (0.174:0.174:0.174) (0.326:0.326:0.326)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6123_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.190:0.190:0.190) (0.250:0.250:0.250)) - (IOPATH A2 X (0.223:0.223:0.223) (0.292:0.292:0.292)) - (IOPATH B1 X (0.208:0.208:0.208) (0.242:0.242:0.242)) - (IOPATH B2 X (0.176:0.176:0.176) (0.234:0.234:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6124_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.180:0.180:0.180) (0.242:0.242:0.242)) - (IOPATH A2 X (0.262:0.262:0.262) (0.331:0.331:0.331)) - (IOPATH B1 X (0.180:0.180:0.180) (0.209:0.209:0.209)) - (IOPATH B2 X (0.163:0.163:0.163) (0.232:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6125_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.162:0.162:0.162) (0.222:0.222:0.222)) - (IOPATH A2 X (0.252:0.252:0.252) (0.319:0.320:0.320)) - (IOPATH B1 X (0.172:0.172:0.172) (0.217:0.217:0.217)) - (IOPATH B2 X (0.183:0.183:0.183) (0.243:0.243:0.243)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6126_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.191:0.191:0.191) (0.346:0.346:0.346)) - (IOPATH A2 X (0.279:0.279:0.279) (0.445:0.445:0.445)) - (IOPATH B1 X (0.194:0.194:0.194) (0.329:0.329:0.329)) - (IOPATH B2 X (0.174:0.174:0.174) (0.344:0.344:0.344)) - (IOPATH C1 X (0.120:0.120:0.121) (0.271:0.271:0.271)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_2") - (INSTANCE _6127_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.348:0.348:0.348) (0.500:0.500:0.500)) - (IOPATH A2 X (0.401:0.401:0.401) (0.567:0.568:0.568)) - (IOPATH B1 X (0.426:0.426:0.426) (0.484:0.491:0.499)) - (IOPATH B2 X (0.329:0.329:0.329) (0.505:0.505:0.505)) - (IOPATH C1 X (0.252:0.253:0.253) (0.421:0.421:0.421)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6128_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.164:0.164:0.164) (0.226:0.226:0.226)) - (IOPATH A2 X (0.228:0.228:0.228) (0.294:0.294:0.294)) - (IOPATH B1 X (0.153:0.153:0.153) (0.192:0.192:0.192)) - (IOPATH B2 X (0.156:0.156:0.156) (0.220:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6129_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.165:0.165:0.165) (0.233:0.233:0.233)) - (IOPATH A2 X (0.215:0.215:0.215) (0.248:0.256:0.264)) - (IOPATH B1 X (0.169:0.169:0.169) (0.213:0.213:0.213)) - (IOPATH B2 X (0.158:0.158:0.158) (0.221:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6130_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.186:0.186:0.186) (0.347:0.347:0.347)) - (IOPATH A2 X (0.197:0.197:0.197) (0.377:0.377:0.377)) - (IOPATH B1 X (0.232:0.232:0.232) (0.316:0.322:0.327)) - (IOPATH B2 X (0.167:0.167:0.167) (0.338:0.338:0.338)) - (IOPATH C1 X (0.111:0.112:0.112) (0.265:0.265:0.266)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6131_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.171:0.171:0.171) (0.229:0.229:0.229)) - (IOPATH A2 X (0.190:0.190:0.190) (0.262:0.262:0.262)) - (IOPATH B1 X (0.162:0.162:0.162) (0.199:0.199:0.199)) - (IOPATH B2 X (0.164:0.164:0.164) (0.225:0.225:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6132_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.247:0.247:0.247) (0.395:0.395:0.395)) - (IOPATH A2 X (0.338:0.338:0.338) (0.488:0.489:0.489)) - (IOPATH B1 X (0.252:0.252:0.252) (0.373:0.373:0.373)) - (IOPATH B2 X (0.240:0.240:0.240) (0.401:0.401:0.401)) - (IOPATH C1 X (0.170:0.170:0.170) (0.315:0.315:0.315)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a2111o_2") - (INSTANCE _6133_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.303:0.303:0.303) (0.572:0.572:0.572)) - (IOPATH A2 X (0.396:0.396:0.396) (0.671:0.671:0.672)) - (IOPATH B1 X (0.239:0.239:0.239) (0.572:0.572:0.573)) - (IOPATH C1 X (0.236:0.238:0.240) (0.539:0.539:0.540)) - (IOPATH D1 X (0.253:0.254:0.255) (0.494:0.495:0.495)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_4") - (INSTANCE _6134_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.296:0.296:0.296) (0.491:0.491:0.491)) - (IOPATH A2 X (0.312:0.312:0.312) (0.548:0.548:0.548)) - (IOPATH B1 X (0.326:0.326:0.326) (0.479:0.479:0.479)) - (IOPATH B2 X (0.307:0.307:0.307) (0.526:0.526:0.526)) - (IOPATH C1 X (0.212:0.213:0.213) (0.424:0.425:0.425)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6135_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.188:0.188:0.188) (0.344:0.344:0.344)) - (IOPATH A2 X (0.225:0.225:0.225) (0.369:0.372:0.375)) - (IOPATH B1 X (0.272:0.272:0.272) (0.384:0.384:0.384)) - (IOPATH B2 X (0.199:0.199:0.199) (0.370:0.370:0.370)) - (IOPATH C1 X (0.154:0.155:0.155) (0.301:0.302:0.303)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6136_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.182:0.182:0.182) (0.241:0.241:0.241)) - (IOPATH A2 X (0.210:0.210:0.210) (0.277:0.277:0.277)) - (IOPATH B1 X (0.171:0.171:0.171) (0.212:0.212:0.212)) - (IOPATH B2 X (0.174:0.174:0.174) (0.239:0.239:0.239)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6137_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.229:0.229:0.229) (0.379:0.379:0.379)) - (IOPATH A2 X (0.283:0.283:0.283) (0.446:0.447:0.447)) - (IOPATH B1 X (0.257:0.257:0.257) (0.373:0.373:0.373)) - (IOPATH B2 X (0.224:0.224:0.224) (0.393:0.393:0.393)) - (IOPATH C1 X (0.160:0.160:0.160) (0.306:0.306:0.306)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6138_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.178:0.178:0.178) (0.235:0.235:0.235)) - (IOPATH A2 X (0.200:0.200:0.200) (0.276:0.276:0.276)) - (IOPATH B1 X (0.175:0.175:0.175) (0.214:0.214:0.214)) - (IOPATH B2 X (0.156:0.156:0.156) (0.226:0.226:0.226)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6139_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.204:0.204:0.204) (0.353:0.353:0.353)) - (IOPATH A2 X (0.259:0.260:0.260) (0.388:0.393:0.399)) - (IOPATH B1 X (0.200:0.200:0.200) (0.335:0.335:0.335)) - (IOPATH B2 X (0.183:0.183:0.183) (0.355:0.355:0.355)) - (IOPATH C1 X (0.122:0.122:0.122) (0.274:0.274:0.274)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6140_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.208:0.208:0.208) (0.258:0.258:0.258)) - (IOPATH A2 X (0.207:0.207:0.207) (0.281:0.281:0.281)) - (IOPATH B1 X (0.186:0.186:0.186) (0.221:0.221:0.221)) - (IOPATH B2 X (0.192:0.192:0.192) (0.247:0.247:0.247)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6141_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.179:0.179:0.179) (0.334:0.334:0.334)) - (IOPATH A2 X (0.188:0.188:0.188) (0.375:0.375:0.375)) - (IOPATH B1 X (0.198:0.198:0.198) (0.330:0.330:0.330)) - (IOPATH B2 X (0.198:0.198:0.198) (0.367:0.367:0.367)) - (IOPATH C1 X (0.116:0.116:0.116) (0.262:0.263:0.263)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_4") - (INSTANCE _6142_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.245:0.247:0.249) (0.671:0.671:0.671)) - (IOPATH B X (0.262:0.263:0.265) (0.660:0.660:0.661)) - (IOPATH C X (0.242:0.244:0.246) (0.619:0.619:0.619)) - (IOPATH D X (0.231:0.232:0.233) (0.551:0.552:0.552)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _6143_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.184:0.184:0.184) (0.440:0.440:0.440)) - (IOPATH B X (0.139:0.141:0.142) (0.388:0.388:0.389)) - (IOPATH C X (0.173:0.173:0.173) (0.392:0.392:0.393)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o32a_1") - (INSTANCE _6144_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.260:0.260:0.261) (0.427:0.427:0.427)) - (IOPATH A2 X (0.250:0.251:0.251) (0.415:0.416:0.416)) - (IOPATH A3 X (0.203:0.204:0.204) (0.347:0.347:0.347)) - (IOPATH B1 X (0.308:0.308:0.308) (0.306:0.306:0.306)) - (IOPATH B2 X (0.220:0.220:0.220) (0.231:0.231:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _6145_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.128:0.128:0.128) (0.285:0.285:0.285)) - (IOPATH A1 X (0.147:0.147:0.148) (0.302:0.303:0.304)) - (IOPATH S X (0.230:0.230:0.230) (0.351:0.351:0.351)) - (IOPATH S X (0.182:0.182:0.182) (0.335:0.335:0.335)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _6146_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.116:0.116:0.116) (0.276:0.276:0.276)) - (IOPATH A1 X (0.114:0.114:0.115) (0.287:0.287:0.287)) - (IOPATH S X (0.217:0.217:0.217) (0.344:0.344:0.344)) - (IOPATH S X (0.172:0.172:0.172) (0.324:0.324:0.324)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6147_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.182:0.182:0.182) (0.236:0.236:0.236)) - (IOPATH A2 X (0.196:0.196:0.196) (0.272:0.272:0.272)) - (IOPATH B1 X (0.180:0.180:0.180) (0.224:0.224:0.224)) - (IOPATH B2 X (0.156:0.156:0.156) (0.219:0.219:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6148_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.155:0.155:0.155) (0.163:0.163:0.163)) - (IOPATH B X (0.213:0.213:0.213) (0.249:0.250:0.251)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6149_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.252:0.252:0.252) (0.287:0.287:0.287)) - (IOPATH A2 X (0.334:0.334:0.334) (0.375:0.375:0.376)) - (IOPATH B1 X (0.256:0.256:0.256) (0.276:0.276:0.276)) - (IOPATH B2 X (0.230:0.230:0.230) (0.267:0.267:0.267)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6150_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.154:0.154:0.154) (0.314:0.314:0.314)) - (IOPATH A2 X (0.229:0.229:0.229) (0.395:0.395:0.396)) - (IOPATH B1 X (0.242:0.242:0.242) (0.312:0.320:0.328)) - (IOPATH B2 X (0.170:0.170:0.170) (0.339:0.339:0.339)) - (IOPATH C1 X (0.129:0.129:0.130) (0.268:0.268:0.268)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6151_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.185:0.185:0.185) (0.335:0.335:0.335)) - (IOPATH A2 X (0.240:0.240:0.240) (0.404:0.404:0.405)) - (IOPATH B1 X (0.169:0.169:0.169) (0.303:0.303:0.303)) - (IOPATH B2 X (0.175:0.175:0.175) (0.344:0.344:0.344)) - (IOPATH C1 X (0.101:0.102:0.103) (0.253:0.253:0.253)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6152_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.181:0.181:0.181) (0.240:0.240:0.240)) - (IOPATH A2 X (0.174:0.174:0.174) (0.248:0.248:0.248)) - (IOPATH B1 X (0.152:0.152:0.152) (0.189:0.189:0.189)) - (IOPATH B2 X (0.156:0.156:0.156) (0.220:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6153_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.195:0.195:0.195) (0.341:0.341:0.341)) - (IOPATH A2 X (0.190:0.190:0.190) (0.371:0.371:0.371)) - (IOPATH B1 X (0.189:0.189:0.189) (0.323:0.323:0.323)) - (IOPATH B2 X (0.168:0.168:0.168) (0.340:0.340:0.340)) - (IOPATH C1 X (0.100:0.101:0.101) (0.256:0.257:0.257)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6154_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.187:0.187:0.187) (0.249:0.249:0.249)) - (IOPATH A2 X (0.216:0.216:0.216) (0.283:0.283:0.283)) - (IOPATH B1 X (0.189:0.189:0.189) (0.220:0.220:0.220)) - (IOPATH B2 X (0.186:0.186:0.186) (0.242:0.242:0.242)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6155_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.225:0.225:0.225) (0.374:0.374:0.374)) - (IOPATH A2 X (0.315:0.315:0.315) (0.473:0.474:0.474)) - (IOPATH B1 X (0.229:0.229:0.229) (0.357:0.357:0.358)) - (IOPATH B2 X (0.218:0.218:0.218) (0.383:0.383:0.383)) - (IOPATH C1 X (0.158:0.159:0.159) (0.305:0.305:0.305)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _6156_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.144:0.146:0.149) (0.579:0.579:0.579)) - (IOPATH B X (0.146:0.148:0.150) (0.562:0.562:0.563)) - (IOPATH C X (0.144:0.145:0.147) (0.529:0.530:0.530)) - (IOPATH D X (0.162:0.163:0.165) (0.470:0.471:0.471)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6157_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.169:0.169:0.169) (0.326:0.326:0.326)) - (IOPATH A2 X (0.194:0.194:0.194) (0.374:0.374:0.374)) - (IOPATH B1 X (0.201:0.201:0.201) (0.324:0.324:0.324)) - (IOPATH B2 X (0.192:0.192:0.192) (0.360:0.360:0.360)) - (IOPATH C1 X (0.103:0.104:0.104) (0.257:0.257:0.257)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6158_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.177:0.177:0.177) (0.333:0.333:0.333)) - (IOPATH A2 X (0.208:0.208:0.208) (0.381:0.381:0.381)) - (IOPATH B1 X (0.256:0.256:0.256) (0.372:0.372:0.373)) - (IOPATH B2 X (0.174:0.174:0.174) (0.349:0.349:0.349)) - (IOPATH C1 X (0.102:0.104:0.105) (0.261:0.262:0.262)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6159_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.180:0.180:0.180) (0.244:0.244:0.244)) - (IOPATH A2 X (0.213:0.213:0.213) (0.278:0.278:0.278)) - (IOPATH B1 X (0.175:0.175:0.175) (0.214:0.214:0.214)) - (IOPATH B2 X (0.169:0.169:0.169) (0.228:0.228:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6160_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.204:0.204:0.204) (0.355:0.355:0.355)) - (IOPATH A2 X (0.247:0.247:0.247) (0.416:0.416:0.417)) - (IOPATH B1 X (0.222:0.222:0.222) (0.344:0.344:0.344)) - (IOPATH B2 X (0.205:0.205:0.205) (0.371:0.371:0.371)) - (IOPATH C1 X (0.128:0.128:0.128) (0.278:0.279:0.279)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6161_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.179:0.179:0.179) (0.235:0.235:0.235)) - (IOPATH A2 X (0.206:0.206:0.206) (0.279:0.279:0.279)) - (IOPATH B1 X (0.181:0.181:0.181) (0.217:0.217:0.217)) - (IOPATH B2 X (0.180:0.180:0.180) (0.243:0.243:0.243)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6162_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.230:0.230:0.230) (0.378:0.378:0.378)) - (IOPATH A2 X (0.291:0.291:0.292) (0.414:0.420:0.425)) - (IOPATH B1 X (0.230:0.230:0.230) (0.361:0.361:0.361)) - (IOPATH B2 X (0.217:0.217:0.217) (0.382:0.382:0.382)) - (IOPATH C1 X (0.155:0.155:0.155) (0.303:0.303:0.303)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6163_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.194:0.194:0.194) (0.247:0.247:0.247)) - (IOPATH A2 X (0.197:0.197:0.197) (0.274:0.274:0.274)) - (IOPATH B1 X (0.175:0.175:0.175) (0.213:0.213:0.213)) - (IOPATH B2 X (0.179:0.179:0.179) (0.237:0.237:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6164_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.206:0.206:0.206) (0.362:0.362:0.362)) - (IOPATH A2 X (0.221:0.221:0.221) (0.406:0.406:0.406)) - (IOPATH B1 X (0.233:0.233:0.233) (0.364:0.364:0.364)) - (IOPATH B2 X (0.208:0.208:0.208) (0.375:0.375:0.375)) - (IOPATH C1 X (0.144:0.144:0.145) (0.293:0.293:0.293)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6165_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.161:0.161:0.161) (0.222:0.222:0.222)) - (IOPATH A2 X (0.245:0.245:0.245) (0.316:0.316:0.317)) - (IOPATH B1 X (0.223:0.223:0.223) (0.270:0.271:0.271)) - (IOPATH B2 X (0.159:0.159:0.159) (0.222:0.222:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6166_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.195:0.195:0.195) (0.345:0.345:0.345)) - (IOPATH A2 X (0.195:0.195:0.195) (0.371:0.371:0.371)) - (IOPATH B1 X (0.185:0.185:0.185) (0.320:0.320:0.320)) - (IOPATH B2 X (0.178:0.178:0.178) (0.348:0.348:0.348)) - (IOPATH C1 X (0.106:0.108:0.110) (0.261:0.262:0.262)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _6167_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.147:0.149:0.150) (0.576:0.576:0.577)) - (IOPATH B X (0.162:0.163:0.164) (0.565:0.565:0.565)) - (IOPATH C X (0.152:0.153:0.154) (0.528:0.529:0.529)) - (IOPATH D X (0.135:0.137:0.138) (0.453:0.453:0.454)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _6168_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.306:0.306:0.306) (0.540:0.540:0.540)) - (IOPATH B X (0.256:0.258:0.261) (0.486:0.486:0.486)) - (IOPATH C X (0.268:0.269:0.269) (0.472:0.472:0.472)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_2") - (INSTANCE _6169_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.373:0.373:0.373) (0.414:0.414:0.414)) - (IOPATH A2 X (0.420:0.420:0.420) (0.467:0.467:0.467)) - (IOPATH B1 X (0.338:0.338:0.339) (0.377:0.377:0.378)) - (IOPATH B2 X (0.380:0.380:0.380) (0.383:0.383:0.383)) - (IOPATH C1 X (0.380:0.380:0.380) (0.244:0.244:0.244)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _6170_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.165:0.165:0.165) (0.206:0.206:0.206)) - (IOPATH A2 X (0.120:0.120:0.120) (0.181:0.181:0.181)) - (IOPATH B1 X (0.122:0.122:0.122) (0.180:0.184:0.188)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _6171_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.136:0.136:0.136) (0.289:0.289:0.289)) - (IOPATH A1 X (0.121:0.121:0.121) (0.286:0.286:0.286)) - (IOPATH S X (0.227:0.235:0.243) (0.363:0.363:0.363)) - (IOPATH S X (0.203:0.203:0.203) (0.332:0.339:0.347)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6172_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.169:0.169:0.169) (0.229:0.229:0.229)) - (IOPATH A2 X (0.247:0.247:0.247) (0.315:0.316:0.316)) - (IOPATH B1 X (0.153:0.153:0.153) (0.190:0.190:0.190)) - (IOPATH B2 X (0.157:0.157:0.157) (0.220:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6173_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.196:0.196:0.196) (0.350:0.350:0.350)) - (IOPATH A2 X (0.204:0.204:0.204) (0.383:0.383:0.383)) - (IOPATH B1 X (0.205:0.205:0.205) (0.331:0.331:0.331)) - (IOPATH B2 X (0.194:0.194:0.194) (0.363:0.363:0.363)) - (IOPATH C1 X (0.122:0.123:0.124) (0.277:0.277:0.277)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6174_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.201:0.201:0.201) (0.256:0.256:0.256)) - (IOPATH A2 X (0.272:0.272:0.272) (0.338:0.339:0.339)) - (IOPATH B1 X (0.189:0.189:0.189) (0.216:0.216:0.216)) - (IOPATH B2 X (0.171:0.171:0.171) (0.237:0.237:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_2") - (INSTANCE _6175_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.312:0.312:0.312) (0.486:0.486:0.486)) - (IOPATH A2 X (0.332:0.332:0.332) (0.525:0.525:0.525)) - (IOPATH B1 X (0.324:0.325:0.325) (0.461:0.462:0.462)) - (IOPATH B2 X (0.321:0.321:0.321) (0.500:0.500:0.500)) - (IOPATH C1 X (0.242:0.243:0.243) (0.414:0.414:0.414)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6176_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.171:0.171:0.171) (0.230:0.230:0.230)) - (IOPATH A2 X (0.220:0.220:0.220) (0.252:0.255:0.258)) - (IOPATH B1 X (0.176:0.176:0.176) (0.206:0.206:0.206)) - (IOPATH B2 X (0.165:0.165:0.165) (0.228:0.228:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _6177_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.187:0.187:0.187) (0.217:0.217:0.217)) - (IOPATH A2 X (0.179:0.179:0.179) (0.217:0.217:0.217)) - (IOPATH B1 X (0.120:0.121:0.121) (0.179:0.179:0.180)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6178_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.189:0.189:0.189) (0.245:0.245:0.245)) - (IOPATH A2 X (0.204:0.204:0.204) (0.274:0.275:0.276)) - (IOPATH B1 X (0.233:0.233:0.233) (0.219:0.228:0.238)) - (IOPATH B2 X (0.205:0.205:0.205) (0.257:0.257:0.257)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6179_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.160:0.160:0.160) (0.323:0.323:0.323)) - (IOPATH A2 X (0.215:0.215:0.215) (0.383:0.384:0.384)) - (IOPATH B1 X (0.197:0.198:0.198) (0.294:0.297:0.299)) - (IOPATH B2 X (0.149:0.149:0.149) (0.322:0.322:0.322)) - (IOPATH C1 X (0.108:0.109:0.109) (0.253:0.253:0.253)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _6180_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.116:0.117:0.118) (0.530:0.530:0.531)) - (IOPATH B X (0.158:0.158:0.158) (0.536:0.537:0.537)) - (IOPATH C X (0.119:0.119:0.120) (0.476:0.476:0.476)) - (IOPATH D X (0.097:0.099:0.101) (0.405:0.405:0.405)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6181_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.196:0.196:0.196) (0.247:0.247:0.247)) - (IOPATH A2 X (0.185:0.185:0.185) (0.253:0.253:0.253)) - (IOPATH B1 X (0.194:0.194:0.194) (0.218:0.219:0.219)) - (IOPATH B2 X (0.173:0.173:0.173) (0.232:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6182_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.241:0.241:0.241) (0.387:0.387:0.387)) - (IOPATH A2 X (0.244:0.244:0.244) (0.412:0.412:0.412)) - (IOPATH B1 X (0.240:0.240:0.240) (0.359:0.359:0.359)) - (IOPATH B2 X (0.232:0.232:0.232) (0.395:0.395:0.395)) - (IOPATH C1 X (0.169:0.170:0.170) (0.313:0.313:0.313)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6183_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.203:0.203:0.203) (0.349:0.349:0.349)) - (IOPATH A2 X (0.207:0.207:0.207) (0.357:0.359:0.361)) - (IOPATH B1 X (0.222:0.222:0.222) (0.317:0.320:0.322)) - (IOPATH B2 X (0.191:0.191:0.191) (0.363:0.363:0.363)) - (IOPATH C1 X (0.132:0.133:0.133) (0.279:0.280:0.280)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6184_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.192:0.192:0.192) (0.246:0.246:0.246)) - (IOPATH A2 X (0.180:0.180:0.180) (0.252:0.252:0.252)) - (IOPATH B1 X (0.181:0.181:0.181) (0.214:0.214:0.214)) - (IOPATH B2 X (0.178:0.178:0.178) (0.242:0.242:0.242)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6185_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.193:0.193:0.193) (0.348:0.348:0.348)) - (IOPATH A2 X (0.198:0.198:0.198) (0.380:0.380:0.380)) - (IOPATH B1 X (0.251:0.251:0.251) (0.328:0.334:0.339)) - (IOPATH B2 X (0.194:0.194:0.194) (0.362:0.362:0.362)) - (IOPATH C1 X (0.123:0.123:0.123) (0.274:0.275:0.275)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6186_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.163:0.163:0.163) (0.230:0.230:0.230)) - (IOPATH A2 X (0.228:0.228:0.228) (0.292:0.293:0.293)) - (IOPATH B1 X (0.213:0.213:0.213) (0.251:0.252:0.252)) - (IOPATH B2 X (0.154:0.154:0.154) (0.217:0.217:0.217)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6187_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.198:0.198:0.198) (0.347:0.347:0.347)) - (IOPATH A2 X (0.264:0.264:0.264) (0.378:0.387:0.395)) - (IOPATH B1 X (0.207:0.207:0.207) (0.324:0.324:0.324)) - (IOPATH B2 X (0.184:0.184:0.184) (0.353:0.353:0.353)) - (IOPATH C1 X (0.107:0.109:0.111) (0.263:0.263:0.263)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6188_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.170:0.170:0.170) (0.230:0.230:0.230)) - (IOPATH A2 X (0.192:0.192:0.192) (0.260:0.260:0.260)) - (IOPATH B1 X (0.165:0.165:0.165) (0.199:0.199:0.199)) - (IOPATH B2 X (0.169:0.169:0.169) (0.236:0.236:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6189_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.176:0.176:0.176) (0.334:0.334:0.334)) - (IOPATH A2 X (0.268:0.268:0.268) (0.429:0.429:0.430)) - (IOPATH B1 X (0.210:0.210:0.210) (0.306:0.309:0.312)) - (IOPATH B2 X (0.159:0.159:0.159) (0.333:0.333:0.333)) - (IOPATH C1 X (0.109:0.109:0.109) (0.263:0.264:0.264)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6190_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.192:0.192:0.192) (0.253:0.253:0.253)) - (IOPATH A2 X (0.220:0.220:0.220) (0.287:0.287:0.287)) - (IOPATH B1 X (0.258:0.258:0.259) (0.293:0.293:0.294)) - (IOPATH B2 X (0.177:0.177:0.177) (0.236:0.236:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6191_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.170:0.170:0.170) (0.323:0.323:0.323)) - (IOPATH A2 X (0.224:0.224:0.224) (0.389:0.390:0.390)) - (IOPATH B1 X (0.242:0.242:0.242) (0.357:0.357:0.357)) - (IOPATH B2 X (0.161:0.161:0.161) (0.330:0.330:0.330)) - (IOPATH C1 X (0.104:0.106:0.107) (0.251:0.251:0.251)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _6192_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.156:0.157:0.159) (0.586:0.586:0.586)) - (IOPATH B X (0.152:0.154:0.156) (0.566:0.567:0.567)) - (IOPATH C X (0.149:0.151:0.154) (0.533:0.533:0.534)) - (IOPATH D X (0.139:0.142:0.145) (0.462:0.462:0.462)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _6193_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.142:0.143:0.143) (0.430:0.430:0.430)) - (IOPATH B X (0.143:0.144:0.145) (0.395:0.395:0.395)) - (IOPATH C X (0.158:0.158:0.159) (0.382:0.382:0.383)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_1") - (INSTANCE _6194_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.175:0.175:0.175) (0.296:0.296:0.296)) - (IOPATH A2 X (0.158:0.158:0.158) (0.321:0.321:0.321)) - (IOPATH B1 X (0.120:0.120:0.120) (0.292:0.292:0.293)) - (IOPATH C1 X (0.144:0.144:0.144) (0.254:0.254:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _6195_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.177:0.177:0.177) (0.216:0.216:0.216)) - (IOPATH A2 X (0.124:0.124:0.124) (0.181:0.181:0.181)) - (IOPATH B1 X (0.190:0.190:0.190) (0.139:0.147:0.154)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6196_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.132:0.132:0.132) (0.210:0.210:0.210)) - (IOPATH A2 X (0.143:0.143:0.143) (0.239:0.239:0.239)) - (IOPATH B1 X (0.120:0.121:0.122) (0.181:0.182:0.182)) - (IOPATH B2 X (0.123:0.124:0.125) (0.194:0.195:0.195)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6197_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.164:0.164:0.164) (0.224:0.224:0.224)) - (IOPATH A2 X (0.208:0.208:0.208) (0.283:0.283:0.283)) - (IOPATH B1 X (0.192:0.192:0.192) (0.234:0.234:0.234)) - (IOPATH B2 X (0.182:0.182:0.182) (0.246:0.246:0.246)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6198_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.343:0.343:0.343) (0.343:0.343:0.343)) - (IOPATH A2 X (0.430:0.430:0.430) (0.430:0.430:0.430)) - (IOPATH B1 X (0.353:0.353:0.353) (0.331:0.331:0.331)) - (IOPATH B2 X (0.342:0.342:0.342) (0.336:0.336:0.336)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6199_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.166:0.166:0.166) (0.231:0.231:0.231)) - (IOPATH A2 X (0.244:0.244:0.244) (0.315:0.315:0.316)) - (IOPATH B1 X (0.162:0.162:0.162) (0.196:0.196:0.196)) - (IOPATH B2 X (0.160:0.160:0.160) (0.224:0.224:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6200_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.171:0.171:0.171) (0.228:0.228:0.228)) - (IOPATH A2 X (0.242:0.242:0.242) (0.315:0.316:0.316)) - (IOPATH B1 X (0.154:0.154:0.154) (0.196:0.196:0.196)) - (IOPATH B2 X (0.141:0.141:0.141) (0.214:0.214:0.214)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6201_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.288:0.288:0.288) (0.423:0.423:0.423)) - (IOPATH A2 X (0.340:0.340:0.340) (0.483:0.484:0.484)) - (IOPATH B1 X (0.355:0.355:0.355) (0.400:0.408:0.416)) - (IOPATH B2 X (0.269:0.269:0.269) (0.426:0.426:0.426)) - (IOPATH C1 X (0.265:0.265:0.265) (0.379:0.379:0.379)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6202_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.193:0.193:0.193) (0.255:0.255:0.255)) - (IOPATH A2 X (0.259:0.259:0.259) (0.318:0.318:0.318)) - (IOPATH B1 X (0.184:0.184:0.184) (0.213:0.213:0.213)) - (IOPATH B2 X (0.180:0.180:0.180) (0.245:0.245:0.245)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6203_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.163:0.163:0.163) (0.230:0.230:0.230)) - (IOPATH A2 X (0.178:0.178:0.178) (0.250:0.250:0.250)) - (IOPATH B1 X (0.165:0.165:0.165) (0.203:0.203:0.203)) - (IOPATH B2 X (0.155:0.155:0.155) (0.223:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6204_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.203:0.203:0.203) (0.352:0.352:0.352)) - (IOPATH A2 X (0.202:0.202:0.202) (0.379:0.379:0.379)) - (IOPATH B1 X (0.190:0.190:0.190) (0.319:0.319:0.319)) - (IOPATH B2 X (0.169:0.169:0.169) (0.340:0.340:0.340)) - (IOPATH C1 X (0.116:0.116:0.117) (0.270:0.271:0.271)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6205_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.167:0.167:0.167) (0.234:0.234:0.234)) - (IOPATH A2 X (0.193:0.193:0.193) (0.263:0.263:0.263)) - (IOPATH B1 X (0.167:0.167:0.167) (0.202:0.202:0.202)) - (IOPATH B2 X (0.155:0.155:0.155) (0.220:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6206_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.224:0.224:0.224) (0.365:0.365:0.365)) - (IOPATH A2 X (0.288:0.288:0.288) (0.449:0.449:0.450)) - (IOPATH B1 X (0.202:0.202:0.202) (0.332:0.332:0.332)) - (IOPATH B2 X (0.190:0.190:0.190) (0.360:0.360:0.360)) - (IOPATH C1 X (0.124:0.125:0.125) (0.277:0.277:0.277)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a2111o_1") - (INSTANCE _6207_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.181:0.181:0.181) (0.403:0.403:0.403)) - (IOPATH A2 X (0.250:0.250:0.250) (0.484:0.484:0.485)) - (IOPATH B1 X (0.121:0.121:0.122) (0.393:0.393:0.393)) - (IOPATH C1 X (0.109:0.110:0.111) (0.354:0.355:0.355)) - (IOPATH D1 X (0.104:0.105:0.107) (0.298:0.298:0.299)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6208_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.178:0.178:0.178) (0.334:0.334:0.334)) - (IOPATH A2 X (0.203:0.203:0.203) (0.382:0.382:0.382)) - (IOPATH B1 X (0.211:0.211:0.211) (0.333:0.333:0.333)) - (IOPATH B2 X (0.198:0.198:0.198) (0.368:0.368:0.368)) - (IOPATH C1 X (0.116:0.117:0.117) (0.268:0.268:0.268)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6209_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.321:0.321:0.321) (0.441:0.441:0.441)) - (IOPATH A2 X (0.365:0.365:0.365) (0.497:0.497:0.497)) - (IOPATH B1 X (0.416:0.416:0.416) (0.489:0.489:0.490)) - (IOPATH B2 X (0.346:0.346:0.346) (0.478:0.478:0.478)) - (IOPATH C1 X (0.261:0.262:0.263) (0.381:0.381:0.381)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6210_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.179:0.179:0.179) (0.237:0.237:0.237)) - (IOPATH A2 X (0.214:0.214:0.214) (0.276:0.276:0.276)) - (IOPATH B1 X (0.174:0.174:0.174) (0.210:0.210:0.210)) - (IOPATH B2 X (0.166:0.166:0.166) (0.227:0.227:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6211_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.169:0.169:0.169) (0.323:0.323:0.323)) - (IOPATH A2 X (0.220:0.220:0.220) (0.390:0.390:0.391)) - (IOPATH B1 X (0.196:0.196:0.196) (0.318:0.318:0.318)) - (IOPATH B2 X (0.154:0.154:0.154) (0.327:0.327:0.327)) - (IOPATH C1 X (0.103:0.104:0.104) (0.253:0.253:0.253)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6212_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.218:0.218:0.218) (0.265:0.265:0.265)) - (IOPATH A2 X (0.237:0.237:0.237) (0.302:0.302:0.302)) - (IOPATH B1 X (0.214:0.214:0.214) (0.240:0.240:0.240)) - (IOPATH B2 X (0.206:0.206:0.206) (0.262:0.262:0.262)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6213_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.197:0.197:0.197) (0.352:0.352:0.352)) - (IOPATH A2 X (0.261:0.261:0.262) (0.389:0.394:0.400)) - (IOPATH B1 X (0.200:0.200:0.200) (0.333:0.333:0.333)) - (IOPATH B2 X (0.187:0.187:0.187) (0.357:0.357:0.357)) - (IOPATH C1 X (0.140:0.140:0.141) (0.285:0.285:0.285)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6214_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.185:0.185:0.185) (0.246:0.246:0.246)) - (IOPATH A2 X (0.188:0.188:0.188) (0.260:0.260:0.260)) - (IOPATH B1 X (0.168:0.168:0.168) (0.203:0.203:0.203)) - (IOPATH B2 X (0.161:0.161:0.161) (0.221:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6215_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.202:0.202:0.202) (0.350:0.350:0.350)) - (IOPATH A2 X (0.194:0.194:0.194) (0.380:0.380:0.380)) - (IOPATH B1 X (0.203:0.203:0.203) (0.332:0.332:0.332)) - (IOPATH B2 X (0.182:0.182:0.182) (0.357:0.357:0.357)) - (IOPATH C1 X (0.118:0.118:0.118) (0.269:0.269:0.270)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _6216_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.149:0.150:0.150) (0.580:0.580:0.580)) - (IOPATH B X (0.148:0.149:0.150) (0.563:0.563:0.563)) - (IOPATH C X (0.156:0.158:0.159) (0.537:0.537:0.537)) - (IOPATH D X (0.148:0.150:0.151) (0.466:0.466:0.466)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _6217_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.235:0.235:0.235) (0.648:0.648:0.648)) - (IOPATH B X (0.263:0.263:0.264) (0.649:0.650:0.650)) - (IOPATH C X (0.196:0.198:0.200) (0.582:0.582:0.582)) - (IOPATH D X (0.216:0.217:0.217) (0.537:0.538:0.538)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o32a_1") - (INSTANCE _6218_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.349:0.349:0.350) (0.481:0.481:0.481)) - (IOPATH A2 X (0.310:0.312:0.313) (0.442:0.443:0.444)) - (IOPATH A3 X (0.330:0.330:0.330) (0.441:0.441:0.441)) - (IOPATH B1 X (0.413:0.413:0.413) (0.375:0.375:0.375)) - (IOPATH B2 X (0.322:0.322:0.322) (0.295:0.295:0.295)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _6219_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.294:0.294:0.294) (0.414:0.414:0.414)) - (IOPATH A1 X (0.356:0.356:0.357) (0.465:0.466:0.467)) - (IOPATH S X (0.399:0.399:0.399) (0.489:0.489:0.489)) - (IOPATH S X (0.352:0.352:0.352) (0.471:0.471:0.471)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _6220_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.132:0.132:0.132) (0.291:0.291:0.291)) - (IOPATH A1 X (0.188:0.188:0.188) (0.343:0.343:0.343)) - (IOPATH S X (0.225:0.225:0.226) (0.355:0.355:0.355)) - (IOPATH S X (0.181:0.181:0.181) (0.334:0.334:0.334)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _6221_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.398:0.398:0.398) (0.398:0.398:0.398)) - (IOPATH A2 X (0.429:0.429:0.429) (0.445:0.445:0.445)) - (IOPATH B1 X (0.422:0.422:0.422) (0.395:0.395:0.395)) - (IOPATH B2 X (0.398:0.398:0.398) (0.402:0.402:0.402)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6222_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.175:0.175:0.175) (0.179:0.179:0.179)) - (IOPATH B X (0.219:0.219:0.219) (0.252:0.253:0.253)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6223_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.161:0.161:0.161) (0.223:0.223:0.223)) - (IOPATH A2 X (0.244:0.244:0.244) (0.309:0.310:0.310)) - (IOPATH B1 X (0.159:0.159:0.159) (0.194:0.194:0.194)) - (IOPATH B2 X (0.160:0.160:0.160) (0.227:0.227:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6224_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.164:0.164:0.164) (0.227:0.227:0.227)) - (IOPATH A2 X (0.245:0.245:0.245) (0.316:0.316:0.317)) - (IOPATH B1 X (0.223:0.223:0.223) (0.271:0.271:0.271)) - (IOPATH B2 X (0.150:0.150:0.150) (0.220:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6225_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.171:0.171:0.171) (0.230:0.230:0.230)) - (IOPATH A2 X (0.220:0.220:0.220) (0.289:0.290:0.290)) - (IOPATH B1 X (0.185:0.185:0.185) (0.220:0.221:0.221)) - (IOPATH B2 X (0.154:0.154:0.154) (0.223:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6226_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.202:0.202:0.202) (0.351:0.351:0.351)) - (IOPATH A2 X (0.252:0.252:0.252) (0.415:0.416:0.416)) - (IOPATH B1 X (0.266:0.266:0.266) (0.332:0.340:0.348)) - (IOPATH B2 X (0.181:0.181:0.181) (0.353:0.353:0.353)) - (IOPATH C1 X (0.116:0.116:0.117) (0.271:0.271:0.271)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6227_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.188:0.188:0.188) (0.346:0.346:0.346)) - (IOPATH A2 X (0.262:0.262:0.262) (0.425:0.425:0.426)) - (IOPATH B1 X (0.227:0.227:0.227) (0.322:0.327:0.333)) - (IOPATH B2 X (0.181:0.181:0.181) (0.354:0.354:0.354)) - (IOPATH C1 X (0.124:0.125:0.126) (0.274:0.274:0.274)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6228_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.181:0.181:0.181) (0.239:0.239:0.239)) - (IOPATH A2 X (0.232:0.232:0.232) (0.260:0.269:0.277)) - (IOPATH B1 X (0.171:0.171:0.171) (0.203:0.203:0.204)) - (IOPATH B2 X (0.169:0.169:0.169) (0.236:0.236:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6229_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.227:0.227:0.227) (0.378:0.378:0.378)) - (IOPATH A2 X (0.272:0.272:0.272) (0.407:0.411:0.415)) - (IOPATH B1 X (0.273:0.273:0.273) (0.351:0.356:0.362)) - (IOPATH B2 X (0.209:0.209:0.209) (0.375:0.375:0.375)) - (IOPATH C1 X (0.157:0.157:0.157) (0.304:0.304:0.304)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6230_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.164:0.164:0.164) (0.231:0.231:0.231)) - (IOPATH A2 X (0.191:0.191:0.191) (0.262:0.262:0.262)) - (IOPATH B1 X (0.179:0.179:0.179) (0.207:0.208:0.209)) - (IOPATH B2 X (0.151:0.151:0.151) (0.221:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6231_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.186:0.186:0.186) (0.339:0.339:0.339)) - (IOPATH A2 X (0.258:0.258:0.258) (0.423:0.423:0.423)) - (IOPATH B1 X (0.210:0.210:0.210) (0.297:0.306:0.314)) - (IOPATH B2 X (0.173:0.173:0.173) (0.346:0.346:0.346)) - (IOPATH C1 X (0.097:0.098:0.098) (0.251:0.251:0.252)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _6232_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.119:0.121:0.123) (0.538:0.539:0.539)) - (IOPATH B X (0.121:0.123:0.125) (0.522:0.522:0.522)) - (IOPATH C X (0.133:0.134:0.135) (0.494:0.495:0.495)) - (IOPATH D X (0.105:0.108:0.111) (0.415:0.415:0.416)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6233_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.183:0.183:0.183) (0.339:0.339:0.339)) - (IOPATH A2 X (0.235:0.235:0.235) (0.372:0.375:0.377)) - (IOPATH B1 X (0.215:0.215:0.215) (0.332:0.332:0.332)) - (IOPATH B2 X (0.193:0.193:0.193) (0.361:0.361:0.361)) - (IOPATH C1 X (0.185:0.186:0.186) (0.319:0.319:0.320)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6234_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.209:0.209:0.209) (0.363:0.363:0.363)) - (IOPATH A2 X (0.230:0.230:0.230) (0.398:0.398:0.398)) - (IOPATH B1 X (0.280:0.280:0.280) (0.390:0.391:0.391)) - (IOPATH B2 X (0.193:0.193:0.193) (0.367:0.367:0.367)) - (IOPATH C1 X (0.132:0.133:0.133) (0.286:0.286:0.287)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6235_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.173:0.173:0.173) (0.238:0.238:0.238)) - (IOPATH A2 X (0.208:0.208:0.208) (0.269:0.269:0.269)) - (IOPATH B1 X (0.201:0.201:0.201) (0.230:0.231:0.231)) - (IOPATH B2 X (0.166:0.166:0.166) (0.235:0.235:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6236_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.278:0.278:0.278) (0.416:0.416:0.416)) - (IOPATH A2 X (0.272:0.272:0.272) (0.437:0.437:0.437)) - (IOPATH B1 X (0.307:0.307:0.307) (0.384:0.386:0.388)) - (IOPATH B2 X (0.274:0.274:0.274) (0.428:0.428:0.428)) - (IOPATH C1 X (0.202:0.203:0.204) (0.337:0.338:0.338)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6237_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.171:0.171:0.171) (0.229:0.229:0.229)) - (IOPATH A2 X (0.203:0.203:0.203) (0.275:0.276:0.276)) - (IOPATH B1 X (0.193:0.193:0.193) (0.227:0.227:0.228)) - (IOPATH B2 X (0.178:0.178:0.178) (0.238:0.238:0.238)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6238_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.226:0.226:0.226) (0.379:0.379:0.379)) - (IOPATH A2 X (0.221:0.221:0.221) (0.398:0.398:0.399)) - (IOPATH B1 X (0.278:0.278:0.278) (0.361:0.366:0.372)) - (IOPATH B2 X (0.207:0.207:0.207) (0.382:0.382:0.382)) - (IOPATH C1 X (0.146:0.147:0.148) (0.298:0.298:0.298)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6239_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.183:0.183:0.183) (0.235:0.235:0.235)) - (IOPATH A2 X (0.194:0.195:0.195) (0.260:0.260:0.261)) - (IOPATH B1 X (0.184:0.184:0.184) (0.217:0.218:0.218)) - (IOPATH B2 X (0.149:0.149:0.149) (0.218:0.218:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6240_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.174:0.174:0.174) (0.336:0.336:0.336)) - (IOPATH A2 X (0.222:0.222:0.222) (0.356:0.364:0.372)) - (IOPATH B1 X (0.198:0.198:0.198) (0.317:0.317:0.318)) - (IOPATH B2 X (0.163:0.163:0.163) (0.333:0.333:0.333)) - (IOPATH C1 X (0.098:0.099:0.100) (0.254:0.254:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _6241_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.167:0.169:0.172) (0.598:0.598:0.598)) - (IOPATH B X (0.168:0.169:0.170) (0.581:0.581:0.581)) - (IOPATH C X (0.185:0.186:0.187) (0.559:0.560:0.560)) - (IOPATH D X (0.160:0.162:0.164) (0.481:0.481:0.481)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _6242_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.162:0.162:0.162) (0.559:0.559:0.559)) - (IOPATH B X (0.119:0.121:0.123) (0.515:0.515:0.516)) - (IOPATH C X (0.145:0.146:0.146) (0.497:0.497:0.497)) - (IOPATH D X (0.131:0.132:0.132) (0.436:0.437:0.437)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_1") - (INSTANCE _6243_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.332:0.332:0.332) (0.359:0.359:0.359)) - (IOPATH A2 X (0.379:0.379:0.379) (0.416:0.416:0.416)) - (IOPATH B1 X (0.289:0.289:0.289) (0.322:0.322:0.322)) - (IOPATH B2 X (0.282:0.283:0.283) (0.302:0.302:0.302)) - (IOPATH C1 X (0.340:0.340:0.340) (0.220:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ba_1") - (INSTANCE _6244_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.341:0.341:0.341) (0.308:0.308:0.308)) - (IOPATH A2 X (0.348:0.348:0.348) (0.339:0.341:0.343)) - (IOPATH B1_N X (0.420:0.427:0.434) (0.316:0.316:0.316)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _6245_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.190:0.190:0.190) (0.248:0.248:0.248)) - (IOPATH A2 X (0.217:0.217:0.217) (0.253:0.253:0.253)) - (IOPATH B1 X (0.220:0.220:0.220) (0.238:0.241:0.244)) - (IOPATH B2 X (0.220:0.220:0.220) (0.241:0.242:0.242)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _6246_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.515:0.515:0.515) (0.456:0.456:0.456)) - (IOPATH A2 X (0.547:0.547:0.547) (0.505:0.505:0.505)) - (IOPATH B1 X (0.541:0.541:0.541) (0.455:0.455:0.455)) - (IOPATH B2 X (0.529:0.529:0.529) (0.471:0.471:0.471)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6247_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.289:0.289:0.289) (0.314:0.314:0.314)) - (IOPATH A2 X (0.321:0.321:0.321) (0.346:0.347:0.348)) - (IOPATH B1 X (0.315:0.315:0.315) (0.303:0.304:0.305)) - (IOPATH B2 X (0.280:0.280:0.280) (0.306:0.306:0.306)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6248_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.149:0.149:0.149) (0.158:0.158:0.158)) - (IOPATH B X (0.207:0.207:0.207) (0.243:0.244:0.244)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6249_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.222:0.222:0.222) (0.267:0.267:0.267)) - (IOPATH A2 X (0.288:0.288:0.288) (0.349:0.349:0.349)) - (IOPATH B1 X (0.270:0.270:0.270) (0.303:0.303:0.304)) - (IOPATH B2 X (0.194:0.194:0.194) (0.253:0.253:0.253)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6250_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.184:0.184:0.184) (0.237:0.237:0.237)) - (IOPATH A2 X (0.246:0.246:0.246) (0.311:0.311:0.312)) - (IOPATH B1 X (0.161:0.161:0.161) (0.197:0.197:0.197)) - (IOPATH B2 X (0.153:0.153:0.153) (0.224:0.224:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6251_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.186:0.186:0.186) (0.335:0.335:0.335)) - (IOPATH A2 X (0.233:0.233:0.233) (0.398:0.398:0.398)) - (IOPATH B1 X (0.246:0.246:0.247) (0.315:0.323:0.331)) - (IOPATH B2 X (0.174:0.174:0.174) (0.347:0.347:0.347)) - (IOPATH C1 X (0.099:0.100:0.100) (0.253:0.254:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6252_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.188:0.188:0.188) (0.347:0.347:0.347)) - (IOPATH A2 X (0.253:0.253:0.253) (0.417:0.417:0.418)) - (IOPATH B1 X (0.218:0.218:0.218) (0.314:0.320:0.326)) - (IOPATH B2 X (0.176:0.176:0.176) (0.349:0.349:0.349)) - (IOPATH C1 X (0.111:0.112:0.113) (0.264:0.264:0.265)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6253_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.171:0.171:0.171) (0.231:0.231:0.231)) - (IOPATH A2 X (0.219:0.219:0.219) (0.250:0.258:0.267)) - (IOPATH B1 X (0.157:0.158:0.158) (0.193:0.193:0.194)) - (IOPATH B2 X (0.162:0.162:0.162) (0.224:0.224:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6254_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.278:0.278:0.278) (0.408:0.408:0.408)) - (IOPATH A2 X (0.299:0.299:0.299) (0.426:0.430:0.434)) - (IOPATH B1 X (0.300:0.300:0.300) (0.370:0.376:0.382)) - (IOPATH B2 X (0.241:0.241:0.241) (0.399:0.399:0.399)) - (IOPATH C1 X (0.178:0.178:0.178) (0.321:0.321:0.321)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6255_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.181:0.181:0.181) (0.237:0.237:0.237)) - (IOPATH A2 X (0.198:0.198:0.198) (0.268:0.268:0.268)) - (IOPATH B1 X (0.187:0.187:0.187) (0.214:0.215:0.216)) - (IOPATH B2 X (0.158:0.158:0.158) (0.227:0.227:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6256_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.184:0.184:0.184) (0.347:0.347:0.347)) - (IOPATH A2 X (0.280:0.280:0.280) (0.442:0.443:0.443)) - (IOPATH B1 X (0.230:0.230:0.230) (0.317:0.325:0.333)) - (IOPATH B2 X (0.190:0.190:0.190) (0.363:0.363:0.363)) - (IOPATH C1 X (0.119:0.119:0.120) (0.272:0.272:0.272)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _6257_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.164:0.167:0.170) (0.599:0.600:0.600)) - (IOPATH B X (0.171:0.173:0.175) (0.585:0.585:0.585)) - (IOPATH C X (0.196:0.197:0.198) (0.565:0.565:0.566)) - (IOPATH D X (0.167:0.169:0.171) (0.483:0.484:0.484)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6258_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.311:0.311:0.311) (0.434:0.434:0.434)) - (IOPATH A2 X (0.340:0.340:0.340) (0.451:0.454:0.457)) - (IOPATH B1 X (0.320:0.320:0.320) (0.412:0.412:0.412)) - (IOPATH B2 X (0.286:0.286:0.286) (0.431:0.431:0.431)) - (IOPATH C1 X (0.310:0.311:0.311) (0.429:0.429:0.430)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6259_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.176:0.176:0.176) (0.338:0.338:0.338)) - (IOPATH A2 X (0.206:0.206:0.206) (0.376:0.376:0.376)) - (IOPATH B1 X (0.255:0.255:0.255) (0.368:0.369:0.369)) - (IOPATH B2 X (0.185:0.185:0.185) (0.356:0.356:0.356)) - (IOPATH C1 X (0.146:0.147:0.147) (0.290:0.290:0.291)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6260_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.188:0.188:0.188) (0.239:0.239:0.239)) - (IOPATH A2 X (0.194:0.194:0.194) (0.257:0.257:0.257)) - (IOPATH B1 X (0.186:0.186:0.186) (0.218:0.219:0.220)) - (IOPATH B2 X (0.157:0.157:0.157) (0.224:0.224:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6261_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.254:0.254:0.254) (0.400:0.400:0.400)) - (IOPATH A2 X (0.249:0.249:0.249) (0.421:0.421:0.421)) - (IOPATH B1 X (0.284:0.284:0.284) (0.368:0.370:0.372)) - (IOPATH B2 X (0.242:0.242:0.242) (0.401:0.401:0.401)) - (IOPATH C1 X (0.174:0.175:0.176) (0.319:0.319:0.319)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6262_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.182:0.182:0.182) (0.242:0.242:0.242)) - (IOPATH A2 X (0.209:0.209:0.209) (0.281:0.281:0.282)) - (IOPATH B1 X (0.199:0.199:0.199) (0.232:0.232:0.233)) - (IOPATH B2 X (0.166:0.166:0.166) (0.228:0.228:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6263_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.217:0.217:0.217) (0.367:0.367:0.367)) - (IOPATH A2 X (0.199:0.199:0.199) (0.379:0.379:0.379)) - (IOPATH B1 X (0.255:0.255:0.256) (0.342:0.347:0.353)) - (IOPATH B2 X (0.191:0.191:0.191) (0.366:0.366:0.366)) - (IOPATH C1 X (0.127:0.128:0.129) (0.280:0.280:0.280)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6264_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.175:0.175:0.175) (0.328:0.328:0.328)) - (IOPATH A2 X (0.217:0.217:0.217) (0.352:0.360:0.368)) - (IOPATH B1 X (0.194:0.194:0.194) (0.312:0.313:0.313)) - (IOPATH B2 X (0.156:0.156:0.156) (0.329:0.329:0.329)) - (IOPATH C1 X (0.141:0.141:0.141) (0.277:0.277:0.277)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6265_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.166:0.166:0.166) (0.322:0.322:0.322)) - (IOPATH A2 X (0.228:0.229:0.229) (0.397:0.398:0.398)) - (IOPATH B1 X (0.205:0.205:0.205) (0.325:0.325:0.326)) - (IOPATH B2 X (0.155:0.155:0.155) (0.328:0.328:0.328)) - (IOPATH C1 X (0.113:0.114:0.115) (0.258:0.258:0.258)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _6266_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.202:0.202:0.203) (0.618:0.618:0.618)) - (IOPATH B X (0.179:0.180:0.182) (0.589:0.590:0.590)) - (IOPATH C X (0.165:0.166:0.167) (0.550:0.550:0.550)) - (IOPATH D X (0.160:0.162:0.164) (0.481:0.482:0.482)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _6267_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.156:0.156:0.156) (0.407:0.407:0.407)) - (IOPATH B X (0.104:0.106:0.108) (0.351:0.351:0.351)) - (IOPATH C X (0.128:0.129:0.129) (0.345:0.345:0.345)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_1") - (INSTANCE _6268_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.242:0.242:0.242) (0.305:0.305:0.305)) - (IOPATH A2 X (0.285:0.285:0.286) (0.359:0.359:0.359)) - (IOPATH B1 X (0.219:0.220:0.220) (0.277:0.277:0.277)) - (IOPATH B2 X (0.190:0.190:0.191) (0.231:0.231:0.232)) - (IOPATH C1 X (0.248:0.248:0.248) (0.165:0.165:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ba_1") - (INSTANCE _6269_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.189:0.189:0.189) (0.225:0.225:0.225)) - (IOPATH A2 X (0.188:0.188:0.188) (0.249:0.251:0.253)) - (IOPATH B1_N X (0.261:0.267:0.274) (0.229:0.229:0.229)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _6270_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.193:0.193:0.193) (0.250:0.250:0.250)) - (IOPATH A2 X (0.217:0.217:0.217) (0.252:0.252:0.252)) - (IOPATH B1 X (0.184:0.184:0.185) (0.216:0.218:0.220)) - (IOPATH B2 X (0.172:0.172:0.172) (0.198:0.199:0.200)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _6271_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.333:0.333:0.333) (0.366:0.366:0.366)) - (IOPATH A2 X (0.369:0.369:0.369) (0.410:0.410:0.410)) - (IOPATH B1 X (0.361:0.361:0.361) (0.358:0.358:0.358)) - (IOPATH B2 X (0.344:0.344:0.344) (0.361:0.361:0.361)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6272_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.186:0.186:0.186) (0.182:0.182:0.182)) - (IOPATH B X (0.224:0.224:0.224) (0.255:0.255:0.256)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6273_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.174:0.174:0.174) (0.225:0.225:0.225)) - (IOPATH A2 X (0.187:0.187:0.187) (0.258:0.258:0.258)) - (IOPATH B1 X (0.175:0.175:0.175) (0.204:0.205:0.205)) - (IOPATH B2 X (0.173:0.173:0.173) (0.228:0.228:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6274_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.207:0.207:0.207) (0.254:0.254:0.254)) - (IOPATH A2 X (0.245:0.245:0.245) (0.310:0.310:0.311)) - (IOPATH B1 X (0.160:0.160:0.160) (0.197:0.197:0.197)) - (IOPATH B2 X (0.179:0.179:0.179) (0.230:0.230:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6275_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.261:0.261:0.261) (0.388:0.388:0.388)) - (IOPATH A2 X (0.299:0.299:0.299) (0.453:0.454:0.454)) - (IOPATH B1 X (0.313:0.313:0.313) (0.370:0.378:0.386)) - (IOPATH B2 X (0.239:0.239:0.239) (0.395:0.395:0.395)) - (IOPATH C1 X (0.161:0.161:0.162) (0.309:0.309:0.309)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6276_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.217:0.217:0.217) (0.352:0.352:0.352)) - (IOPATH A2 X (0.252:0.252:0.252) (0.416:0.417:0.417)) - (IOPATH B1 X (0.217:0.217:0.217) (0.313:0.319:0.325)) - (IOPATH B2 X (0.182:0.182:0.182) (0.346:0.346:0.346)) - (IOPATH C1 X (0.117:0.117:0.118) (0.266:0.266:0.266)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6277_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.179:0.179:0.179) (0.224:0.224:0.224)) - (IOPATH A2 X (0.214:0.214:0.215) (0.245:0.254:0.263)) - (IOPATH B1 X (0.153:0.153:0.154) (0.188:0.189:0.190)) - (IOPATH B2 X (0.165:0.165:0.165) (0.222:0.222:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6278_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.248:0.248:0.248) (0.382:0.382:0.382)) - (IOPATH A2 X (0.270:0.270:0.270) (0.406:0.410:0.414)) - (IOPATH B1 X (0.271:0.271:0.271) (0.350:0.355:0.361)) - (IOPATH B2 X (0.211:0.211:0.211) (0.372:0.372:0.372)) - (IOPATH C1 X (0.148:0.148:0.149) (0.300:0.300:0.300)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6279_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.224:0.224:0.224) (0.355:0.355:0.355)) - (IOPATH A2 X (0.280:0.280:0.280) (0.442:0.443:0.443)) - (IOPATH B1 X (0.230:0.230:0.230) (0.316:0.325:0.333)) - (IOPATH B2 X (0.210:0.210:0.210) (0.364:0.364:0.364)) - (IOPATH C1 X (0.114:0.115:0.116) (0.270:0.270:0.270)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _6280_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.146:0.147:0.147) (0.554:0.554:0.555)) - (IOPATH B X (0.121:0.123:0.125) (0.525:0.526:0.526)) - (IOPATH C X (0.136:0.137:0.138) (0.500:0.500:0.501)) - (IOPATH D X (0.118:0.120:0.122) (0.424:0.425:0.425)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6281_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.203:0.203:0.203) (0.352:0.352:0.352)) - (IOPATH A2 X (0.238:0.238:0.238) (0.374:0.377:0.380)) - (IOPATH B1 X (0.218:0.218:0.218) (0.335:0.335:0.335)) - (IOPATH B2 X (0.201:0.201:0.201) (0.361:0.361:0.361)) - (IOPATH C1 X (0.175:0.175:0.175) (0.307:0.307:0.308)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6282_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.237:0.237:0.237) (0.365:0.365:0.365)) - (IOPATH A2 X (0.220:0.220:0.220) (0.389:0.389:0.389)) - (IOPATH B1 X (0.270:0.270:0.270) (0.381:0.382:0.382)) - (IOPATH B2 X (0.221:0.221:0.221) (0.377:0.377:0.377)) - (IOPATH C1 X (0.123:0.124:0.125) (0.278:0.278:0.279)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6283_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.205:0.205:0.205) (0.243:0.243:0.243)) - (IOPATH A2 X (0.205:0.205:0.205) (0.268:0.268:0.268)) - (IOPATH B1 X (0.197:0.197:0.197) (0.228:0.229:0.229)) - (IOPATH B2 X (0.193:0.193:0.193) (0.249:0.249:0.249)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6284_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.356:0.356:0.356) (0.455:0.455:0.455)) - (IOPATH A2 X (0.346:0.346:0.346) (0.486:0.486:0.486)) - (IOPATH B1 X (0.374:0.374:0.374) (0.449:0.449:0.449)) - (IOPATH B2 X (0.365:0.365:0.365) (0.473:0.473:0.473)) - (IOPATH C1 X (0.274:0.275:0.275) (0.384:0.384:0.385)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6285_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.194:0.194:0.194) (0.234:0.234:0.234)) - (IOPATH A2 X (0.189:0.189:0.189) (0.266:0.266:0.266)) - (IOPATH B1 X (0.188:0.188:0.188) (0.224:0.225:0.226)) - (IOPATH B2 X (0.183:0.183:0.183) (0.237:0.237:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6286_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.335:0.335:0.335) (0.440:0.440:0.440)) - (IOPATH A2 X (0.313:0.313:0.313) (0.467:0.467:0.467)) - (IOPATH B1 X (0.369:0.369:0.369) (0.424:0.429:0.435)) - (IOPATH B2 X (0.309:0.309:0.309) (0.441:0.441:0.441)) - (IOPATH C1 X (0.233:0.234:0.236) (0.362:0.362:0.362)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6287_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.200:0.200:0.200) (0.245:0.245:0.245)) - (IOPATH A2 X (0.200:0.200:0.200) (0.265:0.266:0.266)) - (IOPATH B1 X (0.191:0.191:0.191) (0.222:0.223:0.224)) - (IOPATH B2 X (0.184:0.184:0.184) (0.236:0.236:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6288_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.314:0.314:0.314) (0.434:0.434:0.434)) - (IOPATH A2 X (0.323:0.323:0.323) (0.437:0.445:0.453)) - (IOPATH B1 X (0.299:0.299:0.299) (0.397:0.398:0.398)) - (IOPATH B2 X (0.280:0.280:0.280) (0.421:0.421:0.421)) - (IOPATH C1 X (0.198:0.199:0.200) (0.336:0.336:0.337)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6289_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.192:0.192:0.192) (0.235:0.235:0.235)) - (IOPATH A2 X (0.261:0.261:0.261) (0.329:0.329:0.329)) - (IOPATH B1 X (0.241:0.241:0.241) (0.283:0.284:0.284)) - (IOPATH B2 X (0.180:0.180:0.180) (0.232:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6290_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.172:0.172:0.172) (0.329:0.329:0.329)) - (IOPATH A2 X (0.225:0.225:0.225) (0.393:0.394:0.394)) - (IOPATH B1 X (0.202:0.202:0.202) (0.322:0.323:0.323)) - (IOPATH B2 X (0.175:0.175:0.175) (0.337:0.337:0.337)) - (IOPATH C1 X (0.099:0.101:0.102) (0.249:0.249:0.249)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_2") - (INSTANCE _6291_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.274:0.275:0.275) (0.825:0.825:0.825)) - (IOPATH B X (0.257:0.258:0.258) (0.799:0.799:0.799)) - (IOPATH C X (0.238:0.239:0.240) (0.757:0.757:0.758)) - (IOPATH D X (0.187:0.189:0.191) (0.667:0.667:0.668)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _6292_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.153:0.153:0.153) (0.403:0.403:0.403)) - (IOPATH B X (0.106:0.108:0.110) (0.350:0.350:0.351)) - (IOPATH C X (0.129:0.129:0.129) (0.357:0.357:0.358)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_1") - (INSTANCE _6293_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.308:0.308:0.308) (0.345:0.345:0.345)) - (IOPATH A2 X (0.355:0.355:0.355) (0.402:0.402:0.402)) - (IOPATH B1 X (0.267:0.267:0.267) (0.308:0.308:0.308)) - (IOPATH B2 X (0.257:0.257:0.258) (0.278:0.278:0.278)) - (IOPATH C1 X (0.317:0.317:0.317) (0.206:0.206:0.206)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ba_1") - (INSTANCE _6294_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.228:0.228:0.228) (0.245:0.245:0.245)) - (IOPATH A2 X (0.238:0.238:0.238) (0.279:0.281:0.283)) - (IOPATH B1_N X (0.310:0.317:0.323) (0.258:0.258:0.258)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _6295_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.203:0.203:0.203) (0.257:0.257:0.257)) - (IOPATH A2 X (0.224:0.224:0.224) (0.257:0.257:0.257)) - (IOPATH B1 X (0.217:0.218:0.218) (0.236:0.239:0.242)) - (IOPATH B2 X (0.197:0.197:0.197) (0.215:0.216:0.217)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _6296_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.504:0.504:0.504) (0.442:0.442:0.442)) - (IOPATH A2 X (0.519:0.519:0.519) (0.485:0.485:0.485)) - (IOPATH B1 X (0.513:0.513:0.513) (0.434:0.434:0.434)) - (IOPATH B2 X (0.469:0.469:0.469) (0.425:0.425:0.425)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6297_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.209:0.209:0.209) (0.246:0.246:0.246)) - (IOPATH A2 X (0.233:0.233:0.233) (0.298:0.299:0.300)) - (IOPATH B1 X (0.199:0.199:0.199) (0.231:0.232:0.233)) - (IOPATH B2 X (0.165:0.165:0.165) (0.231:0.231:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6298_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.203:0.203:0.203) (0.252:0.252:0.252)) - (IOPATH A2 X (0.213:0.213:0.213) (0.276:0.276:0.277)) - (IOPATH B1 X (0.204:0.204:0.204) (0.233:0.233:0.234)) - (IOPATH B2 X (0.163:0.163:0.163) (0.230:0.230:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6299_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.170:0.170:0.170) (0.231:0.231:0.231)) - (IOPATH A2 X (0.254:0.254:0.254) (0.318:0.318:0.318)) - (IOPATH B1 X (0.170:0.170:0.170) (0.208:0.208:0.208)) - (IOPATH B2 X (0.167:0.167:0.167) (0.228:0.228:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6300_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.188:0.188:0.188) (0.349:0.349:0.349)) - (IOPATH A2 X (0.278:0.278:0.278) (0.443:0.443:0.443)) - (IOPATH B1 X (0.273:0.273:0.273) (0.389:0.389:0.390)) - (IOPATH B2 X (0.201:0.201:0.201) (0.366:0.366:0.366)) - (IOPATH C1 X (0.119:0.120:0.121) (0.272:0.272:0.272)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6301_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.202:0.202:0.202) (0.348:0.348:0.348)) - (IOPATH A2 X (0.244:0.244:0.244) (0.408:0.408:0.409)) - (IOPATH B1 X (0.258:0.258:0.258) (0.325:0.333:0.341)) - (IOPATH B2 X (0.183:0.183:0.183) (0.356:0.356:0.356)) - (IOPATH C1 X (0.112:0.113:0.113) (0.265:0.265:0.265)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6302_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.177:0.177:0.177) (0.239:0.239:0.239)) - (IOPATH A2 X (0.236:0.236:0.236) (0.301:0.301:0.302)) - (IOPATH B1 X (0.192:0.192:0.192) (0.197:0.203:0.210)) - (IOPATH B2 X (0.168:0.168:0.168) (0.230:0.230:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6303_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.167:0.167:0.167) (0.234:0.234:0.234)) - (IOPATH A2 X (0.219:0.219:0.219) (0.250:0.259:0.267)) - (IOPATH B1 X (0.158:0.158:0.159) (0.193:0.194:0.194)) - (IOPATH B2 X (0.170:0.170:0.170) (0.235:0.235:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6304_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.328:0.328:0.328) (0.449:0.449:0.449)) - (IOPATH A2 X (0.364:0.364:0.364) (0.471:0.475:0.479)) - (IOPATH B1 X (0.365:0.365:0.365) (0.415:0.421:0.427)) - (IOPATH B2 X (0.303:0.303:0.303) (0.441:0.441:0.441)) - (IOPATH C1 X (0.243:0.243:0.243) (0.366:0.367:0.367)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6305_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.177:0.177:0.177) (0.235:0.235:0.235)) - (IOPATH A2 X (0.197:0.197:0.197) (0.267:0.267:0.267)) - (IOPATH B1 X (0.186:0.186:0.186) (0.214:0.214:0.215)) - (IOPATH B2 X (0.156:0.156:0.156) (0.226:0.226:0.226)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6306_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.233:0.233:0.233) (0.381:0.381:0.381)) - (IOPATH A2 X (0.311:0.311:0.311) (0.468:0.468:0.469)) - (IOPATH B1 X (0.260:0.261:0.261) (0.342:0.350:0.359)) - (IOPATH B2 X (0.211:0.211:0.211) (0.384:0.384:0.384)) - (IOPATH C1 X (0.147:0.147:0.148) (0.297:0.297:0.298)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a2111o_1") - (INSTANCE _6307_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.157:0.157:0.157) (0.377:0.377:0.377)) - (IOPATH A2 X (0.243:0.243:0.243) (0.476:0.476:0.477)) - (IOPATH B1 X (0.105:0.105:0.106) (0.380:0.380:0.380)) - (IOPATH C1 X (0.145:0.145:0.146) (0.376:0.376:0.376)) - (IOPATH D1 X (0.106:0.107:0.108) (0.295:0.295:0.296)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6308_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.270:0.270:0.270) (0.396:0.396:0.396)) - (IOPATH A2 X (0.253:0.253:0.253) (0.419:0.419:0.419)) - (IOPATH B1 X (0.262:0.262:0.262) (0.371:0.371:0.371)) - (IOPATH B2 X (0.225:0.225:0.225) (0.395:0.395:0.395)) - (IOPATH C1 X (0.249:0.249:0.249) (0.385:0.385:0.385)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6309_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.172:0.172:0.172) (0.325:0.325:0.325)) - (IOPATH A2 X (0.196:0.196:0.196) (0.366:0.366:0.366)) - (IOPATH B1 X (0.244:0.244:0.244) (0.358:0.359:0.359)) - (IOPATH B2 X (0.160:0.160:0.160) (0.335:0.335:0.335)) - (IOPATH C1 X (0.120:0.120:0.120) (0.264:0.265:0.265)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6310_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.179:0.179:0.179) (0.238:0.238:0.238)) - (IOPATH A2 X (0.207:0.207:0.207) (0.269:0.269:0.269)) - (IOPATH B1 X (0.200:0.200:0.200) (0.230:0.230:0.231)) - (IOPATH B2 X (0.179:0.179:0.179) (0.238:0.238:0.238)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6311_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.167:0.167:0.167) (0.325:0.325:0.325)) - (IOPATH A2 X (0.176:0.176:0.176) (0.362:0.362:0.362)) - (IOPATH B1 X (0.203:0.203:0.203) (0.325:0.325:0.325)) - (IOPATH B2 X (0.165:0.165:0.165) (0.343:0.343:0.343)) - (IOPATH C1 X (0.107:0.108:0.109) (0.259:0.260:0.260)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6312_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.166:0.166:0.166) (0.225:0.225:0.225)) - (IOPATH A2 X (0.190:0.190:0.190) (0.267:0.267:0.267)) - (IOPATH B1 X (0.189:0.189:0.189) (0.225:0.226:0.227)) - (IOPATH B2 X (0.161:0.161:0.161) (0.227:0.227:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6313_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.182:0.182:0.182) (0.343:0.343:0.343)) - (IOPATH A2 X (0.183:0.183:0.183) (0.368:0.368:0.368)) - (IOPATH B1 X (0.237:0.237:0.237) (0.325:0.330:0.336)) - (IOPATH B2 X (0.172:0.172:0.172) (0.345:0.345:0.345)) - (IOPATH C1 X (0.107:0.108:0.109) (0.262:0.263:0.263)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6314_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.290:0.290:0.290) (0.409:0.409:0.409)) - (IOPATH A2 X (0.283:0.283:0.283) (0.410:0.417:0.425)) - (IOPATH B1 X (0.258:0.258:0.258) (0.369:0.369:0.370)) - (IOPATH B2 X (0.222:0.222:0.222) (0.388:0.388:0.388)) - (IOPATH C1 X (0.163:0.164:0.165) (0.309:0.309:0.310)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _6315_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.225:0.228:0.230) (0.656:0.656:0.656)) - (IOPATH B X (0.220:0.221:0.223) (0.636:0.636:0.636)) - (IOPATH C X (0.220:0.221:0.223) (0.603:0.604:0.604)) - (IOPATH D X (0.240:0.240:0.241) (0.545:0.545:0.546)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _6316_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.147:0.147:0.147) (0.398:0.398:0.398)) - (IOPATH B X (0.098:0.101:0.103) (0.347:0.347:0.347)) - (IOPATH C X (0.143:0.143:0.144) (0.361:0.361:0.362)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o32a_1") - (INSTANCE _6317_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.345:0.346:0.348) (0.472:0.473:0.473)) - (IOPATH A2 X (0.339:0.341:0.343) (0.456:0.457:0.458)) - (IOPATH A3 X (0.328:0.328:0.328) (0.418:0.418:0.418)) - (IOPATH B1 X (0.444:0.444:0.444) (0.391:0.391:0.391)) - (IOPATH B2 X (0.377:0.377:0.377) (0.314:0.314:0.314)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _6318_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.128:0.128:0.128) (0.283:0.283:0.283)) - (IOPATH A1 X (0.186:0.186:0.186) (0.332:0.333:0.334)) - (IOPATH S X (0.225:0.225:0.225) (0.347:0.347:0.347)) - (IOPATH S X (0.178:0.178:0.178) (0.330:0.330:0.330)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _6319_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.139:0.139:0.139) (0.296:0.296:0.296)) - (IOPATH A1 X (0.122:0.124:0.125) (0.297:0.297:0.297)) - (IOPATH S X (0.226:0.226:0.226) (0.355:0.355:0.355)) - (IOPATH S X (0.182:0.182:0.182) (0.335:0.335:0.335)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6320_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.303:0.303:0.303) (0.312:0.312:0.312)) - (IOPATH A2 X (0.332:0.332:0.332) (0.361:0.361:0.361)) - (IOPATH B1 X (0.319:0.319:0.319) (0.311:0.311:0.311)) - (IOPATH B2 X (0.284:0.284:0.284) (0.309:0.309:0.309)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6321_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.267:0.267:0.267) (0.300:0.300:0.300)) - (IOPATH A2 X (0.305:0.305:0.305) (0.313:0.317:0.322)) - (IOPATH B1 X (0.324:0.324:0.324) (0.330:0.331:0.331)) - (IOPATH B2 X (0.252:0.252:0.252) (0.290:0.290:0.290)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6322_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.187:0.187:0.187) (0.333:0.333:0.333)) - (IOPATH A2 X (0.202:0.202:0.202) (0.347:0.354:0.361)) - (IOPATH B1 X (0.192:0.192:0.192) (0.311:0.311:0.311)) - (IOPATH B2 X (0.169:0.169:0.169) (0.338:0.338:0.338)) - (IOPATH C1 X (0.129:0.130:0.130) (0.267:0.267:0.267)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6323_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.203:0.203:0.203) (0.254:0.254:0.254)) - (IOPATH A2 X (0.206:0.206:0.206) (0.279:0.279:0.279)) - (IOPATH B1 X (0.196:0.196:0.196) (0.232:0.232:0.232)) - (IOPATH B2 X (0.185:0.185:0.185) (0.243:0.243:0.243)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6324_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.205:0.205:0.205) (0.365:0.365:0.365)) - (IOPATH A2 X (0.267:0.267:0.267) (0.429:0.430:0.430)) - (IOPATH B1 X (0.281:0.282:0.282) (0.346:0.354:0.362)) - (IOPATH B2 X (0.198:0.198:0.198) (0.372:0.372:0.372)) - (IOPATH C1 X (0.143:0.143:0.144) (0.291:0.291:0.291)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6325_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.191:0.191:0.191) (0.243:0.243:0.243)) - (IOPATH A2 X (0.251:0.252:0.252) (0.323:0.323:0.323)) - (IOPATH B1 X (0.188:0.188:0.188) (0.221:0.222:0.222)) - (IOPATH B2 X (0.149:0.149:0.149) (0.214:0.214:0.214)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6326_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.188:0.188:0.188) (0.344:0.344:0.344)) - (IOPATH A2 X (0.189:0.189:0.189) (0.373:0.373:0.373)) - (IOPATH B1 X (0.212:0.212:0.212) (0.331:0.332:0.332)) - (IOPATH B2 X (0.188:0.188:0.188) (0.361:0.361:0.361)) - (IOPATH C1 X (0.114:0.114:0.115) (0.268:0.269:0.269)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6327_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.164:0.164:0.164) (0.226:0.226:0.226)) - (IOPATH A2 X (0.207:0.207:0.207) (0.272:0.273:0.274)) - (IOPATH B1 X (0.189:0.189:0.189) (0.224:0.224:0.225)) - (IOPATH B2 X (0.154:0.154:0.154) (0.219:0.219:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6328_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.228:0.228:0.228) (0.357:0.357:0.357)) - (IOPATH A2 X (0.234:0.234:0.234) (0.369:0.377:0.385)) - (IOPATH B1 X (0.225:0.225:0.225) (0.312:0.321:0.329)) - (IOPATH B2 X (0.172:0.172:0.172) (0.345:0.345:0.345)) - (IOPATH C1 X (0.111:0.112:0.113) (0.266:0.266:0.266)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6329_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.175:0.175:0.175) (0.236:0.236:0.236)) - (IOPATH A2 X (0.184:0.184:0.184) (0.264:0.264:0.264)) - (IOPATH B1 X (0.174:0.174:0.174) (0.217:0.217:0.217)) - (IOPATH B2 X (0.153:0.153:0.153) (0.222:0.222:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6330_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.177:0.177:0.177) (0.330:0.330:0.330)) - (IOPATH A2 X (0.238:0.238:0.238) (0.403:0.404:0.404)) - (IOPATH B1 X (0.226:0.226:0.226) (0.314:0.319:0.325)) - (IOPATH B2 X (0.164:0.164:0.164) (0.336:0.336:0.336)) - (IOPATH C1 X (0.097:0.098:0.099) (0.252:0.252:0.252)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _6331_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.116:0.118:0.120) (0.527:0.528:0.528)) - (IOPATH B X (0.109:0.110:0.111) (0.505:0.506:0.506)) - (IOPATH C X (0.105:0.107:0.108) (0.471:0.472:0.472)) - (IOPATH D X (0.096:0.098:0.100) (0.401:0.401:0.401)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or3_1") - (INSTANCE _6332_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.203:0.203:0.203) (0.449:0.449:0.449)) - (IOPATH B X (0.145:0.146:0.147) (0.399:0.399:0.399)) - (IOPATH C X (0.143:0.144:0.144) (0.375:0.375:0.375)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6333_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.191:0.191:0.191) (0.247:0.247:0.247)) - (IOPATH A2 X (0.274:0.274:0.274) (0.337:0.338:0.338)) - (IOPATH B1 X (0.205:0.205:0.205) (0.228:0.228:0.228)) - (IOPATH B2 X (0.177:0.177:0.177) (0.237:0.237:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6334_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.165:0.165:0.165) (0.323:0.323:0.323)) - (IOPATH A2 X (0.255:0.256:0.256) (0.418:0.418:0.418)) - (IOPATH B1 X (0.216:0.216:0.216) (0.305:0.312:0.319)) - (IOPATH B2 X (0.162:0.162:0.162) (0.340:0.340:0.340)) - (IOPATH C1 X (0.108:0.108:0.109) (0.256:0.256:0.257)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6335_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.181:0.181:0.181) (0.240:0.240:0.240)) - (IOPATH A2 X (0.261:0.261:0.261) (0.328:0.329:0.329)) - (IOPATH B1 X (0.216:0.216:0.216) (0.250:0.251:0.251)) - (IOPATH B2 X (0.168:0.168:0.168) (0.231:0.231:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6336_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.180:0.180:0.180) (0.334:0.334:0.334)) - (IOPATH A2 X (0.204:0.204:0.204) (0.380:0.380:0.380)) - (IOPATH B1 X (0.248:0.248:0.248) (0.362:0.363:0.363)) - (IOPATH B2 X (0.167:0.167:0.167) (0.341:0.341:0.341)) - (IOPATH C1 X (0.111:0.112:0.113) (0.263:0.263:0.263)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6337_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.171:0.171:0.171) (0.229:0.229:0.229)) - (IOPATH A2 X (0.190:0.190:0.190) (0.267:0.267:0.267)) - (IOPATH B1 X (0.176:0.176:0.176) (0.210:0.210:0.210)) - (IOPATH B2 X (0.154:0.154:0.154) (0.218:0.218:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6338_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.189:0.189:0.189) (0.239:0.239:0.239)) - (IOPATH A2 X (0.245:0.245:0.245) (0.271:0.274:0.277)) - (IOPATH B1 X (0.217:0.217:0.217) (0.236:0.236:0.236)) - (IOPATH B2 X (0.193:0.193:0.193) (0.250:0.250:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_2") - (INSTANCE _6339_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.295:0.295:0.295) (0.468:0.468:0.468)) - (IOPATH A2 X (0.354:0.354:0.354) (0.502:0.509:0.516)) - (IOPATH B1 X (0.347:0.347:0.347) (0.477:0.477:0.478)) - (IOPATH B2 X (0.306:0.306:0.306) (0.491:0.491:0.491)) - (IOPATH C1 X (0.230:0.230:0.231) (0.405:0.405:0.405)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_1") - (INSTANCE _6340_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.165:0.165:0.165) (0.292:0.292:0.292)) - (IOPATH A2 X (0.173:0.173:0.173) (0.328:0.328:0.328)) - (IOPATH B1 X (0.115:0.115:0.116) (0.283:0.283:0.283)) - (IOPATH C1 X (0.147:0.147:0.148) (0.275:0.275:0.275)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4_1") - (INSTANCE _6341_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.149:0.149:0.149) (0.546:0.546:0.546)) - (IOPATH B X (0.102:0.105:0.107) (0.503:0.503:0.504)) - (IOPATH C X (0.103:0.105:0.108) (0.471:0.471:0.472)) - (IOPATH D X (0.107:0.107:0.108) (0.405:0.406:0.406)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_2") - (INSTANCE _6342_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.390:0.390:0.390) (0.416:0.416:0.416)) - (IOPATH A2 X (0.427:0.427:0.427) (0.469:0.470:0.470)) - (IOPATH B1 X (0.348:0.348:0.348) (0.374:0.374:0.374)) - (IOPATH B2 X (0.325:0.325:0.326) (0.349:0.349:0.349)) - (IOPATH C1 X (0.398:0.398:0.398) (0.266:0.266:0.266)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ba_1") - (INSTANCE _6343_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.156:0.156:0.156) (0.200:0.200:0.200)) - (IOPATH A2 X (0.164:0.164:0.164) (0.231:0.234:0.236)) - (IOPATH B1_N X (0.236:0.243:0.249) (0.212:0.212:0.212)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_1") - (INSTANCE _6344_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.145:0.145:0.145) (0.215:0.215:0.215)) - (IOPATH A2 X (0.177:0.177:0.177) (0.224:0.224:0.224)) - (IOPATH B1 X (0.187:0.187:0.187) (0.206:0.209:0.213)) - (IOPATH B2 X (0.123:0.123:0.123) (0.158:0.159:0.160)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _6345_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.139:0.139:0.139) (0.188:0.188:0.188)) - (IOPATH A2 X (0.145:0.145:0.145) (0.204:0.204:0.204)) - (IOPATH B1 X (0.162:0.162:0.162) (0.141:0.141:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _6346_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.067:0.067:0.067) (0.066:0.066:0.066)) - (IOPATH B Y (0.094:0.094:0.094) (0.082:0.082:0.082)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_1") - (INSTANCE _6347_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.185:0.185:0.185) (0.221:0.221:0.221)) - (IOPATH A2 X (0.143:0.144:0.146) (0.207:0.209:0.211)) - (IOPATH A3 X (0.144:0.145:0.146) (0.216:0.217:0.218)) - (IOPATH B1 X (0.098:0.099:0.099) (0.182:0.183:0.184)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _6348_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.359:0.359:0.359) (0.256:0.256:0.256)) - (IOPATH B X (0.351:0.351:0.351) (0.262:0.262:0.262)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _6349_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.218:0.218:0.218) (0.355:0.355:0.355)) - (IOPATH A1 X (0.191:0.191:0.192) (0.365:0.366:0.366)) - (IOPATH S X (0.257:0.258:0.258) (0.381:0.381:0.381)) - (IOPATH S X (0.212:0.212:0.212) (0.365:0.365:0.366)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _6350_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.187:0.187:0.187) (0.319:0.319:0.319)) - (IOPATH A1 X (0.162:0.162:0.162) (0.327:0.327:0.328)) - (IOPATH S X (0.236:0.237:0.237) (0.359:0.359:0.359)) - (IOPATH S X (0.190:0.190:0.190) (0.343:0.343:0.344)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _6351_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.152:0.152:0.152) (0.307:0.307:0.307)) - (IOPATH A1 X (0.174:0.174:0.174) (0.339:0.339:0.339)) - (IOPATH S X (0.246:0.246:0.247) (0.369:0.369:0.369)) - (IOPATH S X (0.200:0.200:0.200) (0.353:0.354:0.354)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _6352_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.117:0.117:0.117) (0.277:0.277:0.277)) - (IOPATH A1 X (0.162:0.162:0.163) (0.335:0.335:0.336)) - (IOPATH S X (0.229:0.229:0.230) (0.350:0.350:0.350)) - (IOPATH S X (0.182:0.182:0.182) (0.334:0.335:0.335)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _6353_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.163:0.163:0.163) (0.298:0.298:0.298)) - (IOPATH A1 X (0.116:0.116:0.116) (0.287:0.287:0.287)) - (IOPATH S X (0.234:0.235:0.235) (0.357:0.357:0.357)) - (IOPATH S X (0.188:0.188:0.188) (0.341:0.341:0.342)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _6354_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.114:0.114:0.114) (0.275:0.275:0.275)) - (IOPATH A1 X (0.185:0.185:0.185) (0.362:0.362:0.362)) - (IOPATH S X (0.226:0.226:0.227) (0.348:0.348:0.348)) - (IOPATH S X (0.180:0.180:0.180) (0.331:0.331:0.332)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _6355_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.114:0.114:0.114) (0.275:0.275:0.275)) - (IOPATH A1 X (0.184:0.184:0.184) (0.327:0.328:0.328)) - (IOPATH S X (0.227:0.228:0.228) (0.349:0.349:0.349)) - (IOPATH S X (0.182:0.182:0.182) (0.333:0.333:0.333)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _6356_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.193:0.193:0.193) (0.328:0.328:0.328)) - (IOPATH A1 X (0.197:0.197:0.197) (0.362:0.362:0.362)) - (IOPATH S X (0.237:0.238:0.238) (0.361:0.361:0.361)) - (IOPATH S X (0.192:0.192:0.192) (0.345:0.345:0.345)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _6357_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.071:0.071:0.071) (0.070:0.070:0.070)) - (IOPATH B Y (0.103:0.103:0.103) (0.091:0.091:0.091)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _6358_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.101:0.101:0.101) (0.099:0.099:0.099)) - (IOPATH B Y (0.086:0.086:0.086) (0.082:0.082:0.082)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6359_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.188:0.188:0.188) (0.246:0.246:0.246)) - (IOPATH A2 X (0.145:0.147:0.149) (0.238:0.240:0.241)) - (IOPATH B1 X (0.134:0.135:0.135) (0.188:0.193:0.199)) - (IOPATH B2 X (0.178:0.178:0.178) (0.241:0.241:0.241)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21bo_1") - (INSTANCE _6360_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.177:0.177:0.177) (0.216:0.216:0.216)) - (IOPATH A2 X (0.146:0.146:0.146) (0.209:0.209:0.209)) - (IOPATH B1_N X (0.221:0.221:0.221) (0.236:0.236:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21boi_1") - (INSTANCE _6361_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.188:0.188:0.188) (0.125:0.125:0.125)) - (IOPATH A2 Y (0.181:0.181:0.181) (0.097:0.097:0.097)) - (IOPATH B1_N Y (0.224:0.224:0.224) (0.175:0.175:0.175)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or4b_4") - (INSTANCE _6362_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.260:0.260:0.260) (0.617:0.620:0.623)) - (IOPATH B X (0.211:0.214:0.217) (0.590:0.598:0.606)) - (IOPATH C X (0.184:0.185:0.185) (0.559:0.559:0.559)) - (IOPATH D_N X (0.265:0.266:0.266) (0.538:0.539:0.539)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6363_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.179:0.179:0.179) (0.237:0.237:0.237)) - (IOPATH A2 X (0.134:0.134:0.134) (0.217:0.217:0.217)) - (IOPATH B1 X (0.134:0.134:0.134) (0.176:0.176:0.176)) - (IOPATH B2 X (0.158:0.158:0.158) (0.224:0.224:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6364_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.193:0.193:0.193) (0.348:0.348:0.348)) - (IOPATH A2 X (0.173:0.173:0.173) (0.358:0.358:0.358)) - (IOPATH B1 X (0.150:0.150:0.150) (0.297:0.297:0.297)) - (IOPATH B2 X (0.186:0.186:0.186) (0.358:0.358:0.358)) - (IOPATH C1 X (0.107:0.108:0.109) (0.264:0.264:0.264)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _6365_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.115:0.116:0.117) (0.277:0.277:0.278)) - (IOPATH A1 X (0.117:0.117:0.117) (0.285:0.285:0.285)) - (IOPATH S X (0.227:0.227:0.227) (0.324:0.324:0.324)) - (IOPATH S X (0.141:0.141:0.141) (0.332:0.332:0.332)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6366_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.182:0.182:0.182) (0.242:0.242:0.242)) - (IOPATH A2 X (0.171:0.171:0.171) (0.244:0.244:0.244)) - (IOPATH B1 X (0.126:0.126:0.126) (0.177:0.177:0.177)) - (IOPATH B2 X (0.173:0.173:0.173) (0.236:0.236:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6367_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.200:0.200:0.200) (0.352:0.352:0.352)) - (IOPATH A2 X (0.173:0.173:0.173) (0.358:0.358:0.358)) - (IOPATH B1 X (0.146:0.146:0.146) (0.296:0.296:0.296)) - (IOPATH B2 X (0.182:0.182:0.182) (0.355:0.355:0.355)) - (IOPATH C1 X (0.113:0.113:0.114) (0.267:0.267:0.267)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _6368_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.125:0.126:0.127) (0.288:0.288:0.288)) - (IOPATH A1 X (0.139:0.139:0.139) (0.304:0.304:0.304)) - (IOPATH S X (0.235:0.235:0.235) (0.334:0.335:0.335)) - (IOPATH S X (0.149:0.149:0.150) (0.342:0.342:0.342)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6369_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.176:0.176:0.176) (0.235:0.235:0.235)) - (IOPATH A2 X (0.129:0.129:0.129) (0.213:0.213:0.213)) - (IOPATH B1 X (0.132:0.132:0.132) (0.175:0.175:0.175)) - (IOPATH B2 X (0.161:0.161:0.161) (0.226:0.226:0.226)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6370_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.196:0.196:0.196) (0.351:0.351:0.351)) - (IOPATH A2 X (0.173:0.173:0.173) (0.359:0.359:0.359)) - (IOPATH B1 X (0.164:0.164:0.164) (0.305:0.305:0.305)) - (IOPATH B2 X (0.184:0.184:0.184) (0.357:0.357:0.357)) - (IOPATH C1 X (0.110:0.111:0.112) (0.267:0.267:0.267)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _6371_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.123:0.124:0.125) (0.285:0.285:0.285)) - (IOPATH A1 X (0.130:0.130:0.130) (0.296:0.296:0.296)) - (IOPATH S X (0.232:0.232:0.233) (0.331:0.331:0.331)) - (IOPATH S X (0.146:0.147:0.147) (0.339:0.339:0.339)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6372_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.193:0.193:0.193) (0.249:0.249:0.249)) - (IOPATH A2 X (0.156:0.156:0.156) (0.234:0.234:0.234)) - (IOPATH B1 X (0.157:0.157:0.157) (0.194:0.194:0.194)) - (IOPATH B2 X (0.178:0.178:0.178) (0.240:0.240:0.240)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6373_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.198:0.198:0.198) (0.352:0.352:0.352)) - (IOPATH A2 X (0.178:0.178:0.178) (0.362:0.362:0.362)) - (IOPATH B1 X (0.170:0.170:0.170) (0.309:0.309:0.309)) - (IOPATH B2 X (0.185:0.185:0.185) (0.358:0.358:0.358)) - (IOPATH C1 X (0.118:0.119:0.119) (0.271:0.271:0.271)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _6374_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.126:0.127:0.128) (0.289:0.289:0.289)) - (IOPATH A1 X (0.132:0.132:0.132) (0.300:0.300:0.300)) - (IOPATH S X (0.235:0.235:0.235) (0.334:0.335:0.335)) - (IOPATH S X (0.149:0.149:0.150) (0.342:0.342:0.342)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6375_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.184:0.184:0.184) (0.242:0.242:0.242)) - (IOPATH A2 X (0.142:0.142:0.142) (0.224:0.224:0.224)) - (IOPATH B1 X (0.150:0.150:0.150) (0.188:0.188:0.188)) - (IOPATH B2 X (0.163:0.163:0.163) (0.228:0.228:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6376_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.199:0.199:0.199) (0.354:0.354:0.354)) - (IOPATH A2 X (0.179:0.179:0.179) (0.363:0.363:0.363)) - (IOPATH B1 X (0.156:0.156:0.156) (0.303:0.303:0.303)) - (IOPATH B2 X (0.193:0.193:0.193) (0.364:0.364:0.364)) - (IOPATH C1 X (0.116:0.116:0.117) (0.271:0.271:0.271)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _6377_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.120:0.121:0.122) (0.281:0.281:0.281)) - (IOPATH A1 X (0.130:0.130:0.130) (0.295:0.295:0.295)) - (IOPATH S X (0.229:0.229:0.229) (0.326:0.326:0.327)) - (IOPATH S X (0.143:0.143:0.143) (0.334:0.334:0.334)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6378_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.180:0.180:0.180) (0.238:0.238:0.238)) - (IOPATH A2 X (0.141:0.141:0.141) (0.221:0.221:0.221)) - (IOPATH B1 X (0.140:0.140:0.140) (0.180:0.180:0.180)) - (IOPATH B2 X (0.158:0.158:0.158) (0.224:0.224:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6379_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.196:0.196:0.196) (0.351:0.351:0.351)) - (IOPATH A2 X (0.173:0.173:0.173) (0.358:0.358:0.358)) - (IOPATH B1 X (0.171:0.171:0.171) (0.309:0.309:0.309)) - (IOPATH B2 X (0.190:0.190:0.190) (0.361:0.361:0.361)) - (IOPATH C1 X (0.111:0.112:0.112) (0.267:0.268:0.268)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _6380_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.124:0.125:0.126) (0.287:0.287:0.287)) - (IOPATH A1 X (0.126:0.126:0.126) (0.295:0.295:0.295)) - (IOPATH S X (0.234:0.234:0.234) (0.333:0.333:0.333)) - (IOPATH S X (0.148:0.148:0.149) (0.341:0.341:0.341)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6381_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.177:0.177:0.177) (0.238:0.238:0.238)) - (IOPATH A2 X (0.159:0.159:0.159) (0.235:0.235:0.235)) - (IOPATH B1 X (0.139:0.139:0.139) (0.181:0.181:0.181)) - (IOPATH B2 X (0.168:0.168:0.168) (0.232:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6382_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.211:0.211:0.211) (0.361:0.361:0.361)) - (IOPATH A2 X (0.166:0.166:0.166) (0.357:0.357:0.357)) - (IOPATH B1 X (0.182:0.182:0.182) (0.318:0.318:0.318)) - (IOPATH B2 X (0.192:0.192:0.192) (0.365:0.365:0.365)) - (IOPATH C1 X (0.121:0.121:0.122) (0.276:0.276:0.276)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _6383_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.124:0.125:0.126) (0.284:0.285:0.285)) - (IOPATH A1 X (0.120:0.120:0.120) (0.290:0.290:0.290)) - (IOPATH S X (0.230:0.230:0.231) (0.328:0.328:0.329)) - (IOPATH S X (0.144:0.145:0.145) (0.336:0.336:0.336)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6384_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.177:0.177:0.177) (0.238:0.238:0.238)) - (IOPATH A2 X (0.149:0.149:0.149) (0.228:0.228:0.228)) - (IOPATH B1 X (0.151:0.151:0.151) (0.189:0.189:0.189)) - (IOPATH B2 X (0.167:0.167:0.167) (0.232:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a221o_1") - (INSTANCE _6385_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.209:0.209:0.209) (0.360:0.360:0.360)) - (IOPATH A2 X (0.165:0.165:0.165) (0.355:0.355:0.355)) - (IOPATH B1 X (0.180:0.180:0.180) (0.317:0.317:0.317)) - (IOPATH B2 X (0.191:0.191:0.191) (0.364:0.364:0.364)) - (IOPATH C1 X (0.119:0.120:0.120) (0.274:0.275:0.275)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _6386_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.118:0.119:0.120) (0.278:0.279:0.279)) - (IOPATH A1 X (0.121:0.121:0.121) (0.287:0.287:0.287)) - (IOPATH S X (0.226:0.226:0.226) (0.322:0.323:0.323)) - (IOPATH S X (0.140:0.140:0.140) (0.330:0.330:0.331)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _6387_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.170:0.170:0.170) (0.249:0.249:0.249)) - (IOPATH A2 X (0.192:0.192:0.192) (0.287:0.287:0.287)) - (IOPATH B1 X (0.237:0.237:0.237) (0.142:0.145:0.149)) - (IOPATH C1 X (0.194:0.194:0.194) (0.137:0.137:0.137)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _6388_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.183:0.183:0.183) (0.243:0.243:0.243)) - (IOPATH A2 X (0.172:0.172:0.172) (0.246:0.246:0.246)) - (IOPATH B1 X (0.159:0.159:0.159) (0.195:0.195:0.195)) - (IOPATH B2 X (0.173:0.173:0.173) (0.237:0.237:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _6389_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.151:0.151:0.151) (0.193:0.193:0.193)) - (IOPATH A2 X (0.137:0.137:0.137) (0.186:0.186:0.186)) - (IOPATH B1 X (0.087:0.087:0.088) (0.153:0.153:0.153)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_1") - (INSTANCE _6390_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.226:0.226:0.226) (0.267:0.267:0.267)) - (IOPATH A2 X (0.244:0.244:0.244) (0.309:0.309:0.309)) - (IOPATH A3 X (0.212:0.212:0.213) (0.286:0.287:0.287)) - (IOPATH B1 X (0.162:0.162:0.163) (0.229:0.229:0.229)) - (IOPATH B2 X (0.223:0.223:0.223) (0.278:0.278:0.278)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_1") - (INSTANCE _6391_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.126:0.126:0.126) (0.287:0.287:0.287)) - (IOPATH A2 X (0.146:0.146:0.146) (0.284:0.284:0.284)) - (IOPATH A3 X (0.146:0.146:0.146) (0.264:0.264:0.264)) - (IOPATH B1 X (0.139:0.140:0.141) (0.106:0.106:0.107)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _6392_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.164:0.185:0.206) (0.186:0.186:0.186)) - (IOPATH B Y (0.156:0.156:0.156) (0.141:0.141:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _6393_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.193:0.193:0.193) (0.326:0.326:0.326)) - (IOPATH A1 X (0.161:0.161:0.161) (0.328:0.328:0.328)) - (IOPATH S X (0.251:0.262:0.273) (0.365:0.366:0.367)) - (IOPATH S X (0.181:0.182:0.184) (0.360:0.369:0.379)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _6394_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.164:0.164:0.164) (0.293:0.293:0.293)) - (IOPATH A1 X (0.118:0.118:0.118) (0.285:0.285:0.285)) - (IOPATH S X (0.216:0.227:0.238) (0.327:0.327:0.328)) - (IOPATH S X (0.146:0.147:0.149) (0.322:0.331:0.341)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _6395_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.197:0.197:0.197) (0.324:0.324:0.324)) - (IOPATH A1 X (0.145:0.145:0.145) (0.310:0.310:0.310)) - (IOPATH S X (0.232:0.243:0.254) (0.345:0.346:0.347)) - (IOPATH S X (0.162:0.163:0.165) (0.340:0.350:0.359)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _6396_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.180:0.180:0.180) (0.311:0.311:0.311)) - (IOPATH A1 X (0.121:0.121:0.121) (0.288:0.288:0.288)) - (IOPATH S X (0.217:0.228:0.240) (0.328:0.329:0.330)) - (IOPATH S X (0.147:0.149:0.150) (0.324:0.333:0.343)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _6397_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.202:0.202:0.202) (0.332:0.332:0.332)) - (IOPATH A1 X (0.146:0.146:0.146) (0.313:0.313:0.313)) - (IOPATH S X (0.239:0.250:0.261) (0.352:0.353:0.354)) - (IOPATH S X (0.169:0.170:0.172) (0.347:0.357:0.366)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6398_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.239:0.239:0.239) (0.207:0.207:0.207)) - (IOPATH B X (0.250:0.250:0.250) (0.240:0.240:0.240)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6399_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.170:0.170:0.170) (0.163:0.163:0.163)) - (IOPATH B X (0.181:0.181:0.181) (0.193:0.193:0.193)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6400_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.221:0.221:0.221) (0.197:0.197:0.197)) - (IOPATH B X (0.220:0.220:0.220) (0.217:0.217:0.217)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6401_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.167:0.167:0.167) (0.161:0.161:0.161)) - (IOPATH B X (0.165:0.165:0.165) (0.195:0.195:0.195)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6402_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.178:0.178:0.178) (0.168:0.168:0.168)) - (IOPATH B X (0.176:0.176:0.176) (0.202:0.202:0.202)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6403_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.174:0.174:0.174) (0.165:0.165:0.165)) - (IOPATH B X (0.172:0.172:0.172) (0.199:0.199:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6404_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.193:0.193:0.193) (0.177:0.177:0.177)) - (IOPATH B X (0.190:0.190:0.190) (0.211:0.211:0.211)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6405_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.180:0.180:0.180) (0.169:0.169:0.169)) - (IOPATH B X (0.178:0.178:0.178) (0.203:0.203:0.203)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6406_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.171:0.171:0.171) (0.164:0.164:0.164)) - (IOPATH B X (0.169:0.169:0.169) (0.197:0.197:0.197)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6407_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.174:0.174:0.174) (0.166:0.166:0.166)) - (IOPATH B X (0.172:0.172:0.172) (0.199:0.199:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6408_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.258:0.258:0.258) (0.218:0.218:0.218)) - (IOPATH B X (0.269:0.269:0.269) (0.250:0.250:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6409_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.238:0.238:0.238) (0.207:0.207:0.207)) - (IOPATH B X (0.248:0.248:0.248) (0.239:0.239:0.239)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6410_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.243:0.243:0.243) (0.210:0.210:0.210)) - (IOPATH B X (0.254:0.254:0.254) (0.242:0.242:0.242)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6411_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.241:0.241:0.241) (0.209:0.209:0.209)) - (IOPATH B X (0.240:0.240:0.240) (0.229:0.229:0.229)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6412_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.218:0.218:0.218) (0.196:0.196:0.196)) - (IOPATH B X (0.217:0.217:0.217) (0.216:0.216:0.216)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6413_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.247:0.247:0.247) (0.212:0.212:0.212)) - (IOPATH B X (0.258:0.258:0.258) (0.244:0.244:0.244)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6414_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.179:0.179:0.179) (0.168:0.168:0.168)) - (IOPATH B X (0.190:0.190:0.190) (0.199:0.199:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6415_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.198:0.198:0.198) (0.180:0.180:0.180)) - (IOPATH B X (0.209:0.209:0.209) (0.211:0.211:0.211)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6416_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.249:0.249:0.249) (0.213:0.213:0.213)) - (IOPATH B X (0.249:0.249:0.249) (0.233:0.233:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6417_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.214:0.214:0.214) (0.194:0.194:0.194)) - (IOPATH B X (0.214:0.214:0.214) (0.214:0.214:0.214)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6418_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.209:0.209:0.209) (0.199:0.199:0.199)) - (IOPATH B X (0.203:0.203:0.203) (0.212:0.212:0.212)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6419_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.196:0.196:0.196) (0.191:0.191:0.191)) - (IOPATH B X (0.190:0.190:0.190) (0.204:0.204:0.204)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6420_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.194:0.194:0.194) (0.189:0.189:0.189)) - (IOPATH B X (0.187:0.187:0.187) (0.202:0.202:0.202)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6421_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.195:0.195:0.195) (0.190:0.190:0.190)) - (IOPATH B X (0.188:0.188:0.188) (0.203:0.203:0.203)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6422_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.298:0.298:0.298) (0.249:0.249:0.249)) - (IOPATH B X (0.292:0.292:0.292) (0.263:0.263:0.263)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6423_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.271:0.271:0.271) (0.234:0.234:0.234)) - (IOPATH B X (0.265:0.265:0.265) (0.248:0.248:0.248)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6424_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.239:0.239:0.239) (0.216:0.216:0.216)) - (IOPATH B X (0.222:0.222:0.222) (0.218:0.218:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6425_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.285:0.285:0.285) (0.241:0.241:0.241)) - (IOPATH B X (0.278:0.278:0.278) (0.256:0.256:0.256)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6426_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.174:0.174:0.174) (0.165:0.165:0.165)) - (IOPATH B X (0.185:0.185:0.185) (0.196:0.196:0.196)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6427_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.198:0.198:0.198) (0.184:0.184:0.184)) - (IOPATH B X (0.198:0.198:0.198) (0.204:0.204:0.204)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6428_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.198:0.198:0.198) (0.185:0.185:0.185)) - (IOPATH B X (0.197:0.197:0.197) (0.204:0.204:0.204)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6429_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.242:0.242:0.242) (0.204:0.204:0.204)) - (IOPATH B X (0.250:0.250:0.250) (0.234:0.234:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6430_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.221:0.221:0.221) (0.198:0.198:0.198)) - (IOPATH B X (0.220:0.220:0.220) (0.218:0.218:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6431_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.269:0.269:0.269) (0.220:0.220:0.220)) - (IOPATH B X (0.278:0.278:0.278) (0.249:0.249:0.249)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6432_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.214:0.214:0.214) (0.189:0.189:0.189)) - (IOPATH B X (0.222:0.222:0.222) (0.219:0.219:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6433_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.227:0.227:0.227) (0.201:0.201:0.201)) - (IOPATH B X (0.226:0.226:0.226) (0.221:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6434_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.284:0.284:0.284) (0.241:0.241:0.241)) - (IOPATH B X (0.267:0.267:0.267) (0.244:0.244:0.244)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6435_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.308:0.308:0.308) (0.254:0.254:0.254)) - (IOPATH B X (0.291:0.291:0.291) (0.257:0.257:0.257)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6436_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.289:0.289:0.289) (0.243:0.243:0.243)) - (IOPATH B X (0.283:0.283:0.283) (0.258:0.258:0.258)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6437_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.243:0.243:0.243) (0.219:0.219:0.219)) - (IOPATH B X (0.237:0.237:0.237) (0.232:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6438_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.209:0.209:0.209) (0.199:0.199:0.199)) - (IOPATH B X (0.203:0.203:0.203) (0.212:0.212:0.212)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6439_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.215:0.215:0.215) (0.202:0.202:0.202)) - (IOPATH B X (0.208:0.208:0.208) (0.215:0.215:0.215)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6440_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.210:0.210:0.210) (0.199:0.199:0.199)) - (IOPATH B X (0.204:0.204:0.204) (0.213:0.213:0.213)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _6441_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.274:0.274:0.274) (0.235:0.235:0.235)) - (IOPATH B X (0.256:0.256:0.256) (0.237:0.237:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _6442_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.451:0.451:0.451) (0.374:0.374:0.374)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.462:0.462:0.462)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.286:-0.286:-0.285)) - (HOLD (posedge D) (negedge CLK_N) (0.076:0.076:0.076)) - (HOLD (negedge D) (negedge CLK_N) (-0.080:-0.082:-0.083)) - (SETUP (posedge D) (negedge CLK_N) (-0.030:-0.029:-0.029)) - (SETUP (negedge D) (negedge CLK_N) (0.155:0.156:0.158)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6443_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.520:0.520:0.520) (0.359:0.359:0.359)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.149:0.149:0.149)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.131:-0.131:-0.131)) - (HOLD (posedge D) (posedge CLK) (-0.093:-0.093:-0.093)) - (HOLD (negedge D) (posedge CLK) (-0.055:-0.055:-0.055)) - (SETUP (posedge D) (posedge CLK) (0.117:0.117:0.117)) - (SETUP (negedge D) (posedge CLK) (0.115:0.115:0.115)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6444_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.382:0.382:0.382) (0.405:0.405:0.405)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.330:0.330:0.330)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.227:-0.227:-0.227)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.062)) - (SETUP (negedge D) (posedge CLK) (0.112:0.112:0.112)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6445_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.485:0.485:0.485) (0.535:0.535:0.535)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.344:0.344:0.344)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.196:-0.196:-0.196)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.041)) - (SETUP (posedge D) (posedge CLK) (0.066:0.067:0.068)) - (SETUP (negedge D) (posedge CLK) (0.112:0.113:0.113)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6446_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.339:0.339:0.339) (0.371:0.371:0.371)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.326:0.326:0.326)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.225:-0.225:-0.225)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.035:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.059:0.060:0.061)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6447_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.340:0.340:0.340) (0.372:0.372:0.372)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.329:0.330:0.330)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.222:-0.222:-0.222)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.052:-0.053:-0.053)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.121:0.122:0.122)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6448_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.329:0.329:0.329) (0.363:0.363:0.363)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.328:0.328:0.328)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.223:-0.223:-0.223)) - (HOLD (posedge D) (posedge CLK) (-0.033:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.058:0.059:0.059)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6449_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.330:0.330:0.330) (0.365:0.365:0.365)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.335:0.335:0.335)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.217:-0.217:-0.217)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060)) - (SETUP (negedge D) (posedge CLK) (0.119:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6450_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.338:0.338:0.338) (0.371:0.371:0.371)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.330:0.330:0.330)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.221:-0.221:-0.221)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6451_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.372:0.372:0.372) (0.396:0.396:0.396)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.327:0.327:0.327)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224:-0.224:-0.224)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.053:-0.053:-0.053)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.122:0.122:0.122)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6452_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.335:0.335:0.335) (0.368:0.368:0.368)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.328:0.328:0.328)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.223:-0.223:-0.223)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6453_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.427:0.427:0.427) (0.434:0.434:0.434)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.200:-0.200:-0.200)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.041:-0.041)) - (HOLD (negedge D) (posedge CLK) (-0.054:-0.054:-0.055)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.067)) - (SETUP (negedge D) (posedge CLK) (0.123:0.124:0.124)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6454_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.405:0.405:0.405) (0.418:0.418:0.418)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.351:0.351:0.351)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.208:-0.208:-0.208)) - (HOLD (posedge D) (posedge CLK) (-0.043:-0.054:-0.066)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.058:-0.064)) - (SETUP (posedge D) (posedge CLK) (0.069:0.082:0.094)) - (SETUP (negedge D) (posedge CLK) (0.120:0.127:0.134)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6455_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.414:0.414:0.414) (0.424:0.424:0.424)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.353:0.353:0.353)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.206)) - (HOLD (posedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (HOLD (negedge D) (posedge CLK) (-0.057:-0.057:-0.057)) - (SETUP (posedge D) (posedge CLK) (0.070:0.070:0.070)) - (SETUP (negedge D) (posedge CLK) (0.126:0.126:0.126)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6456_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.440:0.440:0.440) (0.441:0.441:0.441)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.352:0.352:0.352)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.206)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.059:0.060:0.060)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6457_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.370:0.370:0.370) (0.396:0.396:0.396)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.343:0.343:0.343)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.215:-0.215:-0.215)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.035:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.061)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6458_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.540:0.540:0.540) (0.573:0.573:0.573)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.354:0.354:0.355)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.184:-0.184:-0.184)) - (HOLD (posedge D) (posedge CLK) (-0.106:-0.109:-0.112)) - (HOLD (negedge D) (posedge CLK) (-0.062:-0.069:-0.077)) - (SETUP (posedge D) (posedge CLK) (0.142:0.146:0.149)) - (SETUP (negedge D) (posedge CLK) (0.136:0.144:0.152)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6459_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.494:0.494:0.494) (0.543:0.543:0.543)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.333:0.333:0.334)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.209:-0.209:-0.209)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.109:0.109:0.109)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6460_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.489:0.489:0.489) (0.539:0.539:0.539)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.340:0.340:0.340)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.201:-0.201:-0.201)) - (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.061:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.116:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6461_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.586:0.586:0.586) (0.599:0.599:0.599)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.355:0.355:0.355)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.183:-0.183:-0.183)) - (HOLD (posedge D) (posedge CLK) (-0.048:-0.049:-0.049)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.079:0.079:0.080)) - (SETUP (negedge D) (posedge CLK) (0.123:0.123:0.124)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6462_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.592:0.592:0.592) (0.604:0.604:0.604)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.342:0.342:0.342)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.198:-0.198:-0.198)) - (HOLD (posedge D) (posedge CLK) (-0.032:-0.033:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.042:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.060:0.061:0.062)) - (SETUP (negedge D) (posedge CLK) (0.115:0.115:0.115)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6463_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.465:0.465:0.465) (0.455:0.455:0.455)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.331:0.331:0.331)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.219:-0.219:-0.219)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6464_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.458:0.458:0.458) (0.452:0.452:0.452)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.326:0.326:0.327)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.223:-0.223:-0.223)) - (HOLD (posedge D) (posedge CLK) (-0.048:-0.061:-0.073)) - (HOLD (negedge D) (posedge CLK) (-0.058:-0.062:-0.066)) - (SETUP (posedge D) (posedge CLK) (0.075:0.089:0.102)) - (SETUP (negedge D) (posedge CLK) (0.128:0.132:0.136)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6465_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.439:0.439:0.439) (0.439:0.439:0.439)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.325:0.326:0.326)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224:-0.224:-0.224)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.053:-0.053:-0.053)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.122:0.122:0.122)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6466_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.421:0.421:0.421) (0.428:0.428:0.428)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.326:0.326:0.326)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224:-0.224:-0.224)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.060)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6467_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.606:0.606:0.606) (0.538:0.538:0.538)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.188:-0.188:-0.187)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.052:-0.052:-0.052)) - (SETUP (posedge D) (posedge CLK) (0.060:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.121:0.121:0.121)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6468_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.591:0.591:0.591) (0.530:0.530:0.530)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.353:0.354:0.354)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.198:-0.198:-0.198)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.060:0.061:0.062)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6469_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.533:0.533:0.533) (0.497:0.497:0.497)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.345:0.345:0.345)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.213:-0.213:-0.213)) - (HOLD (posedge D) (posedge CLK) (-0.033:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.058:0.059:0.059)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6470_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.374:0.374:0.374) (0.399:0.399:0.399)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.363)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.197:-0.197:-0.197)) - (HOLD (posedge D) (posedge CLK) (-0.041:-0.044:-0.047)) - (HOLD (negedge D) (posedge CLK) (-0.039:-0.043:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.066:0.070:0.073)) - (SETUP (negedge D) (posedge CLK) (0.107:0.111:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6471_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.520:0.520:0.520) (0.562:0.562:0.562)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.332:0.332:0.332)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.211:-0.211:-0.211)) - (HOLD (posedge D) (posedge CLK) (-0.043:-0.045:-0.046)) - (HOLD (negedge D) (posedge CLK) (-0.035:-0.039:-0.042)) - (SETUP (posedge D) (posedge CLK) (0.073:0.075:0.076)) - (SETUP (negedge D) (posedge CLK) (0.107:0.111:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6472_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.511:0.511:0.511) (0.554:0.554:0.554)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.336:0.336:0.336)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.205:-0.205)) - (HOLD (posedge D) (posedge CLK) (-0.053:-0.053:-0.053)) - (HOLD (negedge D) (posedge CLK) (-0.042:-0.043:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.084:0.084:0.084)) - (SETUP (negedge D) (posedge CLK) (0.114:0.115:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6473_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.489:0.489:0.489) (0.493:0.493:0.493)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.336:0.336:0.336)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.209:-0.209:-0.209)) - (HOLD (posedge D) (posedge CLK) (-0.048:-0.050:-0.051)) - (HOLD (negedge D) (posedge CLK) (-0.038:-0.042:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.079:0.081:0.082)) - (SETUP (negedge D) (posedge CLK) (0.111:0.115:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6474_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.642:0.642:0.642) (0.434:0.434:0.434)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.147:0.147:0.147)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (HOLD (negedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.075:0.075:0.075)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6475_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.633:0.633:0.633) (0.426:0.426:0.426)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.144:0.144:0.144)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.125:-0.125:-0.125)) - (HOLD (posedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (HOLD (negedge D) (posedge CLK) (-0.023:-0.023:-0.023)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) - (SETUP (negedge D) (posedge CLK) (0.080:0.080:0.080)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6476_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.656:0.656:0.656) (0.442:0.442:0.442)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.147:0.147:0.147)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.016:-0.016:-0.016)) - (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060)) - (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6477_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.612:0.612:0.612) (0.417:0.417:0.417)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.147:0.147:0.147)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (HOLD (negedge D) (posedge CLK) (-0.019:-0.019:-0.019)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.075:0.075:0.075)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6478_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.635:0.635:0.635) (0.430:0.430:0.430)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.147:0.147:0.147)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (HOLD (negedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (SETUP (posedge D) (posedge CLK) (0.070:0.070:0.070)) - (SETUP (negedge D) (posedge CLK) (0.081:0.081:0.081)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6479_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.643:0.643:0.643) (0.428:0.428:0.428)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.149:0.149:0.149)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (HOLD (negedge D) (posedge CLK) (-0.017:-0.017:-0.017)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) - (SETUP (negedge D) (posedge CLK) (0.082:0.082:0.082)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6480_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.655:0.655:0.655) (0.438:0.438:0.438)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.154:0.154:0.154)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.133:-0.133:-0.133)) - (HOLD (posedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (HOLD (negedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (SETUP (posedge D) (posedge CLK) (0.074:0.074:0.074)) - (SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6481_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.653:0.653:0.653) (0.436:0.436:0.436)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.154:0.154:0.154)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.133:-0.133:-0.133)) - (HOLD (posedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (HOLD (negedge D) (posedge CLK) (-0.016:-0.016:-0.016)) - (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068)) - (SETUP (negedge D) (posedge CLK) (0.081:0.081:0.081)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6482_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.700:0.700:0.700) (0.464:0.464:0.464)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.144:0.144:0.144)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.125:-0.125:-0.125)) - (HOLD (posedge D) (posedge CLK) (-0.053:-0.053:-0.053)) - (HOLD (negedge D) (posedge CLK) (-0.028:-0.028:-0.028)) - (SETUP (posedge D) (posedge CLK) (0.074:0.074:0.074)) - (SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6483_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.691:0.691:0.691) (0.457:0.457:0.457)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.144:0.144:0.144)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.125:-0.125:-0.125)) - (HOLD (posedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (HOLD (negedge D) (posedge CLK) (-0.025:-0.025:-0.025)) - (SETUP (posedge D) (posedge CLK) (0.069:0.069:0.069)) - (SETUP (negedge D) (posedge CLK) (0.082:0.082:0.082)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6484_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.636:0.636:0.636) (0.424:0.424:0.424)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.145:0.145:0.145)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.123:-0.123:-0.123)) - (HOLD (posedge D) (posedge CLK) (-0.075:-0.075:-0.075)) - (HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (SETUP (posedge D) (posedge CLK) (0.101:0.101:0.101)) - (SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6485_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.683:0.683:0.683) (0.446:0.446:0.446)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.145:0.145:0.145)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.123:-0.123:-0.123)) - (HOLD (posedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (HOLD (negedge D) (posedge CLK) (-0.023:-0.023:-0.023)) - (SETUP (posedge D) (posedge CLK) (0.075:0.075:0.075)) - (SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6486_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.444:0.444:0.444) (0.442:0.442:0.442)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.370:0.370:0.370)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.191:-0.191:-0.191)) - (HOLD (posedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (HOLD (negedge D) (posedge CLK) (-0.059:-0.059:-0.059)) - (SETUP (posedge D) (posedge CLK) (0.073:0.073:0.073)) - (SETUP (negedge D) (posedge CLK) (0.128:0.128:0.128)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6487_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.753:0.753:0.753) (0.495:0.495:0.495)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.151:0.151:0.151)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.132:-0.132:-0.132)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.011:-0.011:-0.011)) - (SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053)) - (SETUP (negedge D) (posedge CLK) (0.066:0.066:0.066)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6488_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.746:0.746:0.746) (0.476:0.476:0.476)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.149:0.149:0.149)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (HOLD (negedge D) (posedge CLK) (-0.015:-0.015:-0.015)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.080:0.080:0.080)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_4") - (INSTANCE _6489_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.746:0.746:0.746) (0.550:0.550:0.550)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.151:0.151:0.151)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.132:-0.132:-0.132)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.009:-0.009:-0.009)) - (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056)) - (SETUP (negedge D) (posedge CLK) (0.069:0.069:0.069)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6490_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.717:0.717:0.717) (0.467:0.467:0.467)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.151:0.151:0.151)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.129:-0.129:-0.129)) - (HOLD (posedge D) (posedge CLK) (-0.061:-0.061:-0.061)) - (HOLD (negedge D) (posedge CLK) (-0.029:-0.029:-0.029)) - (SETUP (posedge D) (posedge CLK) (0.085:0.085:0.085)) - (SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6491_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.693:0.693:0.693) (0.454:0.454:0.454)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.151:0.151:0.151)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.129:-0.129:-0.129)) - (HOLD (posedge D) (posedge CLK) (-0.064:-0.064:-0.064)) - (HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031)) - (SETUP (posedge D) (posedge CLK) (0.089:0.089:0.089)) - (SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6492_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.847:0.847:0.847) (0.547:0.547:0.547)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.149:0.149:0.149)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.131:-0.131:-0.131)) - (HOLD (posedge D) (posedge CLK) (-0.068:-0.068:-0.068)) - (HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (SETUP (posedge D) (posedge CLK) (0.090:0.090:0.090)) - (SETUP (negedge D) (posedge CLK) (0.097:0.097:0.097)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6493_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.788:0.788:0.788) (0.515:0.515:0.515)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.149:0.149:0.149)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.131:-0.131:-0.131)) - (HOLD (posedge D) (posedge CLK) (-0.066:-0.066:-0.066)) - (HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (SETUP (posedge D) (posedge CLK) (0.088:0.088:0.088)) - (SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6494_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.818:0.818:0.818) (0.531:0.531:0.531)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.149:0.149:0.149)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.131:-0.131:-0.131)) - (HOLD (posedge D) (posedge CLK) (-0.070:-0.070:-0.070)) - (HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (SETUP (posedge D) (posedge CLK) (0.092:0.092:0.092)) - (SETUP (negedge D) (posedge CLK) (0.097:0.097:0.097)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6495_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.727:0.727:0.727) (0.471:0.471:0.471)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.151:0.151:0.151)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.129:-0.129:-0.129)) - (HOLD (posedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (HOLD (negedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (SETUP (posedge D) (posedge CLK) (0.074:0.074:0.074)) - (SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6496_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.704:0.704:0.704) (0.459:0.459:0.459)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.151:0.151:0.151)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.129:-0.129:-0.129)) - (HOLD (posedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (HOLD (negedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (SETUP (posedge D) (posedge CLK) (0.070:0.070:0.070)) - (SETUP (negedge D) (posedge CLK) (0.083:0.083:0.083)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6497_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.758:0.758:0.758) (0.483:0.483:0.483)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.146:0.146:0.146)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.125:-0.125:-0.125)) - (HOLD (posedge D) (posedge CLK) (-0.052:-0.052:-0.052)) - (HOLD (negedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (SETUP (posedge D) (posedge CLK) (0.076:0.076:0.076)) - (SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6498_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.678:0.678:0.678) (0.453:0.453:0.453)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.148:0.148:0.148)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.129:-0.129:-0.129)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.015:-0.015:-0.015)) - (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057)) - (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.071)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6499_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.671:0.671:0.671) (0.448:0.448:0.448)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.141:0.141:0.141)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.122:-0.122:-0.122)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.016:-0.016:-0.016)) - (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057)) - (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.071)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6500_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.539:0.539:0.539) (0.575:0.575:0.575)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.368:0.368:0.368)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.175:-0.175:-0.175)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6501_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.587:0.587:0.587) (0.601:0.601:0.601)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.372:0.372:0.372)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.168:-0.168:-0.168)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6502_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.452:0.452:0.452) (0.450:0.450:0.450)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.368:0.368:0.368)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.198:-0.198:-0.198)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6503_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.434:0.434:0.434) (0.435:0.435:0.435)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.366:0.366:0.366)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.053:-0.053:-0.053)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.122:0.122:0.122)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6504_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.450:0.450:0.450) (0.469:0.469:0.469)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.175:-0.175:-0.175)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6505_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.524:0.524:0.524) (0.566:0.566:0.566)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.368:0.368:0.368)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.172:-0.172:-0.172)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6506_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.439:0.439:0.439) (0.463:0.463:0.463)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.367:0.367:0.367)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6507_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.518:0.518:0.518) (0.562:0.562:0.562)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.377:0.377:0.377)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.161:-0.161:-0.161)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6508_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.673:0.673:0.673) (0.453:0.453:0.453)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.150:0.150:0.150)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.131:-0.131:-0.131)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.012:-0.012:-0.012)) - (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055)) - (SETUP (negedge D) (posedge CLK) (0.068:0.068:0.068)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6509_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.529:0.529:0.529) (0.570:0.570:0.570)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.368:0.368:0.368)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.174:-0.174:-0.174)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.069:0.069:0.069)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6510_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.465:0.465:0.465) (0.478:0.478:0.478)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.401:0.401:0.401)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.137:-0.137:-0.137)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068)) - (SETUP (negedge D) (posedge CLK) (0.121:0.121:0.121)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6511_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.442:0.442:0.442) (0.463:0.463:0.463)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.176:-0.176:-0.176)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6512_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.467:0.467:0.467) (0.458:0.458:0.458)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.193:-0.193:-0.193)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6513_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.732:0.732:0.732) (0.482:0.482:0.482)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.144:0.144:0.144)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.125:-0.125:-0.125)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.016:-0.016:-0.016)) - (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058)) - (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6514_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.449:0.449:0.449) (0.471:0.471:0.471)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.191:-0.191:-0.191)) - (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032)) - (HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060)) - (SETUP (negedge D) (posedge CLK) (0.111:0.111:0.111)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6515_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.442:0.442:0.442) (0.465:0.465:0.465)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.191:-0.191:-0.191)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6516_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.421:0.421:0.421) (0.429:0.429:0.429)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.367:0.367:0.367)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.202:-0.202:-0.202)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059)) - (SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6517_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.443:0.443:0.443) (0.465:0.465:0.465)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.366:0.366:0.366)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.115:0.115:0.115)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6518_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.642:0.642:0.642) (0.435:0.435:0.435)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.150:0.150:0.150)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.131:-0.131:-0.131)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.013)) - (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057)) - (SETUP (negedge D) (posedge CLK) (0.069:0.069:0.069)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6519_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.448:0.448:0.448) (0.468:0.468:0.468)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.368:0.368:0.368)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.180:-0.180:-0.180)) - (HOLD (posedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.073:0.073:0.073)) - (SETUP (negedge D) (posedge CLK) (0.125:0.125:0.125)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6520_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.521:0.521:0.521) (0.565:0.565:0.565)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.182:-0.182:-0.182)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.069:0.069:0.069)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6521_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.479:0.479:0.479) (0.488:0.488:0.488)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.377:0.377:0.377)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.167:-0.167:-0.167)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.069:0.069:0.069)) - (SETUP (negedge D) (posedge CLK) (0.122:0.122:0.122)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6522_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.485:0.485:0.485) (0.492:0.492:0.492)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.377:0.377:0.377)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.167:-0.167:-0.167)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6523_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.677:0.677:0.677) (0.445:0.445:0.445)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.144:0.144:0.144)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.122:-0.122:-0.122)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.013)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.078:0.078:0.078)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6524_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.498:0.498:0.498) (0.500:0.500:0.500)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.368:0.368:0.368)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.180:-0.180:-0.180)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6525_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.507:0.507:0.507) (0.554:0.554:0.554)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.404:0.404:0.404)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.132:-0.132:-0.132)) - (HOLD (posedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.071:0.071:0.071)) - (SETUP (negedge D) (posedge CLK) (0.122:0.122:0.122)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6526_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.543:0.543:0.543) (0.526:0.526:0.526)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.373:0.373:0.373)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.181:-0.181:-0.181)) - (HOLD (posedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (HOLD (negedge D) (posedge CLK) (-0.056:-0.056:-0.056)) - (SETUP (posedge D) (posedge CLK) (0.080:0.080:0.080)) - (SETUP (negedge D) (posedge CLK) (0.131:0.131:0.131)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6527_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.486:0.486:0.486) (0.495:0.495:0.495)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.373:0.373:0.373)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.181:-0.181:-0.181)) - (HOLD (posedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.074:0.074:0.074)) - (SETUP (negedge D) (posedge CLK) (0.125:0.125:0.125)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6528_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.765:0.765:0.765) (0.491:0.491:0.491)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.151:0.151:0.151)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.129:-0.129:-0.129)) - (HOLD (posedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (HOLD (negedge D) (posedge CLK) (-0.017:-0.017:-0.017)) - (SETUP (posedge D) (posedge CLK) (0.070:0.070:0.070)) - (SETUP (negedge D) (posedge CLK) (0.082:0.082:0.082)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6529_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.561:0.561:0.561) (0.590:0.590:0.590)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.374:0.374:0.374)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.175:-0.175:-0.175)) - (HOLD (posedge D) (posedge CLK) (-0.055:-0.055:-0.055)) - (HOLD (negedge D) (posedge CLK) (-0.061:-0.061:-0.061)) - (SETUP (posedge D) (posedge CLK) (0.086:0.086:0.086)) - (SETUP (negedge D) (posedge CLK) (0.135:0.135:0.135)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6530_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.508:0.508:0.508) (0.508:0.508:0.508)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.371:0.371:0.371)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.183:-0.183:-0.183)) - (HOLD (posedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (HOLD (negedge D) (posedge CLK) (-0.058:-0.058:-0.058)) - (SETUP (posedge D) (posedge CLK) (0.082:0.082:0.082)) - (SETUP (negedge D) (posedge CLK) (0.133:0.133:0.133)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6531_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.463:0.463:0.463) (0.454:0.454:0.454)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.376:0.376:0.376)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.179:-0.179:-0.179)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6532_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.419:0.419:0.419) (0.426:0.426:0.426)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.376:0.376:0.376)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.179:-0.179:-0.179)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.053:-0.053:-0.053)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.122:0.122:0.122)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6533_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.656:0.656:0.656) (0.440:0.440:0.440)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.138:0.138:0.138)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.119:-0.119:-0.119)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.017:-0.017:-0.017)) - (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058)) - (SETUP (negedge D) (posedge CLK) (0.073:0.073:0.073)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6534_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.404:0.404:0.404) (0.416:0.416:0.416)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.379:0.379:0.379)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.182:-0.182:-0.182)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6535_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.441:0.441:0.441) (0.462:0.462:0.462)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.378:0.378:0.378)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.163:-0.163:-0.163)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6536_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.457:0.457:0.457) (0.474:0.474:0.474)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.182:-0.182:-0.182)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.115:0.115:0.115)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6537_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.468:0.468:0.468) (0.459:0.459:0.459)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.199:-0.199:-0.199)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6538_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.658:0.658:0.658) (0.436:0.436:0.436)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.148:0.148:0.148)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.127:-0.127:-0.127)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.010:-0.010:-0.010)) - (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058)) - (SETUP (negedge D) (posedge CLK) (0.073:0.073:0.073)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6539_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.504:0.504:0.504) (0.551:0.551:0.551)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.367:0.367:0.367)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.172:-0.172:-0.172)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6540_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.457:0.457:0.457) (0.474:0.474:0.474)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.176:-0.176:-0.176)) - (HOLD (posedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.072:0.072:0.072)) - (SETUP (negedge D) (posedge CLK) (0.125:0.125:0.125)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_4") - (INSTANCE _6541_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.773:0.773:0.773) (0.560:0.560:0.560)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.147:0.147:0.147)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.129:-0.129:-0.129)) - (HOLD (posedge D) (posedge CLK) (-0.049:-0.050:-0.050)) - (HOLD (negedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (SETUP (posedge D) (posedge CLK) (0.070:0.071:0.071)) - (SETUP (negedge D) (posedge CLK) (0.079:0.079:0.079)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6542_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.387:0.387:0.387) (0.408:0.408:0.408)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.344:0.345:0.345)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.214:-0.214:-0.214)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6543_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.508:0.508:0.508) (0.552:0.552:0.552)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.366:0.366:0.366)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.170:-0.170:-0.170)) - (HOLD (posedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (HOLD (negedge D) (posedge CLK) (-0.054:-0.055:-0.056)) - (SETUP (posedge D) (posedge CLK) (0.077:0.077:0.078)) - (SETUP (negedge D) (posedge CLK) (0.128:0.128:0.129)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6544_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.568:0.568:0.568) (0.588:0.588:0.588)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.345:0.345:0.345)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.195:-0.195:-0.195)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.070:0.070:0.070)) - (SETUP (negedge D) (posedge CLK) (0.121:0.121:0.121)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6545_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.398:0.398:0.398) (0.413:0.413:0.413)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.346:0.346:0.346)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.211:-0.211:-0.211)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.052:-0.052:-0.052)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.121:0.121:0.121)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6546_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.407:0.407:0.407) (0.419:0.419:0.419)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.368:0.368:0.368)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.197:-0.197:-0.197)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6547_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.387:0.387:0.387) (0.405:0.405:0.405)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.193:-0.193:-0.193)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6548_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.417:0.417:0.417) (0.425:0.425:0.425)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.193:-0.193:-0.193)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6549_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.412:0.412:0.412) (0.421:0.421:0.421)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.193:-0.193:-0.193)) - (HOLD (posedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (HOLD (negedge D) (posedge CLK) (-0.055:-0.055:-0.055)) - (SETUP (posedge D) (posedge CLK) (0.069:0.069:0.069)) - (SETUP (negedge D) (posedge CLK) (0.124:0.124:0.124)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6550_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.434:0.434:0.434) (0.436:0.436:0.436)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.201:-0.201:-0.201)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6551_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.435:0.435:0.435) (0.437:0.437:0.437)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.201:-0.201:-0.201)) - (HOLD (posedge D) (posedge CLK) (-0.057:-0.057:-0.057)) - (HOLD (negedge D) (posedge CLK) (-0.068:-0.068:-0.068)) - (SETUP (posedge D) (posedge CLK) (0.084:0.084:0.084)) - (SETUP (negedge D) (posedge CLK) (0.139:0.139:0.139)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6552_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.450:0.450:0.450) (0.447:0.447:0.447)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.200:-0.200:-0.200)) - (HOLD (posedge D) (posedge CLK) (-0.054:-0.054:-0.054)) - (HOLD (negedge D) (posedge CLK) (-0.065:-0.065:-0.065)) - (SETUP (posedge D) (posedge CLK) (0.081:0.081:0.081)) - (SETUP (negedge D) (posedge CLK) (0.135:0.135:0.135)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6553_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.458:0.458:0.458) (0.455:0.455:0.455)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.367:0.367:0.367)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.206)) - (HOLD (posedge D) (posedge CLK) (-0.060:-0.060:-0.060)) - (HOLD (negedge D) (posedge CLK) (-0.069:-0.069:-0.069)) - (SETUP (posedge D) (posedge CLK) (0.087:0.087:0.087)) - (SETUP (negedge D) (posedge CLK) (0.140:0.140:0.140)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6554_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.363:0.363:0.363) (0.392:0.392:0.392)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.367:0.367:0.367)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.202:-0.202:-0.202)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059)) - (SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6555_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.355:0.355:0.355) (0.385:0.385:0.385)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.377:0.377:0.377)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.186:-0.186:-0.186)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6556_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.422:0.422:0.422) (0.429:0.429:0.429)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.369:0.369:0.369)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.196:-0.196:-0.196)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6557_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.456:0.456:0.456) (0.452:0.452:0.452)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.369:0.369:0.369)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.196:-0.196:-0.196)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6558_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.437:0.437:0.437) (0.439:0.439:0.439)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.369:0.369:0.369)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.196:-0.196:-0.196)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6559_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.396:0.396:0.396) (0.410:0.410:0.410)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.368:0.368:0.368)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.186:-0.186:-0.186)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6560_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.402:0.402:0.402) (0.419:0.419:0.419)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.367:0.367:0.367)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.202:-0.202:-0.202)) - (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058)) - (SETUP (negedge D) (posedge CLK) (0.112:0.112:0.112)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6561_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.479:0.479:0.479) (0.531:0.531:0.531)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.366:0.366:0.366)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.169:-0.169:-0.169)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6562_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.692:0.692:0.692) (0.472:0.472:0.472)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.166:0.166:0.166)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.148:-0.148:-0.148)) - (HOLD (posedge D) (posedge CLK) (-0.041:-0.046:-0.050)) - (HOLD (negedge D) (posedge CLK) (-0.004:-0.005:-0.005)) - (SETUP (posedge D) (posedge CLK) (0.061:0.066:0.071)) - (SETUP (negedge D) (posedge CLK) (0.060:0.061:0.062)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6563_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.630:0.630:0.630) (0.640:0.640:0.640)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.393:0.393:0.393)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.201:-0.201:-0.201)) - (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052)) - (SETUP (negedge D) (posedge CLK) (0.097:0.097:0.097)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6564_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.555:0.555:0.555) (0.592:0.592:0.592)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.378:0.378:0.378)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.201:-0.201:-0.201)) - (HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029)) - (HOLD (negedge D) (posedge CLK) (-0.025:-0.025:-0.026)) - (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057)) - (SETUP (negedge D) (posedge CLK) (0.097:0.097:0.098)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6565_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.527:0.527:0.527) (0.575:0.575:0.575)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.386:0.386:0.386)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.210:-0.210:-0.210)) - (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.024:-0.025:-0.025)) - (SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053)) - (SETUP (negedge D) (posedge CLK) (0.097:0.097:0.098)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6566_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.487:0.487:0.487) (0.475:0.475:0.475)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.363:0.363:0.363)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.206)) - (HOLD (posedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (HOLD (negedge D) (posedge CLK) (-0.052:-0.052:-0.052)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) - (SETUP (negedge D) (posedge CLK) (0.121:0.121:0.121)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6567_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.471:0.471:0.471) (0.465:0.465:0.465)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.363:0.363:0.363)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.206)) - (HOLD (posedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (HOLD (negedge D) (posedge CLK) (-0.056:-0.056:-0.056)) - (SETUP (posedge D) (posedge CLK) (0.071:0.071:0.071)) - (SETUP (negedge D) (posedge CLK) (0.126:0.126:0.126)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6568_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.355:0.355:0.355) (0.386:0.386:0.386)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.363:0.363:0.363)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.206)) - (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032)) - (HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057)) - (SETUP (negedge D) (posedge CLK) (0.111:0.111:0.111)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6569_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.496:0.496:0.496) (0.477:0.477:0.477)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.363:0.363:0.363)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.206)) - (HOLD (posedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (HOLD (negedge D) (posedge CLK) (-0.057:-0.057:-0.057)) - (SETUP (posedge D) (posedge CLK) (0.072:0.072:0.072)) - (SETUP (negedge D) (posedge CLK) (0.127:0.127:0.127)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6570_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.431:0.431:0.431) (0.436:0.436:0.436)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.363:0.363:0.363)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.206)) - (HOLD (posedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (HOLD (negedge D) (posedge CLK) (-0.053:-0.053:-0.053)) - (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068)) - (SETUP (negedge D) (posedge CLK) (0.123:0.123:0.123)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6571_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.417:0.417:0.417) (0.426:0.426:0.426)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.191:-0.191:-0.191)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6572_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.398:0.398:0.398) (0.416:0.416:0.416)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.372:0.372:0.372)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.192:-0.192:-0.192)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6573_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.405:0.405:0.405) (0.421:0.421:0.421)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.202:-0.202:-0.202)) - (HOLD (posedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (HOLD (negedge D) (posedge CLK) (-0.061:-0.061:-0.061)) - (SETUP (posedge D) (posedge CLK) (0.077:0.077:0.077)) - (SETUP (negedge D) (posedge CLK) (0.131:0.131:0.131)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6574_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.372:0.372:0.372) (0.397:0.397:0.397)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.199:-0.199:-0.199)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6575_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.382:0.382:0.382) (0.404:0.404:0.404)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.199:-0.199:-0.199)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060)) - (SETUP (negedge D) (posedge CLK) (0.115:0.115:0.115)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6576_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.478:0.478:0.478) (0.487:0.487:0.487)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6577_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.370:0.370:0.370) (0.396:0.396:0.396)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.199:-0.199:-0.199)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060)) - (SETUP (negedge D) (posedge CLK) (0.115:0.115:0.115)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6578_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.342:0.342:0.342) (0.373:0.373:0.373)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.188:-0.188:-0.188)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.054:-0.054:-0.054)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.123:0.123:0.123)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6579_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.347:0.347:0.347) (0.376:0.376:0.376)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.188:-0.188:-0.188)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6580_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.328:0.328:0.328) (0.364:0.364:0.364)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.193:-0.193:-0.193)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6581_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.323:0.323:0.323) (0.360:0.360:0.360)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.193:-0.193:-0.193)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059)) - (SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6582_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.329:0.329:0.329) (0.365:0.365:0.365)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.193:-0.193:-0.193)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6583_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.338:0.338:0.338) (0.372:0.372:0.372)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.193:-0.193:-0.193)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6584_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.327:0.327:0.327) (0.363:0.363:0.363)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.201:-0.201:-0.201)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6585_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.365:0.365:0.365) (0.394:0.394:0.394)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.201:-0.201:-0.201)) - (HOLD (posedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (HOLD (negedge D) (posedge CLK) (-0.061:-0.061:-0.061)) - (SETUP (posedge D) (posedge CLK) (0.076:0.076:0.076)) - (SETUP (negedge D) (posedge CLK) (0.131:0.131:0.131)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6586_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.379:0.379:0.379) (0.406:0.406:0.406)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.367:0.367:0.367)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.206)) - (HOLD (posedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (HOLD (negedge D) (posedge CLK) (-0.059:-0.059:-0.059)) - (SETUP (posedge D) (posedge CLK) (0.075:0.075:0.075)) - (SETUP (negedge D) (posedge CLK) (0.129:0.129:0.129)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6587_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.378:0.378:0.378) (0.405:0.405:0.405)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.367:0.367:0.367)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.206)) - (HOLD (posedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (HOLD (negedge D) (posedge CLK) (-0.061:-0.061:-0.061)) - (SETUP (posedge D) (posedge CLK) (0.078:0.078:0.078)) - (SETUP (negedge D) (posedge CLK) (0.131:0.131:0.131)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6588_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.341:0.341:0.341) (0.375:0.375:0.375)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.367:0.367:0.367)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.202:-0.202:-0.202)) - (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058)) - (SETUP (negedge D) (posedge CLK) (0.112:0.112:0.112)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6589_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.330:0.330:0.330) (0.366:0.366:0.366)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.377:0.377:0.377)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.186:-0.186:-0.186)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6590_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.358:0.358:0.358) (0.389:0.389:0.389)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.369:0.369:0.369)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.196:-0.196:-0.196)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060)) - (SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6591_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.317:0.317:0.317) (0.355:0.355:0.355)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.366:0.366:0.366)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.192:-0.192:-0.192)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060)) - (SETUP (negedge D) (posedge CLK) (0.115:0.115:0.115)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6592_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.332:0.332:0.332) (0.368:0.368:0.368)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.369:0.369:0.369)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.196:-0.196:-0.196)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.115:0.115:0.115)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6593_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.328:0.328:0.328) (0.363:0.363:0.363)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.368:0.368:0.368)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.186:-0.186:-0.186)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6594_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.336:0.336:0.336) (0.372:0.372:0.372)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.367:0.367:0.367)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.202:-0.202:-0.202)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059)) - (SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6595_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.321:0.321:0.321) (0.358:0.358:0.358)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.366:0.366:0.366)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.192:-0.192:-0.192)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6596_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.490:0.490:0.490) (0.497:0.497:0.497)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.193:-0.193:-0.193)) - (HOLD (posedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.073:0.073:0.073)) - (SETUP (negedge D) (posedge CLK) (0.125:0.125:0.125)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6597_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.455:0.455:0.455) (0.451:0.451:0.451)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.357:0.357:0.357)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.204:-0.204:-0.204)) - (HOLD (posedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (HOLD (negedge D) (posedge CLK) (-0.058:-0.058:-0.058)) - (SETUP (posedge D) (posedge CLK) (0.073:0.073:0.073)) - (SETUP (negedge D) (posedge CLK) (0.128:0.128:0.128)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6598_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.487:0.487:0.487) (0.495:0.495:0.495)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.193:-0.193:-0.193)) - (HOLD (posedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (HOLD (negedge D) (posedge CLK) (-0.053:-0.053:-0.053)) - (SETUP (posedge D) (posedge CLK) (0.076:0.076:0.076)) - (SETUP (negedge D) (posedge CLK) (0.127:0.127:0.127)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6599_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.687:0.687:0.687) (0.452:0.452:0.452)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.154:0.154:0.154)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.133:-0.133:-0.133)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.011:-0.011:-0.011)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.076:0.076:0.076)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6600_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.701:0.701:0.701) (0.458:0.458:0.458)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.154:0.154:0.154)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.133:-0.133:-0.133)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.007:-0.007:-0.007)) - (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056)) - (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.071)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6601_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.515:0.515:0.515) (0.560:0.560:0.560)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.372:0.372:0.372)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.173:-0.173:-0.173)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6602_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.472:0.472:0.472) (0.486:0.486:0.486)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.371:0.371:0.371)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.179:-0.179:-0.179)) - (HOLD (posedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (HOLD (negedge D) (posedge CLK) (-0.053:-0.053:-0.053)) - (SETUP (posedge D) (posedge CLK) (0.076:0.076:0.076)) - (SETUP (negedge D) (posedge CLK) (0.127:0.127:0.127)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6603_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.526:0.526:0.526) (0.495:0.495:0.495)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.372:0.372:0.372)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.197:-0.197:-0.197)) - (HOLD (posedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (HOLD (negedge D) (posedge CLK) (-0.057:-0.057:-0.057)) - (SETUP (posedge D) (posedge CLK) (0.072:0.072:0.072)) - (SETUP (negedge D) (posedge CLK) (0.127:0.127:0.127)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6604_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.793:0.793:0.793) (0.503:0.503:0.503)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.149:0.149:0.149)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.013)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.078:0.078:0.078)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6605_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.734:0.734:0.734) (0.472:0.472:0.472)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.152:0.152:0.152)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.131:-0.131:-0.131)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.010:-0.010:-0.010)) - (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059)) - (SETUP (negedge D) (posedge CLK) (0.074:0.074:0.074)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6606_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.517:0.517:0.517) (0.559:0.559:0.559)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.357:0.357:0.357)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.175:-0.175:-0.175)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6607_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.555:0.555:0.555) (0.584:0.584:0.584)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.180:-0.180:-0.180)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6608_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.675:0.675:0.675) (0.444:0.444:0.444)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.150:0.150:0.150)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.129:-0.129:-0.129)) - (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032)) - (HOLD (negedge D) (posedge CLK) (-0.007:-0.007:-0.007)) - (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055)) - (SETUP (negedge D) (posedge CLK) (0.070:0.070:0.070)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6609_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.509:0.509:0.509) (0.555:0.555:0.555)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.180:-0.180:-0.180)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6610_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.451:0.451:0.451) (0.470:0.470:0.470)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6611_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.479:0.479:0.479) (0.491:0.491:0.491)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.367:0.367:0.367)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.115:0.115:0.115)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6612_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.416:0.416:0.416) (0.426:0.426:0.426)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.367:0.367:0.367)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.202:-0.202:-0.202)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6613_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.528:0.528:0.528) (0.569:0.569:0.569)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.368:0.368:0.368)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.174:-0.174:-0.174)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6614_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.714:0.714:0.714) (0.464:0.464:0.464)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.151:0.151:0.151)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.129:-0.129:-0.129)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.010:-0.010:-0.010)) - (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059)) - (SETUP (negedge D) (posedge CLK) (0.074:0.074:0.074)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6615_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.468:0.468:0.468) (0.482:0.482:0.482)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.187:-0.187:-0.187)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6616_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.539:0.539:0.539) (0.570:0.570:0.570)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.355:0.355:0.355)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.170:-0.170:-0.170)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6617_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.563:0.563:0.563) (0.581:0.581:0.581)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.355:0.355:0.355)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.170:-0.170:-0.170)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6618_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.672:0.672:0.672) (0.442:0.442:0.442)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.149:0.149:0.149)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033)) - (HOLD (negedge D) (posedge CLK) (-0.008:-0.008:-0.008)) - (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056)) - (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6619_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.427:0.427:0.427) (0.452:0.452:0.452)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.180:-0.180:-0.180)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6620_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.490:0.490:0.490) (0.541:0.541:0.541)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.180:-0.180:-0.180)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6621_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.487:0.487:0.487) (0.536:0.536:0.536)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.171:-0.171:-0.171)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6622_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.508:0.508:0.508) (0.553:0.553:0.553)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.171:-0.171:-0.171)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6623_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.609:0.609:0.609) (0.412:0.412:0.412)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.143:0.143:0.143)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.124:-0.124:-0.124)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.016:-0.016:-0.016)) - (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057)) - (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6624_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.446:0.446:0.446) (0.465:0.465:0.465)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.177:-0.177:-0.177)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.069:0.069:0.069)) - (SETUP (negedge D) (posedge CLK) (0.123:0.123:0.123)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6625_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.452:0.452:0.452) (0.468:0.468:0.468)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.177:-0.177:-0.177)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.069:0.069:0.069)) - (SETUP (negedge D) (posedge CLK) (0.123:0.123:0.123)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _6626_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.468:0.468:0.468) (0.391:0.391:0.391)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033)) - (HOLD (negedge D) (posedge CLK) (-0.057:-0.057:-0.057)) - (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056)) - (SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _6627_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.411:0.411:0.411) (0.356:0.356:0.356)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.028)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.049:0.050:0.050)) - (SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _6628_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.454:0.454:0.454) (0.383:0.383:0.383)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.028)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.049:0.050:0.050)) - (SETUP (negedge D) (posedge CLK) (0.107:0.107:0.107)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _6629_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.403:0.403:0.403) (0.351:0.351:0.351)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.028)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.049:0.050:0.050)) - (SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _6630_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.487:0.487:0.487) (0.401:0.401:0.401)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.025:-0.026:-0.027)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.048:0.048:0.049)) - (SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _6631_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.387:0.387:0.387) (0.343:0.343:0.343)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.026:-0.027:-0.028)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.048:0.049:0.050)) - (SETUP (negedge D) (posedge CLK) (0.107:0.107:0.107)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _6632_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.378:0.378:0.378) (0.338:0.338:0.338)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.026:-0.027:-0.028)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.048:0.049:0.050)) - (SETUP (negedge D) (posedge CLK) (0.107:0.107:0.107)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _6633_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.430:0.430:0.430) (0.366:0.366:0.366)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.030)) - (HOLD (negedge D) (posedge CLK) (-0.053:-0.054:-0.054)) - (SETUP (posedge D) (posedge CLK) (0.051:0.052:0.053)) - (SETUP (negedge D) (posedge CLK) (0.111:0.111:0.111)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6634_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.552:0.552:0.552) (0.584:0.584:0.584)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.186:-0.186:-0.186)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.069:0.069:0.069)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6635_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.421:0.421:0.421) (0.428:0.428:0.428)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.193:-0.193:-0.193)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6636_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.707:0.707:0.707) (0.463:0.463:0.463)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.154:0.154:0.154)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.133:-0.133:-0.133)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.008:-0.008:-0.008)) - (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057)) - (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6637_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.508:0.508:0.508) (0.555:0.555:0.555)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.186:-0.186:-0.186)) - (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033)) - (HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.111:0.111:0.111)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6638_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.472:0.472:0.472) (0.484:0.484:0.484)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.358)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.184:-0.184:-0.184)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _6639_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.436:0.436:0.436) (0.374:0.374:0.374)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.026:-0.027:-0.027)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.048:0.049:0.049)) - (SETUP (negedge D) (posedge CLK) (0.106:0.106:0.106)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _6640_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.437:0.437:0.437) (0.375:0.375:0.375)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.026)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.047:0.047:0.048)) - (SETUP (negedge D) (posedge CLK) (0.104:0.104:0.105)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _6641_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.429:0.429:0.429) (0.370:0.370:0.370)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.024:-0.025:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.046:0.047:0.047)) - (SETUP (negedge D) (posedge CLK) (0.103:0.104:0.104)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _6642_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.457:0.457:0.457) (0.385:0.385:0.385)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.029)) - (HOLD (negedge D) (posedge CLK) (-0.052:-0.052:-0.052)) - (SETUP (posedge D) (posedge CLK) (0.051:0.051:0.052)) - (SETUP (negedge D) (posedge CLK) (0.109:0.109:0.109)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _6643_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.403:0.403:0.403) (0.356:0.356:0.356)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.022:-0.023:-0.023)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.044:0.045:0.045)) - (SETUP (negedge D) (posedge CLK) (0.100:0.101:0.101)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _6644_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.401:0.401:0.401) (0.355:0.355:0.355)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.025:-0.026:-0.027)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.048:0.048:0.049)) - (SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _6645_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.395:0.395:0.395) (0.347:0.347:0.347)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.029)) - (HOLD (negedge D) (posedge CLK) (-0.053:-0.053:-0.053)) - (SETUP (posedge D) (posedge CLK) (0.050:0.051:0.052)) - (SETUP (negedge D) (posedge CLK) (0.110:0.110:0.110)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _6646_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.383:0.383:0.383) (0.341:0.341:0.341)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.026:-0.027:-0.028)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.048:0.050:0.051)) - (SETUP (negedge D) (posedge CLK) (0.107:0.107:0.108)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _6647_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.418:0.418:0.418) (0.371:0.371:0.371)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.017:-0.017:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.034:-0.035:-0.035)) - (SETUP (posedge D) (posedge CLK) (0.038:0.039:0.040)) - (SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _6648_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.439:0.439:0.439) (0.382:0.382:0.382)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.018:-0.019:-0.019)) - (HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (SETUP (posedge D) (posedge CLK) (0.040:0.041:0.041)) - (SETUP (negedge D) (posedge CLK) (0.093:0.093:0.094)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _6649_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.425:0.425:0.425) (0.374:0.374:0.374)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.020:-0.021:-0.021)) - (HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (SETUP (posedge D) (posedge CLK) (0.042:0.042:0.043)) - (SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _6650_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.445:0.445:0.445) (0.385:0.385:0.385)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.017:-0.017:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.035)) - (SETUP (posedge D) (posedge CLK) (0.038:0.039:0.040)) - (SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _6651_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.472:0.472:0.472) (0.393:0.393:0.393)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.022:-0.023:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.044:0.045:0.046)) - (SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _6652_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.424:0.424:0.424) (0.367:0.367:0.367)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.023:-0.024:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.045:0.046:0.047)) - (SETUP (negedge D) (posedge CLK) (0.101:0.102:0.102)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _6653_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.431:0.431:0.431) (0.371:0.371:0.371)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.046:0.047:0.048)) - (SETUP (negedge D) (posedge CLK) (0.102:0.103:0.103)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _6654_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.439:0.439:0.439) (0.376:0.376:0.376)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.046:0.047:0.048)) - (SETUP (negedge D) (posedge CLK) (0.102:0.103:0.103)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6655_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.519:0.519:0.519) (0.563:0.563:0.563)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.368:0.368:0.368)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.174:-0.174:-0.174)) - (HOLD (posedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.071:0.071:0.071)) - (SETUP (negedge D) (posedge CLK) (0.122:0.122:0.122)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6656_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.522:0.522:0.522) (0.565:0.565:0.565)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.367:0.367:0.367)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.172:-0.172:-0.172)) - (HOLD (posedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (HOLD (negedge D) (posedge CLK) (-0.055:-0.055:-0.055)) - (SETUP (posedge D) (posedge CLK) (0.077:0.077:0.077)) - (SETUP (negedge D) (posedge CLK) (0.128:0.128:0.128)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6657_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.643:0.643:0.643) (0.434:0.434:0.434)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.147:0.147:0.147)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.013)) - (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055)) - (SETUP (negedge D) (posedge CLK) (0.069:0.069:0.069)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6658_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.480:0.480:0.480) (0.465:0.465:0.465)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.402:0.402:0.402)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.160:-0.160:-0.160)) - (HOLD (posedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (HOLD (negedge D) (posedge CLK) (-0.053:-0.053:-0.053)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.122:0.122:0.122)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6659_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.484:0.484:0.484) (0.469:0.469:0.469)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.200:-0.200:-0.200)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6660_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.510:0.510:0.510) (0.557:0.557:0.557)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.363:0.363:0.363)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.184:-0.184:-0.184)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6661_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.476:0.476:0.476) (0.489:0.489:0.489)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.363:0.363:0.363)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.189:-0.189:-0.189)) - (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033)) - (HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_4") - (INSTANCE _6662_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.757:0.757:0.757) (0.556:0.556:0.556)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.150:0.150:0.150)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.131:-0.131:-0.131)) - (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033)) - (HOLD (negedge D) (posedge CLK) (-0.006:-0.006:-0.006)) - (SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053)) - (SETUP (negedge D) (posedge CLK) (0.065:0.065:0.065)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6663_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.559:0.559:0.559) (0.587:0.587:0.587)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.363:0.363:0.363)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.184:-0.184:-0.184)) - (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033)) - (HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.111:0.111:0.111)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6664_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.540:0.540:0.540) (0.576:0.576:0.576)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.367:0.367:0.367)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.180:-0.180:-0.180)) - (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033)) - (HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.112:0.112:0.112)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6665_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.494:0.494:0.494) (0.544:0.544:0.544)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.177:-0.177:-0.177)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6666_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.483:0.483:0.483) (0.469:0.469:0.469)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.199:-0.199:-0.199)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6667_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.696:0.696:0.696) (0.452:0.452:0.452)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.145:0.145:0.145)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.123:-0.123:-0.123)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.009:-0.009:-0.009)) - (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056)) - (SETUP (negedge D) (posedge CLK) (0.073:0.073:0.073)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6668_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.453:0.453:0.453) (0.472:0.472:0.472)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.358)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.184:-0.184:-0.184)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6669_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.448:0.448:0.448) (0.467:0.467:0.467)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.358)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.184:-0.184:-0.184)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6670_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.522:0.522:0.522) (0.563:0.563:0.563)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.172:-0.172:-0.172)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6671_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.528:0.528:0.528) (0.571:0.571:0.571)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.186:-0.186:-0.186)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6672_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.611:0.611:0.611) (0.415:0.415:0.415)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.143:0.143:0.143)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.125:-0.125:-0.125)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.016:-0.016:-0.016)) - (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057)) - (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6673_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.403:0.403:0.403) (0.419:0.419:0.419)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.369:0.369:0.369)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.203:-0.203:-0.203)) - (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058)) - (SETUP (negedge D) (posedge CLK) (0.112:0.112:0.112)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6674_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.436:0.436:0.436) (0.462:0.462:0.462)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.368:0.368:0.368)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.187:-0.187:-0.187)) - (HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031)) - (HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060)) - (SETUP (negedge D) (posedge CLK) (0.111:0.111:0.111)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6675_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.512:0.512:0.512) (0.556:0.556:0.556)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.172:-0.172:-0.172)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6676_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.521:0.521:0.521) (0.562:0.562:0.562)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.172:-0.172:-0.172)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6677_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.725:0.725:0.725) (0.464:0.464:0.464)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.145:0.145:0.145)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.123:-0.123:-0.123)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.011:-0.011:-0.011)) - (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057)) - (SETUP (negedge D) (posedge CLK) (0.074:0.074:0.074)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6678_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.490:0.490:0.490) (0.540:0.540:0.540)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.172:-0.172:-0.172)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6679_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.441:0.441:0.441) (0.461:0.461:0.461)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.177:-0.177:-0.177)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6680_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.452:0.452:0.452) (0.516:0.516:0.516)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.386:0.386:0.386)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.201:-0.201:-0.201)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.022:-0.023)) - (HOLD (negedge D) (posedge CLK) (-0.026:-0.027:-0.028)) - (SETUP (posedge D) (posedge CLK) (0.049:0.049:0.050)) - (SETUP (negedge D) (posedge CLK) (0.098:0.099:0.100)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6681_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.508:0.508:0.508) (0.553:0.553:0.553)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.172:-0.172:-0.172)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6682_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.530:0.530:0.530) (0.567:0.567:0.567)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.172:-0.172:-0.172)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6683_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.701:0.701:0.701) (0.463:0.463:0.463)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.143:0.143:0.143)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.125:-0.125:-0.125)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.015:-0.015:-0.015)) - (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056)) - (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.071)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6684_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.466:0.466:0.466) (0.457:0.457:0.457)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.402:0.402:0.402)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.160:-0.160:-0.160)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6685_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.433:0.433:0.433) (0.457:0.457:0.457)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.179:-0.179:-0.179)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6686_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.474:0.474:0.474) (0.488:0.488:0.488)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.191:-0.191:-0.191)) - (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032)) - (HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.111:0.111:0.111)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6687_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.550:0.550:0.550) (0.583:0.583:0.583)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.186:-0.186:-0.186)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6688_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.722:0.722:0.722) (0.470:0.470:0.470)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.152:0.152:0.152)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.131:-0.131:-0.131)) - (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033)) - (HOLD (negedge D) (posedge CLK) (-0.006:-0.006:-0.006)) - (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055)) - (SETUP (negedge D) (posedge CLK) (0.070:0.070:0.070)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6689_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.436:0.436:0.436) (0.441:0.441:0.441)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.207:-0.207:-0.207)) - (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033)) - (HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057)) - (SETUP (negedge D) (posedge CLK) (0.111:0.111:0.111)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6690_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.452:0.452:0.452) (0.452:0.452:0.452)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.368:0.368:0.368)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.203:-0.203:-0.203)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059)) - (SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6691_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.546:0.546:0.546) (0.393:0.393:0.393)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.169:0.169:0.169)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.151:-0.151:-0.151)) - (HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026)) - (HOLD (negedge D) (posedge CLK) (0.004:0.004:0.004)) - (SETUP (posedge D) (posedge CLK) (0.045:0.045:0.045)) - (SETUP (negedge D) (posedge CLK) (0.052:0.052:0.052)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6692_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.473:0.473:0.473) (0.473:0.473:0.473)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.386:0.386:0.386)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.223:-0.223:-0.223)) - (HOLD (posedge D) (posedge CLK) (-0.023:-0.023:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.020:-0.020:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.047:0.047:0.048)) - (SETUP (negedge D) (posedge CLK) (0.088:0.089:0.089)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6693_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.394:0.394:0.394) (0.421:0.421:0.421)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.386:0.386:0.386)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.223:-0.223:-0.223)) - (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048)) - (SETUP (negedge D) (posedge CLK) (0.089:0.089:0.090)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6694_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.396:0.396:0.396) (0.422:0.422:0.422)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.386:0.386:0.386)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.223:-0.223:-0.223)) - (HOLD (posedge D) (posedge CLK) (-0.023:-0.023:-0.023)) - (HOLD (negedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047)) - (SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6695_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.394:0.394:0.394) (0.421:0.421:0.421)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.386:0.386:0.386)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.223:-0.223:-0.223)) - (HOLD (posedge D) (posedge CLK) (-0.023:-0.023:-0.023)) - (HOLD (negedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047)) - (SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6696_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.522:0.522:0.522) (0.570:0.570:0.570)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.386:0.386:0.386)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.201:-0.201:-0.201)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.022)) - (HOLD (negedge D) (posedge CLK) (-0.017:-0.017:-0.018)) - (SETUP (posedge D) (posedge CLK) (0.048:0.049:0.049)) - (SETUP (negedge D) (posedge CLK) (0.089:0.089:0.090)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6697_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.432:0.432:0.432) (0.446:0.446:0.446)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.386:0.386:0.386)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.223:-0.223:-0.223)) - (HOLD (posedge D) (posedge CLK) (-0.023:-0.023:-0.023)) - (HOLD (negedge D) (posedge CLK) (-0.027:-0.027:-0.027)) - (SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047)) - (SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6698_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.578:0.578:0.578) (0.607:0.607:0.607)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.386:0.386:0.386)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.201:-0.201:-0.201)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.014:-0.015:-0.015)) - (SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047)) - (SETUP (negedge D) (posedge CLK) (0.086:0.086:0.087)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6699_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.583:0.583:0.583) (0.609:0.609:0.609)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.386:0.386:0.386)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.201:-0.201:-0.201)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.022:-0.022)) - (HOLD (negedge D) (posedge CLK) (-0.016:-0.017:-0.017)) - (SETUP (posedge D) (posedge CLK) (0.049:0.049:0.050)) - (SETUP (negedge D) (posedge CLK) (0.088:0.089:0.089)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6700_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.563:0.563:0.563) (0.598:0.598:0.598)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.386:0.386:0.386)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.201:-0.201:-0.201)) - (HOLD (posedge D) (posedge CLK) (-0.019:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.014:-0.014:-0.015)) - (SETUP (posedge D) (posedge CLK) (0.046:0.047:0.047)) - (SETUP (negedge D) (posedge CLK) (0.086:0.086:0.087)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6701_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.504:0.504:0.504) (0.551:0.551:0.551)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.367:0.367:0.367)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.173:-0.173:-0.173)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6702_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.441:0.441:0.441) (0.442:0.442:0.442)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.367:0.367:0.367)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.196:-0.196:-0.196)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6703_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.626:0.626:0.626) (0.425:0.425:0.425)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.140:0.140:0.140)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.121:-0.121:-0.121)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.016:-0.016:-0.016)) - (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058)) - (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6704_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.468:0.468:0.468) (0.483:0.483:0.483)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.183:-0.183:-0.183)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068)) - (SETUP (negedge D) (posedge CLK) (0.121:0.121:0.121)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6705_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.419:0.419:0.419) (0.426:0.426:0.426)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.379:0.379:0.379)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.182:-0.182:-0.182)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6706_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.531:0.531:0.531) (0.571:0.571:0.571)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.177:-0.177:-0.177)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6707_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.433:0.433:0.433) (0.458:0.458:0.458)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.182:-0.182:-0.182)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_4") - (INSTANCE _6708_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.773:0.773:0.773) (0.564:0.564:0.564)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.149:0.149:0.149)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.131:-0.131:-0.131)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.009:-0.009:-0.009)) - (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055)) - (SETUP (negedge D) (posedge CLK) (0.069:0.069:0.069)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6709_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.518:0.518:0.518) (0.561:0.561:0.561)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.174:-0.174:-0.174)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6710_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.461:0.461:0.461) (0.478:0.478:0.478)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.191:-0.191:-0.191)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6711_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.549:0.549:0.549) (0.580:0.580:0.580)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.180:-0.180:-0.180)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6712_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.492:0.492:0.492) (0.542:0.542:0.542)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.180:-0.180:-0.180)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6713_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.698:0.698:0.698) (0.454:0.454:0.454)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.149:0.149:0.149)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.011:-0.011:-0.011)) - (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059)) - (SETUP (negedge D) (posedge CLK) (0.075:0.075:0.075)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6714_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.548:0.548:0.548) (0.580:0.580:0.580)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.180:-0.180:-0.180)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6715_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.452:0.452:0.452) (0.471:0.471:0.471)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6716_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.391:0.391:0.391) (0.409:0.409:0.409)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.192:-0.192:-0.192)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.052:-0.052:-0.052)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.121:0.121:0.121)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6717_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.449:0.449:0.449) (0.446:0.446:0.446)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.363:0.363:0.363)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.191:-0.191:-0.191)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6718_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.467:0.467:0.467) (0.480:0.480:0.480)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.175:-0.175:-0.175)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068)) - (SETUP (negedge D) (posedge CLK) (0.122:0.122:0.122)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6719_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.661:0.661:0.661) (0.443:0.443:0.443)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.143:0.143:0.143)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.124:-0.124:-0.124)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.016:-0.016:-0.016)) - (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057)) - (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6720_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.552:0.552:0.552) (0.382:0.382:0.382)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.142:0.142:0.142)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.123:-0.123:-0.123)) - (HOLD (posedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (HOLD (negedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.077:0.077:0.077)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6721_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.548:0.548:0.548) (0.580:0.580:0.580)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.180:-0.180:-0.180)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6722_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.539:0.539:0.539) (0.574:0.574:0.574)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.180:-0.180:-0.180)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6723_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.707:0.707:0.707) (0.457:0.457:0.457)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.150:0.150:0.150)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.129:-0.129:-0.129)) - (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032)) - (HOLD (negedge D) (posedge CLK) (-0.007:-0.007:-0.007)) - (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055)) - (SETUP (negedge D) (posedge CLK) (0.070:0.070:0.070)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6724_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.515:0.515:0.515) (0.559:0.559:0.559)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6725_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.441:0.441:0.441) (0.464:0.464:0.464)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.358)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.190:-0.190:-0.190)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6726_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.423:0.423:0.423) (0.431:0.431:0.431)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.199:-0.199:-0.199)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6727_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.417:0.417:0.417) (0.427:0.427:0.427)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.199:-0.199:-0.199)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6728_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.439:0.439:0.439) (0.462:0.462:0.462)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.183:-0.183:-0.183)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6729_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.629:0.629:0.629) (0.425:0.425:0.425)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.144:0.144:0.144)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.126:-0.126:-0.126)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.016:-0.016:-0.016)) - (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057)) - (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.071)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6730_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.594:0.594:0.594) (0.406:0.406:0.406)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.144:0.144:0.144)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.126:-0.126:-0.126)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.017:-0.017:-0.017)) - (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059)) - (SETUP (negedge D) (posedge CLK) (0.073:0.073:0.073)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6731_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.446:0.446:0.446) (0.467:0.467:0.467)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.357:0.357:0.357)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.189:-0.189:-0.189)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6732_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.454:0.454:0.454) (0.472:0.472:0.472)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.357:0.357:0.357)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.189:-0.189:-0.189)) - (HOLD (posedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.070:0.070:0.070)) - (SETUP (negedge D) (posedge CLK) (0.123:0.123:0.123)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6733_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.658:0.658:0.658) (0.436:0.436:0.436)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.150:0.150:0.150)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.129:-0.129:-0.129)) - (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033)) - (HOLD (negedge D) (posedge CLK) (-0.008:-0.008:-0.008)) - (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055)) - (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.071)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6734_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.499:0.499:0.499) (0.547:0.547:0.547)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.357:0.357:0.357)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.184:-0.184:-0.184)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6735_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.518:0.518:0.518) (0.562:0.562:0.562)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.188:-0.188:-0.188)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6736_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.542:0.542:0.542) (0.574:0.574:0.574)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.355:0.355:0.355)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.180:-0.180:-0.180)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6737_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.505:0.505:0.505) (0.551:0.551:0.551)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.355:0.355:0.355)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.180:-0.180:-0.180)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6738_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.716:0.716:0.716) (0.461:0.461:0.461)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.147:0.147:0.147)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.126:-0.126:-0.126)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.011:-0.011:-0.011)) - (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057)) - (SETUP (negedge D) (posedge CLK) (0.074:0.074:0.074)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6739_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.476:0.476:0.476) (0.487:0.487:0.487)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.358)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.189:-0.189:-0.189)) - (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033)) - (HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6740_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.452:0.452:0.452) (0.470:0.470:0.470)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.354:0.354:0.354)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6741_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.522:0.522:0.522) (0.565:0.565:0.565)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.367:0.367:0.367)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.172:-0.172:-0.172)) - (HOLD (posedge D) (posedge CLK) (-0.056:-0.056:-0.056)) - (HOLD (negedge D) (posedge CLK) (-0.063:-0.063:-0.063)) - (SETUP (posedge D) (posedge CLK) (0.087:0.087:0.087)) - (SETUP (negedge D) (posedge CLK) (0.137:0.137:0.137)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6742_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.577:0.577:0.577) (0.599:0.599:0.599)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.374:0.374:0.374)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.175:-0.175:-0.175)) - (HOLD (posedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (HOLD (negedge D) (posedge CLK) (-0.056:-0.056:-0.056)) - (SETUP (posedge D) (posedge CLK) (0.080:0.080:0.080)) - (SETUP (negedge D) (posedge CLK) (0.129:0.129:0.129)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6743_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.772:0.772:0.772) (0.494:0.494:0.494)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.151:0.151:0.151)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.129:-0.129:-0.129)) - (HOLD (posedge D) (posedge CLK) (-0.056:-0.056:-0.056)) - (HOLD (negedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (SETUP (posedge D) (posedge CLK) (0.080:0.080:0.080)) - (SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6744_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.524:0.524:0.524) (0.566:0.566:0.566)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.367:0.367:0.367)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.172:-0.172:-0.172)) - (HOLD (posedge D) (posedge CLK) (-0.063:-0.063:-0.063)) - (HOLD (negedge D) (posedge CLK) (-0.071:-0.071:-0.071)) - (SETUP (posedge D) (posedge CLK) (0.095:0.095:0.095)) - (SETUP (negedge D) (posedge CLK) (0.145:0.145:0.145)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6745_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.524:0.524:0.524) (0.565:0.565:0.565)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.181:-0.181:-0.181)) - (HOLD (posedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (HOLD (negedge D) (posedge CLK) (-0.057:-0.057:-0.057)) - (SETUP (posedge D) (posedge CLK) (0.079:0.079:0.079)) - (SETUP (negedge D) (posedge CLK) (0.130:0.130:0.130)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6746_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.526:0.526:0.526) (0.565:0.565:0.565)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.355:0.355:0.355)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.180:-0.180:-0.180)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6747_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.506:0.506:0.506) (0.552:0.552:0.552)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.180:-0.180:-0.180)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6748_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.754:0.754:0.754) (0.483:0.483:0.483)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.149:0.149:0.149)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.009:-0.009:-0.009)) - (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056)) - (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6749_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.467:0.467:0.467) (0.481:0.481:0.481)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6750_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.461:0.461:0.461) (0.455:0.455:0.455)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.201:-0.201:-0.201)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6751_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.446:0.446:0.446) (0.468:0.468:0.468)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.193:-0.193:-0.193)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6752_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.450:0.450:0.450) (0.469:0.469:0.469)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.378:0.378:0.378)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.163:-0.163:-0.163)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6753_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.685:0.685:0.685) (0.490:0.490:0.490)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.202:0.202:0.202)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.184:-0.184:-0.184)) - (HOLD (posedge D) (posedge CLK) (-0.009:-0.009:-0.009)) - (HOLD (negedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (posedge D) (posedge CLK) (0.025:0.025:0.025)) - (SETUP (negedge D) (posedge CLK) (0.021:0.021:0.021)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6754_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.452:0.452:0.452) (0.448:0.448:0.448)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.379:0.379:0.379)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.182:-0.182:-0.182)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6755_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.470:0.470:0.470) (0.460:0.460:0.460)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.379:0.379:0.379)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.182:-0.182:-0.182)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6756_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.404:0.404:0.404) (0.419:0.419:0.419)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.371:0.371:0.371)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.200:-0.200:-0.200)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6757_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.418:0.418:0.418) (0.429:0.429:0.429)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.371:0.371:0.371)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.200:-0.200:-0.200)) - (HOLD (posedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (HOLD (negedge D) (posedge CLK) (-0.055:-0.055:-0.055)) - (SETUP (posedge D) (posedge CLK) (0.070:0.070:0.070)) - (SETUP (negedge D) (posedge CLK) (0.124:0.124:0.124)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6758_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.584:0.584:0.584) (0.401:0.401:0.401)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.144:0.144:0.144)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.125:-0.125:-0.125)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.014:-0.014:-0.014)) - (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056)) - (SETUP (negedge D) (posedge CLK) (0.070:0.070:0.070)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6759_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.410:0.410:0.410) (0.423:0.423:0.423)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.209:-0.209:-0.209)) - (HOLD (posedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (HOLD (negedge D) (posedge CLK) (-0.054:-0.054:-0.054)) - (SETUP (posedge D) (posedge CLK) (0.069:0.069:0.069)) - (SETUP (negedge D) (posedge CLK) (0.123:0.123:0.123)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6760_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.412:0.412:0.412) (0.423:0.423:0.423)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.370:0.370:0.370)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.191:-0.191:-0.191)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6761_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.449:0.449:0.449) (0.469:0.469:0.469)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.182:-0.182:-0.182)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6762_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.546:0.546:0.546) (0.578:0.578:0.578)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.177:-0.177:-0.177)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.069:0.069:0.069)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_4") - (INSTANCE _6763_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.750:0.750:0.750) (0.552:0.552:0.552)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.147:0.147:0.147)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.011:-0.011:-0.011)) - (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058)) - (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.071)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6764_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.543:0.543:0.543) (0.577:0.577:0.577)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.177:-0.177:-0.177)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6765_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.512:0.512:0.512) (0.556:0.556:0.556)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.375:0.375:0.375)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.154:-0.154:-0.154)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6766_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.453:0.453:0.453) (0.452:0.452:0.452)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.374:0.374:0.374)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.194:-0.194:-0.194)) - (HOLD (posedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (HOLD (negedge D) (posedge CLK) (-0.058:-0.058:-0.058)) - (SETUP (posedge D) (posedge CLK) (0.073:0.073:0.073)) - (SETUP (negedge D) (posedge CLK) (0.128:0.128:0.128)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6767_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.415:0.415:0.415) (0.425:0.425:0.425)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.358)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.202:-0.202:-0.202)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6768_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.643:0.643:0.643) (0.436:0.436:0.436)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.147:0.147:0.147)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.012:-0.012:-0.012)) - (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055)) - (SETUP (negedge D) (posedge CLK) (0.068:0.068:0.068)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6769_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.501:0.501:0.501) (0.550:0.550:0.550)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.363:0.363:0.363)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.187:-0.187:-0.187)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6770_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.461:0.461:0.461) (0.478:0.478:0.478)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.373:0.373:0.373)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.177:-0.177:-0.177)) - (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032)) - (HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.112:0.112:0.112)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6771_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.459:0.459:0.459) (0.477:0.477:0.477)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.381:0.381:0.381)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.168:-0.168:-0.168)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6772_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.477:0.477:0.477) (0.463:0.463:0.463)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.192:-0.192:-0.192)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6773_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.646:0.646:0.646) (0.434:0.434:0.434)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.139:0.139:0.139)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.120:-0.120:-0.120)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059)) - (SETUP (negedge D) (posedge CLK) (0.074:0.074:0.074)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6774_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.541:0.541:0.541) (0.577:0.577:0.577)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6775_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.526:0.526:0.526) (0.565:0.565:0.565)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.376:0.376:0.376)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.155:-0.155:-0.155)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6776_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.430:0.430:0.430) (0.466:0.466:0.466)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.386:0.386:0.386)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.207:-0.207:-0.207)) - (HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.023)) - (HOLD (negedge D) (posedge CLK) (-0.018:-0.021:-0.023)) - (SETUP (posedge D) (posedge CLK) (0.049:0.050:0.050)) - (SETUP (negedge D) (posedge CLK) (0.091:0.094:0.096)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6777_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.437:0.437:0.437) (0.475:0.475:0.475)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.402:0.402:0.402)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226:-0.226:-0.226)) - (HOLD (posedge D) (posedge CLK) (-0.013:-0.013:-0.013)) - (HOLD (negedge D) (posedge CLK) (-0.003:-0.004:-0.005)) - (SETUP (posedge D) (posedge CLK) (0.039:0.039:0.040)) - (SETUP (negedge D) (posedge CLK) (0.076:0.077:0.078)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6778_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.419:0.419:0.419) (0.445:0.445:0.445)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.402:0.402:0.402)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.242:-0.242:-0.242)) - (HOLD (posedge D) (posedge CLK) (-0.014:-0.015:-0.015)) - (HOLD (negedge D) (posedge CLK) (-0.009:-0.011:-0.013)) - (SETUP (posedge D) (posedge CLK) (0.037:0.038:0.038)) - (SETUP (negedge D) (posedge CLK) (0.077:0.080:0.082)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6779_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.443:0.443:0.443) (0.460:0.460:0.460)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.402:0.402:0.402)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.242:-0.242:-0.242)) - (HOLD (posedge D) (posedge CLK) (-0.013:-0.013:-0.014)) - (HOLD (negedge D) (posedge CLK) (-0.027:-0.027:-0.027)) - (SETUP (posedge D) (posedge CLK) (0.036:0.036:0.037)) - (SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6780_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.481:0.481:0.481) (0.486:0.486:0.486)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.402:0.402:0.402)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.242:-0.242:-0.242)) - (HOLD (posedge D) (posedge CLK) (-0.012:-0.012:-0.012)) - (HOLD (negedge D) (posedge CLK) (-0.024:-0.024:-0.025)) - (SETUP (posedge D) (posedge CLK) (0.034:0.035:0.035)) - (SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6781_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.451:0.451:0.451) (0.467:0.467:0.467)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.402:0.402:0.402)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.242:-0.242:-0.242)) - (HOLD (posedge D) (posedge CLK) (-0.012:-0.013:-0.014)) - (HOLD (negedge D) (posedge CLK) (-0.011:-0.011:-0.012)) - (SETUP (posedge D) (posedge CLK) (0.035:0.036:0.036)) - (SETUP (negedge D) (posedge CLK) (0.079:0.080:0.081)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6782_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.462:0.462:0.462) (0.468:0.468:0.468)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.386:0.386:0.386)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224:-0.224:-0.224)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (HOLD (negedge D) (posedge CLK) (-0.032:-0.033:-0.033)) - (SETUP (posedge D) (posedge CLK) (0.044:0.045:0.045)) - (SETUP (negedge D) (posedge CLK) (0.101:0.102:0.102)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _6783_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.431:0.431:0.431) (0.361:0.361:0.361)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.473:0.473:0.473)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.275:-0.275:-0.275)) - (HOLD (posedge D) (negedge CLK_N) (0.051:0.051:0.050)) - (HOLD (negedge D) (negedge CLK_N) (-0.109:-0.109:-0.109)) - (SETUP (posedge D) (negedge CLK_N) (0.004:0.004:0.004)) - (SETUP (negedge D) (negedge CLK_N) (0.185:0.185:0.186)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _6784_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.410:0.410:0.410) (0.345:0.345:0.345)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.482:0.482:0.482)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.267:-0.267:-0.267)) - (HOLD (posedge D) (negedge CLK_N) (0.068:0.068:0.068)) - (HOLD (negedge D) (negedge CLK_N) (-0.095:-0.095:-0.095)) - (SETUP (posedge D) (negedge CLK_N) (-0.019:-0.019:-0.019)) - (SETUP (negedge D) (negedge CLK_N) (0.171:0.171:0.171)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _6785_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.439:0.439:0.439) (0.366:0.366:0.366)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.475:0.475:0.475)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.273:-0.273:-0.273)) - (HOLD (posedge D) (negedge CLK_N) (0.082:0.082:0.082)) - (HOLD (negedge D) (negedge CLK_N) (-0.081:-0.081:-0.081)) - (SETUP (posedge D) (negedge CLK_N) (-0.038:-0.038:-0.037)) - (SETUP (negedge D) (negedge CLK_N) (0.156:0.156:0.156)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _6786_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.384:0.384:0.384) (0.323:0.323:0.323)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.454:0.454:0.454)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.286:-0.286:-0.286)) - (HOLD (posedge D) (negedge CLK_N) (0.067:0.067:0.067)) - (HOLD (negedge D) (negedge CLK_N) (-0.095:-0.095:-0.095)) - (SETUP (posedge D) (negedge CLK_N) (-0.019:-0.019:-0.019)) - (SETUP (negedge D) (negedge CLK_N) (0.170:0.170:0.171)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _6787_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.410:0.410:0.410) (0.343:0.343:0.343)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.442:0.442:0.442)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.298:-0.298:-0.297)) - (HOLD (posedge D) (negedge CLK_N) (0.077:0.077:0.077)) - (HOLD (negedge D) (negedge CLK_N) (-0.087:-0.087:-0.087)) - (SETUP (posedge D) (negedge CLK_N) (-0.032:-0.032:-0.031)) - (SETUP (negedge D) (negedge CLK_N) (0.161:0.162:0.162)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _6788_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.420:0.420:0.420) (0.351:0.351:0.351)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.443:0.444:0.444)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.296:-0.296:-0.296)) - (HOLD (posedge D) (negedge CLK_N) (0.070:0.070:0.070)) - (HOLD (negedge D) (negedge CLK_N) (-0.092:-0.092:-0.092)) - (SETUP (posedge D) (negedge CLK_N) (-0.023:-0.023:-0.023)) - (SETUP (negedge D) (negedge CLK_N) (0.167:0.167:0.167)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _6789_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.411:0.411:0.411) (0.345:0.345:0.345)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.445:0.445:0.445)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.301:-0.301:-0.301)) - (HOLD (posedge D) (negedge CLK_N) (0.069:0.069:0.069)) - (HOLD (negedge D) (negedge CLK_N) (-0.094:-0.094:-0.094)) - (SETUP (posedge D) (negedge CLK_N) (-0.021:-0.021:-0.021)) - (SETUP (negedge D) (negedge CLK_N) (0.169:0.169:0.169)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _6790_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q (0.454:0.454:0.454) (0.376:0.376:0.376)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.469:0.469:0.469)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.279:-0.279:-0.279)) - (HOLD (posedge D) (negedge CLK_N) (0.082:0.082:0.081)) - (HOLD (negedge D) (negedge CLK_N) (-0.082:-0.082:-0.082)) - (SETUP (posedge D) (negedge CLK_N) (-0.037:-0.037:-0.036)) - (SETUP (negedge D) (negedge CLK_N) (0.157:0.157:0.157)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6791_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.510:0.510:0.510) (0.507:0.507:0.507)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.371:0.371:0.371)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.179:-0.179:-0.179)) - (HOLD (posedge D) (posedge CLK) (-0.058:-0.058:-0.058)) - (HOLD (negedge D) (posedge CLK) (-0.065:-0.065:-0.065)) - (SETUP (posedge D) (posedge CLK) (0.090:0.090:0.090)) - (SETUP (negedge D) (posedge CLK) (0.140:0.140:0.140)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6792_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.741:0.741:0.741) (0.488:0.488:0.488)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.148:0.148:0.148)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.129:-0.129:-0.129)) - (HOLD (posedge D) (posedge CLK) (-0.052:-0.052:-0.052)) - (HOLD (negedge D) (posedge CLK) (-0.026:-0.026:-0.026)) - (SETUP (posedge D) (posedge CLK) (0.073:0.073:0.073)) - (SETUP (negedge D) (posedge CLK) (0.083:0.083:0.083)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6793_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.535:0.535:0.535) (0.574:0.574:0.574)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.372:0.372:0.372)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.173:-0.173:-0.173)) - (HOLD (posedge D) (posedge CLK) (-0.054:-0.054:-0.054)) - (HOLD (negedge D) (posedge CLK) (-0.061:-0.061:-0.061)) - (SETUP (posedge D) (posedge CLK) (0.085:0.085:0.085)) - (SETUP (negedge D) (posedge CLK) (0.135:0.135:0.135)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6794_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.528:0.528:0.528) (0.569:0.569:0.569)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.372:0.372:0.372)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.173:-0.173:-0.173)) - (HOLD (posedge D) (posedge CLK) (-0.058:-0.058:-0.058)) - (HOLD (negedge D) (posedge CLK) (-0.065:-0.065:-0.065)) - (SETUP (posedge D) (posedge CLK) (0.090:0.090:0.090)) - (SETUP (negedge D) (posedge CLK) (0.139:0.139:0.139)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6795_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.763:0.763:0.763) (0.501:0.501:0.501)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.149:0.149:0.149)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.131:-0.131:-0.131)) - (HOLD (posedge D) (posedge CLK) (-0.061:-0.061:-0.061)) - (HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032)) - (SETUP (posedge D) (posedge CLK) (0.083:0.083:0.083)) - (SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6796_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.572:0.572:0.572) (0.595:0.595:0.595)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.374:0.374:0.374)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.175:-0.175:-0.175)) - (HOLD (posedge D) (posedge CLK) (-0.055:-0.055:-0.055)) - (HOLD (negedge D) (posedge CLK) (-0.061:-0.061:-0.061)) - (SETUP (posedge D) (posedge CLK) (0.086:0.086:0.086)) - (SETUP (negedge D) (posedge CLK) (0.135:0.135:0.135)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6797_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.537:0.537:0.537) (0.576:0.576:0.576)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.374:0.374:0.374)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.175:-0.175:-0.175)) - (HOLD (posedge D) (posedge CLK) (-0.056:-0.056:-0.056)) - (HOLD (negedge D) (posedge CLK) (-0.062:-0.062:-0.062)) - (SETUP (posedge D) (posedge CLK) (0.087:0.087:0.087)) - (SETUP (negedge D) (posedge CLK) (0.136:0.136:0.136)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6798_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.472:0.472:0.472) (0.486:0.486:0.486)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.373:0.373:0.373)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.181:-0.181:-0.181)) - (HOLD (posedge D) (posedge CLK) (-0.054:-0.054:-0.054)) - (HOLD (negedge D) (posedge CLK) (-0.060:-0.060:-0.060)) - (SETUP (posedge D) (posedge CLK) (0.085:0.085:0.085)) - (SETUP (negedge D) (posedge CLK) (0.136:0.136:0.136)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6799_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.745:0.745:0.745) (0.492:0.492:0.492)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.149:0.149:0.149)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.131:-0.131:-0.131)) - (HOLD (posedge D) (posedge CLK) (-0.069:-0.069:-0.069)) - (HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (SETUP (posedge D) (posedge CLK) (0.091:0.091:0.091)) - (SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6800_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.483:0.483:0.483) (0.493:0.493:0.493)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.373:0.373:0.373)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.181:-0.181:-0.181)) - (HOLD (posedge D) (posedge CLK) (-0.068:-0.068:-0.068)) - (HOLD (negedge D) (posedge CLK) (-0.074:-0.074:-0.074)) - (SETUP (posedge D) (posedge CLK) (0.101:0.101:0.101)) - (SETUP (negedge D) (posedge CLK) (0.150:0.150:0.150)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6801_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.574:0.574:0.574) (0.597:0.597:0.597)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.371:0.371:0.371)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.177:-0.177:-0.177)) - (HOLD (posedge D) (posedge CLK) (-0.064:-0.064:-0.064)) - (HOLD (negedge D) (posedge CLK) (-0.070:-0.070:-0.070)) - (SETUP (posedge D) (posedge CLK) (0.096:0.096:0.096)) - (SETUP (negedge D) (posedge CLK) (0.145:0.145:0.145)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6802_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.783:0.783:0.783) (0.513:0.513:0.513)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.150:0.150:0.150)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.132:-0.132:-0.132)) - (HOLD (posedge D) (posedge CLK) (-0.059:-0.059:-0.059)) - (HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030)) - (SETUP (posedge D) (posedge CLK) (0.080:0.080:0.080)) - (SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6803_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.644:0.644:0.644) (0.634:0.634:0.634)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.372:0.372:0.372)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.177:-0.177:-0.177)) - (HOLD (posedge D) (posedge CLK) (-0.071:-0.071:-0.071)) - (HOLD (negedge D) (posedge CLK) (-0.075:-0.075:-0.075)) - (SETUP (posedge D) (posedge CLK) (0.104:0.104:0.104)) - (SETUP (negedge D) (posedge CLK) (0.150:0.150:0.150)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6804_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.673:0.673:0.673) (0.449:0.449:0.449)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.148:0.148:0.148)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.129:-0.129:-0.129)) - (HOLD (posedge D) (posedge CLK) (-0.054:-0.054:-0.054)) - (HOLD (negedge D) (posedge CLK) (-0.029:-0.029:-0.029)) - (SETUP (posedge D) (posedge CLK) (0.075:0.075:0.075)) - (SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6805_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.684:0.684:0.684) (0.447:0.447:0.447)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.149:0.149:0.149)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (HOLD (negedge D) (posedge CLK) (-0.019:-0.019:-0.019)) - (SETUP (posedge D) (posedge CLK) (0.069:0.069:0.069)) - (SETUP (negedge D) (posedge CLK) (0.084:0.084:0.084)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6806_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.645:0.645:0.645) (0.427:0.427:0.427)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.144:0.144:0.144)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.122:-0.122:-0.122)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.013)) - (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060)) - (SETUP (negedge D) (posedge CLK) (0.077:0.077:0.077)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6807_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.564:0.564:0.564) (0.588:0.588:0.588)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.179:-0.179:-0.179)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6808_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.524:0.524:0.524) (0.564:0.564:0.564)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.176:-0.176:-0.176)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6809_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.506:0.506:0.506) (0.551:0.551:0.551)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.176:-0.176:-0.176)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6810_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.549:0.549:0.549) (0.578:0.578:0.578)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.176:-0.176:-0.176)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6811_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.400:0.400:0.400) (0.417:0.417:0.417)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.366:0.366:0.366)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.202:-0.202:-0.202)) - (HOLD (posedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (HOLD (negedge D) (posedge CLK) (-0.054:-0.054:-0.054)) - (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068)) - (SETUP (negedge D) (posedge CLK) (0.123:0.123:0.123)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6812_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.533:0.533:0.533) (0.568:0.568:0.568)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.376:0.376:0.376)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.155:-0.155:-0.155)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6813_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.505:0.505:0.505) (0.484:0.484:0.484)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.207:-0.207:-0.207)) - (HOLD (posedge D) (posedge CLK) (-0.052:-0.052:-0.052)) - (HOLD (negedge D) (posedge CLK) (-0.062:-0.062:-0.062)) - (SETUP (posedge D) (posedge CLK) (0.078:0.078:0.078)) - (SETUP (negedge D) (posedge CLK) (0.132:0.132:0.132)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6814_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.462:0.462:0.462) (0.480:0.480:0.480)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.190:-0.190:-0.190)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.070:0.070:0.070)) - (SETUP (negedge D) (posedge CLK) (0.121:0.121:0.121)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6815_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.478:0.478:0.478) (0.469:0.469:0.469)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.207:-0.207:-0.207)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6816_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.404:0.404:0.404) (0.419:0.419:0.419)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.207:-0.207:-0.207)) - (HOLD (posedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (HOLD (negedge D) (posedge CLK) (-0.052:-0.052:-0.052)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) - (SETUP (negedge D) (posedge CLK) (0.122:0.122:0.122)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6817_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.363:0.363:0.363) (0.392:0.392:0.392)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.207:-0.207:-0.207)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060)) - (SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6818_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.583:0.583:0.583) (0.600:0.600:0.600)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185)) - (HOLD (posedge D) (posedge CLK) (-0.053:-0.053:-0.053)) - (HOLD (negedge D) (posedge CLK) (-0.059:-0.059:-0.059)) - (SETUP (posedge D) (posedge CLK) (0.083:0.083:0.083)) - (SETUP (negedge D) (posedge CLK) (0.133:0.133:0.133)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6819_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.453:0.453:0.453) (0.448:0.448:0.448)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.358)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.202:-0.202:-0.202)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6820_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.503:0.503:0.503) (0.503:0.503:0.503)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.419:0.419:0.419)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.261:-0.261:-0.261)) - (HOLD (posedge D) (posedge CLK) (-0.008:-0.008:-0.008)) - (HOLD (negedge D) (posedge CLK) (-0.002:-0.002:-0.002)) - (SETUP (posedge D) (posedge CLK) (0.031:0.031:0.031)) - (SETUP (negedge D) (posedge CLK) (0.070:0.070:0.070)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6821_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.595:0.595:0.595) (0.606:0.606:0.606)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.367:0.367:0.367)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.184:-0.184:-0.184)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.069:0.069:0.069)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6822_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.601:0.601:0.601) (0.611:0.611:0.611)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.182:-0.182:-0.182)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6823_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.558:0.558:0.558) (0.586:0.586:0.586)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.182:-0.182:-0.182)) - (HOLD (posedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.070:0.070:0.070)) - (SETUP (negedge D) (posedge CLK) (0.121:0.121:0.121)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6824_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.383:0.383:0.383) (0.406:0.406:0.406)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.381:0.381:0.381)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.187:-0.187:-0.187)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059)) - (SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6825_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.378:0.378:0.378) (0.402:0.402:0.402)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.381:0.381:0.381)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.187:-0.187:-0.187)) - (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058)) - (SETUP (negedge D) (posedge CLK) (0.112:0.112:0.112)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6826_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.456:0.456:0.456) (0.454:0.454:0.454)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.367:0.367:0.367)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.206)) - (HOLD (posedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (HOLD (negedge D) (posedge CLK) (-0.054:-0.054:-0.054)) - (SETUP (posedge D) (posedge CLK) (0.070:0.070:0.070)) - (SETUP (negedge D) (posedge CLK) (0.124:0.124:0.124)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6827_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.474:0.474:0.474) (0.465:0.465:0.465)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.367:0.367:0.367)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.206)) - (HOLD (posedge D) (posedge CLK) (-0.062:-0.062:-0.062)) - (HOLD (negedge D) (posedge CLK) (-0.071:-0.071:-0.071)) - (SETUP (posedge D) (posedge CLK) (0.090:0.090:0.090)) - (SETUP (negedge D) (posedge CLK) (0.142:0.142:0.142)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6828_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.453:0.453:0.453) (0.451:0.451:0.451)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.204:-0.204:-0.204)) - (HOLD (posedge D) (posedge CLK) (-0.053:-0.053:-0.053)) - (HOLD (negedge D) (posedge CLK) (-0.064:-0.064:-0.064)) - (SETUP (posedge D) (posedge CLK) (0.080:0.080:0.080)) - (SETUP (negedge D) (posedge CLK) (0.134:0.134:0.134)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6829_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.457:0.457:0.457) (0.453:0.453:0.453)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.363:0.363:0.363)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.205:-0.205)) - (HOLD (posedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (HOLD (negedge D) (posedge CLK) (-0.060:-0.060:-0.060)) - (SETUP (posedge D) (posedge CLK) (0.076:0.076:0.076)) - (SETUP (negedge D) (posedge CLK) (0.130:0.130:0.130)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6830_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.454:0.454:0.454) (0.451:0.451:0.451)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.363:0.363:0.363)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.205:-0.205)) - (HOLD (posedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (HOLD (negedge D) (posedge CLK) (-0.056:-0.056:-0.056)) - (SETUP (posedge D) (posedge CLK) (0.071:0.071:0.071)) - (SETUP (negedge D) (posedge CLK) (0.125:0.125:0.125)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6831_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.437:0.437:0.437) (0.439:0.439:0.439)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.203:-0.203:-0.203)) - (HOLD (posedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (HOLD (negedge D) (posedge CLK) (-0.058:-0.058:-0.058)) - (SETUP (posedge D) (posedge CLK) (0.073:0.073:0.073)) - (SETUP (negedge D) (posedge CLK) (0.128:0.128:0.128)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6832_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.411:0.411:0.411) (0.422:0.422:0.422)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.203:-0.203:-0.203)) - (HOLD (posedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (HOLD (negedge D) (posedge CLK) (-0.056:-0.056:-0.056)) - (SETUP (posedge D) (posedge CLK) (0.071:0.071:0.071)) - (SETUP (negedge D) (posedge CLK) (0.126:0.126:0.126)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6833_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.412:0.412:0.412) (0.422:0.422:0.422)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.203:-0.203:-0.203)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6834_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.356:0.356:0.356) (0.388:0.388:0.388)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.205:-0.205)) - (HOLD (posedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (HOLD (negedge D) (posedge CLK) (-0.053:-0.053:-0.053)) - (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068)) - (SETUP (negedge D) (posedge CLK) (0.123:0.123:0.123)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6835_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.362:0.362:0.362) (0.391:0.391:0.391)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.200:-0.200:-0.200)) - (HOLD (posedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (HOLD (negedge D) (posedge CLK) (-0.060:-0.060:-0.060)) - (SETUP (posedge D) (posedge CLK) (0.074:0.074:0.074)) - (SETUP (negedge D) (posedge CLK) (0.129:0.129:0.129)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6836_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.350:0.350:0.350) (0.383:0.383:0.383)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.363:0.363:0.363)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.206)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6837_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.378:0.378:0.378) (0.405:0.405:0.405)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.363:0.363:0.363)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.206)) - (HOLD (posedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (HOLD (negedge D) (posedge CLK) (-0.057:-0.057:-0.057)) - (SETUP (posedge D) (posedge CLK) (0.072:0.072:0.072)) - (SETUP (negedge D) (posedge CLK) (0.126:0.126:0.126)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6838_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.378:0.378:0.378) (0.404:0.404:0.404)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.363:0.363:0.363)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.206)) - (HOLD (posedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (HOLD (negedge D) (posedge CLK) (-0.053:-0.053:-0.053)) - (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068)) - (SETUP (negedge D) (posedge CLK) (0.123:0.123:0.123)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6839_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.324:0.324:0.324) (0.360:0.360:0.360)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.357:0.357:0.357)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.195:-0.195:-0.195)) - (HOLD (posedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (HOLD (negedge D) (posedge CLK) (-0.058:-0.058:-0.058)) - (SETUP (posedge D) (posedge CLK) (0.071:0.071:0.071)) - (SETUP (negedge D) (posedge CLK) (0.127:0.127:0.127)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6840_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.319:0.319:0.319) (0.356:0.356:0.356)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.202:-0.202:-0.202)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6841_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.360:0.360:0.360) (0.390:0.390:0.390)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.202:-0.202:-0.202)) - (HOLD (posedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (HOLD (negedge D) (posedge CLK) (-0.054:-0.054:-0.054)) - (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068)) - (SETUP (negedge D) (posedge CLK) (0.124:0.124:0.124)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6842_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.555:0.555:0.555) (0.384:0.384:0.384)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.143:0.143:0.143)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.125:-0.125:-0.125)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059)) - (SETUP (negedge D) (posedge CLK) (0.074:0.074:0.074)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6843_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.720:0.720:0.720) (0.474:0.474:0.474)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.138:0.138:0.138)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.120:-0.120:-0.120)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059)) - (SETUP (negedge D) (posedge CLK) (0.074:0.074:0.074)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6844_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.458:0.458:0.458) (0.453:0.453:0.453)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.375:0.375:0.375)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.188:-0.188:-0.188)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6845_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.644:0.644:0.644) (0.632:0.632:0.632)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.373:0.373:0.373)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.160:-0.160:-0.160)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6846_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.510:0.510:0.510) (0.554:0.554:0.554)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.373:0.373:0.373)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.160:-0.160:-0.160)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.069:0.069:0.069)) - (SETUP (negedge D) (posedge CLK) (0.121:0.121:0.121)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6847_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.464:0.464:0.464) (0.455:0.455:0.455)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.370:0.370:0.370)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.052:-0.052:-0.052)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6848_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.473:0.473:0.473) (0.463:0.463:0.463)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.372:0.372:0.372)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.191:-0.191:-0.191)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6849_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.498:0.498:0.498) (0.545:0.545:0.545)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.368:0.368:0.368)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.162:-0.162:-0.162)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6850_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.625:0.625:0.625) (0.423:0.423:0.423)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.147:0.147:0.147)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.014:-0.014:-0.014)) - (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056)) - (SETUP (negedge D) (posedge CLK) (0.070:0.070:0.070)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6851_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.606:0.606:0.606) (0.409:0.409:0.409)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.136:0.136:0.136)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.117:-0.117:-0.117)) - (HOLD (posedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (HOLD (negedge D) (posedge CLK) (-0.022:-0.022:-0.022)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.078:0.078:0.078)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6852_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.398:0.398:0.398) (0.412:0.412:0.412)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.194:-0.194:-0.194)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6853_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.513:0.513:0.513) (0.556:0.556:0.556)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.168:-0.168:-0.168)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068)) - (SETUP (negedge D) (posedge CLK) (0.121:0.121:0.121)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6854_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.455:0.455:0.455) (0.472:0.472:0.472)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.183:-0.183:-0.183)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.115:0.115:0.115)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6855_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.439:0.439:0.439) (0.462:0.462:0.462)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.186:-0.186:-0.186)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.069:0.069:0.069)) - (SETUP (negedge D) (posedge CLK) (0.122:0.122:0.122)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6856_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.453:0.453:0.453) (0.471:0.471:0.471)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.372:0.372:0.372)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.172:-0.172:-0.172)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6857_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.456:0.456:0.456) (0.450:0.450:0.450)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.373:0.373:0.373)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.187:-0.187:-0.187)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6858_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.694:0.694:0.694) (0.462:0.462:0.462)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.144:0.144:0.144)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.125:-0.125:-0.125)) - (HOLD (posedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (HOLD (negedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.076:0.076:0.076)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6859_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.589:0.589:0.589) (0.400:0.400:0.400)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.136:0.136:0.136)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.117:-0.117:-0.117)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.019:-0.019:-0.019)) - (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059)) - (SETUP (negedge D) (posedge CLK) (0.075:0.075:0.075)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6860_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.473:0.473:0.473) (0.487:0.487:0.487)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.367:0.367:0.367)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.189:-0.189:-0.189)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6861_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.592:0.592:0.592) (0.604:0.604:0.604)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.355:0.355:0.355)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.187:-0.187:-0.187)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6862_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.481:0.481:0.481) (0.492:0.492:0.492)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.369:0.369:0.369)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.184:-0.184:-0.184)) - (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033)) - (HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6863_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.430:0.430:0.430) (0.434:0.434:0.434)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.358)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.201:-0.201:-0.201)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6864_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.449:0.449:0.449) (0.445:0.445:0.445)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.368:0.368:0.368)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.052:-0.052:-0.052)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.121:0.121:0.121)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6865_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.447:0.447:0.447) (0.466:0.466:0.466)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.350:0.350:0.350)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.187:-0.187:-0.187)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6866_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.662:0.662:0.662) (0.435:0.435:0.435)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.144:0.144:0.144)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.123:-0.123:-0.123)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.012:-0.012:-0.012)) - (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059)) - (SETUP (negedge D) (posedge CLK) (0.076:0.076:0.076)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6867_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.444:0.444:0.444) (0.442:0.442:0.442)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.371:0.371:0.371)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6868_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.473:0.473:0.473) (0.486:0.486:0.486)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.375:0.375:0.375)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.171:-0.171:-0.171)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6869_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.683:0.683:0.683) (0.653:0.653:0.653)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.178:-0.178:-0.178)) - (HOLD (posedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.073:0.073:0.073)) - (SETUP (negedge D) (posedge CLK) (0.125:0.125:0.125)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6870_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.497:0.497:0.497) (0.545:0.545:0.545)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.176:-0.176:-0.176)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6871_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.453:0.453:0.453) (0.449:0.449:0.449)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.200:-0.200:-0.200)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6872_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.505:0.505:0.505) (0.552:0.552:0.552)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.363:0.363:0.363)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6873_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.459:0.459:0.459) (0.453:0.453:0.453)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.373:0.373:0.373)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.187:-0.187:-0.187)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6874_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.726:0.726:0.726) (0.465:0.465:0.465)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.148:0.148:0.148)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.127:-0.127:-0.127)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.010:-0.010:-0.010)) - (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057)) - (SETUP (negedge D) (posedge CLK) (0.074:0.074:0.074)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6875_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.695:0.695:0.695) (0.451:0.451:0.451)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.148:0.148:0.148)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.127:-0.127:-0.127)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.011:-0.011:-0.011)) - (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058)) - (SETUP (negedge D) (posedge CLK) (0.075:0.075:0.075)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6876_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.455:0.455:0.455) (0.472:0.472:0.472)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.177:-0.177:-0.177)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6877_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.564:0.564:0.564) (0.589:0.589:0.589)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.182:-0.182:-0.182)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6878_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.442:0.442:0.442) (0.463:0.463:0.463)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.115:0.115:0.115)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6879_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.441:0.441:0.441) (0.441:0.441:0.441)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.372:0.372:0.372)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.192:-0.192:-0.192)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6880_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.565:0.565:0.565) (0.587:0.587:0.587)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.180:-0.180:-0.180)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6881_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.571:0.571:0.571) (0.591:0.591:0.591)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.372:0.372:0.372)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.168:-0.168:-0.168)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6882_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.690:0.690:0.690) (0.484:0.484:0.484)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.192:0.192:0.192)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.174:-0.174:-0.174)) - (HOLD (posedge D) (posedge CLK) (-0.017:-0.017:-0.017)) - (HOLD (negedge D) (posedge CLK) (0.021:0.021:0.021)) - (SETUP (posedge D) (posedge CLK) (0.035:0.035:0.035)) - (SETUP (negedge D) (posedge CLK) (0.034:0.034:0.034)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6883_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.589:0.589:0.589) (0.399:0.399:0.399)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.136:0.136:0.136)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.117:-0.117:-0.117)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060)) - (SETUP (negedge D) (posedge CLK) (0.076:0.076:0.076)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6884_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.454:0.454:0.454) (0.474:0.474:0.474)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.367:0.367:0.367)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.189:-0.189:-0.189)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6885_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.549:0.549:0.549) (0.579:0.579:0.579)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.181:-0.181:-0.181)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6886_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.452:0.452:0.452) (0.471:0.471:0.471)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.369:0.369:0.369)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.184:-0.184:-0.184)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6887_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.428:0.428:0.428) (0.434:0.434:0.434)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.363:0.363:0.363)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.206)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6888_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.457:0.457:0.457) (0.474:0.474:0.474)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.354:0.354:0.354)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.192:-0.192:-0.192)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6889_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.421:0.421:0.421) (0.428:0.428:0.428)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.373:0.373:0.373)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.187:-0.187:-0.187)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6890_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.652:0.652:0.652) (0.435:0.435:0.435)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.153:0.153:0.153)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.132:-0.132:-0.132)) - (HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031)) - (HOLD (negedge D) (posedge CLK) (-0.005:-0.005:-0.005)) - (SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054)) - (SETUP (negedge D) (posedge CLK) (0.069:0.069:0.069)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6891_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.644:0.644:0.644) (0.432:0.432:0.432)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.138:0.138:0.138)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.120:-0.120:-0.120)) - (HOLD (posedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (HOLD (negedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.076:0.076:0.076)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6892_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.517:0.517:0.517) (0.562:0.562:0.562)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.363:0.363:0.363)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6893_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.569:0.569:0.569) (0.592:0.592:0.592)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.363:0.363:0.363)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.069:0.069:0.069)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6894_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.528:0.528:0.528) (0.570:0.570:0.570)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.370:0.370:0.370)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.178:-0.178:-0.178)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6895_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.476:0.476:0.476) (0.463:0.463:0.463)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.358)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.201:-0.201:-0.201)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6896_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.423:0.423:0.423) (0.449:0.449:0.449)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.368:0.368:0.368)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.168:-0.168:-0.168)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) - (SETUP (negedge D) (posedge CLK) (0.121:0.121:0.121)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6897_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.426:0.426:0.426) (0.451:0.451:0.451)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.369:0.369:0.369)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.166:-0.166:-0.166)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6898_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.738:0.738:0.738) (0.473:0.473:0.473)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.149:0.149:0.149)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.127:-0.127:-0.127)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.008:-0.008:-0.008)) - (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057)) - (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6899_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.698:0.698:0.698) (0.465:0.465:0.465)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.147:0.147:0.147)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.129:-0.129:-0.129)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.013)) - (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056)) - (SETUP (negedge D) (posedge CLK) (0.069:0.069:0.069)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6900_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.465:0.465:0.465) (0.456:0.456:0.456)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.194:-0.194:-0.194)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6901_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.545:0.545:0.545) (0.578:0.578:0.578)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.372:0.372:0.372)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.168:-0.168:-0.168)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.115:0.115:0.115)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6902_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.453:0.453:0.453) (0.471:0.471:0.471)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.181:-0.181:-0.181)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068)) - (SETUP (negedge D) (posedge CLK) (0.121:0.121:0.121)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6903_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.444:0.444:0.444) (0.441:0.441:0.441)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.355:0.355:0.355)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.195:-0.195:-0.195)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6904_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.553:0.553:0.553) (0.584:0.584:0.584)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.363:0.363:0.363)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6905_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.486:0.486:0.486) (0.492:0.492:0.492)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.358)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185)) - (HOLD (posedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.071:0.071:0.071)) - (SETUP (negedge D) (posedge CLK) (0.124:0.124:0.124)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6906_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.701:0.701:0.701) (0.458:0.458:0.458)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.151:0.151:0.151)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.130:-0.130:-0.130)) - (HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031)) - (HOLD (negedge D) (posedge CLK) (-0.005:-0.005:-0.005)) - (SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053)) - (SETUP (negedge D) (posedge CLK) (0.068:0.068:0.068)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_4") - (INSTANCE _6907_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.763:0.763:0.763) (0.561:0.561:0.561)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.150:0.150:0.150)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.131:-0.131:-0.131)) - (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033)) - (HOLD (negedge D) (posedge CLK) (-0.006:-0.006:-0.006)) - (SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053)) - (SETUP (negedge D) (posedge CLK) (0.065:0.065:0.065)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6908_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.598:0.598:0.598) (0.607:0.607:0.607)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185)) - (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032)) - (HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.111:0.111:0.111)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6909_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.571:0.571:0.571) (0.593:0.593:0.593)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.182:-0.182:-0.182)) - (HOLD (posedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.073:0.073:0.073)) - (SETUP (negedge D) (posedge CLK) (0.124:0.124:0.124)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6910_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.479:0.479:0.479) (0.490:0.490:0.490)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.369:0.369:0.369)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.184:-0.184:-0.184)) - (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033)) - (HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6911_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.455:0.455:0.455) (0.472:0.472:0.472)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.186:-0.186:-0.186)) - (HOLD (posedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.073:0.073:0.073)) - (SETUP (negedge D) (posedge CLK) (0.125:0.125:0.125)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6912_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.549:0.549:0.549) (0.580:0.580:0.580)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.181:-0.181:-0.181)) - (HOLD (posedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.073:0.073:0.073)) - (SETUP (negedge D) (posedge CLK) (0.124:0.125:0.125)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6913_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.530:0.530:0.530) (0.571:0.571:0.571)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.184:-0.184:-0.184)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6914_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.737:0.737:0.737) (0.473:0.473:0.473)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.151:0.151:0.151)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.130:-0.130:-0.130)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.007:-0.007:-0.007)) - (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056)) - (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.071)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6915_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.652:0.652:0.652) (0.438:0.438:0.438)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.141:0.141:0.141)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.122:-0.122:-0.122)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.016:-0.016:-0.016)) - (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058)) - (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6916_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.526:0.526:0.526) (0.565:0.565:0.565)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.372:0.372:0.372)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.160:-0.160:-0.160)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6917_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.577:0.577:0.577) (0.597:0.597:0.597)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.181:-0.181:-0.181)) - (HOLD (posedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.073:0.073:0.073)) - (SETUP (negedge D) (posedge CLK) (0.125:0.125:0.125)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6918_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.465:0.465:0.465) (0.482:0.482:0.482)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.369:0.369:0.369)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.184:-0.184:-0.184)) - (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033)) - (HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6919_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.499:0.499:0.499) (0.548:0.548:0.548)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.372:0.372:0.372)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.168:-0.168:-0.168)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6920_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.489:0.489:0.489) (0.494:0.494:0.494)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.354:0.354:0.354)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.192:-0.192:-0.192)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6921_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.434:0.434:0.434) (0.458:0.458:0.458)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.372:0.372:0.372)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.169:-0.169:-0.169)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6922_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.646:0.646:0.646) (0.432:0.432:0.432)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.138:0.138:0.138)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.120:-0.120:-0.120)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.019:-0.019:-0.019)) - (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060)) - (SETUP (negedge D) (posedge CLK) (0.075:0.075:0.075)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6923_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.706:0.706:0.706) (0.465:0.465:0.465)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.136:0.136:0.136)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.117:-0.117:-0.117)) - (HOLD (posedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.077:0.077:0.077)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6924_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.538:0.538:0.538) (0.576:0.576:0.576)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.367:0.367:0.367)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.184:-0.184:-0.184)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6925_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.529:0.529:0.529) (0.570:0.570:0.570)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.363:0.363:0.363)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185)) - (HOLD (posedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.070:0.070:0.070)) - (SETUP (negedge D) (posedge CLK) (0.121:0.121:0.121)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6926_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.445:0.445:0.445) (0.465:0.465:0.465)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.181:-0.181:-0.181)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6927_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.440:0.440:0.440) (0.440:0.440:0.440)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.373:0.373:0.373)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.187:-0.187:-0.187)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6928_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.493:0.493:0.493) (0.543:0.543:0.543)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.354:0.354:0.354)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.187:-0.187:-0.187)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6929_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.417:0.417:0.417) (0.425:0.425:0.425)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.373:0.373:0.373)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.187:-0.187:-0.187)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_4") - (INSTANCE _6930_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.780:0.780:0.780) (0.562:0.562:0.562)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.147:0.147:0.147)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.129:-0.129:-0.129)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.010:-0.010:-0.010)) - (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056)) - (SETUP (negedge D) (posedge CLK) (0.070:0.070:0.070)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6931_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.764:0.764:0.764) (0.527:0.527:0.527)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.194:0.194:0.194)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.176:-0.176:-0.176)) - (HOLD (posedge D) (posedge CLK) (-0.013:-0.013:-0.013)) - (HOLD (negedge D) (posedge CLK) (0.026:0.026:0.026)) - (SETUP (posedge D) (posedge CLK) (0.030:0.030:0.030)) - (SETUP (negedge D) (posedge CLK) (0.028:0.028:0.028)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6932_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.503:0.503:0.503) (0.552:0.552:0.552)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.369:0.369:0.369)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.181:-0.181:-0.181)) - (HOLD (posedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (HOLD (negedge D) (posedge CLK) (-0.053:-0.053:-0.053)) - (SETUP (posedge D) (posedge CLK) (0.077:0.077:0.077)) - (SETUP (negedge D) (posedge CLK) (0.127:0.127:0.127)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6933_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.528:0.528:0.528) (0.567:0.567:0.567)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.178:-0.178:-0.178)) - (HOLD (posedge D) (posedge CLK) (-0.052:-0.052:-0.052)) - (HOLD (negedge D) (posedge CLK) (-0.060:-0.060:-0.060)) - (SETUP (posedge D) (posedge CLK) (0.083:0.083:0.083)) - (SETUP (negedge D) (posedge CLK) (0.134:0.134:0.134)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6934_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.448:0.448:0.448) (0.447:0.447:0.447)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.367:0.367:0.367)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.198:-0.198:-0.198)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6935_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.474:0.474:0.474) (0.486:0.486:0.486)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.187:-0.187:-0.187)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6936_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.453:0.453:0.453) (0.450:0.450:0.450)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.354:0.354:0.354)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.207:-0.207:-0.207)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6937_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.398:0.398:0.398) (0.413:0.413:0.413)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.354:0.354:0.354)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.207:-0.207:-0.207)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_4") - (INSTANCE _6938_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.754:0.754:0.754) (0.554:0.554:0.554)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.152:0.152:0.152)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.133:-0.133:-0.133)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.007:-0.007:-0.007)) - (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055)) - (SETUP (negedge D) (posedge CLK) (0.067:0.067:0.067)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6939_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.635:0.635:0.635) (0.427:0.427:0.427)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.141:0.141:0.141)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.122:-0.122:-0.122)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.016:-0.016:-0.016)) - (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057)) - (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6940_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.543:0.543:0.543) (0.579:0.579:0.579)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.369:0.369:0.369)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.181:-0.181:-0.181)) - (HOLD (posedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.072:0.072:0.072)) - (SETUP (negedge D) (posedge CLK) (0.122:0.122:0.122)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6941_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.528:0.528:0.528) (0.569:0.569:0.569)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.182:-0.182:-0.182)) - (HOLD (posedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.070:0.070:0.070)) - (SETUP (negedge D) (posedge CLK) (0.121:0.121:0.121)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6942_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.543:0.543:0.543) (0.578:0.578:0.578)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.370:0.370:0.370)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.178:-0.178:-0.178)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.115:0.115:0.115)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6943_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.416:0.416:0.416) (0.424:0.424:0.424)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.200:-0.200:-0.200)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6944_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.471:0.471:0.471) (0.484:0.484:0.484)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.373:0.373:0.373)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.170:-0.170:-0.170)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.115:0.115:0.115)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6945_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.434:0.434:0.434) (0.457:0.457:0.457)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.372:0.372:0.372)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.169:-0.169:-0.169)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6946_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.710:0.710:0.710) (0.461:0.461:0.461)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.149:0.149:0.149)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.127:-0.127:-0.127)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.008:-0.008:-0.008)) - (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057)) - (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6947_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.616:0.616:0.616) (0.418:0.418:0.418)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.140:0.140:0.140)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.121:-0.121:-0.121)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.017:-0.017:-0.017)) - (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058)) - (SETUP (negedge D) (posedge CLK) (0.073:0.073:0.073)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6948_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.434:0.434:0.434) (0.458:0.458:0.458)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.371:0.371:0.371)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.171:-0.171:-0.171)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6949_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.574:0.574:0.574) (0.594:0.594:0.594)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.181:-0.181:-0.181)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6950_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.430:0.430:0.430) (0.434:0.434:0.434)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.371:0.371:0.371)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.184:-0.184:-0.184)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6951_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.419:0.419:0.419) (0.427:0.427:0.427)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.373:0.373:0.373)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.187:-0.187:-0.187)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6952_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.490:0.490:0.490) (0.538:0.538:0.538)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.367:0.367:0.367)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.156:-0.156:-0.156)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068)) - (SETUP (negedge D) (posedge CLK) (0.122:0.122:0.122)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6953_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.436:0.436:0.436) (0.438:0.438:0.438)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.373:0.373:0.373)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.187:-0.187:-0.187)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6954_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.657:0.657:0.657) (0.442:0.442:0.442)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.147:0.147:0.147)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.015:-0.015:-0.015)) - (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056)) - (SETUP (negedge D) (posedge CLK) (0.070:0.070:0.070)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6955_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.689:0.689:0.689) (0.458:0.458:0.458)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.146:0.146:0.146)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.127:-0.127:-0.127)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.016:-0.016:-0.016)) - (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057)) - (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6956_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.524:0.524:0.524) (0.565:0.565:0.565)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.371:0.371:0.371)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.164:-0.164:-0.164)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6957_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.512:0.512:0.512) (0.557:0.557:0.557)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.181:-0.181:-0.181)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6958_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.437:0.437:0.437) (0.439:0.439:0.439)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.197:-0.197:-0.197)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6959_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.499:0.499:0.499) (0.547:0.547:0.547)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.178:-0.178:-0.178)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.115:0.115:0.115)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6960_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.458:0.458:0.458) (0.476:0.476:0.476)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.369:0.369:0.369)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.178:-0.178:-0.178)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6961_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.502:0.502:0.502) (0.550:0.550:0.550)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.373:0.373:0.373)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.167:-0.167:-0.167)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6962_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.675:0.675:0.675) (0.443:0.443:0.443)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.148:0.148:0.148)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.127:-0.127:-0.127)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.010:-0.010:-0.010)) - (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058)) - (SETUP (negedge D) (posedge CLK) (0.074:0.074:0.074)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6963_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.695:0.695:0.695) (0.463:0.463:0.463)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.148:0.148:0.148)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.130:-0.130:-0.130)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.014:-0.014:-0.014)) - (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057)) - (SETUP (negedge D) (posedge CLK) (0.070:0.070:0.070)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6964_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.490:0.490:0.490) (0.495:0.495:0.495)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.375:0.375:0.375)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.171:-0.171:-0.171)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6965_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.488:0.488:0.488) (0.539:0.539:0.539)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.181:-0.181:-0.181)) - (HOLD (posedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.072:0.072:0.072)) - (SETUP (negedge D) (posedge CLK) (0.123:0.123:0.123)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6966_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.403:0.403:0.403) (0.414:0.414:0.414)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.197:-0.197:-0.197)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6967_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.474:0.474:0.474) (0.486:0.486:0.486)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.371:0.371:0.371)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.174:-0.174:-0.174)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6968_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.410:0.410:0.410) (0.420:0.420:0.420)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.368:0.368:0.368)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.186:-0.186:-0.186)) - (HOLD (posedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (HOLD (negedge D) (posedge CLK) (-0.053:-0.053:-0.053)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.122:0.122:0.122)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6969_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.488:0.488:0.488) (0.538:0.538:0.538)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.368:0.368:0.368)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.161:-0.161:-0.161)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6970_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.781:0.781:0.781) (0.538:0.538:0.538)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.195:0.195:0.195)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.177:-0.177:-0.177)) - (HOLD (posedge D) (posedge CLK) (-0.015:-0.015:-0.015)) - (HOLD (negedge D) (posedge CLK) (0.026:0.026:0.026)) - (SETUP (posedge D) (posedge CLK) (0.032:0.032:0.032)) - (SETUP (negedge D) (posedge CLK) (0.029:0.029:0.029)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6971_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.588:0.588:0.588) (0.401:0.401:0.401)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.140:0.140:0.140)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.121:-0.121:-0.121)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.019:-0.019:-0.019)) - (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060)) - (SETUP (negedge D) (posedge CLK) (0.075:0.075:0.075)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6972_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.496:0.496:0.496) (0.546:0.546:0.546)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.376:0.376:0.376)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.164:-0.164:-0.164)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6973_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.505:0.505:0.505) (0.552:0.552:0.552)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.178:-0.178:-0.178)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6974_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.516:0.516:0.516) (0.561:0.561:0.561)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.368:0.368:0.368)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.175:-0.175:-0.175)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.115:0.115:0.115)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6975_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.422:0.422:0.422) (0.430:0.430:0.430)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.372:0.372:0.372)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.192:-0.192:-0.192)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6976_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.428:0.428:0.428) (0.453:0.453:0.453)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.369:0.369:0.369)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.166:-0.166:-0.166)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.070:0.070:0.070)) - (SETUP (negedge D) (posedge CLK) (0.123:0.123:0.123)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6977_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.482:0.482:0.482) (0.468:0.468:0.468)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.354:0.354:0.354)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.207:-0.207:-0.207)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6978_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.595:0.595:0.595) (0.407:0.407:0.407)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.147:0.147:0.147)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.015:-0.015:-0.015)) - (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057)) - (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.071)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6979_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.669:0.669:0.669) (0.438:0.438:0.438)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.141:0.141:0.141)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.119:-0.119:-0.119)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.013)) - (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060)) - (SETUP (negedge D) (posedge CLK) (0.077:0.077:0.077)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6980_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.415:0.415:0.415) (0.422:0.422:0.422)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.370:0.370:0.370)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.183:-0.183:-0.183)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6981_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.533:0.533:0.533) (0.571:0.571:0.571)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.181:-0.181:-0.181)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.069:0.069:0.069)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6982_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.458:0.458:0.458) (0.474:0.474:0.474)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.354:0.354:0.354)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6983_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.423:0.423:0.423) (0.426:0.426:0.426)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.366:0.366:0.366)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185)) - (HOLD (posedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (HOLD (negedge D) (posedge CLK) (-0.055:-0.055:-0.055)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) - (SETUP (negedge D) (posedge CLK) (0.124:0.124:0.124)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6984_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.464:0.464:0.464) (0.457:0.457:0.457)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.369:0.369:0.369)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.196:-0.196:-0.196)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059)) - (SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _6985_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.453:0.453:0.453) (0.470:0.470:0.470)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.372:0.372:0.372)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.169:-0.169:-0.169)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6986_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.659:0.659:0.659) (0.441:0.441:0.441)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.139:0.139:0.139)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.120:-0.120:-0.120)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.017:-0.017:-0.017)) - (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058)) - (SETUP (negedge D) (posedge CLK) (0.073:0.073:0.073)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _6987_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.720:0.720:0.720) (0.477:0.477:0.477)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.147:0.147:0.147)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.129:-0.129:-0.129)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.014:-0.014:-0.014)) - (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056)) - (SETUP (negedge D) (posedge CLK) (0.069:0.069:0.069)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6988_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.516:0.516:0.516) (0.561:0.561:0.561)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.368:0.368:0.368)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.174:-0.174:-0.174)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.115:0.115:0.115)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6989_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.620:0.620:0.620) (0.617:0.617:0.617)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.372:0.372:0.372)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.168:-0.168:-0.168)) - (HOLD (posedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.073:0.073:0.073)) - (SETUP (negedge D) (posedge CLK) (0.125:0.125:0.125)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6990_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.512:0.512:0.512) (0.557:0.557:0.557)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.177:-0.177:-0.177)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6991_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.458:0.458:0.458) (0.452:0.452:0.452)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.370:0.370:0.370)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.184:-0.184:-0.184)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6992_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.493:0.493:0.493) (0.543:0.543:0.543)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.358)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.180:-0.180:-0.180)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.115:0.115:0.115)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6993_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.502:0.502:0.502) (0.548:0.548:0.548)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.169:-0.169:-0.169)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_4") - (INSTANCE _6994_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.760:0.760:0.760) (0.550:0.550:0.550)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.145:0.145:0.145)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.126:-0.126:-0.126)) - (HOLD (posedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (HOLD (negedge D) (posedge CLK) (-0.016:-0.016:-0.016)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.076:0.076:0.076)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _6995_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.702:0.702:0.702) (0.454:0.454:0.454)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.146:0.146:0.146)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.125:-0.125:-0.125)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.012:-0.012:-0.012)) - (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058)) - (SETUP (negedge D) (posedge CLK) (0.076:0.076:0.076)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _6996_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.449:0.449:0.449) (0.446:0.446:0.446)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.373:0.373:0.373)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6997_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.656:0.656:0.656) (0.629:0.629:0.629)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.176:-0.176:-0.176)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6998_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.561:0.561:0.561) (0.588:0.588:0.588)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.363:0.363:0.363)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.187:-0.187:-0.187)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _6999_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.529:0.529:0.529) (0.568:0.568:0.568)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.355:0.355:0.355)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.187:-0.187:-0.187)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _7000_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.470:0.470:0.470) (0.483:0.483:0.483)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.184:-0.184:-0.184)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7001_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.569:0.569:0.569) (0.590:0.590:0.590)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.179:-0.179:-0.179)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _7002_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.668:0.668:0.668) (0.447:0.447:0.447)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.142:0.142:0.142)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.123:-0.123:-0.123)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.017:-0.017:-0.017)) - (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060)) - (SETUP (negedge D) (posedge CLK) (0.074:0.074:0.074)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_4") - (INSTANCE _7003_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.761:0.761:0.761) (0.560:0.560:0.560)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.152:0.152:0.152)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.133:-0.133:-0.133)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.008:-0.008:-0.008)) - (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055)) - (SETUP (negedge D) (posedge CLK) (0.068:0.068:0.068)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7004_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.510:0.510:0.510) (0.555:0.555:0.555)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.371:0.371:0.371)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.164:-0.164:-0.164)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7005_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.603:0.603:0.603) (0.611:0.611:0.611)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.373:0.373:0.373)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.167:-0.167:-0.167)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.115:0.115:0.115)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7006_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.436:0.436:0.436) (0.437:0.437:0.437)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.371:0.371:0.371)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.184:-0.184:-0.184)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7007_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.446:0.446:0.446) (0.442:0.442:0.442)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.366:0.366:0.366)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.182:-0.182:-0.182)) - (HOLD (posedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (HOLD (negedge D) (posedge CLK) (-0.056:-0.056:-0.056)) - (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068)) - (SETUP (negedge D) (posedge CLK) (0.125:0.125:0.125)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7008_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.467:0.467:0.467) (0.458:0.458:0.458)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.192:-0.192:-0.192)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7009_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.499:0.499:0.499) (0.546:0.546:0.546)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.169:-0.169:-0.169)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _7010_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.657:0.657:0.657) (0.432:0.432:0.432)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.141:0.141:0.141)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.119:-0.119:-0.119)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.012:-0.012:-0.012)) - (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058)) - (SETUP (negedge D) (posedge CLK) (0.075:0.075:0.075)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _7011_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.726:0.726:0.726) (0.480:0.480:0.480)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.144:0.144:0.144)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.125:-0.125:-0.125)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.014:-0.014:-0.014)) - (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056)) - (SETUP (negedge D) (posedge CLK) (0.069:0.069:0.069)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _7012_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.459:0.459:0.459) (0.474:0.474:0.474)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.370:0.370:0.370)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.164:-0.164:-0.164)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.070:0.070:0.070)) - (SETUP (negedge D) (posedge CLK) (0.124:0.124:0.124)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7013_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.580:0.580:0.580) (0.600:0.600:0.600)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.369:0.369:0.369)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.181:-0.181:-0.181)) - (HOLD (posedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (HOLD (negedge D) (posedge CLK) (-0.055:-0.055:-0.055)) - (SETUP (posedge D) (posedge CLK) (0.079:0.079:0.079)) - (SETUP (negedge D) (posedge CLK) (0.129:0.129:0.129)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _7014_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.461:0.461:0.461) (0.476:0.476:0.476)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _7015_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.484:0.484:0.484) (0.491:0.491:0.491)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.186:-0.186:-0.186)) - (HOLD (posedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (HOLD (negedge D) (posedge CLK) (-0.052:-0.052:-0.052)) - (SETUP (posedge D) (posedge CLK) (0.074:0.074:0.074)) - (SETUP (negedge D) (posedge CLK) (0.127:0.127:0.127)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7016_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.452:0.452:0.452) (0.447:0.447:0.447)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.368:0.368:0.368)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.186:-0.186:-0.186)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7017_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.507:0.507:0.507) (0.553:0.553:0.553)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.180:-0.180:-0.180)) - (HOLD (posedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (HOLD (negedge D) (posedge CLK) (-0.053:-0.053:-0.053)) - (SETUP (posedge D) (posedge CLK) (0.075:0.075:0.075)) - (SETUP (negedge D) (posedge CLK) (0.126:0.126:0.126)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _7018_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.642:0.642:0.642) (0.458:0.458:0.458)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.188:0.188:0.188)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.170:-0.170:-0.170)) - (HOLD (posedge D) (posedge CLK) (-0.017:-0.017:-0.017)) - (HOLD (negedge D) (posedge CLK) (0.021:0.021:0.021)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.034:0.034:0.034)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _7019_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.708:0.708:0.708) (0.462:0.462:0.462)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.154:0.154:0.154)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.133:-0.133:-0.133)) - (HOLD (posedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.013)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.078:0.078:0.078)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7020_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.597:0.597:0.597) (0.608:0.608:0.608)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185)) - (HOLD (posedge D) (posedge CLK) (-0.059:-0.059:-0.059)) - (HOLD (negedge D) (posedge CLK) (-0.065:-0.065:-0.065)) - (SETUP (posedge D) (posedge CLK) (0.090:0.090:0.090)) - (SETUP (negedge D) (posedge CLK) (0.139:0.139:0.139)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7021_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.599:0.599:0.599) (0.610:0.610:0.610)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.369:0.369:0.369)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.181:-0.181:-0.181)) - (HOLD (posedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.075:0.075:0.075)) - (SETUP (negedge D) (posedge CLK) (0.125:0.125:0.125)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7022_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.507:0.507:0.507) (0.552:0.552:0.552)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.171:-0.171:-0.171)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7023_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.552:0.552:0.552) (0.578:0.578:0.578)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.169:-0.169:-0.169)) - (HOLD (posedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.070:0.070:0.070)) - (SETUP (negedge D) (posedge CLK) (0.122:0.122:0.122)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _7024_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.444:0.444:0.444) (0.463:0.463:0.463)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.175:-0.175:-0.175)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068)) - (SETUP (negedge D) (posedge CLK) (0.121:0.121:0.121)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7025_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.448:0.448:0.448) (0.447:0.447:0.447)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.354:0.354:0.354)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.207:-0.207:-0.207)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060)) - (SETUP (negedge D) (posedge CLK) (0.115:0.115:0.115)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _7026_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.611:0.611:0.611) (0.414:0.414:0.414)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.143:0.143:0.143)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.124:-0.124:-0.124)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.019:-0.019:-0.019)) - (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060)) - (SETUP (negedge D) (posedge CLK) (0.075:0.075:0.075)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _7027_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.633:0.633:0.633) (0.427:0.427:0.427)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.142:0.142:0.142)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.123:-0.123:-0.123)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060)) - (SETUP (negedge D) (posedge CLK) (0.074:0.074:0.074)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _7028_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.494:0.494:0.494) (0.498:0.498:0.498)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.368:0.368:0.368)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.179:-0.179:-0.179)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7029_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.493:0.493:0.493) (0.539:0.539:0.539)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.163:-0.163:-0.163)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _7030_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.448:0.448:0.448) (0.467:0.467:0.467)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.371:0.371:0.371)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.166:-0.166:-0.166)) - (HOLD (posedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.072:0.072:0.072)) - (SETUP (negedge D) (posedge CLK) (0.125:0.125:0.125)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7031_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.439:0.439:0.439) (0.437:0.437:0.437)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.366:0.366:0.366)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.182:-0.182:-0.182)) - (HOLD (posedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (HOLD (negedge D) (posedge CLK) (-0.056:-0.056:-0.056)) - (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068)) - (SETUP (negedge D) (posedge CLK) (0.125:0.125:0.125)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7032_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.509:0.509:0.509) (0.555:0.555:0.555)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.369:0.369:0.369)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.173:-0.173:-0.173)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7033_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.500:0.500:0.500) (0.547:0.547:0.547)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.366:0.366:0.366)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.169:-0.169:-0.169)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_4") - (INSTANCE _7034_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.758:0.758:0.758) (0.554:0.554:0.554)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.147:0.147:0.147)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.011:-0.011:-0.011)) - (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058)) - (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.071)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _7035_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.750:0.750:0.750) (0.481:0.481:0.481)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.154:0.154:0.154)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.133:-0.133:-0.133)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.007:-0.007:-0.007)) - (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057)) - (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.071)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7036_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.523:0.523:0.523) (0.566:0.566:0.566)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.368:0.368:0.368)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.174:-0.174:-0.174)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.115:0.115:0.115)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7037_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.590:0.590:0.590) (0.599:0.599:0.599)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.163:-0.163:-0.163)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068)) - (SETUP (negedge D) (posedge CLK) (0.122:0.122:0.122)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7038_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.611:0.611:0.611) (0.616:0.616:0.616)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.363:0.363:0.363)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.187:-0.187:-0.187)) - (HOLD (posedge D) (posedge CLK) (-0.053:-0.053:-0.053)) - (HOLD (negedge D) (posedge CLK) (-0.059:-0.059:-0.059)) - (SETUP (posedge D) (posedge CLK) (0.083:0.083:0.083)) - (SETUP (negedge D) (posedge CLK) (0.133:0.133:0.133)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _7039_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.471:0.471:0.471) (0.484:0.484:0.484)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.358)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _7040_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.472:0.472:0.472) (0.484:0.484:0.484)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.184:-0.184:-0.184)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7041_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.527:0.527:0.527) (0.566:0.566:0.566)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.179:-0.179:-0.179)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _7042_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.718:0.718:0.718) (0.460:0.460:0.460)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.139:0.139:0.139)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.117:-0.117:-0.117)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.012:-0.012:-0.012)) - (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058)) - (SETUP (negedge D) (posedge CLK) (0.076:0.076:0.076)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _7043_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.616:0.616:0.616) (0.417:0.417:0.417)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.140:0.140:0.140)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.121:-0.121:-0.121)) - (HOLD (posedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.078:0.078:0.078)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7044_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.547:0.547:0.547) (0.579:0.579:0.579)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.368:0.368:0.368)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.174:-0.174:-0.174)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.115:0.115:0.115)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7045_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.539:0.539:0.539) (0.574:0.574:0.574)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.372:0.372:0.372)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.168:-0.168:-0.168)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7046_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.495:0.495:0.495) (0.542:0.542:0.542)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.355:0.355:0.355)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.180:-0.180:-0.180)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7047_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.556:0.556:0.556) (0.582:0.582:0.582)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.358)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.180:-0.180:-0.180)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _7048_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.473:0.473:0.473) (0.486:0.486:0.486)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.369:0.369:0.369)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.178:-0.178:-0.178)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7049_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.536:0.536:0.536) (0.571:0.571:0.571)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.178:-0.178:-0.178)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _7050_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.700:0.700:0.700) (0.468:0.468:0.468)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.153:0.153:0.153)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.134:-0.134:-0.134)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.013)) - (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056)) - (SETUP (negedge D) (posedge CLK) (0.069:0.069:0.069)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _7051_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.663:0.663:0.663) (0.446:0.446:0.446)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.146:0.146:0.146)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.014:-0.014:-0.014)) - (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056)) - (SETUP (negedge D) (posedge CLK) (0.070:0.070:0.070)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7052_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.528:0.528:0.528) (0.565:0.565:0.565)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.368:0.368:0.368)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.160:-0.160:-0.160)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7053_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.584:0.584:0.584) (0.596:0.596:0.596)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.357:0.357:0.357)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.173:-0.173:-0.173)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7054_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.517:0.517:0.517) (0.561:0.561:0.561)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.368:0.368:0.368)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.175:-0.175:-0.175)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _7055_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.437:0.437:0.437) (0.458:0.458:0.458)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.355:0.355:0.355)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.179:-0.179:-0.179)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.069:0.069:0.069)) - (SETUP (negedge D) (posedge CLK) (0.123:0.123:0.123)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _7056_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.466:0.466:0.466) (0.481:0.481:0.481)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.184:-0.184:-0.184)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7057_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.523:0.523:0.523) (0.565:0.565:0.565)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.179:-0.179:-0.179)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_4") - (INSTANCE _7058_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.769:0.769:0.769) (0.558:0.558:0.558)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.146:0.146:0.146)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.127:-0.127:-0.127)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.012:-0.012:-0.012)) - (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058)) - (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _7059_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.650:0.650:0.650) (0.436:0.436:0.436)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.142:0.142:0.142)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.123:-0.123:-0.123)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060)) - (SETUP (negedge D) (posedge CLK) (0.074:0.074:0.074)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7060_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.510:0.510:0.510) (0.556:0.556:0.556)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.369:0.369:0.369)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.173:-0.173:-0.173)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.115:0.115:0.115)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7061_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.611:0.611:0.611) (0.615:0.615:0.615)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.367:0.367:0.367)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.179:-0.179:-0.179)) - (HOLD (posedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.072:0.072:0.072)) - (SETUP (negedge D) (posedge CLK) (0.123:0.123:0.123)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7062_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.469:0.469:0.469) (0.460:0.460:0.460)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.369:0.369:0.369)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.196:-0.196:-0.196)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _7063_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.480:0.480:0.480) (0.489:0.489:0.489)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.371:0.371:0.371)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.174:-0.174:-0.174)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.115:0.115:0.115)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7064_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.556:0.556:0.556) (0.582:0.582:0.582)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.180:-0.180:-0.180)) - (HOLD (posedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.072:0.072:0.072)) - (SETUP (negedge D) (posedge CLK) (0.124:0.124:0.124)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _7065_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.443:0.443:0.443) (0.462:0.462:0.462)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.370:0.370:0.370)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.166:-0.166:-0.166)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) - (SETUP (negedge D) (posedge CLK) (0.121:0.121:0.121)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_4") - (INSTANCE _7066_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.741:0.741:0.741) (0.548:0.548:0.548)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.150:0.150:0.150)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.131:-0.131:-0.131)) - (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033)) - (HOLD (negedge D) (posedge CLK) (-0.005:-0.005:-0.005)) - (SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053)) - (SETUP (negedge D) (posedge CLK) (0.065:0.065:0.065)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _7067_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.721:0.721:0.721) (0.468:0.468:0.468)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.153:0.153:0.153)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.132:-0.132:-0.132)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.008:-0.008:-0.008)) - (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059)) - (SETUP (negedge D) (posedge CLK) (0.073:0.073:0.073)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7068_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.413:0.413:0.413) (0.422:0.422:0.422)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.372:0.372:0.372)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7069_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.530:0.530:0.530) (0.569:0.569:0.569)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.177:-0.177:-0.177)) - (HOLD (posedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.072:0.072:0.072)) - (SETUP (negedge D) (posedge CLK) (0.124:0.124:0.124)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7070_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.487:0.487:0.487) (0.538:0.538:0.538)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.355:0.355:0.355)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.180:-0.180:-0.180)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7071_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.415:0.415:0.415) (0.422:0.422:0.422)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.366:0.366:0.366)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.182:-0.182:-0.182)) - (HOLD (posedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (HOLD (negedge D) (posedge CLK) (-0.054:-0.054:-0.054)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.123:0.123:0.123)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7072_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.429:0.429:0.429) (0.432:0.432:0.432)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.368:0.368:0.368)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.186:-0.186:-0.186)) - (HOLD (posedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (HOLD (negedge D) (posedge CLK) (-0.054:-0.054:-0.054)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) - (SETUP (negedge D) (posedge CLK) (0.123:0.123:0.123)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7073_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.540:0.540:0.540) (0.575:0.575:0.575)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.368:0.368:0.368)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.174:-0.174:-0.174)) - (HOLD (posedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (HOLD (negedge D) (posedge CLK) (-0.052:-0.052:-0.052)) - (SETUP (posedge D) (posedge CLK) (0.074:0.074:0.074)) - (SETUP (negedge D) (posedge CLK) (0.125:0.125:0.125)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _7074_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.589:0.589:0.589) (0.401:0.401:0.401)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.143:0.143:0.143)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.124:-0.124:-0.124)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059)) - (SETUP (negedge D) (posedge CLK) (0.074:0.074:0.074)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _7075_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.594:0.594:0.594) (0.408:0.408:0.408)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.146:0.146:0.146)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.014:-0.014:-0.014)) - (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056)) - (SETUP (negedge D) (posedge CLK) (0.069:0.069:0.069)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7076_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.497:0.497:0.497) (0.546:0.546:0.546)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.368:0.368:0.368)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.174:-0.174:-0.174)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7077_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.611:0.611:0.611) (0.613:0.613:0.613)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.372:0.372:0.372)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.167:-0.167:-0.167)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7078_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.446:0.446:0.446) (0.443:0.443:0.443)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.191:-0.191:-0.191)) - (HOLD (posedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (HOLD (negedge D) (posedge CLK) (-0.054:-0.054:-0.054)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) - (SETUP (negedge D) (posedge CLK) (0.123:0.123:0.123)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7079_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.382:0.382:0.382) (0.402:0.402:0.402)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.373:0.373:0.373)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.187:-0.187:-0.187)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _7080_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.463:0.463:0.463) (0.477:0.477:0.477)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.175:-0.175:-0.175)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7081_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.532:0.532:0.532) (0.570:0.570:0.570)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.368:0.368:0.368)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.172:-0.172:-0.172)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.069:0.069:0.069)) - (SETUP (negedge D) (posedge CLK) (0.121:0.121:0.121)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _7082_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.644:0.644:0.644) (0.428:0.428:0.428)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.138:0.138:0.138)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.115:-0.115:-0.115)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.012:-0.012:-0.012)) - (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059)) - (SETUP (negedge D) (posedge CLK) (0.076:0.076:0.076)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_4") - (INSTANCE _7083_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.751:0.751:0.751) (0.551:0.551:0.551)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.147:0.147:0.147)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.008:-0.008:-0.008)) - (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055)) - (SETUP (negedge D) (posedge CLK) (0.068:0.068:0.068)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7084_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.448:0.448:0.448) (0.447:0.447:0.447)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.378:0.378:0.378)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.186:-0.186:-0.186)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7085_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.595:0.595:0.595) (0.607:0.607:0.607)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.363:0.363:0.363)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.184:-0.184:-0.184)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7086_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.391:0.391:0.391) (0.407:0.407:0.407)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.191:-0.191:-0.191)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.053:-0.053:-0.053)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.122:0.122:0.122)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _7087_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.450:0.450:0.450) (0.470:0.470:0.470)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.186:-0.186:-0.186)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _7088_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.429:0.429:0.429) (0.452:0.452:0.452)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.350:0.350:0.350)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.187:-0.187:-0.187)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.069:0.069:0.069)) - (SETUP (negedge D) (posedge CLK) (0.123:0.123:0.123)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7089_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.493:0.493:0.493) (0.543:0.543:0.543)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.372:0.372:0.372)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.168:-0.168:-0.168)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7090_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.483:0.483:0.483) (0.470:0.470:0.470)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.363:0.363:0.363)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.205:-0.205)) - (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058)) - (SETUP (negedge D) (posedge CLK) (0.112:0.112:0.112)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7091_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.523:0.523:0.523) (0.567:0.567:0.567)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.186:-0.186:-0.186)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _7092_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.692:0.692:0.692) (0.463:0.463:0.463)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.151:0.151:0.151)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.132:-0.132:-0.132)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.014:-0.014:-0.014)) - (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058)) - (SETUP (negedge D) (posedge CLK) (0.070:0.070:0.070)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7093_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.480:0.480:0.480) (0.465:0.465:0.465)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.196:-0.196:-0.196)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.052:-0.052:-0.052)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.122:0.122:0.122)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _7094_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.477:0.477:0.477) (0.490:0.490:0.490)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.191:-0.191:-0.191)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _7095_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.611:0.611:0.611) (0.418:0.418:0.418)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.147:0.147:0.147)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.015:-0.015:-0.015)) - (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057)) - (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.071)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _7096_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.655:0.655:0.655) (0.436:0.436:0.436)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.148:0.148:0.148)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.127:-0.127:-0.127)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.010:-0.010:-0.010)) - (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059)) - (SETUP (negedge D) (posedge CLK) (0.074:0.074:0.074)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7097_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.377:0.377:0.377) (0.398:0.398:0.398)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.371:0.371:0.371)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.183:-0.183:-0.183)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.052:-0.052:-0.052)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.121:0.121:0.121)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7098_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.572:0.572:0.572) (0.588:0.588:0.588)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.368:0.368:0.368)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.162:-0.162:-0.162)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7099_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.543:0.543:0.543) (0.573:0.573:0.573)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.168:-0.168:-0.168)) - (HOLD (posedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.070:0.070:0.070)) - (SETUP (negedge D) (posedge CLK) (0.123:0.123:0.123)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7100_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.587:0.587:0.587) (0.602:0.602:0.602)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.179:-0.179:-0.179)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7101_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.381:0.381:0.381) (0.404:0.404:0.404)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.369:0.369:0.369)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.196:-0.196:-0.196)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7102_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.566:0.566:0.566) (0.588:0.588:0.588)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.179:-0.179:-0.179)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.115:0.115:0.115)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _7103_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.732:0.732:0.732) (0.468:0.468:0.468)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.147:0.147:0.147)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.126:-0.126:-0.126)) - (HOLD (posedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (HOLD (negedge D) (posedge CLK) (-0.015:-0.015:-0.015)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.080:0.080:0.080)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _7104_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.595:0.595:0.595) (0.407:0.407:0.407)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.147:0.147:0.147)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.015:-0.015:-0.015)) - (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056)) - (SETUP (negedge D) (posedge CLK) (0.070:0.070:0.070)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _7105_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.469:0.469:0.469) (0.482:0.482:0.482)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.177:-0.177:-0.177)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068)) - (SETUP (negedge D) (posedge CLK) (0.121:0.121:0.121)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7106_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.467:0.467:0.467) (0.460:0.460:0.460)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.370:0.370:0.370)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.199:-0.199:-0.199)) - (HOLD (posedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (HOLD (negedge D) (posedge CLK) (-0.054:-0.054:-0.054)) - (SETUP (posedge D) (posedge CLK) (0.069:0.069:0.069)) - (SETUP (negedge D) (posedge CLK) (0.123:0.123:0.123)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7107_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.388:0.388:0.388) (0.405:0.405:0.405)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.194:-0.194:-0.194)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7108_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.449:0.449:0.449) (0.448:0.448:0.448)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.372:0.372:0.372)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.192:-0.192:-0.192)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.117:0.117:0.117)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7109_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.454:0.454:0.454) (0.449:0.449:0.449)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.367:0.367:0.367)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7110_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.382:0.382:0.382) (0.403:0.403:0.403)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.354:0.354:0.354)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.207:-0.207:-0.207)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _7111_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.726:0.726:0.726) (0.483:0.483:0.483)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.142:0.142:0.142)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.123:-0.123:-0.123)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.012:-0.012:-0.012)) - (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055)) - (SETUP (negedge D) (posedge CLK) (0.068:0.068:0.068)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _7112_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.728:0.728:0.728) (0.482:0.482:0.482)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.147:0.147:0.147)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.015:-0.015:-0.015)) - (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058)) - (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.071)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7113_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.379:0.379:0.379) (0.401:0.401:0.401)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.201:-0.201:-0.201)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7114_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.524:0.524:0.524) (0.566:0.566:0.566)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.368:0.368:0.368)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.174:-0.174:-0.174)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7115_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.403:0.403:0.403) (0.416:0.416:0.416)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.374:0.374:0.374)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.182:-0.182:-0.182)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7116_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.423:0.423:0.423) (0.432:0.432:0.432)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.368:0.368:0.368)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.197:-0.197:-0.197)) - (HOLD (posedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (HOLD (negedge D) (posedge CLK) (-0.055:-0.055:-0.055)) - (SETUP (posedge D) (posedge CLK) (0.069:0.069:0.069)) - (SETUP (negedge D) (posedge CLK) (0.124:0.124:0.124)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7117_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.373:0.373:0.373) (0.395:0.395:0.395)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.367:0.367:0.367)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7118_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.379:0.379:0.379) (0.399:0.399:0.399)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.188:-0.188:-0.188)) - (HOLD (posedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (HOLD (negedge D) (posedge CLK) (-0.055:-0.055:-0.055)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) - (SETUP (negedge D) (posedge CLK) (0.124:0.124:0.124)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _7119_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.622:0.622:0.622) (0.426:0.426:0.426)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.142:0.142:0.142)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.123:-0.123:-0.123)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.011:-0.011:-0.011)) - (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055)) - (SETUP (negedge D) (posedge CLK) (0.067:0.067:0.067)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _7120_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.616:0.616:0.616) (0.419:0.419:0.419)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.147:0.147:0.147)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.017:-0.017:-0.017)) - (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060)) - (SETUP (negedge D) (posedge CLK) (0.074:0.074:0.074)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7121_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.477:0.477:0.477) (0.468:0.468:0.468)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.367:0.367:0.367)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.206)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060)) - (SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7122_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.564:0.564:0.564) (0.588:0.588:0.588)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.367:0.367:0.367)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.179:-0.179:-0.179)) - (HOLD (posedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.070:0.070:0.070)) - (SETUP (negedge D) (posedge CLK) (0.121:0.121:0.121)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7123_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.506:0.506:0.506) (0.484:0.484:0.484)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.206)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.115:0.115:0.115)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7124_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.390:0.390:0.390) (0.408:0.408:0.408)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.202:-0.202:-0.202)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _7125_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.488:0.488:0.488) (0.494:0.494:0.494)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.187:-0.187:-0.187)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.070:0.070:0.070)) - (SETUP (negedge D) (posedge CLK) (0.122:0.122:0.122)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7126_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.439:0.439:0.439) (0.441:0.441:0.441)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.202:-0.202:-0.202)) - (HOLD (posedge D) (posedge CLK) (-0.043:-0.043:-0.043)) - (HOLD (negedge D) (posedge CLK) (-0.054:-0.054:-0.054)) - (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068)) - (SETUP (negedge D) (posedge CLK) (0.124:0.124:0.124)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _7127_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.598:0.598:0.598) (0.409:0.409:0.409)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.146:0.146:0.146)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.015:-0.015:-0.015)) - (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057)) - (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.071)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _7128_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.605:0.605:0.605) (0.412:0.412:0.412)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.141:0.141:0.141)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.122:-0.122:-0.122)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060)) - (SETUP (negedge D) (posedge CLK) (0.074:0.074:0.074)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7129_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.447:0.447:0.447) (0.446:0.446:0.446)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.194:-0.194:-0.194)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7130_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.583:0.583:0.583) (0.598:0.598:0.598)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.175:-0.175:-0.175)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7131_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.505:0.505:0.505) (0.552:0.552:0.552)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.368:0.368:0.368)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.175:-0.175:-0.175)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7132_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.460:0.460:0.460) (0.452:0.452:0.452)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.188:-0.188:-0.188)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.053:-0.053:-0.053)) - (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) - (SETUP (negedge D) (posedge CLK) (0.122:0.122:0.122)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7133_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.467:0.467:0.467) (0.457:0.457:0.457)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.367:0.367:0.367)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7134_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.388:0.388:0.388) (0.407:0.407:0.407)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.203:-0.203:-0.203)) - (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033)) - (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058)) - (SETUP (negedge D) (posedge CLK) (0.112:0.112:0.112)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _7135_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.560:0.560:0.560) (0.387:0.387:0.387)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.147:0.147:0.147)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.016:-0.016:-0.016)) - (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058)) - (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _7136_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.715:0.715:0.715) (0.465:0.465:0.465)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.154:0.154:0.154)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.133:-0.133:-0.133)) - (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033)) - (HOLD (negedge D) (posedge CLK) (-0.006:-0.006:-0.006)) - (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055)) - (SETUP (negedge D) (posedge CLK) (0.070:0.070:0.070)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7137_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.418:0.418:0.418) (0.428:0.428:0.428)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.378:0.378:0.378)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.187:-0.187:-0.187)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _7138_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.450:0.450:0.450) (0.471:0.471:0.471)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.187:-0.187:-0.187)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.070:0.070:0.070)) - (SETUP (negedge D) (posedge CLK) (0.122:0.122:0.122)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7139_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.417:0.417:0.417) (0.427:0.427:0.427)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.368:0.368:0.368)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.198:-0.198:-0.198)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060)) - (SETUP (negedge D) (posedge CLK) (0.115:0.115:0.115)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7140_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.399:0.399:0.399) (0.415:0.415:0.415)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.372:0.372:0.372)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.192:-0.192:-0.192)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7141_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.398:0.398:0.398) (0.415:0.415:0.415)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.369:0.369:0.369)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.196:-0.196:-0.196)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7142_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.395:0.395:0.395) (0.411:0.411:0.411)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.193:-0.193:-0.193)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7143_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.525:0.525:0.525) (0.507:0.507:0.507)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.386:0.386:0.386)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.231:-0.231:-0.231)) - (HOLD (posedge D) (posedge CLK) (-0.023:-0.024:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.017:-0.022:-0.027)) - (SETUP (posedge D) (posedge CLK) (0.047:0.048:0.048)) - (SETUP (negedge D) (posedge CLK) (0.086:0.091:0.096)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _7144_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.512:0.512:0.512) (0.521:0.521:0.521)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.393:0.393:0.393)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.207:-0.207:-0.207)) - (HOLD (posedge D) (posedge CLK) (-0.023:-0.023:-0.023)) - (HOLD (negedge D) (posedge CLK) (-0.015:-0.016:-0.016)) - (SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051)) - (SETUP (negedge D) (posedge CLK) (0.088:0.088:0.089)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _7145_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.516:0.516:0.516) (0.519:0.519:0.519)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.385:0.385:0.385)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.199:-0.199:-0.199)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.068)) - (SETUP (negedge D) (posedge CLK) (0.110:0.110:0.110)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7146_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.465:0.465:0.465) (0.468:0.468:0.468)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.393:0.393:0.393)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224:-0.224:-0.224)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.031)) - (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060)) - (SETUP (negedge D) (posedge CLK) (0.099:0.099:0.100)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7147_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.456:0.456:0.456) (0.462:0.462:0.462)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.393:0.393:0.393)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224:-0.224:-0.224)) - (HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031)) - (HOLD (negedge D) (posedge CLK) (-0.027:-0.028:-0.029)) - (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056)) - (SETUP (negedge D) (posedge CLK) (0.096:0.097:0.098)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _7148_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.464:0.464:0.464) (0.487:0.487:0.487)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.386:0.386:0.386)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.215:-0.215:-0.215)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.021:-0.022)) - (HOLD (negedge D) (posedge CLK) (-0.023:-0.023:-0.023)) - (SETUP (posedge D) (posedge CLK) (0.048:0.049:0.049)) - (SETUP (negedge D) (posedge CLK) (0.097:0.097:0.097)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _7149_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.665:0.665:0.665) (0.458:0.458:0.458)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.168:0.168:0.168)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.150:-0.150:-0.150)) - (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027)) - (HOLD (negedge D) (posedge CLK) (0.005:0.004:0.002)) - (SETUP (posedge D) (posedge CLK) (0.045:0.045:0.046)) - (SETUP (negedge D) (posedge CLK) (0.049:0.051:0.053)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7150_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.478:0.478:0.478) (0.474:0.474:0.474)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.381:0.381:0.381)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.225:-0.225:-0.225)) - (HOLD (posedge D) (posedge CLK) (-0.024:-0.025:-0.026)) - (HOLD (negedge D) (posedge CLK) (-0.024:-0.024:-0.025)) - (SETUP (posedge D) (posedge CLK) (0.048:0.049:0.050)) - (SETUP (negedge D) (posedge CLK) (0.092:0.093:0.093)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7151_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.477:0.477:0.477) (0.473:0.473:0.473)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.397:0.397:0.397)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.210:-0.210:-0.210)) - (HOLD (posedge D) (posedge CLK) (-0.023:-0.024:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.022:-0.023:-0.023)) - (SETUP (posedge D) (posedge CLK) (0.047:0.048:0.048)) - (SETUP (negedge D) (posedge CLK) (0.090:0.091:0.092)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_4") - (INSTANCE _7152_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.777:0.777:0.777) (0.576:0.576:0.576)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.163:0.163:0.163)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.144:-0.144:-0.144)) - (HOLD (posedge D) (posedge CLK) (-0.023:-0.023:-0.024)) - (HOLD (negedge D) (posedge CLK) (0.008:0.007:0.007)) - (SETUP (posedge D) (posedge CLK) (0.042:0.042:0.043)) - (SETUP (negedge D) (posedge CLK) (0.052:0.052:0.052)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _7153_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.662:0.662:0.662) (0.454:0.454:0.454)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.161:0.161:0.161)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.142:-0.142:-0.142)) - (HOLD (posedge D) (posedge CLK) (-0.025:-0.026:-0.026)) - (HOLD (negedge D) (posedge CLK) (0.000:-0.000:-0.000)) - (SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044)) - (SETUP (negedge D) (posedge CLK) (0.055:0.055:0.056)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _7154_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.652:0.652:0.652) (0.453:0.453:0.453)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.168:0.168:0.168)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.150:-0.150:-0.150)) - (HOLD (posedge D) (posedge CLK) (-0.023:-0.023:-0.023)) - (HOLD (negedge D) (posedge CLK) (0.011:0.009:0.008)) - (SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041)) - (SETUP (negedge D) (posedge CLK) (0.043:0.045:0.047)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _7155_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.458:0.458:0.458) (0.482:0.482:0.482)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.393:0.393:0.393)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.207:-0.207:-0.207)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.039:-0.041)) - (HOLD (negedge D) (posedge CLK) (-0.018:-0.023:-0.027)) - (SETUP (posedge D) (posedge CLK) (0.067:0.069:0.070)) - (SETUP (negedge D) (posedge CLK) (0.092:0.096:0.100)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7156_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.459:0.459:0.459) (0.460:0.460:0.460)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.386:0.386:0.386)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.216:-0.216:-0.216)) - (HOLD (posedge D) (posedge CLK) (-0.025:-0.026:-0.026)) - (HOLD (negedge D) (posedge CLK) (-0.025:-0.026:-0.026)) - (SETUP (posedge D) (posedge CLK) (0.049:0.050:0.051)) - (SETUP (negedge D) (posedge CLK) (0.093:0.094:0.095)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _7157_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.705:0.705:0.705) (0.473:0.473:0.473)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.172:0.172:0.172)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.152:-0.152:-0.152)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.036:-0.038)) - (HOLD (negedge D) (posedge CLK) (0.015:0.011:0.007)) - (SETUP (posedge D) (posedge CLK) (0.057:0.059:0.060)) - (SETUP (negedge D) (posedge CLK) (0.048:0.052:0.057)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7158_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.498:0.498:0.498) (0.549:0.549:0.549)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.386:0.386:0.386)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.193:-0.193:-0.193)) - (HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.029)) - (HOLD (negedge D) (posedge CLK) (-0.026:-0.026:-0.026)) - (SETUP (posedge D) (posedge CLK) (0.056:0.057:0.057)) - (SETUP (negedge D) (posedge CLK) (0.098:0.099:0.099)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7159_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.343:0.343:0.343) (0.380:0.380:0.380)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.378:0.378:0.378)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.222:-0.222:-0.222)) - (HOLD (posedge D) (posedge CLK) (-0.014:-0.014:-0.014)) - (HOLD (negedge D) (posedge CLK) (-0.009:-0.009:-0.009)) - (SETUP (posedge D) (posedge CLK) (0.037:0.037:0.037)) - (SETUP (negedge D) (posedge CLK) (0.076:0.076:0.076)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7160_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.365:0.365:0.365) (0.397:0.397:0.397)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.378:0.378:0.378)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.222:-0.222:-0.222)) - (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032)) - (SETUP (posedge D) (posedge CLK) (0.047:0.048:0.049)) - (SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7161_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.407:0.407:0.407) (0.432:0.432:0.432)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.386:0.386:0.386)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.231:-0.231:-0.231)) - (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033)) - (HOLD (negedge D) (posedge CLK) (-0.035:-0.036:-0.037)) - (SETUP (posedge D) (posedge CLK) (0.057:0.058:0.058)) - (SETUP (negedge D) (posedge CLK) (0.105:0.105:0.106)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7162_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.366:0.366:0.366) (0.399:0.399:0.399)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.398:0.398:0.398)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.209:-0.209:-0.209)) - (HOLD (posedge D) (posedge CLK) (-0.023:-0.023:-0.023)) - (HOLD (negedge D) (posedge CLK) (-0.022:-0.022:-0.023)) - (SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047)) - (SETUP (negedge D) (posedge CLK) (0.090:0.091:0.091)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7163_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.343:0.343:0.343) (0.380:0.380:0.380)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.397:0.397:0.397)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.209:-0.209:-0.209)) - (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027)) - (HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032)) - (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052)) - (SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7164_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.367:0.367:0.367) (0.400:0.400:0.400)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.397:0.397:0.397)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.210:-0.210:-0.210)) - (HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022)) - (HOLD (negedge D) (posedge CLK) (-0.029:-0.029:-0.029)) - (SETUP (posedge D) (posedge CLK) (0.046:0.046:0.046)) - (SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7165_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.353:0.353:0.353) (0.389:0.389:0.389)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.397:0.397:0.397)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.210:-0.210:-0.210)) - (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027)) - (HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032)) - (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052)) - (SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7166_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.407:0.407:0.407) (0.430:0.430:0.430)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.395:0.395:0.395)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.207:-0.207:-0.207)) - (HOLD (posedge D) (posedge CLK) (-0.023:-0.023:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.031:-0.032:-0.032)) - (SETUP (posedge D) (posedge CLK) (0.047:0.047:0.048)) - (SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7167_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.393:0.393:0.393) (0.421:0.421:0.421)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.393:0.393:0.393)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224:-0.224:-0.224)) - (HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022)) - (HOLD (negedge D) (posedge CLK) (-0.025:-0.025:-0.025)) - (SETUP (posedge D) (posedge CLK) (0.046:0.046:0.046)) - (SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7168_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.387:0.387:0.387) (0.415:0.415:0.415)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.386:0.386:0.386)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.216:-0.216:-0.216)) - (HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030)) - (HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055)) - (SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7169_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.544:0.544:0.544) (0.515:0.515:0.515)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.377:0.377:0.377)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224:-0.224:-0.224)) - (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032)) - (SETUP (posedge D) (posedge CLK) (0.048:0.048:0.049)) - (SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7170_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.489:0.489:0.489) (0.485:0.485:0.485)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.389:0.389:0.389)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.220:-0.220:-0.220)) - (HOLD (posedge D) (posedge CLK) (-0.022:-0.023:-0.023)) - (HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030)) - (SETUP (posedge D) (posedge CLK) (0.046:0.046:0.047)) - (SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7171_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.515:0.515:0.515) (0.500:0.500:0.500)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.389:0.389:0.389)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.220:-0.220:-0.220)) - (HOLD (posedge D) (posedge CLK) (-0.023:-0.023:-0.023)) - (HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030)) - (SETUP (posedge D) (posedge CLK) (0.046:0.047:0.047)) - (SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7172_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.438:0.438:0.438) (0.451:0.451:0.451)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.389:0.389:0.389)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.220:-0.220:-0.220)) - (HOLD (posedge D) (posedge CLK) (-0.023:-0.023:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031)) - (SETUP (posedge D) (posedge CLK) (0.047:0.047:0.048)) - (SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7173_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.482:0.482:0.482) (0.480:0.480:0.480)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.389:0.389:0.389)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.220:-0.220:-0.220)) - (HOLD (posedge D) (posedge CLK) (-0.023:-0.023:-0.023)) - (HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.031)) - (SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047)) - (SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7174_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.395:0.395:0.395) (0.420:0.420:0.420)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.378:0.378:0.378)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.223:-0.223:-0.223)) - (HOLD (posedge D) (posedge CLK) (-0.023:-0.024:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032)) - (SETUP (posedge D) (posedge CLK) (0.047:0.048:0.048)) - (SETUP (negedge D) (posedge CLK) (0.100:0.101:0.101)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7175_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.344:0.344:0.344) (0.381:0.381:0.381)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.395:0.395:0.395)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.211:-0.211:-0.211)) - (HOLD (posedge D) (posedge CLK) (-0.023:-0.024:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.022:-0.023:-0.023)) - (SETUP (posedge D) (posedge CLK) (0.047:0.048:0.049)) - (SETUP (negedge D) (posedge CLK) (0.091:0.091:0.092)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7176_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.378:0.378:0.378) (0.409:0.409:0.409)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.400:0.400:0.400)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.217:-0.217:-0.217)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.019:-0.019)) - (HOLD (negedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042)) - (SETUP (negedge D) (posedge CLK) (0.092:0.092:0.092)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7177_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.355:0.355:0.355) (0.391:0.391:0.391)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.400:0.400:0.400)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.217:-0.217:-0.217)) - (HOLD (posedge D) (posedge CLK) (-0.023:-0.023:-0.023)) - (HOLD (negedge D) (posedge CLK) (-0.026:-0.026:-0.026)) - (SETUP (posedge D) (posedge CLK) (0.046:0.046:0.046)) - (SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7178_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.346:0.346:0.346) (0.384:0.384:0.384)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.400:0.400:0.400)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.217:-0.217:-0.217)) - (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019)) - (HOLD (negedge D) (posedge CLK) (-0.024:-0.024:-0.025)) - (SETUP (posedge D) (posedge CLK) (0.042:0.043:0.043)) - (SETUP (negedge D) (posedge CLK) (0.093:0.093:0.093)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7179_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.375:0.375:0.375) (0.407:0.407:0.407)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.397:0.397:0.397)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.220:-0.220:-0.220)) - (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019)) - (HOLD (negedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (SETUP (posedge D) (posedge CLK) (0.042:0.042:0.043)) - (SETUP (negedge D) (posedge CLK) (0.092:0.093:0.093)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7180_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.359:0.359:0.359) (0.395:0.395:0.395)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.397:0.397:0.397)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.220:-0.220:-0.220)) - (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.028:-0.028:-0.028)) - (SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049)) - (SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7181_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.370:0.370:0.370) (0.403:0.403:0.403)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.400:0.400:0.400)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.217:-0.217:-0.217)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (HOLD (negedge D) (posedge CLK) (-0.020:-0.020:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.045:0.045:0.045)) - (SETUP (negedge D) (posedge CLK) (0.088:0.089:0.089)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7182_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.416:0.416:0.416) (0.435:0.435:0.435)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.378:0.378:0.378)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.223:-0.223:-0.223)) - (HOLD (posedge D) (posedge CLK) (-0.024:-0.025:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033)) - (SETUP (posedge D) (posedge CLK) (0.048:0.049:0.050)) - (SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7183_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.443:0.443:0.443) (0.454:0.454:0.454)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.391:0.391:0.391)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.215:-0.215:-0.215)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.035:-0.037:-0.039)) - (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060)) - (SETUP (negedge D) (posedge CLK) (0.104:0.106:0.108)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7184_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.410:0.410:0.410) (0.432:0.432:0.432)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.393:0.393:0.393)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.217:-0.217:-0.217)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.034:-0.036:-0.038)) - (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059)) - (SETUP (negedge D) (posedge CLK) (0.103:0.105:0.107)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7185_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.413:0.413:0.413) (0.435:0.435:0.435)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.393:0.393:0.393)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.217:-0.217:-0.217)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.035:-0.037:-0.039)) - (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061)) - (SETUP (negedge D) (posedge CLK) (0.105:0.107:0.109)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7186_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.417:0.417:0.417) (0.437:0.437:0.437)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.393:0.393:0.393)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.217:-0.217:-0.217)) - (HOLD (posedge D) (posedge CLK) (-0.022:-0.023:-0.023)) - (HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030)) - (SETUP (posedge D) (posedge CLK) (0.046:0.046:0.047)) - (SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7187_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.494:0.494:0.494) (0.488:0.488:0.488)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.393:0.393:0.393)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.217:-0.217:-0.217)) - (HOLD (posedge D) (posedge CLK) (-0.023:-0.024:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.023:-0.024:-0.026)) - (SETUP (posedge D) (posedge CLK) (0.047:0.047:0.048)) - (SETUP (negedge D) (posedge CLK) (0.091:0.093:0.094)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7188_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.598:0.598:0.598) (0.618:0.618:0.618)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.386:0.386:0.386)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.201:-0.201:-0.201)) - (HOLD (posedge D) (posedge CLK) (-0.022:-0.023:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.017:-0.018:-0.018)) - (SETUP (posedge D) (posedge CLK) (0.050:0.050:0.051)) - (SETUP (negedge D) (posedge CLK) (0.089:0.090:0.091)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _7189_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.549:0.549:0.549) (0.432:0.432:0.432)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.031)) - (HOLD (negedge D) (posedge CLK) (-0.053:-0.053:-0.053)) - (SETUP (posedge D) (posedge CLK) (0.052:0.053:0.054)) - (SETUP (negedge D) (posedge CLK) (0.110:0.110:0.110)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _7190_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.501:0.501:0.501) (0.408:0.408:0.408)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.024:-0.025:-0.026)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.046:0.047:0.048)) - (SETUP (negedge D) (posedge CLK) (0.103:0.104:0.104)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_2") - (INSTANCE _7191_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.455:0.455:0.455) (0.396:0.396:0.396)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.026:-0.027:-0.027)) - (HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054)) - (SETUP (negedge D) (posedge CLK) (0.109:0.109:0.109)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _7192_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.484:0.484:0.484) (0.394:0.394:0.394)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.026:-0.027:-0.027)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.051)) - (SETUP (posedge D) (posedge CLK) (0.048:0.049:0.050)) - (SETUP (negedge D) (posedge CLK) (0.107:0.107:0.108)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_2") - (INSTANCE _7193_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.452:0.452:0.452) (0.394:0.394:0.394)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (SETUP (posedge D) (posedge CLK) (0.051:0.051:0.052)) - (SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _7194_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.422:0.422:0.422) (0.381:0.381:0.381)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.009:-0.010:-0.011)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.030:0.031:0.032)) - (SETUP (negedge D) (posedge CLK) (0.077:0.077:0.077)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _7195_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.433:0.433:0.433) (0.368:0.368:0.368)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.026:-0.027:-0.028)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.048:0.049:0.050)) - (SETUP (negedge D) (posedge CLK) (0.107:0.107:0.107)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _7196_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.513:0.513:0.513) (0.409:0.409:0.409)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.030)) - (HOLD (negedge D) (posedge CLK) (-0.053:-0.053:-0.053)) - (SETUP (posedge D) (posedge CLK) (0.051:0.052:0.052)) - (SETUP (negedge D) (posedge CLK) (0.110:0.110:0.111)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7197_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.378:0.378:0.378) (0.409:0.409:0.409)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.386:0.386:0.386)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.223:-0.223:-0.223)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.021)) - (HOLD (negedge D) (posedge CLK) (-0.027:-0.027:-0.027)) - (SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044)) - (SETUP (negedge D) (posedge CLK) (0.095:0.095:0.096)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7198_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.362:0.362:0.362) (0.397:0.397:0.397)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.386:0.386:0.386)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.223:-0.223:-0.223)) - (HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.023)) - (HOLD (negedge D) (posedge CLK) (-0.029:-0.030:-0.030)) - (SETUP (posedge D) (posedge CLK) (0.046:0.046:0.046)) - (SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7199_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.377:0.377:0.377) (0.408:0.408:0.408)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.386:0.386:0.386)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.223:-0.223:-0.223)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.022:-0.022)) - (HOLD (negedge D) (posedge CLK) (-0.028:-0.029:-0.029)) - (SETUP (posedge D) (posedge CLK) (0.045:0.045:0.046)) - (SETUP (negedge D) (posedge CLK) (0.097:0.097:0.097)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7200_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.384:0.384:0.384) (0.414:0.414:0.414)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.386:0.386:0.386)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.223:-0.223:-0.223)) - (HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.023)) - (HOLD (negedge D) (posedge CLK) (-0.029:-0.029:-0.030)) - (SETUP (posedge D) (posedge CLK) (0.046:0.046:0.046)) - (SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7201_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.426:0.426:0.426) (0.450:0.450:0.450)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.403:0.403:0.403)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.242:-0.242:-0.242)) - (HOLD (posedge D) (posedge CLK) (-0.012:-0.013:-0.013)) - (HOLD (negedge D) (posedge CLK) (-0.013:-0.014:-0.014)) - (SETUP (posedge D) (posedge CLK) (0.035:0.036:0.036)) - (SETUP (negedge D) (posedge CLK) (0.082:0.082:0.082)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7202_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.389:0.389:0.389) (0.421:0.421:0.421)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.403:0.403:0.403)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.242:-0.242:-0.242)) - (HOLD (posedge D) (posedge CLK) (-0.014:-0.014:-0.014)) - (HOLD (negedge D) (posedge CLK) (-0.015:-0.015:-0.016)) - (SETUP (posedge D) (posedge CLK) (0.037:0.037:0.037)) - (SETUP (negedge D) (posedge CLK) (0.084:0.084:0.084)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7203_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.390:0.390:0.390) (0.422:0.422:0.422)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.403:0.403:0.403)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.242:-0.242:-0.242)) - (HOLD (posedge D) (posedge CLK) (-0.013:-0.013:-0.013)) - (HOLD (negedge D) (posedge CLK) (-0.014:-0.014:-0.014)) - (SETUP (posedge D) (posedge CLK) (0.036:0.036:0.036)) - (SETUP (negedge D) (posedge CLK) (0.083:0.083:0.083)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7204_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.396:0.396:0.396) (0.426:0.426:0.426)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.403:0.403:0.403)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.242:-0.242:-0.242)) - (HOLD (posedge D) (posedge CLK) (-0.012:-0.012:-0.012)) - (HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.013)) - (SETUP (posedge D) (posedge CLK) (0.035:0.035:0.035)) - (SETUP (negedge D) (posedge CLK) (0.081:0.081:0.082)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _7205_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.410:0.410:0.410) (0.450:0.450:0.450)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.402:0.402:0.402)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226:-0.226:-0.226)) - (HOLD (posedge D) (posedge CLK) (-0.014:-0.014:-0.014)) - (HOLD (negedge D) (posedge CLK) (0.001:-0.003:-0.006)) - (SETUP (posedge D) (posedge CLK) (0.040:0.041:0.041)) - (SETUP (negedge D) (posedge CLK) (0.072:0.076:0.079)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _7206_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.389:0.389:0.389) (0.421:0.421:0.421)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.402:0.402:0.402)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.242:-0.242:-0.242)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (HOLD (negedge D) (posedge CLK) (-0.019:-0.019:-0.019)) - (SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044)) - (SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7207_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.511:0.511:0.511) (0.558:0.558:0.558)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.186:-0.186:-0.186)) - (HOLD (posedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.072:0.072:0.072)) - (SETUP (negedge D) (posedge CLK) (0.122:0.122:0.122)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7208_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.499:0.499:0.499) (0.547:0.547:0.547)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.181:-0.181:-0.181)) - (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) - (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _7209_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.677:0.677:0.677) (0.455:0.455:0.455)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.151:0.151:0.151)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.132:-0.132:-0.132)) - (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.013)) - (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056)) - (SETUP (negedge D) (posedge CLK) (0.069:0.069:0.069)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7210_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.498:0.498:0.498) (0.546:0.546:0.546)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.181:-0.181:-0.181)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) - (HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) - (SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _7211_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.538:0.538:0.538) (0.573:0.573:0.573)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.181:-0.181:-0.181)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _3234__1) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.040:0.040:0.040) (0.028:0.028:0.028)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE _7213_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.212:0.212:0.212) (0.196:0.196:0.196)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE _7214_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.177:0.177:0.177) (0.166:0.166:0.166)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _7215_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.372:0.372:0.372) (0.267:0.267:0.267)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input115) - (DELAY - (ABSOLUTE - (IOPATH A X (0.093:0.093:0.093) (0.084:0.084:0.084)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") - (INSTANCE input114) - (DELAY - (ABSOLUTE - (IOPATH A X (0.192:0.192:0.192) (0.178:0.178:0.178)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") - (INSTANCE input113) - (DELAY - (ABSOLUTE - (IOPATH A X (0.185:0.185:0.185) (0.174:0.174:0.174)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input112) - (DELAY - (ABSOLUTE - (IOPATH A X (0.176:0.176:0.176) (0.126:0.126:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input111) - (DELAY - (ABSOLUTE - (IOPATH A X (0.212:0.212:0.212) (0.190:0.190:0.190)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input110) - (DELAY - (ABSOLUTE - (IOPATH A X (0.203:0.203:0.203) (0.160:0.160:0.160)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input109) - (DELAY - (ABSOLUTE - (IOPATH A X (0.096:0.096:0.096) (0.086:0.086:0.086)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input108) - (DELAY - (ABSOLUTE - (IOPATH A X (0.109:0.109:0.109) (0.093:0.093:0.093)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input107) - (DELAY - (ABSOLUTE - (IOPATH A X (0.094:0.094:0.094) (0.085:0.085:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input106) - (DELAY - (ABSOLUTE - (IOPATH A X (0.104:0.104:0.104) (0.091:0.091:0.091)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input105) - (DELAY - (ABSOLUTE - (IOPATH A X (0.107:0.107:0.107) (0.092:0.092:0.092)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input104) - (DELAY - (ABSOLUTE - (IOPATH A X (0.146:0.146:0.146) (0.113:0.113:0.113)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input103) - (DELAY - (ABSOLUTE - (IOPATH A X (0.111:0.111:0.111) (0.095:0.095:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input102) - (DELAY - (ABSOLUTE - (IOPATH A X (0.106:0.106:0.106) (0.092:0.092:0.092)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input101) - (DELAY - (ABSOLUTE - (IOPATH A X (0.101:0.101:0.101) (0.089:0.089:0.089)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input100) - (DELAY - (ABSOLUTE - (IOPATH A X (0.110:0.110:0.110) (0.094:0.094:0.094)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE input99) - (DELAY - (ABSOLUTE - (IOPATH A X (0.186:0.186:0.186) (0.153:0.153:0.153)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input98) - (DELAY - (ABSOLUTE - (IOPATH A X (0.241:0.241:0.241) (0.212:0.212:0.212)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE input97) - (DELAY - (ABSOLUTE - (IOPATH A X (0.304:0.304:0.304) (0.222:0.222:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE input96) - (DELAY - (ABSOLUTE - (IOPATH A X (0.314:0.314:0.314) (0.227:0.227:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE input95) - (DELAY - (ABSOLUTE - (IOPATH A X (0.276:0.276:0.276) (0.205:0.205:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input94) - (DELAY - (ABSOLUTE - (IOPATH A X (0.118:0.118:0.118) (0.097:0.097:0.097)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input93) - (DELAY - (ABSOLUTE - (IOPATH A X (0.276:0.276:0.276) (0.205:0.205:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE input92) - (DELAY - (ABSOLUTE - (IOPATH A X (0.214:0.214:0.214) (0.185:0.185:0.185)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input91) - (DELAY - (ABSOLUTE - (IOPATH A X (0.234:0.234:0.234) (0.159:0.159:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE input90) - (DELAY - (ABSOLUTE - (IOPATH A X (0.207:0.207:0.207) (0.180:0.180:0.180)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") - (INSTANCE input89) - (DELAY - (ABSOLUTE - (IOPATH A X (0.227:0.227:0.227) (0.201:0.201:0.201)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input88) - (DELAY - (ABSOLUTE - (IOPATH A X (0.287:0.287:0.287) (0.240:0.240:0.240)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input87) - (DELAY - (ABSOLUTE - (IOPATH A X (0.250:0.250:0.250) (0.216:0.216:0.216)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input86) - (DELAY - (ABSOLUTE - (IOPATH A X (0.292:0.292:0.292) (0.248:0.248:0.248)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE input85) - (DELAY - (ABSOLUTE - (IOPATH A X (0.268:0.268:0.268) (0.199:0.199:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE input84) - (DELAY - (ABSOLUTE - (IOPATH A X (0.277:0.277:0.277) (0.204:0.204:0.204)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE input83) - (DELAY - (ABSOLUTE - (IOPATH A X (0.237:0.237:0.237) (0.187:0.187:0.187)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") - (INSTANCE input82) - (DELAY - (ABSOLUTE - (IOPATH A X (0.210:0.210:0.210) (0.190:0.190:0.190)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") - (INSTANCE input81) - (DELAY - (ABSOLUTE - (IOPATH A X (0.177:0.177:0.177) (0.172:0.172:0.172)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input80) - (DELAY - (ABSOLUTE - (IOPATH A X (0.193:0.193:0.193) (0.137:0.137:0.137)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input79) - (DELAY - (ABSOLUTE - (IOPATH A X (0.198:0.198:0.198) (0.180:0.180:0.180)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input78) - (DELAY - (ABSOLUTE - (IOPATH A X (0.170:0.170:0.170) (0.124:0.124:0.124)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input77) - (DELAY - (ABSOLUTE - (IOPATH A X (0.089:0.089:0.089) (0.082:0.082:0.082)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input76) - (DELAY - (ABSOLUTE - (IOPATH A X (0.204:0.204:0.204) (0.153:0.153:0.153)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input75) - (DELAY - (ABSOLUTE - (IOPATH A X (0.149:0.149:0.149) (0.112:0.112:0.112)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") - (INSTANCE input74) - (DELAY - (ABSOLUTE - (IOPATH A X (0.192:0.192:0.192) (0.180:0.180:0.180)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input73) - (DELAY - (ABSOLUTE - (IOPATH A X (0.093:0.093:0.093) (0.084:0.084:0.084)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input72) - (DELAY - (ABSOLUTE - (IOPATH A X (0.080:0.080:0.080) (0.076:0.076:0.076)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE input71) - (DELAY - (ABSOLUTE - (IOPATH A X (0.229:0.229:0.229) (0.183:0.183:0.183)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE input70) - (DELAY - (ABSOLUTE - (IOPATH A X (0.259:0.259:0.259) (0.196:0.196:0.196)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input69) - (DELAY - (ABSOLUTE - (IOPATH A X (0.109:0.109:0.109) (0.093:0.093:0.093)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input68) - (DELAY - (ABSOLUTE - (IOPATH A X (0.290:0.290:0.290) (0.219:0.219:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input67) - (DELAY - (ABSOLUTE - (IOPATH A X (0.215:0.215:0.215) (0.160:0.160:0.160)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") - (INSTANCE input66) - (DELAY - (ABSOLUTE - (IOPATH A X (0.228:0.228:0.228) (0.200:0.200:0.200)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input65) - (DELAY - (ABSOLUTE - (IOPATH A X (0.257:0.257:0.257) (0.221:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE input64) - (DELAY - (ABSOLUTE - (IOPATH A X (0.198:0.198:0.198) (0.173:0.173:0.173)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE input63) - (DELAY - (ABSOLUTE - (IOPATH A X (0.232:0.232:0.232) (0.193:0.193:0.193)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE input62) - (DELAY - (ABSOLUTE - (IOPATH A X (0.197:0.197:0.197) (0.172:0.172:0.172)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") - (INSTANCE input61) - (DELAY - (ABSOLUTE - (IOPATH A X (0.292:0.292:0.292) (0.233:0.233:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input60) - (DELAY - (ABSOLUTE - (IOPATH A X (0.216:0.216:0.216) (0.149:0.149:0.149)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE input59) - (DELAY - (ABSOLUTE - (IOPATH A X (0.282:0.282:0.282) (0.208:0.208:0.208)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE input58) - (DELAY - (ABSOLUTE - (IOPATH A X (0.185:0.185:0.185) (0.138:0.138:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input57) - (DELAY - (ABSOLUTE - (IOPATH A X (0.187:0.187:0.187) (0.135:0.135:0.135)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE input56) - (DELAY - (ABSOLUTE - (IOPATH A X (0.247:0.247:0.247) (0.191:0.191:0.191)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE input55) - (DELAY - (ABSOLUTE - (IOPATH A X (0.173:0.173:0.173) (0.155:0.155:0.155)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE input54) - (DELAY - (ABSOLUTE - (IOPATH A X (0.206:0.206:0.206) (0.179:0.179:0.179)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE input53) - (DELAY - (ABSOLUTE - (IOPATH A X (0.240:0.240:0.240) (0.204:0.204:0.204)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input52) - (DELAY - (ABSOLUTE - (IOPATH A X (0.197:0.197:0.197) (0.140:0.140:0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE input51) - (DELAY - (ABSOLUTE - (IOPATH A X (0.257:0.257:0.257) (0.199:0.199:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE input50) - (DELAY - (ABSOLUTE - (IOPATH A X (0.278:0.278:0.278) (0.206:0.206:0.206)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") - (INSTANCE input49) - (DELAY - (ABSOLUTE - (IOPATH A X (0.222:0.222:0.222) (0.198:0.198:0.198)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") - (INSTANCE input48) - (DELAY - (ABSOLUTE - (IOPATH A X (0.246:0.246:0.246) (0.210:0.210:0.210)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE input47) - (DELAY - (ABSOLUTE - (IOPATH A X (0.258:0.258:0.258) (0.217:0.217:0.217)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE input46) - (DELAY - (ABSOLUTE - (IOPATH A X (0.210:0.210:0.210) (0.182:0.182:0.182)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE input45) - (DELAY - (ABSOLUTE - (IOPATH A X (0.229:0.229:0.229) (0.195:0.195:0.195)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE input44) - (DELAY - (ABSOLUTE - (IOPATH A X (0.197:0.197:0.197) (0.173:0.173:0.173)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input43) - (DELAY - (ABSOLUTE - (IOPATH A X (0.111:0.111:0.111) (0.095:0.095:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input42) - (DELAY - (ABSOLUTE - (IOPATH A X (0.241:0.241:0.241) (0.211:0.211:0.211)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") - (INSTANCE input41) - (DELAY - (ABSOLUTE - (IOPATH A X (0.199:0.199:0.199) (0.185:0.185:0.185)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") - (INSTANCE input40) - (DELAY - (ABSOLUTE - (IOPATH A X (0.242:0.242:0.242) (0.208:0.208:0.208)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input39) - (DELAY - (ABSOLUTE - (IOPATH A X (0.257:0.257:0.257) (0.220:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input38) - (DELAY - (ABSOLUTE - (IOPATH A X (0.276:0.276:0.276) (0.234:0.234:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE input37) - (DELAY - (ABSOLUTE - (IOPATH A X (0.220:0.220:0.220) (0.189:0.189:0.189)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input36) - (DELAY - (ABSOLUTE - (IOPATH A X (0.283:0.283:0.283) (0.237:0.237:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE input35) - (DELAY - (ABSOLUTE - (IOPATH A X (0.231:0.231:0.231) (0.197:0.197:0.197)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input34) - (DELAY - (ABSOLUTE - (IOPATH A X (0.232:0.232:0.232) (0.159:0.159:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input33) - (DELAY - (ABSOLUTE - (IOPATH A X (0.074:0.074:0.074) (0.074:0.074:0.074)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input32) - (DELAY - (ABSOLUTE - (IOPATH A X (0.228:0.228:0.228) (0.156:0.156:0.156)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input31) - (DELAY - (ABSOLUTE - (IOPATH A X (0.152:0.152:0.152) (0.116:0.116:0.116)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input30) - (DELAY - (ABSOLUTE - (IOPATH A X (0.177:0.177:0.177) (0.130:0.130:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input29) - (DELAY - (ABSOLUTE - (IOPATH A X (0.081:0.081:0.081) (0.077:0.077:0.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input28) - (DELAY - (ABSOLUTE - (IOPATH A X (0.194:0.194:0.194) (0.138:0.138:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") - (INSTANCE input27) - (DELAY - (ABSOLUTE - (IOPATH A X (0.264:0.264:0.264) (0.220:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") - (INSTANCE input26) - (DELAY - (ABSOLUTE - (IOPATH A X (0.246:0.246:0.246) (0.211:0.211:0.211)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input25) - (DELAY - (ABSOLUTE - (IOPATH A X (0.106:0.106:0.106) (0.091:0.091:0.091)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE input24) - (DELAY - (ABSOLUTE - (IOPATH A X (0.240:0.240:0.240) (0.203:0.203:0.203)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE input23) - (DELAY - (ABSOLUTE - (IOPATH A X (0.265:0.265:0.265) (0.222:0.222:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") - (INSTANCE input22) - (DELAY - (ABSOLUTE - (IOPATH A X (0.243:0.243:0.243) (0.209:0.209:0.209)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input21) - (DELAY - (ABSOLUTE - (IOPATH A X (0.114:0.114:0.114) (0.096:0.096:0.096)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input20) - (DELAY - (ABSOLUTE - (IOPATH A X (0.220:0.220:0.220) (0.152:0.152:0.152)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input19) - (DELAY - (ABSOLUTE - (IOPATH A X (0.169:0.169:0.169) (0.126:0.126:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE input18) - (DELAY - (ABSOLUTE - (IOPATH A X (0.198:0.198:0.198) (0.173:0.173:0.173)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input17) - (DELAY - (ABSOLUTE - (IOPATH A X (0.092:0.092:0.092) (0.084:0.084:0.084)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE input16) - (DELAY - (ABSOLUTE - (IOPATH A X (0.211:0.211:0.211) (0.183:0.183:0.183)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") - (INSTANCE input15) - (DELAY - (ABSOLUTE - (IOPATH A X (0.256:0.256:0.256) (0.216:0.216:0.216)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input14) - (DELAY - (ABSOLUTE - (IOPATH A X (0.219:0.219:0.219) (0.152:0.152:0.152)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input13) - (DELAY - (ABSOLUTE - (IOPATH A X (0.278:0.278:0.278) (0.183:0.183:0.183)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input12) - (DELAY - (ABSOLUTE - (IOPATH A X (0.109:0.109:0.109) (0.094:0.094:0.094)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") - (INSTANCE input11) - (DELAY - (ABSOLUTE - (IOPATH A X (0.281:0.281:0.281) (0.228:0.228:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input10) - (DELAY - (ABSOLUTE - (IOPATH A X (0.084:0.084:0.084) (0.080:0.080:0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input9) - (DELAY - (ABSOLUTE - (IOPATH A X (0.142:0.142:0.142) (0.111:0.111:0.111)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input8) - (DELAY - (ABSOLUTE - (IOPATH A X (0.095:0.095:0.095) (0.086:0.086:0.086)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input7) - (DELAY - (ABSOLUTE - (IOPATH A X (0.175:0.175:0.175) (0.129:0.129:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input6) - (DELAY - (ABSOLUTE - (IOPATH A X (0.200:0.200:0.200) (0.142:0.142:0.142)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input5) - (DELAY - (ABSOLUTE - (IOPATH A X (0.302:0.302:0.302) (0.196:0.196:0.196)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input4) - (DELAY - (ABSOLUTE - (IOPATH A X (0.146:0.146:0.146) (0.113:0.113:0.113)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input3) - (DELAY - (ABSOLUTE - (IOPATH A X (0.136:0.136:0.136) (0.108:0.108:0.108)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input2) - (DELAY - (ABSOLUTE - (IOPATH A X (0.173:0.173:0.173) (0.127:0.127:0.127)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE input1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.171:0.171:0.171) (0.151:0.151:0.151)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input116) - (DELAY - (ABSOLUTE - (IOPATH A X (0.111:0.111:0.111) (0.095:0.095:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input117) - (DELAY - (ABSOLUTE - (IOPATH A X (0.101:0.101:0.101) (0.090:0.090:0.090)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input118) - (DELAY - (ABSOLUTE - (IOPATH A X (0.064:0.064:0.064) (0.067:0.067:0.067)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input119) - (DELAY - (ABSOLUTE - (IOPATH A X (0.070:0.070:0.070) (0.071:0.071:0.071)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input120) - (DELAY - (ABSOLUTE - (IOPATH A X (0.068:0.068:0.068) (0.071:0.071:0.071)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE input121) - (DELAY - (ABSOLUTE - (IOPATH A X (0.210:0.210:0.210) (0.181:0.181:0.181)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input122) - (DELAY - (ABSOLUTE - (IOPATH A X (0.062:0.062:0.062) (0.066:0.066:0.066)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input123) - (DELAY - (ABSOLUTE - (IOPATH A X (0.064:0.064:0.064) (0.067:0.067:0.067)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE input124) - (DELAY - (ABSOLUTE - (IOPATH A X (0.201:0.201:0.201) (0.188:0.188:0.188)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input125) - (DELAY - (ABSOLUTE - (IOPATH A X (0.185:0.185:0.185) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input126) - (DELAY - (ABSOLUTE - (IOPATH A X (0.194:0.194:0.194) (0.155:0.155:0.155)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input127) - (DELAY - (ABSOLUTE - (IOPATH A X (0.199:0.199:0.199) (0.177:0.177:0.177)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input128) - (DELAY - (ABSOLUTE - (IOPATH A X (0.186:0.186:0.186) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input129) - (DELAY - (ABSOLUTE - (IOPATH A X (0.112:0.112:0.112) (0.095:0.095:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input130) - (DELAY - (ABSOLUTE - (IOPATH A X (0.114:0.114:0.114) (0.096:0.096:0.096)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input131) - (DELAY - (ABSOLUTE - (IOPATH A X (0.246:0.246:0.246) (0.166:0.166:0.166)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input132) - (DELAY - (ABSOLUTE - (IOPATH A X (0.106:0.106:0.106) (0.091:0.091:0.091)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input133) - (DELAY - (ABSOLUTE - (IOPATH A X (0.110:0.110:0.110) (0.094:0.094:0.094)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input134) - (DELAY - (ABSOLUTE - (IOPATH A X (0.130:0.130:0.130) (0.104:0.104:0.104)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input135) - (DELAY - (ABSOLUTE - (IOPATH A X (0.094:0.094:0.094) (0.085:0.085:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input136) - (DELAY - (ABSOLUTE - (IOPATH A X (0.134:0.134:0.134) (0.106:0.106:0.106)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input137) - (DELAY - (ABSOLUTE - (IOPATH A X (0.110:0.110:0.110) (0.094:0.094:0.094)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input138) - (DELAY - (ABSOLUTE - (IOPATH A X (0.143:0.143:0.143) (0.111:0.111:0.111)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input139) - (DELAY - (ABSOLUTE - (IOPATH A X (0.075:0.075:0.075) (0.074:0.074:0.074)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input140) - (DELAY - (ABSOLUTE - (IOPATH A X (0.130:0.130:0.130) (0.104:0.104:0.104)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input141) - (DELAY - (ABSOLUTE - (IOPATH A X (0.069:0.069:0.069) (0.070:0.070:0.070)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input142) - (DELAY - (ABSOLUTE - (IOPATH A X (0.096:0.096:0.096) (0.086:0.086:0.086)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input143) - (DELAY - (ABSOLUTE - (IOPATH A X (0.084:0.084:0.084) (0.079:0.079:0.079)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input144) - (DELAY - (ABSOLUTE - (IOPATH A X (0.084:0.084:0.084) (0.079:0.079:0.079)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input145) - (DELAY - (ABSOLUTE - (IOPATH A X (0.090:0.090:0.090) (0.082:0.082:0.082)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input146) - (DELAY - (ABSOLUTE - (IOPATH A X (0.087:0.087:0.087) (0.081:0.081:0.081)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input147) - (DELAY - (ABSOLUTE - (IOPATH A X (0.088:0.088:0.088) (0.081:0.081:0.081)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input148) - (DELAY - (ABSOLUTE - (IOPATH A X (0.133:0.133:0.133) (0.106:0.106:0.106)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input149) - (DELAY - (ABSOLUTE - (IOPATH A X (0.146:0.146:0.146) (0.113:0.113:0.113)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input150) - (DELAY - (ABSOLUTE - (IOPATH A X (0.124:0.124:0.124) (0.101:0.101:0.101)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input151) - (DELAY - (ABSOLUTE - (IOPATH A X (0.133:0.133:0.133) (0.106:0.106:0.106)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input152) - (DELAY - (ABSOLUTE - (IOPATH A X (0.131:0.131:0.131) (0.105:0.105:0.105)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input153) - (DELAY - (ABSOLUTE - (IOPATH A X (0.124:0.124:0.124) (0.101:0.101:0.101)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input154) - (DELAY - (ABSOLUTE - (IOPATH A X (0.115:0.115:0.115) (0.097:0.097:0.097)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input155) - (DELAY - (ABSOLUTE - (IOPATH A X (0.125:0.125:0.125) (0.102:0.102:0.102)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input156) - (DELAY - (ABSOLUTE - (IOPATH A X (0.102:0.102:0.102) (0.089:0.089:0.089)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input157) - (DELAY - (ABSOLUTE - (IOPATH A X (0.127:0.127:0.127) (0.103:0.103:0.103)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input158) - (DELAY - (ABSOLUTE - (IOPATH A X (0.134:0.134:0.134) (0.107:0.107:0.107)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input159) - (DELAY - (ABSOLUTE - (IOPATH A X (0.119:0.119:0.119) (0.098:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input160) - (DELAY - (ABSOLUTE - (IOPATH A X (0.139:0.139:0.139) (0.109:0.109:0.109)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input161) - (DELAY - (ABSOLUTE - (IOPATH A X (0.140:0.140:0.140) (0.110:0.110:0.110)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input162) - (DELAY - (ABSOLUTE - (IOPATH A X (0.096:0.096:0.096) (0.086:0.086:0.086)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input163) - (DELAY - (ABSOLUTE - (IOPATH A X (0.072:0.072:0.072) (0.072:0.072:0.072)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input164) - (DELAY - (ABSOLUTE - (IOPATH A X (0.282:0.282:0.282) (0.241:0.241:0.241)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input165) - (DELAY - (ABSOLUTE - (IOPATH A X (0.120:0.120:0.120) (0.099:0.099:0.099)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input166) - (DELAY - (ABSOLUTE - (IOPATH A X (0.132:0.132:0.132) (0.105:0.105:0.105)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input167) - (DELAY - (ABSOLUTE - (IOPATH A X (0.146:0.146:0.146) (0.112:0.112:0.112)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input168) - (DELAY - (ABSOLUTE - (IOPATH A X (0.148:0.148:0.148) (0.113:0.113:0.113)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input169) - (DELAY - (ABSOLUTE - (IOPATH A X (0.256:0.256:0.256) (0.221:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") - (INSTANCE input170) - (DELAY - (ABSOLUTE - (IOPATH A X (0.239:0.239:0.239) (0.204:0.204:0.204)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output171) - (DELAY - (ABSOLUTE - (IOPATH A X (0.265:0.265:0.265) (0.207:0.207:0.207)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output172) - (DELAY - (ABSOLUTE - (IOPATH A X (0.289:0.289:0.289) (0.242:0.242:0.242)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output173) - (DELAY - (ABSOLUTE - (IOPATH A X (0.295:0.295:0.295) (0.236:0.236:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output174) - (DELAY - (ABSOLUTE - (IOPATH A X (0.290:0.290:0.290) (0.230:0.230:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output175) - (DELAY - (ABSOLUTE - (IOPATH A X (0.290:0.290:0.290) (0.238:0.239:0.240)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output176) - (DELAY - (ABSOLUTE - (IOPATH A X (0.253:0.253:0.253) (0.205:0.205:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output177) - (DELAY - (ABSOLUTE - (IOPATH A X (0.254:0.254:0.254) (0.207:0.207:0.207)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output178) - (DELAY - (ABSOLUTE - (IOPATH A X (0.253:0.253:0.253) (0.205:0.205:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output179) - (DELAY - (ABSOLUTE - (IOPATH A X (0.256:0.256:0.256) (0.213:0.213:0.213)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output180) - (DELAY - (ABSOLUTE - (IOPATH A X (0.253:0.253:0.253) (0.205:0.205:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output181) - (DELAY - (ABSOLUTE - (IOPATH A X (0.251:0.251:0.251) (0.201:0.201:0.201)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output182) - (DELAY - (ABSOLUTE - (IOPATH A X (0.253:0.253:0.253) (0.204:0.204:0.204)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output183) - (DELAY - (ABSOLUTE - (IOPATH A X (0.253:0.253:0.253) (0.205:0.205:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output184) - (DELAY - (ABSOLUTE - (IOPATH A X (0.256:0.256:0.256) (0.213:0.213:0.213)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output185) - (DELAY - (ABSOLUTE - (IOPATH A X (0.260:0.260:0.260) (0.219:0.219:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output186) - (DELAY - (ABSOLUTE - (IOPATH A X (0.290:0.290:0.290) (0.246:0.246:0.246)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output187) - (DELAY - (ABSOLUTE - (IOPATH A X (0.257:0.257:0.257) (0.214:0.214:0.214)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output188) - (DELAY - (ABSOLUTE - (IOPATH A X (0.255:0.255:0.255) (0.210:0.210:0.210)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output189) - (DELAY - (ABSOLUTE - (IOPATH A X (0.254:0.254:0.254) (0.209:0.209:0.209)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output190) - (DELAY - (ABSOLUTE - (IOPATH A X (0.253:0.253:0.253) (0.203:0.203:0.203)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output191) - (DELAY - (ABSOLUTE - (IOPATH A X (0.255:0.255:0.255) (0.211:0.211:0.211)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output192) - (DELAY - (ABSOLUTE - (IOPATH A X (0.252:0.252:0.252) (0.204:0.204:0.204)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output193) - (DELAY - (ABSOLUTE - (IOPATH A X (0.259:0.259:0.259) (0.214:0.214:0.214)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output194) - (DELAY - (ABSOLUTE - (IOPATH A X (0.257:0.257:0.257) (0.214:0.214:0.214)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output195) - (DELAY - (ABSOLUTE - (IOPATH A X (0.255:0.255:0.255) (0.206:0.206:0.206)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output196) - (DELAY - (ABSOLUTE - (IOPATH A X (0.257:0.257:0.257) (0.212:0.212:0.212)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output197) - (DELAY - (ABSOLUTE - (IOPATH A X (0.254:0.254:0.254) (0.210:0.210:0.210)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output198) - (DELAY - (ABSOLUTE - (IOPATH A X (0.257:0.257:0.257) (0.213:0.213:0.213)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output199) - (DELAY - (ABSOLUTE - (IOPATH A X (0.256:0.256:0.256) (0.212:0.212:0.212)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output200) - (DELAY - (ABSOLUTE - (IOPATH A X (0.256:0.256:0.256) (0.211:0.211:0.211)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output201) - (DELAY - (ABSOLUTE - (IOPATH A X (0.264:0.264:0.264) (0.215:0.215:0.215)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output202) - (DELAY - (ABSOLUTE - (IOPATH A X (0.252:0.252:0.252) (0.203:0.203:0.203)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output203) - (DELAY - (ABSOLUTE - (IOPATH A X (0.295:0.295:0.295) (0.247:0.248:0.248)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output204) - (DELAY - (ABSOLUTE - (IOPATH A X (0.288:0.288:0.288) (0.236:0.237:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output205) - (DELAY - (ABSOLUTE - (IOPATH A X (0.292:0.292:0.292) (0.239:0.240:0.241)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output206) - (DELAY - (ABSOLUTE - (IOPATH A X (0.260:0.260:0.260) (0.220:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output207) - (DELAY - (ABSOLUTE - (IOPATH A X (0.267:0.267:0.267) (0.220:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output208) - (DELAY - (ABSOLUTE - (IOPATH A X (0.270:0.270:0.270) (0.251:0.251:0.251)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output209) - (DELAY - (ABSOLUTE - (IOPATH A X (0.254:0.254:0.254) (0.209:0.209:0.209)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output210) - (DELAY - (ABSOLUTE - (IOPATH A X (0.253:0.253:0.253) (0.206:0.206:0.206)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output211) - (DELAY - (ABSOLUTE - (IOPATH A X (0.255:0.255:0.255) (0.210:0.210:0.210)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output212) - (DELAY - (ABSOLUTE - (IOPATH A X (0.254:0.254:0.254) (0.209:0.209:0.209)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output213) - (DELAY - (ABSOLUTE - (IOPATH A X (0.288:0.288:0.288) (0.237:0.238:0.238)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output214) - (DELAY - (ABSOLUTE - (IOPATH A X (0.264:0.264:0.264) (0.220:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output215) - (DELAY - (ABSOLUTE - (IOPATH A X (0.298:0.298:0.298) (0.250:0.250:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output216) - (DELAY - (ABSOLUTE - (IOPATH A X (0.282:0.282:0.282) (0.229:0.229:0.229)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output217) - (DELAY - (ABSOLUTE - (IOPATH A X (0.282:0.282:0.282) (0.234:0.235:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE output218) - (DELAY - (ABSOLUTE - (IOPATH A X (1.744:1.744:1.745) (0.989:0.990:0.990)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE output219) - (DELAY - (ABSOLUTE - (IOPATH A X (1.733:1.733:1.734) (0.964:0.964:0.964)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output220) - (DELAY - (ABSOLUTE - (IOPATH A X (0.275:0.275:0.275) (0.222:0.222:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output221) - (DELAY - (ABSOLUTE - (IOPATH A X (0.269:0.269:0.269) (0.216:0.216:0.216)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output222) - (DELAY - (ABSOLUTE - (IOPATH A X (0.279:0.279:0.279) (0.227:0.227:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output223) - (DELAY - (ABSOLUTE - (IOPATH A X (0.278:0.278:0.278) (0.223:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output224) - (DELAY - (ABSOLUTE - (IOPATH A X (0.288:0.288:0.288) (0.238:0.239:0.240)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output225) - (DELAY - (ABSOLUTE - (IOPATH A X (0.284:0.284:0.284) (0.230:0.230:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output226) - (DELAY - (ABSOLUTE - (IOPATH A X (0.284:0.284:0.284) (0.230:0.230:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output227) - (DELAY - (ABSOLUTE - (IOPATH A X (0.288:0.288:0.288) (0.234:0.234:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output228) - (DELAY - (ABSOLUTE - (IOPATH A X (0.288:0.288:0.288) (0.234:0.234:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output229) - (DELAY - (ABSOLUTE - (IOPATH A X (0.289:0.289:0.289) (0.235:0.235:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output230) - (DELAY - (ABSOLUTE - (IOPATH A X (0.292:0.292:0.292) (0.238:0.238:0.238)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output231) - (DELAY - (ABSOLUTE - (IOPATH A X (0.289:0.289:0.289) (0.235:0.235:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output232) - (DELAY - (ABSOLUTE - (IOPATH A X (0.289:0.289:0.289) (0.234:0.234:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output233) - (DELAY - (ABSOLUTE - (IOPATH A X (0.288:0.288:0.288) (0.234:0.234:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output234) - (DELAY - (ABSOLUTE - (IOPATH A X (0.282:0.282:0.282) (0.227:0.227:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output235) - (DELAY - (ABSOLUTE - (IOPATH A X (0.280:0.280:0.280) (0.227:0.227:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output236) - (DELAY - (ABSOLUTE - (IOPATH A X (0.275:0.275:0.275) (0.221:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output237) - (DELAY - (ABSOLUTE - (IOPATH A X (0.275:0.275:0.275) (0.221:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output238) - (DELAY - (ABSOLUTE - (IOPATH A X (0.295:0.295:0.295) (0.247:0.248:0.248)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output239) - (DELAY - (ABSOLUTE - (IOPATH A X (0.296:0.296:0.296) (0.260:0.261:0.261)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output240) - (DELAY - (ABSOLUTE - (IOPATH A X (0.288:0.288:0.288) (0.235:0.235:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output241) - (DELAY - (ABSOLUTE - (IOPATH A X (0.296:0.296:0.296) (0.259:0.259:0.259)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output242) - (DELAY - (ABSOLUTE - (IOPATH A X (0.299:0.299:0.299) (0.251:0.252:0.252)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output243) - (DELAY - (ABSOLUTE - (IOPATH A X (0.277:0.277:0.278) (0.227:0.228:0.229)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output244) - (DELAY - (ABSOLUTE - (IOPATH A X (0.292:0.292:0.292) (0.239:0.239:0.239)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output245) - (DELAY - (ABSOLUTE - (IOPATH A X (0.285:0.285:0.285) (0.232:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output246) - (DELAY - (ABSOLUTE - (IOPATH A X (0.273:0.273:0.273) (0.221:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output247) - (DELAY - (ABSOLUTE - (IOPATH A X (0.298:0.298:0.298) (0.250:0.251:0.252)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output248) - (DELAY - (ABSOLUTE - (IOPATH A X (0.272:0.272:0.272) (0.227:0.227:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output249) - (DELAY - (ABSOLUTE - (IOPATH A X (0.264:0.264:0.264) (0.220:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE output250) - (DELAY - (ABSOLUTE - (IOPATH A X (1.736:1.736:1.737) (0.965:0.965:0.965)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE output251) - (DELAY - (ABSOLUTE - (IOPATH A X (1.765:1.766:1.766) (0.997:0.998:0.998)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output252) - (DELAY - (ABSOLUTE - (IOPATH A X (0.287:0.287:0.287) (0.209:0.210:0.212)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output253) - (DELAY - (ABSOLUTE - (IOPATH A X (0.274:0.274:0.275) (0.226:0.227:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output254) - (DELAY - (ABSOLUTE - (IOPATH A X (0.286:0.286:0.286) (0.208:0.210:0.211)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output255) - (DELAY - (ABSOLUTE - (IOPATH A X (0.267:0.268:0.268) (0.220:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output256) - (DELAY - (ABSOLUTE - (IOPATH A X (0.287:0.287:0.287) (0.242:0.246:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output257) - (DELAY - (ABSOLUTE - (IOPATH A X (0.253:0.253:0.254) (0.205:0.205:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output258) - (DELAY - (ABSOLUTE - (IOPATH A X (0.264:0.264:0.264) (0.213:0.213:0.213)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output259) - (DELAY - (ABSOLUTE - (IOPATH A X (0.251:0.251:0.251) (0.203:0.203:0.203)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output260) - (DELAY - (ABSOLUTE - (IOPATH A X (0.281:0.281:0.281) (0.228:0.228:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output261) - (DELAY - (ABSOLUTE - (IOPATH A X (0.295:0.295:0.295) (0.246:0.246:0.246)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output262) - (DELAY - (ABSOLUTE - (IOPATH A X (0.316:0.316:0.316) (0.280:0.280:0.280)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output263) - (DELAY - (ABSOLUTE - (IOPATH A X (0.307:0.307:0.307) (0.270:0.270:0.270)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output264) - (DELAY - (ABSOLUTE - (IOPATH A X (0.288:0.288:0.288) (0.219:0.219:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output265) - (DELAY - (ABSOLUTE - (IOPATH A X (0.310:0.310:0.310) (0.266:0.266:0.266)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output266) - (DELAY - (ABSOLUTE - (IOPATH A X (0.285:0.285:0.285) (0.237:0.237:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output267) - (DELAY - (ABSOLUTE - (IOPATH A X (0.282:0.282:0.282) (0.234:0.234:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output268) - (DELAY - (ABSOLUTE - (IOPATH A X (0.312:0.312:0.312) (0.272:0.272:0.272)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output269) - (DELAY - (ABSOLUTE - (IOPATH A X (0.294:0.294:0.294) (0.246:0.246:0.246)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output270) - (DELAY - (ABSOLUTE - (IOPATH A X (0.283:0.283:0.283) (0.234:0.234:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output271) - (DELAY - (ABSOLUTE - (IOPATH A X (0.295:0.295:0.295) (0.242:0.242:0.242)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output272) - (DELAY - (ABSOLUTE - (IOPATH A X (0.282:0.282:0.282) (0.231:0.231:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output273) - (DELAY - (ABSOLUTE - (IOPATH A X (0.309:0.309:0.309) (0.266:0.266:0.266)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output274) - (DELAY - (ABSOLUTE - (IOPATH A X (0.286:0.286:0.286) (0.230:0.230:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output275) - (DELAY - (ABSOLUTE - (IOPATH A X (0.299:0.299:0.299) (0.238:0.238:0.238)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output276) - (DELAY - (ABSOLUTE - (IOPATH A X (0.284:0.284:0.284) (0.219:0.219:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output277) - (DELAY - (ABSOLUTE - (IOPATH A X (0.297:0.297:0.297) (0.233:0.233:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output278) - (DELAY - (ABSOLUTE - (IOPATH A X (0.287:0.287:0.287) (0.232:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output279) - (DELAY - (ABSOLUTE - (IOPATH A X (0.312:0.312:0.312) (0.271:0.271:0.271)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output280) - (DELAY - (ABSOLUTE - (IOPATH A X (0.307:0.307:0.307) (0.253:0.253:0.253)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output281) - (DELAY - (ABSOLUTE - (IOPATH A X (0.289:0.289:0.289) (0.230:0.230:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output282) - (DELAY - (ABSOLUTE - (IOPATH A X (0.293:0.293:0.293) (0.232:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output283) - (DELAY - (ABSOLUTE - (IOPATH A X (0.293:0.293:0.293) (0.231:0.231:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output284) - (DELAY - (ABSOLUTE - (IOPATH A X (0.296:0.296:0.296) (0.237:0.237:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output285) - (DELAY - (ABSOLUTE - (IOPATH A X (0.284:0.284:0.284) (0.223:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output286) - (DELAY - (ABSOLUTE - (IOPATH A X (0.296:0.296:0.296) (0.233:0.233:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output287) - (DELAY - (ABSOLUTE - (IOPATH A X (0.292:0.292:0.292) (0.230:0.230:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output288) - (DELAY - (ABSOLUTE - (IOPATH A X (0.286:0.286:0.286) (0.220:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output289) - (DELAY - (ABSOLUTE - (IOPATH A X (0.289:0.289:0.289) (0.222:0.222:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output290) - (DELAY - (ABSOLUTE - (IOPATH A X (0.288:0.288:0.288) (0.221:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output291) - (DELAY - (ABSOLUTE - (IOPATH A X (0.299:0.299:0.299) (0.243:0.243:0.243)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output292) - (DELAY - (ABSOLUTE - (IOPATH A X (0.295:0.295:0.295) (0.231:0.231:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output293) - (DELAY - (ABSOLUTE - (IOPATH A X (0.327:0.327:0.327) (0.298:0.298:0.298)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output294) - (DELAY - (ABSOLUTE - (IOPATH A X (0.317:0.317:0.317) (0.281:0.281:0.281)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output295) - (DELAY - (ABSOLUTE - (IOPATH A X (0.322:0.322:0.322) (0.290:0.290:0.290)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output296) - (DELAY - (ABSOLUTE - (IOPATH A X (0.303:0.303:0.303) (0.244:0.244:0.244)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output297) - (DELAY - (ABSOLUTE - (IOPATH A X (0.298:0.298:0.298) (0.236:0.236:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output298) - (DELAY - (ABSOLUTE - (IOPATH A X (0.309:0.309:0.309) (0.256:0.256:0.256)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output299) - (DELAY - (ABSOLUTE - (IOPATH A X (0.304:0.304:0.304) (0.254:0.254:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output300) - (DELAY - (ABSOLUTE - (IOPATH A X (0.302:0.302:0.302) (0.249:0.249:0.249)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output301) - (DELAY - (ABSOLUTE - (IOPATH A X (0.295:0.295:0.295) (0.248:0.248:0.248)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output302) - (DELAY - (ABSOLUTE - (IOPATH A X (0.284:0.284:0.284) (0.237:0.237:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output303) - (DELAY - (ABSOLUTE - (IOPATH A X (0.279:0.279:0.279) (0.234:0.234:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output304) - (DELAY - (ABSOLUTE - (IOPATH A X (0.293:0.293:0.293) (0.244:0.244:0.244)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output305) - (DELAY - (ABSOLUTE - (IOPATH A X (0.261:0.261:0.261) (0.224:0.224:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output306) - (DELAY - (ABSOLUTE - (IOPATH A X (0.267:0.267:0.268) (0.209:0.209:0.209)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output307) - (DELAY - (ABSOLUTE - (IOPATH A X (0.272:0.272:0.272) (0.224:0.225:0.226)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output308) - (DELAY - (ABSOLUTE - (IOPATH A X (0.279:0.279:0.280) (0.233:0.234:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output309) - (DELAY - (ABSOLUTE - (IOPATH A X (0.279:0.279:0.279) (0.230:0.231:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output310) - (DELAY - (ABSOLUTE - (IOPATH A X (0.276:0.276:0.276) (0.229:0.229:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output311) - (DELAY - (ABSOLUTE - (IOPATH A X (0.281:0.281:0.281) (0.233:0.234:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output312) - (DELAY - (ABSOLUTE - (IOPATH A X (0.273:0.273:0.273) (0.214:0.214:0.214)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output313) - (DELAY - (ABSOLUTE - (IOPATH A X (0.297:0.297:0.297) (0.232:0.233:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output314) - (DELAY - (ABSOLUTE - (IOPATH A X (0.299:0.299:0.299) (0.235:0.235:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output315) - (DELAY - (ABSOLUTE - (IOPATH A X (0.268:0.268:0.268) (0.207:0.207:0.207)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output316) - (DELAY - (ABSOLUTE - (IOPATH A X (0.306:0.306:0.306) (0.254:0.254:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output317) - (DELAY - (ABSOLUTE - (IOPATH A X (0.298:0.298:0.298) (0.255:0.255:0.255)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output318) - (DELAY - (ABSOLUTE - (IOPATH A X (0.277:0.277:0.277) (0.214:0.214:0.214)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output319) - (DELAY - (ABSOLUTE - (IOPATH A X (0.285:0.285:0.285) (0.222:0.222:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output320) - (DELAY - (ABSOLUTE - (IOPATH A X (0.294:0.294:0.294) (0.231:0.231:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output321) - (DELAY - (ABSOLUTE - (IOPATH A X (0.276:0.276:0.276) (0.214:0.214:0.214)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output322) - (DELAY - (ABSOLUTE - (IOPATH A X (0.275:0.275:0.275) (0.213:0.213:0.213)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output323) - (DELAY - (ABSOLUTE - (IOPATH A X (0.276:0.276:0.276) (0.215:0.215:0.215)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output324) - (DELAY - (ABSOLUTE - (IOPATH A X (0.272:0.272:0.272) (0.211:0.211:0.211)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output325) - (DELAY - (ABSOLUTE - (IOPATH A X (0.296:0.296:0.296) (0.234:0.234:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output326) - (DELAY - (ABSOLUTE - (IOPATH A X (0.282:0.282:0.282) (0.219:0.219:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output327) - (DELAY - (ABSOLUTE - (IOPATH A X (0.294:0.294:0.294) (0.230:0.230:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output328) - (DELAY - (ABSOLUTE - (IOPATH A X (0.279:0.279:0.279) (0.217:0.217:0.217)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output329) - (DELAY - (ABSOLUTE - (IOPATH A X (0.284:0.284:0.284) (0.221:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output330) - (DELAY - (ABSOLUTE - (IOPATH A X (0.299:0.299:0.299) (0.241:0.241:0.241)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output331) - (DELAY - (ABSOLUTE - (IOPATH A X (0.274:0.274:0.274) (0.212:0.212:0.212)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output332) - (DELAY - (ABSOLUTE - (IOPATH A X (0.271:0.271:0.271) (0.209:0.209:0.209)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output333) - (DELAY - (ABSOLUTE - (IOPATH A X (0.289:0.289:0.289) (0.226:0.226:0.226)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output334) - (DELAY - (ABSOLUTE - (IOPATH A X (0.310:0.310:0.310) (0.261:0.261:0.261)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output335) - (DELAY - (ABSOLUTE - (IOPATH A X (0.302:0.302:0.302) (0.245:0.245:0.245)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output336) - (DELAY - (ABSOLUTE - (IOPATH A X (0.290:0.290:0.290) (0.225:0.225:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output337) - (DELAY - (ABSOLUTE - (IOPATH A X (0.300:0.300:0.300) (0.242:0.242:0.242)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output338) - (DELAY - (ABSOLUTE - (IOPATH A X (0.288:0.288:0.288) (0.223:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output339) - (DELAY - (ABSOLUTE - (IOPATH A X (0.271:0.271:0.271) (0.210:0.210:0.210)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output340) - (DELAY - (ABSOLUTE - (IOPATH A X (0.278:0.278:0.278) (0.216:0.216:0.216)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output341) - (DELAY - (ABSOLUTE - (IOPATH A X (0.290:0.290:0.290) (0.227:0.227:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output342) - (DELAY - (ABSOLUTE - (IOPATH A X (0.305:0.305:0.305) (0.252:0.252:0.252)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output343) - (DELAY - (ABSOLUTE - (IOPATH A X (0.285:0.285:0.285) (0.223:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output344) - (DELAY - (ABSOLUTE - (IOPATH A X (0.297:0.297:0.297) (0.236:0.236:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output345) - (DELAY - (ABSOLUTE - (IOPATH A X (0.283:0.283:0.283) (0.220:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output346) - (DELAY - (ABSOLUTE - (IOPATH A X (0.286:0.286:0.286) (0.222:0.222:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output347) - (DELAY - (ABSOLUTE - (IOPATH A X (0.289:0.289:0.289) (0.225:0.225:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output348) - (DELAY - (ABSOLUTE - (IOPATH A X (0.287:0.287:0.287) (0.224:0.224:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE output349) - (DELAY - (ABSOLUTE - (IOPATH A X (0.288:0.288:0.288) (0.225:0.225:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE max_cap350) - (DELAY - (ABSOLUTE - (IOPATH A X (0.214:0.214:0.214) (0.183:0.183:0.183)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE max_cap351) - (DELAY - (ABSOLUTE - (IOPATH A X (0.300:0.300:0.301) (0.218:0.223:0.229)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE max_cap352) - (DELAY - (ABSOLUTE - (IOPATH A X (0.319:0.319:0.319) (0.209:0.211:0.212)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE max_cap353) - (DELAY - (ABSOLUTE - (IOPATH A X (0.268:0.268:0.268) (0.187:0.192:0.198)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE max_cap354) - (DELAY - (ABSOLUTE - (IOPATH A X (0.243:0.243:0.243) (0.178:0.183:0.189)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE max_cap355) - (DELAY - (ABSOLUTE - (IOPATH A X (0.312:0.312:0.312) (0.209:0.210:0.211)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE max_cap356) - (DELAY - (ABSOLUTE - (IOPATH A X (0.252:0.252:0.252) (0.195:0.200:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE wire357) - (DELAY - (ABSOLUTE - (IOPATH A X (0.324:0.324:0.324) (0.215:0.217:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE max_cap358) - (DELAY - (ABSOLUTE - (IOPATH A X (0.282:0.282:0.282) (0.192:0.196:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE wire359) - (DELAY - (ABSOLUTE - (IOPATH A X (0.268:0.268:0.268) (0.232:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE wire360) - (DELAY - (ABSOLUTE - (IOPATH A X (0.259:0.259:0.259) (0.225:0.225:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE max_cap361) - (DELAY - (ABSOLUTE - (IOPATH A X (0.227:0.227:0.227) (0.207:0.207:0.207)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE wire362) - (DELAY - (ABSOLUTE - (IOPATH A X (0.274:0.274:0.274) (0.242:0.242:0.242)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE max_cap363) - (DELAY - (ABSOLUTE - (IOPATH A X (0.272:0.272:0.272) (0.238:0.238:0.238)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE max_cap364) - (DELAY - (ABSOLUTE - (IOPATH A X (0.247:0.247:0.247) (0.217:0.217:0.217)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE wire365) - (DELAY - (ABSOLUTE - (IOPATH A X (0.316:0.316:0.316) (0.188:0.195:0.202)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE fanout366) - (DELAY - (ABSOLUTE - (IOPATH A X (0.305:0.305:0.305) (0.221:0.230:0.240)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE max_cap367) - (DELAY - (ABSOLUTE - (IOPATH A X (0.325:0.325:0.325) (0.214:0.215:0.217)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE max_cap368) - (DELAY - (ABSOLUTE - (IOPATH A X (0.284:0.284:0.284) (0.196:0.197:0.198)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE max_cap369) - (DELAY - (ABSOLUTE - (IOPATH A X (0.208:0.208:0.208) (0.184:0.184:0.184)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE max_cap370) - (DELAY - (ABSOLUTE - (IOPATH A X (0.208:0.208:0.208) (0.183:0.183:0.183)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE max_cap371) - (DELAY - (ABSOLUTE - (IOPATH A X (0.322:0.322:0.322) (0.211:0.218:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE max_cap372) - (DELAY - (ABSOLUTE - (IOPATH A X (0.258:0.258:0.258) (0.184:0.194:0.204)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE max_cap373) - (DELAY - (ABSOLUTE - (IOPATH A X (0.247:0.247:0.247) (0.181:0.187:0.193)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE max_cap374) - (DELAY - (ABSOLUTE - (IOPATH A X (0.265:0.265:0.265) (0.186:0.197:0.208)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE max_cap375) - (DELAY - (ABSOLUTE - (IOPATH A X (0.270:0.270:0.270) (0.199:0.206:0.212)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE max_cap376) - (DELAY - (ABSOLUTE - (IOPATH A X (0.227:0.227:0.227) (0.187:0.187:0.187)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE max_cap377) - (DELAY - (ABSOLUTE - (IOPATH A X (0.157:0.157:0.157) (0.178:0.178:0.178)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE max_cap378) - (DELAY - (ABSOLUTE - (IOPATH A X (0.218:0.218:0.218) (0.185:0.185:0.185)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE max_cap379) - (DELAY - (ABSOLUTE - (IOPATH A X (0.165:0.165:0.165) (0.162:0.162:0.162)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE wire380) - (DELAY - (ABSOLUTE - (IOPATH A X (0.297:0.297:0.297) (0.192:0.199:0.207)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE max_cap381) - (DELAY - (ABSOLUTE - (IOPATH A X (0.203:0.203:0.203) (0.172:0.172:0.172)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE max_cap382) - (DELAY - (ABSOLUTE - (IOPATH A X (0.272:0.272:0.272) (0.280:0.280:0.280)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE wire383) - (DELAY - (ABSOLUTE - (IOPATH A X (0.241:0.241:0.241) (0.218:0.218:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE max_cap384) - (DELAY - (ABSOLUTE - (IOPATH A X (0.172:0.172:0.172) (0.158:0.158:0.158)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE max_cap385) - (DELAY - (ABSOLUTE - (IOPATH A X (0.182:0.182:0.182) (0.165:0.165:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE max_cap386) - (DELAY - (ABSOLUTE - (IOPATH A X (0.217:0.217:0.217) (0.207:0.207:0.207)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE max_cap387) - (DELAY - (ABSOLUTE - (IOPATH A X (0.210:0.210:0.210) (0.180:0.180:0.180)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE max_cap388) - (DELAY - (ABSOLUTE - (IOPATH A X (0.269:0.269:0.269) (0.301:0.301:0.301)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE max_cap389) - (DELAY - (ABSOLUTE - (IOPATH A X (0.216:0.216:0.216) (0.193:0.193:0.193)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE wire390) - (DELAY - (ABSOLUTE - (IOPATH A X (0.251:0.251:0.251) (0.243:0.243:0.243)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE max_cap391) - (DELAY - (ABSOLUTE - (IOPATH A X (0.261:0.261:0.261) (0.181:0.193:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE max_cap392) - (DELAY - (ABSOLUTE - (IOPATH A X (0.263:0.263:0.263) (0.190:0.199:0.207)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE max_cap393) - (DELAY - (ABSOLUTE - (IOPATH A X (0.327:0.327:0.327) (0.212:0.215:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE wire394) - (DELAY - (ABSOLUTE - (IOPATH A X (0.319:0.319:0.319) (0.209:0.212:0.215)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE max_cap395) - (DELAY - (ABSOLUTE - (IOPATH A X (0.264:0.264:0.264) (0.187:0.195:0.203)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE max_cap396) - (DELAY - (ABSOLUTE - (IOPATH A X (0.244:0.244:0.244) (0.179:0.187:0.196)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE max_cap397) - (DELAY - (ABSOLUTE - (IOPATH A X (0.267:0.267:0.267) (0.191:0.196:0.201)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE max_cap398) - (DELAY - (ABSOLUTE - (IOPATH A X (0.263:0.263:0.264) (0.192:0.200:0.208)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE max_cap399) - (DELAY - (ABSOLUTE - (IOPATH A X (0.277:0.277:0.277) (0.192:0.202:0.213)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE max_cap400) - (DELAY - (ABSOLUTE - (IOPATH A X (0.274:0.274:0.274) (0.187:0.190:0.193)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE max_cap401) - (DELAY - (ABSOLUTE - (IOPATH A X (0.252:0.252:0.252) (0.177:0.187:0.197)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE max_cap402) - (DELAY - (ABSOLUTE - (IOPATH A X (0.302:0.302:0.302) (0.201:0.205:0.208)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE max_cap403) - (DELAY - (ABSOLUTE - (IOPATH A X (0.296:0.296:0.296) (0.189:0.191:0.194)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE max_cap404) - (DELAY - (ABSOLUTE - (IOPATH A X (0.267:0.267:0.267) (0.222:0.223:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE max_cap405) - (DELAY - (ABSOLUTE - (IOPATH A X (0.265:0.265:0.265) (0.204:0.204:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE max_cap406) - (DELAY - (ABSOLUTE - (IOPATH A X (0.264:0.264:0.264) (0.213:0.214:0.214)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE max_cap407) - (DELAY - (ABSOLUTE - (IOPATH A X (0.252:0.252:0.252) (0.215:0.215:0.216)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE max_cap408) - (DELAY - (ABSOLUTE - (IOPATH A X (0.232:0.232:0.232) (0.181:0.182:0.183)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE max_cap409) - (DELAY - (ABSOLUTE - (IOPATH A X (0.238:0.238:0.238) (0.209:0.209:0.210)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE max_cap410) - (DELAY - (ABSOLUTE - (IOPATH A X (0.235:0.235:0.236) (0.180:0.181:0.182)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE max_cap411) - (DELAY - (ABSOLUTE - (IOPATH A X (0.268:0.268:0.268) (0.196:0.197:0.198)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE wire412) - (DELAY - (ABSOLUTE - (IOPATH A X (0.306:0.306:0.306) (0.224:0.224:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE max_cap413) - (DELAY - (ABSOLUTE - (IOPATH A X (0.302:0.302:0.302) (0.259:0.260:0.260)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE max_cap414) - (DELAY - (ABSOLUTE - (IOPATH A X (0.248:0.248:0.248) (0.227:0.228:0.229)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE max_cap415) - (DELAY - (ABSOLUTE - (IOPATH A X (0.237:0.237:0.237) (0.212:0.213:0.214)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE max_cap416) - (DELAY - (ABSOLUTE - (IOPATH A X (0.282:0.282:0.282) (0.207:0.208:0.209)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE max_cap417) - (DELAY - (ABSOLUTE - (IOPATH A X (0.286:0.286:0.286) (0.232:0.232:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE max_cap418) - (DELAY - (ABSOLUTE - (IOPATH A X (0.260:0.260:0.260) (0.226:0.226:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE max_cap419) - (DELAY - (ABSOLUTE - (IOPATH A X (0.229:0.229:0.229) (0.196:0.197:0.197)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE max_cap420) - (DELAY - (ABSOLUTE - (IOPATH A X (0.234:0.234:0.234) (0.203:0.204:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE max_cap421) - (DELAY - (ABSOLUTE - (IOPATH A X (0.254:0.254:0.254) (0.203:0.204:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE max_cap422) - (DELAY - (ABSOLUTE - (IOPATH A X (0.279:0.279:0.279) (0.225:0.226:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE max_cap423) - (DELAY - (ABSOLUTE - (IOPATH A X (0.246:0.246:0.246) (0.206:0.207:0.208)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE fanout424) - (DELAY - (ABSOLUTE - (IOPATH A X (0.285:0.286:0.286) (0.236:0.245:0.255)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE fanout425) - (DELAY - (ABSOLUTE - (IOPATH A X (0.254:0.254:0.254) (0.211:0.211:0.211)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE fanout426) - (DELAY - (ABSOLUTE - (IOPATH A X (0.326:0.326:0.326) (0.243:0.243:0.243)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE fanout427) - (DELAY - (ABSOLUTE - (IOPATH A X (0.340:0.340:0.340) (0.217:0.217:0.217)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE fanout428) - (DELAY - (ABSOLUTE - (IOPATH A X (0.169:0.169:0.169) (0.166:0.166:0.166)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE fanout429) - (DELAY - (ABSOLUTE - (IOPATH A X (0.324:0.324:0.324) (0.232:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE max_cap430) - (DELAY - (ABSOLUTE - (IOPATH A X (0.146:0.146:0.146) (0.155:0.155:0.155)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE wire431) - (DELAY - (ABSOLUTE - (IOPATH A X (0.288:0.288:0.289) (0.181:0.183:0.186)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE fanout432) - (DELAY - (ABSOLUTE - (IOPATH A X (0.250:0.250:0.250) (0.210:0.210:0.210)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE max_cap433) - (DELAY - (ABSOLUTE - (IOPATH A X (0.330:0.330:0.330) (0.216:0.218:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE fanout434) - (DELAY - (ABSOLUTE - (IOPATH A X (0.301:0.301:0.301) (0.227:0.227:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE fanout435) - (DELAY - (ABSOLUTE - (IOPATH A X (0.303:0.303:0.303) (0.228:0.228:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE fanout436) - (DELAY - (ABSOLUTE - (IOPATH A X (0.117:0.117:0.117) (0.137:0.137:0.137)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE fanout437) - (DELAY - (ABSOLUTE - (IOPATH A X (0.301:0.301:0.301) (0.255:0.255:0.255)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout438) - (DELAY - (ABSOLUTE - (IOPATH A X (0.331:0.331:0.331) (0.290:0.290:0.290)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE fanout439) - (DELAY - (ABSOLUTE - (IOPATH A X (0.257:0.257:0.257) (0.198:0.198:0.198)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout440) - (DELAY - (ABSOLUTE - (IOPATH A X (0.339:0.339:0.339) (0.255:0.255:0.255)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE fanout441) - (DELAY - (ABSOLUTE - (IOPATH A X (0.299:0.299:0.299) (0.231:0.231:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE fanout442) - (DELAY - (ABSOLUTE - (IOPATH A X (0.150:0.150:0.150) (0.162:0.162:0.162)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE fanout443) - (DELAY - (ABSOLUTE - (IOPATH A X (0.300:0.300:0.300) (0.220:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout444) - (DELAY - (ABSOLUTE - (IOPATH A X (0.333:0.333:0.333) (0.264:0.264:0.264)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE fanout445) - (DELAY - (ABSOLUTE - (IOPATH A X (0.328:0.328:0.328) (0.297:0.297:0.297)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE fanout446) - (DELAY - (ABSOLUTE - (IOPATH A X (0.314:0.314:0.314) (0.218:0.218:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout447) - (DELAY - (ABSOLUTE - (IOPATH A X (0.315:0.315:0.315) (0.242:0.242:0.242)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE fanout448) - (DELAY - (ABSOLUTE - (IOPATH A X (0.197:0.197:0.197) (0.238:0.238:0.238)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE fanout449) - (DELAY - (ABSOLUTE - (IOPATH A X (0.307:0.307:0.307) (0.227:0.227:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout450) - (DELAY - (ABSOLUTE - (IOPATH A X (0.323:0.323:0.323) (0.257:0.257:0.257)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE fanout451) - (DELAY - (ABSOLUTE - (IOPATH A X (0.330:0.330:0.330) (0.291:0.291:0.291)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE fanout452) - (DELAY - (ABSOLUTE - (IOPATH A X (0.288:0.288:0.288) (0.230:0.230:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout453) - (DELAY - (ABSOLUTE - (IOPATH A X (0.335:0.335:0.335) (0.272:0.272:0.272)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE fanout454) - (DELAY - (ABSOLUTE - (IOPATH A X (0.191:0.191:0.191) (0.233:0.233:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE fanout455) - (DELAY - (ABSOLUTE - (IOPATH A X (0.318:0.318:0.318) (0.228:0.228:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout456) - (DELAY - (ABSOLUTE - (IOPATH A X (0.344:0.344:0.344) (0.261:0.261:0.261)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE fanout457) - (DELAY - (ABSOLUTE - (IOPATH A X (0.355:0.355:0.355) (0.317:0.317:0.317)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE fanout458) - (DELAY - (ABSOLUTE - (IOPATH A X (0.317:0.317:0.317) (0.259:0.259:0.259)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout459) - (DELAY - (ABSOLUTE - (IOPATH A X (0.380:0.380:0.380) (0.274:0.274:0.274)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout460) - (DELAY - (ABSOLUTE - (IOPATH A X (0.281:0.281:0.281) (0.295:0.295:0.295)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE fanout461) - (DELAY - (ABSOLUTE - (IOPATH A X (0.284:0.284:0.284) (0.216:0.216:0.216)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE fanout462) - (DELAY - (ABSOLUTE - (IOPATH A X (0.320:0.320:0.320) (0.235:0.235:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE fanout463) - (DELAY - (ABSOLUTE - (IOPATH A X (0.322:0.322:0.322) (0.228:0.228:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE fanout464) - (DELAY - (ABSOLUTE - (IOPATH A X (0.289:0.289:0.289) (0.216:0.216:0.216)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE fanout465) - (DELAY - (ABSOLUTE - (IOPATH A X (0.303:0.303:0.303) (0.226:0.226:0.226)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE fanout466) - (DELAY - (ABSOLUTE - (IOPATH A X (0.243:0.243:0.243) (0.204:0.204:0.204)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE fanout467) - (DELAY - (ABSOLUTE - (IOPATH A X (0.280:0.280:0.280) (0.248:0.248:0.248)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE fanout468) - (DELAY - (ABSOLUTE - (IOPATH A X (0.332:0.332:0.332) (0.265:0.265:0.265)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE fanout469) - (DELAY - (ABSOLUTE - (IOPATH A X (0.346:0.346:0.346) (0.366:0.366:0.366)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE fanout470) - (DELAY - (ABSOLUTE - (IOPATH A X (0.292:0.292:0.292) (0.213:0.213:0.213)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE fanout471) - (DELAY - (ABSOLUTE - (IOPATH A X (0.323:0.323:0.323) (0.361:0.361:0.361)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE fanout472) - (DELAY - (ABSOLUTE - (IOPATH A X (0.276:0.276:0.276) (0.248:0.248:0.248)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE fanout473) - (DELAY - (ABSOLUTE - (IOPATH A X (0.305:0.305:0.305) (0.248:0.248:0.248)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE fanout474) - (DELAY - (ABSOLUTE - (IOPATH A X (0.254:0.254:0.254) (0.226:0.226:0.226)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE fanout475) - (DELAY - (ABSOLUTE - (IOPATH A X (0.287:0.287:0.287) (0.241:0.241:0.241)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE wire476) - (DELAY - (ABSOLUTE - (IOPATH A X (0.208:0.208:0.208) (0.202:0.202:0.202)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE fanout477) - (DELAY - (ABSOLUTE - (IOPATH A X (0.272:0.272:0.272) (0.235:0.235:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE max_cap478) - (DELAY - (ABSOLUTE - (IOPATH A X (0.297:0.297:0.297) (0.187:0.190:0.193)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE max_cap479) - (DELAY - (ABSOLUTE - (IOPATH A X (0.204:0.204:0.204) (0.177:0.177:0.177)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE max_cap480) - (DELAY - (ABSOLUTE - (IOPATH A X (0.279:0.279:0.279) (0.180:0.184:0.187)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout481) - (DELAY - (ABSOLUTE - (IOPATH A X (0.324:0.324:0.324) (0.253:0.253:0.253)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout482) - (DELAY - (ABSOLUTE - (IOPATH A X (0.335:0.335:0.335) (0.261:0.261:0.261)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE fanout483) - (DELAY - (ABSOLUTE - (IOPATH A X (0.329:0.329:0.329) (0.237:0.237:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE fanout484) - (DELAY - (ABSOLUTE - (IOPATH A X (0.257:0.257:0.257) (0.198:0.198:0.198)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout485) - (DELAY - (ABSOLUTE - (IOPATH A X (0.253:0.253:0.253) (0.212:0.212:0.212)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE fanout486) - (DELAY - (ABSOLUTE - (IOPATH A X (0.241:0.241:0.241) (0.191:0.191:0.191)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE fanout487) - (DELAY - (ABSOLUTE - (IOPATH A X (0.255:0.255:0.255) (0.203:0.203:0.203)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE fanout488) - (DELAY - (ABSOLUTE - (IOPATH A X (0.261:0.261:0.261) (0.206:0.206:0.206)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout489) - (DELAY - (ABSOLUTE - (IOPATH A X (0.260:0.260:0.260) (0.220:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE fanout490) - (DELAY - (ABSOLUTE - (IOPATH A X (0.272:0.272:0.272) (0.211:0.211:0.211)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE fanout491) - (DELAY - (ABSOLUTE - (IOPATH A X (0.287:0.287:0.287) (0.215:0.215:0.215)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout492) - (DELAY - (ABSOLUTE - (IOPATH A X (0.299:0.299:0.299) (0.234:0.234:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE fanout493) - (DELAY - (ABSOLUTE - (IOPATH A X (0.249:0.249:0.249) (0.195:0.195:0.195)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE fanout494) - (DELAY - (ABSOLUTE - (IOPATH A X (0.229:0.229:0.229) (0.187:0.187:0.187)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout495) - (DELAY - (ABSOLUTE - (IOPATH A X (0.356:0.356:0.356) (0.258:0.258:0.258)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE fanout496) - (DELAY - (ABSOLUTE - (IOPATH A X (0.252:0.252:0.252) (0.203:0.203:0.203)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout497) - (DELAY - (ABSOLUTE - (IOPATH A X (0.319:0.319:0.319) (0.250:0.250:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE fanout498) - (DELAY - (ABSOLUTE - (IOPATH A X (0.236:0.236:0.236) (0.197:0.197:0.197)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE fanout499) - (DELAY - (ABSOLUTE - (IOPATH A X (0.241:0.241:0.241) (0.199:0.199:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout500) - (DELAY - (ABSOLUTE - (IOPATH A X (0.305:0.305:0.305) (0.239:0.239:0.239)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE fanout501) - (DELAY - (ABSOLUTE - (IOPATH A X (0.257:0.257:0.257) (0.205:0.205:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE fanout502) - (DELAY - (ABSOLUTE - (IOPATH A X (0.252:0.252:0.252) (0.200:0.200:0.200)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout503) - (DELAY - (ABSOLUTE - (IOPATH A X (0.260:0.260:0.260) (0.217:0.217:0.217)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE fanout504) - (DELAY - (ABSOLUTE - (IOPATH A X (0.252:0.252:0.252) (0.199:0.199:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE fanout505) - (DELAY - (ABSOLUTE - (IOPATH A X (0.274:0.274:0.274) (0.212:0.212:0.212)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE fanout506) - (DELAY - (ABSOLUTE - (IOPATH A X (0.267:0.267:0.267) (0.209:0.209:0.209)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE fanout507) - (DELAY - (ABSOLUTE - (IOPATH A X (0.274:0.274:0.274) (0.230:0.230:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE fanout508) - (DELAY - (ABSOLUTE - (IOPATH A X (0.279:0.279:0.279) (0.213:0.213:0.213)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE fanout509) - (DELAY - (ABSOLUTE - (IOPATH A X (0.229:0.229:0.229) (0.186:0.186:0.186)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE fanout510) - (DELAY - (ABSOLUTE - (IOPATH A X (0.225:0.225:0.225) (0.185:0.185:0.185)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE fanout511) - (DELAY - (ABSOLUTE - (IOPATH A X (0.252:0.252:0.252) (0.214:0.214:0.214)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE fanout512) - (DELAY - (ABSOLUTE - (IOPATH A X (0.249:0.249:0.249) (0.221:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE fanout513) - (DELAY - (ABSOLUTE - (IOPATH A X (0.262:0.262:0.262) (0.224:0.224:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE fanout514) - (DELAY - (ABSOLUTE - (IOPATH A X (0.266:0.266:0.266) (0.205:0.205:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE fanout515) - (DELAY - (ABSOLUTE - (IOPATH A X (0.280:0.280:0.280) (0.229:0.229:0.229)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE fanout516) - (DELAY - (ABSOLUTE - (IOPATH A X (0.238:0.238:0.238) (0.197:0.197:0.197)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE fanout517) - (DELAY - (ABSOLUTE - (IOPATH A X (0.265:0.265:0.265) (0.209:0.209:0.209)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE fanout518) - (DELAY - (ABSOLUTE - (IOPATH A X (0.251:0.251:0.251) (0.203:0.203:0.203)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE fanout519) - (DELAY - (ABSOLUTE - (IOPATH A X (0.228:0.228:0.228) (0.186:0.186:0.186)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE fanout520) - (DELAY - (ABSOLUTE - (IOPATH A X (0.235:0.235:0.235) (0.190:0.190:0.190)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE fanout521) - (DELAY - (ABSOLUTE - (IOPATH A X (0.248:0.248:0.248) (0.202:0.202:0.202)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE fanout522) - (DELAY - (ABSOLUTE - (IOPATH A X (0.237:0.237:0.237) (0.192:0.192:0.192)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE fanout523) - (DELAY - (ABSOLUTE - (IOPATH A X (0.267:0.267:0.267) (0.211:0.211:0.211)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE fanout524) - (DELAY - (ABSOLUTE - (IOPATH A X (0.232:0.232:0.232) (0.188:0.188:0.188)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE fanout525) - (DELAY - (ABSOLUTE - (IOPATH A X (0.256:0.256:0.256) (0.208:0.208:0.208)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE fanout526) - (DELAY - (ABSOLUTE - (IOPATH A X (0.262:0.262:0.262) (0.221:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE fanout527) - (DELAY - (ABSOLUTE - (IOPATH A X (0.260:0.260:0.260) (0.193:0.193:0.193)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE fanout528) - (DELAY - (ABSOLUTE - (IOPATH A X (0.242:0.242:0.242) (0.192:0.192:0.192)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE fanout529) - (DELAY - (ABSOLUTE - (IOPATH A X (0.256:0.256:0.256) (0.231:0.231:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE fanout530) - (DELAY - (ABSOLUTE - (IOPATH A X (0.279:0.279:0.279) (0.227:0.227:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE net499_2) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.045:0.045:0.045) (0.031:0.031:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE clkbuf_1_0_0_wb_clk_i) - (DELAY - (ABSOLUTE - (IOPATH A X (0.120:0.120:0.120) (0.131:0.131:0.131)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE clkbuf_1_0_1_wb_clk_i) - (DELAY - (ABSOLUTE - (IOPATH A X (0.147:0.147:0.147) (0.151:0.151:0.151)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE clkbuf_1_1_0_wb_clk_i) - (DELAY - (ABSOLUTE - (IOPATH A X (0.120:0.120:0.120) (0.131:0.131:0.131)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE clkbuf_1_1_1_wb_clk_i) - (DELAY - (ABSOLUTE - (IOPATH A X (0.145:0.145:0.145) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE clkbuf_2_0_0_wb_clk_i) - (DELAY - (ABSOLUTE - (IOPATH A X (0.153:0.153:0.153) (0.156:0.156:0.156)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE clkbuf_2_1_0_wb_clk_i) - (DELAY - (ABSOLUTE - (IOPATH A X (0.145:0.145:0.145) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE clkbuf_2_2_0_wb_clk_i) - (DELAY - (ABSOLUTE - (IOPATH A X (0.142:0.142:0.142) (0.147:0.147:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE clkbuf_2_3_0_wb_clk_i) - (DELAY - (ABSOLUTE - (IOPATH A X (0.137:0.137:0.137) (0.143:0.143:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE clkbuf_3_0_0_wb_clk_i) - (DELAY - (ABSOLUTE - (IOPATH A X (0.201:0.201:0.201) (0.188:0.188:0.188)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE clkbuf_3_1_0_wb_clk_i) - (DELAY - (ABSOLUTE - (IOPATH A X (0.206:0.206:0.206) (0.192:0.192:0.192)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE clkbuf_3_2_0_wb_clk_i) - (DELAY - (ABSOLUTE - (IOPATH A X (0.190:0.190:0.190) (0.181:0.181:0.181)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE clkbuf_3_3_0_wb_clk_i) - (DELAY - (ABSOLUTE - (IOPATH A X (0.212:0.212:0.212) (0.196:0.196:0.196)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE clkbuf_3_4_0_wb_clk_i) - (DELAY - (ABSOLUTE - (IOPATH A X (0.172:0.172:0.172) (0.168:0.168:0.168)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE clkbuf_3_5_0_wb_clk_i) - (DELAY - (ABSOLUTE - (IOPATH A X (0.152:0.152:0.152) (0.155:0.155:0.155)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE clkbuf_3_6_0_wb_clk_i) - (DELAY - (ABSOLUTE - (IOPATH A X (0.242:0.242:0.242) (0.214:0.214:0.214)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE clkbuf_3_7_0_wb_clk_i) - (DELAY - (ABSOLUTE - (IOPATH A X (0.201:0.201:0.201) (0.188:0.188:0.188)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_mgmt_gpio_in\[4\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.120:0.120:0.120) (0.134:0.134:0.134)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_2_0__f_mgmt_gpio_in\[4\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.140:0.140:0.140) (0.153:0.153:0.153)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_2_1__f_mgmt_gpio_in\[4\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.141:0.141:0.141) (0.153:0.153:0.153)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_2_2__f_mgmt_gpio_in\[4\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.128:0.128:0.128) (0.143:0.143:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_2_3__f_mgmt_gpio_in\[4\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.131:0.131:0.131) (0.146:0.146:0.146)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_0_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.202:0.202:0.202) (0.199:0.199:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_1_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.194:0.194:0.194) (0.194:0.194:0.194)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_2_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.201:0.201:0.201) (0.199:0.199:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_3_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.205:0.205:0.205) (0.202:0.202:0.202)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_4_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.137:0.137:0.137) (0.151:0.151:0.151)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_5_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.214:0.214:0.214) (0.208:0.208:0.208)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_6_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.196:0.196:0.196) (0.195:0.195:0.195)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_8_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.212:0.212:0.212) (0.208:0.208:0.208)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_9_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.214:0.214:0.214) (0.209:0.209:0.209)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_10_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.198:0.198:0.198) (0.198:0.198:0.198)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_11_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.132:0.132:0.132) (0.147:0.147:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_12_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.174:0.174:0.174) (0.178:0.178:0.178)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_14_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.173:0.173:0.173) (0.178:0.178:0.178)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_15_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.212:0.212:0.212) (0.207:0.207:0.207)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_16_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.194:0.194:0.194) (0.194:0.194:0.194)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_17_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.199:0.199:0.199) (0.198:0.198:0.198)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_18_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.202:0.202:0.202) (0.200:0.200:0.200)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_19_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.201:0.201:0.201) (0.199:0.199:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_20_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.199:0.199:0.199) (0.198:0.198:0.198)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_21_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.200:0.200:0.200) (0.199:0.199:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_22_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.199:0.199:0.199) (0.199:0.199:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_23_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.214:0.214:0.214) (0.210:0.210:0.210)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_24_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.214:0.214:0.214) (0.211:0.211:0.211)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_25_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.205:0.205:0.205) (0.202:0.202:0.202)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_26_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.198:0.198:0.198) (0.197:0.197:0.197)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_27_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.199:0.199:0.199) (0.198:0.198:0.198)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_28_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.234:0.234:0.234) (0.225:0.225:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_29_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.228:0.228:0.228) (0.222:0.222:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_30_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.201:0.201:0.201) (0.201:0.201:0.201)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_31_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.220:0.220:0.220) (0.216:0.216:0.216)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_32_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.220:0.220:0.220) (0.216:0.216:0.216)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_33_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.223:0.223:0.223) (0.218:0.218:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_34_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.193:0.193:0.193) (0.195:0.195:0.195)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_35_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.213:0.213:0.213) (0.211:0.211:0.211)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_36_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.222:0.222:0.222) (0.217:0.217:0.217)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_37_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.215:0.215:0.215) (0.212:0.212:0.212)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_38_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.198:0.198:0.198) (0.199:0.199:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_39_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.202:0.202:0.202) (0.201:0.201:0.201)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_40_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.216:0.216:0.216) (0.210:0.210:0.210)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_41_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.202:0.202:0.202) (0.200:0.200:0.200)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_43_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.192:0.192:0.192) (0.192:0.192:0.192)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_44_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.180:0.180:0.180) (0.183:0.183:0.183)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_45_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.179:0.179:0.179) (0.182:0.182:0.182)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_46_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.179:0.179:0.179) (0.182:0.182:0.182)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_47_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.198:0.198:0.198) (0.198:0.198:0.198)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_48_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.179:0.179:0.179) (0.182:0.182:0.182)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_49_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.181:0.181:0.181) (0.184:0.184:0.184)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_50_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.208:0.208:0.208) (0.205:0.205:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_51_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.193:0.193:0.193) (0.193:0.193:0.193)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_52_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.192:0.192:0.192) (0.193:0.193:0.193)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_53_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.207:0.207:0.207) (0.204:0.204:0.204)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_54_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.193:0.193:0.193) (0.194:0.194:0.194)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_55_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.188:0.188:0.188) (0.190:0.190:0.190)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_56_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.195:0.195:0.195) (0.195:0.195:0.195)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_57_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.185:0.185:0.185) (0.188:0.188:0.188)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_58_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.193:0.193:0.193) (0.193:0.193:0.193)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_59_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.183:0.183:0.183) (0.186:0.186:0.186)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_60_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.204:0.204:0.204) (0.201:0.201:0.201)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_61_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.214:0.214:0.214) (0.210:0.210:0.210)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_62_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.180:0.180:0.180) (0.183:0.183:0.183)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_63_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.206:0.206:0.206) (0.204:0.204:0.204)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_64_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.194:0.194:0.194) (0.194:0.194:0.194)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_65_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.200:0.200:0.200) (0.199:0.199:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_67_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.191:0.191:0.191) (0.192:0.192:0.192)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_69_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.187:0.187:0.187) (0.188:0.188:0.188)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_70_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.205:0.205:0.205) (0.204:0.204:0.204)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_71_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.224:0.224:0.224) (0.217:0.217:0.217)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_72_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.214:0.214:0.214) (0.210:0.210:0.210)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_73_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.228:0.228:0.228) (0.220:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_75_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.216:0.216:0.216) (0.212:0.212:0.212)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_76_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.229:0.229:0.229) (0.221:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_77_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.224:0.224:0.224) (0.217:0.217:0.217)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_leaf_78_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.226:0.226:0.226) (0.218:0.218:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.206:0.206:0.206) (0.199:0.199:0.200)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE clkbuf_1_0_0_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.122:0.122:0.122) (0.132:0.132:0.132)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE clkbuf_1_0_1_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.156:0.156:0.156) (0.157:0.157:0.157)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE clkbuf_1_1_0_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.122:0.122:0.122) (0.132:0.132:0.132)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE clkbuf_1_1_1_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.162:0.162:0.162) (0.162:0.162:0.162)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE clkbuf_2_0_0_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.159:0.159:0.159) (0.160:0.160:0.160)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE clkbuf_2_1_0_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.154:0.154:0.154) (0.157:0.157:0.157)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE clkbuf_2_2_0_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.158:0.158:0.158) (0.160:0.160:0.160)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE clkbuf_2_3_0_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.158:0.158:0.158) (0.159:0.159:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE clkbuf_3_0_0_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.304:0.304:0.304) (0.247:0.247:0.247)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE clkbuf_3_1_0_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.264:0.264:0.264) (0.223:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE clkbuf_3_2_0_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.235:0.235:0.235) (0.205:0.205:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE clkbuf_3_3_0_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.282:0.282:0.282) (0.234:0.234:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE clkbuf_3_4_0_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.290:0.290:0.290) (0.238:0.238:0.238)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE clkbuf_3_5_0_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.267:0.267:0.267) (0.226:0.226:0.226)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE clkbuf_3_6_0_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.277:0.277:0.277) (0.231:0.231:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE clkbuf_3_7_0_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.312:0.312:0.312) (0.250:0.250:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_opt_1_0_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.183:0.183:0.183) (0.185:0.185:0.185)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_opt_2_0_csclk) - (DELAY - (ABSOLUTE - (IOPATH A X (0.192:0.192:0.192) (0.193:0.193:0.193)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0__1134_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.173:0.173:0.173) (0.205:0.205:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f__1134_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.114:0.114:0.115) (0.133:0.133:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f__1134_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.112:0.112:0.112) (0.131:0.132:0.132)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_0_wbbd_sck) - (DELAY - (ABSOLUTE - (IOPATH A X (0.134:0.134:0.134) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_0__f_wbbd_sck) - (DELAY - (ABSOLUTE - (IOPATH A X (0.110:0.110:0.110) (0.129:0.129:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clkbuf_1_1__f_wbbd_sck) - (DELAY - (ABSOLUTE - (IOPATH A X (0.110:0.110:0.110) (0.129:0.129:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.572:0.572:0.572) (0.575:0.575:0.575)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold2) - (DELAY - (ABSOLUTE - (IOPATH A X (0.663:0.663:0.663) (0.648:0.648:0.648)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold3) - (DELAY - (ABSOLUTE - (IOPATH A X (0.587:0.587:0.588) (0.598:0.598:0.598)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold4) - (DELAY - (ABSOLUTE - (IOPATH A X (0.577:0.577:0.577) (0.581:0.581:0.581)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE hold5) - (DELAY - (ABSOLUTE - (IOPATH A X (0.114:0.114:0.114) (0.133:0.133:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold6) - (DELAY - (ABSOLUTE - (IOPATH A X (0.554:0.554:0.554) (0.564:0.564:0.564)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold7) - (DELAY - (ABSOLUTE - (IOPATH A X (0.591:0.591:0.591) (0.593:0.593:0.593)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold8) - (DELAY - (ABSOLUTE - (IOPATH A X (0.578:0.578:0.578) (0.587:0.587:0.587)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE hold9) - (DELAY - (ABSOLUTE - (IOPATH A X (0.267:0.267:0.267) (0.192:0.192:0.192)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold10) - (DELAY - (ABSOLUTE - (IOPATH A X (0.570:0.571:0.571) (0.583:0.583:0.583)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold11) - (DELAY - (ABSOLUTE - (IOPATH A X (0.652:0.652:0.652) (0.636:0.636:0.636)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold12) - (DELAY - (ABSOLUTE - (IOPATH A X (0.782:0.782:0.782) (0.704:0.704:0.704)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold13) - (DELAY - (ABSOLUTE - (IOPATH A X (0.826:0.834:0.841) (0.728:0.733:0.738)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold14) - (DELAY - (ABSOLUTE - (IOPATH A X (1.091:1.092:1.093) (0.894:0.894:0.894)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold15) - (DELAY - (ABSOLUTE - (IOPATH A X (0.593:0.593:0.593) (0.598:0.598:0.598)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold16) - (DELAY - (ABSOLUTE - (IOPATH A X (0.620:0.620:0.620) (0.618:0.618:0.618)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold17) - (DELAY - (ABSOLUTE - (IOPATH A X (0.575:0.576:0.577) (0.575:0.576:0.576)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold18) - (DELAY - (ABSOLUTE - (IOPATH A X (0.736:0.744:0.751) (0.676:0.679:0.683)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold19) - (DELAY - (ABSOLUTE - (IOPATH A X (0.617:0.617:0.618) (0.614:0.616:0.617)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold20) - (DELAY - (ABSOLUTE - (IOPATH A X (0.712:0.713:0.713) (0.669:0.669:0.669)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE hold21) - (DELAY - (ABSOLUTE - (IOPATH A X (0.200:0.200:0.200) (0.163:0.163:0.163)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold22) - (DELAY - (ABSOLUTE - (IOPATH A X (0.788:0.788:0.788) (0.708:0.711:0.714)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold23) - (DELAY - (ABSOLUTE - (IOPATH A X (0.994:0.995:0.996) (0.814:0.823:0.831)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold24) - (DELAY - (ABSOLUTE - (IOPATH A X (0.546:0.547:0.549) (0.561:0.562:0.562)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold25) - (DELAY - (ABSOLUTE - (IOPATH A X (0.624:0.624:0.624) (0.624:0.624:0.624)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold26) - (DELAY - (ABSOLUTE - (IOPATH A X (0.586:0.586:0.587) (0.595:0.595:0.596)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold27) - (DELAY - (ABSOLUTE - (IOPATH A X (0.763:0.764:0.765) (0.693:0.694:0.694)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE hold28) - (DELAY - (ABSOLUTE - (IOPATH A X (0.182:0.183:0.184) (0.158:0.158:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE hold29) - (DELAY - (ABSOLUTE - (IOPATH A X (0.212:0.214:0.216) (0.180:0.193:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold30) - (DELAY - (ABSOLUTE - (IOPATH A X (0.644:0.644:0.644) (0.602:0.602:0.603)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold31) - (DELAY - (ABSOLUTE - (IOPATH A X (0.817:0.817:0.817) (0.729:0.729:0.729)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold32) - (DELAY - (ABSOLUTE - (IOPATH A X (0.906:0.909:0.912) (0.767:0.777:0.787)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold33) - (DELAY - (ABSOLUTE - (IOPATH A X (0.551:0.552:0.553) (0.565:0.565:0.566)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold34) - (DELAY - (ABSOLUTE - (IOPATH A X (0.555:0.555:0.555) (0.561:0.561:0.561)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold35) - (DELAY - (ABSOLUTE - (IOPATH A X (0.949:0.949:0.949) (0.801:0.801:0.801)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE hold36) - (DELAY - (ABSOLUTE - (IOPATH A X (0.279:0.279:0.279) (0.195:0.195:0.195)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold37) - (DELAY - (ABSOLUTE - (IOPATH A X (0.539:0.540:0.542) (0.556:0.556:0.557)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold38) - (DELAY - (ABSOLUTE - (IOPATH A X (0.554:0.554:0.554) (0.561:0.561:0.561)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold39) - (DELAY - (ABSOLUTE - (IOPATH A X (0.636:0.636:0.636) (0.627:0.627:0.627)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE hold40) - (DELAY - (ABSOLUTE - (IOPATH A X (0.262:0.262:0.262) (0.185:0.185:0.185)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold41) - (DELAY - (ABSOLUTE - (IOPATH A X (0.632:0.632:0.633) (0.631:0.631:0.631)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold42) - (DELAY - (ABSOLUTE - (IOPATH A X (0.562:0.562:0.562) (0.567:0.567:0.567)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold43) - (DELAY - (ABSOLUTE - (IOPATH A X (1.026:1.026:1.026) (0.844:0.844:0.844)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE hold44) - (DELAY - (ABSOLUTE - (IOPATH A X (0.289:0.289:0.289) (0.228:0.228:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold45) - (DELAY - (ABSOLUTE - (IOPATH A X (0.541:0.542:0.543) (0.557:0.557:0.557)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold46) - (DELAY - (ABSOLUTE - (IOPATH A X (0.674:0.674:0.674) (0.671:0.671:0.671)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold47) - (DELAY - (ABSOLUTE - (IOPATH A X (0.574:0.574:0.574) (0.572:0.576:0.580)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold48) - (DELAY - (ABSOLUTE - (IOPATH A X (0.723:0.733:0.742) (0.676:0.680:0.684)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold49) - (DELAY - (ABSOLUTE - (IOPATH A X (0.981:0.982:0.982) (0.820:0.820:0.820)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold50) - (DELAY - (ABSOLUTE - (IOPATH A X (0.633:0.633:0.633) (0.607:0.621:0.635)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold51) - (DELAY - (ABSOLUTE - (IOPATH A X (0.689:0.692:0.694) (0.654:0.659:0.664)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold52) - (DELAY - (ABSOLUTE - (IOPATH A X (0.543:0.544:0.544) (0.558:0.558:0.559)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold53) - (DELAY - (ABSOLUTE - (IOPATH A X (0.621:0.621:0.621) (0.621:0.621:0.621)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold54) - (DELAY - (ABSOLUTE - (IOPATH A X (0.602:0.602:0.603) (0.609:0.609:0.609)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold55) - (DELAY - (ABSOLUTE - (IOPATH A X (0.767:0.768:0.770) (0.697:0.698:0.698)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE hold56) - (DELAY - (ABSOLUTE - (IOPATH A X (0.179:0.180:0.180) (0.156:0.157:0.157)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE hold57) - (DELAY - (ABSOLUTE - (IOPATH A X (0.221:0.222:0.224) (0.206:0.209:0.211)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold58) - (DELAY - (ABSOLUTE - (IOPATH A X (0.676:0.677:0.677) (0.625:0.626:0.627)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold59) - (DELAY - (ABSOLUTE - (IOPATH A X (0.700:0.701:0.703) (0.662:0.665:0.667)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold60) - (DELAY - (ABSOLUTE - (IOPATH A X (0.543:0.544:0.545) (0.560:0.560:0.560)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold61) - (DELAY - (ABSOLUTE - (IOPATH A X (0.566:0.566:0.566) (0.570:0.570:0.570)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold62) - (DELAY - (ABSOLUTE - (IOPATH A X (0.592:0.593:0.593) (0.598:0.598:0.599)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold63) - (DELAY - (ABSOLUTE - (IOPATH A X (0.539:0.540:0.540) (0.554:0.554:0.554)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold64) - (DELAY - (ABSOLUTE - (IOPATH A X (0.610:0.610:0.610) (0.612:0.612:0.612)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold65) - (DELAY - (ABSOLUTE - (IOPATH A X (0.592:0.592:0.593) (0.602:0.602:0.602)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold66) - (DELAY - (ABSOLUTE - (IOPATH A X (0.730:0.731:0.731) (0.675:0.676:0.676)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE hold67) - (DELAY - (ABSOLUTE - (IOPATH A X (0.192:0.192:0.192) (0.162:0.162:0.163)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE hold68) - (DELAY - (ABSOLUTE - (IOPATH A X (0.205:0.212:0.220) (0.203:0.211:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold69) - (DELAY - (ABSOLUTE - (IOPATH A X (0.945:0.945:0.945) (0.780:0.785:0.790)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE hold70) - (DELAY - (ABSOLUTE - (IOPATH A X (0.263:0.265:0.267) (0.197:0.204:0.211)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold71) - (DELAY - (ABSOLUTE - (IOPATH A X (0.551:0.552:0.552) (0.567:0.567:0.567)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold72) - (DELAY - (ABSOLUTE - (IOPATH A X (0.885:0.885:0.885) (0.781:0.781:0.781)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold73) - (DELAY - (ABSOLUTE - (IOPATH A X (0.587:0.587:0.588) (0.597:0.597:0.598)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold74) - (DELAY - (ABSOLUTE - (IOPATH A X (0.732:0.732:0.733) (0.679:0.679:0.679)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE hold75) - (DELAY - (ABSOLUTE - (IOPATH A X (0.203:0.204:0.205) (0.170:0.172:0.174)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE hold76) - (DELAY - (ABSOLUTE - (IOPATH A X (0.203:0.205:0.207) (0.173:0.176:0.179)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold77) - (DELAY - (ABSOLUTE - (IOPATH A X (0.662:0.662:0.662) (0.616:0.618:0.619)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold78) - (DELAY - (ABSOLUTE - (IOPATH A X (0.978:0.978:0.978) (0.817:0.817:0.817)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE hold79) - (DELAY - (ABSOLUTE - (IOPATH A X (0.252:0.255:0.258) (0.233:0.242:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold80) - (DELAY - (ABSOLUTE - (IOPATH A X (0.540:0.541:0.543) (0.557:0.557:0.558)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold81) - (DELAY - (ABSOLUTE - (IOPATH A X (0.611:0.611:0.611) (0.607:0.607:0.607)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold82) - (DELAY - (ABSOLUTE - (IOPATH A X (0.584:0.585:0.586) (0.596:0.596:0.596)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold83) - (DELAY - (ABSOLUTE - (IOPATH A X (0.578:0.578:0.578) (0.583:0.583:0.583)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold84) - (DELAY - (ABSOLUTE - (IOPATH A X (0.947:0.948:0.948) (0.799:0.800:0.801)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE hold85) - (DELAY - (ABSOLUTE - (IOPATH A X (0.254:0.254:0.254) (0.188:0.188:0.188)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold86) - (DELAY - (ABSOLUTE - (IOPATH A X (0.595:0.595:0.595) (0.600:0.600:0.600)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold87) - (DELAY - (ABSOLUTE - (IOPATH A X (0.591:0.591:0.591) (0.600:0.600:0.600)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold88) - (DELAY - (ABSOLUTE - (IOPATH A X (0.546:0.547:0.548) (0.563:0.563:0.563)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold89) - (DELAY - (ABSOLUTE - (IOPATH A X (0.576:0.576:0.576) (0.588:0.588:0.588)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold90) - (DELAY - (ABSOLUTE - (IOPATH A X (0.546:0.547:0.548) (0.563:0.563:0.563)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold91) - (DELAY - (ABSOLUTE - (IOPATH A X (0.571:0.571:0.571) (0.576:0.576:0.576)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold92) - (DELAY - (ABSOLUTE - (IOPATH A X (0.615:0.615:0.615) (0.606:0.606:0.606)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold93) - (DELAY - (ABSOLUTE - (IOPATH A X (0.649:0.651:0.653) (0.609:0.625:0.640)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold94) - (DELAY - (ABSOLUTE - (IOPATH A X (0.661:0.664:0.668) (0.630:0.633:0.636)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE hold95) - (DELAY - (ABSOLUTE - (IOPATH A X (0.223:0.223:0.223) (0.185:0.185:0.185)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE hold96) - (DELAY - (ABSOLUTE - (IOPATH A X (0.205:0.205:0.205) (0.169:0.169:0.169)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold97) - (DELAY - (ABSOLUTE - (IOPATH A X (1.015:1.015:1.016) (0.852:0.852:0.852)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold98) - (DELAY - (ABSOLUTE - (IOPATH A X (0.616:0.617:0.618) (0.619:0.620:0.620)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold99) - (DELAY - (ABSOLUTE - (IOPATH A X (0.567:0.567:0.567) (0.572:0.572:0.572)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold100) - (DELAY - (ABSOLUTE - (IOPATH A X (0.623:0.623:0.623) (0.619:0.619:0.619)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold101) - (DELAY - (ABSOLUTE - (IOPATH A X (0.667:0.667:0.667) (0.651:0.651:0.651)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold102) - (DELAY - (ABSOLUTE - (IOPATH A X (0.599:0.599:0.600) (0.608:0.608:0.608)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold103) - (DELAY - (ABSOLUTE - (IOPATH A X (0.718:0.718:0.718) (0.719:0.719:0.719)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold104) - (DELAY - (ABSOLUTE - (IOPATH A X (0.573:0.574:0.574) (0.577:0.578:0.578)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold105) - (DELAY - (ABSOLUTE - (IOPATH A X (0.751:0.752:0.752) (0.695:0.696:0.696)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold106) - (DELAY - (ABSOLUTE - (IOPATH A X (1.079:1.079:1.080) (0.869:0.869:0.869)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold107) - (DELAY - (ABSOLUTE - (IOPATH A X (0.657:0.657:0.657) (0.612:0.614:0.616)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE hold108) - (DELAY - (ABSOLUTE - (IOPATH A X (0.231:0.235:0.239) (0.217:0.221:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold109) - (DELAY - (ABSOLUTE - (IOPATH A X (0.580:0.581:0.581) (0.592:0.592:0.592)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold110) - (DELAY - (ABSOLUTE - (IOPATH A X (0.540:0.540:0.540) (0.548:0.548:0.548)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold111) - (DELAY - (ABSOLUTE - (IOPATH A X (0.638:0.639:0.640) (0.620:0.622:0.624)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE hold112) - (DELAY - (ABSOLUTE - (IOPATH A X (0.260:0.260:0.260) (0.200:0.200:0.200)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE hold113) - (DELAY - (ABSOLUTE - (IOPATH A X (0.216:0.216:0.216) (0.164:0.164:0.164)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_12") - (INSTANCE hold114) - (DELAY - (ABSOLUTE - (IOPATH A X (0.345:0.345:0.345) (0.222:0.222:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold115) - (DELAY - (ABSOLUTE - (IOPATH A X (0.936:0.937:0.939) (0.800:0.805:0.810)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold116) - (DELAY - (ABSOLUTE - (IOPATH A X (0.571:0.572:0.573) (0.584:0.584:0.584)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold117) - (DELAY - (ABSOLUTE - (IOPATH A X (0.609:0.609:0.609) (0.618:0.618:0.618)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold118) - (DELAY - (ABSOLUTE - (IOPATH A X (0.553:0.554:0.555) (0.569:0.569:0.569)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold119) - (DELAY - (ABSOLUTE - (IOPATH A X (0.580:0.580:0.580) (0.587:0.587:0.587)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold120) - (DELAY - (ABSOLUTE - (IOPATH A X (0.537:0.538:0.539) (0.554:0.554:0.554)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold121) - (DELAY - (ABSOLUTE - (IOPATH A X (0.583:0.583:0.583) (0.589:0.589:0.589)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold122) - (DELAY - (ABSOLUTE - (IOPATH A X (0.550:0.551:0.552) (0.566:0.566:0.566)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold123) - (DELAY - (ABSOLUTE - (IOPATH A X (0.587:0.587:0.587) (0.592:0.592:0.592)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold124) - (DELAY - (ABSOLUTE - (IOPATH A X (0.562:0.564:0.565) (0.576:0.577:0.577)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold125) - (DELAY - (ABSOLUTE - (IOPATH A X (0.542:0.542:0.542) (0.550:0.550:0.550)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold126) - (DELAY - (ABSOLUTE - (IOPATH A X (0.549:0.549:0.549) (0.560:0.560:0.560)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE hold127) - (DELAY - (ABSOLUTE - (IOPATH A X (0.220:0.220:0.220) (0.199:0.199:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold128) - (DELAY - (ABSOLUTE - (IOPATH A X (0.612:0.613:0.613) (0.617:0.617:0.617)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold129) - (DELAY - (ABSOLUTE - (IOPATH A X (0.625:0.625:0.625) (0.627:0.627:0.627)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold130) - (DELAY - (ABSOLUTE - (IOPATH A X (0.598:0.599:0.599) (0.607:0.607:0.607)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold131) - (DELAY - (ABSOLUTE - (IOPATH A X (0.569:0.569:0.569) (0.573:0.573:0.573)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold132) - (DELAY - (ABSOLUTE - (IOPATH A X (0.556:0.556:0.556) (0.571:0.571:0.571)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold133) - (DELAY - (ABSOLUTE - (IOPATH A X (0.598:0.598:0.598) (0.597:0.597:0.597)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold134) - (DELAY - (ABSOLUTE - (IOPATH A X (0.638:0.638:0.639) (0.634:0.634:0.634)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold135) - (DELAY - (ABSOLUTE - (IOPATH A X (0.743:0.743:0.743) (0.689:0.689:0.689)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold136) - (DELAY - (ABSOLUTE - (IOPATH A X (0.726:0.726:0.726) (0.675:0.675:0.675)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold137) - (DELAY - (ABSOLUTE - (IOPATH A X (0.559:0.559:0.559) (0.561:0.563:0.565)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold138) - (DELAY - (ABSOLUTE - (IOPATH A X (0.735:0.736:0.737) (0.689:0.694:0.699)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold139) - (DELAY - (ABSOLUTE - (IOPATH A X (0.548:0.549:0.550) (0.564:0.564:0.565)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold140) - (DELAY - (ABSOLUTE - (IOPATH A X (0.621:0.621:0.621) (0.631:0.631:0.631)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold141) - (DELAY - (ABSOLUTE - (IOPATH A X (0.538:0.539:0.540) (0.553:0.553:0.553)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold142) - (DELAY - (ABSOLUTE - (IOPATH A X (0.577:0.577:0.577) (0.584:0.584:0.584)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold143) - (DELAY - (ABSOLUTE - (IOPATH A X (0.557:0.558:0.559) (0.571:0.572:0.572)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold144) - (DELAY - (ABSOLUTE - (IOPATH A X (0.627:0.627:0.627) (0.613:0.613:0.613)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold145) - (DELAY - (ABSOLUTE - (IOPATH A X (0.598:0.599:0.600) (0.606:0.607:0.607)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold146) - (DELAY - (ABSOLUTE - (IOPATH A X (0.573:0.573:0.573) (0.588:0.588:0.588)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold147) - (DELAY - (ABSOLUTE - (IOPATH A X (0.536:0.537:0.538) (0.553:0.553:0.554)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold148) - (DELAY - (ABSOLUTE - (IOPATH A X (0.585:0.585:0.585) (0.596:0.596:0.596)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold149) - (DELAY - (ABSOLUTE - (IOPATH A X (0.553:0.554:0.555) (0.569:0.569:0.569)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold150) - (DELAY - (ABSOLUTE - (IOPATH A X (0.588:0.588:0.588) (0.594:0.594:0.594)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold151) - (DELAY - (ABSOLUTE - (IOPATH A X (0.530:0.531:0.533) (0.548:0.548:0.548)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold152) - (DELAY - (ABSOLUTE - (IOPATH A X (0.610:0.610:0.610) (0.607:0.607:0.607)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold153) - (DELAY - (ABSOLUTE - (IOPATH A X (0.578:0.580:0.581) (0.590:0.590:0.590)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold154) - (DELAY - (ABSOLUTE - (IOPATH A X (0.579:0.579:0.579) (0.591:0.591:0.591)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold155) - (DELAY - (ABSOLUTE - (IOPATH A X (0.540:0.541:0.542) (0.557:0.557:0.557)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold156) - (DELAY - (ABSOLUTE - (IOPATH A X (0.568:0.568:0.568) (0.583:0.583:0.583)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold157) - (DELAY - (ABSOLUTE - (IOPATH A X (0.556:0.557:0.558) (0.571:0.571:0.571)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold158) - (DELAY - (ABSOLUTE - (IOPATH A X (0.570:0.570:0.570) (0.574:0.574:0.574)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold159) - (DELAY - (ABSOLUTE - (IOPATH A X (0.557:0.558:0.558) (0.570:0.571:0.571)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold160) - (DELAY - (ABSOLUTE - (IOPATH A X (0.541:0.542:0.543) (0.558:0.558:0.558)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold161) - (DELAY - (ABSOLUTE - (IOPATH A X (0.598:0.598:0.598) (0.609:0.609:0.609)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold162) - (DELAY - (ABSOLUTE - (IOPATH A X (0.546:0.547:0.548) (0.562:0.562:0.562)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold163) - (DELAY - (ABSOLUTE - (IOPATH A X (0.569:0.569:0.569) (0.575:0.575:0.575)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold164) - (DELAY - (ABSOLUTE - (IOPATH A X (0.551:0.552:0.554) (0.567:0.567:0.567)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold165) - (DELAY - (ABSOLUTE - (IOPATH A X (0.571:0.571:0.571) (0.577:0.577:0.577)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold166) - (DELAY - (ABSOLUTE - (IOPATH A X (0.542:0.543:0.544) (0.560:0.560:0.560)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold167) - (DELAY - (ABSOLUTE - (IOPATH A X (0.577:0.577:0.577) (0.584:0.584:0.584)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold168) - (DELAY - (ABSOLUTE - (IOPATH A X (0.548:0.548:0.549) (0.564:0.564:0.564)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold169) - (DELAY - (ABSOLUTE - (IOPATH A X (0.567:0.567:0.567) (0.574:0.574:0.574)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold170) - (DELAY - (ABSOLUTE - (IOPATH A X (0.539:0.541:0.542) (0.557:0.557:0.557)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold171) - (DELAY - (ABSOLUTE - (IOPATH A X (0.584:0.584:0.584) (0.597:0.597:0.597)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold172) - (DELAY - (ABSOLUTE - (IOPATH A X (0.537:0.538:0.539) (0.555:0.555:0.555)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold173) - (DELAY - (ABSOLUTE - (IOPATH A X (0.538:0.538:0.538) (0.550:0.550:0.550)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold174) - (DELAY - (ABSOLUTE - (IOPATH A X (0.530:0.531:0.531) (0.547:0.547:0.548)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold175) - (DELAY - (ABSOLUTE - (IOPATH A X (0.674:0.674:0.674) (0.676:0.676:0.676)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold176) - (DELAY - (ABSOLUTE - (IOPATH A X (0.705:0.706:0.706) (0.681:0.681:0.681)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold177) - (DELAY - (ABSOLUTE - (IOPATH A X (0.576:0.576:0.576) (0.583:0.583:0.583)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold178) - (DELAY - (ABSOLUTE - (IOPATH A X (0.552:0.553:0.554) (0.568:0.568:0.569)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold179) - (DELAY - (ABSOLUTE - (IOPATH A X (0.582:0.582:0.582) (0.588:0.588:0.588)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold180) - (DELAY - (ABSOLUTE - (IOPATH A X (0.548:0.550:0.551) (0.565:0.565:0.565)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold181) - (DELAY - (ABSOLUTE - (IOPATH A X (0.569:0.569:0.569) (0.577:0.577:0.577)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold182) - (DELAY - (ABSOLUTE - (IOPATH A X (0.555:0.556:0.557) (0.570:0.570:0.570)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold183) - (DELAY - (ABSOLUTE - (IOPATH A X (0.569:0.569:0.569) (0.583:0.583:0.583)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold184) - (DELAY - (ABSOLUTE - (IOPATH A X (0.551:0.552:0.553) (0.566:0.566:0.567)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold185) - (DELAY - (ABSOLUTE - (IOPATH A X (0.590:0.590:0.590) (0.589:0.589:0.589)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold186) - (DELAY - (ABSOLUTE - (IOPATH A X (0.541:0.542:0.543) (0.557:0.557:0.557)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold187) - (DELAY - (ABSOLUTE - (IOPATH A X (0.601:0.601:0.601) (0.611:0.611:0.611)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold188) - (DELAY - (ABSOLUTE - (IOPATH A X (0.544:0.545:0.546) (0.561:0.561:0.561)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold189) - (DELAY - (ABSOLUTE - (IOPATH A X (0.573:0.573:0.573) (0.581:0.581:0.581)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold190) - (DELAY - (ABSOLUTE - (IOPATH A X (0.530:0.532:0.533) (0.548:0.548:0.548)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold191) - (DELAY - (ABSOLUTE - (IOPATH A X (0.672:0.672:0.672) (0.662:0.662:0.662)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold192) - (DELAY - (ABSOLUTE - (IOPATH A X (0.632:0.633:0.633) (0.631:0.631:0.631)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold193) - (DELAY - (ABSOLUTE - (IOPATH A X (0.574:0.574:0.574) (0.581:0.581:0.581)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold194) - (DELAY - (ABSOLUTE - (IOPATH A X (0.541:0.542:0.543) (0.558:0.558:0.558)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold195) - (DELAY - (ABSOLUTE - (IOPATH A X (0.611:0.611:0.611) (0.617:0.617:0.617)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold196) - (DELAY - (ABSOLUTE - (IOPATH A X (0.587:0.588:0.588) (0.598:0.598:0.598)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold197) - (DELAY - (ABSOLUTE - (IOPATH A X (0.620:0.620:0.620) (0.613:0.613:0.613)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold198) - (DELAY - (ABSOLUTE - (IOPATH A X (0.605:0.606:0.607) (0.612:0.612:0.612)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold199) - (DELAY - (ABSOLUTE - (IOPATH A X (0.599:0.599:0.599) (0.609:0.609:0.609)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold200) - (DELAY - (ABSOLUTE - (IOPATH A X (0.572:0.573:0.574) (0.585:0.585:0.585)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold201) - (DELAY - (ABSOLUTE - (IOPATH A X (0.665:0.665:0.665) (0.665:0.665:0.665)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold202) - (DELAY - (ABSOLUTE - (IOPATH A X (0.638:0.638:0.639) (0.635:0.635:0.636)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold203) - (DELAY - (ABSOLUTE - (IOPATH A X (0.677:0.677:0.677) (0.661:0.661:0.661)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold204) - (DELAY - (ABSOLUTE - (IOPATH A X (0.678:0.678:0.678) (0.664:0.665:0.665)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold205) - (DELAY - (ABSOLUTE - (IOPATH A X (0.604:0.604:0.604) (0.622:0.622:0.622)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold206) - (DELAY - (ABSOLUTE - (IOPATH A X (0.540:0.541:0.542) (0.557:0.557:0.557)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold207) - (DELAY - (ABSOLUTE - (IOPATH A X (0.602:0.602:0.602) (0.613:0.613:0.613)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold208) - (DELAY - (ABSOLUTE - (IOPATH A X (0.534:0.535:0.536) (0.551:0.552:0.552)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold209) - (DELAY - (ABSOLUTE - (IOPATH A X (0.595:0.595:0.595) (0.599:0.599:0.599)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold210) - (DELAY - (ABSOLUTE - (IOPATH A X (0.544:0.545:0.546) (0.561:0.561:0.562)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold211) - (DELAY - (ABSOLUTE - (IOPATH A X (0.575:0.575:0.575) (0.582:0.582:0.582)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold212) - (DELAY - (ABSOLUTE - (IOPATH A X (0.549:0.551:0.552) (0.566:0.566:0.566)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold213) - (DELAY - (ABSOLUTE - (IOPATH A X (0.574:0.574:0.574) (0.581:0.581:0.581)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold214) - (DELAY - (ABSOLUTE - (IOPATH A X (0.547:0.548:0.550) (0.563:0.563:0.564)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold215) - (DELAY - (ABSOLUTE - (IOPATH A X (0.596:0.596:0.596) (0.606:0.606:0.606)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold216) - (DELAY - (ABSOLUTE - (IOPATH A X (0.562:0.563:0.564) (0.576:0.577:0.577)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold217) - (DELAY - (ABSOLUTE - (IOPATH A X (0.577:0.577:0.577) (0.585:0.585:0.585)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold218) - (DELAY - (ABSOLUTE - (IOPATH A X (0.548:0.549:0.550) (0.564:0.564:0.564)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold219) - (DELAY - (ABSOLUTE - (IOPATH A X (0.597:0.597:0.597) (0.590:0.590:0.590)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold220) - (DELAY - (ABSOLUTE - (IOPATH A X (0.543:0.544:0.545) (0.559:0.559:0.559)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold221) - (DELAY - (ABSOLUTE - (IOPATH A X (0.603:0.603:0.603) (0.598:0.598:0.598)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold222) - (DELAY - (ABSOLUTE - (IOPATH A X (0.538:0.540:0.541) (0.554:0.554:0.554)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold223) - (DELAY - (ABSOLUTE - (IOPATH A X (0.574:0.574:0.574) (0.588:0.588:0.588)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold224) - (DELAY - (ABSOLUTE - (IOPATH A X (0.539:0.540:0.542) (0.556:0.557:0.557)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold225) - (DELAY - (ABSOLUTE - (IOPATH A X (0.605:0.605:0.605) (0.606:0.606:0.606)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold226) - (DELAY - (ABSOLUTE - (IOPATH A X (0.546:0.547:0.548) (0.561:0.562:0.562)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold227) - (DELAY - (ABSOLUTE - (IOPATH A X (0.577:0.577:0.577) (0.583:0.583:0.583)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold228) - (DELAY - (ABSOLUTE - (IOPATH A X (0.541:0.542:0.544) (0.558:0.558:0.558)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold229) - (DELAY - (ABSOLUTE - (IOPATH A X (0.668:0.668:0.668) (0.655:0.655:0.655)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold230) - (DELAY - (ABSOLUTE - (IOPATH A X (0.661:0.661:0.661) (0.650:0.650:0.651)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold231) - (DELAY - (ABSOLUTE - (IOPATH A X (0.618:0.618:0.618) (0.620:0.620:0.620)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold232) - (DELAY - (ABSOLUTE - (IOPATH A X (0.579:0.579:0.580) (0.591:0.591:0.591)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold233) - (DELAY - (ABSOLUTE - (IOPATH A X (0.595:0.595:0.595) (0.590:0.590:0.590)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold234) - (DELAY - (ABSOLUTE - (IOPATH A X (0.559:0.560:0.561) (0.573:0.573:0.573)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold235) - (DELAY - (ABSOLUTE - (IOPATH A X (0.585:0.585:0.585) (0.597:0.597:0.597)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold236) - (DELAY - (ABSOLUTE - (IOPATH A X (0.559:0.560:0.561) (0.574:0.574:0.574)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold237) - (DELAY - (ABSOLUTE - (IOPATH A X (0.570:0.570:0.570) (0.578:0.578:0.578)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold238) - (DELAY - (ABSOLUTE - (IOPATH A X (0.530:0.531:0.533) (0.547:0.547:0.548)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold239) - (DELAY - (ABSOLUTE - (IOPATH A X (0.590:0.590:0.590) (0.596:0.596:0.596)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold240) - (DELAY - (ABSOLUTE - (IOPATH A X (0.548:0.549:0.551) (0.564:0.564:0.564)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold241) - (DELAY - (ABSOLUTE - (IOPATH A X (0.607:0.607:0.607) (0.599:0.599:0.599)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold242) - (DELAY - (ABSOLUTE - (IOPATH A X (0.543:0.544:0.545) (0.558:0.558:0.558)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold243) - (DELAY - (ABSOLUTE - (IOPATH A X (0.639:0.639:0.639) (0.627:0.627:0.627)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold244) - (DELAY - (ABSOLUTE - (IOPATH A X (0.619:0.620:0.621) (0.621:0.621:0.621)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold245) - (DELAY - (ABSOLUTE - (IOPATH A X (0.573:0.573:0.573) (0.581:0.581:0.581)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold246) - (DELAY - (ABSOLUTE - (IOPATH A X (0.552:0.553:0.554) (0.567:0.567:0.568)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold247) - (DELAY - (ABSOLUTE - (IOPATH A X (0.584:0.584:0.584) (0.588:0.588:0.588)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold248) - (DELAY - (ABSOLUTE - (IOPATH A X (0.536:0.537:0.539) (0.553:0.553:0.554)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold249) - (DELAY - (ABSOLUTE - (IOPATH A X (0.574:0.574:0.574) (0.579:0.579:0.579)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold250) - (DELAY - (ABSOLUTE - (IOPATH A X (0.544:0.545:0.546) (0.560:0.560:0.560)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold251) - (DELAY - (ABSOLUTE - (IOPATH A X (0.604:0.604:0.604) (0.603:0.603:0.603)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold252) - (DELAY - (ABSOLUTE - (IOPATH A X (0.581:0.582:0.583) (0.592:0.592:0.593)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold253) - (DELAY - (ABSOLUTE - (IOPATH A X (0.597:0.597:0.597) (0.594:0.594:0.594)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold254) - (DELAY - (ABSOLUTE - (IOPATH A X (0.539:0.540:0.541) (0.555:0.555:0.555)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold255) - (DELAY - (ABSOLUTE - (IOPATH A X (0.586:0.586:0.586) (0.589:0.589:0.589)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold256) - (DELAY - (ABSOLUTE - (IOPATH A X (0.543:0.544:0.545) (0.560:0.560:0.560)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold257) - (DELAY - (ABSOLUTE - (IOPATH A X (0.645:0.645:0.645) (0.638:0.638:0.638)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold258) - (DELAY - (ABSOLUTE - (IOPATH A X (0.579:0.580:0.581) (0.589:0.589:0.589)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold259) - (DELAY - (ABSOLUTE - (IOPATH A X (0.573:0.573:0.573) (0.586:0.586:0.586)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold260) - (DELAY - (ABSOLUTE - (IOPATH A X (0.555:0.556:0.557) (0.570:0.570:0.571)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold261) - (DELAY - (ABSOLUTE - (IOPATH A X (0.590:0.590:0.590) (0.601:0.601:0.601)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold262) - (DELAY - (ABSOLUTE - (IOPATH A X (0.550:0.552:0.553) (0.566:0.567:0.567)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold263) - (DELAY - (ABSOLUTE - (IOPATH A X (0.576:0.576:0.576) (0.582:0.582:0.582)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold264) - (DELAY - (ABSOLUTE - (IOPATH A X (0.534:0.535:0.536) (0.551:0.551:0.552)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold265) - (DELAY - (ABSOLUTE - (IOPATH A X (0.539:0.539:0.539) (0.551:0.551:0.551)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold266) - (DELAY - (ABSOLUTE - (IOPATH A X (0.549:0.550:0.551) (0.565:0.565:0.565)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold267) - (DELAY - (ABSOLUTE - (IOPATH A X (0.595:0.595:0.595) (0.609:0.609:0.609)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold268) - (DELAY - (ABSOLUTE - (IOPATH A X (0.534:0.535:0.536) (0.551:0.552:0.552)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold269) - (DELAY - (ABSOLUTE - (IOPATH A X (0.575:0.575:0.575) (0.588:0.588:0.588)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold270) - (DELAY - (ABSOLUTE - (IOPATH A X (0.536:0.537:0.538) (0.553:0.553:0.554)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold271) - (DELAY - (ABSOLUTE - (IOPATH A X (0.622:0.622:0.622) (0.618:0.618:0.618)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold272) - (DELAY - (ABSOLUTE - (IOPATH A X (0.617:0.617:0.617) (0.620:0.620:0.621)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold273) - (DELAY - (ABSOLUTE - (IOPATH A X (0.587:0.587:0.587) (0.585:0.585:0.585)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold274) - (DELAY - (ABSOLUTE - (IOPATH A X (0.539:0.540:0.541) (0.555:0.555:0.555)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold275) - (DELAY - (ABSOLUTE - (IOPATH A X (0.576:0.576:0.576) (0.590:0.590:0.590)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold276) - (DELAY - (ABSOLUTE - (IOPATH A X (0.534:0.535:0.536) (0.551:0.551:0.551)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold277) - (DELAY - (ABSOLUTE - (IOPATH A X (0.593:0.593:0.593) (0.605:0.605:0.605)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold278) - (DELAY - (ABSOLUTE - (IOPATH A X (0.542:0.543:0.544) (0.559:0.559:0.559)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold279) - (DELAY - (ABSOLUTE - (IOPATH A X (0.625:0.625:0.625) (0.648:0.648:0.648)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold280) - (DELAY - (ABSOLUTE - (IOPATH A X (0.540:0.541:0.542) (0.557:0.557:0.557)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold281) - (DELAY - (ABSOLUTE - (IOPATH A X (0.589:0.589:0.589) (0.595:0.595:0.595)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold282) - (DELAY - (ABSOLUTE - (IOPATH A X (0.541:0.542:0.543) (0.558:0.558:0.558)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold283) - (DELAY - (ABSOLUTE - (IOPATH A X (0.620:0.620:0.620) (0.622:0.622:0.622)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold284) - (DELAY - (ABSOLUTE - (IOPATH A X (0.577:0.578:0.578) (0.590:0.590:0.590)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold285) - (DELAY - (ABSOLUTE - (IOPATH A X (0.591:0.591:0.591) (0.602:0.602:0.602)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold286) - (DELAY - (ABSOLUTE - (IOPATH A X (0.549:0.550:0.551) (0.565:0.565:0.565)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold287) - (DELAY - (ABSOLUTE - (IOPATH A X (0.695:0.695:0.695) (0.682:0.682:0.682)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold288) - (DELAY - (ABSOLUTE - (IOPATH A X (0.672:0.672:0.672) (0.663:0.663:0.664)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold289) - (DELAY - (ABSOLUTE - (IOPATH A X (0.597:0.597:0.597) (0.607:0.607:0.607)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold290) - (DELAY - (ABSOLUTE - (IOPATH A X (0.557:0.558:0.559) (0.572:0.572:0.573)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold291) - (DELAY - (ABSOLUTE - (IOPATH A X (0.589:0.589:0.589) (0.601:0.601:0.601)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold292) - (DELAY - (ABSOLUTE - (IOPATH A X (0.560:0.561:0.562) (0.574:0.575:0.575)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold293) - (DELAY - (ABSOLUTE - (IOPATH A X (0.574:0.574:0.574) (0.587:0.587:0.587)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold294) - (DELAY - (ABSOLUTE - (IOPATH A X (0.547:0.548:0.549) (0.563:0.564:0.564)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold295) - (DELAY - (ABSOLUTE - (IOPATH A X (0.572:0.572:0.572) (0.579:0.579:0.579)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold296) - (DELAY - (ABSOLUTE - (IOPATH A X (0.534:0.535:0.536) (0.551:0.551:0.552)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold297) - (DELAY - (ABSOLUTE - (IOPATH A X (0.588:0.588:0.588) (0.599:0.599:0.599)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold298) - (DELAY - (ABSOLUTE - (IOPATH A X (0.545:0.546:0.547) (0.562:0.562:0.562)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold299) - (DELAY - (ABSOLUTE - (IOPATH A X (0.580:0.580:0.580) (0.594:0.594:0.594)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold300) - (DELAY - (ABSOLUTE - (IOPATH A X (0.543:0.544:0.545) (0.559:0.560:0.560)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold301) - (DELAY - (ABSOLUTE - (IOPATH A X (0.543:0.543:0.543) (0.555:0.555:0.555)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold302) - (DELAY - (ABSOLUTE - (IOPATH A X (0.538:0.539:0.540) (0.555:0.555:0.556)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold303) - (DELAY - (ABSOLUTE - (IOPATH A X (0.577:0.577:0.577) (0.584:0.584:0.584)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold304) - (DELAY - (ABSOLUTE - (IOPATH A X (0.550:0.551:0.552) (0.565:0.565:0.566)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold305) - (DELAY - (ABSOLUTE - (IOPATH A X (0.586:0.586:0.586) (0.592:0.592:0.592)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold306) - (DELAY - (ABSOLUTE - (IOPATH A X (0.546:0.547:0.548) (0.562:0.562:0.563)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold307) - (DELAY - (ABSOLUTE - (IOPATH A X (0.564:0.564:0.564) (0.577:0.577:0.577)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold308) - (DELAY - (ABSOLUTE - (IOPATH A X (0.555:0.557:0.558) (0.570:0.570:0.571)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold309) - (DELAY - (ABSOLUTE - (IOPATH A X (0.583:0.583:0.583) (0.595:0.595:0.595)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold310) - (DELAY - (ABSOLUTE - (IOPATH A X (0.540:0.542:0.543) (0.557:0.558:0.558)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold311) - (DELAY - (ABSOLUTE - (IOPATH A X (0.569:0.569:0.569) (0.577:0.577:0.577)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold312) - (DELAY - (ABSOLUTE - (IOPATH A X (0.552:0.554:0.555) (0.568:0.568:0.568)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold313) - (DELAY - (ABSOLUTE - (IOPATH A X (0.571:0.571:0.571) (0.584:0.584:0.584)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold314) - (DELAY - (ABSOLUTE - (IOPATH A X (0.539:0.541:0.542) (0.556:0.557:0.557)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold315) - (DELAY - (ABSOLUTE - (IOPATH A X (0.600:0.600:0.600) (0.604:0.604:0.604)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold316) - (DELAY - (ABSOLUTE - (IOPATH A X (0.546:0.547:0.548) (0.561:0.561:0.561)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold317) - (DELAY - (ABSOLUTE - (IOPATH A X (0.583:0.583:0.583) (0.595:0.595:0.595)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold318) - (DELAY - (ABSOLUTE - (IOPATH A X (0.545:0.546:0.547) (0.562:0.562:0.563)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold319) - (DELAY - (ABSOLUTE - (IOPATH A X (0.579:0.579:0.579) (0.593:0.593:0.593)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold320) - (DELAY - (ABSOLUTE - (IOPATH A X (0.539:0.540:0.542) (0.556:0.557:0.557)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold321) - (DELAY - (ABSOLUTE - (IOPATH A X (0.667:0.667:0.667) (0.644:0.644:0.644)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold322) - (DELAY - (ABSOLUTE - (IOPATH A X (0.604:0.605:0.606) (0.610:0.610:0.611)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold323) - (DELAY - (ABSOLUTE - (IOPATH A X (0.565:0.565:0.565) (0.571:0.571:0.571)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold324) - (DELAY - (ABSOLUTE - (IOPATH A X (0.545:0.546:0.547) (0.561:0.561:0.561)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold325) - (DELAY - (ABSOLUTE - (IOPATH A X (0.578:0.578:0.578) (0.585:0.585:0.585)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold326) - (DELAY - (ABSOLUTE - (IOPATH A X (0.536:0.537:0.539) (0.554:0.554:0.554)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold327) - (DELAY - (ABSOLUTE - (IOPATH A X (0.574:0.574:0.574) (0.589:0.589:0.589)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold328) - (DELAY - (ABSOLUTE - (IOPATH A X (0.537:0.538:0.539) (0.554:0.555:0.555)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold329) - (DELAY - (ABSOLUTE - (IOPATH A X (0.597:0.597:0.597) (0.597:0.597:0.597)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold330) - (DELAY - (ABSOLUTE - (IOPATH A X (0.546:0.547:0.547) (0.562:0.563:0.563)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold331) - (DELAY - (ABSOLUTE - (IOPATH A X (0.576:0.576:0.576) (0.590:0.590:0.590)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold332) - (DELAY - (ABSOLUTE - (IOPATH A X (0.541:0.542:0.544) (0.558:0.558:0.558)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold333) - (DELAY - (ABSOLUTE - (IOPATH A X (0.584:0.584:0.584) (0.595:0.595:0.595)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold334) - (DELAY - (ABSOLUTE - (IOPATH A X (0.529:0.531:0.532) (0.547:0.547:0.547)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold335) - (DELAY - (ABSOLUTE - (IOPATH A X (0.595:0.595:0.595) (0.589:0.589:0.589)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold336) - (DELAY - (ABSOLUTE - (IOPATH A X (0.551:0.552:0.553) (0.565:0.565:0.566)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold337) - (DELAY - (ABSOLUTE - (IOPATH A X (0.602:0.602:0.602) (0.606:0.606:0.606)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold338) - (DELAY - (ABSOLUTE - (IOPATH A X (0.539:0.540:0.541) (0.554:0.555:0.555)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold339) - (DELAY - (ABSOLUTE - (IOPATH A X (0.574:0.574:0.574) (0.577:0.577:0.577)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold340) - (DELAY - (ABSOLUTE - (IOPATH A X (0.535:0.536:0.537) (0.551:0.551:0.551)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold341) - (DELAY - (ABSOLUTE - (IOPATH A X (0.583:0.583:0.583) (0.582:0.582:0.582)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold342) - (DELAY - (ABSOLUTE - (IOPATH A X (0.553:0.554:0.555) (0.568:0.568:0.568)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold343) - (DELAY - (ABSOLUTE - (IOPATH A X (0.571:0.571:0.571) (0.577:0.577:0.577)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold344) - (DELAY - (ABSOLUTE - (IOPATH A X (0.555:0.556:0.557) (0.571:0.571:0.571)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold345) - (DELAY - (ABSOLUTE - (IOPATH A X (0.591:0.591:0.591) (0.591:0.591:0.591)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold346) - (DELAY - (ABSOLUTE - (IOPATH A X (0.554:0.555:0.556) (0.568:0.568:0.568)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold347) - (DELAY - (ABSOLUTE - (IOPATH A X (0.601:0.601:0.601) (0.611:0.611:0.611)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold348) - (DELAY - (ABSOLUTE - (IOPATH A X (0.543:0.544:0.545) (0.558:0.558:0.558)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold349) - (DELAY - (ABSOLUTE - (IOPATH A X (0.544:0.544:0.544) (0.557:0.557:0.557)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold350) - (DELAY - (ABSOLUTE - (IOPATH A X (0.558:0.559:0.559) (0.573:0.573:0.573)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold351) - (DELAY - (ABSOLUTE - (IOPATH A X (0.574:0.574:0.574) (0.572:0.572:0.572)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold352) - (DELAY - (ABSOLUTE - (IOPATH A X (0.545:0.547:0.548) (0.561:0.561:0.561)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold353) - (DELAY - (ABSOLUTE - (IOPATH A X (0.580:0.580:0.580) (0.577:0.577:0.577)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold354) - (DELAY - (ABSOLUTE - (IOPATH A X (0.539:0.540:0.541) (0.554:0.555:0.555)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold355) - (DELAY - (ABSOLUTE - (IOPATH A X (0.674:0.674:0.674) (0.665:0.665:0.665)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold356) - (DELAY - (ABSOLUTE - (IOPATH A X (0.634:0.634:0.635) (0.632:0.632:0.632)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold357) - (DELAY - (ABSOLUTE - (IOPATH A X (0.592:0.592:0.592) (0.593:0.593:0.593)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold358) - (DELAY - (ABSOLUTE - (IOPATH A X (0.548:0.549:0.550) (0.563:0.563:0.563)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold359) - (DELAY - (ABSOLUTE - (IOPATH A X (0.652:0.652:0.652) (0.645:0.645:0.645)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold360) - (DELAY - (ABSOLUTE - (IOPATH A X (0.560:0.561:0.562) (0.573:0.573:0.573)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold361) - (DELAY - (ABSOLUTE - (IOPATH A X (0.580:0.580:0.580) (0.586:0.586:0.586)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold362) - (DELAY - (ABSOLUTE - (IOPATH A X (0.534:0.535:0.536) (0.552:0.552:0.552)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold363) - (DELAY - (ABSOLUTE - (IOPATH A X (0.569:0.569:0.569) (0.577:0.577:0.577)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold364) - (DELAY - (ABSOLUTE - (IOPATH A X (0.547:0.548:0.549) (0.564:0.564:0.564)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold365) - (DELAY - (ABSOLUTE - (IOPATH A X (0.583:0.583:0.583) (0.591:0.591:0.591)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold366) - (DELAY - (ABSOLUTE - (IOPATH A X (0.553:0.554:0.554) (0.569:0.569:0.569)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold367) - (DELAY - (ABSOLUTE - (IOPATH A X (0.591:0.591:0.591) (0.603:0.603:0.603)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold368) - (DELAY - (ABSOLUTE - (IOPATH A X (0.536:0.537:0.538) (0.553:0.553:0.553)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold369) - (DELAY - (ABSOLUTE - (IOPATH A X (0.576:0.576:0.576) (0.583:0.583:0.583)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold370) - (DELAY - (ABSOLUTE - (IOPATH A X (0.551:0.552:0.553) (0.567:0.567:0.567)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold371) - (DELAY - (ABSOLUTE - (IOPATH A X (0.579:0.579:0.579) (0.574:0.574:0.574)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold372) - (DELAY - (ABSOLUTE - (IOPATH A X (0.556:0.557:0.558) (0.569:0.569:0.570)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold373) - (DELAY - (ABSOLUTE - (IOPATH A X (0.610:0.610:0.610) (0.619:0.619:0.619)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold374) - (DELAY - (ABSOLUTE - (IOPATH A X (0.555:0.556:0.557) (0.569:0.569:0.569)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold375) - (DELAY - (ABSOLUTE - (IOPATH A X (0.601:0.601:0.601) (0.610:0.610:0.610)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold376) - (DELAY - (ABSOLUTE - (IOPATH A X (0.559:0.560:0.561) (0.574:0.574:0.574)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold377) - (DELAY - (ABSOLUTE - (IOPATH A X (0.577:0.577:0.577) (0.583:0.583:0.583)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold378) - (DELAY - (ABSOLUTE - (IOPATH A X (0.533:0.534:0.535) (0.550:0.550:0.550)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold379) - (DELAY - (ABSOLUTE - (IOPATH A X (0.598:0.598:0.598) (0.600:0.600:0.600)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold380) - (DELAY - (ABSOLUTE - (IOPATH A X (0.586:0.586:0.587) (0.597:0.597:0.598)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold381) - (DELAY - (ABSOLUTE - (IOPATH A X (0.577:0.577:0.577) (0.584:0.584:0.584)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold382) - (DELAY - (ABSOLUTE - (IOPATH A X (0.556:0.556:0.556) (0.571:0.571:0.571)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold383) - (DELAY - (ABSOLUTE - (IOPATH A X (0.565:0.565:0.565) (0.578:0.578:0.578)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold384) - (DELAY - (ABSOLUTE - (IOPATH A X (0.547:0.548:0.548) (0.564:0.564:0.564)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold385) - (DELAY - (ABSOLUTE - (IOPATH A X (0.584:0.584:0.584) (0.591:0.591:0.591)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold386) - (DELAY - (ABSOLUTE - (IOPATH A X (0.560:0.561:0.562) (0.575:0.575:0.575)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold387) - (DELAY - (ABSOLUTE - (IOPATH A X (0.567:0.567:0.567) (0.576:0.576:0.576)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold388) - (DELAY - (ABSOLUTE - (IOPATH A X (0.538:0.539:0.540) (0.556:0.556:0.556)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold389) - (DELAY - (ABSOLUTE - (IOPATH A X (0.622:0.622:0.622) (0.628:0.628:0.628)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold390) - (DELAY - (ABSOLUTE - (IOPATH A X (0.591:0.591:0.592) (0.601:0.601:0.601)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold391) - (DELAY - (ABSOLUTE - (IOPATH A X (0.570:0.570:0.570) (0.583:0.583:0.583)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold392) - (DELAY - (ABSOLUTE - (IOPATH A X (0.534:0.536:0.537) (0.552:0.552:0.552)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold393) - (DELAY - (ABSOLUTE - (IOPATH A X (0.618:0.618:0.618) (0.618:0.618:0.618)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold394) - (DELAY - (ABSOLUTE - (IOPATH A X (0.580:0.581:0.582) (0.592:0.593:0.593)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold395) - (DELAY - (ABSOLUTE - (IOPATH A X (0.625:0.625:0.625) (0.630:0.630:0.630)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold396) - (DELAY - (ABSOLUTE - (IOPATH A X (0.588:0.589:0.589) (0.599:0.599:0.599)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold397) - (DELAY - (ABSOLUTE - (IOPATH A X (0.601:0.601:0.601) (0.612:0.612:0.612)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold398) - (DELAY - (ABSOLUTE - (IOPATH A X (0.542:0.544:0.545) (0.559:0.559:0.559)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold399) - (DELAY - (ABSOLUTE - (IOPATH A X (0.617:0.617:0.617) (0.614:0.614:0.614)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold400) - (DELAY - (ABSOLUTE - (IOPATH A X (0.566:0.566:0.567) (0.580:0.580:0.580)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold401) - (DELAY - (ABSOLUTE - (IOPATH A X (0.573:0.573:0.573) (0.575:0.575:0.575)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold402) - (DELAY - (ABSOLUTE - (IOPATH A X (0.530:0.531:0.532) (0.548:0.548:0.548)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold403) - (DELAY - (ABSOLUTE - (IOPATH A X (0.556:0.556:0.556) (0.561:0.561:0.561)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold404) - (DELAY - (ABSOLUTE - (IOPATH A X (0.540:0.541:0.542) (0.558:0.558:0.558)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold405) - (DELAY - (ABSOLUTE - (IOPATH A X (0.581:0.581:0.581) (0.589:0.589:0.589)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold406) - (DELAY - (ABSOLUTE - (IOPATH A X (0.537:0.539:0.540) (0.555:0.555:0.555)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold407) - (DELAY - (ABSOLUTE - (IOPATH A X (0.605:0.605:0.605) (0.600:0.600:0.600)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold408) - (DELAY - (ABSOLUTE - (IOPATH A X (0.544:0.546:0.547) (0.560:0.560:0.560)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold409) - (DELAY - (ABSOLUTE - (IOPATH A X (0.547:0.547:0.547) (0.559:0.559:0.559)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold410) - (DELAY - (ABSOLUTE - (IOPATH A X (0.535:0.536:0.537) (0.553:0.553:0.553)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold411) - (DELAY - (ABSOLUTE - (IOPATH A X (0.637:0.637:0.637) (0.631:0.631:0.631)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold412) - (DELAY - (ABSOLUTE - (IOPATH A X (0.610:0.610:0.610) (0.615:0.616:0.616)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold413) - (DELAY - (ABSOLUTE - (IOPATH A X (0.631:0.631:0.631) (0.632:0.632:0.632)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold414) - (DELAY - (ABSOLUTE - (IOPATH A X (0.603:0.603:0.604) (0.610:0.611:0.611)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold415) - (DELAY - (ABSOLUTE - (IOPATH A X (0.594:0.594:0.594) (0.603:0.603:0.603)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold416) - (DELAY - (ABSOLUTE - (IOPATH A X (0.561:0.561:0.562) (0.575:0.575:0.576)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold417) - (DELAY - (ABSOLUTE - (IOPATH A X (0.591:0.591:0.591) (0.596:0.596:0.596)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold418) - (DELAY - (ABSOLUTE - (IOPATH A X (0.559:0.560:0.560) (0.574:0.575:0.575)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold419) - (DELAY - (ABSOLUTE - (IOPATH A X (0.582:0.582:0.582) (0.589:0.589:0.589)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold420) - (DELAY - (ABSOLUTE - (IOPATH A X (0.551:0.552:0.552) (0.567:0.567:0.567)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold421) - (DELAY - (ABSOLUTE - (IOPATH A X (0.632:0.632:0.632) (0.630:0.630:0.630)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold422) - (DELAY - (ABSOLUTE - (IOPATH A X (0.624:0.624:0.624) (0.625:0.625:0.625)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold423) - (DELAY - (ABSOLUTE - (IOPATH A X (0.593:0.593:0.593) (0.598:0.598:0.598)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold424) - (DELAY - (ABSOLUTE - (IOPATH A X (0.549:0.550:0.551) (0.565:0.565:0.565)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold425) - (DELAY - (ABSOLUTE - (IOPATH A X (0.567:0.567:0.567) (0.571:0.571:0.571)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold426) - (DELAY - (ABSOLUTE - (IOPATH A X (0.543:0.543:0.544) (0.560:0.560:0.560)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold427) - (DELAY - (ABSOLUTE - (IOPATH A X (0.665:0.665:0.665) (0.661:0.661:0.661)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold428) - (DELAY - (ABSOLUTE - (IOPATH A X (0.609:0.610:0.610) (0.615:0.615:0.616)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold429) - (DELAY - (ABSOLUTE - (IOPATH A X (0.644:0.644:0.644) (0.645:0.645:0.645)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold430) - (DELAY - (ABSOLUTE - (IOPATH A X (0.619:0.619:0.619) (0.621:0.621:0.621)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold431) - (DELAY - (ABSOLUTE - (IOPATH A X (0.603:0.603:0.603) (0.607:0.607:0.607)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold432) - (DELAY - (ABSOLUTE - (IOPATH A X (0.557:0.558:0.558) (0.572:0.572:0.572)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold433) - (DELAY - (ABSOLUTE - (IOPATH A X (0.569:0.569:0.569) (0.574:0.574:0.574)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold434) - (DELAY - (ABSOLUTE - (IOPATH A X (0.556:0.556:0.557) (0.571:0.571:0.571)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold435) - (DELAY - (ABSOLUTE - (IOPATH A X (0.644:0.644:0.644) (0.650:0.650:0.650)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold436) - (DELAY - (ABSOLUTE - (IOPATH A X (0.590:0.591:0.591) (0.601:0.601:0.601)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold437) - (DELAY - (ABSOLUTE - (IOPATH A X (0.633:0.633:0.633) (0.631:0.631:0.631)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold438) - (DELAY - (ABSOLUTE - (IOPATH A X (0.588:0.589:0.589) (0.599:0.599:0.599)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold439) - (DELAY - (ABSOLUTE - (IOPATH A X (0.602:0.602:0.602) (0.612:0.612:0.612)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold440) - (DELAY - (ABSOLUTE - (IOPATH A X (0.539:0.540:0.541) (0.556:0.556:0.557)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold441) - (DELAY - (ABSOLUTE - (IOPATH A X (0.597:0.597:0.597) (0.599:0.599:0.599)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold442) - (DELAY - (ABSOLUTE - (IOPATH A X (0.575:0.576:0.577) (0.587:0.587:0.588)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold443) - (DELAY - (ABSOLUTE - (IOPATH A X (0.610:0.610:0.610) (0.618:0.618:0.618)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold444) - (DELAY - (ABSOLUTE - (IOPATH A X (0.576:0.577:0.578) (0.588:0.589:0.589)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold445) - (DELAY - (ABSOLUTE - (IOPATH A X (0.622:0.622:0.622) (0.628:0.628:0.628)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold446) - (DELAY - (ABSOLUTE - (IOPATH A X (0.594:0.594:0.595) (0.604:0.604:0.604)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold447) - (DELAY - (ABSOLUTE - (IOPATH A X (0.587:0.587:0.587) (0.592:0.592:0.592)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold448) - (DELAY - (ABSOLUTE - (IOPATH A X (0.574:0.574:0.575) (0.586:0.586:0.587)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold449) - (DELAY - (ABSOLUTE - (IOPATH A X (0.596:0.596:0.596) (0.605:0.605:0.605)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold450) - (DELAY - (ABSOLUTE - (IOPATH A X (0.575:0.576:0.576) (0.587:0.588:0.588)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold451) - (DELAY - (ABSOLUTE - (IOPATH A X (0.654:0.654:0.654) (0.645:0.645:0.645)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold452) - (DELAY - (ABSOLUTE - (IOPATH A X (0.626:0.626:0.626) (0.627:0.627:0.627)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold453) - (DELAY - (ABSOLUTE - (IOPATH A X (0.572:0.572:0.572) (0.582:0.582:0.582)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold454) - (DELAY - (ABSOLUTE - (IOPATH A X (0.530:0.531:0.532) (0.547:0.547:0.548)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold455) - (DELAY - (ABSOLUTE - (IOPATH A X (0.574:0.574:0.574) (0.590:0.590:0.590)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold456) - (DELAY - (ABSOLUTE - (IOPATH A X (0.550:0.551:0.552) (0.566:0.566:0.566)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold457) - (DELAY - (ABSOLUTE - (IOPATH A X (0.601:0.601:0.601) (0.610:0.610:0.610)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold458) - (DELAY - (ABSOLUTE - (IOPATH A X (0.556:0.557:0.558) (0.571:0.571:0.571)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold459) - (DELAY - (ABSOLUTE - (IOPATH A X (0.586:0.586:0.586) (0.597:0.597:0.597)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold460) - (DELAY - (ABSOLUTE - (IOPATH A X (0.540:0.541:0.542) (0.557:0.557:0.557)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold461) - (DELAY - (ABSOLUTE - (IOPATH A X (0.583:0.583:0.583) (0.595:0.595:0.595)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold462) - (DELAY - (ABSOLUTE - (IOPATH A X (0.534:0.535:0.536) (0.551:0.551:0.551)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold463) - (DELAY - (ABSOLUTE - (IOPATH A X (0.602:0.602:0.602) (0.596:0.596:0.596)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold464) - (DELAY - (ABSOLUTE - (IOPATH A X (0.555:0.556:0.557) (0.569:0.569:0.569)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold465) - (DELAY - (ABSOLUTE - (IOPATH A X (0.581:0.581:0.581) (0.593:0.593:0.593)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold466) - (DELAY - (ABSOLUTE - (IOPATH A X (0.532:0.533:0.534) (0.550:0.550:0.550)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold467) - (DELAY - (ABSOLUTE - (IOPATH A X (0.617:0.617:0.617) (0.619:0.619:0.619)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold468) - (DELAY - (ABSOLUTE - (IOPATH A X (0.639:0.639:0.640) (0.637:0.638:0.638)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold469) - (DELAY - (ABSOLUTE - (IOPATH A X (0.572:0.572:0.572) (0.576:0.576:0.576)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold470) - (DELAY - (ABSOLUTE - (IOPATH A X (0.580:0.581:0.581) (0.591:0.592:0.592)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold471) - (DELAY - (ABSOLUTE - (IOPATH A X (0.548:0.549:0.550) (0.563:0.564:0.564)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold472) - (DELAY - (ABSOLUTE - (IOPATH A X (0.576:0.576:0.576) (0.583:0.583:0.583)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold473) - (DELAY - (ABSOLUTE - (IOPATH A X (0.552:0.553:0.555) (0.568:0.568:0.568)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold474) - (DELAY - (ABSOLUTE - (IOPATH A X (0.590:0.590:0.590) (0.601:0.601:0.601)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold475) - (DELAY - (ABSOLUTE - (IOPATH A X (0.561:0.562:0.563) (0.576:0.576:0.576)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold476) - (DELAY - (ABSOLUTE - (IOPATH A X (0.619:0.619:0.619) (0.624:0.624:0.624)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold477) - (DELAY - (ABSOLUTE - (IOPATH A X (0.588:0.588:0.589) (0.599:0.599:0.599)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold478) - (DELAY - (ABSOLUTE - (IOPATH A X (0.630:0.630:0.630) (0.632:0.632:0.632)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold479) - (DELAY - (ABSOLUTE - (IOPATH A X (0.649:0.650:0.650) (0.642:0.643:0.643)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold480) - (DELAY - (ABSOLUTE - (IOPATH A X (0.640:0.640:0.640) (0.639:0.639:0.639)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold481) - (DELAY - (ABSOLUTE - (IOPATH A X (0.614:0.614:0.614) (0.618:0.618:0.619)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold482) - (DELAY - (ABSOLUTE - (IOPATH A X (0.605:0.605:0.605) (0.601:0.601:0.601)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold483) - (DELAY - (ABSOLUTE - (IOPATH A X (0.609:0.610:0.611) (0.612:0.612:0.612)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold484) - (DELAY - (ABSOLUTE - (IOPATH A X (0.645:0.645:0.645) (0.637:0.637:0.637)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold485) - (DELAY - (ABSOLUTE - (IOPATH A X (0.574:0.575:0.576) (0.587:0.587:0.587)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold486) - (DELAY - (ABSOLUTE - (IOPATH A X (0.607:0.607:0.607) (0.609:0.609:0.609)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold487) - (DELAY - (ABSOLUTE - (IOPATH A X (0.600:0.600:0.601) (0.608:0.608:0.609)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold488) - (DELAY - (ABSOLUTE - (IOPATH A X (0.543:0.543:0.543) (0.556:0.556:0.556)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold489) - (DELAY - (ABSOLUTE - (IOPATH A X (0.550:0.551:0.552) (0.566:0.566:0.566)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold490) - (DELAY - (ABSOLUTE - (IOPATH A X (0.600:0.600:0.600) (0.610:0.610:0.610)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold491) - (DELAY - (ABSOLUTE - (IOPATH A X (0.536:0.537:0.538) (0.554:0.554:0.554)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold492) - (DELAY - (ABSOLUTE - (IOPATH A X (0.582:0.582:0.582) (0.593:0.593:0.593)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold493) - (DELAY - (ABSOLUTE - (IOPATH A X (0.556:0.557:0.558) (0.571:0.571:0.571)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold494) - (DELAY - (ABSOLUTE - (IOPATH A X (0.580:0.580:0.580) (0.592:0.592:0.592)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold495) - (DELAY - (ABSOLUTE - (IOPATH A X (0.532:0.533:0.535) (0.549:0.550:0.550)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold496) - (DELAY - (ABSOLUTE - (IOPATH A X (0.641:0.641:0.641) (0.641:0.641:0.641)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold497) - (DELAY - (ABSOLUTE - (IOPATH A X (0.644:0.645:0.645) (0.639:0.639:0.640)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold498) - (DELAY - (ABSOLUTE - (IOPATH A X (0.593:0.593:0.593) (0.588:0.588:0.588)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold499) - (DELAY - (ABSOLUTE - (IOPATH A X (0.584:0.584:0.585) (0.594:0.595:0.595)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold500) - (DELAY - (ABSOLUTE - (IOPATH A X (0.573:0.573:0.573) (0.575:0.575:0.575)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold501) - (DELAY - (ABSOLUTE - (IOPATH A X (0.542:0.543:0.544) (0.560:0.560:0.560)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold502) - (DELAY - (ABSOLUTE - (IOPATH A X (0.582:0.582:0.582) (0.585:0.585:0.585)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold503) - (DELAY - (ABSOLUTE - (IOPATH A X (0.593:0.594:0.594) (0.603:0.603:0.603)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold504) - (DELAY - (ABSOLUTE - (IOPATH A X (0.570:0.570:0.570) (0.574:0.574:0.574)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold505) - (DELAY - (ABSOLUTE - (IOPATH A X (0.549:0.550:0.551) (0.565:0.565:0.565)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold506) - (DELAY - (ABSOLUTE - (IOPATH A X (0.568:0.568:0.568) (0.575:0.575:0.575)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold507) - (DELAY - (ABSOLUTE - (IOPATH A X (0.537:0.538:0.539) (0.554:0.554:0.555)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold508) - (DELAY - (ABSOLUTE - (IOPATH A X (0.584:0.584:0.584) (0.589:0.589:0.589)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold509) - (DELAY - (ABSOLUTE - (IOPATH A X (0.543:0.544:0.545) (0.560:0.560:0.560)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold510) - (DELAY - (ABSOLUTE - (IOPATH A X (0.593:0.593:0.593) (0.599:0.599:0.599)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold511) - (DELAY - (ABSOLUTE - (IOPATH A X (0.536:0.537:0.538) (0.553:0.553:0.554)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold512) - (DELAY - (ABSOLUTE - (IOPATH A X (0.572:0.572:0.572) (0.580:0.580:0.580)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold513) - (DELAY - (ABSOLUTE - (IOPATH A X (0.533:0.534:0.535) (0.550:0.550:0.551)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold514) - (DELAY - (ABSOLUTE - (IOPATH A X (0.580:0.580:0.580) (0.587:0.587:0.587)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold515) - (DELAY - (ABSOLUTE - (IOPATH A X (0.551:0.552:0.553) (0.566:0.567:0.567)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold516) - (DELAY - (ABSOLUTE - (IOPATH A X (0.694:0.694:0.694) (0.700:0.700:0.700)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold517) - (DELAY - (ABSOLUTE - (IOPATH A X (0.719:0.719:0.719) (0.696:0.697:0.697)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold518) - (DELAY - (ABSOLUTE - (IOPATH A X (0.579:0.579:0.579) (0.586:0.586:0.586)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold519) - (DELAY - (ABSOLUTE - (IOPATH A X (0.545:0.545:0.546) (0.561:0.562:0.562)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold520) - (DELAY - (ABSOLUTE - (IOPATH A X (0.578:0.578:0.578) (0.582:0.582:0.582)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold521) - (DELAY - (ABSOLUTE - (IOPATH A X (0.550:0.551:0.552) (0.566:0.566:0.566)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold522) - (DELAY - (ABSOLUTE - (IOPATH A X (0.572:0.572:0.572) (0.586:0.586:0.586)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold523) - (DELAY - (ABSOLUTE - (IOPATH A X (0.534:0.535:0.536) (0.551:0.552:0.552)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold524) - (DELAY - (ABSOLUTE - (IOPATH A X (0.569:0.569:0.569) (0.574:0.574:0.574)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold525) - (DELAY - (ABSOLUTE - (IOPATH A X (0.550:0.551:0.552) (0.566:0.567:0.567)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold526) - (DELAY - (ABSOLUTE - (IOPATH A X (0.729:0.729:0.729) (0.717:0.717:0.717)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold527) - (DELAY - (ABSOLUTE - (IOPATH A X (0.709:0.709:0.709) (0.691:0.692:0.692)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold528) - (DELAY - (ABSOLUTE - (IOPATH A X (0.618:0.618:0.618) (0.610:0.610:0.610)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold529) - (DELAY - (ABSOLUTE - (IOPATH A X (0.584:0.584:0.585) (0.593:0.594:0.594)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold530) - (DELAY - (ABSOLUTE - (IOPATH A X (0.580:0.580:0.580) (0.592:0.592:0.592)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold531) - (DELAY - (ABSOLUTE - (IOPATH A X (0.536:0.537:0.538) (0.554:0.554:0.554)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold532) - (DELAY - (ABSOLUTE - (IOPATH A X (0.584:0.584:0.584) (0.588:0.588:0.588)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold533) - (DELAY - (ABSOLUTE - (IOPATH A X (0.567:0.568:0.568) (0.581:0.581:0.581)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold534) - (DELAY - (ABSOLUTE - (IOPATH A X (0.649:0.649:0.649) (0.648:0.648:0.648)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold535) - (DELAY - (ABSOLUTE - (IOPATH A X (0.641:0.641:0.642) (0.636:0.636:0.636)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold536) - (DELAY - (ABSOLUTE - (IOPATH A X (0.580:0.580:0.580) (0.586:0.586:0.586)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold537) - (DELAY - (ABSOLUTE - (IOPATH A X (0.570:0.571:0.572) (0.583:0.583:0.583)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold538) - (DELAY - (ABSOLUTE - (IOPATH A X (0.584:0.584:0.584) (0.592:0.592:0.592)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold539) - (DELAY - (ABSOLUTE - (IOPATH A X (0.547:0.549:0.550) (0.563:0.564:0.564)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold540) - (DELAY - (ABSOLUTE - (IOPATH A X (0.573:0.573:0.573) (0.587:0.587:0.587)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold541) - (DELAY - (ABSOLUTE - (IOPATH A X (0.544:0.545:0.546) (0.560:0.560:0.560)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold542) - (DELAY - (ABSOLUTE - (IOPATH A X (0.602:0.602:0.602) (0.613:0.613:0.613)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold543) - (DELAY - (ABSOLUTE - (IOPATH A X (0.545:0.545:0.546) (0.561:0.562:0.562)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold544) - (DELAY - (ABSOLUTE - (IOPATH A X (0.582:0.582:0.582) (0.593:0.593:0.593)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold545) - (DELAY - (ABSOLUTE - (IOPATH A X (0.547:0.547:0.548) (0.563:0.563:0.563)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold546) - (DELAY - (ABSOLUTE - (IOPATH A X (0.641:0.641:0.641) (0.642:0.642:0.642)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold547) - (DELAY - (ABSOLUTE - (IOPATH A X (0.594:0.594:0.595) (0.602:0.602:0.602)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold548) - (DELAY - (ABSOLUTE - (IOPATH A X (0.672:0.672:0.672) (0.661:0.661:0.661)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold549) - (DELAY - (ABSOLUTE - (IOPATH A X (0.680:0.680:0.680) (0.669:0.669:0.670)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold550) - (DELAY - (ABSOLUTE - (IOPATH A X (0.608:0.608:0.608) (0.608:0.608:0.608)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold551) - (DELAY - (ABSOLUTE - (IOPATH A X (0.561:0.562:0.562) (0.577:0.577:0.577)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold552) - (DELAY - (ABSOLUTE - (IOPATH A X (0.777:0.777:0.777) (0.746:0.746:0.746)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold553) - (DELAY - (ABSOLUTE - (IOPATH A X (0.685:0.685:0.685) (0.674:0.674:0.674)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold554) - (DELAY - (ABSOLUTE - (IOPATH A X (0.570:0.570:0.570) (0.577:0.577:0.577)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold555) - (DELAY - (ABSOLUTE - (IOPATH A X (0.537:0.538:0.539) (0.555:0.555:0.555)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold556) - (DELAY - (ABSOLUTE - (IOPATH A X (0.600:0.600:0.600) (0.612:0.612:0.612)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold557) - (DELAY - (ABSOLUTE - (IOPATH A X (0.538:0.539:0.540) (0.556:0.556:0.556)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold558) - (DELAY - (ABSOLUTE - (IOPATH A X (0.566:0.566:0.566) (0.579:0.579:0.579)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold559) - (DELAY - (ABSOLUTE - (IOPATH A X (0.535:0.536:0.538) (0.553:0.553:0.553)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold560) - (DELAY - (ABSOLUTE - (IOPATH A X (0.569:0.569:0.569) (0.577:0.577:0.577)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold561) - (DELAY - (ABSOLUTE - (IOPATH A X (0.541:0.542:0.543) (0.558:0.558:0.558)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold562) - (DELAY - (ABSOLUTE - (IOPATH A X (0.570:0.570:0.570) (0.578:0.578:0.578)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold563) - (DELAY - (ABSOLUTE - (IOPATH A X (0.541:0.542:0.543) (0.558:0.558:0.558)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold564) - (DELAY - (ABSOLUTE - (IOPATH A X (0.594:0.594:0.594) (0.592:0.592:0.592)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold565) - (DELAY - (ABSOLUTE - (IOPATH A X (1.234:1.234:1.235) (0.949:0.949:0.949)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold566) - (DELAY - (ABSOLUTE - (IOPATH A X (0.551:0.553:0.554) (0.566:0.566:0.566)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold567) - (DELAY - (ABSOLUTE - (IOPATH A X (0.573:0.573:0.573) (0.581:0.581:0.581)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold568) - (DELAY - (ABSOLUTE - (IOPATH A X (0.536:0.537:0.539) (0.554:0.554:0.554)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold569) - (DELAY - (ABSOLUTE - (IOPATH A X (0.578:0.578:0.578) (0.583:0.583:0.583)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold570) - (DELAY - (ABSOLUTE - (IOPATH A X (0.549:0.551:0.552) (0.565:0.565:0.565)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold571) - (DELAY - (ABSOLUTE - (IOPATH A X (0.561:0.561:0.561) (0.569:0.569:0.569)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold572) - (DELAY - (ABSOLUTE - (IOPATH A X (0.544:0.545:0.546) (0.560:0.561:0.561)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold573) - (DELAY - (ABSOLUTE - (IOPATH A X (0.616:0.616:0.616) (0.619:0.619:0.619)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold574) - (DELAY - (ABSOLUTE - (IOPATH A X (0.558:0.559:0.560) (0.573:0.573:0.573)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold575) - (DELAY - (ABSOLUTE - (IOPATH A X (0.595:0.595:0.595) (0.604:0.604:0.604)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold576) - (DELAY - (ABSOLUTE - (IOPATH A X (0.563:0.563:0.564) (0.577:0.577:0.577)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold577) - (DELAY - (ABSOLUTE - (IOPATH A X (0.591:0.591:0.591) (0.592:0.592:0.592)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold578) - (DELAY - (ABSOLUTE - (IOPATH A X (0.541:0.542:0.543) (0.557:0.557:0.557)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold579) - (DELAY - (ABSOLUTE - (IOPATH A X (0.584:0.584:0.584) (0.591:0.591:0.591)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold580) - (DELAY - (ABSOLUTE - (IOPATH A X (0.543:0.544:0.545) (0.560:0.560:0.560)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold581) - (DELAY - (ABSOLUTE - (IOPATH A X (0.588:0.588:0.588) (0.600:0.600:0.600)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold582) - (DELAY - (ABSOLUTE - (IOPATH A X (0.543:0.544:0.545) (0.560:0.560:0.560)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold583) - (DELAY - (ABSOLUTE - (IOPATH A X (0.683:0.683:0.683) (0.667:0.667:0.667)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold584) - (DELAY - (ABSOLUTE - (IOPATH A X (0.646:0.647:0.647) (0.643:0.643:0.643)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold585) - (DELAY - (ABSOLUTE - (IOPATH A X (0.595:0.595:0.595) (0.599:0.599:0.599)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold586) - (DELAY - (ABSOLUTE - (IOPATH A X (0.549:0.550:0.551) (0.565:0.565:0.566)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold587) - (DELAY - (ABSOLUTE - (IOPATH A X (0.582:0.582:0.582) (0.589:0.589:0.589)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold588) - (DELAY - (ABSOLUTE - (IOPATH A X (0.542:0.543:0.544) (0.558:0.559:0.559)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold589) - (DELAY - (ABSOLUTE - (IOPATH A X (0.579:0.579:0.579) (0.585:0.585:0.585)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold590) - (DELAY - (ABSOLUTE - (IOPATH A X (0.541:0.543:0.544) (0.558:0.558:0.559)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold591) - (DELAY - (ABSOLUTE - (IOPATH A X (0.616:0.616:0.616) (0.606:0.606:0.606)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold592) - (DELAY - (ABSOLUTE - (IOPATH A X (0.637:0.637:0.638) (0.633:0.633:0.634)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold593) - (DELAY - (ABSOLUTE - (IOPATH A X (0.658:0.658:0.658) (0.654:0.654:0.654)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold594) - (DELAY - (ABSOLUTE - (IOPATH A X (0.676:0.677:0.677) (0.661:0.661:0.661)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold595) - (DELAY - (ABSOLUTE - (IOPATH A X (0.570:0.570:0.570) (0.584:0.584:0.584)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold596) - (DELAY - (ABSOLUTE - (IOPATH A X (0.538:0.539:0.541) (0.556:0.556:0.556)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold597) - (DELAY - (ABSOLUTE - (IOPATH A X (0.587:0.587:0.587) (0.598:0.598:0.598)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold598) - (DELAY - (ABSOLUTE - (IOPATH A X (0.554:0.554:0.555) (0.569:0.569:0.570)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold599) - (DELAY - (ABSOLUTE - (IOPATH A X (0.561:0.561:0.561) (0.565:0.565:0.565)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold600) - (DELAY - (ABSOLUTE - (IOPATH A X (0.543:0.544:0.545) (0.560:0.560:0.560)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold601) - (DELAY - (ABSOLUTE - (IOPATH A X (0.576:0.576:0.576) (0.588:0.588:0.588)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold602) - (DELAY - (ABSOLUTE - (IOPATH A X (0.537:0.538:0.539) (0.554:0.554:0.554)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold603) - (DELAY - (ABSOLUTE - (IOPATH A X (0.600:0.600:0.600) (0.608:0.608:0.608)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold604) - (DELAY - (ABSOLUTE - (IOPATH A X (0.567:0.568:0.568) (0.581:0.581:0.581)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold605) - (DELAY - (ABSOLUTE - (IOPATH A X (0.622:0.622:0.622) (0.628:0.628:0.628)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold606) - (DELAY - (ABSOLUTE - (IOPATH A X (0.582:0.583:0.583) (0.593:0.594:0.594)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold607) - (DELAY - (ABSOLUTE - (IOPATH A X (0.594:0.594:0.594) (0.603:0.603:0.603)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold608) - (DELAY - (ABSOLUTE - (IOPATH A X (0.578:0.579:0.579) (0.590:0.590:0.591)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold609) - (DELAY - (ABSOLUTE - (IOPATH A X (0.589:0.589:0.589) (0.594:0.594:0.594)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold610) - (DELAY - (ABSOLUTE - (IOPATH A X (0.532:0.533:0.534) (0.549:0.549:0.550)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold611) - (DELAY - (ABSOLUTE - (IOPATH A X (0.638:0.638:0.638) (0.627:0.627:0.627)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold612) - (DELAY - (ABSOLUTE - (IOPATH A X (0.596:0.597:0.597) (0.604:0.605:0.605)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold613) - (DELAY - (ABSOLUTE - (IOPATH A X (0.590:0.590:0.590) (0.595:0.595:0.595)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold614) - (DELAY - (ABSOLUTE - (IOPATH A X (0.539:0.540:0.541) (0.556:0.556:0.556)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold615) - (DELAY - (ABSOLUTE - (IOPATH A X (0.581:0.581:0.581) (0.589:0.589:0.589)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold616) - (DELAY - (ABSOLUTE - (IOPATH A X (0.534:0.535:0.536) (0.551:0.552:0.552)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold617) - (DELAY - (ABSOLUTE - (IOPATH A X (0.584:0.584:0.584) (0.590:0.590:0.590)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold618) - (DELAY - (ABSOLUTE - (IOPATH A X (0.532:0.533:0.534) (0.550:0.550:0.550)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold619) - (DELAY - (ABSOLUTE - (IOPATH A X (0.623:0.623:0.623) (0.637:0.637:0.637)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold620) - (DELAY - (ABSOLUTE - (IOPATH A X (0.580:0.580:0.581) (0.591:0.591:0.591)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold621) - (DELAY - (ABSOLUTE - (IOPATH A X (0.590:0.590:0.590) (0.596:0.596:0.596)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold622) - (DELAY - (ABSOLUTE - (IOPATH A X (0.545:0.546:0.548) (0.562:0.562:0.562)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold623) - (DELAY - (ABSOLUTE - (IOPATH A X (0.577:0.577:0.577) (0.585:0.585:0.585)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold624) - (DELAY - (ABSOLUTE - (IOPATH A X (0.562:0.563:0.564) (0.576:0.576:0.576)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold625) - (DELAY - (ABSOLUTE - (IOPATH A X (0.567:0.567:0.567) (0.572:0.572:0.572)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold626) - (DELAY - (ABSOLUTE - (IOPATH A X (0.552:0.553:0.555) (0.567:0.568:0.568)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold627) - (DELAY - (ABSOLUTE - (IOPATH A X (0.598:0.598:0.598) (0.609:0.609:0.609)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold628) - (DELAY - (ABSOLUTE - (IOPATH A X (0.557:0.558:0.559) (0.572:0.572:0.573)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold629) - (DELAY - (ABSOLUTE - (IOPATH A X (0.580:0.580:0.580) (0.578:0.578:0.578)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold630) - (DELAY - (ABSOLUTE - (IOPATH A X (0.550:0.551:0.551) (0.565:0.565:0.565)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold631) - (DELAY - (ABSOLUTE - (IOPATH A X (0.576:0.576:0.576) (0.584:0.584:0.584)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold632) - (DELAY - (ABSOLUTE - (IOPATH A X (0.540:0.541:0.543) (0.557:0.557:0.558)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold633) - (DELAY - (ABSOLUTE - (IOPATH A X (0.571:0.571:0.571) (0.577:0.577:0.577)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold634) - (DELAY - (ABSOLUTE - (IOPATH A X (0.536:0.537:0.538) (0.553:0.553:0.553)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold635) - (DELAY - (ABSOLUTE - (IOPATH A X (0.578:0.578:0.578) (0.585:0.585:0.585)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold636) - (DELAY - (ABSOLUTE - (IOPATH A X (0.534:0.535:0.537) (0.551:0.552:0.552)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold637) - (DELAY - (ABSOLUTE - (IOPATH A X (0.573:0.573:0.573) (0.582:0.582:0.582)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold638) - (DELAY - (ABSOLUTE - (IOPATH A X (0.535:0.536:0.538) (0.552:0.553:0.553)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold639) - (DELAY - (ABSOLUTE - (IOPATH A X (0.572:0.572:0.572) (0.586:0.586:0.586)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold640) - (DELAY - (ABSOLUTE - (IOPATH A X (0.540:0.541:0.542) (0.557:0.557:0.557)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold641) - (DELAY - (ABSOLUTE - (IOPATH A X (0.586:0.586:0.586) (0.594:0.594:0.594)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold642) - (DELAY - (ABSOLUTE - (IOPATH A X (0.537:0.537:0.538) (0.554:0.554:0.554)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold643) - (DELAY - (ABSOLUTE - (IOPATH A X (0.571:0.571:0.571) (0.584:0.584:0.584)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold644) - (DELAY - (ABSOLUTE - (IOPATH A X (0.539:0.540:0.541) (0.556:0.556:0.556)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold645) - (DELAY - (ABSOLUTE - (IOPATH A X (0.587:0.587:0.587) (0.598:0.598:0.598)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold646) - (DELAY - (ABSOLUTE - (IOPATH A X (0.539:0.540:0.542) (0.556:0.556:0.556)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold647) - (DELAY - (ABSOLUTE - (IOPATH A X (0.573:0.573:0.573) (0.581:0.581:0.581)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold648) - (DELAY - (ABSOLUTE - (IOPATH A X (0.540:0.541:0.542) (0.557:0.557:0.557)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold649) - (DELAY - (ABSOLUTE - (IOPATH A X (0.586:0.586:0.586) (0.592:0.592:0.592)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold650) - (DELAY - (ABSOLUTE - (IOPATH A X (0.545:0.546:0.547) (0.561:0.561:0.562)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold651) - (DELAY - (ABSOLUTE - (IOPATH A X (0.621:0.621:0.621) (0.614:0.614:0.614)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold652) - (DELAY - (ABSOLUTE - (IOPATH A X (0.574:0.575:0.575) (0.586:0.586:0.587)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold653) - (DELAY - (ABSOLUTE - (IOPATH A X (0.557:0.557:0.557) (0.567:0.567:0.567)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold654) - (DELAY - (ABSOLUTE - (IOPATH A X (0.540:0.541:0.542) (0.557:0.557:0.557)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold655) - (DELAY - (ABSOLUTE - (IOPATH A X (0.608:0.608:0.608) (0.614:0.614:0.614)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold656) - (DELAY - (ABSOLUTE - (IOPATH A X (0.548:0.549:0.550) (0.564:0.564:0.564)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold657) - (DELAY - (ABSOLUTE - (IOPATH A X (0.580:0.580:0.580) (0.587:0.587:0.587)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold658) - (DELAY - (ABSOLUTE - (IOPATH A X (0.551:0.552:0.553) (0.567:0.567:0.567)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold659) - (DELAY - (ABSOLUTE - (IOPATH A X (0.592:0.592:0.592) (0.603:0.603:0.603)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold660) - (DELAY - (ABSOLUTE - (IOPATH A X (0.550:0.551:0.552) (0.566:0.567:0.567)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold661) - (DELAY - (ABSOLUTE - (IOPATH A X (0.579:0.579:0.579) (0.585:0.585:0.585)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold662) - (DELAY - (ABSOLUTE - (IOPATH A X (0.534:0.535:0.536) (0.552:0.552:0.552)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold663) - (DELAY - (ABSOLUTE - (IOPATH A X (0.575:0.575:0.575) (0.587:0.587:0.587)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold664) - (DELAY - (ABSOLUTE - (IOPATH A X (0.547:0.548:0.548) (0.564:0.564:0.564)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold665) - (DELAY - (ABSOLUTE - (IOPATH A X (0.576:0.576:0.576) (0.585:0.585:0.585)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold666) - (DELAY - (ABSOLUTE - (IOPATH A X (0.568:0.569:0.570) (0.582:0.582:0.582)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold667) - (DELAY - (ABSOLUTE - (IOPATH A X (0.635:0.635:0.635) (0.654:0.654:0.654)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold668) - (DELAY - (ABSOLUTE - (IOPATH A X (0.579:0.579:0.580) (0.591:0.591:0.592)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold669) - (DELAY - (ABSOLUTE - (IOPATH A X (0.581:0.581:0.581) (0.589:0.589:0.589)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold670) - (DELAY - (ABSOLUTE - (IOPATH A X (0.552:0.553:0.555) (0.568:0.568:0.568)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold671) - (DELAY - (ABSOLUTE - (IOPATH A X (0.580:0.580:0.580) (0.586:0.586:0.586)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold672) - (DELAY - (ABSOLUTE - (IOPATH A X (0.542:0.543:0.544) (0.559:0.559:0.559)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold673) - (DELAY - (ABSOLUTE - (IOPATH A X (0.577:0.577:0.577) (0.584:0.584:0.584)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold674) - (DELAY - (ABSOLUTE - (IOPATH A X (0.555:0.556:0.557) (0.570:0.570:0.570)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold675) - (DELAY - (ABSOLUTE - (IOPATH A X (0.587:0.587:0.587) (0.598:0.598:0.598)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold676) - (DELAY - (ABSOLUTE - (IOPATH A X (0.549:0.550:0.551) (0.565:0.565:0.565)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold677) - (DELAY - (ABSOLUTE - (IOPATH A X (0.590:0.590:0.590) (0.597:0.597:0.597)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold678) - (DELAY - (ABSOLUTE - (IOPATH A X (0.552:0.553:0.554) (0.567:0.568:0.568)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold679) - (DELAY - (ABSOLUTE - (IOPATH A X (0.581:0.581:0.581) (0.586:0.586:0.586)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold680) - (DELAY - (ABSOLUTE - (IOPATH A X (0.546:0.547:0.549) (0.562:0.563:0.563)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold681) - (DELAY - (ABSOLUTE - (IOPATH A X (0.586:0.586:0.586) (0.581:0.581:0.581)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold682) - (DELAY - (ABSOLUTE - (IOPATH A X (0.542:0.546:0.550) (0.558:0.558:0.558)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold683) - (DELAY - (ABSOLUTE - (IOPATH A X (0.584:0.584:0.584) (0.584:0.584:0.584)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold684) - (DELAY - (ABSOLUTE - (IOPATH A X (0.542:0.544:0.545) (0.558:0.558:0.559)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold685) - (DELAY - (ABSOLUTE - (IOPATH A X (0.559:0.559:0.559) (0.566:0.566:0.566)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold686) - (DELAY - (ABSOLUTE - (IOPATH A X (0.530:0.531:0.532) (0.548:0.548:0.548)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold687) - (DELAY - (ABSOLUTE - (IOPATH A X (0.590:0.590:0.590) (0.596:0.596:0.596)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold688) - (DELAY - (ABSOLUTE - (IOPATH A X (0.569:0.570:0.571) (0.583:0.583:0.583)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold689) - (DELAY - (ABSOLUTE - (IOPATH A X (0.569:0.569:0.569) (0.573:0.573:0.573)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold690) - (DELAY - (ABSOLUTE - (IOPATH A X (0.538:0.539:0.540) (0.555:0.556:0.556)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold691) - (DELAY - (ABSOLUTE - (IOPATH A X (0.626:0.626:0.626) (0.632:0.632:0.632)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold692) - (DELAY - (ABSOLUTE - (IOPATH A X (0.551:0.551:0.552) (0.567:0.567:0.567)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold693) - (DELAY - (ABSOLUTE - (IOPATH A X (0.571:0.571:0.571) (0.585:0.585:0.585)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold694) - (DELAY - (ABSOLUTE - (IOPATH A X (0.536:0.537:0.538) (0.553:0.554:0.554)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold695) - (DELAY - (ABSOLUTE - (IOPATH A X (0.571:0.571:0.571) (0.578:0.578:0.578)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold696) - (DELAY - (ABSOLUTE - (IOPATH A X (0.559:0.560:0.560) (0.574:0.574:0.574)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold697) - (DELAY - (ABSOLUTE - (IOPATH A X (0.596:0.596:0.596) (0.602:0.602:0.602)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold698) - (DELAY - (ABSOLUTE - (IOPATH A X (0.549:0.549:0.550) (0.565:0.565:0.565)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold699) - (DELAY - (ABSOLUTE - (IOPATH A X (0.543:0.543:0.543) (0.555:0.555:0.555)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold700) - (DELAY - (ABSOLUTE - (IOPATH A X (0.541:0.542:0.543) (0.558:0.559:0.559)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold701) - (DELAY - (ABSOLUTE - (IOPATH A X (0.593:0.593:0.593) (0.602:0.602:0.602)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold702) - (DELAY - (ABSOLUTE - (IOPATH A X (0.543:0.544:0.545) (0.559:0.560:0.560)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold703) - (DELAY - (ABSOLUTE - (IOPATH A X (0.575:0.575:0.575) (0.582:0.582:0.582)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold704) - (DELAY - (ABSOLUTE - (IOPATH A X (0.536:0.537:0.538) (0.554:0.554:0.554)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold705) - (DELAY - (ABSOLUTE - (IOPATH A X (0.580:0.580:0.580) (0.593:0.593:0.593)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold706) - (DELAY - (ABSOLUTE - (IOPATH A X (0.539:0.540:0.541) (0.557:0.557:0.557)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold707) - (DELAY - (ABSOLUTE - (IOPATH A X (0.613:0.613:0.613) (0.616:0.616:0.616)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold708) - (DELAY - (ABSOLUTE - (IOPATH A X (0.541:0.542:0.543) (0.558:0.558:0.558)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold709) - (DELAY - (ABSOLUTE - (IOPATH A X (0.663:0.663:0.663) (0.655:0.655:0.655)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold710) - (DELAY - (ABSOLUTE - (IOPATH A X (0.627:0.627:0.627) (0.628:0.628:0.628)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold711) - (DELAY - (ABSOLUTE - (IOPATH A X (0.586:0.586:0.586) (0.598:0.598:0.598)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold712) - (DELAY - (ABSOLUTE - (IOPATH A X (0.563:0.564:0.565) (0.577:0.578:0.578)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold713) - (DELAY - (ABSOLUTE - (IOPATH A X (0.574:0.574:0.574) (0.586:0.586:0.586)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold714) - (DELAY - (ABSOLUTE - (IOPATH A X (0.538:0.539:0.540) (0.555:0.555:0.556)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold715) - (DELAY - (ABSOLUTE - (IOPATH A X (0.590:0.590:0.590) (0.600:0.600:0.600)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold716) - (DELAY - (ABSOLUTE - (IOPATH A X (0.568:0.568:0.569) (0.581:0.581:0.582)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold717) - (DELAY - (ABSOLUTE - (IOPATH A X (0.582:0.582:0.582) (0.589:0.589:0.589)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold718) - (DELAY - (ABSOLUTE - (IOPATH A X (0.540:0.540:0.541) (0.557:0.557:0.557)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold719) - (DELAY - (ABSOLUTE - (IOPATH A X (0.584:0.584:0.584) (0.591:0.591:0.591)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold720) - (DELAY - (ABSOLUTE - (IOPATH A X (0.537:0.538:0.540) (0.555:0.555:0.555)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold721) - (DELAY - (ABSOLUTE - (IOPATH A X (0.570:0.570:0.570) (0.585:0.585:0.585)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold722) - (DELAY - (ABSOLUTE - (IOPATH A X (0.577:0.578:0.578) (0.590:0.590:0.590)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold723) - (DELAY - (ABSOLUTE - (IOPATH A X (0.584:0.584:0.584) (0.596:0.596:0.596)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold724) - (DELAY - (ABSOLUTE - (IOPATH A X (0.552:0.553:0.554) (0.568:0.568:0.568)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold725) - (DELAY - (ABSOLUTE - (IOPATH A X (0.649:0.649:0.649) (0.629:0.629:0.629)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold726) - (DELAY - (ABSOLUTE - (IOPATH A X (0.619:0.620:0.620) (0.621:0.621:0.621)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold727) - (DELAY - (ABSOLUTE - (IOPATH A X (0.592:0.592:0.592) (0.596:0.596:0.596)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold728) - (DELAY - (ABSOLUTE - (IOPATH A X (0.566:0.567:0.567) (0.580:0.580:0.580)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold729) - (DELAY - (ABSOLUTE - (IOPATH A X (0.589:0.589:0.589) (0.602:0.602:0.602)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold730) - (DELAY - (ABSOLUTE - (IOPATH A X (0.536:0.537:0.539) (0.553:0.554:0.554)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold731) - (DELAY - (ABSOLUTE - (IOPATH A X (0.573:0.573:0.573) (0.581:0.581:0.581)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold732) - (DELAY - (ABSOLUTE - (IOPATH A X (0.529:0.530:0.531) (0.546:0.546:0.547)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold733) - (DELAY - (ABSOLUTE - (IOPATH A X (0.577:0.577:0.577) (0.589:0.589:0.589)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold734) - (DELAY - (ABSOLUTE - (IOPATH A X (0.538:0.539:0.541) (0.556:0.556:0.556)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold735) - (DELAY - (ABSOLUTE - (IOPATH A X (0.573:0.573:0.573) (0.588:0.588:0.588)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold736) - (DELAY - (ABSOLUTE - (IOPATH A X (0.536:0.537:0.537) (0.553:0.553:0.553)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold737) - (DELAY - (ABSOLUTE - (IOPATH A X (0.565:0.565:0.565) (0.578:0.578:0.578)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold738) - (DELAY - (ABSOLUTE - (IOPATH A X (0.547:0.548:0.549) (0.563:0.563:0.563)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold739) - (DELAY - (ABSOLUTE - (IOPATH A X (0.574:0.574:0.574) (0.586:0.586:0.586)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold740) - (DELAY - (ABSOLUTE - (IOPATH A X (0.533:0.534:0.535) (0.550:0.550:0.551)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold741) - (DELAY - (ABSOLUTE - (IOPATH A X (0.618:0.618:0.618) (0.624:0.624:0.624)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold742) - (DELAY - (ABSOLUTE - (IOPATH A X (0.571:0.571:0.572) (0.584:0.584:0.584)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold743) - (DELAY - (ABSOLUTE - (IOPATH A X (0.611:0.611:0.611) (0.613:0.613:0.613)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold744) - (DELAY - (ABSOLUTE - (IOPATH A X (0.563:0.563:0.564) (0.577:0.577:0.577)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold745) - (DELAY - (ABSOLUTE - (IOPATH A X (0.592:0.592:0.592) (0.604:0.604:0.604)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold746) - (DELAY - (ABSOLUTE - (IOPATH A X (0.540:0.541:0.542) (0.557:0.557:0.557)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold747) - (DELAY - (ABSOLUTE - (IOPATH A X (0.586:0.586:0.586) (0.588:0.588:0.588)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold748) - (DELAY - (ABSOLUTE - (IOPATH A X (0.561:0.562:0.563) (0.576:0.576:0.576)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold749) - (DELAY - (ABSOLUTE - (IOPATH A X (0.576:0.576:0.576) (0.574:0.574:0.574)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold750) - (DELAY - (ABSOLUTE - (IOPATH A X (0.539:0.540:0.541) (0.555:0.555:0.555)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold751) - (DELAY - (ABSOLUTE - (IOPATH A X (0.569:0.569:0.569) (0.578:0.578:0.578)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold752) - (DELAY - (ABSOLUTE - (IOPATH A X (0.541:0.542:0.543) (0.558:0.558:0.558)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold753) - (DELAY - (ABSOLUTE - (IOPATH A X (0.567:0.567:0.567) (0.575:0.575:0.575)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold754) - (DELAY - (ABSOLUTE - (IOPATH A X (0.584:0.584:0.585) (0.595:0.595:0.595)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold755) - (DELAY - (ABSOLUTE - (IOPATH A X (0.586:0.586:0.586) (0.592:0.592:0.592)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold756) - (DELAY - (ABSOLUTE - (IOPATH A X (0.538:0.539:0.540) (0.556:0.556:0.556)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold757) - (DELAY - (ABSOLUTE - (IOPATH A X (0.583:0.583:0.583) (0.595:0.595:0.595)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold758) - (DELAY - (ABSOLUTE - (IOPATH A X (0.564:0.565:0.566) (0.578:0.578:0.578)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold759) - (DELAY - (ABSOLUTE - (IOPATH A X (0.610:0.610:0.610) (0.604:0.604:0.604)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold760) - (DELAY - (ABSOLUTE - (IOPATH A X (0.569:0.569:0.569) (0.581:0.582:0.582)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold761) - (DELAY - (ABSOLUTE - (IOPATH A X (0.571:0.571:0.571) (0.585:0.585:0.585)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold762) - (DELAY - (ABSOLUTE - (IOPATH A X (0.550:0.551:0.552) (0.566:0.566:0.566)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold763) - (DELAY - (ABSOLUTE - (IOPATH A X (0.592:0.592:0.592) (0.597:0.597:0.597)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold764) - (DELAY - (ABSOLUTE - (IOPATH A X (0.539:0.540:0.541) (0.556:0.556:0.557)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold765) - (DELAY - (ABSOLUTE - (IOPATH A X (0.568:0.568:0.568) (0.572:0.572:0.572)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold766) - (DELAY - (ABSOLUTE - (IOPATH A X (0.536:0.536:0.537) (0.553:0.553:0.553)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold767) - (DELAY - (ABSOLUTE - (IOPATH A X (0.596:0.596:0.596) (0.602:0.602:0.602)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold768) - (DELAY - (ABSOLUTE - (IOPATH A X (0.537:0.538:0.539) (0.554:0.554:0.555)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold769) - (DELAY - (ABSOLUTE - (IOPATH A X (0.572:0.572:0.572) (0.580:0.580:0.580)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold770) - (DELAY - (ABSOLUTE - (IOPATH A X (0.550:0.552:0.553) (0.566:0.566:0.566)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold771) - (DELAY - (ABSOLUTE - (IOPATH A X (0.572:0.572:0.572) (0.588:0.588:0.588)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold772) - (DELAY - (ABSOLUTE - (IOPATH A X (0.539:0.540:0.541) (0.556:0.556:0.556)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold773) - (DELAY - (ABSOLUTE - (IOPATH A X (0.560:0.560:0.560) (0.574:0.574:0.574)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold774) - (DELAY - (ABSOLUTE - (IOPATH A X (0.548:0.549:0.550) (0.564:0.564:0.564)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold775) - (DELAY - (ABSOLUTE - (IOPATH A X (0.607:0.607:0.607) (0.605:0.605:0.605)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold776) - (DELAY - (ABSOLUTE - (IOPATH A X (0.546:0.550:0.554) (0.561:0.562:0.562)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold777) - (DELAY - (ABSOLUTE - (IOPATH A X (0.573:0.573:0.573) (0.578:0.578:0.578)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold778) - (DELAY - (ABSOLUTE - (IOPATH A X (0.541:0.542:0.543) (0.558:0.558:0.558)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold779) - (DELAY - (ABSOLUTE - (IOPATH A X (0.582:0.582:0.582) (0.595:0.595:0.595)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold780) - (DELAY - (ABSOLUTE - (IOPATH A X (0.542:0.543:0.544) (0.559:0.559:0.559)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold781) - (DELAY - (ABSOLUTE - (IOPATH A X (0.571:0.571:0.571) (0.585:0.585:0.585)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold782) - (DELAY - (ABSOLUTE - (IOPATH A X (0.547:0.548:0.549) (0.563:0.563:0.564)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold783) - (DELAY - (ABSOLUTE - (IOPATH A X (0.567:0.567:0.567) (0.575:0.575:0.575)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold784) - (DELAY - (ABSOLUTE - (IOPATH A X (0.540:0.542:0.543) (0.557:0.557:0.557)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold785) - (DELAY - (ABSOLUTE - (IOPATH A X (0.549:0.549:0.549) (0.548:0.548:0.548)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold786) - (DELAY - (ABSOLUTE - (IOPATH A X (0.560:0.562:0.563) (0.573:0.573:0.574)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold787) - (DELAY - (ABSOLUTE - (IOPATH A X (0.604:0.604:0.604) (0.598:0.598:0.598)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold788) - (DELAY - (ABSOLUTE - (IOPATH A X (0.563:0.564:0.565) (0.576:0.576:0.576)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold789) - (DELAY - (ABSOLUTE - (IOPATH A X (0.599:0.599:0.599) (0.595:0.595:0.595)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold790) - (DELAY - (ABSOLUTE - (IOPATH A X (0.546:0.548:0.549) (0.561:0.562:0.562)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold791) - (DELAY - (ABSOLUTE - (IOPATH A X (0.581:0.581:0.581) (0.588:0.588:0.588)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold792) - (DELAY - (ABSOLUTE - (IOPATH A X (0.556:0.557:0.558) (0.571:0.571:0.571)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold793) - (DELAY - (ABSOLUTE - (IOPATH A X (0.556:0.556:0.556) (0.565:0.565:0.565)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold794) - (DELAY - (ABSOLUTE - (IOPATH A X (0.543:0.544:0.545) (0.560:0.560:0.560)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold795) - (DELAY - (ABSOLUTE - (IOPATH A X (0.598:0.598:0.598) (0.598:0.598:0.598)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold796) - (DELAY - (ABSOLUTE - (IOPATH A X (0.543:0.544:0.545) (0.559:0.559:0.559)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold797) - (DELAY - (ABSOLUTE - (IOPATH A X (0.611:0.611:0.611) (0.619:0.619:0.619)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold798) - (DELAY - (ABSOLUTE - (IOPATH A X (0.543:0.544:0.545) (0.558:0.558:0.559)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold799) - (DELAY - (ABSOLUTE - (IOPATH A X (0.564:0.564:0.564) (0.573:0.573:0.573)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold800) - (DELAY - (ABSOLUTE - (IOPATH A X (0.544:0.545:0.546) (0.561:0.561:0.561)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold801) - (DELAY - (ABSOLUTE - (IOPATH A X (0.592:0.592:0.592) (0.598:0.598:0.598)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold802) - (DELAY - (ABSOLUTE - (IOPATH A X (0.548:0.549:0.550) (0.564:0.564:0.565)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold803) - (DELAY - (ABSOLUTE - (IOPATH A X (0.575:0.575:0.575) (0.573:0.573:0.573)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold804) - (DELAY - (ABSOLUTE - (IOPATH A X (0.543:0.544:0.545) (0.558:0.558:0.558)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold805) - (DELAY - (ABSOLUTE - (IOPATH A X (0.599:0.599:0.599) (0.600:0.600:0.600)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold806) - (DELAY - (ABSOLUTE - (IOPATH A X (0.596:0.597:0.597) (0.605:0.605:0.606)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold807) - (DELAY - (ABSOLUTE - (IOPATH A X (0.608:0.608:0.608) (0.615:0.615:0.615)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold808) - (DELAY - (ABSOLUTE - (IOPATH A X (0.544:0.545:0.546) (0.561:0.561:0.561)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold809) - (DELAY - (ABSOLUTE - (IOPATH A X (0.589:0.589:0.589) (0.594:0.594:0.594)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold810) - (DELAY - (ABSOLUTE - (IOPATH A X (0.538:0.538:0.539) (0.555:0.555:0.555)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold811) - (DELAY - (ABSOLUTE - (IOPATH A X (0.589:0.589:0.589) (0.594:0.594:0.594)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold812) - (DELAY - (ABSOLUTE - (IOPATH A X (0.538:0.539:0.540) (0.555:0.555:0.555)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold813) - (DELAY - (ABSOLUTE - (IOPATH A X (0.572:0.572:0.572) (0.585:0.585:0.585)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold814) - (DELAY - (ABSOLUTE - (IOPATH A X (0.539:0.539:0.540) (0.556:0.556:0.556)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold815) - (DELAY - (ABSOLUTE - (IOPATH A X (0.590:0.590:0.590) (0.596:0.596:0.596)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold816) - (DELAY - (ABSOLUTE - (IOPATH A X (0.538:0.540:0.541) (0.556:0.556:0.556)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold817) - (DELAY - (ABSOLUTE - (IOPATH A X (0.577:0.577:0.577) (0.592:0.592:0.592)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold818) - (DELAY - (ABSOLUTE - (IOPATH A X (0.539:0.540:0.541) (0.556:0.556:0.556)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold819) - (DELAY - (ABSOLUTE - (IOPATH A X (0.575:0.575:0.575) (0.588:0.588:0.588)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold820) - (DELAY - (ABSOLUTE - (IOPATH A X (0.537:0.538:0.540) (0.554:0.554:0.555)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold821) - (DELAY - (ABSOLUTE - (IOPATH A X (0.583:0.583:0.583) (0.590:0.590:0.590)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold822) - (DELAY - (ABSOLUTE - (IOPATH A X (0.536:0.537:0.538) (0.553:0.553:0.554)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold823) - (DELAY - (ABSOLUTE - (IOPATH A X (0.589:0.589:0.589) (0.595:0.595:0.595)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold824) - (DELAY - (ABSOLUTE - (IOPATH A X (0.536:0.537:0.538) (0.554:0.554:0.554)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold825) - (DELAY - (ABSOLUTE - (IOPATH A X (0.574:0.574:0.574) (0.583:0.583:0.583)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold826) - (DELAY - (ABSOLUTE - (IOPATH A X (0.546:0.546:0.547) (0.562:0.562:0.563)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold827) - (DELAY - (ABSOLUTE - (IOPATH A X (0.594:0.594:0.594) (0.592:0.592:0.592)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold828) - (DELAY - (ABSOLUTE - (IOPATH A X (0.543:0.545:0.546) (0.559:0.559:0.560)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold829) - (DELAY - (ABSOLUTE - (IOPATH A X (0.560:0.560:0.560) (0.570:0.570:0.570)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold830) - (DELAY - (ABSOLUTE - (IOPATH A X (0.601:0.601:0.601) (0.595:0.595:0.595)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold831) - (DELAY - (ABSOLUTE - (IOPATH A X (0.578:0.578:0.579) (0.589:0.589:0.589)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold832) - (DELAY - (ABSOLUTE - (IOPATH A X (0.584:0.584:0.584) (0.591:0.591:0.591)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold833) - (DELAY - (ABSOLUTE - (IOPATH A X (0.541:0.541:0.542) (0.558:0.558:0.558)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold834) - (DELAY - (ABSOLUTE - (IOPATH A X (0.587:0.587:0.587) (0.592:0.592:0.592)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold835) - (DELAY - (ABSOLUTE - (IOPATH A X (0.557:0.558:0.559) (0.572:0.572:0.572)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold836) - (DELAY - (ABSOLUTE - (IOPATH A X (0.563:0.563:0.563) (0.568:0.568:0.568)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold837) - (DELAY - (ABSOLUTE - (IOPATH A X (0.554:0.555:0.556) (0.569:0.569:0.570)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold838) - (DELAY - (ABSOLUTE - (IOPATH A X (0.578:0.578:0.578) (0.577:0.577:0.577)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold839) - (DELAY - (ABSOLUTE - (IOPATH A X (0.543:0.544:0.545) (0.558:0.558:0.558)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold840) - (DELAY - (ABSOLUTE - (IOPATH A X (0.586:0.586:0.586) (0.592:0.592:0.592)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold841) - (DELAY - (ABSOLUTE - (IOPATH A X (0.545:0.545:0.546) (0.562:0.562:0.562)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold842) - (DELAY - (ABSOLUTE - (IOPATH A X (0.588:0.588:0.588) (0.595:0.595:0.595)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold843) - (DELAY - (ABSOLUTE - (IOPATH A X (0.543:0.544:0.545) (0.560:0.560:0.560)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold844) - (DELAY - (ABSOLUTE - (IOPATH A X (0.575:0.575:0.575) (0.574:0.574:0.574)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold845) - (DELAY - (ABSOLUTE - (IOPATH A X (0.567:0.567:0.568) (0.578:0.579:0.579)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold846) - (DELAY - (ABSOLUTE - (IOPATH A X (0.567:0.567:0.567) (0.574:0.574:0.574)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold847) - (DELAY - (ABSOLUTE - (IOPATH A X (0.538:0.539:0.539) (0.555:0.555:0.556)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold848) - (DELAY - (ABSOLUTE - (IOPATH A X (0.568:0.568:0.568) (0.567:0.567:0.567)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold849) - (DELAY - (ABSOLUTE - (IOPATH A X (0.553:0.554:0.555) (0.567:0.568:0.568)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold850) - (DELAY - (ABSOLUTE - (IOPATH A X (0.595:0.595:0.595) (0.595:0.595:0.595)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold851) - (DELAY - (ABSOLUTE - (IOPATH A X (0.551:0.552:0.553) (0.565:0.565:0.565)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold852) - (DELAY - (ABSOLUTE - (IOPATH A X (0.564:0.564:0.564) (0.571:0.571:0.571)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold853) - (DELAY - (ABSOLUTE - (IOPATH A X (0.549:0.549:0.550) (0.565:0.565:0.565)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold854) - (DELAY - (ABSOLUTE - (IOPATH A X (0.605:0.605:0.605) (0.614:0.614:0.614)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold855) - (DELAY - (ABSOLUTE - (IOPATH A X (0.572:0.573:0.573) (0.586:0.586:0.586)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold856) - (DELAY - (ABSOLUTE - (IOPATH A X (0.599:0.599:0.599) (0.594:0.594:0.594)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold857) - (DELAY - (ABSOLUTE - (IOPATH A X (0.541:0.542:0.543) (0.556:0.557:0.557)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold858) - (DELAY - (ABSOLUTE - (IOPATH A X (0.591:0.591:0.591) (0.598:0.598:0.598)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold859) - (DELAY - (ABSOLUTE - (IOPATH A X (0.539:0.539:0.540) (0.556:0.556:0.556)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold860) - (DELAY - (ABSOLUTE - (IOPATH A X (0.590:0.590:0.590) (0.596:0.596:0.596)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold861) - (DELAY - (ABSOLUTE - (IOPATH A X (0.537:0.538:0.539) (0.554:0.555:0.555)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold862) - (DELAY - (ABSOLUTE - (IOPATH A X (0.590:0.590:0.590) (0.592:0.592:0.592)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold863) - (DELAY - (ABSOLUTE - (IOPATH A X (0.539:0.540:0.541) (0.554:0.554:0.555)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold864) - (DELAY - (ABSOLUTE - (IOPATH A X (0.611:0.611:0.611) (0.618:0.618:0.618)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold865) - (DELAY - (ABSOLUTE - (IOPATH A X (0.547:0.548:0.549) (0.562:0.562:0.562)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold866) - (DELAY - (ABSOLUTE - (IOPATH A X (0.545:0.545:0.545) (0.556:0.556:0.556)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold867) - (DELAY - (ABSOLUTE - (IOPATH A X (0.541:0.541:0.542) (0.558:0.558:0.558)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold868) - (DELAY - (ABSOLUTE - (IOPATH A X (0.590:0.590:0.590) (0.591:0.591:0.591)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold869) - (DELAY - (ABSOLUTE - (IOPATH A X (0.561:0.563:0.564) (0.576:0.576:0.577)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold870) - (DELAY - (ABSOLUTE - (IOPATH A X (0.609:0.609:0.609) (0.619:0.619:0.619)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold871) - (DELAY - (ABSOLUTE - (IOPATH A X (0.546:0.547:0.548) (0.561:0.561:0.561)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold872) - (DELAY - (ABSOLUTE - (IOPATH A X (0.604:0.604:0.604) (0.608:0.608:0.608)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold873) - (DELAY - (ABSOLUTE - (IOPATH A X (0.544:0.545:0.546) (0.560:0.560:0.561)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold874) - (DELAY - (ABSOLUTE - (IOPATH A X (0.583:0.583:0.583) (0.583:0.583:0.583)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold875) - (DELAY - (ABSOLUTE - (IOPATH A X (0.547:0.548:0.549) (0.562:0.562:0.562)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold876) - (DELAY - (ABSOLUTE - (IOPATH A X (0.571:0.571:0.571) (0.579:0.579:0.579)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold877) - (DELAY - (ABSOLUTE - (IOPATH A X (0.547:0.548:0.549) (0.564:0.564:0.564)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold878) - (DELAY - (ABSOLUTE - (IOPATH A X (0.606:0.606:0.606) (0.602:0.602:0.602)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold879) - (DELAY - (ABSOLUTE - (IOPATH A X (0.562:0.563:0.564) (0.575:0.575:0.575)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold880) - (DELAY - (ABSOLUTE - (IOPATH A X (0.580:0.580:0.580) (0.578:0.578:0.578)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold881) - (DELAY - (ABSOLUTE - (IOPATH A X (0.539:0.540:0.541) (0.555:0.555:0.555)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold882) - (DELAY - (ABSOLUTE - (IOPATH A X (0.589:0.589:0.589) (0.601:0.601:0.601)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold883) - (DELAY - (ABSOLUTE - (IOPATH A X (0.532:0.533:0.534) (0.550:0.550:0.550)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold884) - (DELAY - (ABSOLUTE - (IOPATH A X (0.575:0.575:0.575) (0.584:0.584:0.584)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold885) - (DELAY - (ABSOLUTE - (IOPATH A X (0.560:0.561:0.562) (0.575:0.575:0.575)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold886) - (DELAY - (ABSOLUTE - (IOPATH A X (0.584:0.584:0.584) (0.590:0.590:0.590)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold887) - (DELAY - (ABSOLUTE - (IOPATH A X (0.537:0.538:0.539) (0.554:0.555:0.555)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold888) - (DELAY - (ABSOLUTE - (IOPATH A X (0.601:0.601:0.601) (0.599:0.599:0.599)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold889) - (DELAY - (ABSOLUTE - (IOPATH A X (0.543:0.544:0.545) (0.558:0.558:0.559)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold890) - (DELAY - (ABSOLUTE - (IOPATH A X (0.587:0.587:0.587) (0.593:0.593:0.593)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold891) - (DELAY - (ABSOLUTE - (IOPATH A X (0.537:0.538:0.539) (0.554:0.555:0.555)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold892) - (DELAY - (ABSOLUTE - (IOPATH A X (0.578:0.578:0.578) (0.585:0.585:0.585)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold893) - (DELAY - (ABSOLUTE - (IOPATH A X (0.537:0.538:0.539) (0.554:0.554:0.555)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold894) - (DELAY - (ABSOLUTE - (IOPATH A X (0.566:0.566:0.566) (0.578:0.578:0.578)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold895) - (DELAY - (ABSOLUTE - (IOPATH A X (0.541:0.542:0.543) (0.558:0.558:0.558)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold896) - (DELAY - (ABSOLUTE - (IOPATH A X (0.573:0.573:0.573) (0.572:0.572:0.572)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold897) - (DELAY - (ABSOLUTE - (IOPATH A X (0.554:0.555:0.556) (0.569:0.569:0.569)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold898) - (DELAY - (ABSOLUTE - (IOPATH A X (0.598:0.598:0.598) (0.599:0.599:0.599)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold899) - (DELAY - (ABSOLUTE - (IOPATH A X (0.541:0.542:0.542) (0.556:0.556:0.556)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold900) - (DELAY - (ABSOLUTE - (IOPATH A X (0.577:0.577:0.577) (0.583:0.583:0.583)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold901) - (DELAY - (ABSOLUTE - (IOPATH A X (0.535:0.536:0.537) (0.552:0.552:0.553)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold902) - (DELAY - (ABSOLUTE - (IOPATH A X (0.535:0.535:0.535) (0.548:0.548:0.548)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold903) - (DELAY - (ABSOLUTE - (IOPATH A X (0.556:0.557:0.558) (0.571:0.571:0.571)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold904) - (DELAY - (ABSOLUTE - (IOPATH A X (0.588:0.588:0.588) (0.587:0.587:0.587)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold905) - (DELAY - (ABSOLUTE - (IOPATH A X (0.542:0.543:0.544) (0.557:0.557:0.558)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold906) - (DELAY - (ABSOLUTE - (IOPATH A X (0.540:0.540:0.540) (0.552:0.552:0.552)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold907) - (DELAY - (ABSOLUTE - (IOPATH A X (0.548:0.549:0.550) (0.564:0.565:0.565)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold908) - (DELAY - (ABSOLUTE - (IOPATH A X (0.580:0.580:0.580) (0.592:0.592:0.592)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold909) - (DELAY - (ABSOLUTE - (IOPATH A X (0.547:0.548:0.550) (0.563:0.563:0.564)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold910) - (DELAY - (ABSOLUTE - (IOPATH A X (0.582:0.582:0.582) (0.588:0.588:0.588)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold911) - (DELAY - (ABSOLUTE - (IOPATH A X (0.541:0.543:0.544) (0.558:0.559:0.559)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold912) - (DELAY - (ABSOLUTE - (IOPATH A X (0.570:0.570:0.570) (0.583:0.583:0.583)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold913) - (DELAY - (ABSOLUTE - (IOPATH A X (0.570:0.571:0.571) (0.583:0.583:0.583)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold914) - (DELAY - (ABSOLUTE - (IOPATH A X (0.581:0.581:0.581) (0.593:0.593:0.593)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold915) - (DELAY - (ABSOLUTE - (IOPATH A X (0.536:0.537:0.539) (0.553:0.553:0.554)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold916) - (DELAY - (ABSOLUTE - (IOPATH A X (0.663:0.663:0.663) (0.639:0.639:0.639)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold917) - (DELAY - (ABSOLUTE - (IOPATH A X (0.642:0.643:0.643) (0.637:0.637:0.638)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold918) - (DELAY - (ABSOLUTE - (IOPATH A X (0.605:0.605:0.605) (0.602:0.602:0.602)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold919) - (DELAY - (ABSOLUTE - (IOPATH A X (0.592:0.593:0.594) (0.602:0.602:0.602)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold920) - (DELAY - (ABSOLUTE - (IOPATH A X (0.562:0.562:0.562) (0.571:0.571:0.571)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold921) - (DELAY - (ABSOLUTE - (IOPATH A X (0.544:0.544:0.545) (0.561:0.561:0.561)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold922) - (DELAY - (ABSOLUTE - (IOPATH A X (0.568:0.568:0.568) (0.576:0.576:0.576)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold923) - (DELAY - (ABSOLUTE - (IOPATH A X (0.562:0.562:0.563) (0.576:0.576:0.576)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold924) - (DELAY - (ABSOLUTE - (IOPATH A X (0.615:0.615:0.615) (0.621:0.621:0.621)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold925) - (DELAY - (ABSOLUTE - (IOPATH A X (0.549:0.550:0.551) (0.564:0.564:0.564)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold926) - (DELAY - (ABSOLUTE - (IOPATH A X (0.582:0.582:0.582) (0.588:0.588:0.588)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold927) - (DELAY - (ABSOLUTE - (IOPATH A X (0.534:0.535:0.536) (0.551:0.552:0.552)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold928) - (DELAY - (ABSOLUTE - (IOPATH A X (0.593:0.593:0.593) (0.589:0.589:0.589)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold929) - (DELAY - (ABSOLUTE - (IOPATH A X (0.555:0.556:0.556) (0.568:0.569:0.569)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold930) - (DELAY - (ABSOLUTE - (IOPATH A X (0.585:0.585:0.585) (0.591:0.591:0.591)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold931) - (DELAY - (ABSOLUTE - (IOPATH A X (0.540:0.541:0.543) (0.557:0.558:0.558)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold932) - (DELAY - (ABSOLUTE - (IOPATH A X (0.585:0.585:0.585) (0.596:0.596:0.596)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold933) - (DELAY - (ABSOLUTE - (IOPATH A X (0.609:0.609:0.610) (0.615:0.616:0.616)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold934) - (DELAY - (ABSOLUTE - (IOPATH A X (0.572:0.572:0.572) (0.585:0.585:0.585)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold935) - (DELAY - (ABSOLUTE - (IOPATH A X (0.542:0.543:0.544) (0.560:0.560:0.560)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold936) - (DELAY - (ABSOLUTE - (IOPATH A X (0.580:0.580:0.580) (0.586:0.586:0.586)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold937) - (DELAY - (ABSOLUTE - (IOPATH A X (0.555:0.556:0.557) (0.571:0.571:0.571)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold938) - (DELAY - (ABSOLUTE - (IOPATH A X (0.596:0.596:0.596) (0.602:0.602:0.602)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold939) - (DELAY - (ABSOLUTE - (IOPATH A X (0.537:0.537:0.538) (0.554:0.554:0.554)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold940) - (DELAY - (ABSOLUTE - (IOPATH A X (0.590:0.590:0.590) (0.596:0.596:0.596)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold941) - (DELAY - (ABSOLUTE - (IOPATH A X (0.545:0.546:0.547) (0.562:0.562:0.562)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold942) - (DELAY - (ABSOLUTE - (IOPATH A X (0.566:0.566:0.566) (0.574:0.574:0.574)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold943) - (DELAY - (ABSOLUTE - (IOPATH A X (0.540:0.541:0.543) (0.557:0.557:0.558)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold944) - (DELAY - (ABSOLUTE - (IOPATH A X (0.579:0.579:0.579) (0.591:0.591:0.591)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold945) - (DELAY - (ABSOLUTE - (IOPATH A X (0.530:0.531:0.532) (0.547:0.547:0.547)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold946) - (DELAY - (ABSOLUTE - (IOPATH A X (0.592:0.592:0.592) (0.598:0.598:0.598)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold947) - (DELAY - (ABSOLUTE - (IOPATH A X (0.540:0.541:0.542) (0.558:0.558:0.558)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold948) - (DELAY - (ABSOLUTE - (IOPATH A X (0.578:0.578:0.578) (0.585:0.585:0.585)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold949) - (DELAY - (ABSOLUTE - (IOPATH A X (0.538:0.539:0.540) (0.555:0.555:0.555)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold950) - (DELAY - (ABSOLUTE - (IOPATH A X (0.583:0.583:0.583) (0.587:0.587:0.587)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold951) - (DELAY - (ABSOLUTE - (IOPATH A X (0.537:0.538:0.539) (0.554:0.554:0.554)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold952) - (DELAY - (ABSOLUTE - (IOPATH A X (0.573:0.573:0.573) (0.578:0.578:0.578)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold953) - (DELAY - (ABSOLUTE - (IOPATH A X (0.569:0.570:0.570) (0.583:0.583:0.583)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold954) - (DELAY - (ABSOLUTE - (IOPATH A X (0.566:0.566:0.566) (0.578:0.578:0.578)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold955) - (DELAY - (ABSOLUTE - (IOPATH A X (0.542:0.543:0.544) (0.559:0.560:0.560)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold956) - (DELAY - (ABSOLUTE - (IOPATH A X (0.632:0.632:0.632) (0.628:0.628:0.628)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold957) - (DELAY - (ABSOLUTE - (IOPATH A X (0.640:0.641:0.641) (0.636:0.636:0.637)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold958) - (DELAY - (ABSOLUTE - (IOPATH A X (0.570:0.570:0.570) (0.576:0.576:0.576)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold959) - (DELAY - (ABSOLUTE - (IOPATH A X (0.549:0.550:0.551) (0.565:0.565:0.565)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold960) - (DELAY - (ABSOLUTE - (IOPATH A X (0.571:0.571:0.571) (0.578:0.578:0.578)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold961) - (DELAY - (ABSOLUTE - (IOPATH A X (0.546:0.547:0.547) (0.562:0.562:0.563)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold962) - (DELAY - (ABSOLUTE - (IOPATH A X (0.595:0.595:0.595) (0.604:0.604:0.604)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold963) - (DELAY - (ABSOLUTE - (IOPATH A X (0.541:0.541:0.542) (0.548:0.550:0.551)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold964) - (DELAY - (ABSOLUTE - (IOPATH A X (0.568:0.568:0.568) (0.583:0.583:0.583)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold965) - (DELAY - (ABSOLUTE - (IOPATH A X (0.540:0.541:0.541) (0.557:0.557:0.557)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold966) - (DELAY - (ABSOLUTE - (IOPATH A X (0.601:0.601:0.601) (0.605:0.605:0.605)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold967) - (DELAY - (ABSOLUTE - (IOPATH A X (0.543:0.545:0.546) (0.557:0.557:0.557)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold968) - (DELAY - (ABSOLUTE - (IOPATH A X (0.546:0.546:0.546) (0.559:0.559:0.558)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold969) - (DELAY - (ABSOLUTE - (IOPATH A X (0.589:0.589:0.589) (0.600:0.600:0.600)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold970) - (DELAY - (ABSOLUTE - (IOPATH A X (0.590:0.590:0.591) (0.600:0.601:0.601)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold971) - (DELAY - (ABSOLUTE - (IOPATH A X (0.645:0.645:0.645) (0.639:0.639:0.639)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold972) - (DELAY - (ABSOLUTE - (IOPATH A X (0.608:0.608:0.609) (0.614:0.615:0.615)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold973) - (DELAY - (ABSOLUTE - (IOPATH A X (0.586:0.586:0.586) (0.596:0.596:0.596)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold974) - (DELAY - (ABSOLUTE - (IOPATH A X (0.546:0.547:0.548) (0.563:0.563:0.563)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold975) - (DELAY - (ABSOLUTE - (IOPATH A X (0.588:0.588:0.588) (0.591:0.591:0.591)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold976) - (DELAY - (ABSOLUTE - (IOPATH A X (0.551:0.551:0.551) (0.567:0.567:0.567)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold977) - (DELAY - (ABSOLUTE - (IOPATH A X (0.557:0.557:0.557) (0.566:0.566:0.566)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold978) - (DELAY - (ABSOLUTE - (IOPATH A X (0.539:0.540:0.540) (0.556:0.556:0.556)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold979) - (DELAY - (ABSOLUTE - (IOPATH A X (0.594:0.594:0.594) (0.605:0.605:0.605)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold980) - (DELAY - (ABSOLUTE - (IOPATH A X (0.574:0.575:0.576) (0.587:0.587:0.587)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold981) - (DELAY - (ABSOLUTE - (IOPATH A X (0.622:0.622:0.622) (0.619:0.619:0.619)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold982) - (DELAY - (ABSOLUTE - (IOPATH A X (0.553:0.554:0.554) (0.567:0.567:0.567)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold983) - (DELAY - (ABSOLUTE - (IOPATH A X (0.567:0.567:0.567) (0.581:0.581:0.581)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold984) - (DELAY - (ABSOLUTE - (IOPATH A X (0.549:0.550:0.551) (0.565:0.565:0.565)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold985) - (DELAY - (ABSOLUTE - (IOPATH A X (0.618:0.618:0.618) (0.619:0.619:0.619)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold986) - (DELAY - (ABSOLUTE - (IOPATH A X (0.587:0.588:0.588) (0.598:0.598:0.598)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold987) - (DELAY - (ABSOLUTE - (IOPATH A X (0.573:0.573:0.573) (0.576:0.576:0.576)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold988) - (DELAY - (ABSOLUTE - (IOPATH A X (0.562:0.563:0.564) (0.576:0.576:0.576)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold989) - (DELAY - (ABSOLUTE - (IOPATH A X (0.590:0.590:0.590) (0.595:0.595:0.595)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold990) - (DELAY - (ABSOLUTE - (IOPATH A X (0.560:0.561:0.562) (0.575:0.575:0.575)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold991) - (DELAY - (ABSOLUTE - (IOPATH A X (0.588:0.588:0.588) (0.593:0.593:0.593)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold992) - (DELAY - (ABSOLUTE - (IOPATH A X (0.549:0.550:0.551) (0.565:0.565:0.566)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold993) - (DELAY - (ABSOLUTE - (IOPATH A X (0.595:0.595:0.595) (0.598:0.598:0.598)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold994) - (DELAY - (ABSOLUTE - (IOPATH A X (0.578:0.578:0.579) (0.589:0.590:0.590)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold995) - (DELAY - (ABSOLUTE - (IOPATH A X (0.602:0.602:0.602) (0.606:0.606:0.606)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold996) - (DELAY - (ABSOLUTE - (IOPATH A X (0.578:0.579:0.580) (0.590:0.590:0.590)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold997) - (DELAY - (ABSOLUTE - (IOPATH A X (0.572:0.572:0.572) (0.579:0.579:0.579)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold998) - (DELAY - (ABSOLUTE - (IOPATH A X (0.563:0.564:0.565) (0.577:0.578:0.578)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold999) - (DELAY - (ABSOLUTE - (IOPATH A X (0.563:0.563:0.563) (0.568:0.568:0.568)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1000) - (DELAY - (ABSOLUTE - (IOPATH A X (0.556:0.557:0.558) (0.571:0.571:0.571)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1001) - (DELAY - (ABSOLUTE - (IOPATH A X (0.594:0.594:0.594) (0.598:0.598:0.598)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1002) - (DELAY - (ABSOLUTE - (IOPATH A X (0.551:0.552:0.552) (0.567:0.567:0.567)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1003) - (DELAY - (ABSOLUTE - (IOPATH A X (0.582:0.582:0.582) (0.588:0.588:0.588)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1004) - (DELAY - (ABSOLUTE - (IOPATH A X (0.535:0.536:0.537) (0.553:0.553:0.553)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1005) - (DELAY - (ABSOLUTE - (IOPATH A X (0.578:0.578:0.578) (0.590:0.590:0.590)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1006) - (DELAY - (ABSOLUTE - (IOPATH A X (0.534:0.535:0.536) (0.552:0.552:0.552)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1007) - (DELAY - (ABSOLUTE - (IOPATH A X (0.562:0.562:0.562) (0.572:0.572:0.572)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1008) - (DELAY - (ABSOLUTE - (IOPATH A X (0.536:0.536:0.536) (0.553:0.553:0.553)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1009) - (DELAY - (ABSOLUTE - (IOPATH A X (0.597:0.597:0.597) (0.608:0.608:0.608)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1010) - (DELAY - (ABSOLUTE - (IOPATH A X (0.545:0.546:0.547) (0.562:0.562:0.562)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1011) - (DELAY - (ABSOLUTE - (IOPATH A X (0.578:0.578:0.578) (0.583:0.583:0.583)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1012) - (DELAY - (ABSOLUTE - (IOPATH A X (0.548:0.549:0.550) (0.564:0.564:0.564)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1013) - (DELAY - (ABSOLUTE - (IOPATH A X (0.591:0.591:0.591) (0.593:0.593:0.593)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1014) - (DELAY - (ABSOLUTE - (IOPATH A X (0.554:0.555:0.556) (0.570:0.570:0.570)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1015) - (DELAY - (ABSOLUTE - (IOPATH A X (0.575:0.575:0.575) (0.582:0.582:0.582)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1016) - (DELAY - (ABSOLUTE - (IOPATH A X (0.544:0.545:0.546) (0.561:0.562:0.562)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1017) - (DELAY - (ABSOLUTE - (IOPATH A X (0.604:0.604:0.604) (0.613:0.613:0.613)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1018) - (DELAY - (ABSOLUTE - (IOPATH A X (0.610:0.610:0.611) (0.615:0.616:0.616)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1019) - (DELAY - (ABSOLUTE - (IOPATH A X (0.582:0.582:0.582) (0.589:0.589:0.589)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1020) - (DELAY - (ABSOLUTE - (IOPATH A X (0.537:0.539:0.541) (0.555:0.555:0.555)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1021) - (DELAY - (ABSOLUTE - (IOPATH A X (0.570:0.570:0.570) (0.576:0.576:0.576)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1022) - (DELAY - (ABSOLUTE - (IOPATH A X (0.560:0.561:0.562) (0.575:0.575:0.575)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1023) - (DELAY - (ABSOLUTE - (IOPATH A X (0.577:0.577:0.577) (0.584:0.584:0.584)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1024) - (DELAY - (ABSOLUTE - (IOPATH A X (0.543:0.545:0.546) (0.560:0.560:0.560)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1025) - (DELAY - (ABSOLUTE - (IOPATH A X (0.590:0.590:0.590) (0.595:0.595:0.595)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1026) - (DELAY - (ABSOLUTE - (IOPATH A X (0.548:0.549:0.550) (0.564:0.564:0.564)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1027) - (DELAY - (ABSOLUTE - (IOPATH A X (0.594:0.594:0.594) (0.600:0.600:0.600)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1028) - (DELAY - (ABSOLUTE - (IOPATH A X (0.548:0.549:0.549) (0.564:0.564:0.565)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1029) - (DELAY - (ABSOLUTE - (IOPATH A X (0.609:0.609:0.609) (0.617:0.617:0.617)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1030) - (DELAY - (ABSOLUTE - (IOPATH A X (0.546:0.547:0.547) (0.561:0.561:0.561)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1031) - (DELAY - (ABSOLUTE - (IOPATH A X (0.582:0.582:0.582) (0.577:0.577:0.577)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1032) - (DELAY - (ABSOLUTE - (IOPATH A X (0.538:0.539:0.540) (0.554:0.554:0.554)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1033) - (DELAY - (ABSOLUTE - (IOPATH A X (0.579:0.579:0.579) (0.579:0.579:0.579)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1034) - (DELAY - (ABSOLUTE - (IOPATH A X (0.544:0.545:0.547) (0.559:0.560:0.560)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1035) - (DELAY - (ABSOLUTE - (IOPATH A X (0.584:0.584:0.584) (0.584:0.584:0.584)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1036) - (DELAY - (ABSOLUTE - (IOPATH A X (0.558:0.559:0.560) (0.571:0.572:0.572)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1037) - (DELAY - (ABSOLUTE - (IOPATH A X (0.614:0.614:0.614) (0.616:0.616:0.616)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1038) - (DELAY - (ABSOLUTE - (IOPATH A X (0.559:0.559:0.560) (0.574:0.574:0.574)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1039) - (DELAY - (ABSOLUTE - (IOPATH A X (0.604:0.604:0.604) (0.607:0.607:0.607)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1040) - (DELAY - (ABSOLUTE - (IOPATH A X (0.554:0.554:0.555) (0.569:0.570:0.570)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1041) - (DELAY - (ABSOLUTE - (IOPATH A X (0.609:0.609:0.609) (0.608:0.608:0.608)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1042) - (DELAY - (ABSOLUTE - (IOPATH A X (0.548:0.549:0.549) (0.563:0.563:0.563)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1043) - (DELAY - (ABSOLUTE - (IOPATH A X (0.634:0.634:0.634) (0.627:0.627:0.627)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1044) - (DELAY - (ABSOLUTE - (IOPATH A X (0.631:0.631:0.632) (0.629:0.629:0.629)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1045) - (DELAY - (ABSOLUTE - (IOPATH A X (0.614:0.614:0.614) (0.621:0.621:0.621)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1046) - (DELAY - (ABSOLUTE - (IOPATH A X (0.590:0.590:0.591) (0.600:0.600:0.600)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1047) - (DELAY - (ABSOLUTE - (IOPATH A X (0.616:0.616:0.616) (0.618:0.618:0.618)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1048) - (DELAY - (ABSOLUTE - (IOPATH A X (0.551:0.552:0.554) (0.567:0.567:0.567)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1049) - (DELAY - (ABSOLUTE - (IOPATH A X (0.602:0.602:0.602) (0.598:0.598:0.598)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1050) - (DELAY - (ABSOLUTE - (IOPATH A X (0.548:0.549:0.550) (0.563:0.563:0.563)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1051) - (DELAY - (ABSOLUTE - (IOPATH A X (0.609:0.609:0.609) (0.608:0.608:0.608)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1052) - (DELAY - (ABSOLUTE - (IOPATH A X (0.547:0.549:0.550) (0.562:0.562:0.562)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1053) - (DELAY - (ABSOLUTE - (IOPATH A X (0.580:0.580:0.580) (0.592:0.592:0.592)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1054) - (DELAY - (ABSOLUTE - (IOPATH A X (0.549:0.550:0.550) (0.565:0.566:0.566)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1055) - (DELAY - (ABSOLUTE - (IOPATH A X (0.567:0.567:0.567) (0.575:0.575:0.575)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1056) - (DELAY - (ABSOLUTE - (IOPATH A X (0.560:0.560:0.560) (0.574:0.574:0.574)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1057) - (DELAY - (ABSOLUTE - (IOPATH A X (0.615:0.615:0.615) (0.626:0.626:0.626)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1058) - (DELAY - (ABSOLUTE - (IOPATH A X (0.575:0.576:0.576) (0.588:0.588:0.588)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1059) - (DELAY - (ABSOLUTE - (IOPATH A X (0.668:0.668:0.668) (0.665:0.665:0.665)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1060) - (DELAY - (ABSOLUTE - (IOPATH A X (0.630:0.631:0.631) (0.628:0.629:0.629)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1061) - (DELAY - (ABSOLUTE - (IOPATH A X (0.581:0.581:0.581) (0.586:0.586:0.586)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1062) - (DELAY - (ABSOLUTE - (IOPATH A X (0.537:0.538:0.539) (0.554:0.555:0.555)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1063) - (DELAY - (ABSOLUTE - (IOPATH A X (0.592:0.592:0.592) (0.589:0.589:0.589)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1064) - (DELAY - (ABSOLUTE - (IOPATH A X (0.556:0.557:0.558) (0.570:0.570:0.570)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1065) - (DELAY - (ABSOLUTE - (IOPATH A X (0.570:0.570:0.570) (0.568:0.568:0.568)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1066) - (DELAY - (ABSOLUTE - (IOPATH A X (0.551:0.552:0.553) (0.566:0.566:0.566)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1067) - (DELAY - (ABSOLUTE - (IOPATH A X (0.645:0.645:0.645) (0.640:0.640:0.640)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1068) - (DELAY - (ABSOLUTE - (IOPATH A X (0.651:0.651:0.651) (0.643:0.644:0.644)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1069) - (DELAY - (ABSOLUTE - (IOPATH A X (0.611:0.611:0.611) (0.609:0.609:0.609)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1070) - (DELAY - (ABSOLUTE - (IOPATH A X (0.580:0.580:0.581) (0.590:0.590:0.591)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1071) - (DELAY - (ABSOLUTE - (IOPATH A X (0.575:0.575:0.575) (0.574:0.574:0.574)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1072) - (DELAY - (ABSOLUTE - (IOPATH A X (0.542:0.543:0.543) (0.557:0.557:0.558)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1073) - (DELAY - (ABSOLUTE - (IOPATH A X (0.605:0.605:0.605) (0.605:0.605:0.605)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1074) - (DELAY - (ABSOLUTE - (IOPATH A X (0.542:0.543:0.544) (0.558:0.558:0.558)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1075) - (DELAY - (ABSOLUTE - (IOPATH A X (0.575:0.575:0.575) (0.574:0.574:0.574)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1076) - (DELAY - (ABSOLUTE - (IOPATH A X (0.546:0.547:0.548) (0.561:0.561:0.561)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1077) - (DELAY - (ABSOLUTE - (IOPATH A X (0.554:0.554:0.554) (0.564:0.564:0.564)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1078) - (DELAY - (ABSOLUTE - (IOPATH A X (0.538:0.538:0.539) (0.555:0.555:0.555)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1079) - (DELAY - (ABSOLUTE - (IOPATH A X (0.548:0.548:0.548) (0.559:0.559:0.559)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1080) - (DELAY - (ABSOLUTE - (IOPATH A X (0.535:0.536:0.537) (0.553:0.553:0.553)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1081) - (DELAY - (ABSOLUTE - (IOPATH A X (0.664:0.664:0.664) (0.652:0.652:0.652)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1082) - (DELAY - (ABSOLUTE - (IOPATH A X (0.633:0.634:0.634) (0.631:0.632:0.632)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1083) - (DELAY - (ABSOLUTE - (IOPATH A X (0.605:0.605:0.605) (0.608:0.608:0.608)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1084) - (DELAY - (ABSOLUTE - (IOPATH A X (0.572:0.573:0.575) (0.584:0.584:0.584)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1085) - (DELAY - (ABSOLUTE - (IOPATH A X (0.591:0.591:0.591) (0.597:0.597:0.597)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1086) - (DELAY - (ABSOLUTE - (IOPATH A X (0.590:0.590:0.590) (0.595:0.595:0.595)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1087) - (DELAY - (ABSOLUTE - (IOPATH A X (0.561:0.561:0.562) (0.575:0.575:0.575)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1088) - (DELAY - (ABSOLUTE - (IOPATH A X (0.579:0.579:0.579) (0.587:0.587:0.587)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1089) - (DELAY - (ABSOLUTE - (IOPATH A X (0.531:0.532:0.533) (0.549:0.549:0.549)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1090) - (DELAY - (ABSOLUTE - (IOPATH A X (0.573:0.573:0.573) (0.588:0.588:0.588)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1091) - (DELAY - (ABSOLUTE - (IOPATH A X (0.552:0.552:0.553) (0.568:0.568:0.568)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1092) - (DELAY - (ABSOLUTE - (IOPATH A X (0.568:0.568:0.568) (0.574:0.574:0.574)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1093) - (DELAY - (ABSOLUTE - (IOPATH A X (0.538:0.539:0.540) (0.556:0.556:0.556)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1094) - (DELAY - (ABSOLUTE - (IOPATH A X (0.558:0.558:0.558) (0.556:0.556:0.556)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1095) - (DELAY - (ABSOLUTE - (IOPATH A X (0.549:0.550:0.551) (0.564:0.564:0.564)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1096) - (DELAY - (ABSOLUTE - (IOPATH A X (0.586:0.586:0.586) (0.580:0.580:0.580)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1097) - (DELAY - (ABSOLUTE - (IOPATH A X (0.548:0.550:0.551) (0.563:0.563:0.563)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1098) - (DELAY - (ABSOLUTE - (IOPATH A X (0.540:0.540:0.540) (0.553:0.553:0.553)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1099) - (DELAY - (ABSOLUTE - (IOPATH A X (0.692:0.692:0.692) (0.674:0.674:0.674)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1100) - (DELAY - (ABSOLUTE - (IOPATH A X (0.684:0.684:0.684) (0.675:0.675:0.675)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1101) - (DELAY - (ABSOLUTE - (IOPATH A X (0.630:0.630:0.630) (0.628:0.628:0.628)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1102) - (DELAY - (ABSOLUTE - (IOPATH A X (0.606:0.606:0.607) (0.612:0.613:0.613)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1103) - (DELAY - (ABSOLUTE - (IOPATH A X (0.579:0.579:0.579) (0.579:0.579:0.579)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1104) - (DELAY - (ABSOLUTE - (IOPATH A X (0.552:0.553:0.554) (0.566:0.566:0.566)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1105) - (DELAY - (ABSOLUTE - (IOPATH A X (0.645:0.645:0.645) (0.641:0.641:0.641)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1106) - (DELAY - (ABSOLUTE - (IOPATH A X (0.619:0.620:0.620) (0.621:0.621:0.621)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1107) - (DELAY - (ABSOLUTE - (IOPATH A X (0.610:0.610:0.610) (0.606:0.606:0.606)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1108) - (DELAY - (ABSOLUTE - (IOPATH A X (0.569:0.570:0.571) (0.581:0.581:0.581)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1109) - (DELAY - (ABSOLUTE - (IOPATH A X (0.563:0.563:0.563) (0.561:0.561:0.561)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1110) - (DELAY - (ABSOLUTE - (IOPATH A X (0.554:0.555:0.556) (0.568:0.568:0.569)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1111) - (DELAY - (ABSOLUTE - (IOPATH A X (0.591:0.591:0.591) (0.590:0.590:0.590)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1112) - (DELAY - (ABSOLUTE - (IOPATH A X (0.551:0.552:0.554) (0.566:0.566:0.566)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1113) - (DELAY - (ABSOLUTE - (IOPATH A X (0.605:0.605:0.605) (0.604:0.604:0.604)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1114) - (DELAY - (ABSOLUTE - (IOPATH A X (0.550:0.551:0.552) (0.565:0.565:0.565)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1115) - (DELAY - (ABSOLUTE - (IOPATH A X (0.583:0.583:0.583) (0.595:0.595:0.595)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1116) - (DELAY - (ABSOLUTE - (IOPATH A X (0.539:0.540:0.541) (0.556:0.556:0.557)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1117) - (DELAY - (ABSOLUTE - (IOPATH A X (0.648:0.648:0.648) (0.647:0.647:0.647)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1118) - (DELAY - (ABSOLUTE - (IOPATH A X (0.631:0.632:0.632) (0.632:0.632:0.633)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1119) - (DELAY - (ABSOLUTE - (IOPATH A X (0.589:0.589:0.589) (0.596:0.596:0.596)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1120) - (DELAY - (ABSOLUTE - (IOPATH A X (0.543:0.544:0.544) (0.560:0.560:0.560)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1121) - (DELAY - (ABSOLUTE - (IOPATH A X (0.626:0.626:0.626) (0.625:0.625:0.625)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1122) - (DELAY - (ABSOLUTE - (IOPATH A X (0.603:0.604:0.604) (0.611:0.611:0.611)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1123) - (DELAY - (ABSOLUTE - (IOPATH A X (0.588:0.588:0.588) (0.599:0.599:0.599)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1124) - (DELAY - (ABSOLUTE - (IOPATH A X (0.534:0.534:0.535) (0.551:0.551:0.551)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1125) - (DELAY - (ABSOLUTE - (IOPATH A X (0.579:0.579:0.579) (0.587:0.587:0.587)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1126) - (DELAY - (ABSOLUTE - (IOPATH A X (0.542:0.543:0.544) (0.559:0.559:0.559)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1127) - (DELAY - (ABSOLUTE - (IOPATH A X (0.568:0.568:0.568) (0.572:0.572:0.572)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1128) - (DELAY - (ABSOLUTE - (IOPATH A X (0.539:0.540:0.540) (0.556:0.556:0.557)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1129) - (DELAY - (ABSOLUTE - (IOPATH A X (0.640:0.640:0.640) (0.645:0.645:0.645)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1130) - (DELAY - (ABSOLUTE - (IOPATH A X (0.606:0.607:0.607) (0.613:0.613:0.613)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1131) - (DELAY - (ABSOLUTE - (IOPATH A X (0.575:0.575:0.575) (0.589:0.589:0.589)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1132) - (DELAY - (ABSOLUTE - (IOPATH A X (0.535:0.535:0.536) (0.552:0.552:0.552)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1133) - (DELAY - (ABSOLUTE - (IOPATH A X (0.578:0.578:0.578) (0.572:0.572:0.572)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1134) - (DELAY - (ABSOLUTE - (IOPATH A X (0.537:0.538:0.539) (0.552:0.552:0.553)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1135) - (DELAY - (ABSOLUTE - (IOPATH A X (0.597:0.597:0.597) (0.603:0.603:0.603)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1136) - (DELAY - (ABSOLUTE - (IOPATH A X (0.545:0.546:0.547) (0.561:0.561:0.561)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1137) - (DELAY - (ABSOLUTE - (IOPATH A X (0.590:0.590:0.590) (0.593:0.593:0.593)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1138) - (DELAY - (ABSOLUTE - (IOPATH A X (0.556:0.557:0.558) (0.570:0.570:0.570)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1139) - (DELAY - (ABSOLUTE - (IOPATH A X (0.585:0.585:0.585) (0.588:0.588:0.588)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1140) - (DELAY - (ABSOLUTE - (IOPATH A X (0.562:0.562:0.563) (0.576:0.576:0.576)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1141) - (DELAY - (ABSOLUTE - (IOPATH A X (0.575:0.575:0.575) (0.582:0.582:0.582)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1142) - (DELAY - (ABSOLUTE - (IOPATH A X (0.543:0.544:0.544) (0.560:0.560:0.560)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1143) - (DELAY - (ABSOLUTE - (IOPATH A X (0.598:0.598:0.598) (0.602:0.602:0.602)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1144) - (DELAY - (ABSOLUTE - (IOPATH A X (0.548:0.549:0.550) (0.564:0.564:0.564)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1145) - (DELAY - (ABSOLUTE - (IOPATH A X (0.580:0.580:0.580) (0.587:0.587:0.587)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1146) - (DELAY - (ABSOLUTE - (IOPATH A X (0.543:0.544:0.544) (0.560:0.561:0.561)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1147) - (DELAY - (ABSOLUTE - (IOPATH A X (0.571:0.571:0.571) (0.578:0.578:0.578)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1148) - (DELAY - (ABSOLUTE - (IOPATH A X (0.554:0.554:0.555) (0.569:0.569:0.570)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1149) - (DELAY - (ABSOLUTE - (IOPATH A X (0.667:0.667:0.667) (0.669:0.669:0.669)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1150) - (DELAY - (ABSOLUTE - (IOPATH A X (0.669:0.669:0.670) (0.654:0.655:0.655)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1151) - (DELAY - (ABSOLUTE - (IOPATH A X (0.597:0.597:0.597) (0.601:0.601:0.601)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1152) - (DELAY - (ABSOLUTE - (IOPATH A X (0.595:0.596:0.597) (0.604:0.604:0.604)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1153) - (DELAY - (ABSOLUTE - (IOPATH A X (0.591:0.591:0.591) (0.601:0.601:0.601)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1154) - (DELAY - (ABSOLUTE - (IOPATH A X (0.539:0.539:0.540) (0.556:0.556:0.556)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1155) - (DELAY - (ABSOLUTE - (IOPATH A X (0.635:0.635:0.635) (0.633:0.633:0.633)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1156) - (DELAY - (ABSOLUTE - (IOPATH A X (0.553:0.554:0.555) (0.567:0.567:0.567)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1157) - (DELAY - (ABSOLUTE - (IOPATH A X (0.579:0.579:0.579) (0.591:0.591:0.591)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1158) - (DELAY - (ABSOLUTE - (IOPATH A X (0.544:0.545:0.546) (0.560:0.560:0.561)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1159) - (DELAY - (ABSOLUTE - (IOPATH A X (0.632:0.632:0.632) (0.617:0.617:0.617)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1160) - (DELAY - (ABSOLUTE - (IOPATH A X (0.744:0.744:0.744) (0.713:0.714:0.714)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1161) - (DELAY - (ABSOLUTE - (IOPATH A X (0.598:0.598:0.598) (0.602:0.602:0.602)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1162) - (DELAY - (ABSOLUTE - (IOPATH A X (0.566:0.567:0.568) (0.580:0.580:0.580)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1163) - (DELAY - (ABSOLUTE - (IOPATH A X (0.647:0.647:0.647) (0.639:0.639:0.639)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1164) - (DELAY - (ABSOLUTE - (IOPATH A X (0.687:0.687:0.688) (0.667:0.668:0.668)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1165) - (DELAY - (ABSOLUTE - (IOPATH A X (0.622:0.622:0.622) (0.624:0.624:0.624)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1166) - (DELAY - (ABSOLUTE - (IOPATH A X (0.600:0.601:0.601) (0.609:0.609:0.609)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1167) - (DELAY - (ABSOLUTE - (IOPATH A X (0.583:0.583:0.583) (0.594:0.594:0.594)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1168) - (DELAY - (ABSOLUTE - (IOPATH A X (0.530:0.531:0.533) (0.548:0.548:0.548)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1169) - (DELAY - (ABSOLUTE - (IOPATH A X (0.589:0.589:0.589) (0.589:0.589:0.589)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1170) - (DELAY - (ABSOLUTE - (IOPATH A X (0.548:0.549:0.550) (0.562:0.562:0.563)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1171) - (DELAY - (ABSOLUTE - (IOPATH A X (0.585:0.585:0.585) (0.596:0.596:0.596)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1172) - (DELAY - (ABSOLUTE - (IOPATH A X (0.538:0.539:0.540) (0.555:0.556:0.556)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1173) - (DELAY - (ABSOLUTE - (IOPATH A X (0.665:0.665:0.665) (0.655:0.655:0.655)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1174) - (DELAY - (ABSOLUTE - (IOPATH A X (0.650:0.650:0.651) (0.642:0.643:0.643)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1175) - (DELAY - (ABSOLUTE - (IOPATH A X (0.620:0.620:0.620) (0.616:0.616:0.616)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1176) - (DELAY - (ABSOLUTE - (IOPATH A X (0.534:0.534:0.534) (0.547:0.547:0.547)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1177) - (DELAY - (ABSOLUTE - (IOPATH A X (0.542:0.543:0.543) (0.559:0.559:0.559)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1178) - (DELAY - (ABSOLUTE - (IOPATH A X (0.581:0.581:0.581) (0.587:0.587:0.587)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1179) - (DELAY - (ABSOLUTE - (IOPATH A X (0.550:0.551:0.551) (0.566:0.566:0.566)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1180) - (DELAY - (ABSOLUTE - (IOPATH A X (0.570:0.570:0.570) (0.570:0.570:0.570)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1181) - (DELAY - (ABSOLUTE - (IOPATH A X (0.553:0.554:0.555) (0.568:0.568:0.568)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1182) - (DELAY - (ABSOLUTE - (IOPATH A X (0.665:0.665:0.665) (0.653:0.653:0.653)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1183) - (DELAY - (ABSOLUTE - (IOPATH A X (0.615:0.615:0.616) (0.618:0.619:0.619)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1184) - (DELAY - (ABSOLUTE - (IOPATH A X (0.547:0.547:0.547) (0.559:0.559:0.559)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1185) - (DELAY - (ABSOLUTE - (IOPATH A X (0.649:0.649:0.649) (0.634:0.634:0.634)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1186) - (DELAY - (ABSOLUTE - (IOPATH A X (0.599:0.599:0.600) (0.607:0.607:0.607)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1187) - (DELAY - (ABSOLUTE - (IOPATH A X (0.653:0.653:0.653) (0.656:0.656:0.656)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1188) - (DELAY - (ABSOLUTE - (IOPATH A X (0.695:0.695:0.696) (0.672:0.672:0.672)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1189) - (DELAY - (ABSOLUTE - (IOPATH A X (0.580:0.580:0.580) (0.583:0.583:0.583)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1190) - (DELAY - (ABSOLUTE - (IOPATH A X (0.559:0.559:0.559) (0.573:0.574:0.574)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1191) - (DELAY - (ABSOLUTE - (IOPATH A X (0.588:0.588:0.588) (0.590:0.590:0.590)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1192) - (DELAY - (ABSOLUTE - (IOPATH A X (0.557:0.558:0.559) (0.570:0.571:0.571)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1193) - (DELAY - (ABSOLUTE - (IOPATH A X (0.586:0.586:0.586) (0.597:0.597:0.597)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1194) - (DELAY - (ABSOLUTE - (IOPATH A X (0.533:0.534:0.534) (0.550:0.550:0.550)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1195) - (DELAY - (ABSOLUTE - (IOPATH A X (0.619:0.619:0.619) (0.619:0.619:0.619)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1196) - (DELAY - (ABSOLUTE - (IOPATH A X (0.594:0.594:0.594) (0.595:0.595:0.595)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1197) - (DELAY - (ABSOLUTE - (IOPATH A X (0.573:0.573:0.573) (0.576:0.576:0.576)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1198) - (DELAY - (ABSOLUTE - (IOPATH A X (0.545:0.545:0.546) (0.561:0.562:0.562)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1199) - (DELAY - (ABSOLUTE - (IOPATH A X (0.551:0.551:0.551) (0.562:0.562:0.562)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1200) - (DELAY - (ABSOLUTE - (IOPATH A X (0.550:0.551:0.551) (0.566:0.566:0.567)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1201) - (DELAY - (ABSOLUTE - (IOPATH A X (0.598:0.598:0.598) (0.607:0.607:0.607)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1202) - (DELAY - (ABSOLUTE - (IOPATH A X (0.569:0.569:0.570) (0.583:0.583:0.583)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1203) - (DELAY - (ABSOLUTE - (IOPATH A X (0.587:0.587:0.587) (0.594:0.594:0.594)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1204) - (DELAY - (ABSOLUTE - (IOPATH A X (0.547:0.548:0.549) (0.563:0.563:0.564)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1205) - (DELAY - (ABSOLUTE - (IOPATH A X (0.588:0.588:0.588) (0.583:0.583:0.583)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1206) - (DELAY - (ABSOLUTE - (IOPATH A X (0.549:0.550:0.551) (0.563:0.564:0.564)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1207) - (DELAY - (ABSOLUTE - (IOPATH A X (0.560:0.560:0.560) (0.567:0.567:0.567)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1208) - (DELAY - (ABSOLUTE - (IOPATH A X (0.547:0.548:0.548) (0.564:0.564:0.564)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1209) - (DELAY - (ABSOLUTE - (IOPATH A X (0.538:0.538:0.538) (0.550:0.550:0.550)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1210) - (DELAY - (ABSOLUTE - (IOPATH A X (0.544:0.545:0.545) (0.561:0.561:0.561)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1211) - (DELAY - (ABSOLUTE - (IOPATH A X (0.606:0.606:0.606) (0.613:0.613:0.613)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1212) - (DELAY - (ABSOLUTE - (IOPATH A X (0.636:0.636:0.636) (0.633:0.633:0.633)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1213) - (DELAY - (ABSOLUTE - (IOPATH A X (0.596:0.596:0.596) (0.596:0.596:0.596)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1214) - (DELAY - (ABSOLUTE - (IOPATH A X (0.540:0.541:0.542) (0.556:0.556:0.556)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1215) - (DELAY - (ABSOLUTE - (IOPATH A X (0.547:0.547:0.547) (0.558:0.558:0.558)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1216) - (DELAY - (ABSOLUTE - (IOPATH A X (0.667:0.667:0.667) (0.654:0.654:0.654)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1217) - (DELAY - (ABSOLUTE - (IOPATH A X (0.647:0.648:0.648) (0.643:0.643:0.643)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1218) - (DELAY - (ABSOLUTE - (IOPATH A X (0.615:0.615:0.615) (0.621:0.621:0.621)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1219) - (DELAY - (ABSOLUTE - (IOPATH A X (0.579:0.580:0.580) (0.591:0.592:0.592)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1220) - (DELAY - (ABSOLUTE - (IOPATH A X (0.653:0.653:0.653) (0.648:0.648:0.648)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1221) - (DELAY - (ABSOLUTE - (IOPATH A X (0.640:0.640:0.640) (0.636:0.636:0.637)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1222) - (DELAY - (ABSOLUTE - (IOPATH A X (0.582:0.582:0.582) (0.594:0.594:0.594)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1223) - (DELAY - (ABSOLUTE - (IOPATH A X (0.533:0.534:0.535) (0.551:0.551:0.551)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1224) - (DELAY - (ABSOLUTE - (IOPATH A X (0.587:0.587:0.587) (0.582:0.582:0.582)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1225) - (DELAY - (ABSOLUTE - (IOPATH A X (0.548:0.549:0.550) (0.562:0.562:0.563)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1226) - (DELAY - (ABSOLUTE - (IOPATH A X (0.618:0.618:0.618) (0.622:0.622:0.622)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1227) - (DELAY - (ABSOLUTE - (IOPATH A X (0.576:0.576:0.577) (0.588:0.588:0.588)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1228) - (DELAY - (ABSOLUTE - (IOPATH A X (0.579:0.579:0.579) (0.578:0.578:0.578)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1229) - (DELAY - (ABSOLUTE - (IOPATH A X (0.541:0.542:0.543) (0.556:0.557:0.557)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1230) - (DELAY - (ABSOLUTE - (IOPATH A X (0.583:0.583:0.583) (0.582:0.582:0.582)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1231) - (DELAY - (ABSOLUTE - (IOPATH A X (0.540:0.541:0.542) (0.555:0.556:0.556)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1232) - (DELAY - (ABSOLUTE - (IOPATH A X (0.593:0.593:0.593) (0.593:0.593:0.593)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1233) - (DELAY - (ABSOLUTE - (IOPATH A X (0.541:0.542:0.543) (0.557:0.557:0.557)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1234) - (DELAY - (ABSOLUTE - (IOPATH A X (0.624:0.624:0.624) (0.617:0.617:0.617)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1235) - (DELAY - (ABSOLUTE - (IOPATH A X (0.588:0.588:0.588) (0.599:0.599:0.599)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1236) - (DELAY - (ABSOLUTE - (IOPATH A X (0.580:0.580:0.580) (0.588:0.588:0.588)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1237) - (DELAY - (ABSOLUTE - (IOPATH A X (0.538:0.538:0.539) (0.555:0.555:0.555)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1238) - (DELAY - (ABSOLUTE - (IOPATH A X (0.579:0.579:0.579) (0.585:0.585:0.585)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1239) - (DELAY - (ABSOLUTE - (IOPATH A X (0.545:0.546:0.547) (0.562:0.562:0.562)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1240) - (DELAY - (ABSOLUTE - (IOPATH A X (0.586:0.586:0.586) (0.591:0.591:0.591)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1241) - (DELAY - (ABSOLUTE - (IOPATH A X (0.533:0.534:0.535) (0.551:0.551:0.551)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1242) - (DELAY - (ABSOLUTE - (IOPATH A X (0.584:0.584:0.584) (0.583:0.583:0.583)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1243) - (DELAY - (ABSOLUTE - (IOPATH A X (0.551:0.552:0.553) (0.565:0.565:0.566)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1244) - (DELAY - (ABSOLUTE - (IOPATH A X (0.602:0.602:0.602) (0.610:0.610:0.610)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1245) - (DELAY - (ABSOLUTE - (IOPATH A X (0.553:0.554:0.555) (0.567:0.567:0.567)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1246) - (DELAY - (ABSOLUTE - (IOPATH A X (0.577:0.577:0.577) (0.589:0.589:0.589)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1247) - (DELAY - (ABSOLUTE - (IOPATH A X (0.542:0.543:0.544) (0.559:0.559:0.559)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1248) - (DELAY - (ABSOLUTE - (IOPATH A X (0.597:0.597:0.597) (0.596:0.596:0.596)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1249) - (DELAY - (ABSOLUTE - (IOPATH A X (0.543:0.544:0.545) (0.559:0.559:0.559)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1250) - (DELAY - (ABSOLUTE - (IOPATH A X (0.616:0.616:0.616) (0.623:0.623:0.623)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1251) - (DELAY - (ABSOLUTE - (IOPATH A X (0.554:0.555:0.556) (0.570:0.570:0.570)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1252) - (DELAY - (ABSOLUTE - (IOPATH A X (0.595:0.595:0.595) (0.600:0.600:0.600)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1253) - (DELAY - (ABSOLUTE - (IOPATH A X (0.538:0.539:0.540) (0.555:0.555:0.556)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1254) - (DELAY - (ABSOLUTE - (IOPATH A X (0.574:0.574:0.574) (0.587:0.587:0.587)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1255) - (DELAY - (ABSOLUTE - (IOPATH A X (0.536:0.537:0.538) (0.554:0.554:0.554)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1256) - (DELAY - (ABSOLUTE - (IOPATH A X (0.597:0.597:0.597) (0.596:0.596:0.596)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1257) - (DELAY - (ABSOLUTE - (IOPATH A X (0.553:0.554:0.555) (0.567:0.567:0.567)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1258) - (DELAY - (ABSOLUTE - (IOPATH A X (0.544:0.544:0.544) (0.556:0.556:0.556)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1259) - (DELAY - (ABSOLUTE - (IOPATH A X (0.578:0.578:0.578) (0.575:0.575:0.575)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1260) - (DELAY - (ABSOLUTE - (IOPATH A X (0.549:0.550:0.551) (0.563:0.563:0.564)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1261) - (DELAY - (ABSOLUTE - (IOPATH A X (0.610:0.610:0.610) (0.603:0.603:0.603)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1262) - (DELAY - (ABSOLUTE - (IOPATH A X (0.540:0.541:0.542) (0.556:0.556:0.556)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1263) - (DELAY - (ABSOLUTE - (IOPATH A X (0.666:0.666:0.666) (0.653:0.653:0.653)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1264) - (DELAY - (ABSOLUTE - (IOPATH A X (0.601:0.601:0.601) (0.609:0.609:0.610)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1265) - (DELAY - (ABSOLUTE - (IOPATH A X (0.579:0.579:0.579) (0.573:0.573:0.573)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1266) - (DELAY - (ABSOLUTE - (IOPATH A X (0.549:0.550:0.551) (0.564:0.564:0.564)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1267) - (DELAY - (ABSOLUTE - (IOPATH A X (0.586:0.586:0.586) (0.600:0.600:0.600)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1268) - (DELAY - (ABSOLUTE - (IOPATH A X (0.560:0.560:0.561) (0.575:0.575:0.575)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1269) - (DELAY - (ABSOLUTE - (IOPATH A X (0.582:0.582:0.582) (0.594:0.594:0.594)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1270) - (DELAY - (ABSOLUTE - (IOPATH A X (0.538:0.539:0.540) (0.556:0.556:0.556)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1271) - (DELAY - (ABSOLUTE - (IOPATH A X (0.581:0.581:0.581) (0.593:0.593:0.593)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1272) - (DELAY - (ABSOLUTE - (IOPATH A X (0.579:0.580:0.580) (0.591:0.592:0.592)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1273) - (DELAY - (ABSOLUTE - (IOPATH A X (0.580:0.580:0.580) (0.593:0.593:0.593)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1274) - (DELAY - (ABSOLUTE - (IOPATH A X (0.545:0.546:0.547) (0.562:0.562:0.563)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1275) - (DELAY - (ABSOLUTE - (IOPATH A X (0.587:0.587:0.587) (0.593:0.593:0.593)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1276) - (DELAY - (ABSOLUTE - (IOPATH A X (0.566:0.566:0.567) (0.579:0.580:0.580)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1277) - (DELAY - (ABSOLUTE - (IOPATH A X (0.579:0.579:0.579) (0.586:0.586:0.586)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1278) - (DELAY - (ABSOLUTE - (IOPATH A X (0.548:0.549:0.549) (0.564:0.565:0.565)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1279) - (DELAY - (ABSOLUTE - (IOPATH A X (0.575:0.575:0.575) (0.588:0.588:0.588)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1280) - (DELAY - (ABSOLUTE - (IOPATH A X (0.541:0.542:0.543) (0.558:0.558:0.558)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1281) - (DELAY - (ABSOLUTE - (IOPATH A X (0.599:0.599:0.599) (0.597:0.597:0.597)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1282) - (DELAY - (ABSOLUTE - (IOPATH A X (0.542:0.543:0.544) (0.557:0.558:0.558)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1283) - (DELAY - (ABSOLUTE - (IOPATH A X (0.590:0.590:0.590) (0.596:0.596:0.596)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1284) - (DELAY - (ABSOLUTE - (IOPATH A X (0.603:0.604:0.604) (0.610:0.610:0.610)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1285) - (DELAY - (ABSOLUTE - (IOPATH A X (0.536:0.536:0.536) (0.549:0.549:0.549)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1286) - (DELAY - (ABSOLUTE - (IOPATH A X (0.553:0.553:0.554) (0.568:0.568:0.569)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1287) - (DELAY - (ABSOLUTE - (IOPATH A X (0.625:0.625:0.625) (0.618:0.618:0.618)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1288) - (DELAY - (ABSOLUTE - (IOPATH A X (0.587:0.588:0.588) (0.597:0.597:0.598)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1289) - (DELAY - (ABSOLUTE - (IOPATH A X (0.591:0.591:0.591) (0.598:0.598:0.598)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1290) - (DELAY - (ABSOLUTE - (IOPATH A X (0.533:0.534:0.534) (0.550:0.550:0.550)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1291) - (DELAY - (ABSOLUTE - (IOPATH A X (0.557:0.557:0.557) (0.562:0.562:0.562)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1292) - (DELAY - (ABSOLUTE - (IOPATH A X (0.569:0.569:0.570) (0.582:0.582:0.582)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1293) - (DELAY - (ABSOLUTE - (IOPATH A X (0.787:0.787:0.787) (0.724:0.724:0.724)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1294) - (DELAY - (ABSOLUTE - (IOPATH A X (0.703:0.703:0.703) (0.686:0.686:0.686)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1295) - (DELAY - (ABSOLUTE - (IOPATH A X (0.568:0.568:0.568) (0.573:0.573:0.573)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1296) - (DELAY - (ABSOLUTE - (IOPATH A X (0.538:0.539:0.540) (0.556:0.556:0.556)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1297) - (DELAY - (ABSOLUTE - (IOPATH A X (0.561:0.561:0.561) (0.569:0.569:0.569)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1298) - (DELAY - (ABSOLUTE - (IOPATH A X (0.543:0.543:0.544) (0.560:0.560:0.560)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1299) - (DELAY - (ABSOLUTE - (IOPATH A X (0.655:0.655:0.655) (0.650:0.650:0.650)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1300) - (DELAY - (ABSOLUTE - (IOPATH A X (0.624:0.625:0.625) (0.624:0.624:0.625)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1301) - (DELAY - (ABSOLUTE - (IOPATH A X (0.593:0.593:0.593) (0.598:0.598:0.598)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1302) - (DELAY - (ABSOLUTE - (IOPATH A X (0.545:0.545:0.546) (0.561:0.561:0.561)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1303) - (DELAY - (ABSOLUTE - (IOPATH A X (0.644:0.644:0.644) (0.644:0.644:0.644)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1304) - (DELAY - (ABSOLUTE - (IOPATH A X (0.621:0.622:0.622) (0.623:0.623:0.623)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1305) - (DELAY - (ABSOLUTE - (IOPATH A X (0.625:0.625:0.625) (0.616:0.616:0.616)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1306) - (DELAY - (ABSOLUTE - (IOPATH A X (0.618:0.618:0.618) (0.612:0.612:0.612)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1307) - (DELAY - (ABSOLUTE - (IOPATH A X (0.617:0.617:0.617) (0.611:0.611:0.611)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1308) - (DELAY - (ABSOLUTE - (IOPATH A X (0.629:0.629:0.629) (0.619:0.619:0.619)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1309) - (DELAY - (ABSOLUTE - (IOPATH A X (0.560:0.560:0.561) (0.574:0.574:0.574)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1310) - (DELAY - (ABSOLUTE - (IOPATH A X (0.565:0.565:0.565) (0.570:0.570:0.570)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1311) - (DELAY - (ABSOLUTE - (IOPATH A X (0.645:0.645:0.645) (0.639:0.639:0.639)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1312) - (DELAY - (ABSOLUTE - (IOPATH A X (0.574:0.575:0.575) (0.586:0.586:0.587)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1313) - (DELAY - (ABSOLUTE - (IOPATH A X (0.602:0.602:0.602) (0.602:0.602:0.602)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1314) - (DELAY - (ABSOLUTE - (IOPATH A X (0.543:0.543:0.543) (0.550:0.550:0.550)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1315) - (DELAY - (ABSOLUTE - (IOPATH A X (0.539:0.540:0.541) (0.555:0.556:0.556)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1316) - (DELAY - (ABSOLUTE - (IOPATH A X (0.618:0.618:0.618) (0.627:0.627:0.627)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1317) - (DELAY - (ABSOLUTE - (IOPATH A X (0.592:0.592:0.592) (0.597:0.597:0.597)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1318) - (DELAY - (ABSOLUTE - (IOPATH A X (0.617:0.617:0.617) (0.618:0.618:0.618)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1319) - (DELAY - (ABSOLUTE - (IOPATH A X (0.577:0.577:0.577) (0.589:0.589:0.589)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1320) - (DELAY - (ABSOLUTE - (IOPATH A X (0.568:0.568:0.568) (0.582:0.582:0.582)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1321) - (DELAY - (ABSOLUTE - (IOPATH A X (0.584:0.584:0.584) (0.595:0.595:0.595)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1322) - (DELAY - (ABSOLUTE - (IOPATH A X (0.614:0.614:0.614) (0.621:0.621:0.621)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1323) - (DELAY - (ABSOLUTE - (IOPATH A X (0.616:0.616:0.616) (0.617:0.617:0.617)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1324) - (DELAY - (ABSOLUTE - (IOPATH A X (0.598:0.598:0.598) (0.593:0.593:0.593)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1325) - (DELAY - (ABSOLUTE - (IOPATH A X (0.607:0.607:0.607) (0.615:0.615:0.615)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1326) - (DELAY - (ABSOLUTE - (IOPATH A X (0.614:0.614:0.614) (0.626:0.626:0.626)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1327) - (DELAY - (ABSOLUTE - (IOPATH A X (0.588:0.588:0.588) (0.589:0.589:0.589)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1328) - (DELAY - (ABSOLUTE - (IOPATH A X (0.581:0.581:0.581) (0.579:0.579:0.579)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1329) - (DELAY - (ABSOLUTE - (IOPATH A X (0.583:0.583:0.583) (0.577:0.577:0.577)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1330) - (DELAY - (ABSOLUTE - (IOPATH A X (0.663:0.663:0.663) (0.647:0.647:0.647)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1331) - (DELAY - (ABSOLUTE - (IOPATH A X (0.616:0.616:0.616) (0.612:0.612:0.612)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1332) - (DELAY - (ABSOLUTE - (IOPATH A X (0.599:0.599:0.599) (0.604:0.604:0.604)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1333) - (DELAY - (ABSOLUTE - (IOPATH A X (0.659:0.659:0.659) (0.640:0.640:0.640)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1334) - (DELAY - (ABSOLUTE - (IOPATH A X (0.683:0.684:0.684) (0.663:0.664:0.664)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1335) - (DELAY - (ABSOLUTE - (IOPATH A X (0.599:0.599:0.599) (0.596:0.596:0.596)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1336) - (DELAY - (ABSOLUTE - (IOPATH A X (0.577:0.577:0.577) (0.579:0.579:0.579)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1337) - (DELAY - (ABSOLUTE - (IOPATH A X (0.559:0.560:0.561) (0.573:0.573:0.573)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1338) - (DELAY - (ABSOLUTE - (IOPATH A X (0.738:0.738:0.738) (0.693:0.693:0.693)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1339) - (DELAY - (ABSOLUTE - (IOPATH A X (0.757:0.757:0.757) (0.712:0.712:0.712)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1340) - (DELAY - (ABSOLUTE - (IOPATH A X (0.850:0.850:0.851) (0.732:0.737:0.742)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1341) - (DELAY - (ABSOLUTE - (IOPATH A X (0.591:0.591:0.591) (0.592:0.592:0.592)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1342) - (DELAY - (ABSOLUTE - (IOPATH A X (0.534:0.536:0.537) (0.550:0.550:0.550)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1343) - (DELAY - (ABSOLUTE - (IOPATH A X (0.589:0.589:0.589) (0.590:0.590:0.590)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1344) - (DELAY - (ABSOLUTE - (IOPATH A X (0.630:0.630:0.630) (0.622:0.622:0.622)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1345) - (DELAY - (ABSOLUTE - (IOPATH A X (0.853:0.853:0.853) (0.741:0.742:0.742)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1346) - (DELAY - (ABSOLUTE - (IOPATH A X (0.726:0.726:0.726) (0.704:0.714:0.725)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1347) - (DELAY - (ABSOLUTE - (IOPATH A X (0.620:0.620:0.620) (0.580:0.586:0.591)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1348) - (DELAY - (ABSOLUTE - (IOPATH A X (0.550:0.551:0.553) (0.565:0.565:0.565)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1349) - (DELAY - (ABSOLUTE - (IOPATH A X (0.559:0.559:0.559) (0.565:0.565:0.565)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1350) - (DELAY - (ABSOLUTE - (IOPATH A X (0.578:0.578:0.578) (0.581:0.581:0.581)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1351) - (DELAY - (ABSOLUTE - (IOPATH A X (0.533:0.535:0.536) (0.549:0.549:0.549)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1352) - (DELAY - (ABSOLUTE - (IOPATH A X (0.590:0.590:0.590) (0.590:0.590:0.590)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1353) - (DELAY - (ABSOLUTE - (IOPATH A X (0.543:0.544:0.545) (0.559:0.559:0.559)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1354) - (DELAY - (ABSOLUTE - (IOPATH A X (0.576:0.576:0.576) (0.578:0.578:0.578)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1355) - (DELAY - (ABSOLUTE - (IOPATH A X (0.543:0.544:0.545) (0.559:0.559:0.559)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1356) - (DELAY - (ABSOLUTE - (IOPATH A X (0.599:0.599:0.599) (0.599:0.599:0.599)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1357) - (DELAY - (ABSOLUTE - (IOPATH A X (0.549:0.550:0.550) (0.564:0.564:0.564)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1358) - (DELAY - (ABSOLUTE - (IOPATH A X (0.719:0.719:0.719) (0.677:0.677:0.677)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1359) - (DELAY - (ABSOLUTE - (IOPATH A X (0.544:0.545:0.546) (0.549:0.553:0.557)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1360) - (DELAY - (ABSOLUTE - (IOPATH A X (0.585:0.585:0.585) (0.587:0.587:0.587)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1361) - (DELAY - (ABSOLUTE - (IOPATH A X (0.632:0.632:0.632) (0.658:0.658:0.658)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1362) - (DELAY - (ABSOLUTE - (IOPATH A X (0.694:0.694:0.695) (0.672:0.672:0.672)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1363) - (DELAY - (ABSOLUTE - (IOPATH A X (0.613:0.613:0.613) (0.608:0.608:0.608)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1364) - (DELAY - (ABSOLUTE - (IOPATH A X (0.597:0.598:0.598) (0.603:0.604:0.604)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1365) - (DELAY - (ABSOLUTE - (IOPATH A X (0.600:0.600:0.600) (0.599:0.599:0.599)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1366) - (DELAY - (ABSOLUTE - (IOPATH A X (0.596:0.596:0.596) (0.604:0.604:0.604)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1367) - (DELAY - (ABSOLUTE - (IOPATH A X (0.596:0.596:0.596) (0.594:0.594:0.594)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1368) - (DELAY - (ABSOLUTE - (IOPATH A X (0.592:0.593:0.593) (0.601:0.601:0.601)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1369) - (DELAY - (ABSOLUTE - (IOPATH A X (0.591:0.591:0.591) (0.592:0.592:0.592)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1370) - (DELAY - (ABSOLUTE - (IOPATH A X (0.564:0.564:0.564) (0.575:0.575:0.575)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1371) - (DELAY - (ABSOLUTE - (IOPATH A X (0.571:0.571:0.571) (0.581:0.581:0.581)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1372) - (DELAY - (ABSOLUTE - (IOPATH A X (0.582:0.582:0.582) (0.585:0.585:0.585)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1373) - (DELAY - (ABSOLUTE - (IOPATH A X (0.572:0.572:0.572) (0.583:0.583:0.583)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1374) - (DELAY - (ABSOLUTE - (IOPATH A X (0.573:0.573:0.573) (0.581:0.581:0.581)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1375) - (DELAY - (ABSOLUTE - (IOPATH A X (0.772:0.772:0.772) (0.708:0.708:0.708)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1376) - (DELAY - (ABSOLUTE - (IOPATH A X (0.929:0.930:0.930) (0.772:0.774:0.776)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1377) - (DELAY - (ABSOLUTE - (IOPATH A X (0.711:0.711:0.711) (0.673:0.673:0.673)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1378) - (DELAY - (ABSOLUTE - (IOPATH A X (0.580:0.580:0.580) (0.583:0.583:0.583)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1379) - (DELAY - (ABSOLUTE - (IOPATH A X (0.551:0.551:0.551) (0.558:0.558:0.558)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1380) - (DELAY - (ABSOLUTE - (IOPATH A X (0.585:0.585:0.585) (0.586:0.586:0.586)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1381) - (DELAY - (ABSOLUTE - (IOPATH A X (0.547:0.547:0.547) (0.555:0.555:0.555)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1382) - (DELAY - (ABSOLUTE - (IOPATH A X (0.555:0.556:0.557) (0.570:0.570:0.571)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1383) - (DELAY - (ABSOLUTE - (IOPATH A X (0.544:0.544:0.544) (0.551:0.551:0.551)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1384) - (DELAY - (ABSOLUTE - (IOPATH A X (0.553:0.553:0.553) (0.560:0.560:0.560)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1385) - (DELAY - (ABSOLUTE - (IOPATH A X (0.548:0.548:0.549) (0.564:0.565:0.565)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1386) - (DELAY - (ABSOLUTE - (IOPATH A X (0.559:0.559:0.559) (0.564:0.564:0.564)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1387) - (DELAY - (ABSOLUTE - (IOPATH A X (0.556:0.556:0.556) (0.560:0.560:0.560)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1388) - (DELAY - (ABSOLUTE - (IOPATH A X (0.578:0.578:0.578) (0.581:0.581:0.581)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1389) - (DELAY - (ABSOLUTE - (IOPATH A X (0.539:0.539:0.540) (0.556:0.556:0.556)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1390) - (DELAY - (ABSOLUTE - (IOPATH A X (0.658:0.658:0.658) (0.647:0.647:0.647)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1391) - (DELAY - (ABSOLUTE - (IOPATH A X (0.574:0.574:0.574) (0.578:0.578:0.578)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1392) - (DELAY - (ABSOLUTE - (IOPATH A X (0.550:0.551:0.551) (0.566:0.567:0.567)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1393) - (DELAY - (ABSOLUTE - (IOPATH A X (0.568:0.568:0.568) (0.571:0.571:0.571)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1394) - (DELAY - (ABSOLUTE - (IOPATH A X (0.547:0.547:0.547) (0.554:0.554:0.554)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1395) - (DELAY - (ABSOLUTE - (IOPATH A X (0.571:0.571:0.571) (0.575:0.575:0.575)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1396) - (DELAY - (ABSOLUTE - (IOPATH A X (0.551:0.551:0.551) (0.567:0.567:0.567)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1397) - (DELAY - (ABSOLUTE - (IOPATH A X (0.559:0.559:0.559) (0.565:0.565:0.565)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1398) - (DELAY - (ABSOLUTE - (IOPATH A X (0.546:0.546:0.546) (0.554:0.554:0.554)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1399) - (DELAY - (ABSOLUTE - (IOPATH A X (0.558:0.558:0.558) (0.565:0.565:0.565)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1400) - (DELAY - (ABSOLUTE - (IOPATH A X (0.576:0.576:0.576) (0.578:0.578:0.578)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1401) - (DELAY - (ABSOLUTE - (IOPATH A X (0.599:0.599:0.599) (0.599:0.599:0.599)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1402) - (DELAY - (ABSOLUTE - (IOPATH A X (0.582:0.582:0.582) (0.583:0.583:0.583)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1403) - (DELAY - (ABSOLUTE - (IOPATH A X (0.549:0.550:0.551) (0.565:0.565:0.565)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1404) - (DELAY - (ABSOLUTE - (IOPATH A X (0.543:0.543:0.543) (0.551:0.551:0.551)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1405) - (DELAY - (ABSOLUTE - (IOPATH A X (0.558:0.558:0.558) (0.563:0.563:0.563)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1406) - (DELAY - (ABSOLUTE - (IOPATH A X (0.580:0.580:0.580) (0.582:0.582:0.582)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1407) - (DELAY - (ABSOLUTE - (IOPATH A X (0.580:0.580:0.580) (0.584:0.584:0.584)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1408) - (DELAY - (ABSOLUTE - (IOPATH A X (0.537:0.538:0.538) (0.554:0.554:0.554)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1409) - (DELAY - (ABSOLUTE - (IOPATH A X (0.547:0.547:0.547) (0.555:0.555:0.555)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1410) - (DELAY - (ABSOLUTE - (IOPATH A X (0.543:0.543:0.543) (0.550:0.550:0.550)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1411) - (DELAY - (ABSOLUTE - (IOPATH A X (0.570:0.570:0.570) (0.574:0.574:0.574)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1412) - (DELAY - (ABSOLUTE - (IOPATH A X (0.717:0.717:0.717) (0.677:0.677:0.677)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1413) - (DELAY - (ABSOLUTE - (IOPATH A X (0.553:0.554:0.554) (0.559:0.560:0.561)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1414) - (DELAY - (ABSOLUTE - (IOPATH A X (0.594:0.594:0.594) (0.594:0.594:0.594)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1415) - (DELAY - (ABSOLUTE - (IOPATH A X (0.558:0.558:0.559) (0.573:0.573:0.573)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1416) - (DELAY - (ABSOLUTE - (IOPATH A X (0.560:0.560:0.560) (0.566:0.566:0.566)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1417) - (DELAY - (ABSOLUTE - (IOPATH A X (0.562:0.562:0.562) (0.567:0.567:0.567)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1418) - (DELAY - (ABSOLUTE - (IOPATH A X (0.574:0.574:0.574) (0.577:0.577:0.577)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1419) - (DELAY - (ABSOLUTE - (IOPATH A X (0.550:0.550:0.550) (0.557:0.557:0.557)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1420) - (DELAY - (ABSOLUTE - (IOPATH A X (0.544:0.544:0.544) (0.551:0.551:0.551)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1421) - (DELAY - (ABSOLUTE - (IOPATH A X (0.765:0.765:0.765) (0.703:0.703:0.703)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1422) - (DELAY - (ABSOLUTE - (IOPATH A X (0.540:0.540:0.540) (0.547:0.547:0.547)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1423) - (DELAY - (ABSOLUTE - (IOPATH A X (0.647:0.647:0.647) (0.641:0.641:0.641)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1424) - (DELAY - (ABSOLUTE - (IOPATH A X (0.661:0.661:0.661) (0.640:0.640:0.640)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1425) - (DELAY - (ABSOLUTE - (IOPATH A X (0.583:0.593:0.603) (0.570:0.577:0.584)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1426) - (DELAY - (ABSOLUTE - (IOPATH A X (0.568:0.568:0.568) (0.574:0.574:0.574)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1427) - (DELAY - (ABSOLUTE - (IOPATH A X (0.562:0.562:0.562) (0.568:0.568:0.568)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1428) - (DELAY - (ABSOLUTE - (IOPATH A X (0.582:0.582:0.582) (0.585:0.585:0.585)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1429) - (DELAY - (ABSOLUTE - (IOPATH A X (0.588:0.588:0.588) (0.589:0.589:0.589)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1430) - (DELAY - (ABSOLUTE - (IOPATH A X (0.542:0.542:0.542) (0.549:0.549:0.549)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1431) - (DELAY - (ABSOLUTE - (IOPATH A X (0.560:0.560:0.560) (0.562:0.566:0.570)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1432) - (DELAY - (ABSOLUTE - (IOPATH A X (0.622:0.622:0.622) (0.619:0.619:0.619)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1433) - (DELAY - (ABSOLUTE - (IOPATH A X (0.561:0.561:0.561) (0.568:0.568:0.568)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1434) - (DELAY - (ABSOLUTE - (IOPATH A X (0.580:0.580:0.580) (0.583:0.583:0.583)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1435) - (DELAY - (ABSOLUTE - (IOPATH A X (0.597:0.597:0.597) (0.597:0.597:0.597)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1436) - (DELAY - (ABSOLUTE - (IOPATH A X (0.578:0.578:0.578) (0.582:0.583:0.584)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1437) - (DELAY - (ABSOLUTE - (IOPATH A X (0.559:0.559:0.559) (0.564:0.564:0.564)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1438) - (DELAY - (ABSOLUTE - (IOPATH A X (0.631:0.631:0.631) (0.631:0.631:0.631)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1439) - (DELAY - (ABSOLUTE - (IOPATH A X (0.581:0.581:0.581) (0.583:0.583:0.583)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1440) - (DELAY - (ABSOLUTE - (IOPATH A X (0.562:0.562:0.562) (0.558:0.558:0.558)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1441) - (DELAY - (ABSOLUTE - (IOPATH A X (0.561:0.561:0.561) (0.558:0.558:0.558)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1442) - (DELAY - (ABSOLUTE - (IOPATH A X (0.576:0.576:0.576) (0.580:0.580:0.580)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1443) - (DELAY - (ABSOLUTE - (IOPATH A X (0.562:0.562:0.562) (0.559:0.559:0.559)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1444) - (DELAY - (ABSOLUTE - (IOPATH A X (0.597:0.597:0.597) (0.589:0.589:0.589)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1445) - (DELAY - (ABSOLUTE - (IOPATH A X (0.571:0.571:0.571) (0.569:0.569:0.569)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1446) - (DELAY - (ABSOLUTE - (IOPATH A X (0.556:0.560:0.565) (0.556:0.559:0.562)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1447) - (DELAY - (ABSOLUTE - (IOPATH A X (0.605:0.605:0.605) (0.607:0.607:0.607)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1448) - (DELAY - (ABSOLUTE - (IOPATH A X (0.613:0.613:0.613) (0.604:0.604:0.604)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1449) - (DELAY - (ABSOLUTE - (IOPATH A X (0.625:0.625:0.625) (0.614:0.614:0.614)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1450) - (DELAY - (ABSOLUTE - (IOPATH A X (0.620:0.620:0.620) (0.611:0.611:0.611)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1451) - (DELAY - (ABSOLUTE - (IOPATH A X (0.612:0.612:0.612) (0.603:0.603:0.603)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1452) - (DELAY - (ABSOLUTE - (IOPATH A X (0.567:0.567:0.567) (0.564:0.564:0.564)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1453) - (DELAY - (ABSOLUTE - (IOPATH A X (0.573:0.573:0.573) (0.579:0.579:0.579)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1454) - (DELAY - (ABSOLUTE - (IOPATH A X (0.565:0.565:0.565) (0.561:0.561:0.561)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1455) - (DELAY - (ABSOLUTE - (IOPATH A X (0.578:0.578:0.578) (0.585:0.585:0.585)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1456) - (DELAY - (ABSOLUTE - (IOPATH A X (0.567:0.567:0.567) (0.563:0.563:0.563)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1457) - (DELAY - (ABSOLUTE - (IOPATH A X (0.623:0.623:0.623) (0.611:0.611:0.611)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1458) - (DELAY - (ABSOLUTE - (IOPATH A X (0.685:0.685:0.685) (0.671:0.671:0.671)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1459) - (DELAY - (ABSOLUTE - (IOPATH A X (0.619:0.619:0.619) (0.610:0.610:0.610)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1460) - (DELAY - (ABSOLUTE - (IOPATH A X (0.560:0.560:0.560) (0.565:0.565:0.565)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1461) - (DELAY - (ABSOLUTE - (IOPATH A X (0.601:0.601:0.601) (0.595:0.595:0.595)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1462) - (DELAY - (ABSOLUTE - (IOPATH A X (0.564:0.564:0.564) (0.561:0.561:0.561)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1463) - (DELAY - (ABSOLUTE - (IOPATH A X (0.587:0.587:0.587) (0.591:0.591:0.591)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1464) - (DELAY - (ABSOLUTE - (IOPATH A X (0.606:0.606:0.606) (0.597:0.597:0.597)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1465) - (DELAY - (ABSOLUTE - (IOPATH A X (0.565:0.565:0.565) (0.562:0.562:0.562)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1466) - (DELAY - (ABSOLUTE - (IOPATH A X (0.618:0.618:0.618) (0.609:0.609:0.609)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1467) - (DELAY - (ABSOLUTE - (IOPATH A X (0.597:0.597:0.597) (0.597:0.597:0.597)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1468) - (DELAY - (ABSOLUTE - (IOPATH A X (0.607:0.607:0.607) (0.604:0.604:0.604)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1469) - (DELAY - (ABSOLUTE - (IOPATH A X (0.629:0.629:0.629) (0.616:0.616:0.616)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1470) - (DELAY - (ABSOLUTE - (IOPATH A X (0.634:0.634:0.634) (0.623:0.623:0.623)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1471) - (DELAY - (ABSOLUTE - (IOPATH A X (0.556:0.557:0.558) (0.563:0.563:0.564)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1472) - (DELAY - (ABSOLUTE - (IOPATH A X (0.554:0.554:0.554) (0.560:0.560:0.560)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1473) - (DELAY - (ABSOLUTE - (IOPATH A X (0.554:0.554:0.554) (0.561:0.561:0.561)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1474) - (DELAY - (ABSOLUTE - (IOPATH A X (0.541:0.541:0.541) (0.550:0.550:0.550)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1475) - (DELAY - (ABSOLUTE - (IOPATH A X (0.575:0.575:0.575) (0.573:0.573:0.573)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1476) - (DELAY - (ABSOLUTE - (IOPATH A X (0.606:0.606:0.606) (0.619:0.619:0.619)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1477) - (DELAY - (ABSOLUTE - (IOPATH A X (0.577:0.577:0.577) (0.588:0.588:0.588)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1478) - (DELAY - (ABSOLUTE - (IOPATH A X (0.654:0.654:0.654) (0.636:0.636:0.636)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1479) - (DELAY - (ABSOLUTE - (IOPATH A X (0.542:0.542:0.542) (0.550:0.550:0.550)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1480) - (DELAY - (ABSOLUTE - (IOPATH A X (0.537:0.537:0.537) (0.545:0.545:0.545)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1481) - (DELAY - (ABSOLUTE - (IOPATH A X (0.548:0.548:0.548) (0.554:0.554:0.554)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1482) - (DELAY - (ABSOLUTE - (IOPATH A X (0.540:0.540:0.540) (0.549:0.549:0.549)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1483) - (DELAY - (ABSOLUTE - (IOPATH A X (0.532:0.532:0.532) (0.540:0.540:0.540)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1484) - (DELAY - (ABSOLUTE - (IOPATH A X (0.585:0.585:0.585) (0.583:0.583:0.583)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1485) - (DELAY - (ABSOLUTE - (IOPATH A X (0.586:0.586:0.586) (0.587:0.587:0.587)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1486) - (DELAY - (ABSOLUTE - (IOPATH A X (0.536:0.536:0.536) (0.545:0.545:0.545)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1487) - (DELAY - (ABSOLUTE - (IOPATH A X (0.533:0.533:0.533) (0.542:0.542:0.542)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1488) - (DELAY - (ABSOLUTE - (IOPATH A X (0.632:0.632:0.632) (0.621:0.621:0.621)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1489) - (DELAY - (ABSOLUTE - (IOPATH A X (0.572:0.572:0.572) (0.569:0.569:0.569)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1490) - (DELAY - (ABSOLUTE - (IOPATH A X (0.555:0.555:0.555) (0.562:0.562:0.562)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1491) - (DELAY - (ABSOLUTE - (IOPATH A X (0.633:0.633:0.633) (0.625:0.625:0.625)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1492) - (DELAY - (ABSOLUTE - (IOPATH A X (0.539:0.539:0.539) (0.548:0.548:0.548)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1493) - (DELAY - (ABSOLUTE - (IOPATH A X (0.598:0.598:0.598) (0.605:0.605:0.605)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1494) - (DELAY - (ABSOLUTE - (IOPATH A X (0.578:0.578:0.578) (0.585:0.585:0.585)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1495) - (DELAY - (ABSOLUTE - (IOPATH A X (0.553:0.553:0.553) (0.558:0.558:0.558)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1496) - (DELAY - (ABSOLUTE - (IOPATH A X (0.535:0.535:0.535) (0.544:0.544:0.544)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1497) - (DELAY - (ABSOLUTE - (IOPATH A X (0.550:0.550:0.550) (0.557:0.557:0.557)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1498) - (DELAY - (ABSOLUTE - (IOPATH A X (0.585:0.585:0.585) (0.591:0.591:0.591)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1499) - (DELAY - (ABSOLUTE - (IOPATH A X (0.599:0.599:0.599) (0.596:0.596:0.596)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1500) - (DELAY - (ABSOLUTE - (IOPATH A X (0.550:0.550:0.550) (0.556:0.556:0.556)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1501) - (DELAY - (ABSOLUTE - (IOPATH A X (0.587:0.587:0.587) (0.590:0.590:0.590)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1502) - (DELAY - (ABSOLUTE - (IOPATH A X (0.548:0.548:0.548) (0.553:0.553:0.553)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1503) - (DELAY - (ABSOLUTE - (IOPATH A X (0.594:0.594:0.594) (0.606:0.606:0.606)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1504) - (DELAY - (ABSOLUTE - (IOPATH A X (0.545:0.545:0.545) (0.554:0.554:0.554)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1505) - (DELAY - (ABSOLUTE - (IOPATH A X (0.633:0.633:0.633) (0.622:0.622:0.622)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1506) - (DELAY - (ABSOLUTE - (IOPATH A X (0.598:0.598:0.598) (0.601:0.601:0.601)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1507) - (DELAY - (ABSOLUTE - (IOPATH A X (0.559:0.559:0.559) (0.564:0.564:0.564)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1508) - (DELAY - (ABSOLUTE - (IOPATH A X (0.599:0.599:0.599) (0.607:0.607:0.607)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1509) - (DELAY - (ABSOLUTE - (IOPATH A X (0.571:0.571:0.571) (0.575:0.575:0.575)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1510) - (DELAY - (ABSOLUTE - (IOPATH A X (0.562:0.562:0.562) (0.567:0.567:0.567)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1511) - (DELAY - (ABSOLUTE - (IOPATH A X (0.613:0.613:0.613) (0.639:0.639:0.639)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1512) - (DELAY - (ABSOLUTE - (IOPATH A X (0.554:0.554:0.554) (0.559:0.559:0.559)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1513) - (DELAY - (ABSOLUTE - (IOPATH A X (0.576:0.576:0.576) (0.580:0.580:0.580)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1514) - (DELAY - (ABSOLUTE - (IOPATH A X (0.593:0.593:0.593) (0.599:0.599:0.599)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1515) - (DELAY - (ABSOLUTE - (IOPATH A X (0.622:0.622:0.622) (0.646:0.646:0.646)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1516) - (DELAY - (ABSOLUTE - (IOPATH A X (0.560:0.560:0.560) (0.564:0.564:0.564)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1517) - (DELAY - (ABSOLUTE - (IOPATH A X (0.601:0.601:0.601) (0.597:0.597:0.597)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1518) - (DELAY - (ABSOLUTE - (IOPATH A X (0.560:0.560:0.560) (0.565:0.565:0.565)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1519) - (DELAY - (ABSOLUTE - (IOPATH A X (0.545:0.545:0.545) (0.553:0.553:0.553)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1520) - (DELAY - (ABSOLUTE - (IOPATH A X (0.621:0.621:0.621) (0.625:0.625:0.625)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1521) - (DELAY - (ABSOLUTE - (IOPATH A X (0.598:0.598:0.598) (0.600:0.600:0.600)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1522) - (DELAY - (ABSOLUTE - (IOPATH A X (0.555:0.555:0.555) (0.560:0.560:0.560)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1523) - (DELAY - (ABSOLUTE - (IOPATH A X (0.553:0.553:0.553) (0.558:0.558:0.558)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1524) - (DELAY - (ABSOLUTE - (IOPATH A X (0.575:0.575:0.575) (0.581:0.581:0.581)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1525) - (DELAY - (ABSOLUTE - (IOPATH A X (0.613:0.613:0.613) (0.614:0.614:0.614)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1526) - (DELAY - (ABSOLUTE - (IOPATH A X (0.561:0.561:0.561) (0.567:0.567:0.567)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1527) - (DELAY - (ABSOLUTE - (IOPATH A X (0.574:0.574:0.574) (0.571:0.571:0.571)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1528) - (DELAY - (ABSOLUTE - (IOPATH A X (0.590:0.590:0.590) (0.591:0.591:0.591)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") - (INSTANCE hold1529) - (DELAY - (ABSOLUTE - (IOPATH A X (0.570:0.570:0.570) (0.575:0.575:0.575)) - ) - ) - ) -) diff --git a/sdf/mgmt_protect.sdf b/sdf/mgmt_protect.sdf deleted file mode 100644 index a50d13ff..00000000 --- a/sdf/mgmt_protect.sdf +++ /dev/null @@ -1,22158 +0,0 @@ -(DELAYFILE - (SDFVERSION "3.0") - (DESIGN "mgmt_protect") - (DATE "Thu Oct 13 17:01:28 2022") - (VENDOR "Parallax") - (PROGRAM "STA") - (VERSION "2.3.1") - (DIVIDER .) - (VOLTAGE 1.800::1.800) - (PROCESS "1.000::1.000") - (TEMPERATURE 25.000::25.000) - (TIMESCALE 1ns) - (CELL - (CELLTYPE "mgmt_protect") - (INSTANCE) - (DELAY - (ABSOLUTE - (INTERCONNECT caravel_clk input1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT caravel_clk2 input2.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT caravel_rstn input3.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_core[0] user_to_mprj_in_gates\[0\].A (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT la_data_out_core[100] user_to_mprj_in_gates\[100\].A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT la_data_out_core[101] user_to_mprj_in_gates\[101\].A (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT la_data_out_core[102] user_to_mprj_in_gates\[102\].A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT la_data_out_core[103] user_to_mprj_in_gates\[103\].A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT la_data_out_core[104] user_to_mprj_in_gates\[104\].A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT la_data_out_core[105] user_to_mprj_in_gates\[105\].A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT la_data_out_core[106] user_to_mprj_in_gates\[106\].A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT la_data_out_core[107] user_to_mprj_in_gates\[107\].A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT la_data_out_core[108] user_to_mprj_in_gates\[108\].A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT la_data_out_core[109] user_to_mprj_in_gates\[109\].A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT la_data_out_core[10] user_to_mprj_in_gates\[10\].A (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT la_data_out_core[10] ANTENNA_5.DIODE (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT la_data_out_core[10] ANTENNA_2621.DIODE (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT la_data_out_core[110] user_to_mprj_in_gates\[110\].A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT la_data_out_core[111] user_to_mprj_in_gates\[111\].A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT la_data_out_core[112] user_to_mprj_in_gates\[112\].A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT la_data_out_core[113] user_to_mprj_in_gates\[113\].A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT la_data_out_core[114] user_to_mprj_in_gates\[114\].A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT la_data_out_core[115] user_to_mprj_in_gates\[115\].A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT la_data_out_core[116] user_to_mprj_in_gates\[116\].A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT la_data_out_core[117] user_to_mprj_in_gates\[117\].A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT la_data_out_core[118] user_to_mprj_in_gates\[118\].A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT la_data_out_core[119] user_to_mprj_in_gates\[119\].A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT la_data_out_core[11] user_to_mprj_in_gates\[11\].A (0.038:0.038:0.038) (0.037:0.037:0.037)) - (INTERCONNECT la_data_out_core[120] user_to_mprj_in_gates\[120\].A (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT la_data_out_core[121] user_to_mprj_in_gates\[121\].A (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT la_data_out_core[122] user_to_mprj_in_gates\[122\].A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT la_data_out_core[123] user_to_mprj_in_gates\[123\].A (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT la_data_out_core[124] user_to_mprj_in_gates\[124\].A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT la_data_out_core[125] user_to_mprj_in_gates\[125\].A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT la_data_out_core[126] user_to_mprj_in_gates\[126\].A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT la_data_out_core[127] user_to_mprj_in_gates\[127\].A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT la_data_out_core[12] user_to_mprj_in_gates\[12\].A (0.037:0.037:0.037) (0.037:0.037:0.037)) - (INTERCONNECT la_data_out_core[13] user_to_mprj_in_gates\[13\].A (0.039:0.039:0.039) (0.039:0.039:0.039)) - (INTERCONNECT la_data_out_core[14] user_to_mprj_in_gates\[14\].A (0.035:0.035:0.035) (0.034:0.034:0.034)) - (INTERCONNECT la_data_out_core[15] user_to_mprj_in_gates\[15\].A (0.025:0.025:0.025) (0.025:0.025:0.025)) - (INTERCONNECT la_data_out_core[16] user_to_mprj_in_gates\[16\].A (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT la_data_out_core[16] ANTENNA_2070.DIODE (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT la_data_out_core[17] user_to_mprj_in_gates\[17\].A (0.026:0.026:0.026) (0.026:0.026:0.026)) - (INTERCONNECT la_data_out_core[17] ANTENNA_6.DIODE (0.026:0.026:0.026) (0.026:0.026:0.026)) - (INTERCONNECT la_data_out_core[18] user_to_mprj_in_gates\[18\].A (0.024:0.024:0.024) (0.024:0.024:0.024)) - (INTERCONNECT la_data_out_core[19] user_to_mprj_in_gates\[19\].A (0.028:0.028:0.028) (0.028:0.028:0.028)) - (INTERCONNECT la_data_out_core[1] user_to_mprj_in_gates\[1\].A (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT la_data_out_core[20] user_to_mprj_in_gates\[20\].A (0.045:0.045:0.045) (0.045:0.045:0.045)) - (INTERCONNECT la_data_out_core[20] ANTENNA_7.DIODE (0.045:0.045:0.045) (0.045:0.045:0.045)) - (INTERCONNECT la_data_out_core[21] user_to_mprj_in_gates\[21\].A (0.040:0.040:0.040) (0.040:0.040:0.040)) - (INTERCONNECT la_data_out_core[21] ANTENNA_8.DIODE (0.040:0.040:0.040) (0.040:0.040:0.040)) - (INTERCONNECT la_data_out_core[22] user_to_mprj_in_gates\[22\].A (0.049:0.049:0.049) (0.049:0.049:0.049)) - (INTERCONNECT la_data_out_core[22] ANTENNA_9.DIODE (0.049:0.049:0.049) (0.049:0.049:0.049)) - (INTERCONNECT la_data_out_core[23] user_to_mprj_in_gates\[23\].A (0.043:0.043:0.043) (0.043:0.043:0.043)) - (INTERCONNECT la_data_out_core[23] ANTENNA_10.DIODE (0.043:0.043:0.043) (0.043:0.043:0.043)) - (INTERCONNECT la_data_out_core[24] user_to_mprj_in_gates\[24\].A (0.035:0.035:0.035) (0.035:0.035:0.035)) - (INTERCONNECT la_data_out_core[25] user_to_mprj_in_gates\[25\].A (0.038:0.038:0.038) (0.038:0.038:0.038)) - (INTERCONNECT la_data_out_core[25] ANTENNA_2071.DIODE (0.038:0.038:0.038) (0.038:0.038:0.038)) - (INTERCONNECT la_data_out_core[26] user_to_mprj_in_gates\[26\].A (0.030:0.030:0.030) (0.030:0.030:0.030)) - (INTERCONNECT la_data_out_core[26] ANTENNA_11.DIODE (0.030:0.030:0.030) (0.030:0.030:0.030)) - (INTERCONNECT la_data_out_core[27] user_to_mprj_in_gates\[27\].A (0.028:0.028:0.028) (0.028:0.028:0.028)) - (INTERCONNECT la_data_out_core[28] user_to_mprj_in_gates\[28\].A (0.036:0.036:0.036) (0.036:0.036:0.036)) - (INTERCONNECT la_data_out_core[29] user_to_mprj_in_gates\[29\].A (0.026:0.026:0.026) (0.026:0.026:0.026)) - (INTERCONNECT la_data_out_core[2] user_to_mprj_in_gates\[2\].A (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT la_data_out_core[30] user_to_mprj_in_gates\[30\].A (0.028:0.028:0.028) (0.028:0.028:0.028)) - (INTERCONNECT la_data_out_core[31] user_to_mprj_in_gates\[31\].A (0.027:0.027:0.027) (0.027:0.027:0.027)) - (INTERCONNECT la_data_out_core[32] user_to_mprj_in_gates\[32\].A (0.030:0.030:0.030) (0.030:0.030:0.030)) - (INTERCONNECT la_data_out_core[33] user_to_mprj_in_gates\[33\].A (0.028:0.028:0.028) (0.028:0.028:0.028)) - (INTERCONNECT la_data_out_core[33] ANTENNA_12.DIODE (0.028:0.028:0.028) (0.028:0.028:0.028)) - (INTERCONNECT la_data_out_core[33] ANTENNA_13.DIODE (0.028:0.028:0.028) (0.028:0.028:0.028)) - (INTERCONNECT la_data_out_core[34] user_to_mprj_in_gates\[34\].A (0.026:0.026:0.026) (0.026:0.026:0.026)) - (INTERCONNECT la_data_out_core[35] user_to_mprj_in_gates\[35\].A (0.030:0.030:0.030) (0.030:0.030:0.030)) - (INTERCONNECT la_data_out_core[36] user_to_mprj_in_gates\[36\].A (0.025:0.025:0.025) (0.025:0.025:0.025)) - (INTERCONNECT la_data_out_core[37] user_to_mprj_in_gates\[37\].A (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT la_data_out_core[38] user_to_mprj_in_gates\[38\].A (0.024:0.024:0.024) (0.024:0.024:0.024)) - (INTERCONNECT la_data_out_core[39] user_to_mprj_in_gates\[39\].A (0.035:0.035:0.035) (0.035:0.035:0.035)) - (INTERCONNECT la_data_out_core[3] user_to_mprj_in_gates\[3\].A (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT la_data_out_core[3] ANTENNA_2274.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT la_data_out_core[40] user_to_mprj_in_gates\[40\].A (0.044:0.044:0.044) (0.044:0.044:0.044)) - (INTERCONNECT la_data_out_core[40] ANTENNA_14.DIODE (0.044:0.044:0.044) (0.044:0.044:0.044)) - (INTERCONNECT la_data_out_core[41] user_to_mprj_in_gates\[41\].A (0.036:0.036:0.036) (0.036:0.036:0.036)) - (INTERCONNECT la_data_out_core[41] ANTENNA_15.DIODE (0.036:0.036:0.036) (0.036:0.036:0.036)) - (INTERCONNECT la_data_out_core[42] user_to_mprj_in_gates\[42\].A (0.024:0.024:0.024) (0.024:0.024:0.024)) - (INTERCONNECT la_data_out_core[43] user_to_mprj_in_gates\[43\].A (0.038:0.038:0.038) (0.038:0.038:0.038)) - (INTERCONNECT la_data_out_core[44] user_to_mprj_in_gates\[44\].A (0.036:0.036:0.036) (0.036:0.036:0.036)) - (INTERCONNECT la_data_out_core[45] user_to_mprj_in_gates\[45\].A (0.036:0.036:0.036) (0.035:0.035:0.035)) - (INTERCONNECT la_data_out_core[46] user_to_mprj_in_gates\[46\].A (0.042:0.042:0.042) (0.041:0.041:0.041)) - (INTERCONNECT la_data_out_core[47] user_to_mprj_in_gates\[47\].A (0.043:0.043:0.043) (0.043:0.043:0.043)) - (INTERCONNECT la_data_out_core[48] user_to_mprj_in_gates\[48\].A (0.031:0.031:0.031) (0.031:0.031:0.031)) - (INTERCONNECT la_data_out_core[49] user_to_mprj_in_gates\[49\].A (0.045:0.045:0.045) (0.045:0.045:0.045)) - (INTERCONNECT la_data_out_core[4] user_to_mprj_in_gates\[4\].A (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT la_data_out_core[4] ANTENNA_2275.DIODE (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT la_data_out_core[50] user_to_mprj_in_gates\[50\].A (0.036:0.036:0.036) (0.036:0.036:0.036)) - (INTERCONNECT la_data_out_core[51] user_to_mprj_in_gates\[51\].A (0.032:0.032:0.032) (0.031:0.031:0.031)) - (INTERCONNECT la_data_out_core[52] user_to_mprj_in_gates\[52\].A (0.033:0.033:0.033) (0.033:0.033:0.033)) - (INTERCONNECT la_data_out_core[53] user_to_mprj_in_gates\[53\].A (0.033:0.033:0.033) (0.032:0.032:0.032)) - (INTERCONNECT la_data_out_core[54] user_to_mprj_in_gates\[54\].A (0.025:0.025:0.025) (0.025:0.025:0.025)) - (INTERCONNECT la_data_out_core[55] user_to_mprj_in_gates\[55\].A (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT la_data_out_core[56] user_to_mprj_in_gates\[56\].A (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT la_data_out_core[57] user_to_mprj_in_gates\[57\].A (0.025:0.025:0.025) (0.025:0.025:0.025)) - (INTERCONNECT la_data_out_core[58] user_to_mprj_in_gates\[58\].A (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT la_data_out_core[59] user_to_mprj_in_gates\[59\].A (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT la_data_out_core[5] user_to_mprj_in_gates\[5\].A (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT la_data_out_core[60] user_to_mprj_in_gates\[60\].A (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT la_data_out_core[61] user_to_mprj_in_gates\[61\].A (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT la_data_out_core[62] user_to_mprj_in_gates\[62\].A (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT la_data_out_core[63] user_to_mprj_in_gates\[63\].A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT la_data_out_core[64] user_to_mprj_in_gates\[64\].A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT la_data_out_core[65] user_to_mprj_in_gates\[65\].A (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT la_data_out_core[66] user_to_mprj_in_gates\[66\].A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT la_data_out_core[67] user_to_mprj_in_gates\[67\].A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT la_data_out_core[68] user_to_mprj_in_gates\[68\].A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT la_data_out_core[69] user_to_mprj_in_gates\[69\].A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT la_data_out_core[6] user_to_mprj_in_gates\[6\].A (0.025:0.025:0.025) (0.025:0.025:0.025)) - (INTERCONNECT la_data_out_core[70] user_to_mprj_in_gates\[70\].A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT la_data_out_core[71] user_to_mprj_in_gates\[71\].A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT la_data_out_core[72] user_to_mprj_in_gates\[72\].A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT la_data_out_core[73] user_to_mprj_in_gates\[73\].A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT la_data_out_core[74] user_to_mprj_in_gates\[74\].A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT la_data_out_core[75] user_to_mprj_in_gates\[75\].A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT la_data_out_core[76] user_to_mprj_in_gates\[76\].A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT la_data_out_core[77] user_to_mprj_in_gates\[77\].A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT la_data_out_core[78] user_to_mprj_in_gates\[78\].A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT la_data_out_core[79] user_to_mprj_in_gates\[79\].A (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT la_data_out_core[7] user_to_mprj_in_gates\[7\].A (0.033:0.033:0.033) (0.033:0.033:0.033)) - (INTERCONNECT la_data_out_core[80] user_to_mprj_in_gates\[80\].A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT la_data_out_core[81] user_to_mprj_in_gates\[81\].A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT la_data_out_core[82] user_to_mprj_in_gates\[82\].A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT la_data_out_core[83] user_to_mprj_in_gates\[83\].A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT la_data_out_core[84] user_to_mprj_in_gates\[84\].A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT la_data_out_core[85] user_to_mprj_in_gates\[85\].A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT la_data_out_core[86] user_to_mprj_in_gates\[86\].A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT la_data_out_core[87] user_to_mprj_in_gates\[87\].A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT la_data_out_core[88] user_to_mprj_in_gates\[88\].A (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT la_data_out_core[89] user_to_mprj_in_gates\[89\].A (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT la_data_out_core[8] user_to_mprj_in_gates\[8\].A (0.027:0.027:0.027) (0.027:0.027:0.027)) - (INTERCONNECT la_data_out_core[90] user_to_mprj_in_gates\[90\].A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT la_data_out_core[91] user_to_mprj_in_gates\[91\].A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT la_data_out_core[92] user_to_mprj_in_gates\[92\].A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT la_data_out_core[93] user_to_mprj_in_gates\[93\].A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT la_data_out_core[94] user_to_mprj_in_gates\[94\].A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT la_data_out_core[95] user_to_mprj_in_gates\[95\].A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT la_data_out_core[96] user_to_mprj_in_gates\[96\].A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT la_data_out_core[97] user_to_mprj_in_gates\[97\].A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT la_data_out_core[98] user_to_mprj_in_gates\[98\].A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT la_data_out_core[99] user_to_mprj_in_gates\[99\].A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT la_data_out_core[9] user_to_mprj_in_gates\[9\].A (0.037:0.037:0.037) (0.037:0.037:0.037)) - (INTERCONNECT la_data_out_mprj[0] input4.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[100] input5.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[101] input6.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[102] input7.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[103] input8.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[104] input9.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[105] input10.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[106] input11.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[107] input12.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[108] input13.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[109] input14.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[10] input15.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[110] input16.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[111] input17.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[112] input18.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[113] input19.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[114] input20.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[115] input21.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[116] input22.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[117] input23.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[118] input24.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[119] input25.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[11] input26.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[120] input27.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[121] input28.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[122] input29.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[123] input30.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[124] input31.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[125] input32.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[126] input33.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[127] input34.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[12] input35.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[13] input36.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[14] input37.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[15] input38.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[16] input39.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[17] input40.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[18] input41.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[19] input42.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[1] input43.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[20] input44.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[21] input45.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[22] input46.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[23] input47.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[24] input48.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[25] input49.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[26] input50.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[27] input51.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[28] input52.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[29] input53.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[2] input54.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[30] input55.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[31] input56.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[32] input57.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[33] input58.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[34] input59.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[35] input60.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[36] input61.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[37] input62.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[38] input63.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[39] input64.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[3] input65.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[40] input66.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[41] input67.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[42] input68.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[43] input69.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[44] input70.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[45] input71.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[46] input72.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[47] input73.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[48] input74.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[49] input75.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[4] input76.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[50] input77.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[51] input78.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[52] input79.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[53] input80.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[54] input81.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[55] input82.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[56] input83.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[57] input84.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[58] input85.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[59] input86.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[5] input87.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[60] input88.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[61] input89.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[62] input90.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[63] input91.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[64] input92.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[65] input93.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[66] input94.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[67] input95.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[68] input96.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[69] input97.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[6] input98.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[70] input99.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[71] input100.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[72] input101.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[73] input102.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[74] input103.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[75] input104.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[76] input105.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[77] input106.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[78] input107.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[79] input108.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[7] input109.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[80] input110.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[81] input111.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[82] input112.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[83] input113.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[84] input114.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[85] input115.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[86] input116.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[87] input117.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[88] input118.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[89] input119.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[8] input120.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[90] input121.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[91] input122.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[92] input123.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[93] input124.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[94] input125.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[95] input126.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[96] input127.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[97] input128.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[98] input129.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[99] input130.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_data_out_mprj[9] input131.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[0] input132.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[100] input133.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[101] input134.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[102] input135.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[103] input136.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[104] input137.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[105] input138.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[106] input139.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[107] input140.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[108] input141.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[109] input142.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[10] input143.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[110] input144.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[111] input145.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[112] input146.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[113] input147.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[114] input148.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[115] input149.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[116] input150.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[117] input151.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[118] input152.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[119] input153.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[11] input154.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[120] input155.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[121] input156.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[122] input157.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[123] input158.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[124] input159.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[125] input160.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[126] input161.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[127] input162.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[12] input163.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[13] input164.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[14] input165.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[15] input166.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[16] input167.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[17] input168.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[18] input169.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[19] input170.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[1] input171.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[20] input172.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[21] input173.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[22] input174.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[23] input175.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[24] input176.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[25] input177.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[26] input178.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[27] input179.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[28] input180.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[29] input181.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[2] input182.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[30] input183.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[31] input184.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[32] input185.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[33] input186.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[34] input187.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[35] input188.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[36] input189.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[37] input190.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[38] input191.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[39] input192.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[3] input193.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[40] input194.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[41] input195.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[42] input196.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[43] input197.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[44] input198.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[45] input199.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[46] input200.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[47] input201.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[48] input202.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[49] input203.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[4] input204.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[50] input205.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[51] input206.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[52] input207.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[53] input208.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[54] input209.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[55] input210.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[56] input211.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[57] input212.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[58] input213.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[59] input214.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[5] input215.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[60] input216.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[61] input217.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[62] input218.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[63] input219.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[64] input220.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[65] input221.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[66] input222.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[67] input223.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[68] input224.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[69] input225.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[6] input226.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[70] input227.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[71] input228.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[72] input229.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[73] input230.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[74] input231.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[75] input232.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[76] input233.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[77] input234.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[78] input235.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[79] input236.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[7] input237.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[80] input238.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[81] input239.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[82] input240.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[83] input241.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[84] input242.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[85] input243.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[86] input244.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[87] input245.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[88] input246.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[89] input247.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[8] input248.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[90] input249.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[91] input250.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[92] input251.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[93] input252.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[94] input253.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[95] input254.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[96] input255.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[97] input256.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[98] input257.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[99] input258.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_iena_mprj[9] input259.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[0] input260.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[100] input261.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[101] input262.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[102] input263.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[103] input264.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[104] input265.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[105] input266.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[106] input267.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[107] input268.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[108] input269.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[109] input270.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[10] input271.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[110] input272.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[111] input273.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[112] input274.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[113] input275.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[114] input276.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[115] input277.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[116] input278.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[117] input279.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[118] input280.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[119] input281.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[11] input282.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[120] input283.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[121] input284.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[122] input285.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[123] input286.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[124] input287.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[125] input288.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[126] input289.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[127] input290.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[12] input291.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[13] input292.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[14] input293.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[15] input294.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[16] input295.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[17] input296.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[18] input297.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[19] input298.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[1] input299.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[20] input300.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[21] input301.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[22] input302.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[23] input303.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[24] input304.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[25] input305.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[26] input306.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[27] input307.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[28] input308.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[29] input309.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[2] input310.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[30] input311.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[31] input312.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[32] input313.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[33] input314.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[34] input315.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[35] input316.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[36] input317.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[37] input318.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[38] input319.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[39] input320.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[3] input321.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[40] input322.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[41] input323.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[42] input324.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[43] input325.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[44] input326.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[45] input327.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[46] input328.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[47] input329.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[48] input330.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[49] input331.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[4] input332.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[50] input333.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[51] input334.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[52] input335.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[53] input336.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[54] input337.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[55] input338.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[56] input339.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[57] input340.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[58] input341.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[59] input342.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[5] input343.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[60] input344.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[61] input345.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[62] input346.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[63] input347.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[64] input348.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[65] input349.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[66] input350.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[67] input351.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[68] input352.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[69] input353.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[6] input354.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[70] input355.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[71] input356.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[72] input357.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[73] input358.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[74] input359.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[75] input360.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[76] input361.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[77] input362.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[78] input363.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[79] input364.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[7] input365.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[80] input366.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[81] input367.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[82] input368.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[83] input369.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[84] input370.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[85] input371.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[86] input372.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[87] input373.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[88] input374.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[89] input375.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[8] input376.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[90] input377.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[91] input378.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[92] input379.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[93] input380.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[94] input381.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[95] input382.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[96] input383.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[97] input384.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[98] input385.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[99] input386.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT la_oenb_mprj[9] input387.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_ack_i_user user_wb_ack_gate.A (0.413:0.413:0.413) (0.412:0.412:0.412)) - (INTERCONNECT mprj_ack_i_user ANTENNA_16.DIODE (0.413:0.413:0.413) (0.412:0.412:0.412)) - (INTERCONNECT mprj_ack_i_user ANTENNA_17.DIODE (0.413:0.413:0.413) (0.412:0.412:0.412)) - (INTERCONNECT mprj_ack_i_user ANTENNA_18.DIODE (0.413:0.413:0.413) (0.412:0.412:0.412)) - (INTERCONNECT mprj_ack_i_user ANTENNA_19.DIODE (0.413:0.413:0.413) (0.412:0.412:0.412)) - (INTERCONNECT mprj_ack_i_user ANTENNA_20.DIODE (0.413:0.413:0.413) (0.412:0.412:0.412)) - (INTERCONNECT mprj_ack_i_user ANTENNA_21.DIODE (0.413:0.413:0.413) (0.412:0.412:0.412)) - (INTERCONNECT mprj_ack_i_user ANTENNA_22.DIODE (0.413:0.413:0.413) (0.412:0.412:0.412)) - (INTERCONNECT mprj_ack_i_user ANTENNA_23.DIODE (0.413:0.413:0.413) (0.412:0.412:0.412)) - (INTERCONNECT mprj_ack_i_user ANTENNA_24.DIODE (0.413:0.413:0.413) (0.412:0.412:0.412)) - (INTERCONNECT mprj_ack_i_user ANTENNA_25.DIODE (0.413:0.413:0.413) (0.412:0.412:0.412)) - (INTERCONNECT mprj_ack_i_user ANTENNA_26.DIODE (0.413:0.413:0.413) (0.412:0.412:0.412)) - (INTERCONNECT mprj_adr_o_core[0] input388.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_adr_o_core[10] input389.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_adr_o_core[11] input390.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_adr_o_core[12] input391.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_adr_o_core[13] input392.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_adr_o_core[14] input393.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_adr_o_core[15] input394.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_adr_o_core[16] input395.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_adr_o_core[17] input396.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_adr_o_core[18] input397.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_adr_o_core[19] input398.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_adr_o_core[1] input399.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_adr_o_core[20] input400.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_adr_o_core[21] input401.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_adr_o_core[22] input402.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_adr_o_core[23] input403.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_adr_o_core[24] input404.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_adr_o_core[25] input405.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_adr_o_core[26] input406.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_adr_o_core[27] input407.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_adr_o_core[28] input408.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_adr_o_core[29] input409.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_adr_o_core[2] input410.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_adr_o_core[30] input411.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_adr_o_core[31] input412.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_adr_o_core[3] input413.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_adr_o_core[4] input414.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_adr_o_core[5] input415.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_adr_o_core[6] input416.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_adr_o_core[7] input417.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_adr_o_core[8] input418.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_adr_o_core[9] input419.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_cyc_o_core input420.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_dat_i_user[0] user_wb_dat_gates\[0\].A (0.344:0.344:0.344) (0.343:0.343:0.343)) - (INTERCONNECT mprj_dat_i_user[0] ANTENNA_27.DIODE (0.344:0.344:0.344) (0.343:0.343:0.343)) - (INTERCONNECT mprj_dat_i_user[0] ANTENNA_28.DIODE (0.344:0.344:0.344) (0.343:0.343:0.343)) - (INTERCONNECT mprj_dat_i_user[0] ANTENNA_29.DIODE (0.344:0.344:0.344) (0.343:0.343:0.343)) - (INTERCONNECT mprj_dat_i_user[0] ANTENNA_30.DIODE (0.344:0.344:0.344) (0.342:0.342:0.342)) - (INTERCONNECT mprj_dat_i_user[0] ANTENNA_31.DIODE (0.344:0.344:0.344) (0.343:0.343:0.343)) - (INTERCONNECT mprj_dat_i_user[0] ANTENNA_32.DIODE (0.344:0.344:0.344) (0.342:0.342:0.342)) - (INTERCONNECT mprj_dat_i_user[0] ANTENNA_33.DIODE (0.344:0.344:0.344) (0.343:0.343:0.343)) - (INTERCONNECT mprj_dat_i_user[0] ANTENNA_34.DIODE (0.344:0.344:0.344) (0.342:0.342:0.342)) - (INTERCONNECT mprj_dat_i_user[0] ANTENNA_35.DIODE (0.344:0.344:0.344) (0.343:0.343:0.343)) - (INTERCONNECT mprj_dat_i_user[0] ANTENNA_36.DIODE (0.344:0.344:0.344) (0.342:0.342:0.342)) - (INTERCONNECT mprj_dat_i_user[0] ANTENNA_37.DIODE (0.344:0.344:0.344) (0.343:0.343:0.343)) - (INTERCONNECT mprj_dat_i_user[0] ANTENNA_2276.DIODE (0.344:0.344:0.344) (0.343:0.343:0.343)) - (INTERCONNECT mprj_dat_i_user[0] ANTENNA_2277.DIODE (0.344:0.344:0.344) (0.342:0.342:0.342)) - (INTERCONNECT mprj_dat_i_user[0] ANTENNA_2278.DIODE (0.344:0.344:0.344) (0.343:0.343:0.343)) - (INTERCONNECT mprj_dat_i_user[0] ANTENNA_2279.DIODE (0.344:0.344:0.344) (0.343:0.343:0.343)) - (INTERCONNECT mprj_dat_i_user[0] ANTENNA_2280.DIODE (0.344:0.344:0.344) (0.342:0.342:0.342)) - (INTERCONNECT mprj_dat_i_user[0] ANTENNA_2281.DIODE (0.344:0.344:0.344) (0.343:0.343:0.343)) - (INTERCONNECT mprj_dat_i_user[0] ANTENNA_2282.DIODE (0.344:0.344:0.344) (0.342:0.342:0.342)) - (INTERCONNECT mprj_dat_i_user[0] ANTENNA_2283.DIODE (0.344:0.344:0.344) (0.343:0.343:0.343)) - (INTERCONNECT mprj_dat_i_user[0] ANTENNA_2284.DIODE (0.343:0.343:0.343) (0.342:0.342:0.342)) - (INTERCONNECT mprj_dat_i_user[0] ANTENNA_2285.DIODE (0.344:0.344:0.344) (0.343:0.343:0.343)) - (INTERCONNECT mprj_dat_i_user[0] ANTENNA_2286.DIODE (0.343:0.343:0.343) (0.342:0.342:0.342)) - (INTERCONNECT mprj_dat_i_user[0] ANTENNA_2287.DIODE (0.344:0.344:0.344) (0.343:0.343:0.343)) - (INTERCONNECT mprj_dat_i_user[10] user_wb_dat_gates\[10\].A (0.333:0.333:0.333) (0.332:0.332:0.332)) - (INTERCONNECT mprj_dat_i_user[10] ANTENNA_38.DIODE (0.333:0.333:0.333) (0.332:0.332:0.332)) - (INTERCONNECT mprj_dat_i_user[10] ANTENNA_39.DIODE (0.333:0.333:0.333) (0.332:0.332:0.332)) - (INTERCONNECT mprj_dat_i_user[10] ANTENNA_40.DIODE (0.333:0.333:0.333) (0.332:0.332:0.332)) - (INTERCONNECT mprj_dat_i_user[10] ANTENNA_41.DIODE (0.333:0.333:0.333) (0.332:0.332:0.332)) - (INTERCONNECT mprj_dat_i_user[10] ANTENNA_42.DIODE (0.333:0.333:0.333) (0.332:0.332:0.332)) - (INTERCONNECT mprj_dat_i_user[10] ANTENNA_43.DIODE (0.333:0.333:0.333) (0.332:0.332:0.332)) - (INTERCONNECT mprj_dat_i_user[10] ANTENNA_44.DIODE (0.333:0.333:0.333) (0.332:0.332:0.332)) - (INTERCONNECT mprj_dat_i_user[10] ANTENNA_45.DIODE (0.333:0.333:0.333) (0.331:0.331:0.331)) - (INTERCONNECT mprj_dat_i_user[10] ANTENNA_46.DIODE (0.333:0.333:0.333) (0.332:0.332:0.332)) - (INTERCONNECT mprj_dat_i_user[10] ANTENNA_47.DIODE (0.333:0.333:0.333) (0.331:0.331:0.331)) - (INTERCONNECT mprj_dat_i_user[10] ANTENNA_48.DIODE (0.333:0.333:0.333) (0.332:0.332:0.332)) - (INTERCONNECT mprj_dat_i_user[10] ANTENNA_2288.DIODE (0.333:0.333:0.333) (0.332:0.332:0.332)) - (INTERCONNECT mprj_dat_i_user[10] ANTENNA_2289.DIODE (0.333:0.333:0.333) (0.331:0.331:0.331)) - (INTERCONNECT mprj_dat_i_user[10] ANTENNA_2290.DIODE (0.333:0.333:0.333) (0.331:0.331:0.331)) - (INTERCONNECT mprj_dat_i_user[10] ANTENNA_2291.DIODE (0.333:0.333:0.333) (0.332:0.332:0.332)) - (INTERCONNECT mprj_dat_i_user[10] ANTENNA_2292.DIODE (0.333:0.333:0.333) (0.331:0.331:0.331)) - (INTERCONNECT mprj_dat_i_user[10] ANTENNA_2293.DIODE (0.333:0.333:0.333) (0.332:0.332:0.332)) - (INTERCONNECT mprj_dat_i_user[10] ANTENNA_2294.DIODE (0.333:0.333:0.333) (0.331:0.331:0.331)) - (INTERCONNECT mprj_dat_i_user[10] ANTENNA_2295.DIODE (0.333:0.333:0.333) (0.332:0.332:0.332)) - (INTERCONNECT mprj_dat_i_user[10] ANTENNA_2296.DIODE (0.333:0.333:0.333) (0.331:0.331:0.331)) - (INTERCONNECT mprj_dat_i_user[10] ANTENNA_2297.DIODE (0.333:0.333:0.333) (0.332:0.332:0.332)) - (INTERCONNECT mprj_dat_i_user[10] ANTENNA_2298.DIODE (0.333:0.333:0.333) (0.332:0.332:0.332)) - (INTERCONNECT mprj_dat_i_user[10] ANTENNA_2299.DIODE (0.333:0.333:0.333) (0.332:0.332:0.332)) - (INTERCONNECT mprj_dat_i_user[11] user_wb_dat_gates\[11\].A (0.290:0.290:0.290) (0.289:0.289:0.289)) - (INTERCONNECT mprj_dat_i_user[11] ANTENNA_49.DIODE (0.290:0.290:0.290) (0.290:0.290:0.290)) - (INTERCONNECT mprj_dat_i_user[11] ANTENNA_50.DIODE (0.290:0.290:0.290) (0.290:0.290:0.290)) - (INTERCONNECT mprj_dat_i_user[11] ANTENNA_51.DIODE (0.290:0.290:0.290) (0.289:0.289:0.289)) - (INTERCONNECT mprj_dat_i_user[11] ANTENNA_52.DIODE (0.290:0.290:0.290) (0.290:0.290:0.290)) - (INTERCONNECT mprj_dat_i_user[11] ANTENNA_53.DIODE (0.290:0.290:0.290) (0.289:0.289:0.289)) - (INTERCONNECT mprj_dat_i_user[11] ANTENNA_54.DIODE (0.290:0.290:0.290) (0.290:0.290:0.290)) - (INTERCONNECT mprj_dat_i_user[11] ANTENNA_55.DIODE (0.290:0.290:0.290) (0.289:0.289:0.289)) - (INTERCONNECT mprj_dat_i_user[11] ANTENNA_56.DIODE (0.290:0.290:0.290) (0.290:0.290:0.290)) - (INTERCONNECT mprj_dat_i_user[11] ANTENNA_57.DIODE (0.290:0.290:0.290) (0.289:0.289:0.289)) - (INTERCONNECT mprj_dat_i_user[11] ANTENNA_58.DIODE (0.290:0.290:0.290) (0.289:0.289:0.289)) - (INTERCONNECT mprj_dat_i_user[11] ANTENNA_59.DIODE (0.290:0.290:0.290) (0.290:0.290:0.290)) - (INTERCONNECT mprj_dat_i_user[12] user_wb_dat_gates\[12\].A (0.249:0.249:0.249) (0.248:0.248:0.248)) - (INTERCONNECT mprj_dat_i_user[12] ANTENNA_60.DIODE (0.249:0.249:0.249) (0.248:0.248:0.248)) - (INTERCONNECT mprj_dat_i_user[12] ANTENNA_61.DIODE (0.249:0.249:0.249) (0.248:0.248:0.248)) - (INTERCONNECT mprj_dat_i_user[12] ANTENNA_62.DIODE (0.249:0.249:0.249) (0.248:0.248:0.248)) - (INTERCONNECT mprj_dat_i_user[12] ANTENNA_63.DIODE (0.249:0.249:0.249) (0.248:0.248:0.248)) - (INTERCONNECT mprj_dat_i_user[12] ANTENNA_64.DIODE (0.249:0.249:0.249) (0.248:0.248:0.248)) - (INTERCONNECT mprj_dat_i_user[12] ANTENNA_65.DIODE (0.249:0.249:0.249) (0.248:0.248:0.248)) - (INTERCONNECT mprj_dat_i_user[12] ANTENNA_66.DIODE (0.249:0.249:0.249) (0.248:0.248:0.248)) - (INTERCONNECT mprj_dat_i_user[12] ANTENNA_67.DIODE (0.249:0.249:0.249) (0.248:0.248:0.248)) - (INTERCONNECT mprj_dat_i_user[12] ANTENNA_68.DIODE (0.249:0.249:0.249) (0.248:0.248:0.248)) - (INTERCONNECT mprj_dat_i_user[12] ANTENNA_69.DIODE (0.249:0.249:0.249) (0.248:0.248:0.248)) - (INTERCONNECT mprj_dat_i_user[12] ANTENNA_70.DIODE (0.249:0.249:0.249) (0.248:0.248:0.248)) - (INTERCONNECT mprj_dat_i_user[13] user_wb_dat_gates\[13\].A (0.307:0.307:0.307) (0.306:0.306:0.306)) - (INTERCONNECT mprj_dat_i_user[13] ANTENNA_71.DIODE (0.307:0.307:0.307) (0.307:0.307:0.307)) - (INTERCONNECT mprj_dat_i_user[13] ANTENNA_72.DIODE (0.307:0.307:0.307) (0.307:0.307:0.307)) - (INTERCONNECT mprj_dat_i_user[13] ANTENNA_73.DIODE (0.307:0.307:0.307) (0.307:0.307:0.307)) - (INTERCONNECT mprj_dat_i_user[13] ANTENNA_74.DIODE (0.307:0.307:0.307) (0.307:0.307:0.307)) - (INTERCONNECT mprj_dat_i_user[13] ANTENNA_75.DIODE (0.307:0.307:0.307) (0.307:0.307:0.307)) - (INTERCONNECT mprj_dat_i_user[13] ANTENNA_76.DIODE (0.307:0.307:0.307) (0.307:0.307:0.307)) - (INTERCONNECT mprj_dat_i_user[13] ANTENNA_77.DIODE (0.307:0.307:0.307) (0.307:0.307:0.307)) - (INTERCONNECT mprj_dat_i_user[13] ANTENNA_78.DIODE (0.307:0.307:0.307) (0.307:0.307:0.307)) - (INTERCONNECT mprj_dat_i_user[13] ANTENNA_79.DIODE (0.307:0.307:0.307) (0.307:0.307:0.307)) - (INTERCONNECT mprj_dat_i_user[13] ANTENNA_80.DIODE (0.307:0.307:0.307) (0.307:0.307:0.307)) - (INTERCONNECT mprj_dat_i_user[13] ANTENNA_81.DIODE (0.307:0.307:0.307) (0.307:0.307:0.307)) - (INTERCONNECT mprj_dat_i_user[14] user_wb_dat_gates\[14\].A (0.211:0.211:0.211) (0.211:0.211:0.211)) - (INTERCONNECT mprj_dat_i_user[14] ANTENNA_82.DIODE (0.211:0.211:0.211) (0.211:0.211:0.211)) - (INTERCONNECT mprj_dat_i_user[15] user_wb_dat_gates\[15\].A (0.234:0.234:0.234) (0.234:0.234:0.234)) - (INTERCONNECT mprj_dat_i_user[15] ANTENNA_83.DIODE (0.234:0.234:0.234) (0.234:0.234:0.234)) - (INTERCONNECT mprj_dat_i_user[15] ANTENNA_84.DIODE (0.234:0.234:0.234) (0.234:0.234:0.234)) - (INTERCONNECT mprj_dat_i_user[15] ANTENNA_85.DIODE (0.234:0.234:0.234) (0.234:0.234:0.234)) - (INTERCONNECT mprj_dat_i_user[15] ANTENNA_86.DIODE (0.234:0.234:0.234) (0.234:0.234:0.234)) - (INTERCONNECT mprj_dat_i_user[15] ANTENNA_87.DIODE (0.234:0.234:0.234) (0.234:0.234:0.234)) - (INTERCONNECT mprj_dat_i_user[15] ANTENNA_88.DIODE (0.234:0.234:0.234) (0.234:0.234:0.234)) - (INTERCONNECT mprj_dat_i_user[15] ANTENNA_89.DIODE (0.234:0.234:0.234) (0.233:0.233:0.233)) - (INTERCONNECT mprj_dat_i_user[15] ANTENNA_90.DIODE (0.234:0.234:0.234) (0.233:0.233:0.233)) - (INTERCONNECT mprj_dat_i_user[15] ANTENNA_91.DIODE (0.234:0.234:0.234) (0.234:0.234:0.234)) - (INTERCONNECT mprj_dat_i_user[15] ANTENNA_92.DIODE (0.234:0.234:0.234) (0.233:0.233:0.233)) - (INTERCONNECT mprj_dat_i_user[15] ANTENNA_93.DIODE (0.234:0.234:0.234) (0.234:0.234:0.234)) - (INTERCONNECT mprj_dat_i_user[16] user_wb_dat_gates\[16\].A (0.153:0.153:0.153) (0.152:0.152:0.152)) - (INTERCONNECT mprj_dat_i_user[17] user_wb_dat_gates\[17\].A (0.233:0.233:0.233) (0.233:0.233:0.233)) - (INTERCONNECT mprj_dat_i_user[18] user_wb_dat_gates\[18\].A (0.207:0.207:0.207) (0.207:0.207:0.207)) - (INTERCONNECT mprj_dat_i_user[19] user_wb_dat_gates\[19\].A (0.205:0.205:0.205) (0.204:0.204:0.204)) - (INTERCONNECT mprj_dat_i_user[19] ANTENNA_94.DIODE (0.205:0.205:0.205) (0.204:0.204:0.204)) - (INTERCONNECT mprj_dat_i_user[19] ANTENNA_95.DIODE (0.205:0.205:0.205) (0.204:0.204:0.204)) - (INTERCONNECT mprj_dat_i_user[19] ANTENNA_96.DIODE (0.205:0.205:0.205) (0.204:0.204:0.204)) - (INTERCONNECT mprj_dat_i_user[19] ANTENNA_97.DIODE (0.205:0.205:0.205) (0.204:0.204:0.204)) - (INTERCONNECT mprj_dat_i_user[19] ANTENNA_98.DIODE (0.205:0.205:0.205) (0.204:0.204:0.204)) - (INTERCONNECT mprj_dat_i_user[19] ANTENNA_99.DIODE (0.205:0.205:0.205) (0.204:0.204:0.204)) - (INTERCONNECT mprj_dat_i_user[19] ANTENNA_100.DIODE (0.205:0.205:0.205) (0.204:0.204:0.204)) - (INTERCONNECT mprj_dat_i_user[19] ANTENNA_101.DIODE (0.205:0.205:0.205) (0.204:0.204:0.204)) - (INTERCONNECT mprj_dat_i_user[19] ANTENNA_102.DIODE (0.205:0.205:0.205) (0.204:0.204:0.204)) - (INTERCONNECT mprj_dat_i_user[19] ANTENNA_103.DIODE (0.205:0.205:0.205) (0.204:0.204:0.204)) - (INTERCONNECT mprj_dat_i_user[19] ANTENNA_104.DIODE (0.205:0.205:0.205) (0.205:0.205:0.205)) - (INTERCONNECT mprj_dat_i_user[1] user_wb_dat_gates\[1\].A (0.283:0.283:0.283) (0.282:0.282:0.282)) - (INTERCONNECT mprj_dat_i_user[1] ANTENNA_105.DIODE (0.283:0.283:0.283) (0.282:0.282:0.282)) - (INTERCONNECT mprj_dat_i_user[1] ANTENNA_2300.DIODE (0.283:0.283:0.283) (0.282:0.282:0.282)) - (INTERCONNECT mprj_dat_i_user[1] ANTENNA_2301.DIODE (0.283:0.283:0.283) (0.282:0.282:0.282)) - (INTERCONNECT mprj_dat_i_user[1] ANTENNA_2302.DIODE (0.283:0.283:0.283) (0.282:0.282:0.282)) - (INTERCONNECT mprj_dat_i_user[1] ANTENNA_2303.DIODE (0.283:0.283:0.283) (0.282:0.282:0.282)) - (INTERCONNECT mprj_dat_i_user[1] ANTENNA_2304.DIODE (0.283:0.283:0.283) (0.282:0.282:0.282)) - (INTERCONNECT mprj_dat_i_user[1] ANTENNA_2305.DIODE (0.283:0.283:0.283) (0.282:0.282:0.282)) - (INTERCONNECT mprj_dat_i_user[1] ANTENNA_2306.DIODE (0.283:0.283:0.283) (0.282:0.282:0.282)) - (INTERCONNECT mprj_dat_i_user[1] ANTENNA_2307.DIODE (0.283:0.283:0.283) (0.282:0.282:0.282)) - (INTERCONNECT mprj_dat_i_user[1] ANTENNA_2308.DIODE (0.283:0.283:0.283) (0.282:0.282:0.282)) - (INTERCONNECT mprj_dat_i_user[1] ANTENNA_2309.DIODE (0.283:0.283:0.283) (0.283:0.283:0.283)) - (INTERCONNECT mprj_dat_i_user[1] ANTENNA_2310.DIODE (0.283:0.283:0.283) (0.283:0.283:0.283)) - (INTERCONNECT mprj_dat_i_user[1] ANTENNA_2311.DIODE (0.283:0.283:0.283) (0.282:0.282:0.282)) - (INTERCONNECT mprj_dat_i_user[20] user_wb_dat_gates\[20\].A (0.286:0.286:0.286) (0.285:0.285:0.285)) - (INTERCONNECT mprj_dat_i_user[20] ANTENNA_106.DIODE (0.286:0.286:0.286) (0.285:0.285:0.285)) - (INTERCONNECT mprj_dat_i_user[20] ANTENNA_107.DIODE (0.286:0.286:0.286) (0.285:0.285:0.285)) - (INTERCONNECT mprj_dat_i_user[20] ANTENNA_108.DIODE (0.286:0.286:0.286) (0.285:0.285:0.285)) - (INTERCONNECT mprj_dat_i_user[20] ANTENNA_109.DIODE (0.286:0.286:0.286) (0.285:0.285:0.285)) - (INTERCONNECT mprj_dat_i_user[20] ANTENNA_110.DIODE (0.286:0.286:0.286) (0.285:0.285:0.285)) - (INTERCONNECT mprj_dat_i_user[20] ANTENNA_111.DIODE (0.286:0.286:0.286) (0.285:0.285:0.285)) - (INTERCONNECT mprj_dat_i_user[20] ANTENNA_112.DIODE (0.286:0.286:0.286) (0.285:0.285:0.285)) - (INTERCONNECT mprj_dat_i_user[20] ANTENNA_113.DIODE (0.286:0.286:0.286) (0.285:0.285:0.285)) - (INTERCONNECT mprj_dat_i_user[20] ANTENNA_114.DIODE (0.286:0.286:0.286) (0.285:0.285:0.285)) - (INTERCONNECT mprj_dat_i_user[20] ANTENNA_115.DIODE (0.286:0.286:0.286) (0.285:0.285:0.285)) - (INTERCONNECT mprj_dat_i_user[20] ANTENNA_116.DIODE (0.286:0.286:0.286) (0.285:0.285:0.285)) - (INTERCONNECT mprj_dat_i_user[21] user_wb_dat_gates\[21\].A (0.168:0.168:0.168) (0.167:0.167:0.167)) - (INTERCONNECT mprj_dat_i_user[21] ANTENNA_117.DIODE (0.168:0.168:0.168) (0.167:0.167:0.167)) - (INTERCONNECT mprj_dat_i_user[21] ANTENNA_118.DIODE (0.168:0.168:0.168) (0.167:0.167:0.167)) - (INTERCONNECT mprj_dat_i_user[21] ANTENNA_119.DIODE (0.168:0.168:0.168) (0.167:0.167:0.167)) - (INTERCONNECT mprj_dat_i_user[21] ANTENNA_120.DIODE (0.168:0.168:0.168) (0.167:0.167:0.167)) - (INTERCONNECT mprj_dat_i_user[21] ANTENNA_121.DIODE (0.168:0.168:0.168) (0.167:0.167:0.167)) - (INTERCONNECT mprj_dat_i_user[21] ANTENNA_122.DIODE (0.168:0.168:0.168) (0.167:0.167:0.167)) - (INTERCONNECT mprj_dat_i_user[21] ANTENNA_123.DIODE (0.168:0.168:0.168) (0.167:0.167:0.167)) - (INTERCONNECT mprj_dat_i_user[21] ANTENNA_124.DIODE (0.168:0.168:0.168) (0.167:0.167:0.167)) - (INTERCONNECT mprj_dat_i_user[21] ANTENNA_125.DIODE (0.168:0.168:0.168) (0.167:0.167:0.167)) - (INTERCONNECT mprj_dat_i_user[21] ANTENNA_126.DIODE (0.168:0.168:0.168) (0.167:0.167:0.167)) - (INTERCONNECT mprj_dat_i_user[21] ANTENNA_127.DIODE (0.168:0.168:0.168) (0.167:0.167:0.167)) - (INTERCONNECT mprj_dat_i_user[22] user_wb_dat_gates\[22\].A (0.301:0.301:0.301) (0.301:0.301:0.301)) - (INTERCONNECT mprj_dat_i_user[23] user_wb_dat_gates\[23\].A (0.259:0.259:0.259) (0.259:0.259:0.259)) - (INTERCONNECT mprj_dat_i_user[24] user_wb_dat_gates\[24\].A (0.245:0.245:0.245) (0.244:0.244:0.244)) - (INTERCONNECT mprj_dat_i_user[24] ANTENNA_128.DIODE (0.245:0.245:0.245) (0.244:0.244:0.244)) - (INTERCONNECT mprj_dat_i_user[25] user_wb_dat_gates\[25\].A (0.191:0.191:0.191) (0.190:0.190:0.190)) - (INTERCONNECT mprj_dat_i_user[25] ANTENNA_129.DIODE (0.190:0.190:0.190) (0.190:0.190:0.190)) - (INTERCONNECT mprj_dat_i_user[26] user_wb_dat_gates\[26\].A (0.181:0.181:0.181) (0.180:0.180:0.180)) - (INTERCONNECT mprj_dat_i_user[26] ANTENNA_130.DIODE (0.181:0.181:0.181) (0.180:0.180:0.180)) - (INTERCONNECT mprj_dat_i_user[26] ANTENNA_131.DIODE (0.181:0.181:0.181) (0.180:0.180:0.180)) - (INTERCONNECT mprj_dat_i_user[26] ANTENNA_132.DIODE (0.181:0.181:0.181) (0.180:0.180:0.180)) - (INTERCONNECT mprj_dat_i_user[26] ANTENNA_133.DIODE (0.180:0.180:0.180) (0.180:0.180:0.180)) - (INTERCONNECT mprj_dat_i_user[26] ANTENNA_134.DIODE (0.181:0.181:0.181) (0.180:0.180:0.180)) - (INTERCONNECT mprj_dat_i_user[26] ANTENNA_135.DIODE (0.180:0.180:0.180) (0.180:0.180:0.180)) - (INTERCONNECT mprj_dat_i_user[26] ANTENNA_136.DIODE (0.181:0.181:0.181) (0.180:0.180:0.180)) - (INTERCONNECT mprj_dat_i_user[26] ANTENNA_137.DIODE (0.181:0.181:0.181) (0.180:0.180:0.180)) - (INTERCONNECT mprj_dat_i_user[26] ANTENNA_138.DIODE (0.181:0.181:0.181) (0.180:0.180:0.180)) - (INTERCONNECT mprj_dat_i_user[26] ANTENNA_139.DIODE (0.181:0.181:0.181) (0.180:0.180:0.180)) - (INTERCONNECT mprj_dat_i_user[26] ANTENNA_140.DIODE (0.181:0.181:0.181) (0.180:0.180:0.180)) - (INTERCONNECT mprj_dat_i_user[27] user_wb_dat_gates\[27\].A (0.239:0.239:0.239) (0.239:0.239:0.239)) - (INTERCONNECT mprj_dat_i_user[28] user_wb_dat_gates\[28\].A (0.169:0.169:0.169) (0.168:0.168:0.168)) - (INTERCONNECT mprj_dat_i_user[28] ANTENNA_2072.DIODE (0.169:0.169:0.169) (0.168:0.168:0.168)) - (INTERCONNECT mprj_dat_i_user[29] user_wb_dat_gates\[29\].A (0.303:0.303:0.303) (0.302:0.302:0.302)) - (INTERCONNECT mprj_dat_i_user[29] ANTENNA_141.DIODE (0.303:0.303:0.303) (0.302:0.302:0.302)) - (INTERCONNECT mprj_dat_i_user[2] user_wb_dat_gates\[2\].A (0.265:0.265:0.265) (0.264:0.264:0.264)) - (INTERCONNECT mprj_dat_i_user[2] ANTENNA_142.DIODE (0.265:0.265:0.265) (0.264:0.264:0.264)) - (INTERCONNECT mprj_dat_i_user[2] ANTENNA_143.DIODE (0.265:0.265:0.265) (0.264:0.264:0.264)) - (INTERCONNECT mprj_dat_i_user[2] ANTENNA_144.DIODE (0.265:0.265:0.265) (0.264:0.264:0.264)) - (INTERCONNECT mprj_dat_i_user[2] ANTENNA_145.DIODE (0.265:0.265:0.265) (0.264:0.264:0.264)) - (INTERCONNECT mprj_dat_i_user[2] ANTENNA_146.DIODE (0.265:0.265:0.265) (0.264:0.264:0.264)) - (INTERCONNECT mprj_dat_i_user[2] ANTENNA_147.DIODE (0.265:0.265:0.265) (0.264:0.264:0.264)) - (INTERCONNECT mprj_dat_i_user[2] ANTENNA_148.DIODE (0.265:0.265:0.265) (0.264:0.264:0.264)) - (INTERCONNECT mprj_dat_i_user[2] ANTENNA_149.DIODE (0.265:0.265:0.265) (0.263:0.263:0.263)) - (INTERCONNECT mprj_dat_i_user[2] ANTENNA_150.DIODE (0.265:0.265:0.265) (0.264:0.264:0.264)) - (INTERCONNECT mprj_dat_i_user[2] ANTENNA_151.DIODE (0.264:0.264:0.264) (0.263:0.263:0.263)) - (INTERCONNECT mprj_dat_i_user[2] ANTENNA_2312.DIODE (0.265:0.265:0.265) (0.264:0.264:0.264)) - (INTERCONNECT mprj_dat_i_user[2] ANTENNA_2313.DIODE (0.264:0.264:0.264) (0.263:0.263:0.263)) - (INTERCONNECT mprj_dat_i_user[2] ANTENNA_2314.DIODE (0.264:0.264:0.264) (0.263:0.263:0.263)) - (INTERCONNECT mprj_dat_i_user[2] ANTENNA_2315.DIODE (0.265:0.265:0.265) (0.264:0.264:0.264)) - (INTERCONNECT mprj_dat_i_user[2] ANTENNA_2316.DIODE (0.264:0.264:0.264) (0.263:0.263:0.263)) - (INTERCONNECT mprj_dat_i_user[2] ANTENNA_2317.DIODE (0.265:0.265:0.265) (0.264:0.264:0.264)) - (INTERCONNECT mprj_dat_i_user[2] ANTENNA_2318.DIODE (0.264:0.264:0.264) (0.263:0.263:0.263)) - (INTERCONNECT mprj_dat_i_user[2] ANTENNA_2319.DIODE (0.265:0.265:0.265) (0.264:0.264:0.264)) - (INTERCONNECT mprj_dat_i_user[2] ANTENNA_2320.DIODE (0.264:0.264:0.264) (0.263:0.263:0.263)) - (INTERCONNECT mprj_dat_i_user[2] ANTENNA_2321.DIODE (0.265:0.265:0.265) (0.264:0.264:0.264)) - (INTERCONNECT mprj_dat_i_user[2] ANTENNA_2322.DIODE (0.265:0.265:0.265) (0.264:0.264:0.264)) - (INTERCONNECT mprj_dat_i_user[30] user_wb_dat_gates\[30\].A (0.284:0.284:0.284) (0.284:0.284:0.284)) - (INTERCONNECT mprj_dat_i_user[30] ANTENNA_2073.DIODE (0.284:0.284:0.284) (0.284:0.284:0.284)) - (INTERCONNECT mprj_dat_i_user[31] user_wb_dat_gates\[31\].A (0.180:0.180:0.180) (0.180:0.180:0.180)) - (INTERCONNECT mprj_dat_i_user[31] ANTENNA_152.DIODE (0.180:0.180:0.180) (0.180:0.180:0.180)) - (INTERCONNECT mprj_dat_i_user[31] ANTENNA_153.DIODE (0.180:0.180:0.180) (0.180:0.180:0.180)) - (INTERCONNECT mprj_dat_i_user[31] ANTENNA_154.DIODE (0.180:0.180:0.180) (0.180:0.180:0.180)) - (INTERCONNECT mprj_dat_i_user[31] ANTENNA_155.DIODE (0.180:0.180:0.180) (0.180:0.180:0.180)) - (INTERCONNECT mprj_dat_i_user[31] ANTENNA_156.DIODE (0.180:0.180:0.180) (0.180:0.180:0.180)) - (INTERCONNECT mprj_dat_i_user[31] ANTENNA_157.DIODE (0.180:0.180:0.180) (0.179:0.179:0.179)) - (INTERCONNECT mprj_dat_i_user[31] ANTENNA_158.DIODE (0.180:0.180:0.180) (0.180:0.180:0.180)) - (INTERCONNECT mprj_dat_i_user[31] ANTENNA_159.DIODE (0.180:0.180:0.180) (0.180:0.180:0.180)) - (INTERCONNECT mprj_dat_i_user[31] ANTENNA_160.DIODE (0.180:0.180:0.180) (0.180:0.180:0.180)) - (INTERCONNECT mprj_dat_i_user[31] ANTENNA_161.DIODE (0.180:0.180:0.180) (0.180:0.180:0.180)) - (INTERCONNECT mprj_dat_i_user[31] ANTENNA_162.DIODE (0.180:0.180:0.180) (0.180:0.180:0.180)) - (INTERCONNECT mprj_dat_i_user[3] user_wb_dat_gates\[3\].A (0.262:0.262:0.262) (0.262:0.262:0.262)) - (INTERCONNECT mprj_dat_i_user[3] ANTENNA_163.DIODE (0.262:0.262:0.262) (0.262:0.262:0.262)) - (INTERCONNECT mprj_dat_i_user[3] ANTENNA_2323.DIODE (0.262:0.262:0.262) (0.262:0.262:0.262)) - (INTERCONNECT mprj_dat_i_user[3] ANTENNA_2324.DIODE (0.262:0.262:0.262) (0.262:0.262:0.262)) - (INTERCONNECT mprj_dat_i_user[3] ANTENNA_2325.DIODE (0.262:0.262:0.262) (0.262:0.262:0.262)) - (INTERCONNECT mprj_dat_i_user[3] ANTENNA_2326.DIODE (0.263:0.263:0.263) (0.262:0.262:0.262)) - (INTERCONNECT mprj_dat_i_user[3] ANTENNA_2327.DIODE (0.263:0.263:0.263) (0.262:0.262:0.262)) - (INTERCONNECT mprj_dat_i_user[3] ANTENNA_2328.DIODE (0.263:0.263:0.263) (0.262:0.262:0.262)) - (INTERCONNECT mprj_dat_i_user[3] ANTENNA_2329.DIODE (0.263:0.263:0.263) (0.262:0.262:0.262)) - (INTERCONNECT mprj_dat_i_user[3] ANTENNA_2330.DIODE (0.263:0.263:0.263) (0.262:0.262:0.262)) - (INTERCONNECT mprj_dat_i_user[3] ANTENNA_2331.DIODE (0.263:0.263:0.263) (0.262:0.262:0.262)) - (INTERCONNECT mprj_dat_i_user[3] ANTENNA_2332.DIODE (0.263:0.263:0.263) (0.262:0.262:0.262)) - (INTERCONNECT mprj_dat_i_user[3] ANTENNA_2333.DIODE (0.263:0.263:0.263) (0.262:0.262:0.262)) - (INTERCONNECT mprj_dat_i_user[3] ANTENNA_2334.DIODE (0.263:0.263:0.263) (0.262:0.262:0.262)) - (INTERCONNECT mprj_dat_i_user[4] user_wb_dat_gates\[4\].A (0.257:0.257:0.257) (0.256:0.256:0.256)) - (INTERCONNECT mprj_dat_i_user[4] ANTENNA_164.DIODE (0.257:0.257:0.257) (0.256:0.256:0.256)) - (INTERCONNECT mprj_dat_i_user[4] ANTENNA_2335.DIODE (0.257:0.257:0.257) (0.256:0.256:0.256)) - (INTERCONNECT mprj_dat_i_user[4] ANTENNA_2336.DIODE (0.257:0.257:0.257) (0.256:0.256:0.256)) - (INTERCONNECT mprj_dat_i_user[4] ANTENNA_2337.DIODE (0.257:0.257:0.257) (0.256:0.256:0.256)) - (INTERCONNECT mprj_dat_i_user[4] ANTENNA_2338.DIODE (0.257:0.257:0.257) (0.256:0.256:0.256)) - (INTERCONNECT mprj_dat_i_user[4] ANTENNA_2339.DIODE (0.257:0.257:0.257) (0.256:0.256:0.256)) - (INTERCONNECT mprj_dat_i_user[4] ANTENNA_2340.DIODE (0.257:0.257:0.257) (0.256:0.256:0.256)) - (INTERCONNECT mprj_dat_i_user[4] ANTENNA_2341.DIODE (0.257:0.257:0.257) (0.256:0.256:0.256)) - (INTERCONNECT mprj_dat_i_user[4] ANTENNA_2342.DIODE (0.257:0.257:0.257) (0.256:0.256:0.256)) - (INTERCONNECT mprj_dat_i_user[4] ANTENNA_2343.DIODE (0.256:0.256:0.256) (0.256:0.256:0.256)) - (INTERCONNECT mprj_dat_i_user[4] ANTENNA_2344.DIODE (0.257:0.257:0.257) (0.256:0.256:0.256)) - (INTERCONNECT mprj_dat_i_user[4] ANTENNA_2345.DIODE (0.257:0.257:0.257) (0.256:0.256:0.256)) - (INTERCONNECT mprj_dat_i_user[4] ANTENNA_2346.DIODE (0.257:0.257:0.257) (0.256:0.256:0.256)) - (INTERCONNECT mprj_dat_i_user[4] ANTENNA_2347.DIODE (0.257:0.257:0.257) (0.256:0.256:0.256)) - (INTERCONNECT mprj_dat_i_user[4] ANTENNA_2348.DIODE (0.257:0.257:0.257) (0.256:0.256:0.256)) - (INTERCONNECT mprj_dat_i_user[5] user_wb_dat_gates\[5\].A (0.229:0.229:0.229) (0.228:0.228:0.228)) - (INTERCONNECT mprj_dat_i_user[5] ANTENNA_165.DIODE (0.229:0.229:0.229) (0.228:0.228:0.228)) - (INTERCONNECT mprj_dat_i_user[5] ANTENNA_2445.DIODE (0.229:0.229:0.229) (0.228:0.228:0.228)) - (INTERCONNECT mprj_dat_i_user[5] ANTENNA_2446.DIODE (0.228:0.228:0.228) (0.228:0.228:0.228)) - (INTERCONNECT mprj_dat_i_user[5] ANTENNA_2447.DIODE (0.229:0.229:0.229) (0.228:0.228:0.228)) - (INTERCONNECT mprj_dat_i_user[5] ANTENNA_2448.DIODE (0.229:0.229:0.229) (0.228:0.228:0.228)) - (INTERCONNECT mprj_dat_i_user[5] ANTENNA_2449.DIODE (0.229:0.229:0.229) (0.228:0.228:0.228)) - (INTERCONNECT mprj_dat_i_user[5] ANTENNA_2450.DIODE (0.228:0.228:0.228) (0.228:0.228:0.228)) - (INTERCONNECT mprj_dat_i_user[5] ANTENNA_2451.DIODE (0.229:0.229:0.229) (0.228:0.228:0.228)) - (INTERCONNECT mprj_dat_i_user[5] ANTENNA_2452.DIODE (0.229:0.229:0.229) (0.228:0.228:0.228)) - (INTERCONNECT mprj_dat_i_user[5] ANTENNA_2453.DIODE (0.229:0.229:0.229) (0.228:0.228:0.228)) - (INTERCONNECT mprj_dat_i_user[5] ANTENNA_2454.DIODE (0.228:0.228:0.228) (0.228:0.228:0.228)) - (INTERCONNECT mprj_dat_i_user[5] ANTENNA_2455.DIODE (0.229:0.229:0.229) (0.228:0.228:0.228)) - (INTERCONNECT mprj_dat_i_user[5] ANTENNA_2456.DIODE (0.229:0.229:0.229) (0.228:0.228:0.228)) - (INTERCONNECT mprj_dat_i_user[5] ANTENNA_2457.DIODE (0.229:0.229:0.229) (0.228:0.228:0.228)) - (INTERCONNECT mprj_dat_i_user[5] ANTENNA_2458.DIODE (0.229:0.229:0.229) (0.228:0.228:0.228)) - (INTERCONNECT mprj_dat_i_user[6] user_wb_dat_gates\[6\].A (0.182:0.182:0.182) (0.181:0.181:0.181)) - (INTERCONNECT mprj_dat_i_user[6] ANTENNA_166.DIODE (0.182:0.182:0.182) (0.181:0.181:0.181)) - (INTERCONNECT mprj_dat_i_user[6] ANTENNA_167.DIODE (0.182:0.182:0.182) (0.181:0.181:0.181)) - (INTERCONNECT mprj_dat_i_user[6] ANTENNA_168.DIODE (0.182:0.182:0.182) (0.181:0.181:0.181)) - (INTERCONNECT mprj_dat_i_user[6] ANTENNA_169.DIODE (0.182:0.182:0.182) (0.181:0.181:0.181)) - (INTERCONNECT mprj_dat_i_user[6] ANTENNA_170.DIODE (0.182:0.182:0.182) (0.181:0.181:0.181)) - (INTERCONNECT mprj_dat_i_user[6] ANTENNA_171.DIODE (0.182:0.182:0.182) (0.181:0.181:0.181)) - (INTERCONNECT mprj_dat_i_user[6] ANTENNA_172.DIODE (0.181:0.181:0.181) (0.181:0.181:0.181)) - (INTERCONNECT mprj_dat_i_user[6] ANTENNA_173.DIODE (0.182:0.182:0.182) (0.181:0.181:0.181)) - (INTERCONNECT mprj_dat_i_user[6] ANTENNA_174.DIODE (0.182:0.182:0.182) (0.181:0.181:0.181)) - (INTERCONNECT mprj_dat_i_user[6] ANTENNA_175.DIODE (0.182:0.182:0.182) (0.181:0.181:0.181)) - (INTERCONNECT mprj_dat_i_user[6] ANTENNA_176.DIODE (0.181:0.181:0.181) (0.181:0.181:0.181)) - (INTERCONNECT mprj_dat_i_user[7] user_wb_dat_gates\[7\].A (0.300:0.300:0.300) (0.300:0.300:0.300)) - (INTERCONNECT mprj_dat_i_user[8] user_wb_dat_gates\[8\].A (0.206:0.206:0.206) (0.205:0.205:0.205)) - (INTERCONNECT mprj_dat_i_user[8] ANTENNA_177.DIODE (0.206:0.206:0.206) (0.205:0.205:0.205)) - (INTERCONNECT mprj_dat_i_user[8] ANTENNA_178.DIODE (0.206:0.206:0.206) (0.205:0.205:0.205)) - (INTERCONNECT mprj_dat_i_user[8] ANTENNA_179.DIODE (0.206:0.206:0.206) (0.205:0.205:0.205)) - (INTERCONNECT mprj_dat_i_user[8] ANTENNA_180.DIODE (0.206:0.206:0.206) (0.205:0.205:0.205)) - (INTERCONNECT mprj_dat_i_user[8] ANTENNA_181.DIODE (0.206:0.206:0.206) (0.205:0.205:0.205)) - (INTERCONNECT mprj_dat_i_user[8] ANTENNA_182.DIODE (0.206:0.206:0.206) (0.205:0.205:0.205)) - (INTERCONNECT mprj_dat_i_user[8] ANTENNA_183.DIODE (0.206:0.206:0.206) (0.205:0.205:0.205)) - (INTERCONNECT mprj_dat_i_user[8] ANTENNA_184.DIODE (0.206:0.206:0.206) (0.205:0.205:0.205)) - (INTERCONNECT mprj_dat_i_user[8] ANTENNA_185.DIODE (0.206:0.206:0.206) (0.205:0.205:0.205)) - (INTERCONNECT mprj_dat_i_user[8] ANTENNA_186.DIODE (0.206:0.206:0.206) (0.205:0.205:0.205)) - (INTERCONNECT mprj_dat_i_user[8] ANTENNA_187.DIODE (0.206:0.206:0.206) (0.205:0.205:0.205)) - (INTERCONNECT mprj_dat_i_user[8] ANTENNA_2349.DIODE (0.206:0.206:0.206) (0.205:0.205:0.205)) - (INTERCONNECT mprj_dat_i_user[8] ANTENNA_2350.DIODE (0.206:0.206:0.206) (0.205:0.205:0.205)) - (INTERCONNECT mprj_dat_i_user[8] ANTENNA_2351.DIODE (0.206:0.206:0.206) (0.205:0.205:0.205)) - (INTERCONNECT mprj_dat_i_user[8] ANTENNA_2352.DIODE (0.206:0.206:0.206) (0.205:0.205:0.205)) - (INTERCONNECT mprj_dat_i_user[8] ANTENNA_2353.DIODE (0.206:0.206:0.206) (0.205:0.205:0.205)) - (INTERCONNECT mprj_dat_i_user[8] ANTENNA_2354.DIODE (0.206:0.206:0.206) (0.205:0.205:0.205)) - (INTERCONNECT mprj_dat_i_user[8] ANTENNA_2355.DIODE (0.206:0.206:0.206) (0.205:0.205:0.205)) - (INTERCONNECT mprj_dat_i_user[8] ANTENNA_2356.DIODE (0.206:0.206:0.206) (0.205:0.205:0.205)) - (INTERCONNECT mprj_dat_i_user[8] ANTENNA_2357.DIODE (0.206:0.206:0.206) (0.205:0.205:0.205)) - (INTERCONNECT mprj_dat_i_user[8] ANTENNA_2358.DIODE (0.206:0.206:0.206) (0.205:0.205:0.205)) - (INTERCONNECT mprj_dat_i_user[8] ANTENNA_2359.DIODE (0.206:0.206:0.206) (0.205:0.205:0.205)) - (INTERCONNECT mprj_dat_i_user[8] ANTENNA_2360.DIODE (0.206:0.206:0.206) (0.205:0.205:0.205)) - (INTERCONNECT mprj_dat_i_user[9] user_wb_dat_gates\[9\].A (0.213:0.213:0.213) (0.212:0.212:0.212)) - (INTERCONNECT mprj_dat_i_user[9] ANTENNA_188.DIODE (0.213:0.213:0.213) (0.212:0.212:0.212)) - (INTERCONNECT mprj_dat_i_user[9] ANTENNA_189.DIODE (0.213:0.213:0.213) (0.212:0.212:0.212)) - (INTERCONNECT mprj_dat_i_user[9] ANTENNA_190.DIODE (0.213:0.213:0.213) (0.212:0.212:0.212)) - (INTERCONNECT mprj_dat_i_user[9] ANTENNA_191.DIODE (0.213:0.213:0.213) (0.212:0.212:0.212)) - (INTERCONNECT mprj_dat_i_user[9] ANTENNA_192.DIODE (0.213:0.213:0.213) (0.212:0.212:0.212)) - (INTERCONNECT mprj_dat_i_user[9] ANTENNA_193.DIODE (0.213:0.213:0.213) (0.212:0.212:0.212)) - (INTERCONNECT mprj_dat_i_user[9] ANTENNA_194.DIODE (0.213:0.213:0.213) (0.212:0.212:0.212)) - (INTERCONNECT mprj_dat_i_user[9] ANTENNA_195.DIODE (0.213:0.213:0.213) (0.212:0.212:0.212)) - (INTERCONNECT mprj_dat_i_user[9] ANTENNA_196.DIODE (0.213:0.213:0.213) (0.212:0.212:0.212)) - (INTERCONNECT mprj_dat_i_user[9] ANTENNA_197.DIODE (0.213:0.213:0.213) (0.212:0.212:0.212)) - (INTERCONNECT mprj_dat_o_core[0] input421.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_dat_o_core[10] input422.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_dat_o_core[11] input423.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_dat_o_core[12] input424.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_dat_o_core[13] input425.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_dat_o_core[14] input426.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_dat_o_core[15] input427.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_dat_o_core[16] input428.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_dat_o_core[17] input429.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_dat_o_core[18] input430.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_dat_o_core[19] input431.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_dat_o_core[1] input432.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_dat_o_core[20] input433.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_dat_o_core[21] input434.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_dat_o_core[22] input435.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_dat_o_core[23] input436.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_dat_o_core[24] input437.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_dat_o_core[25] input438.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_dat_o_core[26] input439.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_dat_o_core[27] input440.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_dat_o_core[28] input441.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_dat_o_core[29] input442.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_dat_o_core[2] input443.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_dat_o_core[30] input444.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_dat_o_core[31] input445.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_dat_o_core[3] input446.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_dat_o_core[4] input447.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_dat_o_core[5] input448.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_dat_o_core[6] input449.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_dat_o_core[7] input450.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_dat_o_core[8] input451.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_dat_o_core[9] input452.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_iena_wb input453.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_sel_o_core[0] input454.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_sel_o_core[1] input455.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_sel_o_core[2] input456.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_sel_o_core[3] input457.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_stb_o_core input458.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_we_o_core input459.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT user_irq_core[0] user_irq_gates\[0\].A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT user_irq_core[1] user_irq_gates\[1\].A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT user_irq_core[2] user_irq_gates\[2\].A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT user_irq_ena[0] input460.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT user_irq_ena[1] input461.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT user_irq_ena[2] input462.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _000_.Y output627.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _001_.Y output628.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _002_.Y output629.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _003_.Y output631.A (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _004_.Y output632.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _005_.Y output633.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _006_.Y output634.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _007_.Y output635.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _008_.Y output636.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _009_.Y output637.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _010_.Y output638.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _011_.Y output639.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _012_.Y output640.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _013_.Y output642.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _014_.Y output643.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _015_.Y output644.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _016_.Y output645.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _017_.Y output646.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _018_.Y output647.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _019_.Y output648.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _020_.Y output649.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _021_.Y output650.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _022_.Y output651.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _023_.Y output653.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _024_.Y output654.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _025_.Y output655.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _026_.Y output656.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _027_.Y output657.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _028_.Y output658.A (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _029_.Y output659.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _030_.Y output660.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _031_.Y output661.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _032_.Y output662.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _033_.Y output664.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _034_.Y output665.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _035_.Y output666.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _036_.Y output667.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _037_.Y output668.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _038_.Y output669.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _039_.Y output670.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _040_.Y output671.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _041_.Y output672.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _042_.Y output673.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _043_.Y output675.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _044_.Y output676.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _045_.Y output677.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _046_.Y output678.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _047_.Y output679.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _048_.Y output680.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _049_.Y output681.A (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _050_.Y output682.A (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _051_.Y output683.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _052_.Y output684.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _053_.Y output686.A (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _054_.Y output687.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _055_.Y output688.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _056_.Y output689.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _057_.Y output690.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _058_.Y output691.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _059_.Y output692.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _060_.Y output693.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _061_.Y output694.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _062_.Y output695.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _063_.Y output697.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _064_.Y output698.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _065_.Y output699.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _066_.Y output700.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _067_.Y output701.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _068_.Y output702.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _069_.Y output703.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _070_.Y output704.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _071_.Y output705.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _072_.Y output706.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _073_.Y output708.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _074_.Y output709.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _075_.Y output710.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _076_.Y output711.A (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _077_.Y output712.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _078_.Y output713.A (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _079_.Y output714.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _080_.Y output715.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _081_.Y output716.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _082_.Y output717.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _083_.Y output592.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _084_.Y output593.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _085_.Y output594.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _086_.Y output595.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _087_.Y output596.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _088_.Y output597.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _089_.Y output598.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _090_.Y output599.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _091_.Y output600.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _092_.Y output601.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _093_.Y output603.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _094_.Y output604.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _095_.Y output605.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _096_.Y output606.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _097_.Y output607.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _098_.Y output608.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _099_.Y output609.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _100_.Y output610.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _101_.Y output611.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _102_.Y output612.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _103_.Y output614.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _104_.Y output615.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _105_.Y output616.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _106_.Y output617.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _107_.Y output618.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _108_.Y output619.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _109_.Y output620.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _110_.Y output621.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _111_.Y output957.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _112_.Y output958.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _113_.Y output959.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _114_.Y output881.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _115_.Y output892.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _116_.Y output903.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _117_.Y output906.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _118_.Y output907.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _119_.Y output908.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _120_.Y output909.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _121_.Y output910.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _122_.Y output911.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _123_.Y output912.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _124_.Y output882.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _125_.Y output883.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _126_.Y output884.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _127_.Y output885.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _128_.Y output886.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _129_.Y output887.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _130_.Y output888.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _131_.Y output889.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _132_.Y output890.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _133_.Y output891.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _134_.Y output893.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _135_.Y output894.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _136_.Y output895.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _137_.Y output896.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _138_.Y output897.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _139_.Y output898.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _140_.Y output899.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _141_.Y output900.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _142_.Y output901.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _143_.Y output902.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _144_.Y output904.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _145_.Y output905.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _146_.Y output847.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _147_.Y output591.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _148_.Y output630.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _149_.Y output641.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _150_.Y output652.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _151_.Y output663.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _152_.Y output674.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _153_.Y output685.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _154_.Y output696.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _155_.Y output707.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _156_.Y output718.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _157_.Y output602.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _158_.Y output613.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _159_.Y output622.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _160_.Y output623.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _161_.Y output624.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _162_.Y output625.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _163_.Y output626.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _164_.X user_to_mprj_in_gates\[1\].B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _165_.X user_to_mprj_in_gates\[2\].B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _166_.X user_to_mprj_in_gates\[3\].B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _167_.X user_to_mprj_in_gates\[4\].B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _168_.X user_to_mprj_in_gates\[5\].B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _169_.X user_to_mprj_in_gates\[6\].B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _170_.X user_to_mprj_in_gates\[7\].B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _171_.X user_to_mprj_in_gates\[8\].B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _172_.X user_to_mprj_in_gates\[9\].B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _173_.X user_to_mprj_in_gates\[10\].B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _174_.X user_to_mprj_in_gates\[11\].B (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT _175_.X user_to_mprj_in_gates\[12\].B (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT _176_.X user_to_mprj_in_gates\[13\].B (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _177_.X user_to_mprj_in_gates\[14\].B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _178_.X user_to_mprj_in_gates\[15\].B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _179_.X user_to_mprj_in_gates\[16\].B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _180_.X user_to_mprj_in_gates\[17\].B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _181_.X user_to_mprj_in_gates\[18\].B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _182_.X user_to_mprj_in_gates\[19\].B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _183_.X user_to_mprj_in_gates\[20\].B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _184_.X user_to_mprj_in_gates\[21\].B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _185_.X user_to_mprj_in_gates\[22\].B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _186_.X user_to_mprj_in_gates\[23\].B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _187_.X user_to_mprj_in_gates\[24\].B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _188_.X user_to_mprj_in_gates\[25\].B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _189_.X user_to_mprj_in_gates\[26\].B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _190_.X user_to_mprj_in_gates\[27\].B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _191_.X user_to_mprj_in_gates\[28\].B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _192_.X user_to_mprj_in_gates\[29\].B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _193_.X user_to_mprj_in_gates\[30\].B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _194_.X user_to_mprj_in_gates\[31\].B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _195_.X user_to_mprj_in_gates\[32\].B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _196_.X user_to_mprj_in_gates\[33\].B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _197_.X user_to_mprj_in_gates\[34\].B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _198_.X user_to_mprj_in_gates\[35\].B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _199_.X user_to_mprj_in_gates\[36\].B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _200_.X user_to_mprj_in_gates\[37\].B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _201_.X user_to_mprj_in_gates\[38\].B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _202_.X user_to_mprj_in_gates\[39\].B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _203_.X user_to_mprj_in_gates\[40\].B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _204_.X user_to_mprj_in_gates\[41\].B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _205_.X user_to_mprj_in_gates\[42\].B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _206_.X user_to_mprj_in_gates\[43\].B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _207_.X user_to_mprj_in_gates\[44\].B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _208_.X user_to_mprj_in_gates\[45\].B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _209_.X user_to_mprj_in_gates\[46\].B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _210_.X user_to_mprj_in_gates\[47\].B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _211_.X user_to_mprj_in_gates\[48\].B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _212_.X user_to_mprj_in_gates\[49\].B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _213_.X user_to_mprj_in_gates\[50\].B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _214_.X user_to_mprj_in_gates\[51\].B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _215_.X user_to_mprj_in_gates\[52\].B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _216_.X user_to_mprj_in_gates\[53\].B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _217_.X user_to_mprj_in_gates\[54\].B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _218_.X user_to_mprj_in_gates\[55\].B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _219_.X user_to_mprj_in_gates\[56\].B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _220_.X user_to_mprj_in_gates\[57\].B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _221_.X user_to_mprj_in_gates\[58\].B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _222_.X user_to_mprj_in_gates\[59\].B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _223_.X user_to_mprj_in_gates\[60\].B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _224_.X user_to_mprj_in_gates\[61\].B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _225_.X user_to_mprj_in_gates\[62\].B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _226_.X user_to_mprj_in_gates\[63\].B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _227_.X user_to_mprj_in_gates\[64\].B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _228_.X user_to_mprj_in_gates\[65\].B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _229_.X user_to_mprj_in_gates\[66\].B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _230_.X user_to_mprj_in_gates\[67\].B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _231_.X user_to_mprj_in_gates\[68\].B (0.000:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _232_.X user_to_mprj_in_gates\[69\].B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _233_.X user_to_mprj_in_gates\[70\].B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _234_.X user_to_mprj_in_gates\[71\].B (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _235_.X user_to_mprj_in_gates\[72\].B (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT _236_.X user_to_mprj_in_gates\[73\].B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _237_.X user_to_mprj_in_gates\[74\].B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _238_.X user_to_mprj_in_gates\[75\].B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _239_.X user_to_mprj_in_gates\[76\].B (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _240_.X user_to_mprj_in_gates\[77\].B (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT _241_.X user_to_mprj_in_gates\[78\].B (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT _242_.X user_to_mprj_in_gates\[79\].B (0.033:0.033:0.033) (0.031:0.031:0.031)) - (INTERCONNECT _243_.X user_to_mprj_in_gates\[80\].B (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT _244_.X user_to_mprj_in_gates\[81\].B (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _245_.X user_to_mprj_in_gates\[82\].B (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT _246_.X user_to_mprj_in_gates\[83\].B (0.053:0.053:0.053) (0.050:0.050:0.050)) - (INTERCONNECT _247_.X user_to_mprj_in_gates\[84\].B (0.056:0.056:0.056) (0.052:0.052:0.052)) - (INTERCONNECT _248_.X user_to_mprj_in_gates\[85\].B (0.055:0.056:0.056) (0.052:0.052:0.052)) - (INTERCONNECT _249_.X user_to_mprj_in_gates\[86\].B (0.061:0.061:0.061) (0.058:0.058:0.058)) - (INTERCONNECT _250_.X user_to_mprj_in_gates\[87\].B (0.074:0.074:0.074) (0.071:0.071:0.071)) - (INTERCONNECT _251_.X user_to_mprj_in_gates\[88\].B (0.091:0.091:0.091) (0.086:0.087:0.087)) - (INTERCONNECT _252_.X user_to_mprj_in_gates\[89\].B (0.076:0.076:0.076) (0.071:0.071:0.071)) - (INTERCONNECT _253_.X user_to_mprj_in_gates\[90\].B (0.073:0.073:0.073) (0.068:0.068:0.068)) - (INTERCONNECT _254_.X user_to_mprj_in_gates\[91\].B (0.060:0.060:0.060) (0.057:0.057:0.057)) - (INTERCONNECT _255_.X user_to_mprj_in_gates\[92\].B (0.055:0.055:0.055) (0.052:0.052:0.052)) - (INTERCONNECT _256_.X user_to_mprj_in_gates\[93\].B (0.039:0.039:0.039) (0.037:0.037:0.037)) - (INTERCONNECT _257_.X user_to_mprj_in_gates\[94\].B (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT _258_.X user_to_mprj_in_gates\[95\].B (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT _259_.X user_to_mprj_in_gates\[96\].B (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _260_.X user_to_mprj_in_gates\[97\].B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _261_.X user_to_mprj_in_gates\[98\].B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _262_.X user_to_mprj_in_gates\[99\].B (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _263_.X user_to_mprj_in_gates\[100\].B (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _264_.X user_to_mprj_in_gates\[101\].B (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _265_.X user_to_mprj_in_gates\[102\].B (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _266_.X user_to_mprj_in_gates\[103\].B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _267_.X user_to_mprj_in_gates\[104\].B (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _268_.X user_to_mprj_in_gates\[105\].B (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _269_.X user_to_mprj_in_gates\[106\].B (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _270_.X user_to_mprj_in_gates\[107\].B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _271_.X user_to_mprj_in_gates\[108\].B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _272_.X user_to_mprj_in_gates\[109\].B (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT _273_.X user_to_mprj_in_gates\[110\].B (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT _274_.X user_to_mprj_in_gates\[111\].B (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT _275_.X user_to_mprj_in_gates\[112\].B (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _276_.X user_to_mprj_in_gates\[113\].B (0.017:0.017:0.017) (0.016:0.017:0.017)) - (INTERCONNECT _277_.X user_to_mprj_in_gates\[114\].B (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _278_.X user_to_mprj_in_gates\[115\].B (0.030:0.030:0.030) (0.028:0.029:0.029)) - (INTERCONNECT _279_.X user_to_mprj_in_gates\[116\].B (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT _280_.X user_to_mprj_in_gates\[117\].B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _281_.X user_to_mprj_in_gates\[118\].B (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _282_.X user_to_mprj_in_gates\[119\].B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _283_.X user_to_mprj_in_gates\[120\].B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _284_.X user_to_mprj_in_gates\[121\].B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _285_.X user_to_mprj_in_gates\[122\].B (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _286_.X user_to_mprj_in_gates\[123\].B (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _287_.X user_to_mprj_in_gates\[124\].B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _288_.X user_to_mprj_in_gates\[125\].B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _289_.X user_to_mprj_in_gates\[126\].B (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _290_.X user_to_mprj_in_gates\[127\].B (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT _291_.X user_irq_gates\[0\].B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.X user_irq_gates\[1\].B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _293_.X user_irq_gates\[2\].B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _294_.X user_wb_dat_gates\[15\].B (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT _294_.X user_wb_dat_gates\[14\].B (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _294_.X user_wb_dat_gates\[13\].B (0.022:0.022:0.022) (0.020:0.020:0.020)) - (INTERCONNECT _294_.X user_wb_dat_gates\[12\].B (0.024:0.024:0.024) (0.022:0.022:0.022)) - (INTERCONNECT _294_.X user_wb_dat_gates\[11\].B (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT _294_.X user_wb_dat_gates\[10\].B (0.023:0.023:0.023) (0.021:0.021:0.021)) - (INTERCONNECT _294_.X user_wb_dat_gates\[9\].B (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT _294_.X user_wb_dat_gates\[8\].B (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _294_.X user_wb_dat_gates\[7\].B (0.024:0.024:0.024) (0.022:0.022:0.022)) - (INTERCONNECT _294_.X user_wb_dat_gates\[6\].B (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT _294_.X user_wb_dat_gates\[5\].B (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _294_.X user_wb_dat_gates\[4\].B (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT _294_.X user_wb_dat_gates\[3\].B (0.025:0.025:0.025) (0.023:0.023:0.023)) - (INTERCONNECT _294_.X user_wb_dat_gates\[2\].B (0.025:0.025:0.025) (0.023:0.023:0.023)) - (INTERCONNECT _294_.X user_wb_dat_gates\[1\].B (0.025:0.025:0.025) (0.023:0.023:0.023)) - (INTERCONNECT _294_.X user_wb_ack_gate.B (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _294_.X user_wb_dat_gates\[0\].B (0.025:0.025:0.025) (0.023:0.023:0.023)) - (INTERCONNECT _294_.X user_wb_dat_gates\[16\].B (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _294_.X user_wb_dat_gates\[17\].B (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _294_.X user_wb_dat_gates\[18\].B (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _294_.X user_wb_dat_gates\[19\].B (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _294_.X user_wb_dat_gates\[20\].B (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT _294_.X user_wb_dat_gates\[21\].B (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT _294_.X user_wb_dat_gates\[22\].B (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT _294_.X user_wb_dat_gates\[23\].B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _294_.X user_wb_dat_gates\[24\].B (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _294_.X user_wb_dat_gates\[25\].B (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT _294_.X user_wb_dat_gates\[26\].B (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _294_.X user_wb_dat_gates\[27\].B (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT _294_.X user_wb_dat_gates\[28\].B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _294_.X user_wb_dat_gates\[29\].B (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _294_.X user_wb_dat_gates\[30\].B (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT _294_.X user_wb_dat_gates\[31\].B (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT _295_.X output960.A (0.037:0.037:0.037) (0.035:0.035:0.035)) - (INTERCONNECT _296_.X output955.A (0.109:0.109:0.109) (0.107:0.107:0.107)) - (INTERCONNECT _296_.X ANTENNA_2444.DIODE (0.109:0.109:0.109) (0.107:0.107:0.107)) - (INTERCONNECT _297_.X output956.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _298_.X output880.A (0.073:0.073:0.073) (0.069:0.069:0.069)) - (INTERCONNECT _299_.X output949.A (0.122:0.122:0.123) (0.120:0.120:0.120)) - (INTERCONNECT _299_.X ANTENNA_2273.DIODE (0.122:0.122:0.122) (0.120:0.120:0.120)) - (INTERCONNECT _300_.X output950.A (0.121:0.121:0.121) (0.116:0.116:0.117)) - (INTERCONNECT _300_.X ANTENNA_2058.DIODE (0.121:0.121:0.121) (0.116:0.116:0.117)) - (INTERCONNECT _301_.X output945.A (0.119:0.119:0.119) (0.116:0.116:0.116)) - (INTERCONNECT _302_.X output946.A (0.123:0.124:0.124) (0.121:0.121:0.121)) - (INTERCONNECT _303_.X output947.A (0.128:0.128:0.128) (0.124:0.124:0.124)) - (INTERCONNECT _304_.X output948.A (0.124:0.124:0.124) (0.121:0.121:0.121)) - (INTERCONNECT _304_.X ANTENNA_2057.DIODE (0.124:0.124:0.124) (0.121:0.121:0.121)) - (INTERCONNECT _305_.X output848.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _306_.X output859.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _307_.X output870.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _308_.X output873.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _309_.X output874.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _310_.X output875.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _311_.X output876.A (0.057:0.057:0.057) (0.054:0.054:0.054)) - (INTERCONNECT _311_.X ANTENNA_2044.DIODE (0.057:0.057:0.057) (0.054:0.054:0.054)) - (INTERCONNECT _312_.X output877.A (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _313_.X output878.A (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _314_.X output879.A (0.050:0.050:0.050) (0.048:0.048:0.048)) - (INTERCONNECT _314_.X ANTENNA_2045.DIODE (0.050:0.050:0.050) (0.048:0.048:0.048)) - (INTERCONNECT _315_.X output849.A (0.175:0.175:0.175) (0.176:0.176:0.177)) - (INTERCONNECT _315_.X ANTENNA_2039.DIODE (0.175:0.175:0.175) (0.176:0.176:0.177)) - (INTERCONNECT _316_.X output850.A (0.129:0.129:0.129) (0.124:0.125:0.125)) - (INTERCONNECT _317_.X output851.A (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT _318_.X output852.A (0.078:0.078:0.078) (0.074:0.074:0.074)) - (INTERCONNECT _318_.X ANTENNA_2040.DIODE (0.078:0.078:0.078) (0.074:0.074:0.074)) - (INTERCONNECT _319_.X output853.A (0.063:0.063:0.063) (0.059:0.059:0.059)) - (INTERCONNECT _319_.X ANTENNA_2041.DIODE (0.063:0.063:0.063) (0.059:0.059:0.059)) - (INTERCONNECT _320_.X output854.A (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _321_.X output855.A (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _322_.X output856.A (0.071:0.071:0.071) (0.067:0.067:0.067)) - (INTERCONNECT _322_.X ANTENNA_2042.DIODE (0.071:0.071:0.071) (0.067:0.067:0.067)) - (INTERCONNECT _323_.X output857.A (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _324_.X output858.A (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT _325_.X output860.A (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _325_.X ANTENNA_2043.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _326_.X output861.A (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _327_.X output862.A (0.088:0.088:0.088) (0.083:0.084:0.084)) - (INTERCONNECT _328_.X output863.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _329_.X output864.A (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _330_.X output865.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _331_.X output866.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _332_.X output867.A (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _333_.X output868.A (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _334_.X output869.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _335_.X output871.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _336_.X output872.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _337_.X output913.A (0.058:0.058:0.058) (0.055:0.055:0.055)) - (INTERCONNECT _338_.X output924.A (0.071:0.071:0.071) (0.068:0.068:0.068)) - (INTERCONNECT _339_.X output935.A (0.096:0.096:0.096) (0.092:0.092:0.093)) - (INTERCONNECT _339_.X ANTENNA_2269.DIODE (0.096:0.096:0.096) (0.092:0.092:0.092)) - (INTERCONNECT _340_.X output938.A (0.098:0.098:0.098) (0.094:0.094:0.094)) - (INTERCONNECT _341_.X output939.A (0.104:0.104:0.104) (0.100:0.100:0.100)) - (INTERCONNECT _342_.X output940.A (0.126:0.126:0.127) (0.124:0.124:0.124)) - (INTERCONNECT _343_.X output941.A (0.125:0.125:0.125) (0.122:0.122:0.122)) - (INTERCONNECT _344_.X output942.A (0.114:0.114:0.114) (0.110:0.110:0.110)) - (INTERCONNECT _344_.X ANTENNA_2055.DIODE (0.114:0.114:0.114) (0.110:0.110:0.110)) - (INTERCONNECT _345_.X output943.A (0.133:0.133:0.133) (0.130:0.130:0.130)) - (INTERCONNECT _345_.X ANTENNA_2272.DIODE (0.133:0.133:0.133) (0.130:0.130:0.130)) - (INTERCONNECT _346_.X output944.A (0.147:0.147:0.147) (0.144:0.144:0.145)) - (INTERCONNECT _346_.X ANTENNA_2056.DIODE (0.147:0.147:0.147) (0.144:0.144:0.144)) - (INTERCONNECT _347_.X output914.A (0.140:0.140:0.140) (0.136:0.136:0.137)) - (INTERCONNECT _347_.X ANTENNA_2046.DIODE (0.140:0.140:0.140) (0.136:0.136:0.136)) - (INTERCONNECT _348_.X output915.A (0.144:0.144:0.144) (0.142:0.143:0.143)) - (INTERCONNECT _348_.X ANTENNA_2047.DIODE (0.144:0.144:0.144) (0.142:0.143:0.143)) - (INTERCONNECT _349_.X output916.A (0.193:0.193:0.193) (0.194:0.195:0.195)) - (INTERCONNECT _349_.X ANTENNA_2048.DIODE (0.193:0.193:0.193) (0.194:0.195:0.195)) - (INTERCONNECT _350_.X output917.A (0.129:0.129:0.130) (0.128:0.128:0.128)) - (INTERCONNECT _350_.X ANTENNA_2049.DIODE (0.129:0.129:0.129) (0.128:0.128:0.128)) - (INTERCONNECT _351_.X output918.A (0.207:0.207:0.208) (0.209:0.209:0.210)) - (INTERCONNECT _351_.X ANTENNA_2050.DIODE (0.207:0.207:0.208) (0.208:0.209:0.210)) - (INTERCONNECT _352_.X output919.A (0.155:0.155:0.155) (0.154:0.154:0.154)) - (INTERCONNECT _353_.X output920.A (0.155:0.155:0.155) (0.154:0.154:0.155)) - (INTERCONNECT _353_.X ANTENNA_2051.DIODE (0.155:0.155:0.155) (0.154:0.154:0.155)) - (INTERCONNECT _354_.X output921.A (0.174:0.174:0.174) (0.178:0.179:0.179)) - (INTERCONNECT _355_.X output922.A (0.249:0.249:0.249) (0.248:0.249:0.250)) - (INTERCONNECT _355_.X ANTENNA_2052.DIODE (0.249:0.249:0.249) (0.248:0.249:0.250)) - (INTERCONNECT _356_.X output923.A (0.193:0.193:0.193) (0.199:0.199:0.200)) - (INTERCONNECT _357_.X output925.A (0.205:0.205:0.205) (0.211:0.212:0.213)) - (INTERCONNECT _357_.X ANTENNA_2053.DIODE (0.205:0.205:0.205) (0.211:0.212:0.213)) - (INTERCONNECT _358_.X output926.A (0.254:0.255:0.255) (0.254:0.254:0.255)) - (INTERCONNECT _358_.X ANTENNA_2262.DIODE (0.254:0.254:0.255) (0.254:0.254:0.255)) - (INTERCONNECT _359_.X output927.A (0.198:0.198:0.198) (0.205:0.205:0.206)) - (INTERCONNECT _359_.X ANTENNA_2263.DIODE (0.197:0.198:0.198) (0.204:0.205:0.206)) - (INTERCONNECT _360_.X output928.A (0.205:0.205:0.205) (0.205:0.205:0.206)) - (INTERCONNECT _361_.X output929.A (0.192:0.192:0.192) (0.193:0.194:0.194)) - (INTERCONNECT _361_.X ANTENNA_2264.DIODE (0.192:0.192:0.192) (0.193:0.194:0.194)) - (INTERCONNECT _362_.X output930.A (0.209:0.209:0.209) (0.205:0.205:0.205)) - (INTERCONNECT _362_.X ANTENNA_2054.DIODE (0.209:0.209:0.209) (0.204:0.205:0.205)) - (INTERCONNECT _363_.X output931.A (0.168:0.168:0.168) (0.167:0.167:0.167)) - (INTERCONNECT _363_.X ANTENNA_2265.DIODE (0.168:0.168:0.168) (0.167:0.167:0.167)) - (INTERCONNECT _364_.X output932.A (0.172:0.172:0.172) (0.171:0.171:0.171)) - (INTERCONNECT _364_.X ANTENNA_2266.DIODE (0.171:0.171:0.171) (0.171:0.171:0.171)) - (INTERCONNECT _365_.X output933.A (0.249:0.249:0.249) (0.255:0.256:0.257)) - (INTERCONNECT _365_.X ANTENNA_2267.DIODE (0.249:0.249:0.249) (0.255:0.256:0.257)) - (INTERCONNECT _366_.X output934.A (0.209:0.209:0.209) (0.212:0.212:0.213)) - (INTERCONNECT _366_.X ANTENNA_2268.DIODE (0.209:0.209:0.209) (0.212:0.212:0.212)) - (INTERCONNECT _367_.X output936.A (0.180:0.180:0.180) (0.180:0.180:0.181)) - (INTERCONNECT _367_.X ANTENNA_2270.DIODE (0.180:0.180:0.180) (0.180:0.180:0.181)) - (INTERCONNECT _368_.X output937.A (0.164:0.164:0.164) (0.162:0.163:0.163)) - (INTERCONNECT _368_.X ANTENNA_2271.DIODE (0.164:0.164:0.164) (0.162:0.162:0.163)) - (INTERCONNECT _369_.X output463.A (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT _370_.X output502.A (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _371_.X output513.A (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT _372_.X output524.A (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT _373_.X output535.A (0.036:0.036:0.036) (0.035:0.035:0.035)) - (INTERCONNECT _374_.X output546.A (0.033:0.033:0.033) (0.031:0.031:0.031)) - (INTERCONNECT _375_.X output557.A (0.035:0.035:0.035) (0.033:0.033:0.033)) - (INTERCONNECT _376_.X output568.A (0.053:0.053:0.053) (0.050:0.050:0.051)) - (INTERCONNECT _377_.X output579.A (0.052:0.052:0.052) (0.049:0.049:0.049)) - (INTERCONNECT _378_.X output590.A (0.039:0.039:0.039) (0.037:0.037:0.037)) - (INTERCONNECT _379_.X output474.A (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT _380_.X output485.A (0.025:0.025:0.025) (0.025:0.025:0.025)) - (INTERCONNECT _381_.X output494.A (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT _382_.X output495.A (0.030:0.030:0.030) (0.029:0.029:0.029)) - (INTERCONNECT _383_.X output496.A (0.032:0.032:0.032) (0.031:0.031:0.031)) - (INTERCONNECT _384_.X output497.A (0.037:0.037:0.037) (0.035:0.035:0.035)) - (INTERCONNECT _385_.X output498.A (0.031:0.031:0.031) (0.030:0.030:0.030)) - (INTERCONNECT _386_.X output499.A (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT _387_.X output500.A (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT _388_.X output501.A (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT _389_.X output503.A (0.026:0.026:0.026) (0.025:0.026:0.026)) - (INTERCONNECT _390_.X output504.A (0.033:0.033:0.033) (0.031:0.031:0.031)) - (INTERCONNECT _391_.X output505.A (0.035:0.035:0.035) (0.034:0.034:0.034)) - (INTERCONNECT _392_.X output506.A (0.037:0.037:0.037) (0.035:0.035:0.035)) - (INTERCONNECT _393_.X output507.A (0.039:0.039:0.039) (0.038:0.038:0.038)) - (INTERCONNECT _394_.X output508.A (0.037:0.037:0.037) (0.035:0.035:0.036)) - (INTERCONNECT _395_.X output509.A (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _396_.X output510.A (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _397_.X output511.A (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _398_.X output512.A (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT _399_.X output514.A (0.019:0.019:0.019) (0.018:0.018:0.019)) - (INTERCONNECT _400_.X output515.A (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _401_.X output516.A (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT _402_.X output517.A (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT _403_.X output518.A (0.023:0.023:0.023) (0.022:0.022:0.023)) - (INTERCONNECT _404_.X output519.A (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT _405_.X output520.A (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT _406_.X output521.A (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT _407_.X output522.A (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT _408_.X output523.A (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT _409_.X output525.A (0.030:0.030:0.030) (0.029:0.029:0.029)) - (INTERCONNECT _410_.X output526.A (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT _411_.X output527.A (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _412_.X output528.A (0.032:0.032:0.032) (0.031:0.031:0.031)) - (INTERCONNECT _413_.X output529.A (0.049:0.049:0.049) (0.046:0.046:0.046)) - (INTERCONNECT _414_.X output530.A (0.065:0.065:0.065) (0.063:0.063:0.063)) - (INTERCONNECT _414_.X ANTENNA_2032.DIODE (0.065:0.065:0.065) (0.063:0.063:0.063)) - (INTERCONNECT _415_.X output531.A (0.051:0.051:0.051) (0.049:0.049:0.049)) - (INTERCONNECT _416_.X output532.A (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT _417_.X output533.A (0.049:0.049:0.049) (0.046:0.046:0.046)) - (INTERCONNECT _418_.X output534.A (0.050:0.050:0.050) (0.047:0.047:0.047)) - (INTERCONNECT _419_.X output536.A (0.060:0.060:0.060) (0.057:0.057:0.057)) - (INTERCONNECT _420_.X output537.A (0.048:0.048:0.048) (0.046:0.046:0.046)) - (INTERCONNECT _421_.X output538.A (0.040:0.040:0.040) (0.039:0.039:0.039)) - (INTERCONNECT _422_.X output539.A (0.061:0.061:0.061) (0.057:0.057:0.057)) - (INTERCONNECT _423_.X output540.A (0.041:0.041:0.041) (0.039:0.039:0.039)) - (INTERCONNECT _424_.X output541.A (0.040:0.040:0.040) (0.038:0.038:0.038)) - (INTERCONNECT _425_.X output542.A (0.059:0.059:0.059) (0.056:0.056:0.056)) - (INTERCONNECT _425_.X ANTENNA_2033.DIODE (0.059:0.059:0.059) (0.056:0.056:0.056)) - (INTERCONNECT _426_.X output543.A (0.031:0.031:0.031) (0.030:0.030:0.030)) - (INTERCONNECT _427_.X output544.A (0.059:0.059:0.059) (0.055:0.055:0.055)) - (INTERCONNECT _427_.X ANTENNA_2034.DIODE (0.059:0.059:0.059) (0.055:0.055:0.055)) - (INTERCONNECT _428_.X output545.A (0.034:0.034:0.034) (0.033:0.033:0.033)) - (INTERCONNECT _429_.X output547.A (0.022:0.022:0.022) (0.021:0.022:0.022)) - (INTERCONNECT _430_.X output548.A (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _431_.X output549.A (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _432_.X output550.A (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _433_.X output551.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _434_.X output552.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _435_.X output553.A (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _436_.X output554.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _437_.X output555.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _438_.X output556.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _439_.X output558.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _440_.X output559.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _441_.X output560.A (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _442_.X output561.A (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _443_.X output562.A (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _444_.X output563.A (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _445_.X output564.A (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _446_.X output565.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _447_.X output566.A (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _448_.X output567.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _449_.X output569.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _450_.X output570.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _451_.X output571.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _452_.X output572.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _453_.X output573.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _454_.X output574.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _455_.X output575.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _456_.X output576.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _457_.X output577.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _458_.X output578.A (0.048:0.048:0.048) (0.045:0.045:0.045)) - (INTERCONNECT _459_.X output580.A (0.046:0.046:0.046) (0.043:0.043:0.043)) - (INTERCONNECT _460_.X output581.A (0.058:0.058:0.059) (0.056:0.056:0.056)) - (INTERCONNECT _461_.X output582.A (0.060:0.060:0.060) (0.058:0.058:0.058)) - (INTERCONNECT _462_.X output583.A (0.058:0.058:0.058) (0.056:0.056:0.056)) - (INTERCONNECT _463_.X output584.A (0.052:0.052:0.052) (0.049:0.049:0.049)) - (INTERCONNECT _464_.X output585.A (0.033:0.033:0.033) (0.031:0.031:0.031)) - (INTERCONNECT _465_.X output586.A (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT _465_.X ANTENNA_2035.DIODE (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT _466_.X output587.A (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT _467_.X output588.A (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT _468_.X output589.A (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT _469_.X output464.A (0.026:0.026:0.026) (0.025:0.026:0.026)) - (INTERCONNECT _469_.X ANTENNA_2031.DIODE (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT _470_.X output465.A (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _471_.X output466.A (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _472_.X output467.A (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT _473_.X output468.A (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT _474_.X output469.A (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT _475_.X output470.A (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _476_.X output471.A (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _477_.X output472.A (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _478_.X output473.A (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _479_.X output475.A (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _480_.X output476.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _481_.X output477.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _482_.X output478.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _483_.X output479.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _484_.X output480.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _485_.X output481.A (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _486_.X output482.A (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT _487_.X output483.A (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT _488_.X output484.A (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT _489_.X output486.A (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _490_.X output487.A (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _491_.X output488.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _492_.X output489.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _493_.X output490.A (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _494_.X output491.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _495_.X output492.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _496_.X output493.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _497_.X output719.A (0.030:0.030:0.030) (0.029:0.029:0.029)) - (INTERCONNECT _498_.X output758.A (0.031:0.031:0.031) (0.030:0.030:0.030)) - (INTERCONNECT _499_.X output769.A (0.037:0.037:0.037) (0.035:0.035:0.035)) - (INTERCONNECT _500_.X output780.A (0.047:0.047:0.047) (0.045:0.045:0.045)) - (INTERCONNECT _501_.X output791.A (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT _502_.X output802.A (0.043:0.043:0.043) (0.041:0.041:0.041)) - (INTERCONNECT _503_.X output813.A (0.036:0.036:0.036) (0.034:0.034:0.034)) - (INTERCONNECT _504_.X output824.A (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT _505_.X output835.A (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT _506_.X output846.A (0.030:0.030:0.030) (0.028:0.028:0.028)) - (INTERCONNECT _507_.X output730.A (0.030:0.030:0.030) (0.029:0.029:0.029)) - (INTERCONNECT _508_.X output741.A (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT _509_.X output750.A (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT _510_.X output751.A (0.041:0.041:0.041) (0.039:0.039:0.039)) - (INTERCONNECT _511_.X output752.A (0.041:0.041:0.041) (0.038:0.039:0.039)) - (INTERCONNECT _512_.X output753.A (0.039:0.039:0.039) (0.038:0.038:0.038)) - (INTERCONNECT _513_.X output754.A (0.038:0.038:0.038) (0.036:0.036:0.036)) - (INTERCONNECT _514_.X output755.A (0.046:0.046:0.046) (0.044:0.044:0.044)) - (INTERCONNECT _515_.X output756.A (0.038:0.038:0.039) (0.037:0.037:0.037)) - (INTERCONNECT _516_.X output757.A (0.040:0.040:0.040) (0.038:0.038:0.038)) - (INTERCONNECT _517_.X output759.A (0.042:0.042:0.042) (0.040:0.040:0.040)) - (INTERCONNECT _518_.X output760.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT _519_.X output761.A (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT _520_.X output762.A (0.030:0.030:0.030) (0.029:0.029:0.029)) - (INTERCONNECT _521_.X output763.A (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT _522_.X output764.A (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT _523_.X output765.A (0.043:0.043:0.043) (0.041:0.041:0.041)) - (INTERCONNECT _524_.X output766.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _525_.X output767.A (0.041:0.041:0.041) (0.040:0.040:0.040)) - (INTERCONNECT _526_.X output768.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _527_.X output770.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _528_.X output771.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _529_.X output772.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _530_.X output773.A (0.049:0.049:0.049) (0.047:0.047:0.047)) - (INTERCONNECT _531_.X output774.A (0.043:0.043:0.043) (0.041:0.041:0.041)) - (INTERCONNECT _532_.X output775.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _533_.X output776.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _534_.X output777.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _535_.X output778.A (0.047:0.047:0.047) (0.044:0.044:0.044)) - (INTERCONNECT _535_.X ANTENNA_2550.DIODE (0.047:0.047:0.047) (0.044:0.044:0.044)) - (INTERCONNECT _536_.X output779.A (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT _537_.X output781.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _538_.X output782.A (0.048:0.048:0.048) (0.045:0.045:0.045)) - (INTERCONNECT _539_.X output783.A (0.045:0.045:0.045) (0.042:0.042:0.042)) - (INTERCONNECT _540_.X output784.A (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT _541_.X output785.A (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT _542_.X output786.A (0.036:0.036:0.036) (0.035:0.035:0.035)) - (INTERCONNECT _543_.X output787.A (0.011:0.011:0.011) (0.010:0.011:0.011)) - (INTERCONNECT _544_.X output788.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _545_.X output789.A (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _546_.X output790.A (0.046:0.046:0.046) (0.044:0.044:0.044)) - (INTERCONNECT _547_.X output792.A (0.058:0.058:0.058) (0.055:0.055:0.055)) - (INTERCONNECT _548_.X output793.A (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _549_.X output794.A (0.077:0.077:0.077) (0.075:0.075:0.075)) - (INTERCONNECT _550_.X output795.A (0.055:0.055:0.055) (0.053:0.053:0.053)) - (INTERCONNECT _551_.X output796.A (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT _552_.X output797.A (0.040:0.040:0.040) (0.038:0.038:0.038)) - (INTERCONNECT _553_.X output798.A (0.042:0.042:0.042) (0.040:0.041:0.041)) - (INTERCONNECT _554_.X output799.A (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT _555_.X output800.A (0.122:0.122:0.122) (0.122:0.122:0.122)) - (INTERCONNECT _555_.X ANTENNA_2036.DIODE (0.122:0.122:0.122) (0.122:0.122:0.122)) - (INTERCONNECT _556_.X output801.A (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT _557_.X output803.A (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT _558_.X output804.A (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT _559_.X output805.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _560_.X output806.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _561_.X output807.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _562_.X output808.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _563_.X output809.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _564_.X output810.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _565_.X output811.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _566_.X output812.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _567_.X output814.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _568_.X output815.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _569_.X output816.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _570_.X output817.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _571_.X output818.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _572_.X output819.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _573_.X output820.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _574_.X output821.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _575_.X output822.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _576_.X output823.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _577_.X output825.A (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _578_.X output826.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _579_.X output827.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _580_.X output828.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _581_.X output829.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _582_.X output830.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _583_.X output831.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _584_.X output832.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _585_.X output833.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _586_.X output834.A (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT _587_.X output836.A (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT _587_.X ANTENNA_2037.DIODE (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT _588_.X output837.A (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _588_.X ANTENNA_2038.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT _589_.X output838.A (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT _590_.X output839.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _591_.X output840.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _592_.X output841.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _593_.X output842.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _594_.X output843.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _595_.X output844.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _596_.X output845.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _597_.X output720.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _598_.X output721.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _599_.X output722.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _600_.X output723.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _601_.X output724.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _602_.X output725.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _603_.X output726.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _604_.X output727.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _605_.X output728.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _606_.X output729.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _607_.X output731.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _608_.X output732.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _609_.X output733.A (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _610_.X output734.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _611_.X output735.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _612_.X output736.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _613_.X output737.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _614_.X output738.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _615_.X output739.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _616_.X output740.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _617_.X output742.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _618_.X output743.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _619_.X output744.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _620_.X output745.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _621_.X output746.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _622_.X output747.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _623_.X output748.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _624_.X output749.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _625_.X user_to_mprj_in_gates\[0\].B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj2_logic_high_inst.HI output953.A (0.260:0.260:0.260) (0.260:0.260:0.260)) - (INTERCONNECT mprj2_logic_high_inst.HI ANTENNA_2061.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj2_logic_high_inst.HI ANTENNA_2062.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj2_logic_high_inst.HI ANTENNA_2063.DIODE (0.260:0.260:0.260) (0.259:0.259:0.259)) - (INTERCONNECT mprj2_logic_high_inst.HI ANTENNA_2064.DIODE (0.260:0.260:0.260) (0.259:0.259:0.259)) - (INTERCONNECT mprj_logic_high_inst.HI[0] _295_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[100] _395_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[101] _396_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[101] ANTENNA_198.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[102] _397_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[103] _398_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[103] ANTENNA_199.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[103] ANTENNA_200.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[104] _399_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[105] _400_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[106] _401_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[107] _402_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[108] _403_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[109] _404_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[10] _305_.A (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT mprj_logic_high_inst.HI[10] ANTENNA_2622.DIODE (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT mprj_logic_high_inst.HI[110] _405_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[111] _406_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[112] _407_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[113] _408_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[114] _409_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[115] _410_.B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT mprj_logic_high_inst.HI[116] _411_.B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[117] _412_.B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT mprj_logic_high_inst.HI[117] ANTENNA_201.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT mprj_logic_high_inst.HI[118] _413_.B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT mprj_logic_high_inst.HI[119] _414_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[11] _306_.A (0.024:0.024:0.024) (0.024:0.024:0.024)) - (INTERCONNECT mprj_logic_high_inst.HI[120] _415_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[121] _416_.B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT mprj_logic_high_inst.HI[122] _417_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[123] _418_.B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT mprj_logic_high_inst.HI[124] _419_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[124] ANTENNA_2074.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[125] _420_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[126] _421_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[127] _422_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[128] _423_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[128] ANTENNA_202.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[128] ANTENNA_203.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[129] _424_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[12] _307_.A (0.038:0.038:0.038) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[12] ANTENNA_204.DIODE (0.038:0.038:0.038) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[12] ANTENNA_205.DIODE (0.038:0.038:0.038) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[12] ANTENNA_206.DIODE (0.038:0.038:0.038) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[12] ANTENNA_207.DIODE (0.038:0.038:0.038) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[12] ANTENNA_208.DIODE (0.038:0.038:0.038) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[12] ANTENNA_209.DIODE (0.038:0.038:0.038) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[12] ANTENNA_210.DIODE (0.038:0.038:0.038) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[12] ANTENNA_211.DIODE (0.038:0.038:0.038) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[12] ANTENNA_212.DIODE (0.038:0.038:0.038) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[12] ANTENNA_213.DIODE (0.038:0.038:0.038) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[12] ANTENNA_214.DIODE (0.038:0.038:0.038) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[12] ANTENNA_215.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[12] ANTENNA_216.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[12] ANTENNA_217.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[12] ANTENNA_218.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT mprj_logic_high_inst.HI[12] ANTENNA_219.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[12] ANTENNA_220.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[12] ANTENNA_221.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[12] ANTENNA_222.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[12] ANTENNA_223.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[12] ANTENNA_224.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[12] ANTENNA_225.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[130] _425_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[130] ANTENNA_226.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[130] ANTENNA_227.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[131] _426_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[132] _427_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[133] _428_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[134] _429_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[134] ANTENNA_228.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[135] _430_.B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT mprj_logic_high_inst.HI[135] ANTENNA_229.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT mprj_logic_high_inst.HI[136] _431_.B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT mprj_logic_high_inst.HI[137] _432_.B (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT mprj_logic_high_inst.HI[138] _433_.B (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT mprj_logic_high_inst.HI[139] _434_.B (0.031:0.031:0.031) (0.030:0.030:0.030)) - (INTERCONNECT mprj_logic_high_inst.HI[139] ANTENNA_230.DIODE (0.030:0.030:0.030) (0.030:0.030:0.030)) - (INTERCONNECT mprj_logic_high_inst.HI[139] ANTENNA_231.DIODE (0.031:0.031:0.031) (0.030:0.030:0.030)) - (INTERCONNECT mprj_logic_high_inst.HI[139] ANTENNA_232.DIODE (0.031:0.031:0.031) (0.030:0.030:0.030)) - (INTERCONNECT mprj_logic_high_inst.HI[139] ANTENNA_233.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[139] ANTENNA_234.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[139] ANTENNA_235.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[13] _308_.A (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT mprj_logic_high_inst.HI[13] ANTENNA_2361.DIODE (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT mprj_logic_high_inst.HI[140] _435_.B (0.032:0.032:0.032) (0.032:0.032:0.032)) - (INTERCONNECT mprj_logic_high_inst.HI[140] ANTENNA_236.DIODE (0.032:0.032:0.032) (0.032:0.032:0.032)) - (INTERCONNECT mprj_logic_high_inst.HI[140] ANTENNA_237.DIODE (0.032:0.032:0.032) (0.032:0.032:0.032)) - (INTERCONNECT mprj_logic_high_inst.HI[140] ANTENNA_238.DIODE (0.032:0.032:0.032) (0.032:0.032:0.032)) - (INTERCONNECT mprj_logic_high_inst.HI[140] ANTENNA_239.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[140] ANTENNA_240.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[140] ANTENNA_241.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[141] _436_.B (0.035:0.035:0.035) (0.035:0.035:0.035)) - (INTERCONNECT mprj_logic_high_inst.HI[142] _437_.B (0.040:0.040:0.040) (0.040:0.040:0.040)) - (INTERCONNECT mprj_logic_high_inst.HI[143] _438_.B (0.032:0.032:0.032) (0.032:0.032:0.032)) - (INTERCONNECT mprj_logic_high_inst.HI[144] _439_.B (0.046:0.046:0.046) (0.046:0.046:0.046)) - (INTERCONNECT mprj_logic_high_inst.HI[145] _440_.B (0.052:0.052:0.052) (0.052:0.052:0.052)) - (INTERCONNECT mprj_logic_high_inst.HI[145] ANTENNA_242.DIODE (0.052:0.052:0.052) (0.052:0.052:0.052)) - (INTERCONNECT mprj_logic_high_inst.HI[145] ANTENNA_243.DIODE (0.052:0.052:0.052) (0.052:0.052:0.052)) - (INTERCONNECT mprj_logic_high_inst.HI[145] ANTENNA_244.DIODE (0.052:0.052:0.052) (0.052:0.052:0.052)) - (INTERCONNECT mprj_logic_high_inst.HI[145] ANTENNA_245.DIODE (0.052:0.052:0.052) (0.052:0.052:0.052)) - (INTERCONNECT mprj_logic_high_inst.HI[145] ANTENNA_246.DIODE (0.052:0.052:0.052) (0.052:0.052:0.052)) - (INTERCONNECT mprj_logic_high_inst.HI[145] ANTENNA_247.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[145] ANTENNA_248.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[145] ANTENNA_249.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[145] ANTENNA_250.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[145] ANTENNA_251.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[146] _441_.B (0.032:0.032:0.032) (0.032:0.032:0.032)) - (INTERCONNECT mprj_logic_high_inst.HI[146] ANTENNA_252.DIODE (0.032:0.032:0.032) (0.032:0.032:0.032)) - (INTERCONNECT mprj_logic_high_inst.HI[146] ANTENNA_253.DIODE (0.032:0.032:0.032) (0.032:0.032:0.032)) - (INTERCONNECT mprj_logic_high_inst.HI[146] ANTENNA_254.DIODE (0.032:0.032:0.032) (0.032:0.032:0.032)) - (INTERCONNECT mprj_logic_high_inst.HI[146] ANTENNA_255.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[146] ANTENNA_256.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[146] ANTENNA_257.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT mprj_logic_high_inst.HI[147] _442_.B (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT mprj_logic_high_inst.HI[148] _443_.B (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT mprj_logic_high_inst.HI[148] ANTENNA_258.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT mprj_logic_high_inst.HI[149] _444_.B (0.031:0.031:0.031) (0.031:0.031:0.031)) - (INTERCONNECT mprj_logic_high_inst.HI[14] _309_.A (0.028:0.028:0.028) (0.028:0.028:0.028)) - (INTERCONNECT mprj_logic_high_inst.HI[14] ANTENNA_2362.DIODE (0.028:0.028:0.028) (0.028:0.028:0.028)) - (INTERCONNECT mprj_logic_high_inst.HI[14] ANTENNA_2363.DIODE (0.028:0.028:0.028) (0.028:0.028:0.028)) - (INTERCONNECT mprj_logic_high_inst.HI[14] ANTENNA_2364.DIODE (0.028:0.028:0.028) (0.028:0.028:0.028)) - (INTERCONNECT mprj_logic_high_inst.HI[14] ANTENNA_2547.DIODE (0.028:0.028:0.028) (0.028:0.028:0.028)) - (INTERCONNECT mprj_logic_high_inst.HI[14] ANTENNA_2548.DIODE (0.028:0.028:0.028) (0.028:0.028:0.028)) - (INTERCONNECT mprj_logic_high_inst.HI[150] _445_.B (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT mprj_logic_high_inst.HI[150] ANTENNA_259.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT mprj_logic_high_inst.HI[150] ANTENNA_260.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT mprj_logic_high_inst.HI[150] ANTENNA_261.DIODE (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT mprj_logic_high_inst.HI[150] ANTENNA_262.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT mprj_logic_high_inst.HI[150] ANTENNA_263.DIODE (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT mprj_logic_high_inst.HI[151] _446_.B (0.044:0.044:0.044) (0.044:0.044:0.044)) - (INTERCONNECT mprj_logic_high_inst.HI[152] _447_.B (0.028:0.028:0.028) (0.028:0.028:0.028)) - (INTERCONNECT mprj_logic_high_inst.HI[152] ANTENNA_264.DIODE (0.028:0.028:0.028) (0.028:0.028:0.028)) - (INTERCONNECT mprj_logic_high_inst.HI[152] ANTENNA_265.DIODE (0.028:0.028:0.028) (0.028:0.028:0.028)) - (INTERCONNECT mprj_logic_high_inst.HI[152] ANTENNA_266.DIODE (0.028:0.028:0.028) (0.028:0.028:0.028)) - (INTERCONNECT mprj_logic_high_inst.HI[152] ANTENNA_267.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[152] ANTENNA_268.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[152] ANTENNA_269.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[153] _448_.B (0.040:0.040:0.040) (0.040:0.040:0.040)) - (INTERCONNECT mprj_logic_high_inst.HI[153] ANTENNA_270.DIODE (0.040:0.040:0.040) (0.040:0.040:0.040)) - (INTERCONNECT mprj_logic_high_inst.HI[153] ANTENNA_271.DIODE (0.040:0.040:0.040) (0.040:0.040:0.040)) - (INTERCONNECT mprj_logic_high_inst.HI[153] ANTENNA_272.DIODE (0.040:0.040:0.040) (0.040:0.040:0.040)) - (INTERCONNECT mprj_logic_high_inst.HI[153] ANTENNA_273.DIODE (0.040:0.040:0.040) (0.040:0.040:0.040)) - (INTERCONNECT mprj_logic_high_inst.HI[153] ANTENNA_274.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT mprj_logic_high_inst.HI[153] ANTENNA_275.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[153] ANTENNA_276.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT mprj_logic_high_inst.HI[153] ANTENNA_277.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[154] _449_.B (0.053:0.053:0.053) (0.053:0.053:0.053)) - (INTERCONNECT mprj_logic_high_inst.HI[154] ANTENNA_278.DIODE (0.053:0.053:0.053) (0.053:0.053:0.053)) - (INTERCONNECT mprj_logic_high_inst.HI[154] ANTENNA_279.DIODE (0.053:0.053:0.053) (0.053:0.053:0.053)) - (INTERCONNECT mprj_logic_high_inst.HI[154] ANTENNA_280.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[154] ANTENNA_281.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT mprj_logic_high_inst.HI[154] ANTENNA_282.DIODE (0.053:0.053:0.053) (0.053:0.053:0.053)) - (INTERCONNECT mprj_logic_high_inst.HI[155] _450_.B (0.069:0.069:0.069) (0.068:0.068:0.068)) - (INTERCONNECT mprj_logic_high_inst.HI[156] _451_.B (0.083:0.083:0.083) (0.083:0.083:0.083)) - (INTERCONNECT mprj_logic_high_inst.HI[156] ANTENNA_283.DIODE (0.084:0.084:0.084) (0.083:0.083:0.083)) - (INTERCONNECT mprj_logic_high_inst.HI[156] ANTENNA_284.DIODE (0.083:0.083:0.083) (0.083:0.083:0.083)) - (INTERCONNECT mprj_logic_high_inst.HI[156] ANTENNA_285.DIODE (0.084:0.084:0.084) (0.083:0.083:0.083)) - (INTERCONNECT mprj_logic_high_inst.HI[156] ANTENNA_286.DIODE (0.083:0.083:0.083) (0.083:0.083:0.083)) - (INTERCONNECT mprj_logic_high_inst.HI[156] ANTENNA_287.DIODE (0.084:0.084:0.084) (0.083:0.083:0.083)) - (INTERCONNECT mprj_logic_high_inst.HI[156] ANTENNA_288.DIODE (0.083:0.083:0.083) (0.083:0.083:0.083)) - (INTERCONNECT mprj_logic_high_inst.HI[156] ANTENNA_289.DIODE (0.084:0.084:0.084) (0.083:0.083:0.083)) - (INTERCONNECT mprj_logic_high_inst.HI[156] ANTENNA_290.DIODE (0.083:0.083:0.083) (0.083:0.083:0.083)) - (INTERCONNECT mprj_logic_high_inst.HI[156] ANTENNA_291.DIODE (0.084:0.084:0.084) (0.083:0.083:0.083)) - (INTERCONNECT mprj_logic_high_inst.HI[156] ANTENNA_292.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT mprj_logic_high_inst.HI[156] ANTENNA_293.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[156] ANTENNA_294.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT mprj_logic_high_inst.HI[156] ANTENNA_295.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT mprj_logic_high_inst.HI[156] ANTENNA_296.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT mprj_logic_high_inst.HI[156] ANTENNA_297.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT mprj_logic_high_inst.HI[156] ANTENNA_298.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[156] ANTENNA_299.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT mprj_logic_high_inst.HI[156] ANTENNA_300.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT mprj_logic_high_inst.HI[156] ANTENNA_2459.DIODE (0.084:0.084:0.084) (0.083:0.083:0.083)) - (INTERCONNECT mprj_logic_high_inst.HI[156] ANTENNA_2460.DIODE (0.084:0.084:0.084) (0.083:0.083:0.083)) - (INTERCONNECT mprj_logic_high_inst.HI[156] ANTENNA_2461.DIODE (0.083:0.083:0.083) (0.082:0.082:0.082)) - (INTERCONNECT mprj_logic_high_inst.HI[156] ANTENNA_2462.DIODE (0.084:0.084:0.084) (0.083:0.083:0.083)) - (INTERCONNECT mprj_logic_high_inst.HI[156] ANTENNA_2463.DIODE (0.083:0.083:0.083) (0.082:0.082:0.082)) - (INTERCONNECT mprj_logic_high_inst.HI[156] ANTENNA_2464.DIODE (0.083:0.083:0.083) (0.083:0.083:0.083)) - (INTERCONNECT mprj_logic_high_inst.HI[156] ANTENNA_2465.DIODE (0.083:0.083:0.083) (0.082:0.082:0.082)) - (INTERCONNECT mprj_logic_high_inst.HI[156] ANTENNA_2466.DIODE (0.084:0.084:0.084) (0.083:0.083:0.083)) - (INTERCONNECT mprj_logic_high_inst.HI[156] ANTENNA_2467.DIODE (0.083:0.083:0.083) (0.082:0.082:0.082)) - (INTERCONNECT mprj_logic_high_inst.HI[156] ANTENNA_2468.DIODE (0.084:0.084:0.084) (0.083:0.083:0.083)) - (INTERCONNECT mprj_logic_high_inst.HI[157] _452_.B (0.077:0.077:0.077) (0.077:0.077:0.077)) - (INTERCONNECT mprj_logic_high_inst.HI[157] ANTENNA_301.DIODE (0.077:0.077:0.077) (0.077:0.077:0.077)) - (INTERCONNECT mprj_logic_high_inst.HI[157] ANTENNA_302.DIODE (0.077:0.077:0.077) (0.077:0.077:0.077)) - (INTERCONNECT mprj_logic_high_inst.HI[157] ANTENNA_303.DIODE (0.077:0.077:0.077) (0.077:0.077:0.077)) - (INTERCONNECT mprj_logic_high_inst.HI[157] ANTENNA_304.DIODE (0.077:0.077:0.077) (0.077:0.077:0.077)) - (INTERCONNECT mprj_logic_high_inst.HI[157] ANTENNA_305.DIODE (0.077:0.077:0.077) (0.077:0.077:0.077)) - (INTERCONNECT mprj_logic_high_inst.HI[157] ANTENNA_306.DIODE (0.077:0.077:0.077) (0.077:0.077:0.077)) - (INTERCONNECT mprj_logic_high_inst.HI[157] ANTENNA_307.DIODE (0.077:0.077:0.077) (0.077:0.077:0.077)) - (INTERCONNECT mprj_logic_high_inst.HI[157] ANTENNA_308.DIODE (0.077:0.077:0.077) (0.076:0.076:0.076)) - (INTERCONNECT mprj_logic_high_inst.HI[157] ANTENNA_309.DIODE (0.077:0.077:0.077) (0.077:0.077:0.077)) - (INTERCONNECT mprj_logic_high_inst.HI[157] ANTENNA_310.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[157] ANTENNA_311.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT mprj_logic_high_inst.HI[157] ANTENNA_312.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[157] ANTENNA_313.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[157] ANTENNA_314.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[157] ANTENNA_315.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[157] ANTENNA_316.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT mprj_logic_high_inst.HI[157] ANTENNA_317.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT mprj_logic_high_inst.HI[157] ANTENNA_318.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT mprj_logic_high_inst.HI[158] _453_.B (0.097:0.097:0.097) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[158] ANTENNA_319.DIODE (0.097:0.097:0.097) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[158] ANTENNA_320.DIODE (0.098:0.098:0.098) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[158] ANTENNA_321.DIODE (0.097:0.097:0.097) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[158] ANTENNA_322.DIODE (0.098:0.098:0.098) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[158] ANTENNA_323.DIODE (0.097:0.097:0.097) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[158] ANTENNA_324.DIODE (0.098:0.098:0.098) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[158] ANTENNA_325.DIODE (0.097:0.097:0.097) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[158] ANTENNA_326.DIODE (0.098:0.098:0.098) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[158] ANTENNA_327.DIODE (0.097:0.097:0.097) (0.096:0.096:0.096)) - (INTERCONNECT mprj_logic_high_inst.HI[158] ANTENNA_328.DIODE (0.098:0.098:0.098) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[158] ANTENNA_329.DIODE (0.097:0.097:0.097) (0.096:0.096:0.096)) - (INTERCONNECT mprj_logic_high_inst.HI[158] ANTENNA_2469.DIODE (0.098:0.098:0.098) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[158] ANTENNA_2470.DIODE (0.097:0.097:0.097) (0.096:0.096:0.096)) - (INTERCONNECT mprj_logic_high_inst.HI[158] ANTENNA_2471.DIODE (0.098:0.098:0.098) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[158] ANTENNA_2472.DIODE (0.097:0.097:0.097) (0.096:0.096:0.096)) - (INTERCONNECT mprj_logic_high_inst.HI[158] ANTENNA_2473.DIODE (0.098:0.098:0.098) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[158] ANTENNA_2474.DIODE (0.098:0.098:0.098) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[158] ANTENNA_2475.DIODE (0.098:0.098:0.098) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[158] ANTENNA_2476.DIODE (0.097:0.097:0.097) (0.096:0.096:0.096)) - (INTERCONNECT mprj_logic_high_inst.HI[158] ANTENNA_2477.DIODE (0.098:0.098:0.098) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[158] ANTENNA_2478.DIODE (0.097:0.097:0.097) (0.096:0.096:0.096)) - (INTERCONNECT mprj_logic_high_inst.HI[158] ANTENNA_2479.DIODE (0.098:0.098:0.098) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[158] ANTENNA_2480.DIODE (0.097:0.097:0.097) (0.096:0.096:0.096)) - (INTERCONNECT mprj_logic_high_inst.HI[159] _454_.B (0.087:0.087:0.087) (0.086:0.086:0.086)) - (INTERCONNECT mprj_logic_high_inst.HI[159] ANTENNA_330.DIODE (0.087:0.087:0.087) (0.086:0.086:0.086)) - (INTERCONNECT mprj_logic_high_inst.HI[159] ANTENNA_331.DIODE (0.087:0.087:0.087) (0.086:0.086:0.086)) - (INTERCONNECT mprj_logic_high_inst.HI[159] ANTENNA_332.DIODE (0.087:0.087:0.087) (0.086:0.086:0.086)) - (INTERCONNECT mprj_logic_high_inst.HI[159] ANTENNA_333.DIODE (0.087:0.087:0.087) (0.087:0.087:0.087)) - (INTERCONNECT mprj_logic_high_inst.HI[159] ANTENNA_334.DIODE (0.087:0.087:0.087) (0.086:0.086:0.086)) - (INTERCONNECT mprj_logic_high_inst.HI[159] ANTENNA_335.DIODE (0.087:0.087:0.087) (0.087:0.087:0.087)) - (INTERCONNECT mprj_logic_high_inst.HI[159] ANTENNA_336.DIODE (0.087:0.087:0.087) (0.086:0.086:0.086)) - (INTERCONNECT mprj_logic_high_inst.HI[159] ANTENNA_337.DIODE (0.087:0.087:0.087) (0.087:0.087:0.087)) - (INTERCONNECT mprj_logic_high_inst.HI[159] ANTENNA_338.DIODE (0.087:0.087:0.087) (0.087:0.087:0.087)) - (INTERCONNECT mprj_logic_high_inst.HI[159] ANTENNA_339.DIODE (0.087:0.087:0.087) (0.087:0.087:0.087)) - (INTERCONNECT mprj_logic_high_inst.HI[159] ANTENNA_340.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT mprj_logic_high_inst.HI[159] ANTENNA_341.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT mprj_logic_high_inst.HI[159] ANTENNA_342.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT mprj_logic_high_inst.HI[159] ANTENNA_343.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[159] ANTENNA_344.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT mprj_logic_high_inst.HI[159] ANTENNA_345.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT mprj_logic_high_inst.HI[159] ANTENNA_346.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT mprj_logic_high_inst.HI[159] ANTENNA_347.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT mprj_logic_high_inst.HI[159] ANTENNA_348.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[159] ANTENNA_349.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT mprj_logic_high_inst.HI[159] ANTENNA_2365.DIODE (0.087:0.087:0.087) (0.086:0.086:0.086)) - (INTERCONNECT mprj_logic_high_inst.HI[159] ANTENNA_2366.DIODE (0.087:0.087:0.087) (0.086:0.086:0.086)) - (INTERCONNECT mprj_logic_high_inst.HI[159] ANTENNA_2367.DIODE (0.087:0.087:0.087) (0.086:0.086:0.086)) - (INTERCONNECT mprj_logic_high_inst.HI[159] ANTENNA_2368.DIODE (0.087:0.087:0.087) (0.086:0.086:0.086)) - (INTERCONNECT mprj_logic_high_inst.HI[159] ANTENNA_2369.DIODE (0.087:0.087:0.087) (0.087:0.087:0.087)) - (INTERCONNECT mprj_logic_high_inst.HI[159] ANTENNA_2370.DIODE (0.087:0.087:0.087) (0.086:0.086:0.086)) - (INTERCONNECT mprj_logic_high_inst.HI[159] ANTENNA_2371.DIODE (0.087:0.087:0.087) (0.087:0.087:0.087)) - (INTERCONNECT mprj_logic_high_inst.HI[159] ANTENNA_2372.DIODE (0.087:0.087:0.087) (0.086:0.086:0.086)) - (INTERCONNECT mprj_logic_high_inst.HI[159] ANTENNA_2373.DIODE (0.087:0.087:0.087) (0.087:0.087:0.087)) - (INTERCONNECT mprj_logic_high_inst.HI[159] ANTENNA_2374.DIODE (0.087:0.087:0.087) (0.087:0.087:0.087)) - (INTERCONNECT mprj_logic_high_inst.HI[159] ANTENNA_2375.DIODE (0.087:0.087:0.087) (0.087:0.087:0.087)) - (INTERCONNECT mprj_logic_high_inst.HI[15] _310_.A (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT mprj_logic_high_inst.HI[15] ANTENNA_350.DIODE (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT mprj_logic_high_inst.HI[160] _455_.B (0.057:0.057:0.057) (0.056:0.056:0.056)) - (INTERCONNECT mprj_logic_high_inst.HI[161] _456_.B (0.094:0.094:0.094) (0.093:0.093:0.093)) - (INTERCONNECT mprj_logic_high_inst.HI[161] ANTENNA_351.DIODE (0.094:0.094:0.094) (0.094:0.094:0.094)) - (INTERCONNECT mprj_logic_high_inst.HI[161] ANTENNA_352.DIODE (0.094:0.094:0.094) (0.093:0.093:0.093)) - (INTERCONNECT mprj_logic_high_inst.HI[161] ANTENNA_353.DIODE (0.094:0.094:0.094) (0.094:0.094:0.094)) - (INTERCONNECT mprj_logic_high_inst.HI[161] ANTENNA_354.DIODE (0.094:0.094:0.094) (0.093:0.093:0.093)) - (INTERCONNECT mprj_logic_high_inst.HI[161] ANTENNA_355.DIODE (0.094:0.094:0.094) (0.094:0.094:0.094)) - (INTERCONNECT mprj_logic_high_inst.HI[161] ANTENNA_356.DIODE (0.094:0.094:0.094) (0.093:0.093:0.093)) - (INTERCONNECT mprj_logic_high_inst.HI[161] ANTENNA_357.DIODE (0.094:0.094:0.094) (0.094:0.094:0.094)) - (INTERCONNECT mprj_logic_high_inst.HI[161] ANTENNA_358.DIODE (0.094:0.094:0.094) (0.093:0.093:0.093)) - (INTERCONNECT mprj_logic_high_inst.HI[161] ANTENNA_359.DIODE (0.094:0.094:0.094) (0.094:0.094:0.094)) - (INTERCONNECT mprj_logic_high_inst.HI[161] ANTENNA_360.DIODE (0.094:0.094:0.094) (0.093:0.093:0.093)) - (INTERCONNECT mprj_logic_high_inst.HI[161] ANTENNA_361.DIODE (0.094:0.094:0.094) (0.094:0.094:0.094)) - (INTERCONNECT mprj_logic_high_inst.HI[161] ANTENNA_362.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[161] ANTENNA_363.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[161] ANTENNA_364.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[161] ANTENNA_365.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT mprj_logic_high_inst.HI[161] ANTENNA_366.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT mprj_logic_high_inst.HI[161] ANTENNA_367.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[161] ANTENNA_368.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[161] ANTENNA_369.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[161] ANTENNA_370.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[161] ANTENNA_371.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[161] ANTENNA_372.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT mprj_logic_high_inst.HI[162] _457_.B (0.095:0.095:0.095) (0.095:0.095:0.095)) - (INTERCONNECT mprj_logic_high_inst.HI[162] ANTENNA_373.DIODE (0.095:0.095:0.095) (0.095:0.095:0.095)) - (INTERCONNECT mprj_logic_high_inst.HI[162] ANTENNA_374.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT mprj_logic_high_inst.HI[162] ANTENNA_375.DIODE (0.095:0.095:0.095) (0.095:0.095:0.095)) - (INTERCONNECT mprj_logic_high_inst.HI[163] _458_.B (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT mprj_logic_high_inst.HI[164] _459_.B (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT mprj_logic_high_inst.HI[165] _460_.B (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT mprj_logic_high_inst.HI[166] _461_.B (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT mprj_logic_high_inst.HI[167] _462_.B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT mprj_logic_high_inst.HI[168] _463_.B (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT mprj_logic_high_inst.HI[169] _464_.B (0.035:0.035:0.035) (0.035:0.035:0.035)) - (INTERCONNECT mprj_logic_high_inst.HI[16] _311_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[170] _465_.B (0.030:0.030:0.030) (0.030:0.030:0.030)) - (INTERCONNECT mprj_logic_high_inst.HI[170] ANTENNA_376.DIODE (0.030:0.030:0.030) (0.030:0.030:0.030)) - (INTERCONNECT mprj_logic_high_inst.HI[171] _466_.B (0.054:0.054:0.054) (0.054:0.054:0.054)) - (INTERCONNECT mprj_logic_high_inst.HI[171] ANTENNA_377.DIODE (0.054:0.054:0.054) (0.054:0.054:0.054)) - (INTERCONNECT mprj_logic_high_inst.HI[171] ANTENNA_378.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[172] _467_.B (0.039:0.039:0.039) (0.039:0.039:0.039)) - (INTERCONNECT mprj_logic_high_inst.HI[173] _468_.B (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT mprj_logic_high_inst.HI[173] ANTENNA_379.DIODE (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT mprj_logic_high_inst.HI[174] _469_.B (0.049:0.049:0.049) (0.049:0.049:0.049)) - (INTERCONNECT mprj_logic_high_inst.HI[174] ANTENNA_380.DIODE (0.049:0.049:0.049) (0.049:0.049:0.049)) - (INTERCONNECT mprj_logic_high_inst.HI[175] _470_.B (0.025:0.025:0.025) (0.025:0.025:0.025)) - (INTERCONNECT mprj_logic_high_inst.HI[175] ANTENNA_381.DIODE (0.025:0.025:0.025) (0.025:0.025:0.025)) - (INTERCONNECT mprj_logic_high_inst.HI[176] _471_.B (0.045:0.045:0.045) (0.045:0.045:0.045)) - (INTERCONNECT mprj_logic_high_inst.HI[177] _472_.B (0.075:0.075:0.075) (0.074:0.074:0.074)) - (INTERCONNECT mprj_logic_high_inst.HI[177] ANTENNA_382.DIODE (0.075:0.075:0.075) (0.074:0.074:0.074)) - (INTERCONNECT mprj_logic_high_inst.HI[177] ANTENNA_383.DIODE (0.074:0.074:0.074) (0.074:0.074:0.074)) - (INTERCONNECT mprj_logic_high_inst.HI[177] ANTENNA_384.DIODE (0.075:0.075:0.075) (0.074:0.074:0.074)) - (INTERCONNECT mprj_logic_high_inst.HI[177] ANTENNA_385.DIODE (0.074:0.074:0.074) (0.074:0.074:0.074)) - (INTERCONNECT mprj_logic_high_inst.HI[177] ANTENNA_386.DIODE (0.075:0.075:0.075) (0.074:0.074:0.074)) - (INTERCONNECT mprj_logic_high_inst.HI[177] ANTENNA_387.DIODE (0.074:0.074:0.074) (0.074:0.074:0.074)) - (INTERCONNECT mprj_logic_high_inst.HI[177] ANTENNA_388.DIODE (0.075:0.075:0.075) (0.074:0.074:0.074)) - (INTERCONNECT mprj_logic_high_inst.HI[177] ANTENNA_389.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[177] ANTENNA_390.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[177] ANTENNA_391.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT mprj_logic_high_inst.HI[177] ANTENNA_392.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[177] ANTENNA_393.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT mprj_logic_high_inst.HI[177] ANTENNA_394.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT mprj_logic_high_inst.HI[177] ANTENNA_395.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT mprj_logic_high_inst.HI[177] ANTENNA_2075.DIODE (0.074:0.074:0.074) (0.074:0.074:0.074)) - (INTERCONNECT mprj_logic_high_inst.HI[177] ANTENNA_2076.DIODE (0.075:0.075:0.075) (0.074:0.074:0.074)) - (INTERCONNECT mprj_logic_high_inst.HI[177] ANTENNA_2077.DIODE (0.074:0.074:0.074) (0.074:0.074:0.074)) - (INTERCONNECT mprj_logic_high_inst.HI[177] ANTENNA_2078.DIODE (0.075:0.075:0.075) (0.074:0.074:0.074)) - (INTERCONNECT mprj_logic_high_inst.HI[177] ANTENNA_2079.DIODE (0.074:0.074:0.074) (0.074:0.074:0.074)) - (INTERCONNECT mprj_logic_high_inst.HI[177] ANTENNA_2080.DIODE (0.075:0.075:0.075) (0.074:0.074:0.074)) - (INTERCONNECT mprj_logic_high_inst.HI[177] ANTENNA_2081.DIODE (0.074:0.074:0.074) (0.074:0.074:0.074)) - (INTERCONNECT mprj_logic_high_inst.HI[177] ANTENNA_2082.DIODE (0.075:0.075:0.075) (0.074:0.074:0.074)) - (INTERCONNECT mprj_logic_high_inst.HI[178] _473_.B (0.051:0.051:0.051) (0.050:0.050:0.050)) - (INTERCONNECT mprj_logic_high_inst.HI[178] ANTENNA_396.DIODE (0.051:0.051:0.051) (0.050:0.050:0.050)) - (INTERCONNECT mprj_logic_high_inst.HI[178] ANTENNA_397.DIODE (0.051:0.051:0.051) (0.051:0.051:0.051)) - (INTERCONNECT mprj_logic_high_inst.HI[178] ANTENNA_398.DIODE (0.051:0.051:0.051) (0.051:0.051:0.051)) - (INTERCONNECT mprj_logic_high_inst.HI[178] ANTENNA_399.DIODE (0.051:0.051:0.051) (0.051:0.051:0.051)) - (INTERCONNECT mprj_logic_high_inst.HI[178] ANTENNA_400.DIODE (0.051:0.051:0.051) (0.051:0.051:0.051)) - (INTERCONNECT mprj_logic_high_inst.HI[178] ANTENNA_401.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[178] ANTENNA_402.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[178] ANTENNA_403.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[178] ANTENNA_404.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[178] ANTENNA_405.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[178] ANTENNA_2083.DIODE (0.051:0.051:0.051) (0.051:0.051:0.051)) - (INTERCONNECT mprj_logic_high_inst.HI[178] ANTENNA_2084.DIODE (0.051:0.051:0.051) (0.051:0.051:0.051)) - (INTERCONNECT mprj_logic_high_inst.HI[178] ANTENNA_2085.DIODE (0.051:0.051:0.051) (0.051:0.051:0.051)) - (INTERCONNECT mprj_logic_high_inst.HI[178] ANTENNA_2086.DIODE (0.051:0.051:0.051) (0.051:0.051:0.051)) - (INTERCONNECT mprj_logic_high_inst.HI[178] ANTENNA_2087.DIODE (0.051:0.051:0.051) (0.051:0.051:0.051)) - (INTERCONNECT mprj_logic_high_inst.HI[178] ANTENNA_2088.DIODE (0.051:0.051:0.051) (0.051:0.051:0.051)) - (INTERCONNECT mprj_logic_high_inst.HI[179] _474_.B (0.072:0.072:0.072) (0.072:0.072:0.072)) - (INTERCONNECT mprj_logic_high_inst.HI[17] _312_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[180] _475_.B (0.055:0.055:0.055) (0.054:0.054:0.054)) - (INTERCONNECT mprj_logic_high_inst.HI[180] ANTENNA_406.DIODE (0.054:0.054:0.054) (0.054:0.054:0.054)) - (INTERCONNECT mprj_logic_high_inst.HI[181] _476_.B (0.059:0.059:0.059) (0.059:0.059:0.059)) - (INTERCONNECT mprj_logic_high_inst.HI[182] _477_.B (0.100:0.100:0.100) (0.100:0.100:0.100)) - (INTERCONNECT mprj_logic_high_inst.HI[182] ANTENNA_407.DIODE (0.101:0.101:0.101) (0.100:0.100:0.100)) - (INTERCONNECT mprj_logic_high_inst.HI[182] ANTENNA_408.DIODE (0.100:0.100:0.100) (0.100:0.100:0.100)) - (INTERCONNECT mprj_logic_high_inst.HI[182] ANTENNA_409.DIODE (0.101:0.101:0.101) (0.100:0.100:0.100)) - (INTERCONNECT mprj_logic_high_inst.HI[182] ANTENNA_410.DIODE (0.100:0.100:0.100) (0.100:0.100:0.100)) - (INTERCONNECT mprj_logic_high_inst.HI[182] ANTENNA_411.DIODE (0.101:0.101:0.101) (0.100:0.100:0.100)) - (INTERCONNECT mprj_logic_high_inst.HI[182] ANTENNA_412.DIODE (0.100:0.100:0.100) (0.100:0.100:0.100)) - (INTERCONNECT mprj_logic_high_inst.HI[182] ANTENNA_413.DIODE (0.101:0.101:0.101) (0.100:0.100:0.100)) - (INTERCONNECT mprj_logic_high_inst.HI[182] ANTENNA_414.DIODE (0.100:0.100:0.100) (0.099:0.099:0.099)) - (INTERCONNECT mprj_logic_high_inst.HI[182] ANTENNA_415.DIODE (0.101:0.101:0.101) (0.100:0.100:0.100)) - (INTERCONNECT mprj_logic_high_inst.HI[182] ANTENNA_416.DIODE (0.100:0.100:0.100) (0.099:0.099:0.099)) - (INTERCONNECT mprj_logic_high_inst.HI[182] ANTENNA_417.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[182] ANTENNA_418.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT mprj_logic_high_inst.HI[182] ANTENNA_419.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[182] ANTENNA_420.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[182] ANTENNA_421.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[182] ANTENNA_422.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[182] ANTENNA_423.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT mprj_logic_high_inst.HI[182] ANTENNA_424.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[182] ANTENNA_425.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[182] ANTENNA_426.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT mprj_logic_high_inst.HI[182] ANTENNA_2089.DIODE (0.101:0.101:0.101) (0.100:0.100:0.100)) - (INTERCONNECT mprj_logic_high_inst.HI[182] ANTENNA_2090.DIODE (0.101:0.101:0.101) (0.100:0.100:0.100)) - (INTERCONNECT mprj_logic_high_inst.HI[182] ANTENNA_2091.DIODE (0.100:0.100:0.100) (0.099:0.099:0.099)) - (INTERCONNECT mprj_logic_high_inst.HI[182] ANTENNA_2092.DIODE (0.101:0.101:0.101) (0.100:0.100:0.100)) - (INTERCONNECT mprj_logic_high_inst.HI[182] ANTENNA_2093.DIODE (0.100:0.100:0.100) (0.099:0.099:0.099)) - (INTERCONNECT mprj_logic_high_inst.HI[182] ANTENNA_2094.DIODE (0.101:0.101:0.101) (0.100:0.100:0.100)) - (INTERCONNECT mprj_logic_high_inst.HI[182] ANTENNA_2095.DIODE (0.100:0.100:0.100) (0.099:0.099:0.099)) - (INTERCONNECT mprj_logic_high_inst.HI[182] ANTENNA_2096.DIODE (0.101:0.101:0.101) (0.100:0.100:0.100)) - (INTERCONNECT mprj_logic_high_inst.HI[182] ANTENNA_2097.DIODE (0.100:0.100:0.100) (0.099:0.099:0.099)) - (INTERCONNECT mprj_logic_high_inst.HI[182] ANTENNA_2098.DIODE (0.101:0.101:0.101) (0.100:0.100:0.100)) - (INTERCONNECT mprj_logic_high_inst.HI[182] ANTENNA_2099.DIODE (0.100:0.100:0.100) (0.099:0.099:0.099)) - (INTERCONNECT mprj_logic_high_inst.HI[183] _478_.B (0.076:0.076:0.076) (0.076:0.076:0.076)) - (INTERCONNECT mprj_logic_high_inst.HI[184] _479_.B (0.051:0.051:0.051) (0.051:0.051:0.051)) - (INTERCONNECT mprj_logic_high_inst.HI[184] ANTENNA_427.DIODE (0.051:0.051:0.051) (0.051:0.051:0.051)) - (INTERCONNECT mprj_logic_high_inst.HI[184] ANTENNA_428.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[184] ANTENNA_429.DIODE (0.051:0.051:0.051) (0.051:0.051:0.051)) - (INTERCONNECT mprj_logic_high_inst.HI[185] _480_.B (0.098:0.098:0.098) (0.098:0.098:0.098)) - (INTERCONNECT mprj_logic_high_inst.HI[185] ANTENNA_430.DIODE (0.098:0.098:0.098) (0.098:0.098:0.098)) - (INTERCONNECT mprj_logic_high_inst.HI[186] _481_.B (0.135:0.135:0.135) (0.135:0.135:0.135)) - (INTERCONNECT mprj_logic_high_inst.HI[187] _482_.B (0.078:0.078:0.078) (0.077:0.077:0.077)) - (INTERCONNECT mprj_logic_high_inst.HI[187] ANTENNA_431.DIODE (0.078:0.078:0.078) (0.077:0.077:0.077)) - (INTERCONNECT mprj_logic_high_inst.HI[187] ANTENNA_432.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[187] ANTENNA_433.DIODE (0.078:0.078:0.078) (0.077:0.077:0.077)) - (INTERCONNECT mprj_logic_high_inst.HI[188] _483_.B (0.129:0.129:0.129) (0.129:0.129:0.129)) - (INTERCONNECT mprj_logic_high_inst.HI[188] ANTENNA_434.DIODE (0.129:0.129:0.129) (0.129:0.129:0.129)) - (INTERCONNECT mprj_logic_high_inst.HI[188] ANTENNA_435.DIODE (0.130:0.130:0.130) (0.129:0.129:0.129)) - (INTERCONNECT mprj_logic_high_inst.HI[188] ANTENNA_436.DIODE (0.129:0.129:0.129) (0.129:0.129:0.129)) - (INTERCONNECT mprj_logic_high_inst.HI[188] ANTENNA_437.DIODE (0.130:0.130:0.130) (0.129:0.129:0.129)) - (INTERCONNECT mprj_logic_high_inst.HI[188] ANTENNA_438.DIODE (0.129:0.129:0.129) (0.129:0.129:0.129)) - (INTERCONNECT mprj_logic_high_inst.HI[188] ANTENNA_439.DIODE (0.130:0.130:0.130) (0.129:0.129:0.129)) - (INTERCONNECT mprj_logic_high_inst.HI[188] ANTENNA_440.DIODE (0.129:0.129:0.129) (0.129:0.129:0.129)) - (INTERCONNECT mprj_logic_high_inst.HI[188] ANTENNA_441.DIODE (0.130:0.130:0.130) (0.129:0.129:0.129)) - (INTERCONNECT mprj_logic_high_inst.HI[188] ANTENNA_442.DIODE (0.129:0.129:0.129) (0.129:0.129:0.129)) - (INTERCONNECT mprj_logic_high_inst.HI[188] ANTENNA_443.DIODE (0.130:0.130:0.130) (0.129:0.129:0.129)) - (INTERCONNECT mprj_logic_high_inst.HI[188] ANTENNA_444.DIODE (0.129:0.129:0.129) (0.129:0.129:0.129)) - (INTERCONNECT mprj_logic_high_inst.HI[188] ANTENNA_445.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[188] ANTENNA_446.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[188] ANTENNA_447.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[188] ANTENNA_448.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT mprj_logic_high_inst.HI[188] ANTENNA_449.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT mprj_logic_high_inst.HI[188] ANTENNA_450.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT mprj_logic_high_inst.HI[188] ANTENNA_451.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT mprj_logic_high_inst.HI[188] ANTENNA_452.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[188] ANTENNA_453.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT mprj_logic_high_inst.HI[188] ANTENNA_454.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT mprj_logic_high_inst.HI[188] ANTENNA_455.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[189] _484_.B (0.039:0.039:0.039) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[189] ANTENNA_456.DIODE (0.039:0.039:0.039) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[189] ANTENNA_457.DIODE (0.039:0.039:0.039) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[189] ANTENNA_458.DIODE (0.039:0.039:0.039) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[189] ANTENNA_459.DIODE (0.039:0.039:0.039) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[189] ANTENNA_460.DIODE (0.039:0.039:0.039) (0.039:0.039:0.039)) - (INTERCONNECT mprj_logic_high_inst.HI[189] ANTENNA_461.DIODE (0.039:0.039:0.039) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[189] ANTENNA_462.DIODE (0.039:0.039:0.039) (0.039:0.039:0.039)) - (INTERCONNECT mprj_logic_high_inst.HI[189] ANTENNA_463.DIODE (0.039:0.039:0.039) (0.039:0.039:0.039)) - (INTERCONNECT mprj_logic_high_inst.HI[189] ANTENNA_464.DIODE (0.039:0.039:0.039) (0.039:0.039:0.039)) - (INTERCONNECT mprj_logic_high_inst.HI[189] ANTENNA_465.DIODE (0.039:0.039:0.039) (0.039:0.039:0.039)) - (INTERCONNECT mprj_logic_high_inst.HI[189] ANTENNA_466.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[189] ANTENNA_467.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[189] ANTENNA_468.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[189] ANTENNA_469.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[189] ANTENNA_470.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[189] ANTENNA_471.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[189] ANTENNA_472.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[189] ANTENNA_473.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[189] ANTENNA_474.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[189] ANTENNA_475.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[18] _313_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[190] _485_.B (0.092:0.092:0.092) (0.092:0.092:0.092)) - (INTERCONNECT mprj_logic_high_inst.HI[191] _486_.B (0.048:0.048:0.048) (0.048:0.048:0.048)) - (INTERCONNECT mprj_logic_high_inst.HI[191] ANTENNA_476.DIODE (0.048:0.048:0.048) (0.048:0.048:0.048)) - (INTERCONNECT mprj_logic_high_inst.HI[191] ANTENNA_477.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[191] ANTENNA_478.DIODE (0.048:0.048:0.048) (0.048:0.048:0.048)) - (INTERCONNECT mprj_logic_high_inst.HI[192] _487_.B (0.077:0.077:0.077) (0.077:0.077:0.077)) - (INTERCONNECT mprj_logic_high_inst.HI[192] ANTENNA_479.DIODE (0.077:0.077:0.077) (0.077:0.077:0.077)) - (INTERCONNECT mprj_logic_high_inst.HI[192] ANTENNA_480.DIODE (0.077:0.077:0.077) (0.077:0.077:0.077)) - (INTERCONNECT mprj_logic_high_inst.HI[192] ANTENNA_481.DIODE (0.077:0.077:0.077) (0.077:0.077:0.077)) - (INTERCONNECT mprj_logic_high_inst.HI[192] ANTENNA_482.DIODE (0.078:0.078:0.078) (0.077:0.077:0.077)) - (INTERCONNECT mprj_logic_high_inst.HI[192] ANTENNA_483.DIODE (0.077:0.077:0.077) (0.077:0.077:0.077)) - (INTERCONNECT mprj_logic_high_inst.HI[192] ANTENNA_484.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[192] ANTENNA_485.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT mprj_logic_high_inst.HI[192] ANTENNA_486.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT mprj_logic_high_inst.HI[192] ANTENNA_487.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT mprj_logic_high_inst.HI[192] ANTENNA_488.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT mprj_logic_high_inst.HI[192] ANTENNA_489.DIODE (0.078:0.078:0.078) (0.077:0.077:0.077)) - (INTERCONNECT mprj_logic_high_inst.HI[192] ANTENNA_2100.DIODE (0.078:0.078:0.078) (0.077:0.077:0.077)) - (INTERCONNECT mprj_logic_high_inst.HI[192] ANTENNA_2101.DIODE (0.077:0.077:0.077) (0.077:0.077:0.077)) - (INTERCONNECT mprj_logic_high_inst.HI[192] ANTENNA_2102.DIODE (0.077:0.077:0.077) (0.077:0.077:0.077)) - (INTERCONNECT mprj_logic_high_inst.HI[192] ANTENNA_2103.DIODE (0.077:0.077:0.077) (0.077:0.077:0.077)) - (INTERCONNECT mprj_logic_high_inst.HI[192] ANTENNA_2104.DIODE (0.078:0.078:0.078) (0.077:0.077:0.077)) - (INTERCONNECT mprj_logic_high_inst.HI[192] ANTENNA_2105.DIODE (0.077:0.077:0.077) (0.077:0.077:0.077)) - (INTERCONNECT mprj_logic_high_inst.HI[192] ANTENNA_2106.DIODE (0.078:0.078:0.078) (0.077:0.077:0.077)) - (INTERCONNECT mprj_logic_high_inst.HI[193] _488_.B (0.105:0.105:0.105) (0.105:0.105:0.105)) - (INTERCONNECT mprj_logic_high_inst.HI[193] ANTENNA_490.DIODE (0.105:0.105:0.105) (0.105:0.105:0.105)) - (INTERCONNECT mprj_logic_high_inst.HI[193] ANTENNA_491.DIODE (0.105:0.105:0.105) (0.105:0.105:0.105)) - (INTERCONNECT mprj_logic_high_inst.HI[193] ANTENNA_492.DIODE (0.105:0.105:0.105) (0.105:0.105:0.105)) - (INTERCONNECT mprj_logic_high_inst.HI[193] ANTENNA_493.DIODE (0.105:0.105:0.105) (0.105:0.105:0.105)) - (INTERCONNECT mprj_logic_high_inst.HI[193] ANTENNA_494.DIODE (0.105:0.105:0.105) (0.105:0.105:0.105)) - (INTERCONNECT mprj_logic_high_inst.HI[193] ANTENNA_495.DIODE (0.105:0.105:0.105) (0.105:0.105:0.105)) - (INTERCONNECT mprj_logic_high_inst.HI[193] ANTENNA_496.DIODE (0.105:0.105:0.105) (0.105:0.105:0.105)) - (INTERCONNECT mprj_logic_high_inst.HI[193] ANTENNA_497.DIODE (0.105:0.105:0.105) (0.105:0.105:0.105)) - (INTERCONNECT mprj_logic_high_inst.HI[193] ANTENNA_498.DIODE (0.105:0.105:0.105) (0.105:0.105:0.105)) - (INTERCONNECT mprj_logic_high_inst.HI[193] ANTENNA_499.DIODE (0.105:0.105:0.105) (0.105:0.105:0.105)) - (INTERCONNECT mprj_logic_high_inst.HI[193] ANTENNA_500.DIODE (0.105:0.105:0.105) (0.105:0.105:0.105)) - (INTERCONNECT mprj_logic_high_inst.HI[194] _489_.B (0.061:0.061:0.061) (0.061:0.061:0.061)) - (INTERCONNECT mprj_logic_high_inst.HI[195] _490_.B (0.114:0.114:0.114) (0.113:0.113:0.113)) - (INTERCONNECT mprj_logic_high_inst.HI[195] ANTENNA_501.DIODE (0.114:0.114:0.114) (0.113:0.113:0.113)) - (INTERCONNECT mprj_logic_high_inst.HI[195] ANTENNA_502.DIODE (0.114:0.114:0.114) (0.113:0.113:0.113)) - (INTERCONNECT mprj_logic_high_inst.HI[195] ANTENNA_503.DIODE (0.114:0.114:0.114) (0.113:0.113:0.113)) - (INTERCONNECT mprj_logic_high_inst.HI[195] ANTENNA_504.DIODE (0.114:0.114:0.114) (0.113:0.113:0.113)) - (INTERCONNECT mprj_logic_high_inst.HI[195] ANTENNA_505.DIODE (0.114:0.114:0.114) (0.113:0.113:0.113)) - (INTERCONNECT mprj_logic_high_inst.HI[195] ANTENNA_506.DIODE (0.114:0.114:0.114) (0.113:0.113:0.113)) - (INTERCONNECT mprj_logic_high_inst.HI[195] ANTENNA_507.DIODE (0.114:0.114:0.114) (0.113:0.113:0.113)) - (INTERCONNECT mprj_logic_high_inst.HI[195] ANTENNA_508.DIODE (0.114:0.114:0.114) (0.113:0.113:0.113)) - (INTERCONNECT mprj_logic_high_inst.HI[195] ANTENNA_509.DIODE (0.114:0.114:0.114) (0.113:0.113:0.113)) - (INTERCONNECT mprj_logic_high_inst.HI[195] ANTENNA_510.DIODE (0.114:0.114:0.114) (0.113:0.113:0.113)) - (INTERCONNECT mprj_logic_high_inst.HI[195] ANTENNA_511.DIODE (0.114:0.114:0.114) (0.113:0.113:0.113)) - (INTERCONNECT mprj_logic_high_inst.HI[196] _491_.B (0.120:0.120:0.120) (0.119:0.119:0.119)) - (INTERCONNECT mprj_logic_high_inst.HI[196] ANTENNA_512.DIODE (0.120:0.120:0.120) (0.119:0.119:0.119)) - (INTERCONNECT mprj_logic_high_inst.HI[196] ANTENNA_513.DIODE (0.120:0.120:0.120) (0.119:0.119:0.119)) - (INTERCONNECT mprj_logic_high_inst.HI[196] ANTENNA_514.DIODE (0.120:0.120:0.120) (0.119:0.119:0.119)) - (INTERCONNECT mprj_logic_high_inst.HI[196] ANTENNA_515.DIODE (0.120:0.120:0.120) (0.119:0.119:0.119)) - (INTERCONNECT mprj_logic_high_inst.HI[196] ANTENNA_516.DIODE (0.120:0.120:0.120) (0.119:0.119:0.119)) - (INTERCONNECT mprj_logic_high_inst.HI[196] ANTENNA_517.DIODE (0.120:0.120:0.120) (0.119:0.119:0.119)) - (INTERCONNECT mprj_logic_high_inst.HI[196] ANTENNA_518.DIODE (0.120:0.120:0.120) (0.119:0.119:0.119)) - (INTERCONNECT mprj_logic_high_inst.HI[196] ANTENNA_519.DIODE (0.120:0.120:0.120) (0.119:0.119:0.119)) - (INTERCONNECT mprj_logic_high_inst.HI[196] ANTENNA_520.DIODE (0.120:0.120:0.120) (0.119:0.119:0.119)) - (INTERCONNECT mprj_logic_high_inst.HI[196] ANTENNA_521.DIODE (0.120:0.120:0.120) (0.119:0.119:0.119)) - (INTERCONNECT mprj_logic_high_inst.HI[197] _492_.B (0.100:0.100:0.100) (0.100:0.100:0.100)) - (INTERCONNECT mprj_logic_high_inst.HI[197] ANTENNA_522.DIODE (0.100:0.100:0.100) (0.099:0.099:0.099)) - (INTERCONNECT mprj_logic_high_inst.HI[198] _493_.B (0.101:0.101:0.101) (0.101:0.101:0.101)) - (INTERCONNECT mprj_logic_high_inst.HI[198] ANTENNA_523.DIODE (0.101:0.101:0.101) (0.101:0.101:0.101)) - (INTERCONNECT mprj_logic_high_inst.HI[198] ANTENNA_524.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT mprj_logic_high_inst.HI[199] _494_.B (0.106:0.106:0.106) (0.106:0.106:0.106)) - (INTERCONNECT mprj_logic_high_inst.HI[199] ANTENNA_525.DIODE (0.106:0.106:0.106) (0.106:0.106:0.106)) - (INTERCONNECT mprj_logic_high_inst.HI[19] _314_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[1] _296_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[200] _495_.B (0.132:0.132:0.132) (0.132:0.132:0.132)) - (INTERCONNECT mprj_logic_high_inst.HI[200] ANTENNA_526.DIODE (0.132:0.132:0.132) (0.132:0.132:0.132)) - (INTERCONNECT mprj_logic_high_inst.HI[200] ANTENNA_527.DIODE (0.132:0.132:0.132) (0.132:0.132:0.132)) - (INTERCONNECT mprj_logic_high_inst.HI[200] ANTENNA_528.DIODE (0.132:0.132:0.132) (0.132:0.132:0.132)) - (INTERCONNECT mprj_logic_high_inst.HI[200] ANTENNA_529.DIODE (0.132:0.132:0.132) (0.132:0.132:0.132)) - (INTERCONNECT mprj_logic_high_inst.HI[200] ANTENNA_530.DIODE (0.132:0.132:0.132) (0.132:0.132:0.132)) - (INTERCONNECT mprj_logic_high_inst.HI[200] ANTENNA_531.DIODE (0.132:0.132:0.132) (0.132:0.132:0.132)) - (INTERCONNECT mprj_logic_high_inst.HI[200] ANTENNA_532.DIODE (0.132:0.132:0.132) (0.132:0.132:0.132)) - (INTERCONNECT mprj_logic_high_inst.HI[200] ANTENNA_533.DIODE (0.132:0.132:0.132) (0.132:0.132:0.132)) - (INTERCONNECT mprj_logic_high_inst.HI[201] _496_.B (0.115:0.115:0.115) (0.114:0.114:0.114)) - (INTERCONNECT mprj_logic_high_inst.HI[201] ANTENNA_534.DIODE (0.115:0.115:0.115) (0.114:0.114:0.114)) - (INTERCONNECT mprj_logic_high_inst.HI[201] ANTENNA_535.DIODE (0.115:0.115:0.115) (0.114:0.114:0.114)) - (INTERCONNECT mprj_logic_high_inst.HI[201] ANTENNA_536.DIODE (0.115:0.115:0.115) (0.114:0.114:0.114)) - (INTERCONNECT mprj_logic_high_inst.HI[201] ANTENNA_537.DIODE (0.115:0.115:0.115) (0.114:0.114:0.114)) - (INTERCONNECT mprj_logic_high_inst.HI[201] ANTENNA_538.DIODE (0.115:0.115:0.115) (0.114:0.114:0.114)) - (INTERCONNECT mprj_logic_high_inst.HI[201] ANTENNA_539.DIODE (0.115:0.115:0.115) (0.114:0.114:0.114)) - (INTERCONNECT mprj_logic_high_inst.HI[201] ANTENNA_540.DIODE (0.115:0.115:0.115) (0.114:0.114:0.114)) - (INTERCONNECT mprj_logic_high_inst.HI[201] ANTENNA_541.DIODE (0.115:0.115:0.115) (0.114:0.114:0.114)) - (INTERCONNECT mprj_logic_high_inst.HI[202] _497_.B (0.045:0.045:0.045) (0.045:0.045:0.045)) - (INTERCONNECT mprj_logic_high_inst.HI[202] ANTENNA_542.DIODE (0.045:0.045:0.045) (0.045:0.045:0.045)) - (INTERCONNECT mprj_logic_high_inst.HI[203] _498_.B (0.072:0.072:0.072) (0.072:0.072:0.072)) - (INTERCONNECT mprj_logic_high_inst.HI[203] ANTENNA_543.DIODE (0.072:0.072:0.072) (0.072:0.072:0.072)) - (INTERCONNECT mprj_logic_high_inst.HI[203] ANTENNA_544.DIODE (0.072:0.072:0.072) (0.072:0.072:0.072)) - (INTERCONNECT mprj_logic_high_inst.HI[203] ANTENNA_545.DIODE (0.072:0.072:0.072) (0.072:0.072:0.072)) - (INTERCONNECT mprj_logic_high_inst.HI[203] ANTENNA_546.DIODE (0.072:0.072:0.072) (0.072:0.072:0.072)) - (INTERCONNECT mprj_logic_high_inst.HI[203] ANTENNA_547.DIODE (0.072:0.072:0.072) (0.072:0.072:0.072)) - (INTERCONNECT mprj_logic_high_inst.HI[203] ANTENNA_548.DIODE (0.072:0.072:0.072) (0.072:0.072:0.072)) - (INTERCONNECT mprj_logic_high_inst.HI[203] ANTENNA_549.DIODE (0.072:0.072:0.072) (0.072:0.072:0.072)) - (INTERCONNECT mprj_logic_high_inst.HI[203] ANTENNA_550.DIODE (0.072:0.072:0.072) (0.072:0.072:0.072)) - (INTERCONNECT mprj_logic_high_inst.HI[203] ANTENNA_551.DIODE (0.072:0.072:0.072) (0.072:0.072:0.072)) - (INTERCONNECT mprj_logic_high_inst.HI[203] ANTENNA_552.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[203] ANTENNA_553.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[203] ANTENNA_554.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[203] ANTENNA_555.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[203] ANTENNA_556.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[203] ANTENNA_557.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[203] ANTENNA_558.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[203] ANTENNA_559.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[203] ANTENNA_560.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[204] _499_.B (0.057:0.057:0.057) (0.057:0.057:0.057)) - (INTERCONNECT mprj_logic_high_inst.HI[204] ANTENNA_561.DIODE (0.057:0.057:0.057) (0.057:0.057:0.057)) - (INTERCONNECT mprj_logic_high_inst.HI[204] ANTENNA_562.DIODE (0.057:0.057:0.057) (0.057:0.057:0.057)) - (INTERCONNECT mprj_logic_high_inst.HI[204] ANTENNA_563.DIODE (0.057:0.057:0.057) (0.057:0.057:0.057)) - (INTERCONNECT mprj_logic_high_inst.HI[204] ANTENNA_564.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[204] ANTENNA_565.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[204] ANTENNA_566.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[204] ANTENNA_567.DIODE (0.057:0.057:0.057) (0.057:0.057:0.057)) - (INTERCONNECT mprj_logic_high_inst.HI[205] _500_.B (0.050:0.050:0.050) (0.050:0.050:0.050)) - (INTERCONNECT mprj_logic_high_inst.HI[205] ANTENNA_568.DIODE (0.050:0.050:0.050) (0.050:0.050:0.050)) - (INTERCONNECT mprj_logic_high_inst.HI[206] _501_.B (0.032:0.032:0.032) (0.032:0.032:0.032)) - (INTERCONNECT mprj_logic_high_inst.HI[206] ANTENNA_569.DIODE (0.032:0.032:0.032) (0.032:0.032:0.032)) - (INTERCONNECT mprj_logic_high_inst.HI[207] _502_.B (0.062:0.062:0.062) (0.062:0.062:0.062)) - (INTERCONNECT mprj_logic_high_inst.HI[207] ANTENNA_570.DIODE (0.062:0.062:0.062) (0.062:0.062:0.062)) - (INTERCONNECT mprj_logic_high_inst.HI[207] ANTENNA_571.DIODE (0.062:0.062:0.062) (0.062:0.062:0.062)) - (INTERCONNECT mprj_logic_high_inst.HI[207] ANTENNA_572.DIODE (0.062:0.062:0.062) (0.062:0.062:0.062)) - (INTERCONNECT mprj_logic_high_inst.HI[207] ANTENNA_573.DIODE (0.062:0.062:0.062) (0.062:0.062:0.062)) - (INTERCONNECT mprj_logic_high_inst.HI[207] ANTENNA_574.DIODE (0.062:0.062:0.062) (0.062:0.062:0.062)) - (INTERCONNECT mprj_logic_high_inst.HI[207] ANTENNA_575.DIODE (0.062:0.062:0.062) (0.062:0.062:0.062)) - (INTERCONNECT mprj_logic_high_inst.HI[207] ANTENNA_576.DIODE (0.062:0.062:0.062) (0.062:0.062:0.062)) - (INTERCONNECT mprj_logic_high_inst.HI[207] ANTENNA_577.DIODE (0.062:0.062:0.062) (0.062:0.062:0.062)) - (INTERCONNECT mprj_logic_high_inst.HI[207] ANTENNA_578.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[207] ANTENNA_579.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[207] ANTENNA_580.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[207] ANTENNA_581.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[207] ANTENNA_582.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[207] ANTENNA_583.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[207] ANTENNA_584.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[207] ANTENNA_585.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[208] _503_.B (0.050:0.050:0.050) (0.049:0.049:0.049)) - (INTERCONNECT mprj_logic_high_inst.HI[209] _504_.B (0.028:0.028:0.028) (0.028:0.028:0.028)) - (INTERCONNECT mprj_logic_high_inst.HI[20] _315_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[20] ANTENNA_586.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[210] _505_.B (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT mprj_logic_high_inst.HI[211] _506_.B (0.052:0.052:0.052) (0.051:0.051:0.051)) - (INTERCONNECT mprj_logic_high_inst.HI[211] ANTENNA_587.DIODE (0.052:0.052:0.052) (0.051:0.051:0.051)) - (INTERCONNECT mprj_logic_high_inst.HI[211] ANTENNA_588.DIODE (0.052:0.052:0.052) (0.051:0.051:0.051)) - (INTERCONNECT mprj_logic_high_inst.HI[211] ANTENNA_589.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[211] ANTENNA_590.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[211] ANTENNA_591.DIODE (0.052:0.052:0.052) (0.051:0.051:0.051)) - (INTERCONNECT mprj_logic_high_inst.HI[211] ANTENNA_2376.DIODE (0.052:0.052:0.052) (0.051:0.051:0.051)) - (INTERCONNECT mprj_logic_high_inst.HI[211] ANTENNA_2377.DIODE (0.052:0.052:0.052) (0.051:0.051:0.051)) - (INTERCONNECT mprj_logic_high_inst.HI[211] ANTENNA_2378.DIODE (0.052:0.052:0.052) (0.052:0.052:0.052)) - (INTERCONNECT mprj_logic_high_inst.HI[211] ANTENNA_2379.DIODE (0.052:0.052:0.052) (0.052:0.052:0.052)) - (INTERCONNECT mprj_logic_high_inst.HI[211] ANTENNA_2380.DIODE (0.052:0.052:0.052) (0.052:0.052:0.052)) - (INTERCONNECT mprj_logic_high_inst.HI[211] ANTENNA_2381.DIODE (0.052:0.052:0.052) (0.052:0.052:0.052)) - (INTERCONNECT mprj_logic_high_inst.HI[211] ANTENNA_2382.DIODE (0.052:0.052:0.052) (0.051:0.051:0.051)) - (INTERCONNECT mprj_logic_high_inst.HI[211] ANTENNA_2383.DIODE (0.052:0.052:0.052) (0.051:0.051:0.051)) - (INTERCONNECT mprj_logic_high_inst.HI[211] ANTENNA_2384.DIODE (0.052:0.052:0.052) (0.051:0.051:0.051)) - (INTERCONNECT mprj_logic_high_inst.HI[211] ANTENNA_2385.DIODE (0.052:0.052:0.052) (0.051:0.051:0.051)) - (INTERCONNECT mprj_logic_high_inst.HI[211] ANTENNA_2386.DIODE (0.052:0.052:0.052) (0.051:0.051:0.051)) - (INTERCONNECT mprj_logic_high_inst.HI[211] ANTENNA_2387.DIODE (0.052:0.052:0.052) (0.051:0.051:0.051)) - (INTERCONNECT mprj_logic_high_inst.HI[212] _507_.B (0.047:0.047:0.047) (0.046:0.046:0.046)) - (INTERCONNECT mprj_logic_high_inst.HI[212] ANTENNA_592.DIODE (0.047:0.047:0.047) (0.046:0.046:0.046)) - (INTERCONNECT mprj_logic_high_inst.HI[213] _508_.B (0.037:0.037:0.037) (0.036:0.036:0.036)) - (INTERCONNECT mprj_logic_high_inst.HI[214] _509_.B (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT mprj_logic_high_inst.HI[215] _510_.B (0.038:0.038:0.038) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[215] ANTENNA_593.DIODE (0.038:0.038:0.038) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[215] ANTENNA_594.DIODE (0.038:0.038:0.038) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[215] ANTENNA_595.DIODE (0.038:0.038:0.038) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[215] ANTENNA_596.DIODE (0.038:0.038:0.038) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[215] ANTENNA_597.DIODE (0.038:0.038:0.038) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[215] ANTENNA_598.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[215] ANTENNA_599.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[215] ANTENNA_600.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[215] ANTENNA_601.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[215] ANTENNA_602.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[216] _511_.B (0.044:0.044:0.044) (0.044:0.044:0.044)) - (INTERCONNECT mprj_logic_high_inst.HI[216] ANTENNA_603.DIODE (0.044:0.044:0.044) (0.044:0.044:0.044)) - (INTERCONNECT mprj_logic_high_inst.HI[216] ANTENNA_604.DIODE (0.044:0.044:0.044) (0.044:0.044:0.044)) - (INTERCONNECT mprj_logic_high_inst.HI[216] ANTENNA_605.DIODE (0.044:0.044:0.044) (0.044:0.044:0.044)) - (INTERCONNECT mprj_logic_high_inst.HI[216] ANTENNA_606.DIODE (0.044:0.044:0.044) (0.044:0.044:0.044)) - (INTERCONNECT mprj_logic_high_inst.HI[216] ANTENNA_607.DIODE (0.044:0.044:0.044) (0.044:0.044:0.044)) - (INTERCONNECT mprj_logic_high_inst.HI[216] ANTENNA_608.DIODE (0.044:0.044:0.044) (0.044:0.044:0.044)) - (INTERCONNECT mprj_logic_high_inst.HI[216] ANTENNA_609.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[216] ANTENNA_610.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[216] ANTENNA_611.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[216] ANTENNA_612.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[216] ANTENNA_613.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[216] ANTENNA_614.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[217] _512_.B (0.038:0.038:0.038) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[218] _513_.B (0.030:0.030:0.030) (0.030:0.030:0.030)) - (INTERCONNECT mprj_logic_high_inst.HI[218] ANTENNA_615.DIODE (0.030:0.030:0.030) (0.030:0.030:0.030)) - (INTERCONNECT mprj_logic_high_inst.HI[219] _514_.B (0.029:0.029:0.029) (0.029:0.029:0.029)) - (INTERCONNECT mprj_logic_high_inst.HI[219] ANTENNA_616.DIODE (0.029:0.029:0.029) (0.029:0.029:0.029)) - (INTERCONNECT mprj_logic_high_inst.HI[21] _316_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[220] _515_.B (0.035:0.035:0.035) (0.035:0.035:0.035)) - (INTERCONNECT mprj_logic_high_inst.HI[221] _516_.B (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT mprj_logic_high_inst.HI[221] ANTENNA_617.DIODE (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT mprj_logic_high_inst.HI[221] ANTENNA_618.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[222] _517_.B (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT mprj_logic_high_inst.HI[222] ANTENNA_619.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT mprj_logic_high_inst.HI[222] ANTENNA_620.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[223] _518_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[223] ANTENNA_621.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[224] _519_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[224] ANTENNA_622.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[224] ANTENNA_623.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[225] _520_.B (0.034:0.034:0.034) (0.034:0.034:0.034)) - (INTERCONNECT mprj_logic_high_inst.HI[225] ANTENNA_624.DIODE (0.034:0.034:0.034) (0.034:0.034:0.034)) - (INTERCONNECT mprj_logic_high_inst.HI[226] _521_.B (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT mprj_logic_high_inst.HI[226] ANTENNA_625.DIODE (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT mprj_logic_high_inst.HI[226] ANTENNA_626.DIODE (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT mprj_logic_high_inst.HI[227] _522_.B (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT mprj_logic_high_inst.HI[228] _523_.B (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT mprj_logic_high_inst.HI[229] _524_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[229] ANTENNA_627.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[22] _317_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[230] _525_.B (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT mprj_logic_high_inst.HI[231] _526_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[232] _527_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[233] _528_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[234] _529_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[235] _530_.B (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT mprj_logic_high_inst.HI[236] _531_.B (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT mprj_logic_high_inst.HI[237] _532_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[238] _533_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[239] _534_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[23] _318_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[240] _535_.B (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT mprj_logic_high_inst.HI[240] ANTENNA_628.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT mprj_logic_high_inst.HI[240] ANTENNA_2388.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT mprj_logic_high_inst.HI[241] _536_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[242] _537_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[243] _538_.B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT mprj_logic_high_inst.HI[243] ANTENNA_2107.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT mprj_logic_high_inst.HI[244] _539_.B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[244] ANTENNA_2108.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[245] _540_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[246] _541_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[246] ANTENNA_629.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[247] _542_.B (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT mprj_logic_high_inst.HI[248] _543_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[249] _544_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[24] _319_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[250] _545_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[251] _546_.B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[251] ANTENNA_2109.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[252] _547_.B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT mprj_logic_high_inst.HI[253] _548_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[254] _549_.B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT mprj_logic_high_inst.HI[254] ANTENNA_630.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT mprj_logic_high_inst.HI[255] _550_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[256] _551_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[257] _552_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[257] ANTENNA_631.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[257] ANTENNA_632.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[258] _553_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[259] _554_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[25] _320_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[260] _555_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[261] _556_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[262] _557_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[262] ANTENNA_633.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[263] _558_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[263] ANTENNA_634.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[264] _559_.B (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT mprj_logic_high_inst.HI[264] ANTENNA_635.DIODE (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT mprj_logic_high_inst.HI[265] _560_.B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[266] _561_.B (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT mprj_logic_high_inst.HI[267] _562_.B (0.032:0.032:0.032) (0.032:0.032:0.032)) - (INTERCONNECT mprj_logic_high_inst.HI[268] _563_.B (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT mprj_logic_high_inst.HI[269] _564_.B (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT mprj_logic_high_inst.HI[26] _321_.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT mprj_logic_high_inst.HI[26] ANTENNA_636.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT mprj_logic_high_inst.HI[270] _565_.B (0.050:0.050:0.050) (0.050:0.050:0.050)) - (INTERCONNECT mprj_logic_high_inst.HI[270] ANTENNA_637.DIODE (0.050:0.050:0.050) (0.050:0.050:0.050)) - (INTERCONNECT mprj_logic_high_inst.HI[270] ANTENNA_638.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[270] ANTENNA_639.DIODE (0.050:0.050:0.050) (0.050:0.050:0.050)) - (INTERCONNECT mprj_logic_high_inst.HI[271] _566_.B (0.054:0.054:0.054) (0.054:0.054:0.054)) - (INTERCONNECT mprj_logic_high_inst.HI[271] ANTENNA_640.DIODE (0.054:0.054:0.054) (0.054:0.054:0.054)) - (INTERCONNECT mprj_logic_high_inst.HI[271] ANTENNA_641.DIODE (0.054:0.054:0.054) (0.054:0.054:0.054)) - (INTERCONNECT mprj_logic_high_inst.HI[271] ANTENNA_642.DIODE (0.054:0.054:0.054) (0.054:0.054:0.054)) - (INTERCONNECT mprj_logic_high_inst.HI[272] _567_.B (0.031:0.031:0.031) (0.031:0.031:0.031)) - (INTERCONNECT mprj_logic_high_inst.HI[272] ANTENNA_643.DIODE (0.031:0.031:0.031) (0.031:0.031:0.031)) - (INTERCONNECT mprj_logic_high_inst.HI[272] ANTENNA_644.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[272] ANTENNA_645.DIODE (0.031:0.031:0.031) (0.031:0.031:0.031)) - (INTERCONNECT mprj_logic_high_inst.HI[273] _568_.B (0.058:0.058:0.058) (0.058:0.058:0.058)) - (INTERCONNECT mprj_logic_high_inst.HI[273] ANTENNA_646.DIODE (0.058:0.058:0.058) (0.058:0.058:0.058)) - (INTERCONNECT mprj_logic_high_inst.HI[273] ANTENNA_647.DIODE (0.058:0.058:0.058) (0.058:0.058:0.058)) - (INTERCONNECT mprj_logic_high_inst.HI[273] ANTENNA_648.DIODE (0.058:0.058:0.058) (0.057:0.057:0.057)) - (INTERCONNECT mprj_logic_high_inst.HI[273] ANTENNA_649.DIODE (0.058:0.058:0.058) (0.057:0.057:0.057)) - (INTERCONNECT mprj_logic_high_inst.HI[273] ANTENNA_650.DIODE (0.058:0.058:0.058) (0.058:0.058:0.058)) - (INTERCONNECT mprj_logic_high_inst.HI[273] ANTENNA_651.DIODE (0.058:0.058:0.058) (0.057:0.057:0.057)) - (INTERCONNECT mprj_logic_high_inst.HI[273] ANTENNA_652.DIODE (0.058:0.058:0.058) (0.058:0.058:0.058)) - (INTERCONNECT mprj_logic_high_inst.HI[273] ANTENNA_653.DIODE (0.058:0.058:0.058) (0.057:0.057:0.057)) - (INTERCONNECT mprj_logic_high_inst.HI[273] ANTENNA_654.DIODE (0.058:0.058:0.058) (0.058:0.058:0.058)) - (INTERCONNECT mprj_logic_high_inst.HI[273] ANTENNA_655.DIODE (0.058:0.058:0.058) (0.057:0.057:0.057)) - (INTERCONNECT mprj_logic_high_inst.HI[273] ANTENNA_656.DIODE (0.058:0.058:0.058) (0.058:0.058:0.058)) - (INTERCONNECT mprj_logic_high_inst.HI[274] _569_.B (0.055:0.055:0.055) (0.055:0.055:0.055)) - (INTERCONNECT mprj_logic_high_inst.HI[274] ANTENNA_657.DIODE (0.055:0.055:0.055) (0.055:0.055:0.055)) - (INTERCONNECT mprj_logic_high_inst.HI[274] ANTENNA_658.DIODE (0.055:0.055:0.055) (0.055:0.055:0.055)) - (INTERCONNECT mprj_logic_high_inst.HI[274] ANTENNA_659.DIODE (0.055:0.055:0.055) (0.055:0.055:0.055)) - (INTERCONNECT mprj_logic_high_inst.HI[274] ANTENNA_660.DIODE (0.055:0.055:0.055) (0.055:0.055:0.055)) - (INTERCONNECT mprj_logic_high_inst.HI[274] ANTENNA_661.DIODE (0.055:0.055:0.055) (0.055:0.055:0.055)) - (INTERCONNECT mprj_logic_high_inst.HI[274] ANTENNA_662.DIODE (0.055:0.055:0.055) (0.055:0.055:0.055)) - (INTERCONNECT mprj_logic_high_inst.HI[275] _570_.B (0.032:0.032:0.032) (0.032:0.032:0.032)) - (INTERCONNECT mprj_logic_high_inst.HI[275] ANTENNA_663.DIODE (0.032:0.032:0.032) (0.032:0.032:0.032)) - (INTERCONNECT mprj_logic_high_inst.HI[276] _571_.B (0.037:0.037:0.037) (0.037:0.037:0.037)) - (INTERCONNECT mprj_logic_high_inst.HI[276] ANTENNA_664.DIODE (0.037:0.037:0.037) (0.037:0.037:0.037)) - (INTERCONNECT mprj_logic_high_inst.HI[277] _572_.B (0.059:0.059:0.059) (0.059:0.059:0.059)) - (INTERCONNECT mprj_logic_high_inst.HI[278] _573_.B (0.051:0.051:0.051) (0.051:0.051:0.051)) - (INTERCONNECT mprj_logic_high_inst.HI[279] _574_.B (0.058:0.058:0.058) (0.058:0.058:0.058)) - (INTERCONNECT mprj_logic_high_inst.HI[279] ANTENNA_665.DIODE (0.058:0.058:0.058) (0.058:0.058:0.058)) - (INTERCONNECT mprj_logic_high_inst.HI[279] ANTENNA_666.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[279] ANTENNA_667.DIODE (0.059:0.059:0.059) (0.058:0.058:0.058)) - (INTERCONNECT mprj_logic_high_inst.HI[279] ANTENNA_668.DIODE (0.058:0.058:0.058) (0.058:0.058:0.058)) - (INTERCONNECT mprj_logic_high_inst.HI[279] ANTENNA_669.DIODE (0.059:0.059:0.059) (0.058:0.058:0.058)) - (INTERCONNECT mprj_logic_high_inst.HI[279] ANTENNA_670.DIODE (0.058:0.058:0.058) (0.058:0.058:0.058)) - (INTERCONNECT mprj_logic_high_inst.HI[279] ANTENNA_671.DIODE (0.059:0.059:0.059) (0.058:0.058:0.058)) - (INTERCONNECT mprj_logic_high_inst.HI[279] ANTENNA_672.DIODE (0.058:0.058:0.058) (0.058:0.058:0.058)) - (INTERCONNECT mprj_logic_high_inst.HI[279] ANTENNA_673.DIODE (0.059:0.059:0.059) (0.058:0.058:0.058)) - (INTERCONNECT mprj_logic_high_inst.HI[279] ANTENNA_674.DIODE (0.058:0.058:0.058) (0.058:0.058:0.058)) - (INTERCONNECT mprj_logic_high_inst.HI[27] _322_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[280] _575_.B (0.037:0.037:0.037) (0.036:0.036:0.036)) - (INTERCONNECT mprj_logic_high_inst.HI[281] _576_.B (0.044:0.044:0.044) (0.044:0.044:0.044)) - (INTERCONNECT mprj_logic_high_inst.HI[281] ANTENNA_675.DIODE (0.044:0.044:0.044) (0.044:0.044:0.044)) - (INTERCONNECT mprj_logic_high_inst.HI[281] ANTENNA_676.DIODE (0.044:0.044:0.044) (0.044:0.044:0.044)) - (INTERCONNECT mprj_logic_high_inst.HI[281] ANTENNA_677.DIODE (0.044:0.044:0.044) (0.044:0.044:0.044)) - (INTERCONNECT mprj_logic_high_inst.HI[281] ANTENNA_678.DIODE (0.044:0.044:0.044) (0.044:0.044:0.044)) - (INTERCONNECT mprj_logic_high_inst.HI[281] ANTENNA_679.DIODE (0.044:0.044:0.044) (0.044:0.044:0.044)) - (INTERCONNECT mprj_logic_high_inst.HI[281] ANTENNA_680.DIODE (0.044:0.044:0.044) (0.044:0.044:0.044)) - (INTERCONNECT mprj_logic_high_inst.HI[281] ANTENNA_681.DIODE (0.044:0.044:0.044) (0.044:0.044:0.044)) - (INTERCONNECT mprj_logic_high_inst.HI[281] ANTENNA_682.DIODE (0.044:0.044:0.044) (0.044:0.044:0.044)) - (INTERCONNECT mprj_logic_high_inst.HI[281] ANTENNA_683.DIODE (0.044:0.044:0.044) (0.044:0.044:0.044)) - (INTERCONNECT mprj_logic_high_inst.HI[282] _577_.B (0.066:0.066:0.066) (0.066:0.066:0.066)) - (INTERCONNECT mprj_logic_high_inst.HI[282] ANTENNA_684.DIODE (0.066:0.066:0.066) (0.066:0.066:0.066)) - (INTERCONNECT mprj_logic_high_inst.HI[283] _578_.B (0.044:0.044:0.044) (0.044:0.044:0.044)) - (INTERCONNECT mprj_logic_high_inst.HI[283] ANTENNA_685.DIODE (0.044:0.044:0.044) (0.044:0.044:0.044)) - (INTERCONNECT mprj_logic_high_inst.HI[284] _579_.B (0.066:0.066:0.066) (0.066:0.066:0.066)) - (INTERCONNECT mprj_logic_high_inst.HI[284] ANTENNA_686.DIODE (0.066:0.066:0.066) (0.066:0.066:0.066)) - (INTERCONNECT mprj_logic_high_inst.HI[284] ANTENNA_687.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[284] ANTENNA_688.DIODE (0.066:0.066:0.066) (0.066:0.066:0.066)) - (INTERCONNECT mprj_logic_high_inst.HI[285] _580_.B (0.056:0.056:0.056) (0.056:0.056:0.056)) - (INTERCONNECT mprj_logic_high_inst.HI[285] ANTENNA_689.DIODE (0.056:0.056:0.056) (0.056:0.056:0.056)) - (INTERCONNECT mprj_logic_high_inst.HI[286] _581_.B (0.064:0.064:0.064) (0.063:0.063:0.063)) - (INTERCONNECT mprj_logic_high_inst.HI[286] ANTENNA_690.DIODE (0.064:0.064:0.064) (0.063:0.063:0.063)) - (INTERCONNECT mprj_logic_high_inst.HI[287] _582_.B (0.065:0.065:0.065) (0.065:0.065:0.065)) - (INTERCONNECT mprj_logic_high_inst.HI[287] ANTENNA_691.DIODE (0.065:0.065:0.065) (0.065:0.065:0.065)) - (INTERCONNECT mprj_logic_high_inst.HI[287] ANTENNA_692.DIODE (0.065:0.065:0.065) (0.065:0.065:0.065)) - (INTERCONNECT mprj_logic_high_inst.HI[287] ANTENNA_693.DIODE (0.065:0.065:0.065) (0.065:0.065:0.065)) - (INTERCONNECT mprj_logic_high_inst.HI[287] ANTENNA_694.DIODE (0.065:0.065:0.065) (0.065:0.065:0.065)) - (INTERCONNECT mprj_logic_high_inst.HI[287] ANTENNA_695.DIODE (0.065:0.065:0.065) (0.065:0.065:0.065)) - (INTERCONNECT mprj_logic_high_inst.HI[287] ANTENNA_696.DIODE (0.065:0.065:0.065) (0.065:0.065:0.065)) - (INTERCONNECT mprj_logic_high_inst.HI[287] ANTENNA_697.DIODE (0.065:0.065:0.065) (0.065:0.065:0.065)) - (INTERCONNECT mprj_logic_high_inst.HI[288] _583_.B (0.089:0.089:0.089) (0.089:0.089:0.089)) - (INTERCONNECT mprj_logic_high_inst.HI[288] ANTENNA_698.DIODE (0.089:0.089:0.089) (0.089:0.089:0.089)) - (INTERCONNECT mprj_logic_high_inst.HI[288] ANTENNA_699.DIODE (0.089:0.089:0.089) (0.089:0.089:0.089)) - (INTERCONNECT mprj_logic_high_inst.HI[288] ANTENNA_700.DIODE (0.089:0.089:0.089) (0.089:0.089:0.089)) - (INTERCONNECT mprj_logic_high_inst.HI[288] ANTENNA_701.DIODE (0.089:0.089:0.089) (0.089:0.089:0.089)) - (INTERCONNECT mprj_logic_high_inst.HI[288] ANTENNA_702.DIODE (0.089:0.089:0.089) (0.089:0.089:0.089)) - (INTERCONNECT mprj_logic_high_inst.HI[288] ANTENNA_703.DIODE (0.089:0.089:0.089) (0.089:0.089:0.089)) - (INTERCONNECT mprj_logic_high_inst.HI[288] ANTENNA_704.DIODE (0.089:0.089:0.089) (0.089:0.089:0.089)) - (INTERCONNECT mprj_logic_high_inst.HI[288] ANTENNA_705.DIODE (0.089:0.089:0.089) (0.089:0.089:0.089)) - (INTERCONNECT mprj_logic_high_inst.HI[288] ANTENNA_706.DIODE (0.089:0.089:0.089) (0.089:0.089:0.089)) - (INTERCONNECT mprj_logic_high_inst.HI[289] _584_.B (0.077:0.077:0.077) (0.076:0.076:0.076)) - (INTERCONNECT mprj_logic_high_inst.HI[289] ANTENNA_707.DIODE (0.077:0.077:0.077) (0.076:0.076:0.076)) - (INTERCONNECT mprj_logic_high_inst.HI[28] _323_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[28] ANTENNA_708.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[290] _585_.B (0.057:0.057:0.057) (0.056:0.056:0.056)) - (INTERCONNECT mprj_logic_high_inst.HI[290] ANTENNA_709.DIODE (0.057:0.057:0.057) (0.056:0.056:0.056)) - (INTERCONNECT mprj_logic_high_inst.HI[291] _586_.B (0.026:0.026:0.026) (0.026:0.026:0.026)) - (INTERCONNECT mprj_logic_high_inst.HI[292] _587_.B (0.036:0.036:0.036) (0.036:0.036:0.036)) - (INTERCONNECT mprj_logic_high_inst.HI[293] _588_.B (0.046:0.046:0.046) (0.046:0.046:0.046)) - (INTERCONNECT mprj_logic_high_inst.HI[293] ANTENNA_710.DIODE (0.046:0.046:0.046) (0.046:0.046:0.046)) - (INTERCONNECT mprj_logic_high_inst.HI[294] _589_.B (0.031:0.031:0.031) (0.031:0.031:0.031)) - (INTERCONNECT mprj_logic_high_inst.HI[295] _590_.B (0.041:0.041:0.041) (0.041:0.041:0.041)) - (INTERCONNECT mprj_logic_high_inst.HI[296] _591_.B (0.059:0.059:0.059) (0.059:0.059:0.059)) - (INTERCONNECT mprj_logic_high_inst.HI[296] ANTENNA_711.DIODE (0.059:0.059:0.059) (0.059:0.059:0.059)) - (INTERCONNECT mprj_logic_high_inst.HI[297] _592_.B (0.085:0.085:0.085) (0.085:0.085:0.085)) - (INTERCONNECT mprj_logic_high_inst.HI[298] _593_.B (0.045:0.045:0.045) (0.045:0.045:0.045)) - (INTERCONNECT mprj_logic_high_inst.HI[298] ANTENNA_712.DIODE (0.045:0.045:0.045) (0.045:0.045:0.045)) - (INTERCONNECT mprj_logic_high_inst.HI[299] _594_.B (0.064:0.064:0.064) (0.063:0.063:0.063)) - (INTERCONNECT mprj_logic_high_inst.HI[299] ANTENNA_713.DIODE (0.064:0.064:0.064) (0.063:0.063:0.063)) - (INTERCONNECT mprj_logic_high_inst.HI[299] ANTENNA_714.DIODE (0.064:0.064:0.064) (0.063:0.063:0.063)) - (INTERCONNECT mprj_logic_high_inst.HI[299] ANTENNA_715.DIODE (0.064:0.064:0.064) (0.063:0.063:0.063)) - (INTERCONNECT mprj_logic_high_inst.HI[299] ANTENNA_716.DIODE (0.064:0.064:0.064) (0.063:0.063:0.063)) - (INTERCONNECT mprj_logic_high_inst.HI[299] ANTENNA_717.DIODE (0.064:0.064:0.064) (0.063:0.063:0.063)) - (INTERCONNECT mprj_logic_high_inst.HI[299] ANTENNA_718.DIODE (0.064:0.064:0.064) (0.063:0.063:0.063)) - (INTERCONNECT mprj_logic_high_inst.HI[299] ANTENNA_719.DIODE (0.064:0.064:0.064) (0.063:0.063:0.063)) - (INTERCONNECT mprj_logic_high_inst.HI[299] ANTENNA_720.DIODE (0.064:0.064:0.064) (0.063:0.063:0.063)) - (INTERCONNECT mprj_logic_high_inst.HI[299] ANTENNA_721.DIODE (0.064:0.064:0.064) (0.063:0.063:0.063)) - (INTERCONNECT mprj_logic_high_inst.HI[299] ANTENNA_722.DIODE (0.064:0.064:0.064) (0.063:0.063:0.063)) - (INTERCONNECT mprj_logic_high_inst.HI[299] ANTENNA_723.DIODE (0.064:0.064:0.064) (0.063:0.063:0.063)) - (INTERCONNECT mprj_logic_high_inst.HI[29] _324_.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT mprj_logic_high_inst.HI[2] _297_.A (0.172:0.172:0.172) (0.171:0.171:0.171)) - (INTERCONNECT mprj_logic_high_inst.HI[2] ANTENNA_724.DIODE (0.172:0.172:0.172) (0.171:0.171:0.171)) - (INTERCONNECT mprj_logic_high_inst.HI[2] ANTENNA_725.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[2] ANTENNA_726.DIODE (0.172:0.172:0.172) (0.171:0.171:0.171)) - (INTERCONNECT mprj_logic_high_inst.HI[2] ANTENNA_727.DIODE (0.172:0.172:0.172) (0.171:0.171:0.171)) - (INTERCONNECT mprj_logic_high_inst.HI[2] ANTENNA_728.DIODE (0.172:0.172:0.172) (0.171:0.171:0.171)) - (INTERCONNECT mprj_logic_high_inst.HI[2] ANTENNA_729.DIODE (0.172:0.172:0.172) (0.171:0.171:0.171)) - (INTERCONNECT mprj_logic_high_inst.HI[2] ANTENNA_730.DIODE (0.172:0.172:0.172) (0.171:0.171:0.171)) - (INTERCONNECT mprj_logic_high_inst.HI[2] ANTENNA_731.DIODE (0.172:0.172:0.172) (0.171:0.171:0.171)) - (INTERCONNECT mprj_logic_high_inst.HI[2] ANTENNA_732.DIODE (0.172:0.172:0.172) (0.171:0.171:0.171)) - (INTERCONNECT mprj_logic_high_inst.HI[2] ANTENNA_733.DIODE (0.172:0.172:0.172) (0.171:0.171:0.171)) - (INTERCONNECT mprj_logic_high_inst.HI[2] ANTENNA_734.DIODE (0.172:0.172:0.172) (0.171:0.171:0.171)) - (INTERCONNECT mprj_logic_high_inst.HI[2] ANTENNA_735.DIODE (0.172:0.172:0.172) (0.171:0.171:0.171)) - (INTERCONNECT mprj_logic_high_inst.HI[2] ANTENNA_736.DIODE (0.172:0.172:0.172) (0.171:0.171:0.171)) - (INTERCONNECT mprj_logic_high_inst.HI[300] _595_.B (0.065:0.065:0.065) (0.065:0.065:0.065)) - (INTERCONNECT mprj_logic_high_inst.HI[300] ANTENNA_737.DIODE (0.065:0.065:0.065) (0.065:0.065:0.065)) - (INTERCONNECT mprj_logic_high_inst.HI[300] ANTENNA_738.DIODE (0.065:0.065:0.065) (0.065:0.065:0.065)) - (INTERCONNECT mprj_logic_high_inst.HI[300] ANTENNA_739.DIODE (0.065:0.065:0.065) (0.065:0.065:0.065)) - (INTERCONNECT mprj_logic_high_inst.HI[300] ANTENNA_740.DIODE (0.065:0.065:0.065) (0.065:0.065:0.065)) - (INTERCONNECT mprj_logic_high_inst.HI[300] ANTENNA_741.DIODE (0.065:0.065:0.065) (0.065:0.065:0.065)) - (INTERCONNECT mprj_logic_high_inst.HI[300] ANTENNA_742.DIODE (0.065:0.065:0.065) (0.065:0.065:0.065)) - (INTERCONNECT mprj_logic_high_inst.HI[300] ANTENNA_743.DIODE (0.065:0.065:0.065) (0.065:0.065:0.065)) - (INTERCONNECT mprj_logic_high_inst.HI[300] ANTENNA_744.DIODE (0.065:0.065:0.065) (0.065:0.065:0.065)) - (INTERCONNECT mprj_logic_high_inst.HI[300] ANTENNA_745.DIODE (0.065:0.065:0.065) (0.065:0.065:0.065)) - (INTERCONNECT mprj_logic_high_inst.HI[300] ANTENNA_746.DIODE (0.065:0.065:0.065) (0.065:0.065:0.065)) - (INTERCONNECT mprj_logic_high_inst.HI[300] ANTENNA_747.DIODE (0.065:0.065:0.065) (0.065:0.065:0.065)) - (INTERCONNECT mprj_logic_high_inst.HI[301] _596_.B (0.051:0.051:0.051) (0.051:0.051:0.051)) - (INTERCONNECT mprj_logic_high_inst.HI[301] ANTENNA_748.DIODE (0.051:0.051:0.051) (0.051:0.051:0.051)) - (INTERCONNECT mprj_logic_high_inst.HI[302] _597_.B (0.080:0.080:0.080) (0.080:0.080:0.080)) - (INTERCONNECT mprj_logic_high_inst.HI[302] ANTENNA_749.DIODE (0.080:0.080:0.080) (0.080:0.080:0.080)) - (INTERCONNECT mprj_logic_high_inst.HI[302] ANTENNA_750.DIODE (0.080:0.080:0.080) (0.080:0.080:0.080)) - (INTERCONNECT mprj_logic_high_inst.HI[302] ANTENNA_751.DIODE (0.080:0.080:0.080) (0.080:0.080:0.080)) - (INTERCONNECT mprj_logic_high_inst.HI[302] ANTENNA_752.DIODE (0.080:0.080:0.080) (0.080:0.080:0.080)) - (INTERCONNECT mprj_logic_high_inst.HI[302] ANTENNA_753.DIODE (0.080:0.080:0.080) (0.080:0.080:0.080)) - (INTERCONNECT mprj_logic_high_inst.HI[302] ANTENNA_754.DIODE (0.080:0.080:0.080) (0.080:0.080:0.080)) - (INTERCONNECT mprj_logic_high_inst.HI[302] ANTENNA_755.DIODE (0.080:0.080:0.080) (0.080:0.080:0.080)) - (INTERCONNECT mprj_logic_high_inst.HI[302] ANTENNA_756.DIODE (0.080:0.080:0.080) (0.080:0.080:0.080)) - (INTERCONNECT mprj_logic_high_inst.HI[302] ANTENNA_757.DIODE (0.080:0.080:0.080) (0.080:0.080:0.080)) - (INTERCONNECT mprj_logic_high_inst.HI[302] ANTENNA_758.DIODE (0.080:0.080:0.080) (0.080:0.080:0.080)) - (INTERCONNECT mprj_logic_high_inst.HI[302] ANTENNA_759.DIODE (0.080:0.080:0.080) (0.080:0.080:0.080)) - (INTERCONNECT mprj_logic_high_inst.HI[302] ANTENNA_760.DIODE (0.080:0.080:0.080) (0.080:0.080:0.080)) - (INTERCONNECT mprj_logic_high_inst.HI[303] _598_.B (0.071:0.071:0.071) (0.070:0.070:0.070)) - (INTERCONNECT mprj_logic_high_inst.HI[303] ANTENNA_761.DIODE (0.071:0.071:0.071) (0.070:0.070:0.070)) - (INTERCONNECT mprj_logic_high_inst.HI[303] ANTENNA_762.DIODE (0.071:0.071:0.071) (0.070:0.070:0.070)) - (INTERCONNECT mprj_logic_high_inst.HI[303] ANTENNA_763.DIODE (0.071:0.071:0.071) (0.070:0.070:0.070)) - (INTERCONNECT mprj_logic_high_inst.HI[303] ANTENNA_764.DIODE (0.071:0.071:0.071) (0.070:0.070:0.070)) - (INTERCONNECT mprj_logic_high_inst.HI[303] ANTENNA_765.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[303] ANTENNA_766.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[303] ANTENNA_767.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[303] ANTENNA_768.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[303] ANTENNA_769.DIODE (0.071:0.071:0.071) (0.070:0.070:0.070)) - (INTERCONNECT mprj_logic_high_inst.HI[304] _599_.B (0.099:0.099:0.099) (0.099:0.099:0.099)) - (INTERCONNECT mprj_logic_high_inst.HI[304] ANTENNA_770.DIODE (0.099:0.099:0.099) (0.099:0.099:0.099)) - (INTERCONNECT mprj_logic_high_inst.HI[305] _600_.B (0.073:0.073:0.073) (0.073:0.073:0.073)) - (INTERCONNECT mprj_logic_high_inst.HI[305] ANTENNA_771.DIODE (0.073:0.073:0.073) (0.073:0.073:0.073)) - (INTERCONNECT mprj_logic_high_inst.HI[306] _601_.B (0.093:0.093:0.093) (0.093:0.093:0.093)) - (INTERCONNECT mprj_logic_high_inst.HI[306] ANTENNA_772.DIODE (0.093:0.093:0.093) (0.093:0.093:0.093)) - (INTERCONNECT mprj_logic_high_inst.HI[307] _602_.B (0.036:0.036:0.036) (0.036:0.036:0.036)) - (INTERCONNECT mprj_logic_high_inst.HI[308] _603_.B (0.065:0.065:0.065) (0.065:0.065:0.065)) - (INTERCONNECT mprj_logic_high_inst.HI[308] ANTENNA_773.DIODE (0.065:0.065:0.065) (0.065:0.065:0.065)) - (INTERCONNECT mprj_logic_high_inst.HI[308] ANTENNA_774.DIODE (0.065:0.065:0.065) (0.065:0.065:0.065)) - (INTERCONNECT mprj_logic_high_inst.HI[308] ANTENNA_775.DIODE (0.065:0.065:0.065) (0.065:0.065:0.065)) - (INTERCONNECT mprj_logic_high_inst.HI[308] ANTENNA_776.DIODE (0.065:0.065:0.065) (0.065:0.065:0.065)) - (INTERCONNECT mprj_logic_high_inst.HI[308] ANTENNA_777.DIODE (0.065:0.065:0.065) (0.065:0.065:0.065)) - (INTERCONNECT mprj_logic_high_inst.HI[308] ANTENNA_778.DIODE (0.065:0.065:0.065) (0.065:0.065:0.065)) - (INTERCONNECT mprj_logic_high_inst.HI[308] ANTENNA_779.DIODE (0.065:0.065:0.065) (0.065:0.065:0.065)) - (INTERCONNECT mprj_logic_high_inst.HI[308] ANTENNA_780.DIODE (0.065:0.065:0.065) (0.065:0.065:0.065)) - (INTERCONNECT mprj_logic_high_inst.HI[309] _604_.B (0.091:0.091:0.091) (0.091:0.091:0.091)) - (INTERCONNECT mprj_logic_high_inst.HI[309] ANTENNA_781.DIODE (0.091:0.091:0.091) (0.091:0.091:0.091)) - (INTERCONNECT mprj_logic_high_inst.HI[309] ANTENNA_782.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[309] ANTENNA_783.DIODE (0.091:0.091:0.091) (0.091:0.091:0.091)) - (INTERCONNECT mprj_logic_high_inst.HI[309] ANTENNA_784.DIODE (0.091:0.091:0.091) (0.091:0.091:0.091)) - (INTERCONNECT mprj_logic_high_inst.HI[309] ANTENNA_785.DIODE (0.091:0.091:0.091) (0.091:0.091:0.091)) - (INTERCONNECT mprj_logic_high_inst.HI[309] ANTENNA_786.DIODE (0.091:0.091:0.091) (0.091:0.091:0.091)) - (INTERCONNECT mprj_logic_high_inst.HI[309] ANTENNA_787.DIODE (0.091:0.091:0.091) (0.091:0.091:0.091)) - (INTERCONNECT mprj_logic_high_inst.HI[309] ANTENNA_788.DIODE (0.091:0.091:0.091) (0.091:0.091:0.091)) - (INTERCONNECT mprj_logic_high_inst.HI[309] ANTENNA_789.DIODE (0.091:0.091:0.091) (0.091:0.091:0.091)) - (INTERCONNECT mprj_logic_high_inst.HI[309] ANTENNA_790.DIODE (0.091:0.091:0.091) (0.091:0.091:0.091)) - (INTERCONNECT mprj_logic_high_inst.HI[309] ANTENNA_791.DIODE (0.091:0.091:0.091) (0.091:0.091:0.091)) - (INTERCONNECT mprj_logic_high_inst.HI[309] ANTENNA_792.DIODE (0.091:0.091:0.091) (0.091:0.091:0.091)) - (INTERCONNECT mprj_logic_high_inst.HI[309] ANTENNA_793.DIODE (0.091:0.091:0.091) (0.091:0.091:0.091)) - (INTERCONNECT mprj_logic_high_inst.HI[30] _325_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[310] _605_.B (0.158:0.158:0.158) (0.158:0.158:0.158)) - (INTERCONNECT mprj_logic_high_inst.HI[310] ANTENNA_794.DIODE (0.158:0.158:0.158) (0.158:0.158:0.158)) - (INTERCONNECT mprj_logic_high_inst.HI[310] ANTENNA_795.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[310] ANTENNA_796.DIODE (0.158:0.158:0.158) (0.158:0.158:0.158)) - (INTERCONNECT mprj_logic_high_inst.HI[310] ANTENNA_797.DIODE (0.158:0.158:0.158) (0.158:0.158:0.158)) - (INTERCONNECT mprj_logic_high_inst.HI[310] ANTENNA_798.DIODE (0.158:0.158:0.158) (0.158:0.158:0.158)) - (INTERCONNECT mprj_logic_high_inst.HI[310] ANTENNA_799.DIODE (0.158:0.158:0.158) (0.158:0.158:0.158)) - (INTERCONNECT mprj_logic_high_inst.HI[310] ANTENNA_800.DIODE (0.159:0.159:0.159) (0.158:0.158:0.158)) - (INTERCONNECT mprj_logic_high_inst.HI[310] ANTENNA_801.DIODE (0.159:0.159:0.159) (0.158:0.158:0.158)) - (INTERCONNECT mprj_logic_high_inst.HI[310] ANTENNA_802.DIODE (0.159:0.159:0.159) (0.158:0.158:0.158)) - (INTERCONNECT mprj_logic_high_inst.HI[310] ANTENNA_803.DIODE (0.159:0.159:0.159) (0.158:0.158:0.158)) - (INTERCONNECT mprj_logic_high_inst.HI[310] ANTENNA_804.DIODE (0.158:0.158:0.158) (0.158:0.158:0.158)) - (INTERCONNECT mprj_logic_high_inst.HI[310] ANTENNA_805.DIODE (0.158:0.158:0.158) (0.158:0.158:0.158)) - (INTERCONNECT mprj_logic_high_inst.HI[310] ANTENNA_806.DIODE (0.159:0.159:0.159) (0.158:0.158:0.158)) - (INTERCONNECT mprj_logic_high_inst.HI[311] _606_.B (0.089:0.089:0.089) (0.088:0.088:0.088)) - (INTERCONNECT mprj_logic_high_inst.HI[311] ANTENNA_807.DIODE (0.089:0.089:0.089) (0.088:0.088:0.088)) - (INTERCONNECT mprj_logic_high_inst.HI[312] _607_.B (0.104:0.104:0.104) (0.103:0.103:0.103)) - (INTERCONNECT mprj_logic_high_inst.HI[312] ANTENNA_808.DIODE (0.104:0.104:0.104) (0.103:0.103:0.103)) - (INTERCONNECT mprj_logic_high_inst.HI[313] _608_.B (0.075:0.075:0.075) (0.075:0.075:0.075)) - (INTERCONNECT mprj_logic_high_inst.HI[313] ANTENNA_809.DIODE (0.075:0.075:0.075) (0.075:0.075:0.075)) - (INTERCONNECT mprj_logic_high_inst.HI[313] ANTENNA_810.DIODE (0.075:0.075:0.075) (0.075:0.075:0.075)) - (INTERCONNECT mprj_logic_high_inst.HI[314] _609_.B (0.070:0.070:0.070) (0.070:0.070:0.070)) - (INTERCONNECT mprj_logic_high_inst.HI[314] ANTENNA_811.DIODE (0.070:0.070:0.070) (0.070:0.070:0.070)) - (INTERCONNECT mprj_logic_high_inst.HI[314] ANTENNA_812.DIODE (0.070:0.070:0.070) (0.070:0.070:0.070)) - (INTERCONNECT mprj_logic_high_inst.HI[315] _610_.B (0.056:0.056:0.056) (0.055:0.055:0.055)) - (INTERCONNECT mprj_logic_high_inst.HI[316] _611_.B (0.129:0.129:0.129) (0.129:0.129:0.129)) - (INTERCONNECT mprj_logic_high_inst.HI[316] ANTENNA_813.DIODE (0.129:0.129:0.129) (0.129:0.129:0.129)) - (INTERCONNECT mprj_logic_high_inst.HI[316] ANTENNA_814.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT mprj_logic_high_inst.HI[316] ANTENNA_815.DIODE (0.129:0.129:0.129) (0.129:0.129:0.129)) - (INTERCONNECT mprj_logic_high_inst.HI[316] ANTENNA_816.DIODE (0.129:0.129:0.129) (0.129:0.129:0.129)) - (INTERCONNECT mprj_logic_high_inst.HI[316] ANTENNA_817.DIODE (0.129:0.129:0.129) (0.129:0.129:0.129)) - (INTERCONNECT mprj_logic_high_inst.HI[316] ANTENNA_818.DIODE (0.129:0.129:0.129) (0.129:0.129:0.129)) - (INTERCONNECT mprj_logic_high_inst.HI[316] ANTENNA_819.DIODE (0.129:0.129:0.129) (0.129:0.129:0.129)) - (INTERCONNECT mprj_logic_high_inst.HI[316] ANTENNA_820.DIODE (0.129:0.129:0.129) (0.129:0.129:0.129)) - (INTERCONNECT mprj_logic_high_inst.HI[316] ANTENNA_821.DIODE (0.129:0.129:0.129) (0.129:0.129:0.129)) - (INTERCONNECT mprj_logic_high_inst.HI[316] ANTENNA_822.DIODE (0.129:0.129:0.129) (0.128:0.128:0.128)) - (INTERCONNECT mprj_logic_high_inst.HI[316] ANTENNA_823.DIODE (0.129:0.129:0.129) (0.129:0.129:0.129)) - (INTERCONNECT mprj_logic_high_inst.HI[316] ANTENNA_824.DIODE (0.129:0.129:0.129) (0.128:0.128:0.128)) - (INTERCONNECT mprj_logic_high_inst.HI[316] ANTENNA_825.DIODE (0.129:0.129:0.129) (0.129:0.129:0.129)) - (INTERCONNECT mprj_logic_high_inst.HI[317] _612_.B (0.106:0.106:0.106) (0.105:0.105:0.105)) - (INTERCONNECT mprj_logic_high_inst.HI[317] ANTENNA_826.DIODE (0.106:0.106:0.106) (0.105:0.105:0.105)) - (INTERCONNECT mprj_logic_high_inst.HI[317] ANTENNA_827.DIODE (0.106:0.106:0.106) (0.105:0.105:0.105)) - (INTERCONNECT mprj_logic_high_inst.HI[317] ANTENNA_828.DIODE (0.106:0.106:0.106) (0.105:0.105:0.105)) - (INTERCONNECT mprj_logic_high_inst.HI[317] ANTENNA_829.DIODE (0.106:0.106:0.106) (0.105:0.105:0.105)) - (INTERCONNECT mprj_logic_high_inst.HI[317] ANTENNA_830.DIODE (0.106:0.106:0.106) (0.105:0.105:0.105)) - (INTERCONNECT mprj_logic_high_inst.HI[317] ANTENNA_831.DIODE (0.106:0.106:0.106) (0.105:0.105:0.105)) - (INTERCONNECT mprj_logic_high_inst.HI[317] ANTENNA_832.DIODE (0.106:0.106:0.106) (0.105:0.105:0.105)) - (INTERCONNECT mprj_logic_high_inst.HI[317] ANTENNA_833.DIODE (0.106:0.106:0.106) (0.105:0.105:0.105)) - (INTERCONNECT mprj_logic_high_inst.HI[317] ANTENNA_834.DIODE (0.106:0.106:0.106) (0.105:0.105:0.105)) - (INTERCONNECT mprj_logic_high_inst.HI[317] ANTENNA_835.DIODE (0.106:0.106:0.106) (0.105:0.105:0.105)) - (INTERCONNECT mprj_logic_high_inst.HI[317] ANTENNA_836.DIODE (0.106:0.106:0.106) (0.105:0.105:0.105)) - (INTERCONNECT mprj_logic_high_inst.HI[317] ANTENNA_837.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT mprj_logic_high_inst.HI[317] ANTENNA_838.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT mprj_logic_high_inst.HI[317] ANTENNA_839.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT mprj_logic_high_inst.HI[317] ANTENNA_840.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT mprj_logic_high_inst.HI[317] ANTENNA_841.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[317] ANTENNA_842.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT mprj_logic_high_inst.HI[317] ANTENNA_843.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT mprj_logic_high_inst.HI[317] ANTENNA_844.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT mprj_logic_high_inst.HI[317] ANTENNA_845.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT mprj_logic_high_inst.HI[317] ANTENNA_846.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT mprj_logic_high_inst.HI[317] ANTENNA_847.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[318] _613_.B (0.119:0.119:0.119) (0.118:0.118:0.118)) - (INTERCONNECT mprj_logic_high_inst.HI[318] ANTENNA_848.DIODE (0.119:0.119:0.119) (0.118:0.118:0.118)) - (INTERCONNECT mprj_logic_high_inst.HI[318] ANTENNA_849.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[318] ANTENNA_850.DIODE (0.119:0.119:0.119) (0.118:0.118:0.118)) - (INTERCONNECT mprj_logic_high_inst.HI[319] _614_.B (0.100:0.100:0.100) (0.100:0.100:0.100)) - (INTERCONNECT mprj_logic_high_inst.HI[319] ANTENNA_851.DIODE (0.100:0.100:0.100) (0.100:0.100:0.100)) - (INTERCONNECT mprj_logic_high_inst.HI[319] ANTENNA_852.DIODE (0.100:0.100:0.100) (0.100:0.100:0.100)) - (INTERCONNECT mprj_logic_high_inst.HI[319] ANTENNA_853.DIODE (0.100:0.100:0.100) (0.100:0.100:0.100)) - (INTERCONNECT mprj_logic_high_inst.HI[319] ANTENNA_854.DIODE (0.100:0.100:0.100) (0.100:0.100:0.100)) - (INTERCONNECT mprj_logic_high_inst.HI[319] ANTENNA_855.DIODE (0.100:0.100:0.100) (0.100:0.100:0.100)) - (INTERCONNECT mprj_logic_high_inst.HI[31] _326_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[31] ANTENNA_856.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[320] _615_.B (0.118:0.118:0.118) (0.118:0.118:0.118)) - (INTERCONNECT mprj_logic_high_inst.HI[320] ANTENNA_857.DIODE (0.118:0.118:0.118) (0.118:0.118:0.118)) - (INTERCONNECT mprj_logic_high_inst.HI[321] _616_.B (0.111:0.111:0.111) (0.111:0.111:0.111)) - (INTERCONNECT mprj_logic_high_inst.HI[322] _617_.B (0.101:0.101:0.101) (0.101:0.101:0.101)) - (INTERCONNECT mprj_logic_high_inst.HI[322] ANTENNA_858.DIODE (0.101:0.101:0.101) (0.101:0.101:0.101)) - (INTERCONNECT mprj_logic_high_inst.HI[322] ANTENNA_859.DIODE (0.101:0.101:0.101) (0.100:0.100:0.100)) - (INTERCONNECT mprj_logic_high_inst.HI[322] ANTENNA_860.DIODE (0.101:0.101:0.101) (0.101:0.101:0.101)) - (INTERCONNECT mprj_logic_high_inst.HI[322] ANTENNA_861.DIODE (0.101:0.101:0.101) (0.100:0.100:0.100)) - (INTERCONNECT mprj_logic_high_inst.HI[322] ANTENNA_862.DIODE (0.101:0.101:0.101) (0.101:0.101:0.101)) - (INTERCONNECT mprj_logic_high_inst.HI[322] ANTENNA_863.DIODE (0.101:0.101:0.101) (0.100:0.100:0.100)) - (INTERCONNECT mprj_logic_high_inst.HI[322] ANTENNA_864.DIODE (0.101:0.101:0.101) (0.101:0.101:0.101)) - (INTERCONNECT mprj_logic_high_inst.HI[322] ANTENNA_865.DIODE (0.101:0.101:0.101) (0.100:0.100:0.100)) - (INTERCONNECT mprj_logic_high_inst.HI[322] ANTENNA_866.DIODE (0.101:0.101:0.101) (0.101:0.101:0.101)) - (INTERCONNECT mprj_logic_high_inst.HI[322] ANTENNA_867.DIODE (0.101:0.101:0.101) (0.100:0.100:0.100)) - (INTERCONNECT mprj_logic_high_inst.HI[322] ANTENNA_868.DIODE (0.101:0.101:0.101) (0.101:0.101:0.101)) - (INTERCONNECT mprj_logic_high_inst.HI[322] ANTENNA_869.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[322] ANTENNA_870.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[322] ANTENNA_871.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT mprj_logic_high_inst.HI[322] ANTENNA_872.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT mprj_logic_high_inst.HI[322] ANTENNA_873.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT mprj_logic_high_inst.HI[322] ANTENNA_874.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[322] ANTENNA_875.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT mprj_logic_high_inst.HI[322] ANTENNA_876.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT mprj_logic_high_inst.HI[322] ANTENNA_877.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[322] ANTENNA_878.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT mprj_logic_high_inst.HI[322] ANTENNA_879.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[323] _618_.B (0.104:0.104:0.104) (0.103:0.103:0.103)) - (INTERCONNECT mprj_logic_high_inst.HI[323] ANTENNA_880.DIODE (0.103:0.103:0.103) (0.103:0.103:0.103)) - (INTERCONNECT mprj_logic_high_inst.HI[323] ANTENNA_881.DIODE (0.104:0.104:0.104) (0.103:0.103:0.103)) - (INTERCONNECT mprj_logic_high_inst.HI[323] ANTENNA_882.DIODE (0.103:0.103:0.103) (0.103:0.103:0.103)) - (INTERCONNECT mprj_logic_high_inst.HI[323] ANTENNA_883.DIODE (0.104:0.104:0.104) (0.103:0.103:0.103)) - (INTERCONNECT mprj_logic_high_inst.HI[323] ANTENNA_884.DIODE (0.103:0.103:0.103) (0.103:0.103:0.103)) - (INTERCONNECT mprj_logic_high_inst.HI[323] ANTENNA_885.DIODE (0.104:0.104:0.104) (0.103:0.103:0.103)) - (INTERCONNECT mprj_logic_high_inst.HI[323] ANTENNA_886.DIODE (0.103:0.103:0.103) (0.103:0.103:0.103)) - (INTERCONNECT mprj_logic_high_inst.HI[323] ANTENNA_887.DIODE (0.103:0.103:0.103) (0.103:0.103:0.103)) - (INTERCONNECT mprj_logic_high_inst.HI[323] ANTENNA_888.DIODE (0.104:0.104:0.104) (0.103:0.103:0.103)) - (INTERCONNECT mprj_logic_high_inst.HI[323] ANTENNA_889.DIODE (0.103:0.103:0.103) (0.103:0.103:0.103)) - (INTERCONNECT mprj_logic_high_inst.HI[323] ANTENNA_890.DIODE (0.104:0.104:0.104) (0.103:0.103:0.103)) - (INTERCONNECT mprj_logic_high_inst.HI[323] ANTENNA_891.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[323] ANTENNA_892.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[323] ANTENNA_893.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[323] ANTENNA_894.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT mprj_logic_high_inst.HI[323] ANTENNA_895.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT mprj_logic_high_inst.HI[323] ANTENNA_896.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT mprj_logic_high_inst.HI[323] ANTENNA_897.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT mprj_logic_high_inst.HI[323] ANTENNA_898.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT mprj_logic_high_inst.HI[323] ANTENNA_899.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT mprj_logic_high_inst.HI[323] ANTENNA_900.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT mprj_logic_high_inst.HI[323] ANTENNA_901.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT mprj_logic_high_inst.HI[324] _619_.B (0.134:0.134:0.134) (0.134:0.134:0.134)) - (INTERCONNECT mprj_logic_high_inst.HI[324] ANTENNA_902.DIODE (0.134:0.134:0.134) (0.134:0.134:0.134)) - (INTERCONNECT mprj_logic_high_inst.HI[324] ANTENNA_903.DIODE (0.134:0.134:0.134) (0.134:0.134:0.134)) - (INTERCONNECT mprj_logic_high_inst.HI[324] ANTENNA_904.DIODE (0.134:0.134:0.134) (0.134:0.134:0.134)) - (INTERCONNECT mprj_logic_high_inst.HI[324] ANTENNA_905.DIODE (0.134:0.134:0.134) (0.134:0.134:0.134)) - (INTERCONNECT mprj_logic_high_inst.HI[324] ANTENNA_906.DIODE (0.134:0.134:0.134) (0.134:0.134:0.134)) - (INTERCONNECT mprj_logic_high_inst.HI[324] ANTENNA_907.DIODE (0.134:0.134:0.134) (0.134:0.134:0.134)) - (INTERCONNECT mprj_logic_high_inst.HI[324] ANTENNA_908.DIODE (0.134:0.134:0.134) (0.134:0.134:0.134)) - (INTERCONNECT mprj_logic_high_inst.HI[324] ANTENNA_909.DIODE (0.134:0.134:0.134) (0.134:0.134:0.134)) - (INTERCONNECT mprj_logic_high_inst.HI[324] ANTENNA_910.DIODE (0.134:0.134:0.134) (0.134:0.134:0.134)) - (INTERCONNECT mprj_logic_high_inst.HI[324] ANTENNA_911.DIODE (0.134:0.134:0.134) (0.134:0.134:0.134)) - (INTERCONNECT mprj_logic_high_inst.HI[324] ANTENNA_912.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT mprj_logic_high_inst.HI[324] ANTENNA_913.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[324] ANTENNA_914.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[324] ANTENNA_915.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[324] ANTENNA_916.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[324] ANTENNA_917.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[324] ANTENNA_918.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT mprj_logic_high_inst.HI[324] ANTENNA_919.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[324] ANTENNA_920.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT mprj_logic_high_inst.HI[324] ANTENNA_921.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT mprj_logic_high_inst.HI[325] _620_.B (0.133:0.133:0.133) (0.132:0.132:0.132)) - (INTERCONNECT mprj_logic_high_inst.HI[325] ANTENNA_922.DIODE (0.133:0.133:0.133) (0.132:0.132:0.132)) - (INTERCONNECT mprj_logic_high_inst.HI[325] ANTENNA_923.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[325] ANTENNA_924.DIODE (0.133:0.133:0.133) (0.132:0.132:0.132)) - (INTERCONNECT mprj_logic_high_inst.HI[325] ANTENNA_925.DIODE (0.133:0.133:0.133) (0.132:0.132:0.132)) - (INTERCONNECT mprj_logic_high_inst.HI[325] ANTENNA_926.DIODE (0.133:0.133:0.133) (0.132:0.132:0.132)) - (INTERCONNECT mprj_logic_high_inst.HI[325] ANTENNA_927.DIODE (0.133:0.133:0.133) (0.132:0.132:0.132)) - (INTERCONNECT mprj_logic_high_inst.HI[325] ANTENNA_928.DIODE (0.133:0.133:0.133) (0.132:0.132:0.132)) - (INTERCONNECT mprj_logic_high_inst.HI[325] ANTENNA_929.DIODE (0.133:0.133:0.133) (0.132:0.132:0.132)) - (INTERCONNECT mprj_logic_high_inst.HI[325] ANTENNA_930.DIODE (0.133:0.133:0.133) (0.132:0.132:0.132)) - (INTERCONNECT mprj_logic_high_inst.HI[325] ANTENNA_931.DIODE (0.133:0.133:0.133) (0.132:0.132:0.132)) - (INTERCONNECT mprj_logic_high_inst.HI[325] ANTENNA_932.DIODE (0.133:0.133:0.133) (0.132:0.132:0.132)) - (INTERCONNECT mprj_logic_high_inst.HI[325] ANTENNA_933.DIODE (0.133:0.133:0.133) (0.132:0.132:0.132)) - (INTERCONNECT mprj_logic_high_inst.HI[325] ANTENNA_934.DIODE (0.133:0.133:0.133) (0.132:0.132:0.132)) - (INTERCONNECT mprj_logic_high_inst.HI[326] _621_.B (0.123:0.123:0.123) (0.122:0.122:0.122)) - (INTERCONNECT mprj_logic_high_inst.HI[326] ANTENNA_935.DIODE (0.123:0.123:0.123) (0.122:0.122:0.122)) - (INTERCONNECT mprj_logic_high_inst.HI[326] ANTENNA_936.DIODE (0.123:0.123:0.123) (0.123:0.123:0.123)) - (INTERCONNECT mprj_logic_high_inst.HI[326] ANTENNA_937.DIODE (0.123:0.123:0.123) (0.122:0.122:0.122)) - (INTERCONNECT mprj_logic_high_inst.HI[326] ANTENNA_938.DIODE (0.123:0.123:0.123) (0.123:0.123:0.123)) - (INTERCONNECT mprj_logic_high_inst.HI[326] ANTENNA_939.DIODE (0.123:0.123:0.123) (0.122:0.122:0.122)) - (INTERCONNECT mprj_logic_high_inst.HI[326] ANTENNA_940.DIODE (0.123:0.123:0.123) (0.123:0.123:0.123)) - (INTERCONNECT mprj_logic_high_inst.HI[326] ANTENNA_941.DIODE (0.123:0.123:0.123) (0.122:0.122:0.122)) - (INTERCONNECT mprj_logic_high_inst.HI[326] ANTENNA_942.DIODE (0.123:0.123:0.123) (0.123:0.123:0.123)) - (INTERCONNECT mprj_logic_high_inst.HI[326] ANTENNA_943.DIODE (0.123:0.123:0.123) (0.122:0.122:0.122)) - (INTERCONNECT mprj_logic_high_inst.HI[326] ANTENNA_944.DIODE (0.123:0.123:0.123) (0.122:0.122:0.122)) - (INTERCONNECT mprj_logic_high_inst.HI[326] ANTENNA_945.DIODE (0.123:0.123:0.123) (0.123:0.123:0.123)) - (INTERCONNECT mprj_logic_high_inst.HI[327] _622_.B (0.152:0.152:0.152) (0.152:0.152:0.152)) - (INTERCONNECT mprj_logic_high_inst.HI[327] ANTENNA_946.DIODE (0.152:0.152:0.152) (0.152:0.152:0.152)) - (INTERCONNECT mprj_logic_high_inst.HI[327] ANTENNA_947.DIODE (0.152:0.152:0.152) (0.152:0.152:0.152)) - (INTERCONNECT mprj_logic_high_inst.HI[327] ANTENNA_948.DIODE (0.152:0.152:0.152) (0.152:0.152:0.152)) - (INTERCONNECT mprj_logic_high_inst.HI[327] ANTENNA_949.DIODE (0.152:0.152:0.152) (0.152:0.152:0.152)) - (INTERCONNECT mprj_logic_high_inst.HI[327] ANTENNA_950.DIODE (0.152:0.152:0.152) (0.152:0.152:0.152)) - (INTERCONNECT mprj_logic_high_inst.HI[327] ANTENNA_951.DIODE (0.152:0.152:0.152) (0.152:0.152:0.152)) - (INTERCONNECT mprj_logic_high_inst.HI[327] ANTENNA_952.DIODE (0.152:0.152:0.152) (0.152:0.152:0.152)) - (INTERCONNECT mprj_logic_high_inst.HI[327] ANTENNA_953.DIODE (0.152:0.152:0.152) (0.152:0.152:0.152)) - (INTERCONNECT mprj_logic_high_inst.HI[327] ANTENNA_954.DIODE (0.152:0.152:0.152) (0.152:0.152:0.152)) - (INTERCONNECT mprj_logic_high_inst.HI[327] ANTENNA_955.DIODE (0.152:0.152:0.152) (0.152:0.152:0.152)) - (INTERCONNECT mprj_logic_high_inst.HI[327] ANTENNA_956.DIODE (0.152:0.152:0.152) (0.152:0.152:0.152)) - (INTERCONNECT mprj_logic_high_inst.HI[327] ANTENNA_957.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT mprj_logic_high_inst.HI[327] ANTENNA_958.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[327] ANTENNA_959.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT mprj_logic_high_inst.HI[327] ANTENNA_960.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT mprj_logic_high_inst.HI[327] ANTENNA_961.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT mprj_logic_high_inst.HI[327] ANTENNA_962.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT mprj_logic_high_inst.HI[327] ANTENNA_963.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT mprj_logic_high_inst.HI[327] ANTENNA_964.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT mprj_logic_high_inst.HI[327] ANTENNA_965.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT mprj_logic_high_inst.HI[327] ANTENNA_966.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT mprj_logic_high_inst.HI[327] ANTENNA_967.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT mprj_logic_high_inst.HI[328] _623_.B (0.142:0.142:0.142) (0.141:0.141:0.141)) - (INTERCONNECT mprj_logic_high_inst.HI[328] ANTENNA_968.DIODE (0.142:0.142:0.142) (0.141:0.141:0.141)) - (INTERCONNECT mprj_logic_high_inst.HI[328] ANTENNA_969.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[328] ANTENNA_970.DIODE (0.141:0.141:0.141) (0.141:0.141:0.141)) - (INTERCONNECT mprj_logic_high_inst.HI[328] ANTENNA_971.DIODE (0.142:0.142:0.142) (0.141:0.141:0.141)) - (INTERCONNECT mprj_logic_high_inst.HI[328] ANTENNA_972.DIODE (0.141:0.141:0.141) (0.141:0.141:0.141)) - (INTERCONNECT mprj_logic_high_inst.HI[328] ANTENNA_973.DIODE (0.142:0.142:0.142) (0.141:0.141:0.141)) - (INTERCONNECT mprj_logic_high_inst.HI[328] ANTENNA_974.DIODE (0.141:0.141:0.141) (0.141:0.141:0.141)) - (INTERCONNECT mprj_logic_high_inst.HI[328] ANTENNA_975.DIODE (0.142:0.142:0.142) (0.141:0.141:0.141)) - (INTERCONNECT mprj_logic_high_inst.HI[328] ANTENNA_976.DIODE (0.141:0.141:0.141) (0.141:0.141:0.141)) - (INTERCONNECT mprj_logic_high_inst.HI[328] ANTENNA_977.DIODE (0.141:0.141:0.141) (0.141:0.141:0.141)) - (INTERCONNECT mprj_logic_high_inst.HI[328] ANTENNA_978.DIODE (0.142:0.142:0.142) (0.141:0.141:0.141)) - (INTERCONNECT mprj_logic_high_inst.HI[328] ANTENNA_979.DIODE (0.142:0.142:0.142) (0.141:0.141:0.141)) - (INTERCONNECT mprj_logic_high_inst.HI[328] ANTENNA_980.DIODE (0.142:0.142:0.142) (0.141:0.141:0.141)) - (INTERCONNECT mprj_logic_high_inst.HI[329] _624_.B (0.156:0.156:0.156) (0.156:0.156:0.156)) - (INTERCONNECT mprj_logic_high_inst.HI[329] ANTENNA_981.DIODE (0.156:0.156:0.156) (0.156:0.156:0.156)) - (INTERCONNECT mprj_logic_high_inst.HI[329] ANTENNA_982.DIODE (0.156:0.156:0.156) (0.156:0.156:0.156)) - (INTERCONNECT mprj_logic_high_inst.HI[329] ANTENNA_983.DIODE (0.156:0.156:0.156) (0.156:0.156:0.156)) - (INTERCONNECT mprj_logic_high_inst.HI[329] ANTENNA_984.DIODE (0.156:0.156:0.156) (0.156:0.156:0.156)) - (INTERCONNECT mprj_logic_high_inst.HI[329] ANTENNA_985.DIODE (0.156:0.156:0.156) (0.156:0.156:0.156)) - (INTERCONNECT mprj_logic_high_inst.HI[329] ANTENNA_986.DIODE (0.156:0.156:0.156) (0.156:0.156:0.156)) - (INTERCONNECT mprj_logic_high_inst.HI[329] ANTENNA_987.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT mprj_logic_high_inst.HI[329] ANTENNA_988.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT mprj_logic_high_inst.HI[329] ANTENNA_989.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT mprj_logic_high_inst.HI[329] ANTENNA_990.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT mprj_logic_high_inst.HI[329] ANTENNA_991.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT mprj_logic_high_inst.HI[329] ANTENNA_992.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT mprj_logic_high_inst.HI[329] ANTENNA_993.DIODE (0.156:0.156:0.156) (0.156:0.156:0.156)) - (INTERCONNECT mprj_logic_high_inst.HI[32] _327_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[32] ANTENNA_994.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[330] _625_.A (0.037:0.037:0.037) (0.037:0.037:0.037)) - (INTERCONNECT mprj_logic_high_inst.HI[330] ANTENNA_995.DIODE (0.037:0.037:0.037) (0.037:0.037:0.037)) - (INTERCONNECT mprj_logic_high_inst.HI[330] ANTENNA_996.DIODE (0.037:0.037:0.037) (0.037:0.037:0.037)) - (INTERCONNECT mprj_logic_high_inst.HI[330] ANTENNA_997.DIODE (0.037:0.037:0.037) (0.037:0.037:0.037)) - (INTERCONNECT mprj_logic_high_inst.HI[330] ANTENNA_998.DIODE (0.037:0.037:0.037) (0.037:0.037:0.037)) - (INTERCONNECT mprj_logic_high_inst.HI[330] ANTENNA_999.DIODE (0.037:0.037:0.037) (0.037:0.037:0.037)) - (INTERCONNECT mprj_logic_high_inst.HI[330] ANTENNA_1000.DIODE (0.037:0.037:0.037) (0.037:0.037:0.037)) - (INTERCONNECT mprj_logic_high_inst.HI[330] ANTENNA_1001.DIODE (0.037:0.037:0.037) (0.037:0.037:0.037)) - (INTERCONNECT mprj_logic_high_inst.HI[330] ANTENNA_1002.DIODE (0.037:0.037:0.037) (0.037:0.037:0.037)) - (INTERCONNECT mprj_logic_high_inst.HI[330] ANTENNA_1003.DIODE (0.037:0.037:0.037) (0.037:0.037:0.037)) - (INTERCONNECT mprj_logic_high_inst.HI[330] ANTENNA_1004.DIODE (0.037:0.037:0.037) (0.037:0.037:0.037)) - (INTERCONNECT mprj_logic_high_inst.HI[330] ANTENNA_1005.DIODE (0.037:0.037:0.037) (0.037:0.037:0.037)) - (INTERCONNECT mprj_logic_high_inst.HI[330] ANTENNA_1006.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[330] ANTENNA_1007.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[330] ANTENNA_1008.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[330] ANTENNA_1009.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[330] ANTENNA_1010.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[330] ANTENNA_1011.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[330] ANTENNA_1012.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[330] ANTENNA_1013.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[330] ANTENNA_1014.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[330] ANTENNA_1015.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[330] ANTENNA_1016.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[331] _164_.A (0.070:0.070:0.070) (0.069:0.069:0.069)) - (INTERCONNECT mprj_logic_high_inst.HI[331] ANTENNA_1017.DIODE (0.070:0.070:0.070) (0.069:0.069:0.069)) - (INTERCONNECT mprj_logic_high_inst.HI[331] ANTENNA_1018.DIODE (0.070:0.070:0.070) (0.069:0.069:0.069)) - (INTERCONNECT mprj_logic_high_inst.HI[331] ANTENNA_1019.DIODE (0.070:0.070:0.070) (0.069:0.069:0.069)) - (INTERCONNECT mprj_logic_high_inst.HI[331] ANTENNA_1020.DIODE (0.070:0.070:0.070) (0.069:0.069:0.069)) - (INTERCONNECT mprj_logic_high_inst.HI[331] ANTENNA_1021.DIODE (0.070:0.070:0.070) (0.069:0.069:0.069)) - (INTERCONNECT mprj_logic_high_inst.HI[331] ANTENNA_1022.DIODE (0.069:0.069:0.069) (0.069:0.069:0.069)) - (INTERCONNECT mprj_logic_high_inst.HI[331] ANTENNA_1023.DIODE (0.069:0.069:0.069) (0.069:0.069:0.069)) - (INTERCONNECT mprj_logic_high_inst.HI[331] ANTENNA_1024.DIODE (0.069:0.069:0.069) (0.069:0.069:0.069)) - (INTERCONNECT mprj_logic_high_inst.HI[331] ANTENNA_1025.DIODE (0.070:0.070:0.070) (0.069:0.069:0.069)) - (INTERCONNECT mprj_logic_high_inst.HI[331] ANTENNA_1026.DIODE (0.069:0.069:0.069) (0.069:0.069:0.069)) - (INTERCONNECT mprj_logic_high_inst.HI[331] ANTENNA_1027.DIODE (0.070:0.070:0.070) (0.069:0.069:0.069)) - (INTERCONNECT mprj_logic_high_inst.HI[331] ANTENNA_1028.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[331] ANTENNA_1029.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[331] ANTENNA_1030.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[331] ANTENNA_1031.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[331] ANTENNA_1032.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[331] ANTENNA_1033.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[331] ANTENNA_1034.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[331] ANTENNA_1035.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[331] ANTENNA_1036.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[331] ANTENNA_1037.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[331] ANTENNA_1038.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[332] _165_.A (0.037:0.037:0.037) (0.037:0.037:0.037)) - (INTERCONNECT mprj_logic_high_inst.HI[332] ANTENNA_1039.DIODE (0.037:0.037:0.037) (0.037:0.037:0.037)) - (INTERCONNECT mprj_logic_high_inst.HI[332] ANTENNA_1040.DIODE (0.037:0.037:0.037) (0.036:0.036:0.036)) - (INTERCONNECT mprj_logic_high_inst.HI[332] ANTENNA_1041.DIODE (0.037:0.037:0.037) (0.037:0.037:0.037)) - (INTERCONNECT mprj_logic_high_inst.HI[332] ANTENNA_2110.DIODE (0.037:0.037:0.037) (0.037:0.037:0.037)) - (INTERCONNECT mprj_logic_high_inst.HI[332] ANTENNA_2111.DIODE (0.037:0.037:0.037) (0.036:0.036:0.036)) - (INTERCONNECT mprj_logic_high_inst.HI[332] ANTENNA_2112.DIODE (0.037:0.037:0.037) (0.036:0.036:0.036)) - (INTERCONNECT mprj_logic_high_inst.HI[332] ANTENNA_2113.DIODE (0.037:0.037:0.037) (0.036:0.036:0.036)) - (INTERCONNECT mprj_logic_high_inst.HI[333] _166_.A (0.046:0.046:0.046) (0.046:0.046:0.046)) - (INTERCONNECT mprj_logic_high_inst.HI[333] ANTENNA_1042.DIODE (0.046:0.046:0.046) (0.046:0.046:0.046)) - (INTERCONNECT mprj_logic_high_inst.HI[333] ANTENNA_1043.DIODE (0.046:0.046:0.046) (0.046:0.046:0.046)) - (INTERCONNECT mprj_logic_high_inst.HI[333] ANTENNA_1044.DIODE (0.046:0.046:0.046) (0.046:0.046:0.046)) - (INTERCONNECT mprj_logic_high_inst.HI[333] ANTENNA_1045.DIODE (0.046:0.046:0.046) (0.046:0.046:0.046)) - (INTERCONNECT mprj_logic_high_inst.HI[333] ANTENNA_2114.DIODE (0.046:0.046:0.046) (0.046:0.046:0.046)) - (INTERCONNECT mprj_logic_high_inst.HI[333] ANTENNA_2115.DIODE (0.046:0.046:0.046) (0.046:0.046:0.046)) - (INTERCONNECT mprj_logic_high_inst.HI[333] ANTENNA_2116.DIODE (0.046:0.046:0.046) (0.046:0.046:0.046)) - (INTERCONNECT mprj_logic_high_inst.HI[333] ANTENNA_2117.DIODE (0.046:0.046:0.046) (0.046:0.046:0.046)) - (INTERCONNECT mprj_logic_high_inst.HI[333] ANTENNA_2118.DIODE (0.046:0.046:0.046) (0.046:0.046:0.046)) - (INTERCONNECT mprj_logic_high_inst.HI[334] _167_.A (0.043:0.043:0.043) (0.043:0.043:0.043)) - (INTERCONNECT mprj_logic_high_inst.HI[334] ANTENNA_1046.DIODE (0.043:0.043:0.043) (0.043:0.043:0.043)) - (INTERCONNECT mprj_logic_high_inst.HI[334] ANTENNA_1047.DIODE (0.043:0.043:0.043) (0.043:0.043:0.043)) - (INTERCONNECT mprj_logic_high_inst.HI[334] ANTENNA_1048.DIODE (0.043:0.043:0.043) (0.043:0.043:0.043)) - (INTERCONNECT mprj_logic_high_inst.HI[334] ANTENNA_1049.DIODE (0.043:0.043:0.043) (0.043:0.043:0.043)) - (INTERCONNECT mprj_logic_high_inst.HI[334] ANTENNA_1050.DIODE (0.043:0.043:0.043) (0.043:0.043:0.043)) - (INTERCONNECT mprj_logic_high_inst.HI[334] ANTENNA_2119.DIODE (0.043:0.043:0.043) (0.043:0.043:0.043)) - (INTERCONNECT mprj_logic_high_inst.HI[334] ANTENNA_2120.DIODE (0.043:0.043:0.043) (0.043:0.043:0.043)) - (INTERCONNECT mprj_logic_high_inst.HI[334] ANTENNA_2121.DIODE (0.043:0.043:0.043) (0.043:0.043:0.043)) - (INTERCONNECT mprj_logic_high_inst.HI[334] ANTENNA_2122.DIODE (0.043:0.043:0.043) (0.043:0.043:0.043)) - (INTERCONNECT mprj_logic_high_inst.HI[334] ANTENNA_2123.DIODE (0.043:0.043:0.043) (0.043:0.043:0.043)) - (INTERCONNECT mprj_logic_high_inst.HI[334] ANTENNA_2124.DIODE (0.043:0.043:0.043) (0.043:0.043:0.043)) - (INTERCONNECT mprj_logic_high_inst.HI[335] _168_.A (0.029:0.029:0.029) (0.029:0.029:0.029)) - (INTERCONNECT mprj_logic_high_inst.HI[335] ANTENNA_1051.DIODE (0.029:0.029:0.029) (0.029:0.029:0.029)) - (INTERCONNECT mprj_logic_high_inst.HI[336] _169_.A (0.026:0.026:0.026) (0.026:0.026:0.026)) - (INTERCONNECT mprj_logic_high_inst.HI[336] ANTENNA_1052.DIODE (0.026:0.026:0.026) (0.026:0.026:0.026)) - (INTERCONNECT mprj_logic_high_inst.HI[337] _170_.A (0.039:0.039:0.039) (0.039:0.039:0.039)) - (INTERCONNECT mprj_logic_high_inst.HI[337] ANTENNA_1053.DIODE (0.039:0.039:0.039) (0.039:0.039:0.039)) - (INTERCONNECT mprj_logic_high_inst.HI[338] _171_.A (0.024:0.024:0.024) (0.024:0.024:0.024)) - (INTERCONNECT mprj_logic_high_inst.HI[338] ANTENNA_2125.DIODE (0.024:0.024:0.024) (0.024:0.024:0.024)) - (INTERCONNECT mprj_logic_high_inst.HI[339] _172_.A (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT mprj_logic_high_inst.HI[339] ANTENNA_1054.DIODE (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT mprj_logic_high_inst.HI[33] _328_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[340] _173_.A (0.026:0.026:0.026) (0.026:0.026:0.026)) - (INTERCONNECT mprj_logic_high_inst.HI[340] ANTENNA_1055.DIODE (0.026:0.026:0.026) (0.026:0.026:0.026)) - (INTERCONNECT mprj_logic_high_inst.HI[341] _174_.A (0.042:0.042:0.042) (0.041:0.041:0.041)) - (INTERCONNECT mprj_logic_high_inst.HI[341] ANTENNA_1056.DIODE (0.042:0.042:0.042) (0.041:0.041:0.041)) - (INTERCONNECT mprj_logic_high_inst.HI[342] _175_.A (0.035:0.035:0.035) (0.035:0.035:0.035)) - (INTERCONNECT mprj_logic_high_inst.HI[342] ANTENNA_1057.DIODE (0.035:0.035:0.035) (0.035:0.035:0.035)) - (INTERCONNECT mprj_logic_high_inst.HI[343] _176_.A (0.057:0.057:0.057) (0.057:0.057:0.057)) - (INTERCONNECT mprj_logic_high_inst.HI[343] ANTENNA_1058.DIODE (0.057:0.057:0.057) (0.057:0.057:0.057)) - (INTERCONNECT mprj_logic_high_inst.HI[343] ANTENNA_1059.DIODE (0.057:0.057:0.057) (0.057:0.057:0.057)) - (INTERCONNECT mprj_logic_high_inst.HI[343] ANTENNA_1060.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[343] ANTENNA_1061.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[343] ANTENNA_1062.DIODE (0.057:0.057:0.057) (0.057:0.057:0.057)) - (INTERCONNECT mprj_logic_high_inst.HI[344] _177_.A (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT mprj_logic_high_inst.HI[344] ANTENNA_1063.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT mprj_logic_high_inst.HI[345] _178_.A (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT mprj_logic_high_inst.HI[345] ANTENNA_1064.DIODE (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT mprj_logic_high_inst.HI[346] _179_.A (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT mprj_logic_high_inst.HI[346] ANTENNA_1065.DIODE (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT mprj_logic_high_inst.HI[347] _180_.A (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT mprj_logic_high_inst.HI[347] ANTENNA_1066.DIODE (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT mprj_logic_high_inst.HI[348] _181_.A (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT mprj_logic_high_inst.HI[348] ANTENNA_1067.DIODE (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT mprj_logic_high_inst.HI[349] _182_.A (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT mprj_logic_high_inst.HI[349] ANTENNA_1068.DIODE (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT mprj_logic_high_inst.HI[349] ANTENNA_1069.DIODE (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT mprj_logic_high_inst.HI[349] ANTENNA_1070.DIODE (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT mprj_logic_high_inst.HI[349] ANTENNA_1071.DIODE (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT mprj_logic_high_inst.HI[349] ANTENNA_1072.DIODE (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT mprj_logic_high_inst.HI[349] ANTENNA_1073.DIODE (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT mprj_logic_high_inst.HI[349] ANTENNA_1074.DIODE (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT mprj_logic_high_inst.HI[349] ANTENNA_1075.DIODE (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT mprj_logic_high_inst.HI[349] ANTENNA_1076.DIODE (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT mprj_logic_high_inst.HI[349] ANTENNA_1077.DIODE (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT mprj_logic_high_inst.HI[349] ANTENNA_1078.DIODE (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT mprj_logic_high_inst.HI[34] _329_.A (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT mprj_logic_high_inst.HI[34] ANTENNA_1079.DIODE (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT mprj_logic_high_inst.HI[350] _183_.A (0.054:0.054:0.054) (0.054:0.054:0.054)) - (INTERCONNECT mprj_logic_high_inst.HI[350] ANTENNA_1080.DIODE (0.054:0.054:0.054) (0.054:0.054:0.054)) - (INTERCONNECT mprj_logic_high_inst.HI[350] ANTENNA_1081.DIODE (0.054:0.054:0.054) (0.054:0.054:0.054)) - (INTERCONNECT mprj_logic_high_inst.HI[350] ANTENNA_1082.DIODE (0.054:0.054:0.054) (0.054:0.054:0.054)) - (INTERCONNECT mprj_logic_high_inst.HI[350] ANTENNA_1083.DIODE (0.054:0.054:0.054) (0.054:0.054:0.054)) - (INTERCONNECT mprj_logic_high_inst.HI[350] ANTENNA_1084.DIODE (0.054:0.054:0.054) (0.054:0.054:0.054)) - (INTERCONNECT mprj_logic_high_inst.HI[350] ANTENNA_1085.DIODE (0.054:0.054:0.054) (0.054:0.054:0.054)) - (INTERCONNECT mprj_logic_high_inst.HI[350] ANTENNA_1086.DIODE (0.054:0.054:0.054) (0.054:0.054:0.054)) - (INTERCONNECT mprj_logic_high_inst.HI[350] ANTENNA_1087.DIODE (0.054:0.054:0.054) (0.054:0.054:0.054)) - (INTERCONNECT mprj_logic_high_inst.HI[350] ANTENNA_1088.DIODE (0.054:0.054:0.054) (0.054:0.054:0.054)) - (INTERCONNECT mprj_logic_high_inst.HI[350] ANTENNA_1089.DIODE (0.054:0.054:0.054) (0.054:0.054:0.054)) - (INTERCONNECT mprj_logic_high_inst.HI[350] ANTENNA_1090.DIODE (0.054:0.054:0.054) (0.054:0.054:0.054)) - (INTERCONNECT mprj_logic_high_inst.HI[350] ANTENNA_1091.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[350] ANTENNA_1092.DIODE (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[350] ANTENNA_1093.DIODE (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[350] ANTENNA_1094.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[350] ANTENNA_1095.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[350] ANTENNA_1096.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[350] ANTENNA_1097.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[350] ANTENNA_1098.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[350] ANTENNA_1099.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[350] ANTENNA_1100.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[350] ANTENNA_1101.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[351] _184_.A (0.046:0.046:0.046) (0.046:0.046:0.046)) - (INTERCONNECT mprj_logic_high_inst.HI[351] ANTENNA_1102.DIODE (0.046:0.046:0.046) (0.046:0.046:0.046)) - (INTERCONNECT mprj_logic_high_inst.HI[351] ANTENNA_1103.DIODE (0.046:0.046:0.046) (0.046:0.046:0.046)) - (INTERCONNECT mprj_logic_high_inst.HI[351] ANTENNA_1104.DIODE (0.046:0.046:0.046) (0.045:0.045:0.045)) - (INTERCONNECT mprj_logic_high_inst.HI[351] ANTENNA_1105.DIODE (0.046:0.046:0.046) (0.046:0.046:0.046)) - (INTERCONNECT mprj_logic_high_inst.HI[351] ANTENNA_1106.DIODE (0.046:0.046:0.046) (0.045:0.045:0.045)) - (INTERCONNECT mprj_logic_high_inst.HI[351] ANTENNA_1107.DIODE (0.046:0.046:0.046) (0.045:0.045:0.045)) - (INTERCONNECT mprj_logic_high_inst.HI[351] ANTENNA_1108.DIODE (0.046:0.046:0.046) (0.045:0.045:0.045)) - (INTERCONNECT mprj_logic_high_inst.HI[351] ANTENNA_1109.DIODE (0.046:0.046:0.046) (0.045:0.045:0.045)) - (INTERCONNECT mprj_logic_high_inst.HI[351] ANTENNA_1110.DIODE (0.046:0.046:0.046) (0.045:0.045:0.045)) - (INTERCONNECT mprj_logic_high_inst.HI[351] ANTENNA_1111.DIODE (0.045:0.045:0.045) (0.045:0.045:0.045)) - (INTERCONNECT mprj_logic_high_inst.HI[351] ANTENNA_1112.DIODE (0.046:0.046:0.046) (0.045:0.045:0.045)) - (INTERCONNECT mprj_logic_high_inst.HI[351] ANTENNA_1113.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[351] ANTENNA_1114.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[351] ANTENNA_1115.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[351] ANTENNA_1116.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[351] ANTENNA_1117.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[351] ANTENNA_1118.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[351] ANTENNA_1119.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[351] ANTENNA_1120.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[351] ANTENNA_1121.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[351] ANTENNA_1122.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[351] ANTENNA_1123.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[352] _185_.A (0.029:0.029:0.029) (0.029:0.029:0.029)) - (INTERCONNECT mprj_logic_high_inst.HI[352] ANTENNA_1124.DIODE (0.029:0.029:0.029) (0.029:0.029:0.029)) - (INTERCONNECT mprj_logic_high_inst.HI[352] ANTENNA_1125.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[352] ANTENNA_1126.DIODE (0.029:0.029:0.029) (0.029:0.029:0.029)) - (INTERCONNECT mprj_logic_high_inst.HI[352] ANTENNA_1127.DIODE (0.029:0.029:0.029) (0.029:0.029:0.029)) - (INTERCONNECT mprj_logic_high_inst.HI[353] _186_.A (0.027:0.027:0.027) (0.027:0.027:0.027)) - (INTERCONNECT mprj_logic_high_inst.HI[353] ANTENNA_1128.DIODE (0.027:0.027:0.027) (0.027:0.027:0.027)) - (INTERCONNECT mprj_logic_high_inst.HI[353] ANTENNA_1129.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[353] ANTENNA_1130.DIODE (0.027:0.027:0.027) (0.027:0.027:0.027)) - (INTERCONNECT mprj_logic_high_inst.HI[354] _187_.A (0.024:0.024:0.024) (0.024:0.024:0.024)) - (INTERCONNECT mprj_logic_high_inst.HI[354] ANTENNA_2126.DIODE (0.024:0.024:0.024) (0.024:0.024:0.024)) - (INTERCONNECT mprj_logic_high_inst.HI[355] _188_.A (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT mprj_logic_high_inst.HI[355] ANTENNA_1131.DIODE (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT mprj_logic_high_inst.HI[356] _189_.A (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT mprj_logic_high_inst.HI[356] ANTENNA_1132.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT mprj_logic_high_inst.HI[357] _190_.A (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT mprj_logic_high_inst.HI[357] ANTENNA_1133.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT mprj_logic_high_inst.HI[357] ANTENNA_1134.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[358] _191_.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT mprj_logic_high_inst.HI[358] ANTENNA_1135.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT mprj_logic_high_inst.HI[358] ANTENNA_1136.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[359] _192_.A (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT mprj_logic_high_inst.HI[359] ANTENNA_1137.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT mprj_logic_high_inst.HI[35] _330_.A (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT mprj_logic_high_inst.HI[35] ANTENNA_2389.DIODE (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT mprj_logic_high_inst.HI[360] _193_.A (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT mprj_logic_high_inst.HI[360] ANTENNA_2127.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT mprj_logic_high_inst.HI[360] ANTENNA_2128.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[361] _194_.A (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT mprj_logic_high_inst.HI[361] ANTENNA_1138.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT mprj_logic_high_inst.HI[361] ANTENNA_1139.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[362] _195_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[362] ANTENNA_1140.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[362] ANTENNA_1141.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[362] ANTENNA_1142.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[362] ANTENNA_1143.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[362] ANTENNA_2129.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[362] ANTENNA_2130.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[362] ANTENNA_2131.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[362] ANTENNA_2132.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[362] ANTENNA_2481.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[362] ANTENNA_2482.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[362] ANTENNA_2483.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[362] ANTENNA_2484.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[362] ANTENNA_2485.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[362] ANTENNA_2486.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[362] ANTENNA_2487.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[362] ANTENNA_2488.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[363] _196_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[363] ANTENNA_1144.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[363] ANTENNA_1145.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[363] ANTENNA_1146.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[363] ANTENNA_1147.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[363] ANTENNA_1148.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[363] ANTENNA_1149.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[364] _197_.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[364] ANTENNA_1150.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[364] ANTENNA_1151.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[364] ANTENNA_1152.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[364] ANTENNA_1153.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[365] _198_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[365] ANTENNA_1154.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[365] ANTENNA_1155.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[365] ANTENNA_1156.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[365] ANTENNA_1157.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[366] _199_.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT mprj_logic_high_inst.HI[366] ANTENNA_1158.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT mprj_logic_high_inst.HI[366] ANTENNA_1159.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[366] ANTENNA_1160.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT mprj_logic_high_inst.HI[367] _200_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[367] ANTENNA_1161.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[368] _201_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT mprj_logic_high_inst.HI[368] ANTENNA_1162.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT mprj_logic_high_inst.HI[368] ANTENNA_1163.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[369] _202_.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT mprj_logic_high_inst.HI[36] _331_.A (0.029:0.029:0.029) (0.029:0.029:0.029)) - (INTERCONNECT mprj_logic_high_inst.HI[36] ANTENNA_1164.DIODE (0.029:0.029:0.029) (0.029:0.029:0.029)) - (INTERCONNECT mprj_logic_high_inst.HI[370] _203_.A (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT mprj_logic_high_inst.HI[371] _204_.A (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT mprj_logic_high_inst.HI[371] ANTENNA_2133.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT mprj_logic_high_inst.HI[372] _205_.A (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT mprj_logic_high_inst.HI[372] ANTENNA_1165.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT mprj_logic_high_inst.HI[373] _206_.A (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT mprj_logic_high_inst.HI[373] ANTENNA_1166.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT mprj_logic_high_inst.HI[374] _207_.A (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT mprj_logic_high_inst.HI[374] ANTENNA_1167.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT mprj_logic_high_inst.HI[375] _208_.A (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT mprj_logic_high_inst.HI[376] _209_.A (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT mprj_logic_high_inst.HI[376] ANTENNA_1168.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT mprj_logic_high_inst.HI[377] _210_.A (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT mprj_logic_high_inst.HI[377] ANTENNA_1169.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT mprj_logic_high_inst.HI[378] _211_.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT mprj_logic_high_inst.HI[378] ANTENNA_1170.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT mprj_logic_high_inst.HI[379] _212_.A (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT mprj_logic_high_inst.HI[379] ANTENNA_1171.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT mprj_logic_high_inst.HI[37] _332_.A (0.029:0.029:0.029) (0.029:0.029:0.029)) - (INTERCONNECT mprj_logic_high_inst.HI[37] ANTENNA_1172.DIODE (0.029:0.029:0.029) (0.029:0.029:0.029)) - (INTERCONNECT mprj_logic_high_inst.HI[380] _213_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT mprj_logic_high_inst.HI[380] ANTENNA_1173.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT mprj_logic_high_inst.HI[381] _214_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[381] ANTENNA_1174.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[381] ANTENNA_1175.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[381] ANTENNA_1176.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[382] _215_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT mprj_logic_high_inst.HI[382] ANTENNA_1177.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT mprj_logic_high_inst.HI[382] ANTENNA_1178.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[382] ANTENNA_1179.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT mprj_logic_high_inst.HI[383] _216_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[383] ANTENNA_1180.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[384] _217_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[384] ANTENNA_1181.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[384] ANTENNA_1182.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[385] _218_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[385] ANTENNA_2134.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[385] ANTENNA_2135.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[386] _219_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[386] ANTENNA_1183.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[386] ANTENNA_1184.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[387] _220_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[387] ANTENNA_1185.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[387] ANTENNA_1186.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[388] _221_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[388] ANTENNA_1187.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[388] ANTENNA_1188.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[389] _222_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[38] _333_.A (0.032:0.032:0.032) (0.032:0.032:0.032)) - (INTERCONNECT mprj_logic_high_inst.HI[38] ANTENNA_1189.DIODE (0.032:0.032:0.032) (0.032:0.032:0.032)) - (INTERCONNECT mprj_logic_high_inst.HI[390] _223_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[390] ANTENNA_1190.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[391] _224_.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT mprj_logic_high_inst.HI[392] _225_.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT mprj_logic_high_inst.HI[393] _226_.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT mprj_logic_high_inst.HI[393] ANTENNA_1191.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT mprj_logic_high_inst.HI[393] ANTENNA_1192.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[394] _227_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[394] ANTENNA_1193.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[394] ANTENNA_1194.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[395] _228_.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[395] ANTENNA_1195.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[395] ANTENNA_1196.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[396] _229_.A (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT mprj_logic_high_inst.HI[396] ANTENNA_1197.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT mprj_logic_high_inst.HI[396] ANTENNA_1198.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[397] _230_.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT mprj_logic_high_inst.HI[398] _231_.A (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT mprj_logic_high_inst.HI[398] ANTENNA_1199.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT mprj_logic_high_inst.HI[399] _232_.A (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT mprj_logic_high_inst.HI[39] _334_.A (0.024:0.024:0.024) (0.024:0.024:0.024)) - (INTERCONNECT mprj_logic_high_inst.HI[3] _298_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[400] _233_.A (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT mprj_logic_high_inst.HI[400] ANTENNA_1200.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT mprj_logic_high_inst.HI[400] ANTENNA_1201.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[401] _234_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[401] ANTENNA_1202.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[402] _235_.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT mprj_logic_high_inst.HI[403] _236_.A (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT mprj_logic_high_inst.HI[403] ANTENNA_1203.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT mprj_logic_high_inst.HI[404] _237_.A (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT mprj_logic_high_inst.HI[405] _238_.A (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT mprj_logic_high_inst.HI[406] _239_.A (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT mprj_logic_high_inst.HI[406] ANTENNA_1204.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT mprj_logic_high_inst.HI[407] _240_.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT mprj_logic_high_inst.HI[407] ANTENNA_1205.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT mprj_logic_high_inst.HI[408] _241_.A (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT mprj_logic_high_inst.HI[409] _242_.A (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT mprj_logic_high_inst.HI[409] ANTENNA_1206.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT mprj_logic_high_inst.HI[40] _335_.A (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT mprj_logic_high_inst.HI[40] ANTENNA_2390.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT mprj_logic_high_inst.HI[410] _243_.A (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT mprj_logic_high_inst.HI[411] _244_.A (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT mprj_logic_high_inst.HI[411] ANTENNA_1207.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT mprj_logic_high_inst.HI[411] ANTENNA_1208.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[412] _245_.A (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT mprj_logic_high_inst.HI[412] ANTENNA_1209.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT mprj_logic_high_inst.HI[413] _246_.A (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT mprj_logic_high_inst.HI[413] ANTENNA_1210.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT mprj_logic_high_inst.HI[414] _247_.A (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT mprj_logic_high_inst.HI[414] ANTENNA_1211.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT mprj_logic_high_inst.HI[415] _248_.A (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT mprj_logic_high_inst.HI[415] ANTENNA_1212.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT mprj_logic_high_inst.HI[416] _249_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[416] ANTENNA_1213.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[417] _250_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[417] ANTENNA_1214.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[418] _251_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[418] ANTENNA_1215.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[419] _252_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[419] ANTENNA_1216.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[41] _336_.A (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT mprj_logic_high_inst.HI[41] ANTENNA_1217.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT mprj_logic_high_inst.HI[420] _253_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[420] ANTENNA_1218.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[421] _254_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[421] ANTENNA_1219.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[422] _255_.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[423] _256_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[423] ANTENNA_1220.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[424] _257_.A (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT mprj_logic_high_inst.HI[425] _258_.A (0.028:0.028:0.028) (0.028:0.028:0.028)) - (INTERCONNECT mprj_logic_high_inst.HI[426] _259_.A (0.039:0.039:0.039) (0.039:0.039:0.039)) - (INTERCONNECT mprj_logic_high_inst.HI[426] ANTENNA_1221.DIODE (0.039:0.039:0.039) (0.039:0.039:0.039)) - (INTERCONNECT mprj_logic_high_inst.HI[427] _260_.A (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT mprj_logic_high_inst.HI[427] ANTENNA_1222.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT mprj_logic_high_inst.HI[427] ANTENNA_2136.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT mprj_logic_high_inst.HI[427] ANTENNA_2137.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT mprj_logic_high_inst.HI[427] ANTENNA_2138.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT mprj_logic_high_inst.HI[427] ANTENNA_2139.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT mprj_logic_high_inst.HI[427] ANTENNA_2140.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT mprj_logic_high_inst.HI[427] ANTENNA_2141.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT mprj_logic_high_inst.HI[428] _261_.A (0.034:0.034:0.034) (0.034:0.034:0.034)) - (INTERCONNECT mprj_logic_high_inst.HI[428] ANTENNA_1223.DIODE (0.034:0.034:0.034) (0.034:0.034:0.034)) - (INTERCONNECT mprj_logic_high_inst.HI[428] ANTENNA_1224.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[429] _262_.A (0.037:0.037:0.037) (0.037:0.037:0.037)) - (INTERCONNECT mprj_logic_high_inst.HI[429] ANTENNA_1225.DIODE (0.037:0.037:0.037) (0.037:0.037:0.037)) - (INTERCONNECT mprj_logic_high_inst.HI[429] ANTENNA_1226.DIODE (0.037:0.037:0.037) (0.037:0.037:0.037)) - (INTERCONNECT mprj_logic_high_inst.HI[429] ANTENNA_1227.DIODE (0.037:0.037:0.037) (0.037:0.037:0.037)) - (INTERCONNECT mprj_logic_high_inst.HI[429] ANTENNA_1228.DIODE (0.037:0.037:0.037) (0.037:0.037:0.037)) - (INTERCONNECT mprj_logic_high_inst.HI[429] ANTENNA_1229.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[429] ANTENNA_1230.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[429] ANTENNA_1231.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[429] ANTENNA_1232.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[42] _337_.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT mprj_logic_high_inst.HI[42] ANTENNA_1233.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT mprj_logic_high_inst.HI[430] _263_.A (0.047:0.047:0.047) (0.047:0.047:0.047)) - (INTERCONNECT mprj_logic_high_inst.HI[431] _264_.A (0.051:0.051:0.051) (0.051:0.051:0.051)) - (INTERCONNECT mprj_logic_high_inst.HI[432] _265_.A (0.050:0.050:0.050) (0.050:0.050:0.050)) - (INTERCONNECT mprj_logic_high_inst.HI[433] _266_.A (0.039:0.039:0.039) (0.039:0.039:0.039)) - (INTERCONNECT mprj_logic_high_inst.HI[433] ANTENNA_1234.DIODE (0.039:0.039:0.039) (0.039:0.039:0.039)) - (INTERCONNECT mprj_logic_high_inst.HI[433] ANTENNA_1235.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[434] _267_.A (0.031:0.031:0.031) (0.031:0.031:0.031)) - (INTERCONNECT mprj_logic_high_inst.HI[435] _268_.A (0.035:0.035:0.035) (0.035:0.035:0.035)) - (INTERCONNECT mprj_logic_high_inst.HI[435] ANTENNA_1236.DIODE (0.035:0.035:0.035) (0.035:0.035:0.035)) - (INTERCONNECT mprj_logic_high_inst.HI[436] _269_.A (0.035:0.035:0.035) (0.035:0.035:0.035)) - (INTERCONNECT mprj_logic_high_inst.HI[436] ANTENNA_1237.DIODE (0.035:0.035:0.035) (0.035:0.035:0.035)) - (INTERCONNECT mprj_logic_high_inst.HI[436] ANTENNA_1238.DIODE (0.035:0.035:0.035) (0.035:0.035:0.035)) - (INTERCONNECT mprj_logic_high_inst.HI[436] ANTENNA_1239.DIODE (0.035:0.035:0.035) (0.035:0.035:0.035)) - (INTERCONNECT mprj_logic_high_inst.HI[436] ANTENNA_1240.DIODE (0.035:0.035:0.035) (0.035:0.035:0.035)) - (INTERCONNECT mprj_logic_high_inst.HI[436] ANTENNA_1241.DIODE (0.035:0.035:0.035) (0.035:0.035:0.035)) - (INTERCONNECT mprj_logic_high_inst.HI[436] ANTENNA_1242.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[436] ANTENNA_1243.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[436] ANTENNA_1244.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[436] ANTENNA_1245.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[436] ANTENNA_1246.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[437] _270_.A (0.044:0.044:0.044) (0.044:0.044:0.044)) - (INTERCONNECT mprj_logic_high_inst.HI[437] ANTENNA_1247.DIODE (0.044:0.044:0.044) (0.044:0.044:0.044)) - (INTERCONNECT mprj_logic_high_inst.HI[437] ANTENNA_1248.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[438] _271_.A (0.050:0.050:0.050) (0.050:0.050:0.050)) - (INTERCONNECT mprj_logic_high_inst.HI[438] ANTENNA_1249.DIODE (0.050:0.050:0.050) (0.050:0.050:0.050)) - (INTERCONNECT mprj_logic_high_inst.HI[438] ANTENNA_2391.DIODE (0.050:0.050:0.050) (0.050:0.050:0.050)) - (INTERCONNECT mprj_logic_high_inst.HI[438] ANTENNA_2392.DIODE (0.050:0.050:0.050) (0.050:0.050:0.050)) - (INTERCONNECT mprj_logic_high_inst.HI[438] ANTENNA_2393.DIODE (0.050:0.050:0.050) (0.050:0.050:0.050)) - (INTERCONNECT mprj_logic_high_inst.HI[438] ANTENNA_2394.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[438] ANTENNA_2395.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT mprj_logic_high_inst.HI[438] ANTENNA_2396.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[438] ANTENNA_2397.DIODE (0.050:0.050:0.050) (0.050:0.050:0.050)) - (INTERCONNECT mprj_logic_high_inst.HI[438] ANTENNA_2398.DIODE (0.050:0.050:0.050) (0.050:0.050:0.050)) - (INTERCONNECT mprj_logic_high_inst.HI[438] ANTENNA_2399.DIODE (0.050:0.050:0.050) (0.050:0.050:0.050)) - (INTERCONNECT mprj_logic_high_inst.HI[439] _272_.A (0.038:0.038:0.038) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[439] ANTENNA_1250.DIODE (0.038:0.038:0.038) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[439] ANTENNA_1251.DIODE (0.038:0.038:0.038) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[439] ANTENNA_1252.DIODE (0.038:0.038:0.038) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[439] ANTENNA_1253.DIODE (0.038:0.038:0.038) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[439] ANTENNA_1254.DIODE (0.038:0.038:0.038) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[439] ANTENNA_1255.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[439] ANTENNA_1256.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[439] ANTENNA_1257.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[439] ANTENNA_1258.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[439] ANTENNA_1259.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[43] _338_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[440] _273_.A (0.036:0.036:0.036) (0.036:0.036:0.036)) - (INTERCONNECT mprj_logic_high_inst.HI[440] ANTENNA_1260.DIODE (0.036:0.036:0.036) (0.036:0.036:0.036)) - (INTERCONNECT mprj_logic_high_inst.HI[440] ANTENNA_1261.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[441] _274_.A (0.050:0.050:0.050) (0.050:0.050:0.050)) - (INTERCONNECT mprj_logic_high_inst.HI[441] ANTENNA_1262.DIODE (0.050:0.050:0.050) (0.049:0.049:0.049)) - (INTERCONNECT mprj_logic_high_inst.HI[441] ANTENNA_1263.DIODE (0.050:0.050:0.050) (0.050:0.050:0.050)) - (INTERCONNECT mprj_logic_high_inst.HI[441] ANTENNA_1264.DIODE (0.050:0.050:0.050) (0.049:0.049:0.049)) - (INTERCONNECT mprj_logic_high_inst.HI[441] ANTENNA_1265.DIODE (0.050:0.050:0.050) (0.050:0.050:0.050)) - (INTERCONNECT mprj_logic_high_inst.HI[441] ANTENNA_1266.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[441] ANTENNA_1267.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[441] ANTENNA_1268.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[441] ANTENNA_1269.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[441] ANTENNA_2142.DIODE (0.050:0.050:0.050) (0.049:0.049:0.049)) - (INTERCONNECT mprj_logic_high_inst.HI[441] ANTENNA_2143.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[441] ANTENNA_2144.DIODE (0.050:0.050:0.050) (0.049:0.049:0.049)) - (INTERCONNECT mprj_logic_high_inst.HI[441] ANTENNA_2145.DIODE (0.050:0.050:0.050) (0.050:0.050:0.050)) - (INTERCONNECT mprj_logic_high_inst.HI[441] ANTENNA_2146.DIODE (0.050:0.050:0.050) (0.049:0.049:0.049)) - (INTERCONNECT mprj_logic_high_inst.HI[441] ANTENNA_2147.DIODE (0.050:0.050:0.050) (0.050:0.050:0.050)) - (INTERCONNECT mprj_logic_high_inst.HI[441] ANTENNA_2148.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[441] ANTENNA_2149.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[441] ANTENNA_2150.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[441] ANTENNA_2151.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[442] _275_.A (0.044:0.044:0.044) (0.044:0.044:0.044)) - (INTERCONNECT mprj_logic_high_inst.HI[442] ANTENNA_1270.DIODE (0.044:0.044:0.044) (0.044:0.044:0.044)) - (INTERCONNECT mprj_logic_high_inst.HI[442] ANTENNA_1271.DIODE (0.044:0.044:0.044) (0.044:0.044:0.044)) - (INTERCONNECT mprj_logic_high_inst.HI[442] ANTENNA_1272.DIODE (0.044:0.044:0.044) (0.043:0.043:0.043)) - (INTERCONNECT mprj_logic_high_inst.HI[442] ANTENNA_1273.DIODE (0.044:0.044:0.044) (0.044:0.044:0.044)) - (INTERCONNECT mprj_logic_high_inst.HI[442] ANTENNA_1274.DIODE (0.044:0.044:0.044) (0.043:0.043:0.043)) - (INTERCONNECT mprj_logic_high_inst.HI[442] ANTENNA_1275.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[442] ANTENNA_1276.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[442] ANTENNA_1277.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[442] ANTENNA_1278.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[442] ANTENNA_1279.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[443] _276_.A (0.041:0.041:0.041) (0.041:0.041:0.041)) - (INTERCONNECT mprj_logic_high_inst.HI[443] ANTENNA_1280.DIODE (0.041:0.041:0.041) (0.041:0.041:0.041)) - (INTERCONNECT mprj_logic_high_inst.HI[443] ANTENNA_1281.DIODE (0.041:0.041:0.041) (0.041:0.041:0.041)) - (INTERCONNECT mprj_logic_high_inst.HI[443] ANTENNA_1282.DIODE (0.041:0.041:0.041) (0.041:0.041:0.041)) - (INTERCONNECT mprj_logic_high_inst.HI[443] ANTENNA_1283.DIODE (0.041:0.041:0.041) (0.040:0.040:0.040)) - (INTERCONNECT mprj_logic_high_inst.HI[443] ANTENNA_1284.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[443] ANTENNA_1285.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[443] ANTENNA_1286.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[443] ANTENNA_1287.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[444] _277_.A (0.043:0.043:0.043) (0.043:0.043:0.043)) - (INTERCONNECT mprj_logic_high_inst.HI[445] _278_.A (0.038:0.038:0.038) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[445] ANTENNA_1288.DIODE (0.038:0.038:0.038) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[445] ANTENNA_1289.DIODE (0.038:0.038:0.038) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[445] ANTENNA_2152.DIODE (0.038:0.038:0.038) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[445] ANTENNA_2153.DIODE (0.038:0.038:0.038) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[445] ANTENNA_2154.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[445] ANTENNA_2155.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[445] ANTENNA_2156.DIODE (0.038:0.038:0.038) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[445] ANTENNA_2157.DIODE (0.038:0.038:0.038) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[445] ANTENNA_2158.DIODE (0.038:0.038:0.038) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[445] ANTENNA_2159.DIODE (0.038:0.038:0.038) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[446] _279_.A (0.046:0.046:0.046) (0.045:0.045:0.045)) - (INTERCONNECT mprj_logic_high_inst.HI[446] ANTENNA_1290.DIODE (0.046:0.046:0.046) (0.046:0.046:0.046)) - (INTERCONNECT mprj_logic_high_inst.HI[446] ANTENNA_1291.DIODE (0.046:0.046:0.046) (0.046:0.046:0.046)) - (INTERCONNECT mprj_logic_high_inst.HI[446] ANTENNA_1292.DIODE (0.046:0.046:0.046) (0.046:0.046:0.046)) - (INTERCONNECT mprj_logic_high_inst.HI[446] ANTENNA_1293.DIODE (0.046:0.046:0.046) (0.046:0.046:0.046)) - (INTERCONNECT mprj_logic_high_inst.HI[446] ANTENNA_1294.DIODE (0.046:0.046:0.046) (0.046:0.046:0.046)) - (INTERCONNECT mprj_logic_high_inst.HI[446] ANTENNA_1295.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[446] ANTENNA_1296.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[446] ANTENNA_1297.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[446] ANTENNA_1298.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[446] ANTENNA_1299.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[447] _280_.A (0.058:0.058:0.058) (0.057:0.057:0.057)) - (INTERCONNECT mprj_logic_high_inst.HI[447] ANTENNA_1300.DIODE (0.058:0.058:0.058) (0.057:0.057:0.057)) - (INTERCONNECT mprj_logic_high_inst.HI[447] ANTENNA_1301.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[447] ANTENNA_2160.DIODE (0.058:0.058:0.058) (0.057:0.057:0.057)) - (INTERCONNECT mprj_logic_high_inst.HI[447] ANTENNA_2161.DIODE (0.058:0.058:0.058) (0.058:0.058:0.058)) - (INTERCONNECT mprj_logic_high_inst.HI[447] ANTENNA_2162.DIODE (0.058:0.058:0.058) (0.057:0.057:0.057)) - (INTERCONNECT mprj_logic_high_inst.HI[447] ANTENNA_2163.DIODE (0.058:0.058:0.058) (0.058:0.058:0.058)) - (INTERCONNECT mprj_logic_high_inst.HI[447] ANTENNA_2164.DIODE (0.058:0.058:0.058) (0.058:0.058:0.058)) - (INTERCONNECT mprj_logic_high_inst.HI[447] ANTENNA_2165.DIODE (0.058:0.058:0.058) (0.057:0.057:0.057)) - (INTERCONNECT mprj_logic_high_inst.HI[447] ANTENNA_2166.DIODE (0.058:0.058:0.058) (0.057:0.057:0.057)) - (INTERCONNECT mprj_logic_high_inst.HI[447] ANTENNA_2167.DIODE (0.058:0.058:0.058) (0.057:0.057:0.057)) - (INTERCONNECT mprj_logic_high_inst.HI[447] ANTENNA_2168.DIODE (0.058:0.058:0.058) (0.057:0.057:0.057)) - (INTERCONNECT mprj_logic_high_inst.HI[447] ANTENNA_2169.DIODE (0.058:0.058:0.058) (0.057:0.057:0.057)) - (INTERCONNECT mprj_logic_high_inst.HI[448] _281_.A (0.038:0.038:0.038) (0.038:0.038:0.038)) - (INTERCONNECT mprj_logic_high_inst.HI[449] _282_.A (0.092:0.092:0.092) (0.091:0.091:0.091)) - (INTERCONNECT mprj_logic_high_inst.HI[449] ANTENNA_1302.DIODE (0.092:0.092:0.092) (0.091:0.091:0.091)) - (INTERCONNECT mprj_logic_high_inst.HI[449] ANTENNA_1303.DIODE (0.092:0.092:0.092) (0.091:0.091:0.091)) - (INTERCONNECT mprj_logic_high_inst.HI[449] ANTENNA_1304.DIODE (0.092:0.092:0.092) (0.091:0.091:0.091)) - (INTERCONNECT mprj_logic_high_inst.HI[449] ANTENNA_1305.DIODE (0.092:0.092:0.092) (0.091:0.091:0.091)) - (INTERCONNECT mprj_logic_high_inst.HI[449] ANTENNA_1306.DIODE (0.092:0.092:0.092) (0.091:0.091:0.091)) - (INTERCONNECT mprj_logic_high_inst.HI[449] ANTENNA_1307.DIODE (0.092:0.092:0.092) (0.091:0.091:0.091)) - (INTERCONNECT mprj_logic_high_inst.HI[449] ANTENNA_1308.DIODE (0.092:0.092:0.092) (0.091:0.091:0.091)) - (INTERCONNECT mprj_logic_high_inst.HI[449] ANTENNA_1309.DIODE (0.092:0.092:0.092) (0.091:0.091:0.091)) - (INTERCONNECT mprj_logic_high_inst.HI[449] ANTENNA_1310.DIODE (0.092:0.092:0.092) (0.091:0.091:0.091)) - (INTERCONNECT mprj_logic_high_inst.HI[449] ANTENNA_1311.DIODE (0.092:0.092:0.092) (0.091:0.091:0.091)) - (INTERCONNECT mprj_logic_high_inst.HI[449] ANTENNA_1312.DIODE (0.092:0.092:0.092) (0.091:0.091:0.091)) - (INTERCONNECT mprj_logic_high_inst.HI[449] ANTENNA_1313.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[449] ANTENNA_1314.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[449] ANTENNA_1315.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[449] ANTENNA_1316.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[449] ANTENNA_1317.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[449] ANTENNA_1318.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[449] ANTENNA_1319.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[449] ANTENNA_1320.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[449] ANTENNA_1321.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[449] ANTENNA_1322.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[449] ANTENNA_1323.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[449] ANTENNA_2170.DIODE (0.092:0.092:0.092) (0.091:0.091:0.091)) - (INTERCONNECT mprj_logic_high_inst.HI[449] ANTENNA_2171.DIODE (0.092:0.092:0.092) (0.091:0.091:0.091)) - (INTERCONNECT mprj_logic_high_inst.HI[449] ANTENNA_2172.DIODE (0.092:0.092:0.092) (0.091:0.091:0.091)) - (INTERCONNECT mprj_logic_high_inst.HI[449] ANTENNA_2173.DIODE (0.092:0.092:0.092) (0.091:0.091:0.091)) - (INTERCONNECT mprj_logic_high_inst.HI[449] ANTENNA_2174.DIODE (0.092:0.092:0.092) (0.092:0.092:0.092)) - (INTERCONNECT mprj_logic_high_inst.HI[449] ANTENNA_2175.DIODE (0.092:0.092:0.092) (0.091:0.091:0.091)) - (INTERCONNECT mprj_logic_high_inst.HI[449] ANTENNA_2176.DIODE (0.092:0.092:0.092) (0.091:0.091:0.091)) - (INTERCONNECT mprj_logic_high_inst.HI[449] ANTENNA_2177.DIODE (0.092:0.092:0.092) (0.092:0.092:0.092)) - (INTERCONNECT mprj_logic_high_inst.HI[449] ANTENNA_2178.DIODE (0.092:0.092:0.092) (0.091:0.091:0.091)) - (INTERCONNECT mprj_logic_high_inst.HI[449] ANTENNA_2179.DIODE (0.092:0.092:0.092) (0.092:0.092:0.092)) - (INTERCONNECT mprj_logic_high_inst.HI[449] ANTENNA_2180.DIODE (0.092:0.092:0.092) (0.091:0.091:0.091)) - (INTERCONNECT mprj_logic_high_inst.HI[449] ANTENNA_2181.DIODE (0.092:0.092:0.092) (0.092:0.092:0.092)) - (INTERCONNECT mprj_logic_high_inst.HI[44] _339_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[450] _283_.A (0.077:0.077:0.077) (0.077:0.077:0.077)) - (INTERCONNECT mprj_logic_high_inst.HI[450] ANTENNA_1324.DIODE (0.077:0.077:0.077) (0.077:0.077:0.077)) - (INTERCONNECT mprj_logic_high_inst.HI[450] ANTENNA_1325.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[451] _284_.A (0.063:0.063:0.063) (0.063:0.063:0.063)) - (INTERCONNECT mprj_logic_high_inst.HI[451] ANTENNA_1326.DIODE (0.063:0.063:0.063) (0.063:0.063:0.063)) - (INTERCONNECT mprj_logic_high_inst.HI[451] ANTENNA_1327.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[452] _285_.A (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT mprj_logic_high_inst.HI[452] ANTENNA_1328.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT mprj_logic_high_inst.HI[452] ANTENNA_1329.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[452] ANTENNA_2182.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT mprj_logic_high_inst.HI[452] ANTENNA_2183.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT mprj_logic_high_inst.HI[452] ANTENNA_2184.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT mprj_logic_high_inst.HI[452] ANTENNA_2185.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT mprj_logic_high_inst.HI[452] ANTENNA_2186.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT mprj_logic_high_inst.HI[452] ANTENNA_2187.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT mprj_logic_high_inst.HI[452] ANTENNA_2188.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT mprj_logic_high_inst.HI[452] ANTENNA_2189.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT mprj_logic_high_inst.HI[452] ANTENNA_2190.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT mprj_logic_high_inst.HI[452] ANTENNA_2191.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT mprj_logic_high_inst.HI[452] ANTENNA_2192.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT mprj_logic_high_inst.HI[452] ANTENNA_2193.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT mprj_logic_high_inst.HI[452] ANTENNA_2194.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT mprj_logic_high_inst.HI[452] ANTENNA_2195.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT mprj_logic_high_inst.HI[453] _286_.A (0.069:0.069:0.069) (0.068:0.068:0.068)) - (INTERCONNECT mprj_logic_high_inst.HI[454] _287_.A (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT mprj_logic_high_inst.HI[454] ANTENNA_1330.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT mprj_logic_high_inst.HI[454] ANTENNA_1331.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT mprj_logic_high_inst.HI[454] ANTENNA_1332.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT mprj_logic_high_inst.HI[454] ANTENNA_1333.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT mprj_logic_high_inst.HI[454] ANTENNA_1334.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT mprj_logic_high_inst.HI[454] ANTENNA_1335.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT mprj_logic_high_inst.HI[454] ANTENNA_1336.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT mprj_logic_high_inst.HI[454] ANTENNA_1337.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT mprj_logic_high_inst.HI[454] ANTENNA_1338.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[454] ANTENNA_1339.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[454] ANTENNA_1340.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[454] ANTENNA_1341.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[454] ANTENNA_1342.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[454] ANTENNA_1343.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[454] ANTENNA_1344.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[454] ANTENNA_1345.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[455] _288_.A (0.060:0.060:0.060) (0.060:0.060:0.060)) - (INTERCONNECT mprj_logic_high_inst.HI[456] _289_.A (0.066:0.066:0.066) (0.065:0.065:0.065)) - (INTERCONNECT mprj_logic_high_inst.HI[456] ANTENNA_1346.DIODE (0.066:0.066:0.066) (0.065:0.065:0.065)) - (INTERCONNECT mprj_logic_high_inst.HI[456] ANTENNA_1347.DIODE (0.066:0.066:0.066) (0.066:0.066:0.066)) - (INTERCONNECT mprj_logic_high_inst.HI[456] ANTENNA_1348.DIODE (0.066:0.066:0.066) (0.065:0.065:0.065)) - (INTERCONNECT mprj_logic_high_inst.HI[456] ANTENNA_1349.DIODE (0.066:0.066:0.066) (0.066:0.066:0.066)) - (INTERCONNECT mprj_logic_high_inst.HI[456] ANTENNA_1350.DIODE (0.066:0.066:0.066) (0.065:0.065:0.065)) - (INTERCONNECT mprj_logic_high_inst.HI[456] ANTENNA_2196.DIODE (0.066:0.066:0.066) (0.065:0.065:0.065)) - (INTERCONNECT mprj_logic_high_inst.HI[456] ANTENNA_2197.DIODE (0.066:0.066:0.066) (0.066:0.066:0.066)) - (INTERCONNECT mprj_logic_high_inst.HI[456] ANTENNA_2198.DIODE (0.066:0.066:0.066) (0.065:0.065:0.065)) - (INTERCONNECT mprj_logic_high_inst.HI[456] ANTENNA_2199.DIODE (0.066:0.066:0.066) (0.065:0.065:0.065)) - (INTERCONNECT mprj_logic_high_inst.HI[456] ANTENNA_2200.DIODE (0.066:0.066:0.066) (0.066:0.066:0.066)) - (INTERCONNECT mprj_logic_high_inst.HI[456] ANTENNA_2201.DIODE (0.066:0.066:0.066) (0.066:0.066:0.066)) - (INTERCONNECT mprj_logic_high_inst.HI[456] ANTENNA_2202.DIODE (0.066:0.066:0.066) (0.065:0.065:0.065)) - (INTERCONNECT mprj_logic_high_inst.HI[456] ANTENNA_2203.DIODE (0.066:0.066:0.066) (0.065:0.065:0.065)) - (INTERCONNECT mprj_logic_high_inst.HI[456] ANTENNA_2204.DIODE (0.066:0.066:0.066) (0.066:0.066:0.066)) - (INTERCONNECT mprj_logic_high_inst.HI[456] ANTENNA_2205.DIODE (0.066:0.066:0.066) (0.066:0.066:0.066)) - (INTERCONNECT mprj_logic_high_inst.HI[456] ANTENNA_2206.DIODE (0.066:0.066:0.066) (0.066:0.066:0.066)) - (INTERCONNECT mprj_logic_high_inst.HI[456] ANTENNA_2207.DIODE (0.066:0.066:0.066) (0.066:0.066:0.066)) - (INTERCONNECT mprj_logic_high_inst.HI[457] _290_.A (0.060:0.060:0.060) (0.060:0.060:0.060)) - (INTERCONNECT mprj_logic_high_inst.HI[457] ANTENNA_1351.DIODE (0.060:0.060:0.060) (0.060:0.060:0.060)) - (INTERCONNECT mprj_logic_high_inst.HI[457] ANTENNA_1352.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[457] ANTENNA_2400.DIODE (0.060:0.060:0.060) (0.060:0.060:0.060)) - (INTERCONNECT mprj_logic_high_inst.HI[457] ANTENNA_2401.DIODE (0.060:0.060:0.060) (0.060:0.060:0.060)) - (INTERCONNECT mprj_logic_high_inst.HI[457] ANTENNA_2402.DIODE (0.060:0.060:0.060) (0.060:0.060:0.060)) - (INTERCONNECT mprj_logic_high_inst.HI[457] ANTENNA_2403.DIODE (0.060:0.060:0.060) (0.060:0.060:0.060)) - (INTERCONNECT mprj_logic_high_inst.HI[457] ANTENNA_2404.DIODE (0.060:0.060:0.060) (0.059:0.059:0.059)) - (INTERCONNECT mprj_logic_high_inst.HI[457] ANTENNA_2405.DIODE (0.060:0.060:0.060) (0.060:0.060:0.060)) - (INTERCONNECT mprj_logic_high_inst.HI[457] ANTENNA_2406.DIODE (0.060:0.060:0.060) (0.059:0.059:0.059)) - (INTERCONNECT mprj_logic_high_inst.HI[457] ANTENNA_2407.DIODE (0.060:0.060:0.060) (0.060:0.060:0.060)) - (INTERCONNECT mprj_logic_high_inst.HI[457] ANTENNA_2408.DIODE (0.060:0.060:0.060) (0.060:0.060:0.060)) - (INTERCONNECT mprj_logic_high_inst.HI[457] ANTENNA_2409.DIODE (0.060:0.060:0.060) (0.060:0.060:0.060)) - (INTERCONNECT mprj_logic_high_inst.HI[457] ANTENNA_2410.DIODE (0.060:0.060:0.060) (0.060:0.060:0.060)) - (INTERCONNECT mprj_logic_high_inst.HI[457] ANTENNA_2411.DIODE (0.060:0.060:0.060) (0.060:0.060:0.060)) - (INTERCONNECT mprj_logic_high_inst.HI[457] ANTENNA_2412.DIODE (0.060:0.060:0.060) (0.060:0.060:0.060)) - (INTERCONNECT mprj_logic_high_inst.HI[457] ANTENNA_2413.DIODE (0.060:0.060:0.060) (0.060:0.060:0.060)) - (INTERCONNECT mprj_logic_high_inst.HI[458] _291_.A (0.123:0.123:0.123) (0.122:0.122:0.122)) - (INTERCONNECT mprj_logic_high_inst.HI[458] ANTENNA_1353.DIODE (0.123:0.123:0.123) (0.122:0.122:0.122)) - (INTERCONNECT mprj_logic_high_inst.HI[458] ANTENNA_1354.DIODE (0.123:0.123:0.123) (0.122:0.122:0.122)) - (INTERCONNECT mprj_logic_high_inst.HI[458] ANTENNA_1355.DIODE (0.123:0.123:0.123) (0.122:0.122:0.122)) - (INTERCONNECT mprj_logic_high_inst.HI[458] ANTENNA_1356.DIODE (0.123:0.123:0.123) (0.122:0.122:0.122)) - (INTERCONNECT mprj_logic_high_inst.HI[458] ANTENNA_1357.DIODE (0.123:0.123:0.123) (0.122:0.122:0.122)) - (INTERCONNECT mprj_logic_high_inst.HI[458] ANTENNA_1358.DIODE (0.123:0.123:0.123) (0.122:0.122:0.122)) - (INTERCONNECT mprj_logic_high_inst.HI[458] ANTENNA_1359.DIODE (0.123:0.123:0.123) (0.122:0.122:0.122)) - (INTERCONNECT mprj_logic_high_inst.HI[458] ANTENNA_1360.DIODE (0.123:0.123:0.123) (0.122:0.122:0.122)) - (INTERCONNECT mprj_logic_high_inst.HI[458] ANTENNA_1361.DIODE (0.123:0.123:0.123) (0.122:0.122:0.122)) - (INTERCONNECT mprj_logic_high_inst.HI[458] ANTENNA_1362.DIODE (0.123:0.123:0.123) (0.122:0.122:0.122)) - (INTERCONNECT mprj_logic_high_inst.HI[458] ANTENNA_1363.DIODE (0.123:0.123:0.123) (0.122:0.122:0.122)) - (INTERCONNECT mprj_logic_high_inst.HI[458] ANTENNA_2208.DIODE (0.123:0.123:0.123) (0.122:0.122:0.122)) - (INTERCONNECT mprj_logic_high_inst.HI[458] ANTENNA_2209.DIODE (0.123:0.123:0.123) (0.122:0.122:0.122)) - (INTERCONNECT mprj_logic_high_inst.HI[458] ANTENNA_2210.DIODE (0.123:0.123:0.123) (0.122:0.122:0.122)) - (INTERCONNECT mprj_logic_high_inst.HI[458] ANTENNA_2211.DIODE (0.123:0.123:0.123) (0.122:0.122:0.122)) - (INTERCONNECT mprj_logic_high_inst.HI[458] ANTENNA_2212.DIODE (0.123:0.123:0.123) (0.122:0.122:0.122)) - (INTERCONNECT mprj_logic_high_inst.HI[458] ANTENNA_2213.DIODE (0.123:0.123:0.123) (0.122:0.122:0.122)) - (INTERCONNECT mprj_logic_high_inst.HI[458] ANTENNA_2214.DIODE (0.123:0.123:0.123) (0.122:0.122:0.122)) - (INTERCONNECT mprj_logic_high_inst.HI[458] ANTENNA_2215.DIODE (0.123:0.123:0.123) (0.122:0.122:0.122)) - (INTERCONNECT mprj_logic_high_inst.HI[458] ANTENNA_2216.DIODE (0.123:0.123:0.123) (0.122:0.122:0.122)) - (INTERCONNECT mprj_logic_high_inst.HI[458] ANTENNA_2217.DIODE (0.123:0.123:0.123) (0.122:0.122:0.122)) - (INTERCONNECT mprj_logic_high_inst.HI[458] ANTENNA_2218.DIODE (0.122:0.122:0.122) (0.122:0.122:0.122)) - (INTERCONNECT mprj_logic_high_inst.HI[458] ANTENNA_2219.DIODE (0.123:0.123:0.123) (0.122:0.122:0.122)) - (INTERCONNECT mprj_logic_high_inst.HI[459] _292_.A (0.098:0.098:0.098) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[459] ANTENNA_1364.DIODE (0.098:0.098:0.098) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[459] ANTENNA_1365.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[459] ANTENNA_2414.DIODE (0.098:0.098:0.098) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[459] ANTENNA_2415.DIODE (0.098:0.098:0.098) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[459] ANTENNA_2416.DIODE (0.098:0.098:0.098) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[459] ANTENNA_2417.DIODE (0.098:0.098:0.098) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[459] ANTENNA_2418.DIODE (0.098:0.098:0.098) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[459] ANTENNA_2419.DIODE (0.098:0.098:0.098) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[459] ANTENNA_2420.DIODE (0.098:0.098:0.098) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[459] ANTENNA_2421.DIODE (0.098:0.098:0.098) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[459] ANTENNA_2422.DIODE (0.097:0.097:0.097) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[459] ANTENNA_2423.DIODE (0.098:0.098:0.098) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[459] ANTENNA_2424.DIODE (0.098:0.098:0.098) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[459] ANTENNA_2425.DIODE (0.098:0.098:0.098) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[459] ANTENNA_2426.DIODE (0.098:0.098:0.098) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[459] ANTENNA_2427.DIODE (0.098:0.098:0.098) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[459] ANTENNA_2428.DIODE (0.098:0.098:0.098) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[459] ANTENNA_2429.DIODE (0.098:0.098:0.098) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[459] ANTENNA_2430.DIODE (0.098:0.098:0.098) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[459] ANTENNA_2431.DIODE (0.098:0.098:0.098) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[459] ANTENNA_2432.DIODE (0.098:0.098:0.098) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[459] ANTENNA_2433.DIODE (0.098:0.098:0.098) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[459] ANTENNA_2434.DIODE (0.098:0.098:0.098) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[459] ANTENNA_2435.DIODE (0.098:0.098:0.098) (0.097:0.097:0.097)) - (INTERCONNECT mprj_logic_high_inst.HI[45] _340_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[460] _293_.A (0.085:0.085:0.085) (0.084:0.084:0.084)) - (INTERCONNECT mprj_logic_high_inst.HI[460] ANTENNA_1366.DIODE (0.085:0.085:0.085) (0.084:0.084:0.084)) - (INTERCONNECT mprj_logic_high_inst.HI[460] ANTENNA_1367.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[460] ANTENNA_2220.DIODE (0.085:0.085:0.085) (0.084:0.084:0.084)) - (INTERCONNECT mprj_logic_high_inst.HI[460] ANTENNA_2221.DIODE (0.085:0.085:0.085) (0.084:0.084:0.084)) - (INTERCONNECT mprj_logic_high_inst.HI[460] ANTENNA_2222.DIODE (0.085:0.085:0.085) (0.084:0.084:0.084)) - (INTERCONNECT mprj_logic_high_inst.HI[460] ANTENNA_2223.DIODE (0.085:0.085:0.085) (0.084:0.084:0.084)) - (INTERCONNECT mprj_logic_high_inst.HI[460] ANTENNA_2224.DIODE (0.085:0.085:0.085) (0.084:0.084:0.084)) - (INTERCONNECT mprj_logic_high_inst.HI[460] ANTENNA_2225.DIODE (0.085:0.085:0.085) (0.084:0.084:0.084)) - (INTERCONNECT mprj_logic_high_inst.HI[460] ANTENNA_2226.DIODE (0.085:0.085:0.085) (0.084:0.084:0.084)) - (INTERCONNECT mprj_logic_high_inst.HI[460] ANTENNA_2227.DIODE (0.085:0.085:0.085) (0.084:0.084:0.084)) - (INTERCONNECT mprj_logic_high_inst.HI[460] ANTENNA_2228.DIODE (0.085:0.085:0.085) (0.084:0.084:0.084)) - (INTERCONNECT mprj_logic_high_inst.HI[460] ANTENNA_2229.DIODE (0.085:0.085:0.085) (0.084:0.084:0.084)) - (INTERCONNECT mprj_logic_high_inst.HI[460] ANTENNA_2230.DIODE (0.085:0.085:0.085) (0.084:0.084:0.084)) - (INTERCONNECT mprj_logic_high_inst.HI[460] ANTENNA_2231.DIODE (0.085:0.085:0.085) (0.084:0.084:0.084)) - (INTERCONNECT mprj_logic_high_inst.HI[460] ANTENNA_2232.DIODE (0.085:0.085:0.085) (0.084:0.084:0.084)) - (INTERCONNECT mprj_logic_high_inst.HI[460] ANTENNA_2233.DIODE (0.085:0.085:0.085) (0.084:0.084:0.084)) - (INTERCONNECT mprj_logic_high_inst.HI[460] ANTENNA_2234.DIODE (0.085:0.085:0.085) (0.084:0.084:0.084)) - (INTERCONNECT mprj_logic_high_inst.HI[460] ANTENNA_2235.DIODE (0.085:0.085:0.085) (0.084:0.084:0.084)) - (INTERCONNECT mprj_logic_high_inst.HI[460] ANTENNA_2236.DIODE (0.085:0.085:0.085) (0.084:0.084:0.084)) - (INTERCONNECT mprj_logic_high_inst.HI[460] ANTENNA_2237.DIODE (0.085:0.085:0.085) (0.084:0.084:0.084)) - (INTERCONNECT mprj_logic_high_inst.HI[460] ANTENNA_2238.DIODE (0.085:0.085:0.085) (0.084:0.084:0.084)) - (INTERCONNECT mprj_logic_high_inst.HI[460] ANTENNA_2239.DIODE (0.085:0.085:0.085) (0.084:0.084:0.084)) - (INTERCONNECT mprj_logic_high_inst.HI[460] ANTENNA_2240.DIODE (0.085:0.085:0.085) (0.084:0.084:0.084)) - (INTERCONNECT mprj_logic_high_inst.HI[460] ANTENNA_2241.DIODE (0.085:0.085:0.085) (0.084:0.084:0.084)) - (INTERCONNECT mprj_logic_high_inst.HI[461] output951.A (0.095:0.095:0.095) (0.095:0.095:0.095)) - (INTERCONNECT mprj_logic_high_inst.HI[461] ANTENNA_2059.DIODE (0.095:0.095:0.095) (0.095:0.095:0.095)) - (INTERCONNECT mprj_logic_high_inst.HI[462] _294_.A (0.087:0.087:0.087) (0.087:0.087:0.087)) - (INTERCONNECT mprj_logic_high_inst.HI[462] ANTENNA_1368.DIODE (0.087:0.087:0.087) (0.087:0.087:0.087)) - (INTERCONNECT mprj_logic_high_inst.HI[462] ANTENNA_1369.DIODE (0.087:0.087:0.087) (0.087:0.087:0.087)) - (INTERCONNECT mprj_logic_high_inst.HI[46] _341_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[47] _342_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[47] ANTENNA_1370.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[48] _343_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[48] ANTENNA_1371.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[49] _344_.A (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[49] ANTENNA_1372.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[4] _299_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[4] ANTENNA_1373.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[50] _345_.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[50] ANTENNA_1374.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[51] _346_.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT mprj_logic_high_inst.HI[51] ANTENNA_1375.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT mprj_logic_high_inst.HI[52] _347_.A (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT mprj_logic_high_inst.HI[52] ANTENNA_1376.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT mprj_logic_high_inst.HI[53] _348_.A (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT mprj_logic_high_inst.HI[54] _349_.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT mprj_logic_high_inst.HI[54] ANTENNA_1377.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT mprj_logic_high_inst.HI[55] _350_.A (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT mprj_logic_high_inst.HI[55] ANTENNA_1378.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT mprj_logic_high_inst.HI[56] _351_.A (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT mprj_logic_high_inst.HI[57] _352_.A (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT mprj_logic_high_inst.HI[57] ANTENNA_1379.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT mprj_logic_high_inst.HI[58] _353_.A (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT mprj_logic_high_inst.HI[58] ANTENNA_1380.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT mprj_logic_high_inst.HI[59] _354_.A (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT mprj_logic_high_inst.HI[59] ANTENNA_1381.DIODE (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT mprj_logic_high_inst.HI[5] _300_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[60] _355_.A (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT mprj_logic_high_inst.HI[60] ANTENNA_1382.DIODE (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT mprj_logic_high_inst.HI[61] _356_.A (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT mprj_logic_high_inst.HI[61] ANTENNA_1383.DIODE (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT mprj_logic_high_inst.HI[62] _357_.A (0.026:0.026:0.026) (0.026:0.026:0.026)) - (INTERCONNECT mprj_logic_high_inst.HI[62] ANTENNA_2436.DIODE (0.026:0.026:0.026) (0.026:0.026:0.026)) - (INTERCONNECT mprj_logic_high_inst.HI[63] _358_.A (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT mprj_logic_high_inst.HI[63] ANTENNA_2437.DIODE (0.026:0.026:0.026) (0.026:0.026:0.026)) - (INTERCONNECT mprj_logic_high_inst.HI[64] _359_.A (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT mprj_logic_high_inst.HI[64] ANTENNA_1384.DIODE (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT mprj_logic_high_inst.HI[65] _360_.A (0.035:0.035:0.035) (0.035:0.035:0.035)) - (INTERCONNECT mprj_logic_high_inst.HI[65] ANTENNA_2438.DIODE (0.035:0.035:0.035) (0.035:0.035:0.035)) - (INTERCONNECT mprj_logic_high_inst.HI[66] _361_.A (0.032:0.032:0.032) (0.032:0.032:0.032)) - (INTERCONNECT mprj_logic_high_inst.HI[66] ANTENNA_1385.DIODE (0.032:0.032:0.032) (0.032:0.032:0.032)) - (INTERCONNECT mprj_logic_high_inst.HI[67] _362_.A (0.039:0.039:0.039) (0.039:0.039:0.039)) - (INTERCONNECT mprj_logic_high_inst.HI[67] ANTENNA_1386.DIODE (0.039:0.039:0.039) (0.039:0.039:0.039)) - (INTERCONNECT mprj_logic_high_inst.HI[68] _363_.A (0.031:0.031:0.031) (0.031:0.031:0.031)) - (INTERCONNECT mprj_logic_high_inst.HI[68] ANTENNA_1387.DIODE (0.031:0.031:0.031) (0.031:0.031:0.031)) - (INTERCONNECT mprj_logic_high_inst.HI[69] _364_.A (0.036:0.036:0.036) (0.036:0.036:0.036)) - (INTERCONNECT mprj_logic_high_inst.HI[69] ANTENNA_1388.DIODE (0.036:0.036:0.036) (0.036:0.036:0.036)) - (INTERCONNECT mprj_logic_high_inst.HI[6] _301_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[70] _365_.A (0.047:0.047:0.047) (0.047:0.047:0.047)) - (INTERCONNECT mprj_logic_high_inst.HI[70] ANTENNA_1389.DIODE (0.047:0.047:0.047) (0.047:0.047:0.047)) - (INTERCONNECT mprj_logic_high_inst.HI[70] ANTENNA_1390.DIODE (0.047:0.047:0.047) (0.047:0.047:0.047)) - (INTERCONNECT mprj_logic_high_inst.HI[70] ANTENNA_1391.DIODE (0.047:0.047:0.047) (0.047:0.047:0.047)) - (INTERCONNECT mprj_logic_high_inst.HI[70] ANTENNA_1392.DIODE (0.047:0.047:0.047) (0.047:0.047:0.047)) - (INTERCONNECT mprj_logic_high_inst.HI[70] ANTENNA_1393.DIODE (0.047:0.047:0.047) (0.047:0.047:0.047)) - (INTERCONNECT mprj_logic_high_inst.HI[70] ANTENNA_1394.DIODE (0.047:0.047:0.047) (0.047:0.047:0.047)) - (INTERCONNECT mprj_logic_high_inst.HI[70] ANTENNA_1395.DIODE (0.047:0.047:0.047) (0.047:0.047:0.047)) - (INTERCONNECT mprj_logic_high_inst.HI[70] ANTENNA_1396.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[70] ANTENNA_1397.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT mprj_logic_high_inst.HI[70] ANTENNA_1398.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[70] ANTENNA_1399.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[70] ANTENNA_1400.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[70] ANTENNA_1401.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[70] ANTENNA_1402.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[71] _366_.A (0.042:0.042:0.042) (0.042:0.042:0.042)) - (INTERCONNECT mprj_logic_high_inst.HI[71] ANTENNA_2439.DIODE (0.042:0.042:0.042) (0.042:0.042:0.042)) - (INTERCONNECT mprj_logic_high_inst.HI[72] _367_.A (0.049:0.049:0.049) (0.049:0.049:0.049)) - (INTERCONNECT mprj_logic_high_inst.HI[72] ANTENNA_1403.DIODE (0.049:0.049:0.049) (0.049:0.049:0.049)) - (INTERCONNECT mprj_logic_high_inst.HI[72] ANTENNA_1404.DIODE (0.049:0.049:0.049) (0.049:0.049:0.049)) - (INTERCONNECT mprj_logic_high_inst.HI[72] ANTENNA_1405.DIODE (0.049:0.049:0.049) (0.049:0.049:0.049)) - (INTERCONNECT mprj_logic_high_inst.HI[72] ANTENNA_1406.DIODE (0.049:0.049:0.049) (0.049:0.049:0.049)) - (INTERCONNECT mprj_logic_high_inst.HI[72] ANTENNA_1407.DIODE (0.049:0.049:0.049) (0.049:0.049:0.049)) - (INTERCONNECT mprj_logic_high_inst.HI[72] ANTENNA_1408.DIODE (0.049:0.049:0.049) (0.049:0.049:0.049)) - (INTERCONNECT mprj_logic_high_inst.HI[72] ANTENNA_1409.DIODE (0.049:0.049:0.049) (0.049:0.049:0.049)) - (INTERCONNECT mprj_logic_high_inst.HI[72] ANTENNA_1410.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[72] ANTENNA_1411.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[72] ANTENNA_1412.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[72] ANTENNA_1413.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT mprj_logic_high_inst.HI[72] ANTENNA_1414.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT mprj_logic_high_inst.HI[72] ANTENNA_1415.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[72] ANTENNA_1416.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT mprj_logic_high_inst.HI[73] _368_.A (0.058:0.058:0.058) (0.058:0.058:0.058)) - (INTERCONNECT mprj_logic_high_inst.HI[73] ANTENNA_1417.DIODE (0.058:0.058:0.058) (0.058:0.058:0.058)) - (INTERCONNECT mprj_logic_high_inst.HI[73] ANTENNA_1418.DIODE (0.058:0.058:0.058) (0.058:0.058:0.058)) - (INTERCONNECT mprj_logic_high_inst.HI[73] ANTENNA_1419.DIODE (0.058:0.058:0.058) (0.058:0.058:0.058)) - (INTERCONNECT mprj_logic_high_inst.HI[73] ANTENNA_1420.DIODE (0.058:0.058:0.058) (0.058:0.058:0.058)) - (INTERCONNECT mprj_logic_high_inst.HI[73] ANTENNA_1421.DIODE (0.058:0.058:0.058) (0.058:0.058:0.058)) - (INTERCONNECT mprj_logic_high_inst.HI[73] ANTENNA_1422.DIODE (0.058:0.058:0.058) (0.058:0.058:0.058)) - (INTERCONNECT mprj_logic_high_inst.HI[73] ANTENNA_1423.DIODE (0.058:0.058:0.058) (0.058:0.058:0.058)) - (INTERCONNECT mprj_logic_high_inst.HI[73] ANTENNA_1424.DIODE (0.058:0.058:0.058) (0.058:0.058:0.058)) - (INTERCONNECT mprj_logic_high_inst.HI[73] ANTENNA_1425.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[73] ANTENNA_1426.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[73] ANTENNA_1427.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT mprj_logic_high_inst.HI[73] ANTENNA_1428.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[73] ANTENNA_1429.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT mprj_logic_high_inst.HI[73] ANTENNA_1430.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT mprj_logic_high_inst.HI[73] ANTENNA_1431.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT mprj_logic_high_inst.HI[73] ANTENNA_1432.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT mprj_logic_high_inst.HI[74] _369_.B (0.057:0.057:0.057) (0.057:0.057:0.057)) - (INTERCONNECT mprj_logic_high_inst.HI[74] ANTENNA_1433.DIODE (0.057:0.057:0.057) (0.057:0.057:0.057)) - (INTERCONNECT mprj_logic_high_inst.HI[74] ANTENNA_1434.DIODE (0.057:0.057:0.057) (0.057:0.057:0.057)) - (INTERCONNECT mprj_logic_high_inst.HI[74] ANTENNA_1435.DIODE (0.057:0.057:0.057) (0.057:0.057:0.057)) - (INTERCONNECT mprj_logic_high_inst.HI[74] ANTENNA_1436.DIODE (0.057:0.057:0.057) (0.057:0.057:0.057)) - (INTERCONNECT mprj_logic_high_inst.HI[74] ANTENNA_1437.DIODE (0.057:0.057:0.057) (0.057:0.057:0.057)) - (INTERCONNECT mprj_logic_high_inst.HI[75] _370_.B (0.070:0.070:0.070) (0.070:0.070:0.070)) - (INTERCONNECT mprj_logic_high_inst.HI[75] ANTENNA_1438.DIODE (0.070:0.070:0.070) (0.070:0.070:0.070)) - (INTERCONNECT mprj_logic_high_inst.HI[75] ANTENNA_1439.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT mprj_logic_high_inst.HI[75] ANTENNA_1440.DIODE (0.070:0.070:0.070) (0.070:0.070:0.070)) - (INTERCONNECT mprj_logic_high_inst.HI[76] _371_.B (0.070:0.070:0.070) (0.070:0.070:0.070)) - (INTERCONNECT mprj_logic_high_inst.HI[76] ANTENNA_1441.DIODE (0.070:0.070:0.070) (0.070:0.070:0.070)) - (INTERCONNECT mprj_logic_high_inst.HI[76] ANTENNA_1442.DIODE (0.070:0.070:0.070) (0.070:0.070:0.070)) - (INTERCONNECT mprj_logic_high_inst.HI[76] ANTENNA_1443.DIODE (0.070:0.070:0.070) (0.070:0.070:0.070)) - (INTERCONNECT mprj_logic_high_inst.HI[76] ANTENNA_1444.DIODE (0.070:0.070:0.070) (0.070:0.070:0.070)) - (INTERCONNECT mprj_logic_high_inst.HI[76] ANTENNA_1445.DIODE (0.070:0.070:0.070) (0.070:0.070:0.070)) - (INTERCONNECT mprj_logic_high_inst.HI[77] _372_.B (0.076:0.076:0.076) (0.075:0.075:0.075)) - (INTERCONNECT mprj_logic_high_inst.HI[77] ANTENNA_1446.DIODE (0.076:0.076:0.076) (0.075:0.075:0.075)) - (INTERCONNECT mprj_logic_high_inst.HI[77] ANTENNA_1447.DIODE (0.076:0.076:0.076) (0.075:0.075:0.075)) - (INTERCONNECT mprj_logic_high_inst.HI[77] ANTENNA_1448.DIODE (0.076:0.076:0.076) (0.075:0.075:0.075)) - (INTERCONNECT mprj_logic_high_inst.HI[77] ANTENNA_1449.DIODE (0.075:0.075:0.075) (0.075:0.075:0.075)) - (INTERCONNECT mprj_logic_high_inst.HI[77] ANTENNA_1450.DIODE (0.076:0.076:0.076) (0.075:0.075:0.075)) - (INTERCONNECT mprj_logic_high_inst.HI[77] ANTENNA_1451.DIODE (0.075:0.075:0.075) (0.075:0.075:0.075)) - (INTERCONNECT mprj_logic_high_inst.HI[78] _373_.B (0.079:0.079:0.079) (0.079:0.079:0.079)) - (INTERCONNECT mprj_logic_high_inst.HI[78] ANTENNA_1452.DIODE (0.079:0.079:0.079) (0.079:0.079:0.079)) - (INTERCONNECT mprj_logic_high_inst.HI[78] ANTENNA_1453.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT mprj_logic_high_inst.HI[78] ANTENNA_1454.DIODE (0.079:0.079:0.079) (0.078:0.078:0.078)) - (INTERCONNECT mprj_logic_high_inst.HI[79] _374_.B (0.075:0.075:0.075) (0.074:0.074:0.074)) - (INTERCONNECT mprj_logic_high_inst.HI[79] ANTENNA_1455.DIODE (0.075:0.075:0.075) (0.074:0.074:0.074)) - (INTERCONNECT mprj_logic_high_inst.HI[79] ANTENNA_1456.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[79] ANTENNA_1457.DIODE (0.075:0.075:0.075) (0.074:0.074:0.074)) - (INTERCONNECT mprj_logic_high_inst.HI[7] _302_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[80] _375_.B (0.066:0.066:0.066) (0.066:0.066:0.066)) - (INTERCONNECT mprj_logic_high_inst.HI[80] ANTENNA_1458.DIODE (0.066:0.066:0.066) (0.066:0.066:0.066)) - (INTERCONNECT mprj_logic_high_inst.HI[80] ANTENNA_1459.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[81] _376_.B (0.076:0.076:0.076) (0.075:0.075:0.075)) - (INTERCONNECT mprj_logic_high_inst.HI[81] ANTENNA_1460.DIODE (0.076:0.076:0.076) (0.075:0.075:0.075)) - (INTERCONNECT mprj_logic_high_inst.HI[81] ANTENNA_1461.DIODE (0.076:0.076:0.076) (0.075:0.075:0.075)) - (INTERCONNECT mprj_logic_high_inst.HI[81] ANTENNA_1462.DIODE (0.076:0.076:0.076) (0.075:0.075:0.075)) - (INTERCONNECT mprj_logic_high_inst.HI[81] ANTENNA_1463.DIODE (0.076:0.076:0.076) (0.075:0.075:0.075)) - (INTERCONNECT mprj_logic_high_inst.HI[81] ANTENNA_1464.DIODE (0.076:0.076:0.076) (0.075:0.075:0.075)) - (INTERCONNECT mprj_logic_high_inst.HI[81] ANTENNA_1465.DIODE (0.076:0.076:0.076) (0.075:0.075:0.075)) - (INTERCONNECT mprj_logic_high_inst.HI[81] ANTENNA_1466.DIODE (0.076:0.076:0.076) (0.075:0.075:0.075)) - (INTERCONNECT mprj_logic_high_inst.HI[81] ANTENNA_1467.DIODE (0.076:0.076:0.076) (0.075:0.075:0.075)) - (INTERCONNECT mprj_logic_high_inst.HI[81] ANTENNA_1468.DIODE (0.076:0.076:0.076) (0.075:0.075:0.075)) - (INTERCONNECT mprj_logic_high_inst.HI[81] ANTENNA_1469.DIODE (0.076:0.076:0.076) (0.075:0.075:0.075)) - (INTERCONNECT mprj_logic_high_inst.HI[81] ANTENNA_1470.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[81] ANTENNA_1471.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[81] ANTENNA_1472.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[81] ANTENNA_1473.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[81] ANTENNA_1474.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[81] ANTENNA_1475.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[81] ANTENNA_1476.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[81] ANTENNA_1477.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[81] ANTENNA_1478.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[81] ANTENNA_1479.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[82] _377_.B (0.086:0.086:0.086) (0.086:0.086:0.086)) - (INTERCONNECT mprj_logic_high_inst.HI[82] ANTENNA_1480.DIODE (0.086:0.086:0.086) (0.086:0.086:0.086)) - (INTERCONNECT mprj_logic_high_inst.HI[82] ANTENNA_1481.DIODE (0.086:0.086:0.086) (0.086:0.086:0.086)) - (INTERCONNECT mprj_logic_high_inst.HI[82] ANTENNA_1482.DIODE (0.086:0.086:0.086) (0.086:0.086:0.086)) - (INTERCONNECT mprj_logic_high_inst.HI[82] ANTENNA_1483.DIODE (0.086:0.086:0.086) (0.086:0.086:0.086)) - (INTERCONNECT mprj_logic_high_inst.HI[82] ANTENNA_1484.DIODE (0.086:0.086:0.086) (0.086:0.086:0.086)) - (INTERCONNECT mprj_logic_high_inst.HI[82] ANTENNA_1485.DIODE (0.086:0.086:0.086) (0.086:0.086:0.086)) - (INTERCONNECT mprj_logic_high_inst.HI[82] ANTENNA_1486.DIODE (0.086:0.086:0.086) (0.086:0.086:0.086)) - (INTERCONNECT mprj_logic_high_inst.HI[82] ANTENNA_1487.DIODE (0.086:0.086:0.086) (0.085:0.085:0.085)) - (INTERCONNECT mprj_logic_high_inst.HI[82] ANTENNA_1488.DIODE (0.086:0.086:0.086) (0.086:0.086:0.086)) - (INTERCONNECT mprj_logic_high_inst.HI[82] ANTENNA_1489.DIODE (0.086:0.086:0.086) (0.086:0.086:0.086)) - (INTERCONNECT mprj_logic_high_inst.HI[82] ANTENNA_1490.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[82] ANTENNA_1491.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[82] ANTENNA_1492.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[82] ANTENNA_1493.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[82] ANTENNA_1494.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[82] ANTENNA_1495.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[82] ANTENNA_1496.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[82] ANTENNA_1497.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[82] ANTENNA_1498.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[82] ANTENNA_1499.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[83] _378_.B (0.064:0.064:0.064) (0.064:0.064:0.064)) - (INTERCONNECT mprj_logic_high_inst.HI[84] _379_.B (0.058:0.058:0.058) (0.058:0.058:0.058)) - (INTERCONNECT mprj_logic_high_inst.HI[84] ANTENNA_1500.DIODE (0.058:0.058:0.058) (0.058:0.058:0.058)) - (INTERCONNECT mprj_logic_high_inst.HI[84] ANTENNA_1501.DIODE (0.058:0.058:0.058) (0.058:0.058:0.058)) - (INTERCONNECT mprj_logic_high_inst.HI[85] _380_.B (0.050:0.050:0.050) (0.050:0.050:0.050)) - (INTERCONNECT mprj_logic_high_inst.HI[85] ANTENNA_1502.DIODE (0.050:0.050:0.050) (0.050:0.050:0.050)) - (INTERCONNECT mprj_logic_high_inst.HI[86] _381_.B (0.025:0.025:0.025) (0.025:0.025:0.025)) - (INTERCONNECT mprj_logic_high_inst.HI[86] ANTENNA_1503.DIODE (0.025:0.025:0.025) (0.025:0.025:0.025)) - (INTERCONNECT mprj_logic_high_inst.HI[86] ANTENNA_1504.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[86] ANTENNA_1505.DIODE (0.025:0.025:0.025) (0.025:0.025:0.025)) - (INTERCONNECT mprj_logic_high_inst.HI[86] ANTENNA_1506.DIODE (0.025:0.025:0.025) (0.025:0.025:0.025)) - (INTERCONNECT mprj_logic_high_inst.HI[86] ANTENNA_1507.DIODE (0.025:0.025:0.025) (0.025:0.025:0.025)) - (INTERCONNECT mprj_logic_high_inst.HI[86] ANTENNA_1508.DIODE (0.025:0.025:0.025) (0.025:0.025:0.025)) - (INTERCONNECT mprj_logic_high_inst.HI[86] ANTENNA_1509.DIODE (0.025:0.025:0.025) (0.025:0.025:0.025)) - (INTERCONNECT mprj_logic_high_inst.HI[86] ANTENNA_1510.DIODE (0.025:0.025:0.025) (0.025:0.025:0.025)) - (INTERCONNECT mprj_logic_high_inst.HI[86] ANTENNA_1511.DIODE (0.025:0.025:0.025) (0.025:0.025:0.025)) - (INTERCONNECT mprj_logic_high_inst.HI[86] ANTENNA_1512.DIODE (0.025:0.025:0.025) (0.025:0.025:0.025)) - (INTERCONNECT mprj_logic_high_inst.HI[86] ANTENNA_1513.DIODE (0.025:0.025:0.025) (0.025:0.025:0.025)) - (INTERCONNECT mprj_logic_high_inst.HI[86] ANTENNA_1514.DIODE (0.025:0.025:0.025) (0.025:0.025:0.025)) - (INTERCONNECT mprj_logic_high_inst.HI[86] ANTENNA_1515.DIODE (0.025:0.025:0.025) (0.025:0.025:0.025)) - (INTERCONNECT mprj_logic_high_inst.HI[87] _382_.B (0.056:0.056:0.056) (0.056:0.056:0.056)) - (INTERCONNECT mprj_logic_high_inst.HI[87] ANTENNA_2242.DIODE (0.056:0.056:0.056) (0.056:0.056:0.056)) - (INTERCONNECT mprj_logic_high_inst.HI[88] _383_.B (0.056:0.056:0.056) (0.056:0.056:0.056)) - (INTERCONNECT mprj_logic_high_inst.HI[88] ANTENNA_1516.DIODE (0.056:0.056:0.056) (0.056:0.056:0.056)) - (INTERCONNECT mprj_logic_high_inst.HI[88] ANTENNA_1517.DIODE (0.056:0.056:0.056) (0.056:0.056:0.056)) - (INTERCONNECT mprj_logic_high_inst.HI[88] ANTENNA_1518.DIODE (0.056:0.056:0.056) (0.056:0.056:0.056)) - (INTERCONNECT mprj_logic_high_inst.HI[88] ANTENNA_1519.DIODE (0.056:0.056:0.056) (0.056:0.056:0.056)) - (INTERCONNECT mprj_logic_high_inst.HI[88] ANTENNA_1520.DIODE (0.056:0.056:0.056) (0.056:0.056:0.056)) - (INTERCONNECT mprj_logic_high_inst.HI[88] ANTENNA_1521.DIODE (0.056:0.056:0.056) (0.056:0.056:0.056)) - (INTERCONNECT mprj_logic_high_inst.HI[88] ANTENNA_1522.DIODE (0.056:0.056:0.056) (0.056:0.056:0.056)) - (INTERCONNECT mprj_logic_high_inst.HI[88] ANTENNA_1523.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[88] ANTENNA_1524.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[88] ANTENNA_1525.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[88] ANTENNA_1526.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[88] ANTENNA_1527.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[88] ANTENNA_1528.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[88] ANTENNA_1529.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT mprj_logic_high_inst.HI[89] _384_.B (0.056:0.056:0.056) (0.056:0.056:0.056)) - (INTERCONNECT mprj_logic_high_inst.HI[89] ANTENNA_1530.DIODE (0.056:0.056:0.056) (0.056:0.056:0.056)) - (INTERCONNECT mprj_logic_high_inst.HI[89] ANTENNA_1531.DIODE (0.056:0.056:0.056) (0.056:0.056:0.056)) - (INTERCONNECT mprj_logic_high_inst.HI[89] ANTENNA_1532.DIODE (0.056:0.056:0.056) (0.056:0.056:0.056)) - (INTERCONNECT mprj_logic_high_inst.HI[89] ANTENNA_1533.DIODE (0.056:0.056:0.056) (0.056:0.056:0.056)) - (INTERCONNECT mprj_logic_high_inst.HI[89] ANTENNA_1534.DIODE (0.056:0.056:0.056) (0.056:0.056:0.056)) - (INTERCONNECT mprj_logic_high_inst.HI[89] ANTENNA_1535.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[89] ANTENNA_1536.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[89] ANTENNA_1537.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[89] ANTENNA_1538.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[89] ANTENNA_1539.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[8] _303_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[90] _385_.B (0.053:0.053:0.053) (0.053:0.053:0.053)) - (INTERCONNECT mprj_logic_high_inst.HI[91] _386_.B (0.045:0.045:0.045) (0.045:0.045:0.045)) - (INTERCONNECT mprj_logic_high_inst.HI[91] ANTENNA_1540.DIODE (0.045:0.045:0.045) (0.045:0.045:0.045)) - (INTERCONNECT mprj_logic_high_inst.HI[91] ANTENNA_1541.DIODE (0.045:0.045:0.045) (0.045:0.045:0.045)) - (INTERCONNECT mprj_logic_high_inst.HI[91] ANTENNA_1542.DIODE (0.045:0.045:0.045) (0.045:0.045:0.045)) - (INTERCONNECT mprj_logic_high_inst.HI[91] ANTENNA_1543.DIODE (0.045:0.045:0.045) (0.045:0.045:0.045)) - (INTERCONNECT mprj_logic_high_inst.HI[91] ANTENNA_1544.DIODE (0.045:0.045:0.045) (0.045:0.045:0.045)) - (INTERCONNECT mprj_logic_high_inst.HI[91] ANTENNA_1545.DIODE (0.045:0.045:0.045) (0.045:0.045:0.045)) - (INTERCONNECT mprj_logic_high_inst.HI[91] ANTENNA_1546.DIODE (0.045:0.045:0.045) (0.045:0.045:0.045)) - (INTERCONNECT mprj_logic_high_inst.HI[91] ANTENNA_1547.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[91] ANTENNA_1548.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[91] ANTENNA_1549.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[91] ANTENNA_1550.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[91] ANTENNA_1551.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[91] ANTENNA_1552.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[91] ANTENNA_1553.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[92] _387_.B (0.049:0.049:0.049) (0.049:0.049:0.049)) - (INTERCONNECT mprj_logic_high_inst.HI[92] ANTENNA_1554.DIODE (0.049:0.049:0.049) (0.049:0.049:0.049)) - (INTERCONNECT mprj_logic_high_inst.HI[92] ANTENNA_1555.DIODE (0.049:0.049:0.049) (0.049:0.049:0.049)) - (INTERCONNECT mprj_logic_high_inst.HI[92] ANTENNA_1556.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[92] ANTENNA_1557.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[93] _388_.B (0.048:0.048:0.048) (0.048:0.048:0.048)) - (INTERCONNECT mprj_logic_high_inst.HI[93] ANTENNA_2243.DIODE (0.048:0.048:0.048) (0.048:0.048:0.048)) - (INTERCONNECT mprj_logic_high_inst.HI[94] _389_.B (0.040:0.040:0.040) (0.040:0.040:0.040)) - (INTERCONNECT mprj_logic_high_inst.HI[95] _390_.B (0.053:0.053:0.053) (0.053:0.053:0.053)) - (INTERCONNECT mprj_logic_high_inst.HI[95] ANTENNA_1558.DIODE (0.053:0.053:0.053) (0.052:0.052:0.052)) - (INTERCONNECT mprj_logic_high_inst.HI[95] ANTENNA_1559.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT mprj_logic_high_inst.HI[96] _391_.B (0.039:0.039:0.039) (0.039:0.039:0.039)) - (INTERCONNECT mprj_logic_high_inst.HI[96] ANTENNA_1560.DIODE (0.039:0.039:0.039) (0.039:0.039:0.039)) - (INTERCONNECT mprj_logic_high_inst.HI[96] ANTENNA_1561.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mprj_logic_high_inst.HI[97] _392_.B (0.042:0.042:0.042) (0.042:0.042:0.042)) - (INTERCONNECT mprj_logic_high_inst.HI[97] ANTENNA_1562.DIODE (0.042:0.042:0.042) (0.042:0.042:0.042)) - (INTERCONNECT mprj_logic_high_inst.HI[97] ANTENNA_1563.DIODE (0.042:0.042:0.042) (0.042:0.042:0.042)) - (INTERCONNECT mprj_logic_high_inst.HI[97] ANTENNA_1564.DIODE (0.042:0.042:0.042) (0.042:0.042:0.042)) - (INTERCONNECT mprj_logic_high_inst.HI[97] ANTENNA_1565.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[97] ANTENNA_1566.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[97] ANTENNA_1567.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[98] _393_.B (0.036:0.036:0.036) (0.036:0.036:0.036)) - (INTERCONNECT mprj_logic_high_inst.HI[99] _394_.B (0.048:0.048:0.048) (0.048:0.048:0.048)) - (INTERCONNECT mprj_logic_high_inst.HI[99] ANTENNA_1568.DIODE (0.048:0.048:0.048) (0.048:0.048:0.048)) - (INTERCONNECT mprj_logic_high_inst.HI[99] ANTENNA_1569.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT mprj_logic_high_inst.HI[9] _304_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT powergood_check.mprj2_vdd_logic1 output954.A (0.054:0.054:0.054) (0.054:0.054:0.054)) - (INTERCONNECT powergood_check.mprj2_vdd_logic1 ANTENNA_2065.DIODE (0.054:0.054:0.054) (0.054:0.054:0.054)) - (INTERCONNECT powergood_check.mprj_vdd_logic1 output952.A (0.066:0.066:0.066) (0.066:0.066:0.066)) - (INTERCONNECT powergood_check.mprj_vdd_logic1 ANTENNA_2060.DIODE (0.066:0.066:0.066) (0.066:0.066:0.066)) - (INTERCONNECT user_irq_gates\[0\].Y _111_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT user_irq_gates\[1\].Y _112_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT user_irq_gates\[2\].Y _113_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT user_to_mprj_in_gates\[0\].Y _147_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT user_to_mprj_in_gates\[100\].Y _083_.A (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT user_to_mprj_in_gates\[100\].Y ANTENNA_1.DIODE (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT user_to_mprj_in_gates\[101\].Y _084_.A (0.023:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT user_to_mprj_in_gates\[101\].Y ANTENNA_2.DIODE (0.023:0.023:0.024) (0.023:0.023:0.023)) - (INTERCONNECT user_to_mprj_in_gates\[102\].Y _085_.A (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT user_to_mprj_in_gates\[103\].Y _086_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT user_to_mprj_in_gates\[104\].Y _087_.A (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT user_to_mprj_in_gates\[105\].Y _088_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT user_to_mprj_in_gates\[106\].Y _089_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT user_to_mprj_in_gates\[107\].Y _090_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT user_to_mprj_in_gates\[108\].Y _091_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT user_to_mprj_in_gates\[109\].Y _092_.A (0.015:0.015:0.015) (0.014:0.014:0.015)) - (INTERCONNECT user_to_mprj_in_gates\[10\].Y _157_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_to_mprj_in_gates\[110\].Y _093_.A (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT user_to_mprj_in_gates\[111\].Y _094_.A (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT user_to_mprj_in_gates\[112\].Y _095_.A (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT user_to_mprj_in_gates\[113\].Y _096_.A (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT user_to_mprj_in_gates\[114\].Y _097_.A (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT user_to_mprj_in_gates\[115\].Y _098_.A (0.024:0.024:0.024) (0.023:0.023:0.024)) - (INTERCONNECT user_to_mprj_in_gates\[116\].Y _099_.A (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT user_to_mprj_in_gates\[117\].Y _100_.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT user_to_mprj_in_gates\[118\].Y _101_.A (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT user_to_mprj_in_gates\[119\].Y _102_.A (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT user_to_mprj_in_gates\[11\].Y _158_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT user_to_mprj_in_gates\[120\].Y _103_.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT user_to_mprj_in_gates\[121\].Y _104_.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT user_to_mprj_in_gates\[122\].Y _105_.A (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT user_to_mprj_in_gates\[123\].Y _106_.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT user_to_mprj_in_gates\[124\].Y _107_.A (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT user_to_mprj_in_gates\[125\].Y _108_.A (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT user_to_mprj_in_gates\[126\].Y _109_.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT user_to_mprj_in_gates\[127\].Y _110_.A (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT user_to_mprj_in_gates\[12\].Y _159_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT user_to_mprj_in_gates\[13\].Y _160_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT user_to_mprj_in_gates\[14\].Y _161_.A (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT user_to_mprj_in_gates\[15\].Y _162_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_to_mprj_in_gates\[16\].Y _163_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_to_mprj_in_gates\[17\].Y _000_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT user_to_mprj_in_gates\[18\].Y _001_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_to_mprj_in_gates\[19\].Y _002_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_to_mprj_in_gates\[1\].Y _148_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT user_to_mprj_in_gates\[20\].Y _003_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT user_to_mprj_in_gates\[21\].Y _004_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT user_to_mprj_in_gates\[22\].Y _005_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT user_to_mprj_in_gates\[23\].Y _006_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT user_to_mprj_in_gates\[24\].Y _007_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_to_mprj_in_gates\[25\].Y _008_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_to_mprj_in_gates\[26\].Y _009_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_to_mprj_in_gates\[27\].Y _010_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_to_mprj_in_gates\[28\].Y _011_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_to_mprj_in_gates\[29\].Y _012_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT user_to_mprj_in_gates\[2\].Y _149_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_to_mprj_in_gates\[30\].Y _013_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT user_to_mprj_in_gates\[31\].Y _014_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_to_mprj_in_gates\[32\].Y _015_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_to_mprj_in_gates\[33\].Y _016_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_to_mprj_in_gates\[34\].Y _017_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_to_mprj_in_gates\[35\].Y _018_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_to_mprj_in_gates\[36\].Y _019_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_to_mprj_in_gates\[37\].Y _020_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_to_mprj_in_gates\[38\].Y _021_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT user_to_mprj_in_gates\[39\].Y _022_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_to_mprj_in_gates\[3\].Y _150_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT user_to_mprj_in_gates\[40\].Y _023_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT user_to_mprj_in_gates\[41\].Y _024_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT user_to_mprj_in_gates\[42\].Y _025_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT user_to_mprj_in_gates\[43\].Y _026_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT user_to_mprj_in_gates\[44\].Y _027_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT user_to_mprj_in_gates\[45\].Y _028_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT user_to_mprj_in_gates\[46\].Y _029_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT user_to_mprj_in_gates\[47\].Y _030_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT user_to_mprj_in_gates\[48\].Y _031_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT user_to_mprj_in_gates\[49\].Y _032_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT user_to_mprj_in_gates\[4\].Y _151_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT user_to_mprj_in_gates\[50\].Y _033_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT user_to_mprj_in_gates\[51\].Y _034_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT user_to_mprj_in_gates\[52\].Y _035_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT user_to_mprj_in_gates\[53\].Y _036_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT user_to_mprj_in_gates\[54\].Y _037_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_to_mprj_in_gates\[55\].Y _038_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_to_mprj_in_gates\[56\].Y _039_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_to_mprj_in_gates\[57\].Y _040_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_to_mprj_in_gates\[58\].Y _041_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_to_mprj_in_gates\[59\].Y _042_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_to_mprj_in_gates\[5\].Y _152_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_to_mprj_in_gates\[60\].Y _043_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_to_mprj_in_gates\[61\].Y _044_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_to_mprj_in_gates\[62\].Y _045_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT user_to_mprj_in_gates\[63\].Y _046_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT user_to_mprj_in_gates\[64\].Y _047_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT user_to_mprj_in_gates\[65\].Y _048_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT user_to_mprj_in_gates\[66\].Y _049_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT user_to_mprj_in_gates\[67\].Y _050_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT user_to_mprj_in_gates\[68\].Y _051_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_to_mprj_in_gates\[69\].Y _052_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT user_to_mprj_in_gates\[6\].Y _153_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_to_mprj_in_gates\[70\].Y _053_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT user_to_mprj_in_gates\[71\].Y _054_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT user_to_mprj_in_gates\[72\].Y _055_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT user_to_mprj_in_gates\[73\].Y _056_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT user_to_mprj_in_gates\[74\].Y _057_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT user_to_mprj_in_gates\[75\].Y _058_.A (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT user_to_mprj_in_gates\[76\].Y _059_.A (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT user_to_mprj_in_gates\[77\].Y _060_.A (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT user_to_mprj_in_gates\[78\].Y _061_.A (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT user_to_mprj_in_gates\[79\].Y _062_.A (0.033:0.033:0.033) (0.032:0.033:0.033)) - (INTERCONNECT user_to_mprj_in_gates\[7\].Y _154_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT user_to_mprj_in_gates\[80\].Y _063_.A (0.037:0.037:0.038) (0.037:0.037:0.037)) - (INTERCONNECT user_to_mprj_in_gates\[81\].Y _064_.A (0.032:0.032:0.032) (0.031:0.031:0.032)) - (INTERCONNECT user_to_mprj_in_gates\[82\].Y _065_.A (0.033:0.033:0.033) (0.032:0.032:0.033)) - (INTERCONNECT user_to_mprj_in_gates\[83\].Y _066_.A (0.038:0.038:0.039) (0.037:0.038:0.038)) - (INTERCONNECT user_to_mprj_in_gates\[84\].Y _067_.A (0.041:0.041:0.041) (0.040:0.040:0.041)) - (INTERCONNECT user_to_mprj_in_gates\[85\].Y _068_.A (0.056:0.057:0.057) (0.055:0.055:0.056)) - (INTERCONNECT user_to_mprj_in_gates\[86\].Y _069_.A (0.055:0.056:0.056) (0.054:0.054:0.055)) - (INTERCONNECT user_to_mprj_in_gates\[86\].Y ANTENNA_2066.DIODE (0.055:0.056:0.056) (0.054:0.054:0.055)) - (INTERCONNECT user_to_mprj_in_gates\[87\].Y _070_.A (0.055:0.055:0.055) (0.053:0.054:0.054)) - (INTERCONNECT user_to_mprj_in_gates\[87\].Y ANTENNA_2067.DIODE (0.055:0.055:0.055) (0.053:0.054:0.054)) - (INTERCONNECT user_to_mprj_in_gates\[88\].Y _071_.A (0.059:0.059:0.059) (0.058:0.058:0.059)) - (INTERCONNECT user_to_mprj_in_gates\[88\].Y ANTENNA_3.DIODE (0.059:0.059:0.059) (0.058:0.058:0.059)) - (INTERCONNECT user_to_mprj_in_gates\[89\].Y _072_.A (0.043:0.043:0.043) (0.042:0.042:0.042)) - (INTERCONNECT user_to_mprj_in_gates\[89\].Y ANTENNA_4.DIODE (0.042:0.043:0.043) (0.041:0.042:0.042)) - (INTERCONNECT user_to_mprj_in_gates\[8\].Y _155_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT user_to_mprj_in_gates\[90\].Y _073_.A (0.030:0.030:0.030) (0.030:0.030:0.030)) - (INTERCONNECT user_to_mprj_in_gates\[90\].Y ANTENNA_2068.DIODE (0.030:0.030:0.030) (0.030:0.030:0.030)) - (INTERCONNECT user_to_mprj_in_gates\[91\].Y _074_.A (0.023:0.023:0.023) (0.022:0.022:0.023)) - (INTERCONNECT user_to_mprj_in_gates\[91\].Y ANTENNA_2069.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT user_to_mprj_in_gates\[92\].Y _075_.A (0.023:0.023:0.023) (0.023:0.023:0.023)) - (INTERCONNECT user_to_mprj_in_gates\[93\].Y _076_.A (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT user_to_mprj_in_gates\[94\].Y _077_.A (0.008:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT user_to_mprj_in_gates\[95\].Y _078_.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT user_to_mprj_in_gates\[96\].Y _079_.A (0.016:0.016:0.016) (0.015:0.016:0.016)) - (INTERCONNECT user_to_mprj_in_gates\[97\].Y _080_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT user_to_mprj_in_gates\[98\].Y _081_.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT user_to_mprj_in_gates\[99\].Y _082_.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT user_to_mprj_in_gates\[9\].Y _156_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT user_wb_ack_gate.Y _146_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT user_wb_dat_gates\[0\].Y _114_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT user_wb_dat_gates\[10\].Y _124_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_wb_dat_gates\[11\].Y _125_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT user_wb_dat_gates\[12\].Y _126_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT user_wb_dat_gates\[13\].Y _127_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT user_wb_dat_gates\[14\].Y _128_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT user_wb_dat_gates\[15\].Y _129_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT user_wb_dat_gates\[16\].Y _130_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_wb_dat_gates\[17\].Y _131_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_wb_dat_gates\[18\].Y _132_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_wb_dat_gates\[19\].Y _133_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT user_wb_dat_gates\[1\].Y _115_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_wb_dat_gates\[20\].Y _134_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT user_wb_dat_gates\[21\].Y _135_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT user_wb_dat_gates\[22\].Y _136_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT user_wb_dat_gates\[23\].Y _137_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_wb_dat_gates\[24\].Y _138_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_wb_dat_gates\[25\].Y _139_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT user_wb_dat_gates\[26\].Y _140_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_wb_dat_gates\[27\].Y _141_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_wb_dat_gates\[28\].Y _142_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_wb_dat_gates\[29\].Y _143_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_wb_dat_gates\[2\].Y _116_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT user_wb_dat_gates\[30\].Y _144_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_wb_dat_gates\[31\].Y _145_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_wb_dat_gates\[3\].Y _117_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT user_wb_dat_gates\[4\].Y _118_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT user_wb_dat_gates\[5\].Y _119_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT user_wb_dat_gates\[6\].Y _120_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT user_wb_dat_gates\[7\].Y _121_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT user_wb_dat_gates\[8\].Y _122_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT user_wb_dat_gates\[9\].Y _123_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input2.X _297_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input1.X _296_.B (0.166:0.166:0.166) (0.159:0.159:0.159)) - (INTERCONNECT input1.X ANTENNA_1570.DIODE (0.166:0.166:0.166) (0.159:0.159:0.159)) - (INTERCONNECT input1.X ANTENNA_1571.DIODE (0.166:0.166:0.166) (0.159:0.159:0.159)) - (INTERCONNECT input1.X ANTENNA_1572.DIODE (0.166:0.166:0.166) (0.159:0.159:0.159)) - (INTERCONNECT input1.X ANTENNA_1573.DIODE (0.166:0.166:0.166) (0.159:0.159:0.159)) - (INTERCONNECT input1.X ANTENNA_1574.DIODE (0.166:0.166:0.166) (0.159:0.159:0.159)) - (INTERCONNECT input1.X ANTENNA_1575.DIODE (0.166:0.166:0.166) (0.159:0.159:0.159)) - (INTERCONNECT input1.X ANTENNA_1576.DIODE (0.166:0.166:0.166) (0.159:0.159:0.159)) - (INTERCONNECT input1.X ANTENNA_1577.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input1.X ANTENNA_1578.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input1.X ANTENNA_1579.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input1.X ANTENNA_1580.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input1.X ANTENNA_1581.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input1.X ANTENNA_1582.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input1.X ANTENNA_1583.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input3.X _295_.A_N (0.202:0.202:0.202) (0.186:0.186:0.186)) - (INTERCONNECT input4.X _369_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input5.X _469_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input6.X _470_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input7.X _471_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input8.X _472_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input9.X _473_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input10.X _474_.C (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input11.X _475_.C (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input12.X _476_.C (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input13.X _477_.C (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input13.X ANTENNA_1584.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input14.X _478_.C (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input15.X _379_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input16.X _479_.C (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input17.X _480_.C (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT input18.X _481_.C (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT input19.X _482_.C (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT input20.X _483_.C (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT input21.X _484_.C (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT input22.X _485_.C (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input23.X _486_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input24.X _487_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input25.X _488_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input26.X _380_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input27.X _489_.C (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input28.X _490_.C (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT input29.X _491_.C (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input29.X ANTENNA_1585.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT input30.X _492_.C (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT input30.X ANTENNA_1586.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT input31.X _493_.C (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT input31.X ANTENNA_1587.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT input32.X _494_.C (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT input33.X _495_.C (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT input33.X ANTENNA_1588.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT input34.X _496_.C (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT input34.X ANTENNA_1589.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT input35.X _381_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input36.X _382_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input37.X _383_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input38.X _384_.C (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT input39.X _385_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input40.X _386_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input41.X _387_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input42.X _388_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input43.X _370_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input44.X _389_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input45.X _390_.C (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input46.X _391_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input47.X _392_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input48.X _393_.C (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT input49.X _394_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input50.X _395_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input51.X _396_.C (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input52.X _397_.C (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input53.X _398_.C (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input54.X _371_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input55.X _399_.C (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT input56.X _400_.C (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input57.X _401_.C (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT input58.X _402_.C (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input59.X _403_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input60.X _404_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input61.X _405_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input62.X _406_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input63.X _407_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input64.X _408_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input65.X _372_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input66.X _409_.C (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input67.X _410_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input68.X _411_.C (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input69.X _412_.C (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input70.X _413_.C (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input71.X _414_.C (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT input72.X _415_.C (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT input73.X _416_.C (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input74.X _417_.C (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input75.X _418_.C (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input76.X _373_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input77.X _419_.C (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT input78.X _420_.C (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input79.X _421_.C (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT input80.X _422_.C (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT input81.X _423_.C (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT input82.X _424_.C (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input83.X _425_.C (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT input84.X _426_.C (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input85.X _427_.C (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input86.X _428_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input87.X _374_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input88.X _429_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input89.X _430_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input90.X _431_.C (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input91.X _432_.C (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input92.X _433_.C (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT input93.X _434_.C (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT input94.X _435_.C (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT input95.X _436_.C (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT input96.X _437_.C (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input97.X _438_.C (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT input98.X _375_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input99.X _439_.C (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT input99.X ANTENNA_1590.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT input100.X _440_.C (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT input101.X _441_.C (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT input102.X _442_.C (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT input103.X _443_.C (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input104.X _444_.C (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT input105.X _445_.C (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT input105.X ANTENNA_1591.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT input106.X _446_.C (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT input107.X _447_.C (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT input108.X _448_.C (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT input109.X _376_.C (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input110.X _449_.C (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT input111.X _450_.C (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT input111.X ANTENNA_1592.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT input112.X _451_.C (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT input113.X _452_.C (0.029:0.029:0.029) (0.027:0.027:0.027)) - (INTERCONNECT input114.X _453_.C (0.032:0.032:0.032) (0.030:0.030:0.030)) - (INTERCONNECT input115.X _454_.C (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT input115.X ANTENNA_2440.DIODE (0.029:0.029:0.029) (0.028:0.028:0.028)) - (INTERCONNECT input116.X _455_.C (0.036:0.036:0.036) (0.034:0.034:0.034)) - (INTERCONNECT input116.X ANTENNA_2244.DIODE (0.036:0.036:0.036) (0.034:0.034:0.034)) - (INTERCONNECT input117.X _456_.C (0.030:0.030:0.030) (0.028:0.028:0.028)) - (INTERCONNECT input118.X _457_.C (0.031:0.031:0.031) (0.030:0.030:0.030)) - (INTERCONNECT input118.X ANTENNA_1593.DIODE (0.031:0.031:0.031) (0.030:0.030:0.030)) - (INTERCONNECT input119.X _458_.C (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input120.X _377_.C (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input121.X _459_.C (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input122.X _460_.C (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input123.X _461_.C (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT input124.X _462_.C (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input125.X _463_.C (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input126.X _464_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input127.X _465_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input128.X _466_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input129.X _467_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input130.X _468_.C (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT input131.X _378_.C (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input132.X _625_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input133.X _263_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input134.X _264_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input135.X _265_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input136.X _266_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input137.X _267_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input138.X _268_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input139.X _269_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input140.X _270_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input141.X _271_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input142.X _272_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input143.X _173_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input144.X _273_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input145.X _274_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input146.X _275_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input147.X _276_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input148.X _277_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input149.X _278_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input150.X _279_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input151.X _280_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input152.X _281_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input153.X _282_.B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT input154.X _174_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input155.X _283_.B (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT input156.X _284_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input157.X _285_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input158.X _286_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input159.X _287_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input160.X _288_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input161.X _289_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input162.X _290_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input163.X _175_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input164.X _176_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input165.X _177_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input166.X _178_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input167.X _179_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input168.X _180_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input169.X _181_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input170.X _182_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input171.X _164_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input172.X _183_.B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT input173.X _184_.B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input174.X _185_.B (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT input175.X _186_.B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input176.X _187_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input177.X _188_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input178.X _189_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input178.X ANTENNA_2441.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input179.X _190_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input180.X _191_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input181.X _192_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input182.X _165_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input183.X _193_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input184.X _194_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input185.X _195_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input186.X _196_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input187.X _197_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input188.X _198_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input189.X _199_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input190.X _200_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input191.X _201_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input192.X _202_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input193.X _166_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input194.X _203_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input195.X _204_.B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT input196.X _205_.B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT input197.X _206_.B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input198.X _207_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input199.X _208_.B (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT input200.X _209_.B (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT input201.X _210_.B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT input202.X _211_.B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT input203.X _212_.B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT input204.X _167_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input205.X _213_.B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input205.X ANTENNA_1594.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input206.X _214_.B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input207.X _215_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input208.X _216_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input209.X _217_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input210.X _218_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input211.X _219_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input212.X _220_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input213.X _221_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input214.X _222_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input215.X _168_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input216.X _223_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input217.X _224_.B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input218.X _225_.B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT input219.X _226_.B (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT input220.X _227_.B (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT input221.X _228_.B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT input222.X _229_.B (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT input223.X _230_.B (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT input224.X _231_.B (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT input225.X _232_.B (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT input226.X _169_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input227.X _233_.B (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input228.X _234_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input229.X _235_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input230.X _236_.B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input231.X _237_.B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT input232.X _238_.B (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input233.X _239_.B (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT input234.X _240_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input235.X _241_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input236.X _242_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input237.X _170_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input238.X _243_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input239.X _244_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input240.X _245_.B (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT input241.X _246_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input242.X _247_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input243.X _248_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input244.X _249_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input245.X _250_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input246.X _251_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input247.X _252_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input248.X _171_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input249.X _253_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input250.X _254_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input251.X _255_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input252.X _256_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input253.X _257_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input254.X _258_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input255.X _259_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input256.X _260_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input257.X _261_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input258.X _262_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input259.X _172_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input260.X _369_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input260.X _497_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input261.X _469_.A_N (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input261.X _597_.A (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT input261.X ANTENNA_1595.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT input262.X _470_.A_N (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input262.X _598_.A (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT input262.X ANTENNA_1596.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT input262.X ANTENNA_2245.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input263.X _471_.A_N (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT input263.X _599_.A (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT input263.X ANTENNA_1597.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input263.X ANTENNA_1598.DIODE (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT input264.X _472_.A_N (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input264.X _600_.A (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT input264.X ANTENNA_1599.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input264.X ANTENNA_2246.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT input265.X _473_.A_N (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input265.X _601_.A (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT input266.X _474_.A_N (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input266.X _602_.A (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT input266.X ANTENNA_1600.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input266.X ANTENNA_2247.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT input267.X _475_.A_N (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT input267.X _603_.A (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT input267.X ANTENNA_1601.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT input268.X _476_.A_N (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT input268.X _604_.A (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT input268.X ANTENNA_1602.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT input269.X _477_.A_N (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT input269.X _605_.A (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT input269.X ANTENNA_1603.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT input270.X _478_.A_N (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input270.X _606_.A (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT input271.X _379_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input271.X _507_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input272.X _479_.A_N (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT input272.X _607_.A (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT input272.X ANTENNA_1604.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT input273.X _480_.A_N (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT input273.X _608_.A (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT input273.X ANTENNA_1605.DIODE (0.021:0.021:0.021) (0.021:0.021:0.021)) - (INTERCONNECT input274.X _481_.A_N (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT input274.X _609_.A (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT input275.X _482_.A_N (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT input275.X _610_.A (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT input276.X _483_.A_N (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT input276.X _611_.A (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT input277.X _484_.A_N (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT input277.X _612_.A (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT input277.X ANTENNA_1606.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT input277.X ANTENNA_1607.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT input278.X _485_.A_N (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input278.X _613_.A (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT input278.X ANTENNA_1608.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input278.X ANTENNA_1609.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT input279.X _486_.A_N (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input279.X _614_.A (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT input279.X ANTENNA_1610.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input280.X _487_.A_N (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT input280.X _615_.A (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT input280.X ANTENNA_1611.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT input280.X ANTENNA_2248.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT input281.X _488_.A_N (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT input281.X _616_.A (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT input281.X ANTENNA_1612.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT input282.X _380_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input282.X _508_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input283.X _489_.A_N (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT input283.X _617_.A (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT input283.X ANTENNA_1613.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT input283.X ANTENNA_1614.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT input284.X _490_.A_N (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT input284.X _618_.A (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT input285.X _491_.A_N (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT input285.X _619_.A (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT input285.X ANTENNA_1615.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT input285.X ANTENNA_2249.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT input286.X _492_.A_N (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT input286.X _620_.A (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT input286.X ANTENNA_1616.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT input287.X _493_.A_N (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT input287.X _621_.A (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT input287.X ANTENNA_1617.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT input288.X _494_.A_N (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT input288.X _622_.A (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT input289.X _495_.A_N (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT input289.X _623_.A (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT input289.X ANTENNA_1618.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT input290.X _496_.A_N (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT input290.X _624_.A (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT input291.X _381_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input291.X _509_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input292.X _382_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input292.X _510_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input293.X _383_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input293.X _511_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input294.X _384_.A_N (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT input294.X _512_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input295.X _385_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input295.X _513_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input296.X _386_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input296.X _514_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input297.X _387_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input297.X _515_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input298.X _388_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input298.X _516_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input299.X _370_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input299.X _498_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input300.X _389_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input300.X _517_.A (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT input301.X _390_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input301.X _518_.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input302.X _391_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input302.X _519_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input303.X _392_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input303.X _520_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input304.X _393_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input304.X _521_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input305.X _394_.A_N (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT input305.X _522_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input306.X _395_.A_N (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT input306.X _523_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input306.X ANTENNA_2250.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input307.X _396_.A_N (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT input307.X _524_.A (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT input307.X ANTENNA_1619.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT input308.X _397_.A_N (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input308.X _525_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT input308.X ANTENNA_1620.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT input308.X ANTENNA_2251.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input309.X _398_.A_N (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT input309.X _526_.A (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT input310.X _371_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input310.X _499_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input311.X _399_.A_N (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT input311.X _527_.A (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT input311.X ANTENNA_1621.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017)) - (INTERCONNECT input311.X ANTENNA_2252.DIODE (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT input312.X _400_.A_N (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT input312.X _528_.A (0.034:0.034:0.034) (0.032:0.032:0.032)) - (INTERCONNECT input312.X ANTENNA_1622.DIODE (0.034:0.034:0.034) (0.032:0.032:0.032)) - (INTERCONNECT input313.X _401_.A_N (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT input313.X _529_.A (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT input313.X ANTENNA_1623.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT input314.X _402_.A_N (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input314.X _530_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input315.X _403_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input315.X _531_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input316.X _404_.A_N (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input316.X _532_.A (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT input316.X ANTENNA_1624.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT input317.X _405_.A_N (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT input317.X _533_.A (0.035:0.035:0.035) (0.033:0.033:0.033)) - (INTERCONNECT input317.X ANTENNA_1625.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT input318.X _406_.A_N (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT input318.X _534_.A (0.041:0.041:0.041) (0.039:0.039:0.039)) - (INTERCONNECT input318.X ANTENNA_1626.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT input319.X _407_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input319.X _535_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input320.X _408_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input320.X _536_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input321.X _372_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input321.X _500_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input322.X _409_.A_N (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input322.X _537_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input323.X _410_.A_N (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input323.X _538_.A (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input324.X _411_.A_N (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT input324.X _539_.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT input325.X _412_.A_N (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input325.X _540_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input326.X _413_.A_N (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT input326.X _541_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input327.X _414_.A_N (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT input327.X _542_.A (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT input328.X _415_.A_N (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input328.X _543_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input328.X ANTENNA_1627.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input328.X ANTENNA_1628.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input329.X _416_.A_N (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input329.X _544_.A (0.035:0.035:0.035) (0.033:0.033:0.033)) - (INTERCONNECT input330.X _417_.A_N (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input330.X _545_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input331.X _418_.A_N (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT input331.X _546_.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT input332.X _373_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input332.X _501_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input333.X _419_.A_N (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT input333.X _547_.A (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT input333.X ANTENNA_2253.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT input334.X _420_.A_N (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input334.X _548_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input335.X _421_.A_N (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT input335.X _549_.A (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT input336.X _422_.A_N (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT input336.X _550_.A (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT input337.X _423_.A_N (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input337.X _551_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input337.X ANTENNA_1629.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input337.X ANTENNA_1630.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input338.X _424_.A_N (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT input338.X _552_.A (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT input339.X _425_.A_N (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT input339.X _553_.A (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT input340.X _426_.A_N (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input340.X _554_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input340.X ANTENNA_1631.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input341.X _427_.A_N (0.016:0.016:0.016) (0.015:0.015:0.015)) - (INTERCONNECT input341.X _555_.A (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT input341.X ANTENNA_1632.DIODE (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT input341.X ANTENNA_2254.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT input342.X _428_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input342.X _556_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input343.X _374_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input343.X _502_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input344.X _429_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input344.X _557_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input345.X _430_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input345.X _558_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input346.X _431_.A_N (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT input346.X _559_.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT input347.X _432_.A_N (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT input347.X _560_.A (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT input347.X ANTENNA_1633.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT input348.X _433_.A_N (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT input348.X _561_.A (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT input349.X _434_.A_N (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT input349.X _562_.A (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT input350.X _435_.A_N (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT input350.X _563_.A (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT input351.X _436_.A_N (0.027:0.027:0.027) (0.025:0.025:0.025)) - (INTERCONNECT input351.X _564_.A (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT input351.X ANTENNA_1634.DIODE (0.027:0.027:0.027) (0.025:0.025:0.025)) - (INTERCONNECT input351.X ANTENNA_1635.DIODE (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT input352.X _437_.A_N (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT input352.X _565_.A (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT input353.X _438_.A_N (0.028:0.028:0.028) (0.026:0.026:0.026)) - (INTERCONNECT input353.X _566_.A (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT input354.X _375_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input354.X _503_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input355.X _439_.A_N (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT input355.X _567_.A (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT input356.X _440_.A_N (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT input356.X _568_.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT input356.X ANTENNA_1636.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT input356.X ANTENNA_1637.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT input357.X _441_.A_N (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT input357.X _569_.A (0.034:0.034:0.034) (0.032:0.032:0.032)) - (INTERCONNECT input358.X _442_.A_N (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT input358.X _570_.A (0.035:0.035:0.035) (0.033:0.033:0.033)) - (INTERCONNECT input358.X ANTENNA_1638.DIODE (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT input358.X ANTENNA_1639.DIODE (0.034:0.034:0.034) (0.033:0.033:0.033)) - (INTERCONNECT input359.X _443_.A_N (0.020:0.020:0.020) (0.020:0.020:0.020)) - (INTERCONNECT input359.X _571_.A (0.033:0.033:0.033) (0.031:0.031:0.031)) - (INTERCONNECT input359.X ANTENNA_1640.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT input359.X ANTENNA_1641.DIODE (0.033:0.033:0.033) (0.031:0.031:0.031)) - (INTERCONNECT input360.X _444_.A_N (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT input360.X _572_.A (0.033:0.033:0.033) (0.031:0.031:0.031)) - (INTERCONNECT input360.X ANTENNA_1642.DIODE (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT input361.X _445_.A_N (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT input361.X _573_.A (0.039:0.039:0.039) (0.037:0.037:0.037)) - (INTERCONNECT input362.X _446_.A_N (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input362.X _574_.A (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT input362.X ANTENNA_1643.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input363.X _447_.A_N (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT input363.X _575_.A (0.034:0.034:0.034) (0.032:0.032:0.032)) - (INTERCONNECT input363.X ANTENNA_1644.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019)) - (INTERCONNECT input364.X _448_.A_N (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT input364.X _576_.A (0.035:0.035:0.035) (0.033:0.033:0.033)) - (INTERCONNECT input364.X ANTENNA_1645.DIODE (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT input365.X _376_.A_N (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input365.X _504_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input366.X _449_.A_N (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input366.X _577_.A (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT input366.X ANTENNA_1646.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input367.X _450_.A_N (0.027:0.027:0.027) (0.026:0.026:0.026)) - (INTERCONNECT input367.X _578_.A (0.028:0.028:0.028) (0.027:0.027:0.027)) - (INTERCONNECT input368.X _451_.A_N (0.025:0.025:0.025) (0.025:0.025:0.025)) - (INTERCONNECT input368.X _579_.A (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT input369.X _452_.A_N (0.026:0.026:0.026) (0.025:0.025:0.025)) - (INTERCONNECT input369.X _580_.A (0.027:0.027:0.027) (0.025:0.025:0.025)) - (INTERCONNECT input370.X _453_.A_N (0.033:0.033:0.033) (0.031:0.031:0.031)) - (INTERCONNECT input370.X _581_.A (0.033:0.033:0.033) (0.031:0.031:0.031)) - (INTERCONNECT input371.X _454_.A_N (0.040:0.040:0.040) (0.039:0.039:0.039)) - (INTERCONNECT input371.X _582_.A (0.040:0.040:0.040) (0.039:0.039:0.039)) - (INTERCONNECT input372.X _455_.A_N (0.035:0.035:0.035) (0.033:0.033:0.033)) - (INTERCONNECT input372.X _583_.A (0.035:0.035:0.035) (0.033:0.033:0.033)) - (INTERCONNECT input373.X _456_.A_N (0.024:0.024:0.024) (0.023:0.023:0.023)) - (INTERCONNECT input373.X _584_.A (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT input374.X _457_.A_N (0.039:0.039:0.039) (0.037:0.037:0.037)) - (INTERCONNECT input374.X _585_.A (0.039:0.039:0.039) (0.037:0.037:0.037)) - (INTERCONNECT input374.X ANTENNA_1647.DIODE (0.039:0.039:0.039) (0.037:0.037:0.037)) - (INTERCONNECT input375.X _458_.A_N (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT input375.X _586_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input375.X ANTENNA_1648.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input375.X ANTENNA_2255.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT input376.X _377_.A_N (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input376.X _505_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input377.X _459_.A_N (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input377.X _587_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input377.X ANTENNA_1649.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input378.X _460_.A_N (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input378.X _588_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input378.X ANTENNA_1650.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input379.X _461_.A_N (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT input379.X _589_.A (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT input380.X _462_.A_N (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input380.X _590_.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT input381.X _463_.A_N (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT input381.X _591_.A (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT input382.X _464_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input382.X _592_.A (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT input382.X ANTENNA_2489.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input383.X _465_.A_N (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input383.X _593_.A (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT input384.X _466_.A_N (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input384.X _594_.A (0.019:0.019:0.019) (0.018:0.018:0.018)) - (INTERCONNECT input384.X ANTENNA_1651.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input385.X _467_.A_N (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT input385.X _595_.A (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT input385.X ANTENNA_1652.DIODE (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT input385.X ANTENNA_2256.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT input386.X _468_.A_N (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT input386.X _596_.A (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT input386.X ANTENNA_1653.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT input386.X ANTENNA_2257.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT input387.X _378_.A_N (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input387.X _506_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input388.X _305_.B (0.344:0.344:0.344) (0.321:0.321:0.321)) - (INTERCONNECT input388.X ANTENNA_1654.DIODE (0.344:0.344:0.344) (0.322:0.322:0.322)) - (INTERCONNECT input388.X ANTENNA_1655.DIODE (0.344:0.344:0.344) (0.322:0.322:0.322)) - (INTERCONNECT input388.X ANTENNA_1656.DIODE (0.344:0.344:0.344) (0.322:0.322:0.322)) - (INTERCONNECT input388.X ANTENNA_1657.DIODE (0.344:0.344:0.344) (0.322:0.322:0.322)) - (INTERCONNECT input388.X ANTENNA_1658.DIODE (0.344:0.344:0.344) (0.322:0.322:0.322)) - (INTERCONNECT input388.X ANTENNA_1659.DIODE (0.344:0.344:0.344) (0.322:0.322:0.322)) - (INTERCONNECT input388.X ANTENNA_1660.DIODE (0.344:0.344:0.344) (0.322:0.322:0.322)) - (INTERCONNECT input388.X ANTENNA_1661.DIODE (0.343:0.343:0.343) (0.321:0.321:0.321)) - (INTERCONNECT input388.X ANTENNA_1662.DIODE (0.344:0.344:0.344) (0.322:0.322:0.322)) - (INTERCONNECT input388.X ANTENNA_1663.DIODE (0.343:0.343:0.343) (0.321:0.321:0.321)) - (INTERCONNECT input388.X ANTENNA_1664.DIODE (0.343:0.343:0.343) (0.321:0.321:0.321)) - (INTERCONNECT input388.X ANTENNA_1665.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input388.X ANTENNA_1666.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input388.X ANTENNA_1667.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input388.X ANTENNA_1668.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input388.X ANTENNA_1669.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input388.X ANTENNA_1670.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT input388.X ANTENNA_1671.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT input388.X ANTENNA_1672.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input388.X ANTENNA_1673.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input388.X ANTENNA_1674.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input388.X ANTENNA_1675.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input388.X ANTENNA_2623.DIODE (0.344:0.344:0.344) (0.321:0.321:0.321)) - (INTERCONNECT input388.X ANTENNA_2624.DIODE (0.344:0.344:0.344) (0.321:0.321:0.321)) - (INTERCONNECT input388.X ANTENNA_2625.DIODE (0.343:0.343:0.343) (0.321:0.321:0.321)) - (INTERCONNECT input388.X ANTENNA_2626.DIODE (0.344:0.344:0.344) (0.321:0.321:0.321)) - (INTERCONNECT input388.X ANTENNA_2627.DIODE (0.344:0.344:0.344) (0.321:0.321:0.321)) - (INTERCONNECT input388.X ANTENNA_2628.DIODE (0.344:0.344:0.344) (0.321:0.321:0.321)) - (INTERCONNECT input388.X ANTENNA_2629.DIODE (0.343:0.343:0.343) (0.321:0.321:0.321)) - (INTERCONNECT input388.X ANTENNA_2630.DIODE (0.343:0.343:0.343) (0.321:0.321:0.321)) - (INTERCONNECT input389.X _315_.B (0.054:0.054:0.054) (0.052:0.052:0.052)) - (INTERCONNECT input390.X _316_.B (0.064:0.064:0.064) (0.062:0.062:0.062)) - (INTERCONNECT input391.X _317_.B (0.153:0.153:0.153) (0.149:0.149:0.149)) - (INTERCONNECT input391.X ANTENNA_1676.DIODE (0.153:0.153:0.153) (0.149:0.149:0.149)) - (INTERCONNECT input391.X ANTENNA_1677.DIODE (0.153:0.153:0.153) (0.149:0.149:0.149)) - (INTERCONNECT input391.X ANTENNA_1678.DIODE (0.153:0.153:0.153) (0.149:0.149:0.149)) - (INTERCONNECT input391.X ANTENNA_1679.DIODE (0.153:0.153:0.153) (0.149:0.149:0.149)) - (INTERCONNECT input391.X ANTENNA_1680.DIODE (0.153:0.153:0.153) (0.149:0.149:0.149)) - (INTERCONNECT input391.X ANTENNA_1681.DIODE (0.153:0.153:0.153) (0.149:0.149:0.149)) - (INTERCONNECT input391.X ANTENNA_1682.DIODE (0.153:0.153:0.153) (0.149:0.149:0.149)) - (INTERCONNECT input391.X ANTENNA_1683.DIODE (0.153:0.153:0.153) (0.149:0.149:0.149)) - (INTERCONNECT input391.X ANTENNA_1684.DIODE (0.153:0.153:0.153) (0.149:0.149:0.149)) - (INTERCONNECT input391.X ANTENNA_1685.DIODE (0.153:0.153:0.153) (0.149:0.149:0.149)) - (INTERCONNECT input391.X ANTENNA_1686.DIODE (0.153:0.153:0.153) (0.149:0.149:0.149)) - (INTERCONNECT input391.X ANTENNA_1687.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT input391.X ANTENNA_1688.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input391.X ANTENNA_1689.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT input391.X ANTENNA_1690.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT input391.X ANTENNA_1691.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input391.X ANTENNA_1692.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT input391.X ANTENNA_1693.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input391.X ANTENNA_1694.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT input391.X ANTENNA_1695.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input391.X ANTENNA_1696.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016)) - (INTERCONNECT input391.X ANTENNA_1697.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input392.X _318_.B (0.106:0.106:0.106) (0.104:0.104:0.104)) - (INTERCONNECT input392.X ANTENNA_1698.DIODE (0.106:0.106:0.106) (0.104:0.104:0.104)) - (INTERCONNECT input392.X ANTENNA_1699.DIODE (0.106:0.106:0.106) (0.104:0.104:0.104)) - (INTERCONNECT input392.X ANTENNA_1700.DIODE (0.106:0.106:0.106) (0.104:0.104:0.104)) - (INTERCONNECT input392.X ANTENNA_1701.DIODE (0.105:0.105:0.105) (0.104:0.104:0.104)) - (INTERCONNECT input392.X ANTENNA_1702.DIODE (0.106:0.106:0.106) (0.104:0.104:0.104)) - (INTERCONNECT input392.X ANTENNA_1703.DIODE (0.105:0.105:0.105) (0.104:0.104:0.104)) - (INTERCONNECT input392.X ANTENNA_1704.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input392.X ANTENNA_1705.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input392.X ANTENNA_1706.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input392.X ANTENNA_1707.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT input392.X ANTENNA_1708.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input392.X ANTENNA_1709.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT input393.X _319_.B (0.098:0.098:0.098) (0.097:0.097:0.097)) - (INTERCONNECT input394.X _320_.B (0.178:0.178:0.178) (0.174:0.174:0.174)) - (INTERCONNECT input394.X ANTENNA_1710.DIODE (0.178:0.178:0.178) (0.174:0.174:0.174)) - (INTERCONNECT input394.X ANTENNA_1711.DIODE (0.178:0.178:0.178) (0.174:0.174:0.174)) - (INTERCONNECT input394.X ANTENNA_1712.DIODE (0.178:0.178:0.178) (0.174:0.174:0.174)) - (INTERCONNECT input394.X ANTENNA_1713.DIODE (0.178:0.178:0.178) (0.174:0.174:0.174)) - (INTERCONNECT input394.X ANTENNA_1714.DIODE (0.178:0.178:0.178) (0.174:0.174:0.174)) - (INTERCONNECT input394.X ANTENNA_1715.DIODE (0.178:0.178:0.178) (0.174:0.174:0.174)) - (INTERCONNECT input394.X ANTENNA_1716.DIODE (0.178:0.178:0.178) (0.174:0.174:0.174)) - (INTERCONNECT input394.X ANTENNA_1717.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input394.X ANTENNA_1718.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input394.X ANTENNA_1719.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input394.X ANTENNA_1720.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input394.X ANTENNA_1721.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input394.X ANTENNA_1722.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input394.X ANTENNA_1723.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input395.X _321_.B (0.205:0.205:0.205) (0.195:0.195:0.195)) - (INTERCONNECT input395.X ANTENNA_1724.DIODE (0.205:0.205:0.205) (0.195:0.195:0.195)) - (INTERCONNECT input396.X _322_.B (0.072:0.072:0.072) (0.071:0.071:0.071)) - (INTERCONNECT input397.X _323_.B (0.203:0.203:0.203) (0.196:0.196:0.196)) - (INTERCONNECT input397.X ANTENNA_1725.DIODE (0.203:0.203:0.203) (0.196:0.196:0.196)) - (INTERCONNECT input397.X ANTENNA_1726.DIODE (0.203:0.203:0.203) (0.196:0.196:0.196)) - (INTERCONNECT input397.X ANTENNA_1727.DIODE (0.203:0.203:0.203) (0.196:0.196:0.196)) - (INTERCONNECT input397.X ANTENNA_1728.DIODE (0.203:0.203:0.203) (0.196:0.196:0.196)) - (INTERCONNECT input397.X ANTENNA_1729.DIODE (0.203:0.203:0.203) (0.196:0.196:0.196)) - (INTERCONNECT input397.X ANTENNA_1730.DIODE (0.203:0.203:0.203) (0.196:0.196:0.196)) - (INTERCONNECT input397.X ANTENNA_1731.DIODE (0.203:0.203:0.203) (0.196:0.196:0.196)) - (INTERCONNECT input397.X ANTENNA_1732.DIODE (0.203:0.203:0.203) (0.196:0.196:0.196)) - (INTERCONNECT input397.X ANTENNA_1733.DIODE (0.203:0.203:0.203) (0.196:0.196:0.196)) - (INTERCONNECT input397.X ANTENNA_1734.DIODE (0.203:0.203:0.203) (0.195:0.195:0.195)) - (INTERCONNECT input397.X ANTENNA_1735.DIODE (0.203:0.203:0.203) (0.195:0.195:0.195)) - (INTERCONNECT input397.X ANTENNA_1736.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input397.X ANTENNA_1737.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input397.X ANTENNA_1738.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input397.X ANTENNA_1739.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input397.X ANTENNA_1740.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input397.X ANTENNA_1741.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input397.X ANTENNA_1742.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input397.X ANTENNA_1743.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input397.X ANTENNA_1744.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input397.X ANTENNA_1745.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input397.X ANTENNA_1746.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input398.X _324_.B (0.160:0.160:0.160) (0.157:0.157:0.157)) - (INTERCONNECT input398.X ANTENNA_1747.DIODE (0.160:0.160:0.160) (0.157:0.157:0.157)) - (INTERCONNECT input398.X ANTENNA_1748.DIODE (0.160:0.160:0.160) (0.157:0.157:0.157)) - (INTERCONNECT input398.X ANTENNA_1749.DIODE (0.160:0.160:0.160) (0.157:0.157:0.157)) - (INTERCONNECT input398.X ANTENNA_1750.DIODE (0.160:0.160:0.160) (0.157:0.157:0.157)) - (INTERCONNECT input398.X ANTENNA_1751.DIODE (0.160:0.160:0.160) (0.157:0.157:0.157)) - (INTERCONNECT input398.X ANTENNA_1752.DIODE (0.160:0.160:0.160) (0.157:0.157:0.157)) - (INTERCONNECT input398.X ANTENNA_1753.DIODE (0.160:0.160:0.160) (0.157:0.157:0.157)) - (INTERCONNECT input398.X ANTENNA_1754.DIODE (0.160:0.160:0.160) (0.157:0.157:0.157)) - (INTERCONNECT input398.X ANTENNA_1755.DIODE (0.160:0.160:0.160) (0.157:0.157:0.157)) - (INTERCONNECT input398.X ANTENNA_1756.DIODE (0.160:0.160:0.160) (0.157:0.157:0.157)) - (INTERCONNECT input398.X ANTENNA_1757.DIODE (0.160:0.160:0.160) (0.157:0.157:0.157)) - (INTERCONNECT input398.X ANTENNA_1758.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input398.X ANTENNA_1759.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input398.X ANTENNA_1760.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input398.X ANTENNA_1761.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT input398.X ANTENNA_1762.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT input398.X ANTENNA_1763.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input398.X ANTENNA_1764.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input398.X ANTENNA_1765.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input398.X ANTENNA_1766.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input398.X ANTENNA_1767.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input398.X ANTENNA_1768.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input399.X _306_.B (0.259:0.259:0.259) (0.246:0.246:0.246)) - (INTERCONNECT input399.X ANTENNA_1769.DIODE (0.259:0.259:0.259) (0.246:0.246:0.246)) - (INTERCONNECT input399.X ANTENNA_1770.DIODE (0.259:0.259:0.259) (0.246:0.246:0.246)) - (INTERCONNECT input399.X ANTENNA_1771.DIODE (0.259:0.259:0.259) (0.246:0.246:0.246)) - (INTERCONNECT input399.X ANTENNA_1772.DIODE (0.259:0.259:0.259) (0.246:0.246:0.246)) - (INTERCONNECT input399.X ANTENNA_1773.DIODE (0.259:0.259:0.259) (0.246:0.246:0.246)) - (INTERCONNECT input399.X ANTENNA_1774.DIODE (0.259:0.259:0.259) (0.246:0.246:0.246)) - (INTERCONNECT input399.X ANTENNA_1775.DIODE (0.259:0.259:0.259) (0.247:0.247:0.247)) - (INTERCONNECT input399.X ANTENNA_1776.DIODE (0.259:0.259:0.259) (0.246:0.246:0.246)) - (INTERCONNECT input399.X ANTENNA_1777.DIODE (0.259:0.259:0.259) (0.247:0.247:0.247)) - (INTERCONNECT input399.X ANTENNA_1778.DIODE (0.259:0.259:0.259) (0.246:0.246:0.246)) - (INTERCONNECT input399.X ANTENNA_1779.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT input399.X ANTENNA_1780.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT input399.X ANTENNA_1781.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT input399.X ANTENNA_1782.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT input399.X ANTENNA_1783.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT input399.X ANTENNA_1784.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input399.X ANTENNA_1785.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input399.X ANTENNA_1786.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input399.X ANTENNA_1787.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input399.X ANTENNA_1788.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input400.X _325_.B (0.104:0.104:0.104) (0.103:0.103:0.103)) - (INTERCONNECT input401.X _326_.B (0.215:0.215:0.215) (0.205:0.205:0.205)) - (INTERCONNECT input402.X _327_.B (0.081:0.081:0.081) (0.080:0.080:0.080)) - (INTERCONNECT input402.X ANTENNA_1789.DIODE (0.081:0.081:0.081) (0.080:0.080:0.080)) - (INTERCONNECT input403.X _328_.B (0.152:0.152:0.152) (0.148:0.148:0.148)) - (INTERCONNECT input404.X _329_.B (0.221:0.221:0.221) (0.212:0.212:0.212)) - (INTERCONNECT input405.X _330_.B (0.298:0.298:0.298) (0.286:0.286:0.286)) - (INTERCONNECT input405.X ANTENNA_1790.DIODE (0.298:0.298:0.298) (0.286:0.286:0.286)) - (INTERCONNECT input405.X ANTENNA_1791.DIODE (0.298:0.298:0.298) (0.286:0.286:0.286)) - (INTERCONNECT input405.X ANTENNA_1792.DIODE (0.298:0.298:0.298) (0.286:0.286:0.286)) - (INTERCONNECT input405.X ANTENNA_1793.DIODE (0.298:0.298:0.298) (0.286:0.286:0.286)) - (INTERCONNECT input405.X ANTENNA_1794.DIODE (0.298:0.298:0.298) (0.286:0.286:0.286)) - (INTERCONNECT input405.X ANTENNA_1795.DIODE (0.298:0.298:0.298) (0.286:0.286:0.286)) - (INTERCONNECT input405.X ANTENNA_1796.DIODE (0.298:0.298:0.298) (0.286:0.286:0.286)) - (INTERCONNECT input405.X ANTENNA_1797.DIODE (0.298:0.298:0.298) (0.286:0.286:0.286)) - (INTERCONNECT input405.X ANTENNA_1798.DIODE (0.298:0.298:0.298) (0.286:0.286:0.286)) - (INTERCONNECT input405.X ANTENNA_1799.DIODE (0.298:0.298:0.298) (0.286:0.286:0.286)) - (INTERCONNECT input405.X ANTENNA_1800.DIODE (0.298:0.298:0.298) (0.286:0.286:0.286)) - (INTERCONNECT input405.X ANTENNA_1801.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT input405.X ANTENNA_1802.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input405.X ANTENNA_1803.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input405.X ANTENNA_1804.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input405.X ANTENNA_1805.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input405.X ANTENNA_1806.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input405.X ANTENNA_1807.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input405.X ANTENNA_1808.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT input405.X ANTENNA_1809.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input405.X ANTENNA_1810.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT input405.X ANTENNA_1811.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input405.X ANTENNA_1812.DIODE (0.298:0.298:0.298) (0.286:0.286:0.286)) - (INTERCONNECT input406.X _331_.B (0.313:0.313:0.313) (0.292:0.292:0.292)) - (INTERCONNECT input406.X ANTENNA_1813.DIODE (0.313:0.313:0.313) (0.292:0.292:0.292)) - (INTERCONNECT input406.X ANTENNA_1814.DIODE (0.313:0.313:0.313) (0.292:0.292:0.292)) - (INTERCONNECT input406.X ANTENNA_1815.DIODE (0.313:0.313:0.313) (0.292:0.292:0.292)) - (INTERCONNECT input406.X ANTENNA_1816.DIODE (0.313:0.313:0.313) (0.292:0.292:0.292)) - (INTERCONNECT input406.X ANTENNA_1817.DIODE (0.313:0.313:0.313) (0.292:0.292:0.292)) - (INTERCONNECT input406.X ANTENNA_1818.DIODE (0.313:0.313:0.313) (0.292:0.292:0.292)) - (INTERCONNECT input406.X ANTENNA_1819.DIODE (0.313:0.313:0.313) (0.292:0.292:0.292)) - (INTERCONNECT input406.X ANTENNA_1820.DIODE (0.313:0.313:0.313) (0.292:0.292:0.292)) - (INTERCONNECT input406.X ANTENNA_1821.DIODE (0.313:0.313:0.313) (0.292:0.292:0.292)) - (INTERCONNECT input406.X ANTENNA_1822.DIODE (0.313:0.313:0.313) (0.292:0.292:0.292)) - (INTERCONNECT input406.X ANTENNA_1823.DIODE (0.312:0.312:0.312) (0.291:0.291:0.291)) - (INTERCONNECT input406.X ANTENNA_1824.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT input406.X ANTENNA_1825.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT input406.X ANTENNA_1826.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT input406.X ANTENNA_1827.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT input406.X ANTENNA_1828.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input406.X ANTENNA_1829.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input406.X ANTENNA_1830.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input406.X ANTENNA_1831.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input406.X ANTENNA_1832.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT input406.X ANTENNA_1833.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input406.X ANTENNA_1834.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input407.X _332_.B (0.355:0.355:0.355) (0.313:0.313:0.313)) - (INTERCONNECT input407.X ANTENNA_1835.DIODE (0.355:0.355:0.355) (0.313:0.313:0.313)) - (INTERCONNECT input407.X ANTENNA_1836.DIODE (0.355:0.355:0.355) (0.313:0.313:0.313)) - (INTERCONNECT input407.X ANTENNA_1837.DIODE (0.355:0.355:0.355) (0.313:0.313:0.313)) - (INTERCONNECT input407.X ANTENNA_1838.DIODE (0.355:0.355:0.355) (0.313:0.313:0.313)) - (INTERCONNECT input407.X ANTENNA_1839.DIODE (0.355:0.355:0.355) (0.313:0.313:0.313)) - (INTERCONNECT input407.X ANTENNA_1840.DIODE (0.355:0.355:0.355) (0.313:0.313:0.313)) - (INTERCONNECT input407.X ANTENNA_1841.DIODE (0.355:0.355:0.355) (0.313:0.313:0.313)) - (INTERCONNECT input407.X ANTENNA_1842.DIODE (0.355:0.355:0.355) (0.313:0.313:0.313)) - (INTERCONNECT input407.X ANTENNA_1843.DIODE (0.355:0.355:0.355) (0.313:0.313:0.313)) - (INTERCONNECT input407.X ANTENNA_1844.DIODE (0.355:0.355:0.355) (0.312:0.312:0.312)) - (INTERCONNECT input407.X ANTENNA_1845.DIODE (0.355:0.355:0.355) (0.313:0.313:0.313)) - (INTERCONNECT input407.X ANTENNA_1846.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input407.X ANTENNA_1847.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input407.X ANTENNA_1848.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input407.X ANTENNA_1849.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT input407.X ANTENNA_1850.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT input407.X ANTENNA_1851.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input407.X ANTENNA_1852.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input407.X ANTENNA_1853.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input407.X ANTENNA_1854.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input407.X ANTENNA_1855.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input407.X ANTENNA_1856.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input408.X _333_.B (0.263:0.263:0.263) (0.257:0.257:0.257)) - (INTERCONNECT input408.X ANTENNA_1857.DIODE (0.263:0.263:0.263) (0.257:0.257:0.257)) - (INTERCONNECT input408.X ANTENNA_1858.DIODE (0.263:0.263:0.263) (0.257:0.257:0.257)) - (INTERCONNECT input408.X ANTENNA_1859.DIODE (0.263:0.263:0.263) (0.257:0.257:0.257)) - (INTERCONNECT input408.X ANTENNA_1860.DIODE (0.263:0.263:0.263) (0.257:0.257:0.257)) - (INTERCONNECT input408.X ANTENNA_1861.DIODE (0.263:0.263:0.263) (0.257:0.257:0.257)) - (INTERCONNECT input408.X ANTENNA_1862.DIODE (0.263:0.263:0.263) (0.257:0.257:0.257)) - (INTERCONNECT input408.X ANTENNA_1863.DIODE (0.263:0.263:0.263) (0.257:0.257:0.257)) - (INTERCONNECT input408.X ANTENNA_1864.DIODE (0.263:0.263:0.263) (0.257:0.257:0.257)) - (INTERCONNECT input408.X ANTENNA_1865.DIODE (0.263:0.263:0.263) (0.257:0.257:0.257)) - (INTERCONNECT input408.X ANTENNA_1866.DIODE (0.263:0.263:0.263) (0.257:0.257:0.257)) - (INTERCONNECT input408.X ANTENNA_1867.DIODE (0.263:0.263:0.263) (0.257:0.257:0.257)) - (INTERCONNECT input408.X ANTENNA_1868.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input408.X ANTENNA_1869.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input408.X ANTENNA_1870.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input408.X ANTENNA_1871.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input408.X ANTENNA_1872.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input408.X ANTENNA_1873.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input408.X ANTENNA_1874.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input408.X ANTENNA_1875.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input408.X ANTENNA_1876.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input408.X ANTENNA_1877.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input408.X ANTENNA_1878.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input409.X _334_.B (0.272:0.272:0.272) (0.254:0.254:0.254)) - (INTERCONNECT input410.X _307_.B (0.332:0.332:0.332) (0.311:0.311:0.311)) - (INTERCONNECT input410.X ANTENNA_1879.DIODE (0.332:0.332:0.332) (0.312:0.312:0.312)) - (INTERCONNECT input410.X ANTENNA_1880.DIODE (0.332:0.332:0.332) (0.312:0.312:0.312)) - (INTERCONNECT input410.X ANTENNA_1881.DIODE (0.333:0.333:0.333) (0.312:0.312:0.312)) - (INTERCONNECT input410.X ANTENNA_1882.DIODE (0.333:0.333:0.333) (0.312:0.312:0.312)) - (INTERCONNECT input410.X ANTENNA_1883.DIODE (0.331:0.331:0.331) (0.310:0.310:0.310)) - (INTERCONNECT input410.X ANTENNA_1884.DIODE (0.333:0.333:0.333) (0.312:0.312:0.312)) - (INTERCONNECT input410.X ANTENNA_1885.DIODE (0.331:0.331:0.331) (0.310:0.310:0.310)) - (INTERCONNECT input410.X ANTENNA_1886.DIODE (0.332:0.332:0.332) (0.312:0.312:0.312)) - (INTERCONNECT input410.X ANTENNA_1887.DIODE (0.331:0.331:0.331) (0.310:0.310:0.310)) - (INTERCONNECT input410.X ANTENNA_1888.DIODE (0.333:0.333:0.333) (0.312:0.312:0.312)) - (INTERCONNECT input410.X ANTENNA_1889.DIODE (0.333:0.333:0.333) (0.312:0.312:0.312)) - (INTERCONNECT input410.X ANTENNA_1890.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT input410.X ANTENNA_1891.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input410.X ANTENNA_1892.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input410.X ANTENNA_1893.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input410.X ANTENNA_1894.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input410.X ANTENNA_1895.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input410.X ANTENNA_1896.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input410.X ANTENNA_1897.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input410.X ANTENNA_1898.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input410.X ANTENNA_1899.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input410.X ANTENNA_1900.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input410.X ANTENNA_2585.DIODE (0.332:0.332:0.332) (0.311:0.311:0.311)) - (INTERCONNECT input410.X ANTENNA_2586.DIODE (0.332:0.332:0.332) (0.311:0.311:0.311)) - (INTERCONNECT input410.X ANTENNA_2587.DIODE (0.332:0.332:0.332) (0.311:0.311:0.311)) - (INTERCONNECT input410.X ANTENNA_2588.DIODE (0.332:0.332:0.332) (0.311:0.311:0.311)) - (INTERCONNECT input410.X ANTENNA_2589.DIODE (0.332:0.332:0.332) (0.311:0.311:0.311)) - (INTERCONNECT input410.X ANTENNA_2590.DIODE (0.332:0.332:0.332) (0.311:0.311:0.311)) - (INTERCONNECT input410.X ANTENNA_2591.DIODE (0.332:0.332:0.332) (0.311:0.311:0.311)) - (INTERCONNECT input410.X ANTENNA_2592.DIODE (0.332:0.332:0.332) (0.312:0.312:0.312)) - (INTERCONNECT input410.X ANTENNA_2593.DIODE (0.332:0.332:0.332) (0.312:0.312:0.312)) - (INTERCONNECT input410.X ANTENNA_2594.DIODE (0.333:0.333:0.333) (0.312:0.312:0.312)) - (INTERCONNECT input410.X ANTENNA_2595.DIODE (0.332:0.332:0.332) (0.312:0.312:0.312)) - (INTERCONNECT input410.X ANTENNA_2596.DIODE (0.332:0.332:0.332) (0.312:0.312:0.312)) - (INTERCONNECT input410.X ANTENNA_2597.DIODE (0.332:0.332:0.332) (0.312:0.312:0.312)) - (INTERCONNECT input410.X ANTENNA_2598.DIODE (0.332:0.332:0.332) (0.312:0.312:0.312)) - (INTERCONNECT input410.X ANTENNA_2599.DIODE (0.331:0.331:0.331) (0.310:0.310:0.310)) - (INTERCONNECT input410.X ANTENNA_2600.DIODE (0.331:0.331:0.331) (0.310:0.310:0.310)) - (INTERCONNECT input410.X ANTENNA_2601.DIODE (0.331:0.331:0.331) (0.310:0.310:0.310)) - (INTERCONNECT input410.X ANTENNA_2602.DIODE (0.331:0.331:0.331) (0.310:0.310:0.310)) - (INTERCONNECT input410.X ANTENNA_2603.DIODE (0.331:0.331:0.331) (0.310:0.310:0.310)) - (INTERCONNECT input410.X ANTENNA_2604.DIODE (0.331:0.331:0.331) (0.310:0.310:0.310)) - (INTERCONNECT input410.X ANTENNA_2605.DIODE (0.331:0.331:0.331) (0.311:0.311:0.311)) - (INTERCONNECT input410.X ANTENNA_2606.DIODE (0.332:0.332:0.332) (0.311:0.311:0.311)) - (INTERCONNECT input410.X ANTENNA_2607.DIODE (0.332:0.332:0.332) (0.311:0.311:0.311)) - (INTERCONNECT input410.X ANTENNA_2608.DIODE (0.331:0.331:0.331) (0.311:0.311:0.311)) - (INTERCONNECT input410.X ANTENNA_2609.DIODE (0.332:0.332:0.332) (0.311:0.311:0.311)) - (INTERCONNECT input410.X ANTENNA_2610.DIODE (0.332:0.332:0.332) (0.311:0.311:0.311)) - (INTERCONNECT input410.X ANTENNA_2611.DIODE (0.332:0.332:0.332) (0.311:0.311:0.311)) - (INTERCONNECT input410.X ANTENNA_2612.DIODE (0.333:0.333:0.333) (0.312:0.312:0.312)) - (INTERCONNECT input410.X ANTENNA_2613.DIODE (0.333:0.333:0.333) (0.312:0.312:0.312)) - (INTERCONNECT input410.X ANTENNA_2614.DIODE (0.333:0.333:0.333) (0.312:0.312:0.312)) - (INTERCONNECT input410.X ANTENNA_2615.DIODE (0.333:0.333:0.333) (0.312:0.312:0.312)) - (INTERCONNECT input410.X ANTENNA_2616.DIODE (0.333:0.333:0.333) (0.312:0.312:0.312)) - (INTERCONNECT input410.X ANTENNA_2617.DIODE (0.330:0.330:0.330) (0.309:0.309:0.309)) - (INTERCONNECT input410.X ANTENNA_2618.DIODE (0.330:0.330:0.330) (0.309:0.309:0.309)) - (INTERCONNECT input410.X ANTENNA_2619.DIODE (0.331:0.331:0.331) (0.310:0.310:0.310)) - (INTERCONNECT input411.X _335_.B (0.297:0.297:0.297) (0.280:0.280:0.280)) - (INTERCONNECT input411.X ANTENNA_1901.DIODE (0.297:0.297:0.297) (0.280:0.280:0.280)) - (INTERCONNECT input411.X ANTENNA_1902.DIODE (0.297:0.297:0.297) (0.279:0.279:0.279)) - (INTERCONNECT input411.X ANTENNA_1903.DIODE (0.297:0.297:0.297) (0.280:0.280:0.280)) - (INTERCONNECT input411.X ANTENNA_1904.DIODE (0.297:0.297:0.297) (0.279:0.279:0.279)) - (INTERCONNECT input411.X ANTENNA_1905.DIODE (0.297:0.297:0.297) (0.280:0.280:0.280)) - (INTERCONNECT input411.X ANTENNA_1906.DIODE (0.297:0.297:0.297) (0.279:0.279:0.279)) - (INTERCONNECT input411.X ANTENNA_1907.DIODE (0.297:0.297:0.297) (0.280:0.280:0.280)) - (INTERCONNECT input411.X ANTENNA_1908.DIODE (0.297:0.297:0.297) (0.279:0.279:0.279)) - (INTERCONNECT input411.X ANTENNA_1909.DIODE (0.297:0.297:0.297) (0.279:0.279:0.279)) - (INTERCONNECT input411.X ANTENNA_1910.DIODE (0.297:0.297:0.297) (0.279:0.279:0.279)) - (INTERCONNECT input411.X ANTENNA_1911.DIODE (0.297:0.297:0.297) (0.280:0.280:0.280)) - (INTERCONNECT input411.X ANTENNA_1912.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input411.X ANTENNA_1913.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input411.X ANTENNA_1914.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input411.X ANTENNA_1915.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input411.X ANTENNA_1916.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input411.X ANTENNA_1917.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input411.X ANTENNA_1918.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input411.X ANTENNA_1919.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input411.X ANTENNA_1920.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input411.X ANTENNA_1921.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input411.X ANTENNA_1922.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input411.X ANTENNA_1923.DIODE (0.296:0.296:0.296) (0.279:0.279:0.279)) - (INTERCONNECT input411.X ANTENNA_2490.DIODE (0.297:0.297:0.297) (0.280:0.280:0.280)) - (INTERCONNECT input411.X ANTENNA_2491.DIODE (0.297:0.297:0.297) (0.279:0.279:0.279)) - (INTERCONNECT input411.X ANTENNA_2492.DIODE (0.297:0.297:0.297) (0.280:0.280:0.280)) - (INTERCONNECT input411.X ANTENNA_2493.DIODE (0.297:0.297:0.297) (0.280:0.280:0.280)) - (INTERCONNECT input411.X ANTENNA_2494.DIODE (0.297:0.297:0.297) (0.279:0.279:0.279)) - (INTERCONNECT input411.X ANTENNA_2495.DIODE (0.296:0.296:0.296) (0.279:0.279:0.279)) - (INTERCONNECT input411.X ANTENNA_2496.DIODE (0.297:0.297:0.297) (0.280:0.280:0.280)) - (INTERCONNECT input411.X ANTENNA_2497.DIODE (0.297:0.297:0.297) (0.280:0.280:0.280)) - (INTERCONNECT input411.X ANTENNA_2498.DIODE (0.296:0.296:0.296) (0.279:0.279:0.279)) - (INTERCONNECT input411.X ANTENNA_2499.DIODE (0.297:0.297:0.297) (0.279:0.279:0.279)) - (INTERCONNECT input411.X ANTENNA_2500.DIODE (0.297:0.297:0.297) (0.280:0.280:0.280)) - (INTERCONNECT input411.X ANTENNA_2501.DIODE (0.297:0.297:0.297) (0.280:0.280:0.280)) - (INTERCONNECT input411.X ANTENNA_2502.DIODE (0.296:0.296:0.296) (0.279:0.279:0.279)) - (INTERCONNECT input411.X ANTENNA_2503.DIODE (0.297:0.297:0.297) (0.279:0.279:0.279)) - (INTERCONNECT input411.X ANTENNA_2504.DIODE (0.297:0.297:0.297) (0.280:0.280:0.280)) - (INTERCONNECT input411.X ANTENNA_2505.DIODE (0.297:0.297:0.297) (0.280:0.280:0.280)) - (INTERCONNECT input411.X ANTENNA_2506.DIODE (0.296:0.296:0.296) (0.279:0.279:0.279)) - (INTERCONNECT input411.X ANTENNA_2507.DIODE (0.297:0.297:0.297) (0.279:0.279:0.279)) - (INTERCONNECT input411.X ANTENNA_2508.DIODE (0.296:0.296:0.296) (0.279:0.279:0.279)) - (INTERCONNECT input411.X ANTENNA_2509.DIODE (0.297:0.297:0.297) (0.279:0.279:0.279)) - (INTERCONNECT input411.X ANTENNA_2510.DIODE (0.296:0.296:0.296) (0.279:0.279:0.279)) - (INTERCONNECT input411.X ANTENNA_2511.DIODE (0.297:0.297:0.297) (0.279:0.279:0.279)) - (INTERCONNECT input411.X ANTENNA_2512.DIODE (0.297:0.297:0.297) (0.280:0.280:0.280)) - (INTERCONNECT input411.X ANTENNA_2513.DIODE (0.297:0.297:0.297) (0.280:0.280:0.280)) - (INTERCONNECT input411.X ANTENNA_2514.DIODE (0.296:0.296:0.296) (0.279:0.279:0.279)) - (INTERCONNECT input411.X ANTENNA_2515.DIODE (0.296:0.296:0.296) (0.279:0.279:0.279)) - (INTERCONNECT input412.X _336_.B (0.192:0.192:0.192) (0.185:0.185:0.185)) - (INTERCONNECT input413.X _308_.B (0.274:0.274:0.274) (0.262:0.262:0.262)) - (INTERCONNECT input413.X ANTENNA_1924.DIODE (0.274:0.274:0.274) (0.262:0.262:0.262)) - (INTERCONNECT input413.X ANTENNA_1925.DIODE (0.274:0.274:0.274) (0.262:0.262:0.262)) - (INTERCONNECT input413.X ANTENNA_1926.DIODE (0.274:0.274:0.274) (0.262:0.262:0.262)) - (INTERCONNECT input413.X ANTENNA_1927.DIODE (0.274:0.274:0.274) (0.262:0.262:0.262)) - (INTERCONNECT input413.X ANTENNA_1928.DIODE (0.274:0.274:0.274) (0.262:0.262:0.262)) - (INTERCONNECT input413.X ANTENNA_1929.DIODE (0.274:0.274:0.274) (0.262:0.262:0.262)) - (INTERCONNECT input413.X ANTENNA_1930.DIODE (0.274:0.274:0.274) (0.262:0.262:0.262)) - (INTERCONNECT input413.X ANTENNA_1931.DIODE (0.274:0.274:0.274) (0.262:0.262:0.262)) - (INTERCONNECT input413.X ANTENNA_1932.DIODE (0.274:0.274:0.274) (0.262:0.262:0.262)) - (INTERCONNECT input413.X ANTENNA_1933.DIODE (0.274:0.274:0.274) (0.262:0.262:0.262)) - (INTERCONNECT input413.X ANTENNA_1934.DIODE (0.274:0.274:0.274) (0.262:0.262:0.262)) - (INTERCONNECT input413.X ANTENNA_1935.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input413.X ANTENNA_1936.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT input413.X ANTENNA_1937.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT input413.X ANTENNA_1938.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input413.X ANTENNA_1939.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input413.X ANTENNA_1940.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT input413.X ANTENNA_1941.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT input413.X ANTENNA_1942.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT input413.X ANTENNA_1943.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT input413.X ANTENNA_1944.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT input413.X ANTENNA_1945.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016)) - (INTERCONNECT input414.X _309_.B (0.449:0.449:0.449) (0.408:0.408:0.408)) - (INTERCONNECT input414.X ANTENNA_1946.DIODE (0.449:0.449:0.449) (0.408:0.408:0.408)) - (INTERCONNECT input414.X ANTENNA_1947.DIODE (0.449:0.449:0.449) (0.408:0.408:0.408)) - (INTERCONNECT input414.X ANTENNA_1948.DIODE (0.449:0.449:0.449) (0.408:0.408:0.408)) - (INTERCONNECT input414.X ANTENNA_1949.DIODE (0.449:0.449:0.449) (0.408:0.408:0.408)) - (INTERCONNECT input414.X ANTENNA_1950.DIODE (0.449:0.449:0.449) (0.408:0.408:0.408)) - (INTERCONNECT input414.X ANTENNA_1951.DIODE (0.449:0.449:0.449) (0.408:0.408:0.408)) - (INTERCONNECT input414.X ANTENNA_1952.DIODE (0.448:0.448:0.448) (0.408:0.408:0.408)) - (INTERCONNECT input414.X ANTENNA_1953.DIODE (0.449:0.449:0.449) (0.408:0.408:0.408)) - (INTERCONNECT input414.X ANTENNA_1954.DIODE (0.448:0.448:0.448) (0.407:0.407:0.407)) - (INTERCONNECT input414.X ANTENNA_1955.DIODE (0.448:0.448:0.448) (0.407:0.407:0.407)) - (INTERCONNECT input414.X ANTENNA_1956.DIODE (0.448:0.448:0.448) (0.407:0.407:0.407)) - (INTERCONNECT input414.X ANTENNA_1957.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007)) - (INTERCONNECT input414.X ANTENNA_1958.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input414.X ANTENNA_1959.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input414.X ANTENNA_1960.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input414.X ANTENNA_1961.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input414.X ANTENNA_1962.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input414.X ANTENNA_1963.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input414.X ANTENNA_1964.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input414.X ANTENNA_1965.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input414.X ANTENNA_1966.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input414.X ANTENNA_1967.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT input414.X ANTENNA_2516.DIODE (0.449:0.449:0.449) (0.408:0.408:0.408)) - (INTERCONNECT input414.X ANTENNA_2517.DIODE (0.449:0.449:0.449) (0.408:0.408:0.408)) - (INTERCONNECT input414.X ANTENNA_2518.DIODE (0.449:0.449:0.449) (0.408:0.408:0.408)) - (INTERCONNECT input414.X ANTENNA_2519.DIODE (0.449:0.449:0.449) (0.408:0.408:0.408)) - (INTERCONNECT input414.X ANTENNA_2520.DIODE (0.449:0.449:0.449) (0.408:0.408:0.408)) - (INTERCONNECT input414.X ANTENNA_2521.DIODE (0.449:0.449:0.449) (0.408:0.408:0.408)) - (INTERCONNECT input414.X ANTENNA_2522.DIODE (0.449:0.449:0.449) (0.408:0.408:0.408)) - (INTERCONNECT input414.X ANTENNA_2523.DIODE (0.449:0.449:0.449) (0.408:0.408:0.408)) - (INTERCONNECT input414.X ANTENNA_2524.DIODE (0.450:0.450:0.450) (0.408:0.408:0.408)) - (INTERCONNECT input414.X ANTENNA_2525.DIODE (0.448:0.448:0.448) (0.407:0.407:0.407)) - (INTERCONNECT input414.X ANTENNA_2526.DIODE (0.448:0.448:0.448) (0.407:0.407:0.407)) - (INTERCONNECT input414.X ANTENNA_2527.DIODE (0.448:0.448:0.448) (0.407:0.407:0.407)) - (INTERCONNECT input414.X ANTENNA_2528.DIODE (0.449:0.449:0.449) (0.408:0.408:0.408)) - (INTERCONNECT input414.X ANTENNA_2529.DIODE (0.449:0.449:0.449) (0.408:0.408:0.408)) - (INTERCONNECT input414.X ANTENNA_2530.DIODE (0.449:0.449:0.449) (0.408:0.408:0.408)) - (INTERCONNECT input414.X ANTENNA_2531.DIODE (0.448:0.448:0.448) (0.407:0.407:0.407)) - (INTERCONNECT input414.X ANTENNA_2532.DIODE (0.448:0.448:0.448) (0.407:0.407:0.407)) - (INTERCONNECT input414.X ANTENNA_2533.DIODE (0.450:0.450:0.450) (0.409:0.409:0.409)) - (INTERCONNECT input414.X ANTENNA_2534.DIODE (0.449:0.449:0.449) (0.408:0.408:0.408)) - (INTERCONNECT input414.X ANTENNA_2535.DIODE (0.449:0.449:0.449) (0.408:0.408:0.408)) - (INTERCONNECT input414.X ANTENNA_2536.DIODE (0.449:0.449:0.449) (0.408:0.408:0.408)) - (INTERCONNECT input414.X ANTENNA_2537.DIODE (0.448:0.448:0.448) (0.407:0.407:0.407)) - (INTERCONNECT input414.X ANTENNA_2538.DIODE (0.450:0.450:0.450) (0.409:0.409:0.409)) - (INTERCONNECT input414.X ANTENNA_2539.DIODE (0.448:0.448:0.448) (0.407:0.407:0.407)) - (INTERCONNECT input414.X ANTENNA_2540.DIODE (0.448:0.448:0.448) (0.407:0.407:0.407)) - (INTERCONNECT input414.X ANTENNA_2541.DIODE (0.448:0.448:0.448) (0.407:0.407:0.407)) - (INTERCONNECT input414.X ANTENNA_2542.DIODE (0.450:0.450:0.450) (0.409:0.409:0.409)) - (INTERCONNECT input414.X ANTENNA_2543.DIODE (0.447:0.447:0.447) (0.407:0.407:0.407)) - (INTERCONNECT input414.X ANTENNA_2544.DIODE (0.447:0.447:0.447) (0.407:0.407:0.407)) - (INTERCONNECT input414.X ANTENNA_2545.DIODE (0.447:0.447:0.447) (0.406:0.406:0.406)) - (INTERCONNECT input414.X ANTENNA_2551.DIODE (0.449:0.449:0.449) (0.408:0.408:0.408)) - (INTERCONNECT input414.X ANTENNA_2552.DIODE (0.449:0.449:0.449) (0.408:0.408:0.408)) - (INTERCONNECT input414.X ANTENNA_2553.DIODE (0.449:0.449:0.449) (0.408:0.408:0.408)) - (INTERCONNECT input414.X ANTENNA_2554.DIODE (0.448:0.448:0.448) (0.407:0.407:0.407)) - (INTERCONNECT input414.X ANTENNA_2555.DIODE (0.449:0.449:0.449) (0.408:0.408:0.408)) - (INTERCONNECT input414.X ANTENNA_2556.DIODE (0.448:0.448:0.448) (0.407:0.407:0.407)) - (INTERCONNECT input414.X ANTENNA_2557.DIODE (0.448:0.448:0.448) (0.407:0.407:0.407)) - (INTERCONNECT input414.X ANTENNA_2558.DIODE (0.448:0.448:0.448) (0.407:0.407:0.407)) - (INTERCONNECT input414.X ANTENNA_2559.DIODE (0.448:0.448:0.448) (0.407:0.407:0.407)) - (INTERCONNECT input414.X ANTENNA_2560.DIODE (0.448:0.448:0.448) (0.407:0.407:0.407)) - (INTERCONNECT input414.X ANTENNA_2561.DIODE (0.449:0.449:0.449) (0.408:0.408:0.408)) - (INTERCONNECT input414.X ANTENNA_2562.DIODE (0.448:0.448:0.448) (0.407:0.407:0.407)) - (INTERCONNECT input414.X ANTENNA_2563.DIODE (0.449:0.449:0.449) (0.408:0.408:0.408)) - (INTERCONNECT input414.X ANTENNA_2564.DIODE (0.449:0.449:0.449) (0.408:0.408:0.408)) - (INTERCONNECT input414.X ANTENNA_2565.DIODE (0.449:0.449:0.449) (0.408:0.408:0.408)) - (INTERCONNECT input414.X ANTENNA_2566.DIODE (0.449:0.449:0.449) (0.408:0.408:0.408)) - (INTERCONNECT input414.X ANTENNA_2567.DIODE (0.450:0.450:0.450) (0.409:0.409:0.409)) - (INTERCONNECT input414.X ANTENNA_2568.DIODE (0.450:0.450:0.450) (0.409:0.409:0.409)) - (INTERCONNECT input414.X ANTENNA_2569.DIODE (0.448:0.448:0.448) (0.407:0.407:0.407)) - (INTERCONNECT input414.X ANTENNA_2570.DIODE (0.448:0.448:0.448) (0.407:0.407:0.407)) - (INTERCONNECT input414.X ANTENNA_2571.DIODE (0.449:0.449:0.449) (0.408:0.408:0.408)) - (INTERCONNECT input414.X ANTENNA_2572.DIODE (0.449:0.449:0.449) (0.408:0.408:0.408)) - (INTERCONNECT input414.X ANTENNA_2573.DIODE (0.447:0.447:0.447) (0.406:0.406:0.406)) - (INTERCONNECT input414.X ANTENNA_2574.DIODE (0.450:0.450:0.450) (0.409:0.409:0.409)) - (INTERCONNECT input414.X ANTENNA_2575.DIODE (0.449:0.449:0.449) (0.408:0.408:0.408)) - (INTERCONNECT input414.X ANTENNA_2576.DIODE (0.449:0.449:0.449) (0.408:0.408:0.408)) - (INTERCONNECT input414.X ANTENNA_2577.DIODE (0.449:0.449:0.449) (0.408:0.408:0.408)) - (INTERCONNECT input414.X ANTENNA_2578.DIODE (0.450:0.450:0.450) (0.409:0.409:0.409)) - (INTERCONNECT input414.X ANTENNA_2579.DIODE (0.447:0.447:0.447) (0.406:0.406:0.406)) - (INTERCONNECT input414.X ANTENNA_2580.DIODE (0.450:0.450:0.450) (0.409:0.409:0.409)) - (INTERCONNECT input414.X ANTENNA_2581.DIODE (0.447:0.447:0.447) (0.406:0.406:0.406)) - (INTERCONNECT input414.X ANTENNA_2582.DIODE (0.447:0.447:0.447) (0.406:0.406:0.406)) - (INTERCONNECT input414.X ANTENNA_2583.DIODE (0.446:0.446:0.446) (0.406:0.406:0.406)) - (INTERCONNECT input415.X _310_.B (0.221:0.221:0.221) (0.212:0.212:0.212)) - (INTERCONNECT input416.X _311_.B (0.187:0.187:0.187) (0.170:0.170:0.170)) - (INTERCONNECT input417.X _312_.B (0.195:0.195:0.195) (0.187:0.187:0.187)) - (INTERCONNECT input418.X _313_.B (0.169:0.169:0.169) (0.162:0.162:0.162)) - (INTERCONNECT input419.X _314_.B (0.091:0.091:0.091) (0.090:0.090:0.090)) - (INTERCONNECT input420.X _298_.B (0.050:0.050:0.050) (0.048:0.048:0.048)) - (INTERCONNECT input421.X _337_.B (0.196:0.196:0.196) (0.189:0.189:0.189)) - (INTERCONNECT input422.X _347_.B (0.071:0.071:0.071) (0.070:0.070:0.070)) - (INTERCONNECT input422.X ANTENNA_1968.DIODE (0.071:0.071:0.071) (0.070:0.070:0.070)) - (INTERCONNECT input423.X _348_.B (0.077:0.077:0.077) (0.078:0.078:0.078)) - (INTERCONNECT input423.X ANTENNA_1969.DIODE (0.077:0.077:0.077) (0.078:0.078:0.078)) - (INTERCONNECT input424.X _349_.B (0.070:0.070:0.070) (0.069:0.069:0.069)) - (INTERCONNECT input425.X _350_.B (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT input425.X ANTENNA_1970.DIODE (0.019:0.019:0.019) (0.019:0.019:0.019)) - (INTERCONNECT input426.X _351_.B (0.075:0.075:0.075) (0.073:0.073:0.073)) - (INTERCONNECT input426.X ANTENNA_1971.DIODE (0.075:0.075:0.075) (0.073:0.073:0.073)) - (INTERCONNECT input427.X _352_.B (0.063:0.063:0.063) (0.062:0.062:0.062)) - (INTERCONNECT input427.X ANTENNA_1972.DIODE (0.063:0.063:0.063) (0.062:0.062:0.062)) - (INTERCONNECT input428.X _353_.B (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT input428.X ANTENNA_1973.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT input429.X _354_.B (0.041:0.041:0.041) (0.039:0.039:0.039)) - (INTERCONNECT input429.X ANTENNA_1974.DIODE (0.041:0.041:0.041) (0.039:0.039:0.039)) - (INTERCONNECT input430.X _355_.B (0.049:0.049:0.049) (0.047:0.047:0.047)) - (INTERCONNECT input430.X ANTENNA_1975.DIODE (0.049:0.049:0.049) (0.047:0.047:0.047)) - (INTERCONNECT input431.X _356_.B (0.052:0.052:0.052) (0.051:0.051:0.051)) - (INTERCONNECT input432.X _338_.B (0.052:0.052:0.052) (0.050:0.050:0.050)) - (INTERCONNECT input433.X _357_.B (0.036:0.036:0.036) (0.034:0.034:0.034)) - (INTERCONNECT input433.X ANTENNA_1976.DIODE (0.036:0.036:0.036) (0.034:0.034:0.034)) - (INTERCONNECT input434.X _358_.B (0.037:0.037:0.037) (0.035:0.035:0.035)) - (INTERCONNECT input434.X ANTENNA_1977.DIODE (0.037:0.037:0.037) (0.035:0.035:0.035)) - (INTERCONNECT input435.X _359_.B (0.047:0.047:0.047) (0.045:0.045:0.045)) - (INTERCONNECT input436.X _360_.B (0.034:0.034:0.034) (0.033:0.033:0.033)) - (INTERCONNECT input436.X ANTENNA_1978.DIODE (0.034:0.034:0.034) (0.033:0.033:0.033)) - (INTERCONNECT input437.X _361_.B (0.032:0.032:0.032) (0.030:0.030:0.030)) - (INTERCONNECT input437.X ANTENNA_1979.DIODE (0.032:0.032:0.032) (0.030:0.030:0.030)) - (INTERCONNECT input438.X _362_.B (0.038:0.038:0.038) (0.036:0.036:0.036)) - (INTERCONNECT input438.X ANTENNA_1980.DIODE (0.038:0.038:0.038) (0.036:0.036:0.036)) - (INTERCONNECT input438.X ANTENNA_2258.DIODE (0.038:0.038:0.038) (0.036:0.036:0.036)) - (INTERCONNECT input438.X ANTENNA_2442.DIODE (0.038:0.038:0.038) (0.036:0.036:0.036)) - (INTERCONNECT input438.X ANTENNA_2546.DIODE (0.038:0.038:0.038) (0.036:0.036:0.036)) - (INTERCONNECT input438.X ANTENNA_2549.DIODE (0.038:0.038:0.038) (0.036:0.036:0.036)) - (INTERCONNECT input438.X ANTENNA_2584.DIODE (0.038:0.038:0.038) (0.036:0.036:0.036)) - (INTERCONNECT input438.X ANTENNA_2620.DIODE (0.038:0.038:0.038) (0.036:0.036:0.036)) - (INTERCONNECT input438.X ANTENNA_2631.DIODE (0.038:0.038:0.038) (0.036:0.036:0.036)) - (INTERCONNECT input439.X _363_.B (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT input439.X ANTENNA_1981.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT input439.X ANTENNA_2259.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT input439.X ANTENNA_2443.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022)) - (INTERCONNECT input440.X _364_.B (0.027:0.027:0.027) (0.025:0.025:0.025)) - (INTERCONNECT input441.X _365_.B (0.018:0.018:0.018) (0.018:0.018:0.018)) - (INTERCONNECT input442.X _366_.B (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT input442.X ANTENNA_1982.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT input443.X _339_.B (0.038:0.038:0.038) (0.037:0.037:0.037)) - (INTERCONNECT input444.X _367_.B (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT input444.X ANTENNA_1983.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT input445.X _368_.B (0.021:0.021:0.021) (0.020:0.020:0.020)) - (INTERCONNECT input446.X _340_.B (0.148:0.148:0.148) (0.139:0.139:0.139)) - (INTERCONNECT input447.X _341_.B (0.108:0.108:0.108) (0.107:0.107:0.107)) - (INTERCONNECT input448.X _342_.B (0.117:0.117:0.117) (0.116:0.116:0.116)) - (INTERCONNECT input448.X ANTENNA_1984.DIODE (0.117:0.117:0.117) (0.116:0.116:0.116)) - (INTERCONNECT input449.X _343_.B (0.098:0.098:0.098) (0.095:0.095:0.095)) - (INTERCONNECT input450.X _344_.B (0.102:0.102:0.102) (0.102:0.102:0.102)) - (INTERCONNECT input451.X _345_.B (0.076:0.076:0.076) (0.075:0.075:0.075)) - (INTERCONNECT input451.X ANTENNA_1985.DIODE (0.076:0.076:0.076) (0.075:0.075:0.075)) - (INTERCONNECT input452.X _346_.B (0.075:0.075:0.075) (0.074:0.074:0.074)) - (INTERCONNECT input452.X ANTENNA_1986.DIODE (0.075:0.075:0.075) (0.074:0.074:0.074)) - (INTERCONNECT input453.X _294_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input454.X _301_.B (0.150:0.150:0.150) (0.146:0.146:0.146)) - (INTERCONNECT input454.X ANTENNA_1987.DIODE (0.150:0.150:0.150) (0.146:0.146:0.146)) - (INTERCONNECT input454.X ANTENNA_1988.DIODE (0.150:0.150:0.150) (0.146:0.146:0.146)) - (INTERCONNECT input454.X ANTENNA_1989.DIODE (0.151:0.151:0.151) (0.146:0.146:0.146)) - (INTERCONNECT input454.X ANTENNA_1990.DIODE (0.150:0.150:0.150) (0.146:0.146:0.146)) - (INTERCONNECT input454.X ANTENNA_1991.DIODE (0.151:0.151:0.151) (0.146:0.146:0.146)) - (INTERCONNECT input454.X ANTENNA_1992.DIODE (0.150:0.150:0.150) (0.146:0.146:0.146)) - (INTERCONNECT input454.X ANTENNA_1993.DIODE (0.151:0.151:0.151) (0.146:0.146:0.146)) - (INTERCONNECT input454.X ANTENNA_1994.DIODE (0.150:0.150:0.150) (0.146:0.146:0.146)) - (INTERCONNECT input454.X ANTENNA_1995.DIODE (0.150:0.150:0.150) (0.146:0.146:0.146)) - (INTERCONNECT input454.X ANTENNA_1996.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input454.X ANTENNA_1997.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input454.X ANTENNA_1998.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input454.X ANTENNA_1999.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input454.X ANTENNA_2000.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT input454.X ANTENNA_2001.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input454.X ANTENNA_2002.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input454.X ANTENNA_2003.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input454.X ANTENNA_2004.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input455.X _302_.B (0.120:0.120:0.120) (0.118:0.118:0.118)) - (INTERCONNECT input455.X ANTENNA_2005.DIODE (0.120:0.120:0.120) (0.118:0.118:0.118)) - (INTERCONNECT input455.X ANTENNA_2260.DIODE (0.120:0.120:0.120) (0.118:0.118:0.118)) - (INTERCONNECT input455.X ANTENNA_2261.DIODE (0.120:0.120:0.120) (0.118:0.118:0.118)) - (INTERCONNECT input456.X _303_.B (0.133:0.133:0.133) (0.129:0.129:0.129)) - (INTERCONNECT input456.X ANTENNA_2006.DIODE (0.133:0.133:0.133) (0.129:0.129:0.129)) - (INTERCONNECT input456.X ANTENNA_2007.DIODE (0.133:0.133:0.133) (0.129:0.129:0.129)) - (INTERCONNECT input456.X ANTENNA_2008.DIODE (0.133:0.133:0.133) (0.129:0.129:0.129)) - (INTERCONNECT input456.X ANTENNA_2009.DIODE (0.133:0.133:0.133) (0.129:0.129:0.129)) - (INTERCONNECT input456.X ANTENNA_2010.DIODE (0.133:0.133:0.133) (0.129:0.129:0.129)) - (INTERCONNECT input456.X ANTENNA_2011.DIODE (0.133:0.133:0.133) (0.129:0.129:0.129)) - (INTERCONNECT input456.X ANTENNA_2012.DIODE (0.133:0.133:0.133) (0.129:0.129:0.129)) - (INTERCONNECT input456.X ANTENNA_2013.DIODE (0.133:0.133:0.133) (0.129:0.129:0.129)) - (INTERCONNECT input456.X ANTENNA_2014.DIODE (0.133:0.133:0.133) (0.129:0.129:0.129)) - (INTERCONNECT input456.X ANTENNA_2015.DIODE (0.133:0.133:0.133) (0.129:0.129:0.129)) - (INTERCONNECT input456.X ANTENNA_2016.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT input456.X ANTENNA_2017.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input456.X ANTENNA_2018.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input456.X ANTENNA_2019.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT input456.X ANTENNA_2020.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input456.X ANTENNA_2021.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT input456.X ANTENNA_2022.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input456.X ANTENNA_2023.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input456.X ANTENNA_2024.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input456.X ANTENNA_2025.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT input457.X _304_.B (0.109:0.109:0.109) (0.108:0.108:0.108)) - (INTERCONNECT input457.X ANTENNA_2026.DIODE (0.109:0.109:0.109) (0.108:0.108:0.108)) - (INTERCONNECT input458.X _299_.B (0.110:0.110:0.110) (0.110:0.110:0.110)) - (INTERCONNECT input458.X ANTENNA_2027.DIODE (0.110:0.110:0.110) (0.110:0.110:0.110)) - (INTERCONNECT input459.X _300_.B (0.158:0.158:0.158) (0.155:0.155:0.155)) - (INTERCONNECT input459.X ANTENNA_2028.DIODE (0.158:0.158:0.158) (0.155:0.155:0.155)) - (INTERCONNECT input459.X ANTENNA_2029.DIODE (0.158:0.158:0.158) (0.155:0.155:0.155)) - (INTERCONNECT input459.X ANTENNA_2030.DIODE (0.158:0.158:0.158) (0.155:0.155:0.155)) - (INTERCONNECT input460.X _291_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input461.X _292_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT input462.X _293_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT output463.X la_data_in_core[0] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output464.X la_data_in_core[100] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output465.X la_data_in_core[101] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output466.X la_data_in_core[102] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output467.X la_data_in_core[103] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output468.X la_data_in_core[104] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output469.X la_data_in_core[105] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output470.X la_data_in_core[106] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output471.X la_data_in_core[107] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output472.X la_data_in_core[108] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output473.X la_data_in_core[109] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output474.X la_data_in_core[10] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output475.X la_data_in_core[110] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output476.X la_data_in_core[111] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output477.X la_data_in_core[112] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output478.X la_data_in_core[113] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output479.X la_data_in_core[114] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output480.X la_data_in_core[115] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output481.X la_data_in_core[116] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output482.X la_data_in_core[117] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output483.X la_data_in_core[118] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output484.X la_data_in_core[119] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output485.X la_data_in_core[11] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output486.X la_data_in_core[120] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output487.X la_data_in_core[121] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output488.X la_data_in_core[122] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output489.X la_data_in_core[123] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output490.X la_data_in_core[124] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output491.X la_data_in_core[125] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output492.X la_data_in_core[126] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output493.X la_data_in_core[127] (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT output494.X la_data_in_core[12] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output495.X la_data_in_core[13] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output496.X la_data_in_core[14] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output497.X la_data_in_core[15] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output498.X la_data_in_core[16] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output499.X la_data_in_core[17] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output500.X la_data_in_core[18] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output501.X la_data_in_core[19] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output502.X la_data_in_core[1] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output503.X la_data_in_core[20] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output504.X la_data_in_core[21] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output505.X la_data_in_core[22] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output506.X la_data_in_core[23] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output507.X la_data_in_core[24] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output508.X la_data_in_core[25] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output509.X la_data_in_core[26] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output510.X la_data_in_core[27] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output511.X la_data_in_core[28] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output512.X la_data_in_core[29] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output513.X la_data_in_core[2] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output514.X la_data_in_core[30] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output515.X la_data_in_core[31] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output516.X la_data_in_core[32] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output517.X la_data_in_core[33] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output518.X la_data_in_core[34] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output519.X la_data_in_core[35] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output520.X la_data_in_core[36] (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT output521.X la_data_in_core[37] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output522.X la_data_in_core[38] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output523.X la_data_in_core[39] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output524.X la_data_in_core[3] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output525.X la_data_in_core[40] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output526.X la_data_in_core[41] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output527.X la_data_in_core[42] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output528.X la_data_in_core[43] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output529.X la_data_in_core[44] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output530.X la_data_in_core[45] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output531.X la_data_in_core[46] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output532.X la_data_in_core[47] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output533.X la_data_in_core[48] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output534.X la_data_in_core[49] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output535.X la_data_in_core[4] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output536.X la_data_in_core[50] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output537.X la_data_in_core[51] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output538.X la_data_in_core[52] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output539.X la_data_in_core[53] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output540.X la_data_in_core[54] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output541.X la_data_in_core[55] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output542.X la_data_in_core[56] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output543.X la_data_in_core[57] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output544.X la_data_in_core[58] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output545.X la_data_in_core[59] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output546.X la_data_in_core[5] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output547.X la_data_in_core[60] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output548.X la_data_in_core[61] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output549.X la_data_in_core[62] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output550.X la_data_in_core[63] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output551.X la_data_in_core[64] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output552.X la_data_in_core[65] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output553.X la_data_in_core[66] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output554.X la_data_in_core[67] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output555.X la_data_in_core[68] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output556.X la_data_in_core[69] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output557.X la_data_in_core[6] (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT output558.X la_data_in_core[70] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output559.X la_data_in_core[71] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output560.X la_data_in_core[72] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output561.X la_data_in_core[73] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output562.X la_data_in_core[74] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output563.X la_data_in_core[75] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output564.X la_data_in_core[76] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output565.X la_data_in_core[77] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output566.X la_data_in_core[78] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output567.X la_data_in_core[79] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output568.X la_data_in_core[7] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output569.X la_data_in_core[80] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output570.X la_data_in_core[81] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output571.X la_data_in_core[82] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output572.X la_data_in_core[83] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output573.X la_data_in_core[84] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output574.X la_data_in_core[85] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output575.X la_data_in_core[86] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output576.X la_data_in_core[87] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output577.X la_data_in_core[88] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output578.X la_data_in_core[89] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output579.X la_data_in_core[8] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output580.X la_data_in_core[90] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output581.X la_data_in_core[91] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output582.X la_data_in_core[92] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output583.X la_data_in_core[93] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output584.X la_data_in_core[94] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output585.X la_data_in_core[95] (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT output586.X la_data_in_core[96] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output587.X la_data_in_core[97] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output588.X la_data_in_core[98] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output589.X la_data_in_core[99] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output590.X la_data_in_core[9] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output591.X la_data_in_mprj[0] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output592.X la_data_in_mprj[100] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output593.X la_data_in_mprj[101] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output594.X la_data_in_mprj[102] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output595.X la_data_in_mprj[103] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output596.X la_data_in_mprj[104] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output597.X la_data_in_mprj[105] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output598.X la_data_in_mprj[106] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output599.X la_data_in_mprj[107] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output600.X la_data_in_mprj[108] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output601.X la_data_in_mprj[109] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output602.X la_data_in_mprj[10] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output603.X la_data_in_mprj[110] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output604.X la_data_in_mprj[111] (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT output605.X la_data_in_mprj[112] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output606.X la_data_in_mprj[113] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output607.X la_data_in_mprj[114] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output608.X la_data_in_mprj[115] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output609.X la_data_in_mprj[116] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output610.X la_data_in_mprj[117] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output611.X la_data_in_mprj[118] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output612.X la_data_in_mprj[119] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output613.X la_data_in_mprj[11] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output614.X la_data_in_mprj[120] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output615.X la_data_in_mprj[121] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output616.X la_data_in_mprj[122] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output617.X la_data_in_mprj[123] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output618.X la_data_in_mprj[124] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output619.X la_data_in_mprj[125] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output620.X la_data_in_mprj[126] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output621.X la_data_in_mprj[127] (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT output622.X la_data_in_mprj[12] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output623.X la_data_in_mprj[13] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output624.X la_data_in_mprj[14] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output625.X la_data_in_mprj[15] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output626.X la_data_in_mprj[16] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output627.X la_data_in_mprj[17] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output628.X la_data_in_mprj[18] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output629.X la_data_in_mprj[19] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output630.X la_data_in_mprj[1] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output631.X la_data_in_mprj[20] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output632.X la_data_in_mprj[21] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output633.X la_data_in_mprj[22] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output634.X la_data_in_mprj[23] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output635.X la_data_in_mprj[24] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output636.X la_data_in_mprj[25] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output637.X la_data_in_mprj[26] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output638.X la_data_in_mprj[27] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output639.X la_data_in_mprj[28] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output640.X la_data_in_mprj[29] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output641.X la_data_in_mprj[2] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output642.X la_data_in_mprj[30] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output643.X la_data_in_mprj[31] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output644.X la_data_in_mprj[32] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output645.X la_data_in_mprj[33] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output646.X la_data_in_mprj[34] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output647.X la_data_in_mprj[35] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output648.X la_data_in_mprj[36] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output649.X la_data_in_mprj[37] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output650.X la_data_in_mprj[38] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output651.X la_data_in_mprj[39] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output652.X la_data_in_mprj[3] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output653.X la_data_in_mprj[40] (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT output654.X la_data_in_mprj[41] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output655.X la_data_in_mprj[42] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output656.X la_data_in_mprj[43] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output657.X la_data_in_mprj[44] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output658.X la_data_in_mprj[45] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output659.X la_data_in_mprj[46] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output660.X la_data_in_mprj[47] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output661.X la_data_in_mprj[48] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output662.X la_data_in_mprj[49] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output663.X la_data_in_mprj[4] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output664.X la_data_in_mprj[50] (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT output665.X la_data_in_mprj[51] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output666.X la_data_in_mprj[52] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output667.X la_data_in_mprj[53] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output668.X la_data_in_mprj[54] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output669.X la_data_in_mprj[55] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output670.X la_data_in_mprj[56] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output671.X la_data_in_mprj[57] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output672.X la_data_in_mprj[58] (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT output673.X la_data_in_mprj[59] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output674.X la_data_in_mprj[5] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output675.X la_data_in_mprj[60] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output676.X la_data_in_mprj[61] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output677.X la_data_in_mprj[62] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output678.X la_data_in_mprj[63] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output679.X la_data_in_mprj[64] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output680.X la_data_in_mprj[65] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output681.X la_data_in_mprj[66] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output682.X la_data_in_mprj[67] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output683.X la_data_in_mprj[68] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output684.X la_data_in_mprj[69] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output685.X la_data_in_mprj[6] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output686.X la_data_in_mprj[70] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output687.X la_data_in_mprj[71] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output688.X la_data_in_mprj[72] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output689.X la_data_in_mprj[73] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output690.X la_data_in_mprj[74] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output691.X la_data_in_mprj[75] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output692.X la_data_in_mprj[76] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output693.X la_data_in_mprj[77] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output694.X la_data_in_mprj[78] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output695.X la_data_in_mprj[79] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output696.X la_data_in_mprj[7] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output697.X la_data_in_mprj[80] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output698.X la_data_in_mprj[81] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output699.X la_data_in_mprj[82] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output700.X la_data_in_mprj[83] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output701.X la_data_in_mprj[84] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output702.X la_data_in_mprj[85] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output703.X la_data_in_mprj[86] (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT output704.X la_data_in_mprj[87] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output705.X la_data_in_mprj[88] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output706.X la_data_in_mprj[89] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output707.X la_data_in_mprj[8] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output708.X la_data_in_mprj[90] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output709.X la_data_in_mprj[91] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output710.X la_data_in_mprj[92] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output711.X la_data_in_mprj[93] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output712.X la_data_in_mprj[94] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output713.X la_data_in_mprj[95] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output714.X la_data_in_mprj[96] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output715.X la_data_in_mprj[97] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output716.X la_data_in_mprj[98] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output717.X la_data_in_mprj[99] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output718.X la_data_in_mprj[9] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output719.X la_oenb_core[0] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output720.X la_oenb_core[100] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output721.X la_oenb_core[101] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output722.X la_oenb_core[102] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output723.X la_oenb_core[103] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output724.X la_oenb_core[104] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output725.X la_oenb_core[105] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output726.X la_oenb_core[106] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output727.X la_oenb_core[107] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output728.X la_oenb_core[108] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output729.X la_oenb_core[109] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output730.X la_oenb_core[10] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output731.X la_oenb_core[110] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output732.X la_oenb_core[111] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output733.X la_oenb_core[112] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output734.X la_oenb_core[113] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output735.X la_oenb_core[114] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output736.X la_oenb_core[115] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output737.X la_oenb_core[116] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output738.X la_oenb_core[117] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output739.X la_oenb_core[118] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output740.X la_oenb_core[119] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output741.X la_oenb_core[11] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output742.X la_oenb_core[120] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output743.X la_oenb_core[121] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output744.X la_oenb_core[122] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output745.X la_oenb_core[123] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output746.X la_oenb_core[124] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output747.X la_oenb_core[125] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output748.X la_oenb_core[126] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output749.X la_oenb_core[127] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output750.X la_oenb_core[12] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output751.X la_oenb_core[13] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output752.X la_oenb_core[14] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output753.X la_oenb_core[15] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output754.X la_oenb_core[16] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output755.X la_oenb_core[17] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output756.X la_oenb_core[18] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output757.X la_oenb_core[19] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output758.X la_oenb_core[1] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output759.X la_oenb_core[20] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output760.X la_oenb_core[21] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output761.X la_oenb_core[22] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output762.X la_oenb_core[23] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output763.X la_oenb_core[24] (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT output764.X la_oenb_core[25] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output765.X la_oenb_core[26] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output766.X la_oenb_core[27] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output767.X la_oenb_core[28] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output768.X la_oenb_core[29] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output769.X la_oenb_core[2] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output770.X la_oenb_core[30] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output771.X la_oenb_core[31] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output772.X la_oenb_core[32] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output773.X la_oenb_core[33] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output774.X la_oenb_core[34] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output775.X la_oenb_core[35] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output776.X la_oenb_core[36] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output777.X la_oenb_core[37] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output778.X la_oenb_core[38] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output779.X la_oenb_core[39] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output780.X la_oenb_core[3] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output781.X la_oenb_core[40] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output782.X la_oenb_core[41] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output783.X la_oenb_core[42] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output784.X la_oenb_core[43] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output785.X la_oenb_core[44] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output786.X la_oenb_core[45] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output787.X la_oenb_core[46] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output788.X la_oenb_core[47] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output789.X la_oenb_core[48] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output790.X la_oenb_core[49] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output791.X la_oenb_core[4] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output792.X la_oenb_core[50] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output793.X la_oenb_core[51] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output794.X la_oenb_core[52] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output795.X la_oenb_core[53] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output796.X la_oenb_core[54] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output797.X la_oenb_core[55] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output798.X la_oenb_core[56] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output799.X la_oenb_core[57] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output800.X la_oenb_core[58] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output801.X la_oenb_core[59] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output802.X la_oenb_core[5] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output803.X la_oenb_core[60] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output804.X la_oenb_core[61] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output805.X la_oenb_core[62] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output806.X la_oenb_core[63] (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT output807.X la_oenb_core[64] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output808.X la_oenb_core[65] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output809.X la_oenb_core[66] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output810.X la_oenb_core[67] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output811.X la_oenb_core[68] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output812.X la_oenb_core[69] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output813.X la_oenb_core[6] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output814.X la_oenb_core[70] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output815.X la_oenb_core[71] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output816.X la_oenb_core[72] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output817.X la_oenb_core[73] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output818.X la_oenb_core[74] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output819.X la_oenb_core[75] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output820.X la_oenb_core[76] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output821.X la_oenb_core[77] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output822.X la_oenb_core[78] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output823.X la_oenb_core[79] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output824.X la_oenb_core[7] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output825.X la_oenb_core[80] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output826.X la_oenb_core[81] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output827.X la_oenb_core[82] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output828.X la_oenb_core[83] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output829.X la_oenb_core[84] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output830.X la_oenb_core[85] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output831.X la_oenb_core[86] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output832.X la_oenb_core[87] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output833.X la_oenb_core[88] (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT output834.X la_oenb_core[89] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output835.X la_oenb_core[8] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output836.X la_oenb_core[90] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output837.X la_oenb_core[91] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output838.X la_oenb_core[92] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output839.X la_oenb_core[93] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output840.X la_oenb_core[94] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output841.X la_oenb_core[95] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output842.X la_oenb_core[96] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output843.X la_oenb_core[97] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output844.X la_oenb_core[98] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output845.X la_oenb_core[99] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output846.X la_oenb_core[9] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output847.X mprj_ack_i_core (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output848.X mprj_adr_o_user[0] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output849.X mprj_adr_o_user[10] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output850.X mprj_adr_o_user[11] (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT output851.X mprj_adr_o_user[12] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output852.X mprj_adr_o_user[13] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output853.X mprj_adr_o_user[14] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output854.X mprj_adr_o_user[15] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output855.X mprj_adr_o_user[16] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output856.X mprj_adr_o_user[17] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output857.X mprj_adr_o_user[18] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output858.X mprj_adr_o_user[19] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output859.X mprj_adr_o_user[1] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output860.X mprj_adr_o_user[20] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output861.X mprj_adr_o_user[21] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output862.X mprj_adr_o_user[22] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output863.X mprj_adr_o_user[23] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output864.X mprj_adr_o_user[24] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output865.X mprj_adr_o_user[25] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output866.X mprj_adr_o_user[26] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output867.X mprj_adr_o_user[27] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output868.X mprj_adr_o_user[28] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output869.X mprj_adr_o_user[29] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output870.X mprj_adr_o_user[2] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output871.X mprj_adr_o_user[30] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output872.X mprj_adr_o_user[31] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output873.X mprj_adr_o_user[3] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output874.X mprj_adr_o_user[4] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output875.X mprj_adr_o_user[5] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output876.X mprj_adr_o_user[6] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output877.X mprj_adr_o_user[7] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output878.X mprj_adr_o_user[8] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output879.X mprj_adr_o_user[9] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output880.X mprj_cyc_o_user (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output881.X mprj_dat_i_core[0] (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT output882.X mprj_dat_i_core[10] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output883.X mprj_dat_i_core[11] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output884.X mprj_dat_i_core[12] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output885.X mprj_dat_i_core[13] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output886.X mprj_dat_i_core[14] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output887.X mprj_dat_i_core[15] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output888.X mprj_dat_i_core[16] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output889.X mprj_dat_i_core[17] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output890.X mprj_dat_i_core[18] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output891.X mprj_dat_i_core[19] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output892.X mprj_dat_i_core[1] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output893.X mprj_dat_i_core[20] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output894.X mprj_dat_i_core[21] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output895.X mprj_dat_i_core[22] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output896.X mprj_dat_i_core[23] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output897.X mprj_dat_i_core[24] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output898.X mprj_dat_i_core[25] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output899.X mprj_dat_i_core[26] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output900.X mprj_dat_i_core[27] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output901.X mprj_dat_i_core[28] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output902.X mprj_dat_i_core[29] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output903.X mprj_dat_i_core[2] (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT output904.X mprj_dat_i_core[30] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output905.X mprj_dat_i_core[31] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output906.X mprj_dat_i_core[3] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output907.X mprj_dat_i_core[4] (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT output908.X mprj_dat_i_core[5] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output909.X mprj_dat_i_core[6] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output910.X mprj_dat_i_core[7] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output911.X mprj_dat_i_core[8] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output912.X mprj_dat_i_core[9] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output913.X mprj_dat_o_user[0] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output914.X mprj_dat_o_user[10] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output915.X mprj_dat_o_user[11] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output916.X mprj_dat_o_user[12] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output917.X mprj_dat_o_user[13] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output918.X mprj_dat_o_user[14] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output919.X mprj_dat_o_user[15] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output920.X mprj_dat_o_user[16] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output921.X mprj_dat_o_user[17] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output922.X mprj_dat_o_user[18] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output923.X mprj_dat_o_user[19] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output924.X mprj_dat_o_user[1] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output925.X mprj_dat_o_user[20] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output926.X mprj_dat_o_user[21] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output927.X mprj_dat_o_user[22] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output928.X mprj_dat_o_user[23] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output929.X mprj_dat_o_user[24] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output930.X mprj_dat_o_user[25] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output931.X mprj_dat_o_user[26] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output932.X mprj_dat_o_user[27] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output933.X mprj_dat_o_user[28] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output934.X mprj_dat_o_user[29] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output935.X mprj_dat_o_user[2] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output936.X mprj_dat_o_user[30] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output937.X mprj_dat_o_user[31] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output938.X mprj_dat_o_user[3] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output939.X mprj_dat_o_user[4] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output940.X mprj_dat_o_user[5] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output941.X mprj_dat_o_user[6] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output942.X mprj_dat_o_user[7] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output943.X mprj_dat_o_user[8] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output944.X mprj_dat_o_user[9] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output945.X mprj_sel_o_user[0] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output946.X mprj_sel_o_user[1] (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output947.X mprj_sel_o_user[2] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output948.X mprj_sel_o_user[3] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output949.X mprj_stb_o_user (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output950.X mprj_we_o_user (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output951.X user1_vcc_powergood (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output952.X user1_vdd_powergood (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output953.X user2_vcc_powergood (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output954.X user2_vdd_powergood (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output955.X user_clock (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output956.X user_clock2 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT output957.X user_irq[0] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output958.X user_irq[1] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output959.X user_irq[2] (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT output960.X user_reset (0.004:0.004:0.004) (0.004:0.004:0.004)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _000_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.076:0.077:0.078) (0.086:0.088:0.089)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _001_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.081:0.081:0.082) (0.092:0.094:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _002_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.148:0.149:0.150) (0.097:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _003_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.168:0.168:0.168) (0.108:0.110:0.111)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _004_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.174:0.174:0.174) (0.111:0.112:0.113)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _005_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.156:0.157:0.157) (0.097:0.098:0.099)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _006_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.183:0.184:0.184) (0.120:0.121:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _007_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.156:0.156:0.156) (0.095:0.097:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _008_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.167:0.167:0.167) (0.102:0.103:0.104)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _009_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.162:0.162:0.162) (0.100:0.101:0.102)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _010_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.143:0.144:0.145) (0.090:0.092:0.093)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _011_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.141:0.143:0.144) (0.087:0.088:0.090)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _012_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.123:0.125:0.127) (0.074:0.076:0.078)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _013_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.123:0.125:0.126) (0.078:0.080:0.081)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _014_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.089:0.089:0.090) (0.101:0.103:0.104)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _015_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.099:0.100:0.100) (0.069:0.070:0.071)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _016_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.089:0.090:0.091) (0.061:0.062:0.063)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _017_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.090:0.090:0.091) (0.103:0.104:0.105)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _018_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.095:0.095:0.096) (0.108:0.110:0.111)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _019_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.106:0.107:0.109) (0.122:0.123:0.125)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _020_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.145:0.146:0.148) (0.093:0.094:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _021_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.139:0.141:0.143) (0.090:0.091:0.092)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _022_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.158:0.159:0.160) (0.100:0.101:0.103)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _023_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.155:0.155:0.155) (0.100:0.101:0.102)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _024_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.185:0.185:0.185) (0.123:0.124:0.125)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _025_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.184:0.184:0.185) (0.123:0.124:0.125)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _026_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.196:0.196:0.196) (0.129:0.129:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _027_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.191:0.191:0.191) (0.127:0.127:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _028_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.195:0.196:0.196) (0.129:0.129:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _029_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.205:0.205:0.205) (0.132:0.132:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _030_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.194:0.194:0.194) (0.125:0.126:0.127)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _031_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.169:0.170:0.170) (0.113:0.113:0.114)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _032_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.113:0.113:0.113) (0.129:0.130:0.131)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _033_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.104:0.104:0.104) (0.118:0.119:0.120)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _034_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.099:0.100:0.100) (0.114:0.115:0.116)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _035_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.097:0.098:0.098) (0.070:0.070:0.071)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _036_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.089:0.089:0.089) (0.063:0.064:0.065)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _037_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.100:0.101:0.102) (0.070:0.071:0.071)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _038_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.097:0.099:0.101) (0.069:0.069:0.070)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _039_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.096:0.097:0.098) (0.069:0.069:0.070)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _040_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.084:0.084:0.085) (0.058:0.059:0.060)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _041_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.076:0.077:0.077) (0.052:0.053:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _042_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.077:0.077:0.078) (0.053:0.054:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _043_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.094:0.095:0.095) (0.066:0.067:0.068)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _044_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.096:0.098:0.100) (0.109:0.110:0.111)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _045_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.105:0.106:0.107) (0.121:0.122:0.123)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _046_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.119:0.120:0.122) (0.136:0.137:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _047_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.123:0.123:0.124) (0.139:0.140:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _048_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.171:0.171:0.172) (0.111:0.112:0.113)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _049_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.109:0.109:0.110) (0.117:0.119:0.120)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _050_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.113:0.114:0.115) (0.122:0.124:0.125)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _051_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.166:0.166:0.167) (0.092:0.094:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _052_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.123:0.124:0.126) (0.133:0.135:0.136)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _053_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.128:0.130:0.132) (0.139:0.140:0.142)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _054_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.117:0.121:0.124) (0.127:0.128:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _055_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.128:0.131:0.134) (0.138:0.139:0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _056_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.110:0.112:0.115) (0.115:0.117:0.119)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _057_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.203:0.206:0.208) (0.133:0.134:0.135)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _058_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.185:0.187:0.189) (0.126:0.127:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _059_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.173:0.177:0.181) (0.112:0.114:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _060_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.155:0.159:0.164) (0.102:0.103:0.104)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _061_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.126:0.129:0.132) (0.136:0.137:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _062_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.133:0.137:0.141) (0.088:0.089:0.090)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _063_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.138:0.142:0.145) (0.091:0.092:0.093)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _064_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.131:0.134:0.136) (0.139:0.140:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _065_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.128:0.131:0.134) (0.134:0.136:0.137)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _066_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.138:0.143:0.149) (0.146:0.148:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _067_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.155:0.161:0.167) (0.165:0.167:0.169)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _068_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.173:0.180:0.188) (0.183:0.185:0.186)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _069_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.171:0.178:0.185) (0.181:0.183:0.184)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _070_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.173:0.181:0.189) (0.185:0.186:0.187)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _071_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.153:0.162:0.170) (0.097:0.097:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _072_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.140:0.148:0.156) (0.147:0.149:0.151)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _073_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.134:0.142:0.150) (0.142:0.144:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _074_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.126:0.132:0.138) (0.083:0.085:0.086)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _075_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.123:0.129:0.135) (0.131:0.133:0.136)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _076_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.225:0.232:0.240) (0.143:0.145:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _077_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.124:0.129:0.134) (0.128:0.130:0.131)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _078_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.124:0.128:0.132) (0.130:0.132:0.134)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _079_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.133:0.138:0.143) (0.138:0.139:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _080_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.172:0.174:0.175) (0.115:0.116:0.117)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _081_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.176:0.177:0.178) (0.119:0.120:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _082_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.172:0.175:0.178) (0.110:0.112:0.114)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _083_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.139:0.142:0.145) (0.152:0.153:0.155)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _084_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.140:0.144:0.149) (0.153:0.154:0.156)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _085_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.129:0.132:0.135) (0.140:0.142:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _086_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.161:0.162:0.163) (0.110:0.111:0.112)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _087_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.201:0.205:0.208) (0.134:0.135:0.136)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _088_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.196:0.199:0.203) (0.131:0.132:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _089_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.170:0.172:0.174) (0.112:0.113:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _090_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.153:0.154:0.155) (0.102:0.103:0.104)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _091_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.116:0.117:0.118) (0.134:0.135:0.137)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _092_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.101:0.106:0.110) (0.111:0.113:0.114)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _093_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.117:0.119:0.121) (0.079:0.079:0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _094_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.105:0.108:0.110) (0.069:0.070:0.071)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _095_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.107:0.110:0.112) (0.071:0.072:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _096_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.094:0.096:0.099) (0.062:0.062:0.063)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _097_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.095:0.100:0.104) (0.064:0.065:0.066)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _098_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.113:0.116:0.120) (0.075:0.075:0.076)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _099_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.108:0.111:0.115) (0.073:0.074:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _100_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.103:0.106:0.108) (0.072:0.073:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _101_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.106:0.110:0.113) (0.073:0.073:0.074)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _102_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.122:0.123:0.123) (0.139:0.140:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _103_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.111:0.112:0.112) (0.124:0.126:0.127)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _104_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.122:0.124:0.125) (0.137:0.139:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _105_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.114:0.115:0.117) (0.127:0.129:0.131)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _106_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.147:0.149:0.151) (0.095:0.096:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _107_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.102:0.105:0.107) (0.069:0.071:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _108_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.098:0.100:0.102) (0.111:0.111:0.112)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _109_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.094:0.097:0.100) (0.108:0.109:0.110)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _110_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.095:0.099:0.103) (0.065:0.066:0.068)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _111_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.073:0.074:0.074) (0.084:0.085:0.086)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _112_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.083:0.084:0.084) (0.058:0.059:0.060)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _113_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.081:0.082:0.082) (0.057:0.057:0.058)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _114_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.093:0.100:0.107) (0.051:0.057:0.063)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _115_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.099:0.103:0.108) (0.057:0.061:0.065)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _116_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.108:0.111:0.114) (0.062:0.068:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _117_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.106:0.109:0.112) (0.061:0.065:0.070)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _118_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.095:0.097:0.100) (0.053:0.056:0.060)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _119_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.116:0.118:0.119) (0.068:0.073:0.078)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _120_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.111:0.112:0.114) (0.067:0.069:0.070)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _121_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.092:0.097:0.101) (0.051:0.056:0.060)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _122_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.101:0.101:0.101) (0.058:0.061:0.063)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _123_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.110:0.110:0.110) (0.102:0.107:0.112)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _124_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.100:0.107:0.114) (0.057:0.062:0.067)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _125_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.106:0.111:0.116) (0.061:0.067:0.074)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _126_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.098:0.100:0.103) (0.056:0.059:0.063)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _127_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.096:0.101:0.106) (0.054:0.060:0.065)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _128_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.097:0.097:0.098) (0.054:0.057:0.061)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _129_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.108:0.110:0.111) (0.063:0.066:0.070)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _130_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.091:0.095:0.099) (0.056:0.058:0.060)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _131_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.108:0.110:0.111) (0.063:0.066:0.069)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _132_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.109:0.109:0.110) (0.064:0.067:0.069)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _133_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.112:0.112:0.112) (0.066:0.068:0.071)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _134_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.099:0.103:0.108) (0.056:0.061:0.066)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _135_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.100:0.102:0.105) (0.060:0.062:0.064)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _136_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.101:0.106:0.112) (0.094:0.105:0.116)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _137_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.125:0.128:0.131) (0.120:0.128:0.136)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _138_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.121:0.124:0.126) (0.117:0.124:0.131)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _139_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.120:0.122:0.123) (0.118:0.122:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _140_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.114:0.116:0.118) (0.113:0.116:0.119)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _141_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.110:0.112:0.114) (0.104:0.111:0.118)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _142_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.109:0.111:0.114) (0.108:0.111:0.114)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _143_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.105:0.111:0.116) (0.098:0.109:0.119)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _144_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.107:0.111:0.116) (0.100:0.109:0.119)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _145_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.104:0.106:0.108) (0.101:0.105:0.109)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _146_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.100:0.110:0.120) (0.090:0.105:0.119)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _147_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.074:0.074:0.075) (0.051:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _148_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.074:0.074:0.075) (0.084:0.085:0.086)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _149_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.087:0.088:0.089) (0.100:0.101:0.103)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _150_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.082:0.082:0.083) (0.095:0.096:0.097)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _151_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.081:0.081:0.081) (0.056:0.057:0.057)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _152_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.091:0.092:0.092) (0.104:0.105:0.107)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _153_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.089:0.090:0.091) (0.102:0.103:0.105)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _154_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.100:0.101:0.102) (0.114:0.115:0.116)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _155_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.107:0.108:0.109) (0.123:0.124:0.125)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _156_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.109:0.110:0.112) (0.124:0.125:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _157_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.084:0.085:0.086) (0.096:0.098:0.099)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _158_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.096:0.098:0.101) (0.069:0.069:0.070)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _159_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.092:0.094:0.096) (0.065:0.066:0.067)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _160_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.089:0.089:0.089) (0.062:0.063:0.064)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _161_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.091:0.092:0.094) (0.103:0.104:0.106)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _162_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.084:0.085:0.086) (0.096:0.098:0.099)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _163_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.079:0.080:0.080) (0.091:0.092:0.093)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _164_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.164:0.164:0.164) (0.188:0.188:0.188)) - (IOPATH B X (0.139:0.139:0.139) (0.162:0.162:0.162)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _165_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.158:0.158:0.158) (0.167:0.167:0.167)) - (IOPATH B X (0.160:0.160:0.160) (0.177:0.177:0.177)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _166_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.138:0.138:0.138) (0.160:0.160:0.160)) - (IOPATH B X (0.130:0.130:0.130) (0.157:0.157:0.157)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _167_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.135:0.135:0.135) (0.157:0.157:0.157)) - (IOPATH B X (0.125:0.125:0.125) (0.152:0.152:0.152)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _168_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.182:0.182:0.182) (0.170:0.170:0.170)) - (IOPATH B X (0.181:0.181:0.181) (0.180:0.180:0.180)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _169_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.195:0.195:0.195) (0.176:0.176:0.176)) - (IOPATH B X (0.197:0.197:0.197) (0.190:0.190:0.190)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _170_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.218:0.218:0.218) (0.194:0.194:0.194)) - (IOPATH B X (0.218:0.218:0.218) (0.204:0.204:0.204)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _171_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.200:0.200:0.200) (0.177:0.177:0.177)) - (IOPATH B X (0.213:0.213:0.213) (0.202:0.202:0.202)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _172_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.219:0.219:0.219) (0.186:0.186:0.186)) - (IOPATH B X (0.233:0.233:0.233) (0.213:0.213:0.213)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _173_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.195:0.195:0.195) (0.176:0.176:0.176)) - (IOPATH B X (0.207:0.207:0.207) (0.199:0.199:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _174_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.254:0.254:0.254) (0.213:0.213:0.213)) - (IOPATH B X (0.256:0.256:0.256) (0.225:0.225:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _175_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.243:0.243:0.243) (0.204:0.204:0.204)) - (IOPATH B X (0.248:0.248:0.248) (0.221:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _176_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.216:0.216:0.216) (0.202:0.202:0.202)) - (IOPATH B X (0.198:0.198:0.198) (0.191:0.191:0.191)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _177_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.219:0.219:0.219) (0.182:0.182:0.182)) - (IOPATH B X (0.230:0.230:0.230) (0.208:0.208:0.208)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _178_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.192:0.192:0.192) (0.209:0.209:0.209)) - (IOPATH B X (0.198:0.198:0.198) (0.228:0.228:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _179_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.166:0.166:0.166) (0.193:0.193:0.193)) - (IOPATH B X (0.173:0.173:0.173) (0.213:0.213:0.213)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _180_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.160:0.160:0.160) (0.162:0.162:0.162)) - (IOPATH B X (0.169:0.169:0.169) (0.182:0.182:0.182)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _181_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.168:0.168:0.168) (0.195:0.195:0.195)) - (IOPATH B X (0.177:0.177:0.177) (0.217:0.217:0.217)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _182_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.194:0.194:0.194) (0.211:0.211:0.211)) - (IOPATH B X (0.200:0.200:0.200) (0.230:0.230:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _183_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.209:0.209:0.209) (0.234:0.234:0.234)) - (IOPATH B X (0.223:0.223:0.223) (0.244:0.244:0.244)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _184_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.201:0.201:0.201) (0.225:0.225:0.225)) - (IOPATH B X (0.213:0.213:0.213) (0.238:0.238:0.238)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _185_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.187:0.187:0.187) (0.209:0.209:0.209)) - (IOPATH B X (0.213:0.213:0.213) (0.238:0.238:0.238)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _186_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.177:0.177:0.177) (0.174:0.174:0.174)) - (IOPATH B X (0.204:0.204:0.204) (0.203:0.203:0.203)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _187_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.179:0.179:0.179) (0.202:0.202:0.202)) - (IOPATH B X (0.205:0.205:0.205) (0.241:0.241:0.241)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _188_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.174:0.174:0.174) (0.199:0.199:0.199)) - (IOPATH B X (0.191:0.191:0.191) (0.229:0.229:0.229)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _189_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.166:0.166:0.166) (0.192:0.192:0.192)) - (IOPATH B X (0.187:0.187:0.187) (0.227:0.227:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _190_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.180:0.180:0.180) (0.200:0.200:0.200)) - (IOPATH B X (0.198:0.198:0.198) (0.231:0.231:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _191_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.208:0.208:0.208) (0.215:0.215:0.215)) - (IOPATH B X (0.222:0.222:0.222) (0.243:0.243:0.243)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _192_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.210:0.210:0.210) (0.216:0.216:0.216)) - (IOPATH B X (0.222:0.222:0.222) (0.243:0.243:0.243)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _193_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.204:0.204:0.204) (0.214:0.214:0.214)) - (IOPATH B X (0.217:0.217:0.217) (0.240:0.240:0.240)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _194_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.155:0.155:0.155) (0.158:0.158:0.158)) - (IOPATH B X (0.175:0.175:0.175) (0.188:0.188:0.188)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _195_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.182:0.182:0.182) (0.196:0.196:0.196)) - (IOPATH B X (0.203:0.203:0.203) (0.233:0.233:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _196_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.190:0.190:0.190) (0.201:0.201:0.201)) - (IOPATH B X (0.205:0.205:0.205) (0.231:0.231:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _197_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.134:0.134:0.134) (0.141:0.141:0.141)) - (IOPATH B X (0.147:0.147:0.147) (0.166:0.166:0.166)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _198_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.172:0.172:0.172) (0.190:0.190:0.190)) - (IOPATH B X (0.192:0.192:0.192) (0.225:0.225:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _199_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.196:0.196:0.196) (0.206:0.206:0.206)) - (IOPATH B X (0.214:0.214:0.214) (0.239:0.239:0.239)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _200_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.211:0.211:0.211) (0.214:0.214:0.214)) - (IOPATH B X (0.231:0.231:0.231) (0.249:0.249:0.249)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _201_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.212:0.212:0.212) (0.215:0.215:0.215)) - (IOPATH B X (0.230:0.230:0.230) (0.248:0.248:0.248)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _202_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.194:0.194:0.194) (0.165:0.165:0.165)) - (IOPATH B X (0.213:0.213:0.213) (0.200:0.200:0.200)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _203_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.186:0.186:0.186) (0.163:0.163:0.163)) - (IOPATH B X (0.218:0.218:0.218) (0.210:0.210:0.210)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _204_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.188:0.188:0.188) (0.204:0.204:0.204)) - (IOPATH B X (0.226:0.226:0.226) (0.256:0.256:0.256)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _205_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.168:0.168:0.168) (0.192:0.192:0.192)) - (IOPATH B X (0.206:0.206:0.206) (0.235:0.235:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _206_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.137:0.137:0.137) (0.144:0.144:0.144)) - (IOPATH B X (0.173:0.173:0.173) (0.185:0.185:0.185)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _207_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.167:0.167:0.167) (0.163:0.163:0.163)) - (IOPATH B X (0.208:0.208:0.208) (0.205:0.205:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _208_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.165:0.165:0.165) (0.162:0.162:0.162)) - (IOPATH B X (0.208:0.208:0.208) (0.208:0.208:0.208)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _209_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.147:0.147:0.147) (0.150:0.150:0.150)) - (IOPATH B X (0.190:0.190:0.190) (0.196:0.196:0.196)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _210_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.157:0.157:0.157) (0.156:0.156:0.156)) - (IOPATH B X (0.204:0.204:0.204) (0.205:0.205:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _211_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.156:0.156:0.156) (0.155:0.155:0.155)) - (IOPATH B X (0.202:0.202:0.202) (0.203:0.203:0.203)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _212_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.178:0.178:0.178) (0.195:0.195:0.195)) - (IOPATH B X (0.225:0.225:0.225) (0.245:0.245:0.245)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _213_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.170:0.170:0.170) (0.189:0.189:0.189)) - (IOPATH B X (0.215:0.215:0.215) (0.240:0.240:0.240)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _214_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.174:0.174:0.174) (0.191:0.191:0.191)) - (IOPATH B X (0.222:0.222:0.222) (0.256:0.256:0.256)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _215_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.167:0.167:0.167) (0.187:0.187:0.187)) - (IOPATH B X (0.204:0.204:0.204) (0.239:0.239:0.239)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _216_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.171:0.171:0.171) (0.150:0.150:0.150)) - (IOPATH B X (0.194:0.194:0.194) (0.190:0.190:0.190)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _217_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.192:0.192:0.192) (0.201:0.201:0.201)) - (IOPATH B X (0.211:0.211:0.211) (0.236:0.236:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _218_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.207:0.207:0.207) (0.210:0.210:0.210)) - (IOPATH B X (0.224:0.224:0.224) (0.243:0.243:0.243)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _219_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.184:0.184:0.184) (0.196:0.196:0.196)) - (IOPATH B X (0.202:0.202:0.202) (0.229:0.229:0.229)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _220_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.178:0.178:0.178) (0.192:0.192:0.192)) - (IOPATH B X (0.195:0.195:0.195) (0.226:0.226:0.226)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _221_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.168:0.168:0.168) (0.186:0.186:0.186)) - (IOPATH B X (0.185:0.185:0.185) (0.219:0.219:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _222_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.146:0.146:0.146) (0.173:0.173:0.173)) - (IOPATH B X (0.174:0.174:0.174) (0.215:0.215:0.215)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _223_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.162:0.162:0.162) (0.183:0.183:0.183)) - (IOPATH B X (0.199:0.199:0.199) (0.235:0.235:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _224_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.214:0.214:0.214) (0.216:0.216:0.216)) - (IOPATH B X (0.260:0.260:0.260) (0.279:0.279:0.279)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _225_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.179:0.179:0.179) (0.159:0.159:0.159)) - (IOPATH B X (0.220:0.220:0.220) (0.207:0.207:0.207)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _226_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.195:0.195:0.195) (0.206:0.206:0.206)) - (IOPATH B X (0.247:0.247:0.247) (0.260:0.260:0.260)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _227_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.170:0.170:0.170) (0.190:0.190:0.190)) - (IOPATH B X (0.214:0.214:0.214) (0.250:0.250:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _228_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.168:0.168:0.168) (0.190:0.190:0.190)) - (IOPATH B X (0.219:0.219:0.219) (0.242:0.242:0.242)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _229_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.176:0.176:0.176) (0.196:0.196:0.196)) - (IOPATH B X (0.226:0.226:0.226) (0.246:0.246:0.246)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _230_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.176:0.176:0.176) (0.157:0.157:0.157)) - (IOPATH B X (0.221:0.221:0.221) (0.205:0.205:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _231_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.158:0.158:0.158) (0.184:0.184:0.184)) - (IOPATH B X (0.210:0.210:0.210) (0.236:0.236:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _232_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.182:0.182:0.182) (0.197:0.197:0.197)) - (IOPATH B X (0.235:0.235:0.235) (0.252:0.252:0.252)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _233_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.205:0.205:0.205) (0.214:0.214:0.214)) - (IOPATH B X (0.246:0.246:0.246) (0.258:0.258:0.258)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _234_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.241:0.241:0.241) (0.187:0.187:0.187)) - (IOPATH B X (0.269:0.269:0.269) (0.232:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _235_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.231:0.231:0.231) (0.185:0.185:0.185)) - (IOPATH B X (0.261:0.261:0.261) (0.230:0.230:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _236_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.221:0.221:0.221) (0.180:0.180:0.180)) - (IOPATH B X (0.262:0.262:0.262) (0.237:0.237:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _237_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.220:0.220:0.220) (0.180:0.180:0.180)) - (IOPATH B X (0.261:0.261:0.261) (0.237:0.237:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _238_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.232:0.232:0.232) (0.188:0.188:0.188)) - (IOPATH B X (0.274:0.274:0.274) (0.246:0.246:0.246)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _239_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.257:0.257:0.257) (0.197:0.197:0.197)) - (IOPATH B X (0.294:0.294:0.294) (0.250:0.250:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _240_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.259:0.259:0.259) (0.194:0.194:0.194)) - (IOPATH B X (0.293:0.293:0.293) (0.245:0.245:0.245)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _241_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.295:0.295:0.295) (0.210:0.210:0.210)) - (IOPATH B X (0.321:0.321:0.321) (0.252:0.252:0.252)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _242_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.308:0.308:0.308) (0.212:0.212:0.212)) - (IOPATH B X (0.332:0.332:0.332) (0.251:0.251:0.251)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _243_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.306:0.306:0.306) (0.215:0.215:0.215)) - (IOPATH B X (0.332:0.332:0.332) (0.257:0.257:0.257)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _244_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.290:0.290:0.290) (0.211:0.211:0.211)) - (IOPATH B X (0.330:0.330:0.330) (0.267:0.267:0.267)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _245_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.312:0.312:0.312) (0.217:0.217:0.217)) - (IOPATH B X (0.344:0.344:0.344) (0.266:0.266:0.266)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _246_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.338:0.338:0.338) (0.217:0.217:0.217)) - (IOPATH B X (0.354:0.354:0.354) (0.250:0.250:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _247_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.358:0.358:0.358) (0.225:0.225:0.225)) - (IOPATH B X (0.386:0.386:0.386) (0.269:0.269:0.269)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _248_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.406:0.406:0.406) (0.247:0.247:0.247)) - (IOPATH B X (0.429:0.429:0.429) (0.287:0.287:0.287)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _249_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.385:0.385:0.385) (0.232:0.232:0.232)) - (IOPATH B X (0.417:0.417:0.417) (0.282:0.282:0.282)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _250_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.394:0.394:0.394) (0.229:0.229:0.229)) - (IOPATH B X (0.433:0.433:0.433) (0.286:0.286:0.286)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _251_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.404:0.404:0.404) (0.228:0.228:0.228)) - (IOPATH B X (0.441:0.441:0.441) (0.283:0.283:0.283)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _252_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.415:0.415:0.415) (0.243:0.243:0.243)) - (IOPATH B X (0.452:0.452:0.452) (0.297:0.297:0.297)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _253_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.420:0.420:0.420) (0.244:0.244:0.244)) - (IOPATH B X (0.470:0.470:0.470) (0.313:0.313:0.313)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _254_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.350:0.350:0.350) (0.214:0.214:0.214)) - (IOPATH B X (0.399:0.399:0.399) (0.283:0.283:0.283)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _255_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.362:0.362:0.362) (0.226:0.226:0.226)) - (IOPATH B X (0.399:0.399:0.399) (0.281:0.281:0.281)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _256_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.372:0.372:0.372) (0.235:0.235:0.235)) - (IOPATH B X (0.407:0.407:0.407) (0.288:0.288:0.288)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _257_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.294:0.294:0.294) (0.217:0.217:0.217)) - (IOPATH B X (0.310:0.310:0.310) (0.247:0.247:0.247)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _258_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.266:0.266:0.266) (0.209:0.209:0.209)) - (IOPATH B X (0.290:0.290:0.290) (0.245:0.245:0.245)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _259_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.290:0.290:0.290) (0.224:0.224:0.224)) - (IOPATH B X (0.305:0.305:0.305) (0.249:0.249:0.249)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _260_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.204:0.204:0.204) (0.171:0.171:0.171)) - (IOPATH B X (0.236:0.236:0.236) (0.219:0.219:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _261_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.223:0.223:0.223) (0.194:0.194:0.194)) - (IOPATH B X (0.247:0.247:0.247) (0.229:0.229:0.229)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _262_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.260:0.260:0.260) (0.212:0.212:0.212)) - (IOPATH B X (0.275:0.275:0.275) (0.238:0.238:0.238)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _263_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.304:0.304:0.304) (0.235:0.235:0.235)) - (IOPATH B X (0.314:0.314:0.314) (0.254:0.254:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _264_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.347:0.347:0.347) (0.256:0.256:0.256)) - (IOPATH B X (0.351:0.351:0.351) (0.268:0.268:0.268)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _265_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.317:0.317:0.317) (0.244:0.244:0.244)) - (IOPATH B X (0.322:0.322:0.322) (0.257:0.257:0.257)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _266_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.235:0.235:0.235) (0.202:0.202:0.202)) - (IOPATH B X (0.246:0.246:0.246) (0.223:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _267_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.250:0.250:0.250) (0.203:0.203:0.203)) - (IOPATH B X (0.259:0.259:0.259) (0.224:0.224:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _268_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.259:0.259:0.259) (0.211:0.211:0.211)) - (IOPATH B X (0.261:0.261:0.261) (0.224:0.224:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _269_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.227:0.227:0.227) (0.195:0.195:0.195)) - (IOPATH B X (0.238:0.238:0.238) (0.217:0.217:0.217)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _270_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.208:0.208:0.208) (0.191:0.191:0.191)) - (IOPATH B X (0.214:0.214:0.214) (0.205:0.205:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _271_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.213:0.213:0.213) (0.198:0.198:0.198)) - (IOPATH B X (0.214:0.214:0.214) (0.206:0.206:0.206)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _272_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.275:0.275:0.275) (0.219:0.219:0.219)) - (IOPATH B X (0.278:0.278:0.278) (0.232:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _273_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.258:0.258:0.258) (0.209:0.209:0.209)) - (IOPATH B X (0.260:0.260:0.260) (0.222:0.222:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _274_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.295:0.295:0.295) (0.233:0.233:0.233)) - (IOPATH B X (0.287:0.287:0.287) (0.234:0.234:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _275_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.291:0.291:0.291) (0.228:0.228:0.228)) - (IOPATH B X (0.293:0.293:0.293) (0.240:0.240:0.240)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _276_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.276:0.276:0.276) (0.220:0.220:0.220)) - (IOPATH B X (0.271:0.271:0.271) (0.226:0.226:0.226)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _277_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.297:0.297:0.297) (0.230:0.230:0.230)) - (IOPATH B X (0.294:0.294:0.294) (0.237:0.237:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _278_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.328:0.328:0.328) (0.238:0.238:0.238)) - (IOPATH B X (0.329:0.329:0.329) (0.251:0.251:0.251)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _279_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.318:0.318:0.318) (0.242:0.242:0.242)) - (IOPATH B X (0.311:0.311:0.311) (0.245:0.245:0.245)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _280_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.253:0.253:0.253) (0.222:0.222:0.222)) - (IOPATH B X (0.234:0.234:0.234) (0.211:0.211:0.211)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _281_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.262:0.262:0.262) (0.213:0.213:0.213)) - (IOPATH B X (0.261:0.261:0.261) (0.224:0.224:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _282_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.218:0.218:0.218) (0.259:0.259:0.259)) - (IOPATH B X (0.206:0.206:0.206) (0.244:0.244:0.244)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _283_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.210:0.210:0.210) (0.210:0.210:0.210)) - (IOPATH B X (0.209:0.209:0.209) (0.198:0.198:0.198)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _284_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.229:0.229:0.229) (0.211:0.211:0.211)) - (IOPATH B X (0.217:0.217:0.217) (0.204:0.204:0.204)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _285_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.204:0.204:0.204) (0.175:0.175:0.175)) - (IOPATH B X (0.220:0.220:0.220) (0.205:0.205:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _286_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.250:0.250:0.250) (0.226:0.226:0.226)) - (IOPATH B X (0.228:0.228:0.228) (0.207:0.207:0.207)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _287_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.221:0.221:0.221) (0.182:0.182:0.182)) - (IOPATH B X (0.233:0.233:0.233) (0.208:0.208:0.208)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _288_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.246:0.246:0.246) (0.219:0.219:0.219)) - (IOPATH B X (0.227:0.227:0.227) (0.207:0.207:0.207)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _289_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.273:0.273:0.273) (0.237:0.237:0.237)) - (IOPATH B X (0.255:0.255:0.255) (0.223:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _290_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.289:0.289:0.289) (0.237:0.237:0.237)) - (IOPATH B X (0.272:0.272:0.272) (0.227:0.227:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _291_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.183:0.183:0.183) (0.231:0.231:0.231)) - (IOPATH B X (0.138:0.138:0.138) (0.163:0.163:0.163)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _292_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.162:0.162:0.162) (0.203:0.203:0.203)) - (IOPATH B X (0.127:0.127:0.127) (0.155:0.155:0.155)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _293_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.168:0.168:0.168) (0.200:0.200:0.200)) - (IOPATH B X (0.137:0.137:0.137) (0.161:0.161:0.161)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _294_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.529:0.529:0.529) (0.354:0.354:0.354)) - (IOPATH B X (0.490:0.490:0.490) (0.314:0.314:0.314)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_4") - (INSTANCE _295_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.681:0.681:0.681) (0.391:0.391:0.391)) - (IOPATH B X (0.423:0.423:0.423) (0.272:0.272:0.272)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _296_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.408:0.408:0.408) (0.221:0.221:0.221)) - (IOPATH B X (0.530:0.530:0.530) (0.402:0.402:0.402)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _297_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.274:0.274:0.274) (0.339:0.339:0.339)) - (IOPATH B X (0.191:0.191:0.191) (0.223:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _298_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.442:0.442:0.442) (0.255:0.255:0.255)) - (IOPATH B X (0.563:0.563:0.563) (0.384:0.384:0.384)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _299_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.451:0.451:0.451) (0.243:0.243:0.243)) - (IOPATH B X (0.554:0.554:0.554) (0.382:0.382:0.382)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _300_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.445:0.445:0.445) (0.240:0.240:0.240)) - (IOPATH B X (0.571:0.571:0.571) (0.420:0.420:0.420)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _301_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.459:0.459:0.459) (0.244:0.244:0.244)) - (IOPATH B X (0.579:0.579:0.579) (0.415:0.415:0.415)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _302_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.465:0.465:0.465) (0.245:0.245:0.245)) - (IOPATH B X (0.567:0.567:0.567) (0.390:0.390:0.390)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _303_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.458:0.458:0.458) (0.242:0.242:0.242)) - (IOPATH B X (0.569:0.569:0.569) (0.398:0.398:0.398)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _304_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.508:0.508:0.508) (0.264:0.264:0.264)) - (IOPATH B X (0.609:0.609:0.609) (0.402:0.402:0.402)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _305_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.183:0.183:0.183) (0.168:0.168:0.168)) - (IOPATH B X (0.330:0.330:0.330) (0.455:0.455:0.455)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _306_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.217:0.217:0.217) (0.185:0.185:0.185)) - (IOPATH B X (0.353:0.353:0.353) (0.416:0.416:0.416)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _307_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.197:0.197:0.197) (0.219:0.219:0.219)) - (IOPATH B X (0.336:0.336:0.336) (0.502:0.502:0.502)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _308_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.223:0.223:0.223) (0.187:0.187:0.187)) - (IOPATH B X (0.366:0.366:0.366) (0.436:0.436:0.436)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _309_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.191:0.191:0.191) (0.211:0.211:0.211)) - (IOPATH B X (0.354:0.354:0.354) (0.563:0.563:0.563)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _310_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.212:0.212:0.212) (0.183:0.183:0.183)) - (IOPATH B X (0.341:0.341:0.341) (0.386:0.386:0.386)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _311_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.389:0.389:0.389) (0.236:0.236:0.236)) - (IOPATH B X (0.505:0.505:0.505) (0.422:0.422:0.422)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _312_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.275:0.275:0.275) (0.200:0.200:0.200)) - (IOPATH B X (0.404:0.404:0.404) (0.398:0.398:0.398)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _313_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.278:0.278:0.278) (0.202:0.202:0.202)) - (IOPATH B X (0.398:0.398:0.398) (0.379:0.379:0.379)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _314_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.437:0.437:0.437) (0.262:0.262:0.262)) - (IOPATH B X (0.538:0.538:0.538) (0.391:0.391:0.391)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _315_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.414:0.414:0.414) (0.208:0.208:0.208)) - (IOPATH B X (0.493:0.493:0.493) (0.298:0.298:0.298)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _316_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.452:0.452:0.452) (0.243:0.243:0.243)) - (IOPATH B X (0.534:0.534:0.534) (0.340:0.340:0.340)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _317_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.267:0.267:0.267) (0.194:0.194:0.194)) - (IOPATH B X (0.395:0.395:0.395) (0.369:0.369:0.369)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _318_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.418:0.418:0.418) (0.243:0.243:0.243)) - (IOPATH B X (0.529:0.529:0.529) (0.385:0.385:0.385)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _319_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.423:0.423:0.423) (0.249:0.249:0.249)) - (IOPATH B X (0.523:0.523:0.523) (0.381:0.381:0.381)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _320_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.232:0.232:0.232) (0.181:0.181:0.181)) - (IOPATH B X (0.370:0.370:0.370) (0.376:0.376:0.376)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _321_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.233:0.233:0.233) (0.183:0.183:0.183)) - (IOPATH B X (0.364:0.364:0.364) (0.385:0.385:0.385)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _322_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.457:0.457:0.457) (0.264:0.264:0.264)) - (IOPATH B X (0.547:0.547:0.547) (0.371:0.371:0.371)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _323_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.225:0.225:0.225) (0.179:0.179:0.179)) - (IOPATH B X (0.366:0.366:0.366) (0.388:0.388:0.388)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _324_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.247:0.247:0.247) (0.189:0.189:0.189)) - (IOPATH B X (0.381:0.381:0.381) (0.371:0.371:0.371)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _325_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.412:0.412:0.412) (0.260:0.260:0.260)) - (IOPATH B X (0.516:0.516:0.516) (0.397:0.397:0.397)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _326_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.215:0.215:0.215) (0.174:0.174:0.174)) - (IOPATH B X (0.353:0.353:0.353) (0.388:0.388:0.388)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _327_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.402:0.402:0.402) (0.233:0.233:0.233)) - (IOPATH B X (0.495:0.495:0.495) (0.348:0.348:0.348)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _328_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.292:0.292:0.292) (0.209:0.209:0.209)) - (IOPATH B X (0.409:0.409:0.409) (0.378:0.378:0.378)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _329_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.183:0.183:0.183) (0.166:0.166:0.166)) - (IOPATH B X (0.316:0.316:0.316) (0.374:0.374:0.374)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _330_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.190:0.190:0.190) (0.171:0.171:0.171)) - (IOPATH B X (0.334:0.334:0.334) (0.435:0.435:0.435)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _331_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.215:0.215:0.215) (0.186:0.186:0.186)) - (IOPATH B X (0.353:0.353:0.353) (0.448:0.448:0.448)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _332_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.220:0.220:0.220) (0.189:0.189:0.189)) - (IOPATH B X (0.358:0.358:0.358) (0.467:0.467:0.467)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _333_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.229:0.229:0.229) (0.195:0.195:0.195)) - (IOPATH B X (0.365:0.365:0.365) (0.433:0.433:0.433)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _334_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.214:0.214:0.214) (0.185:0.185:0.185)) - (IOPATH B X (0.348:0.348:0.348) (0.419:0.419:0.419)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _335_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.189:0.189:0.189) (0.204:0.204:0.204)) - (IOPATH B X (0.339:0.339:0.339) (0.479:0.479:0.479)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _336_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.193:0.193:0.193) (0.168:0.168:0.168)) - (IOPATH B X (0.319:0.319:0.319) (0.357:0.357:0.357)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _337_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.343:0.343:0.343) (0.218:0.218:0.218)) - (IOPATH B X (0.474:0.474:0.474) (0.417:0.417:0.417)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _338_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.392:0.392:0.392) (0.234:0.234:0.234)) - (IOPATH B X (0.510:0.510:0.510) (0.359:0.359:0.359)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _339_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.420:0.420:0.420) (0.236:0.236:0.236)) - (IOPATH B X (0.523:0.523:0.523) (0.343:0.343:0.343)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _340_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.502:0.502:0.502) (0.273:0.273:0.273)) - (IOPATH B X (0.606:0.606:0.606) (0.432:0.432:0.432)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _341_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.501:0.501:0.501) (0.267:0.267:0.267)) - (IOPATH B X (0.601:0.601:0.601) (0.403:0.403:0.403)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _342_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.472:0.472:0.472) (0.249:0.249:0.249)) - (IOPATH B X (0.581:0.581:0.581) (0.395:0.395:0.395)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _343_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.485:0.485:0.485) (0.255:0.255:0.255)) - (IOPATH B X (0.577:0.577:0.577) (0.378:0.378:0.378)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _344_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.497:0.497:0.497) (0.268:0.268:0.268)) - (IOPATH B X (0.592:0.592:0.592) (0.398:0.398:0.398)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _345_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.495:0.495:0.495) (0.260:0.260:0.260)) - (IOPATH B X (0.582:0.582:0.582) (0.367:0.367:0.367)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _346_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.447:0.447:0.447) (0.239:0.239:0.239)) - (IOPATH B X (0.533:0.533:0.533) (0.344:0.344:0.344)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _347_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.544:0.544:0.544) (0.282:0.282:0.282)) - (IOPATH B X (0.625:0.625:0.625) (0.381:0.381:0.381)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _348_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.508:0.508:0.508) (0.261:0.261:0.261)) - (IOPATH B X (0.597:0.597:0.597) (0.371:0.371:0.371)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _349_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.408:0.408:0.408) (0.202:0.202:0.202)) - (IOPATH B X (0.494:0.494:0.494) (0.304:0.304:0.304)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _350_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.528:0.528:0.528) (0.272:0.272:0.272)) - (IOPATH B X (0.606:0.606:0.606) (0.349:0.349:0.349)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _351_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.420:0.420:0.420) (0.209:0.209:0.209)) - (IOPATH B X (0.494:0.494:0.494) (0.300:0.300:0.300)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _352_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.518:0.518:0.518) (0.267:0.267:0.267)) - (IOPATH B X (0.584:0.584:0.584) (0.348:0.348:0.348)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _353_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.519:0.519:0.519) (0.266:0.266:0.266)) - (IOPATH B X (0.583:0.583:0.583) (0.328:0.328:0.328)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _354_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.493:0.493:0.493) (0.242:0.242:0.242)) - (IOPATH B X (0.542:0.542:0.542) (0.300:0.300:0.300)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _355_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.430:0.430:0.430) (0.209:0.209:0.209)) - (IOPATH B X (0.488:0.488:0.488) (0.275:0.275:0.275)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _356_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.511:0.511:0.511) (0.243:0.243:0.243)) - (IOPATH B X (0.564:0.564:0.564) (0.309:0.309:0.309)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _357_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.505:0.505:0.505) (0.241:0.241:0.241)) - (IOPATH B X (0.550:0.550:0.550) (0.291:0.291:0.291)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _358_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.443:0.443:0.443) (0.215:0.215:0.215)) - (IOPATH B X (0.485:0.485:0.485) (0.265:0.265:0.265)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _359_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.528:0.528:0.528) (0.251:0.251:0.251)) - (IOPATH B X (0.573:0.573:0.573) (0.307:0.307:0.307)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _360_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.564:0.564:0.564) (0.286:0.286:0.286)) - (IOPATH B X (0.603:0.603:0.603) (0.329:0.329:0.329)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _361_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.556:0.556:0.556) (0.280:0.280:0.280)) - (IOPATH B X (0.590:0.590:0.590) (0.319:0.319:0.319)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _362_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.538:0.538:0.538) (0.284:0.284:0.284)) - (IOPATH B X (0.571:0.571:0.571) (0.322:0.322:0.322)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _363_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.653:0.653:0.653) (0.325:0.325:0.325)) - (IOPATH B X (0.679:0.679:0.679) (0.355:0.355:0.355)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _364_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.524:0.524:0.524) (0.277:0.277:0.277)) - (IOPATH B X (0.547:0.547:0.547) (0.307:0.307:0.307)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _365_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.510:0.510:0.510) (0.243:0.243:0.243)) - (IOPATH B X (0.530:0.530:0.530) (0.263:0.263:0.263)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _366_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.562:0.562:0.562) (0.282:0.282:0.282)) - (IOPATH B X (0.578:0.578:0.578) (0.302:0.302:0.302)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _367_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.547:0.547:0.547) (0.291:0.291:0.291)) - (IOPATH B X (0.554:0.554:0.554) (0.301:0.301:0.301)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _368_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.497:0.497:0.497) (0.283:0.283:0.283)) - (IOPATH B X (0.509:0.509:0.509) (0.293:0.293:0.293)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _369_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.458:0.458:0.458) (0.285:0.285:0.285)) - (IOPATH B X (0.361:0.361:0.361) (0.280:0.280:0.280)) - (IOPATH C X (0.355:0.355:0.355) (0.266:0.266:0.266)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _370_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.484:0.484:0.484) (0.300:0.300:0.300)) - (IOPATH B X (0.390:0.390:0.390) (0.303:0.303:0.303)) - (IOPATH C X (0.375:0.375:0.375) (0.275:0.275:0.275)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _371_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.502:0.502:0.502) (0.308:0.308:0.308)) - (IOPATH B X (0.417:0.417:0.417) (0.313:0.313:0.313)) - (IOPATH C X (0.401:0.401:0.401) (0.284:0.284:0.284)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _372_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.494:0.494:0.494) (0.295:0.295:0.295)) - (IOPATH B X (0.412:0.412:0.412) (0.312:0.312:0.312)) - (IOPATH C X (0.391:0.391:0.391) (0.274:0.274:0.274)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _373_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.520:0.520:0.520) (0.303:0.303:0.303)) - (IOPATH B X (0.440:0.440:0.440) (0.325:0.325:0.325)) - (IOPATH C X (0.422:0.422:0.422) (0.290:0.290:0.290)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _374_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.521:0.521:0.521) (0.303:0.303:0.303)) - (IOPATH B X (0.441:0.441:0.441) (0.324:0.324:0.324)) - (IOPATH C X (0.424:0.424:0.424) (0.292:0.292:0.292)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _375_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.518:0.518:0.518) (0.304:0.304:0.304)) - (IOPATH B X (0.427:0.427:0.427) (0.310:0.310:0.310)) - (IOPATH C X (0.418:0.418:0.418) (0.290:0.290:0.290)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _376_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.558:0.558:0.558) (0.315:0.315:0.315)) - (IOPATH B X (0.465:0.465:0.465) (0.322:0.322:0.322)) - (IOPATH C X (0.455:0.455:0.455) (0.300:0.300:0.300)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _377_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.534:0.534:0.534) (0.310:0.310:0.310)) - (IOPATH B X (0.456:0.456:0.456) (0.327:0.327:0.327)) - (IOPATH C X (0.441:0.441:0.441) (0.296:0.296:0.296)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _378_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.563:0.563:0.563) (0.326:0.326:0.326)) - (IOPATH B X (0.467:0.467:0.467) (0.324:0.324:0.324)) - (IOPATH C X (0.460:0.460:0.460) (0.309:0.309:0.309)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _379_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.503:0.503:0.503) (0.291:0.291:0.291)) - (IOPATH B X (0.420:0.420:0.420) (0.303:0.303:0.303)) - (IOPATH C X (0.407:0.407:0.407) (0.280:0.280:0.280)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _380_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.521:0.521:0.521) (0.301:0.301:0.301)) - (IOPATH B X (0.432:0.432:0.432) (0.304:0.304:0.304)) - (IOPATH C X (0.424:0.424:0.424) (0.290:0.290:0.290)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _381_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.540:0.540:0.540) (0.316:0.316:0.316)) - (IOPATH B X (0.431:0.431:0.431) (0.288:0.288:0.288)) - (IOPATH C X (0.437:0.437:0.437) (0.297:0.297:0.297)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _382_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.562:0.562:0.562) (0.323:0.323:0.323)) - (IOPATH B X (0.468:0.468:0.468) (0.321:0.321:0.321)) - (IOPATH C X (0.456:0.456:0.456) (0.301:0.301:0.301)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _383_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.545:0.545:0.545) (0.314:0.314:0.314)) - (IOPATH B X (0.452:0.452:0.452) (0.313:0.313:0.313)) - (IOPATH C X (0.443:0.443:0.443) (0.296:0.296:0.296)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _384_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.530:0.530:0.530) (0.306:0.306:0.306)) - (IOPATH B X (0.436:0.436:0.436) (0.303:0.303:0.303)) - (IOPATH C X (0.429:0.429:0.429) (0.290:0.290:0.290)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _385_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.502:0.502:0.502) (0.293:0.293:0.293)) - (IOPATH B X (0.413:0.413:0.413) (0.296:0.296:0.296)) - (IOPATH C X (0.403:0.403:0.403) (0.278:0.278:0.278)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _386_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.542:0.542:0.542) (0.315:0.315:0.315)) - (IOPATH B X (0.443:0.443:0.443) (0.304:0.304:0.304)) - (IOPATH C X (0.441:0.441:0.441) (0.299:0.299:0.299)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _387_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.505:0.505:0.505) (0.303:0.303:0.303)) - (IOPATH B X (0.407:0.407:0.407) (0.294:0.294:0.294)) - (IOPATH C X (0.405:0.405:0.405) (0.288:0.288:0.288)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _388_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.503:0.503:0.503) (0.301:0.301:0.301)) - (IOPATH B X (0.403:0.403:0.403) (0.291:0.291:0.291)) - (IOPATH C X (0.397:0.397:0.397) (0.280:0.280:0.280)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _389_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.539:0.539:0.539) (0.322:0.322:0.322)) - (IOPATH B X (0.427:0.427:0.427) (0.295:0.295:0.295)) - (IOPATH C X (0.425:0.425:0.425) (0.291:0.291:0.291)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _390_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.523:0.523:0.523) (0.321:0.321:0.321)) - (IOPATH B X (0.425:0.425:0.425) (0.301:0.301:0.301)) - (IOPATH C X (0.429:0.429:0.429) (0.303:0.303:0.303)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _391_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.560:0.560:0.560) (0.327:0.327:0.327)) - (IOPATH B X (0.459:0.459:0.459) (0.305:0.305:0.305)) - (IOPATH C X (0.465:0.465:0.465) (0.311:0.311:0.311)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _392_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.542:0.542:0.542) (0.311:0.311:0.311)) - (IOPATH B X (0.444:0.444:0.444) (0.300:0.300:0.300)) - (IOPATH C X (0.445:0.445:0.445) (0.300:0.300:0.300)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _393_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.580:0.580:0.580) (0.340:0.340:0.340)) - (IOPATH B X (0.470:0.470:0.470) (0.304:0.304:0.304)) - (IOPATH C X (0.478:0.478:0.478) (0.315:0.315:0.315)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _394_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.567:0.567:0.567) (0.334:0.334:0.334)) - (IOPATH B X (0.463:0.463:0.463) (0.311:0.311:0.311)) - (IOPATH C X (0.466:0.466:0.466) (0.312:0.312:0.312)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _395_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.520:0.520:0.520) (0.324:0.324:0.324)) - (IOPATH B X (0.390:0.390:0.390) (0.260:0.260:0.260)) - (IOPATH C X (0.416:0.416:0.416) (0.296:0.296:0.296)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _396_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.512:0.512:0.512) (0.326:0.326:0.326)) - (IOPATH B X (0.380:0.380:0.380) (0.257:0.257:0.257)) - (IOPATH C X (0.408:0.408:0.408) (0.296:0.296:0.296)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _397_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.489:0.489:0.489) (0.301:0.301:0.301)) - (IOPATH B X (0.360:0.360:0.360) (0.245:0.245:0.245)) - (IOPATH C X (0.390:0.390:0.390) (0.287:0.287:0.287)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _398_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.494:0.494:0.494) (0.317:0.317:0.317)) - (IOPATH B X (0.361:0.361:0.361) (0.246:0.246:0.246)) - (IOPATH C X (0.392:0.392:0.392) (0.290:0.290:0.290)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _399_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.496:0.496:0.496) (0.324:0.324:0.324)) - (IOPATH B X (0.353:0.353:0.353) (0.242:0.242:0.242)) - (IOPATH C X (0.388:0.388:0.388) (0.291:0.291:0.291)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _400_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.490:0.490:0.490) (0.321:0.321:0.321)) - (IOPATH B X (0.346:0.346:0.346) (0.240:0.240:0.240)) - (IOPATH C X (0.380:0.380:0.380) (0.288:0.288:0.288)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _401_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.439:0.439:0.439) (0.299:0.299:0.299)) - (IOPATH B X (0.302:0.302:0.302) (0.223:0.223:0.223)) - (IOPATH C X (0.341:0.341:0.341) (0.277:0.277:0.277)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _402_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.481:0.481:0.481) (0.312:0.312:0.312)) - (IOPATH B X (0.345:0.345:0.345) (0.239:0.239:0.239)) - (IOPATH C X (0.381:0.381:0.381) (0.289:0.289:0.289)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _403_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.535:0.535:0.535) (0.326:0.326:0.326)) - (IOPATH B X (0.407:0.407:0.407) (0.263:0.263:0.263)) - (IOPATH C X (0.433:0.433:0.433) (0.299:0.299:0.299)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _404_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.531:0.531:0.531) (0.334:0.334:0.334)) - (IOPATH B X (0.397:0.397:0.397) (0.261:0.261:0.261)) - (IOPATH C X (0.428:0.428:0.428) (0.304:0.304:0.304)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _405_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.504:0.504:0.504) (0.324:0.324:0.324)) - (IOPATH B X (0.361:0.361:0.361) (0.244:0.244:0.244)) - (IOPATH C X (0.394:0.394:0.394) (0.290:0.290:0.290)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _406_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.523:0.523:0.523) (0.332:0.332:0.332)) - (IOPATH B X (0.379:0.379:0.379) (0.251:0.251:0.251)) - (IOPATH C X (0.408:0.408:0.408) (0.290:0.290:0.290)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _407_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.531:0.531:0.531) (0.321:0.321:0.321)) - (IOPATH B X (0.395:0.395:0.395) (0.258:0.258:0.258)) - (IOPATH C X (0.416:0.416:0.416) (0.289:0.289:0.289)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _408_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.502:0.502:0.502) (0.298:0.298:0.298)) - (IOPATH B X (0.382:0.382:0.382) (0.253:0.253:0.253)) - (IOPATH C X (0.406:0.406:0.406) (0.287:0.287:0.287)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _409_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.592:0.592:0.592) (0.356:0.356:0.356)) - (IOPATH B X (0.460:0.460:0.460) (0.286:0.286:0.286)) - (IOPATH C X (0.493:0.493:0.493) (0.333:0.333:0.333)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _410_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.599:0.599:0.599) (0.357:0.357:0.357)) - (IOPATH B X (0.469:0.469:0.469) (0.293:0.293:0.293)) - (IOPATH C X (0.496:0.496:0.496) (0.331:0.331:0.331)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _411_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.632:0.632:0.632) (0.366:0.366:0.366)) - (IOPATH B X (0.493:0.493:0.493) (0.305:0.305:0.305)) - (IOPATH C X (0.527:0.527:0.527) (0.353:0.353:0.353)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _412_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.632:0.632:0.632) (0.370:0.370:0.370)) - (IOPATH B X (0.502:0.502:0.502) (0.305:0.305:0.305)) - (IOPATH C X (0.536:0.536:0.536) (0.354:0.354:0.354)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _413_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.567:0.567:0.567) (0.337:0.337:0.337)) - (IOPATH B X (0.433:0.433:0.433) (0.268:0.268:0.268)) - (IOPATH C X (0.468:0.468:0.468) (0.317:0.317:0.317)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _414_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.577:0.577:0.577) (0.329:0.329:0.329)) - (IOPATH B X (0.445:0.445:0.445) (0.263:0.263:0.263)) - (IOPATH C X (0.483:0.483:0.483) (0.316:0.316:0.316)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _415_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.595:0.595:0.595) (0.341:0.341:0.341)) - (IOPATH B X (0.468:0.468:0.468) (0.278:0.278:0.278)) - (IOPATH C X (0.507:0.507:0.507) (0.334:0.334:0.334)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _416_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.667:0.667:0.667) (0.394:0.394:0.394)) - (IOPATH B X (0.525:0.525:0.525) (0.315:0.315:0.315)) - (IOPATH C X (0.563:0.563:0.563) (0.360:0.360:0.360)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _417_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.584:0.584:0.584) (0.342:0.342:0.342)) - (IOPATH B X (0.453:0.453:0.453) (0.274:0.274:0.274)) - (IOPATH C X (0.493:0.493:0.493) (0.330:0.330:0.330)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _418_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.613:0.613:0.613) (0.355:0.355:0.355)) - (IOPATH B X (0.480:0.480:0.480) (0.288:0.288:0.288)) - (IOPATH C X (0.516:0.516:0.516) (0.330:0.330:0.330)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _419_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.602:0.602:0.602) (0.335:0.335:0.335)) - (IOPATH B X (0.469:0.469:0.469) (0.276:0.276:0.276)) - (IOPATH C X (0.512:0.512:0.512) (0.324:0.324:0.324)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _420_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.619:0.619:0.619) (0.359:0.359:0.359)) - (IOPATH B X (0.486:0.486:0.486) (0.288:0.288:0.288)) - (IOPATH C X (0.523:0.523:0.523) (0.332:0.332:0.332)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _421_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.676:0.676:0.676) (0.382:0.382:0.382)) - (IOPATH B X (0.544:0.544:0.544) (0.315:0.315:0.315)) - (IOPATH C X (0.586:0.586:0.586) (0.362:0.362:0.362)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _422_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.617:0.617:0.617) (0.351:0.351:0.351)) - (IOPATH B X (0.482:0.482:0.482) (0.282:0.282:0.282)) - (IOPATH C X (0.522:0.522:0.522) (0.328:0.328:0.328)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _423_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.611:0.611:0.611) (0.355:0.355:0.355)) - (IOPATH B X (0.480:0.480:0.480) (0.288:0.288:0.288)) - (IOPATH C X (0.519:0.519:0.519) (0.341:0.341:0.341)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _424_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.576:0.576:0.576) (0.337:0.337:0.337)) - (IOPATH B X (0.430:0.430:0.430) (0.268:0.268:0.268)) - (IOPATH C X (0.468:0.468:0.468) (0.320:0.320:0.320)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _425_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.584:0.584:0.584) (0.334:0.334:0.334)) - (IOPATH B X (0.450:0.450:0.450) (0.266:0.266:0.266)) - (IOPATH C X (0.489:0.489:0.489) (0.321:0.321:0.321)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _426_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.602:0.602:0.602) (0.360:0.360:0.360)) - (IOPATH B X (0.463:0.463:0.463) (0.285:0.285:0.285)) - (IOPATH C X (0.505:0.505:0.505) (0.345:0.345:0.345)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _427_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.651:0.651:0.651) (0.372:0.372:0.372)) - (IOPATH B X (0.507:0.507:0.507) (0.292:0.292:0.292)) - (IOPATH C X (0.544:0.544:0.544) (0.343:0.343:0.343)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _428_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.519:0.519:0.519) (0.314:0.314:0.314)) - (IOPATH B X (0.393:0.393:0.393) (0.255:0.255:0.255)) - (IOPATH C X (0.416:0.416:0.416) (0.288:0.288:0.288)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _429_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.460:0.460:0.460) (0.289:0.289:0.289)) - (IOPATH B X (0.331:0.331:0.331) (0.234:0.234:0.234)) - (IOPATH C X (0.356:0.356:0.356) (0.269:0.269:0.269)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _430_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.485:0.485:0.485) (0.298:0.298:0.298)) - (IOPATH B X (0.360:0.360:0.360) (0.248:0.248:0.248)) - (IOPATH C X (0.385:0.385:0.385) (0.283:0.283:0.283)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _431_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.434:0.434:0.434) (0.294:0.294:0.294)) - (IOPATH B X (0.309:0.309:0.309) (0.231:0.231:0.231)) - (IOPATH C X (0.342:0.342:0.342) (0.278:0.278:0.278)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _432_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.430:0.430:0.430) (0.292:0.292:0.292)) - (IOPATH B X (0.308:0.308:0.308) (0.236:0.236:0.236)) - (IOPATH C X (0.341:0.341:0.341) (0.270:0.270:0.270)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _433_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.394:0.394:0.394) (0.278:0.278:0.278)) - (IOPATH B X (0.268:0.268:0.268) (0.219:0.219:0.219)) - (IOPATH C X (0.313:0.313:0.313) (0.263:0.263:0.263)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _434_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.381:0.381:0.381) (0.276:0.276:0.276)) - (IOPATH B X (0.253:0.253:0.253) (0.218:0.218:0.218)) - (IOPATH C X (0.285:0.285:0.285) (0.246:0.246:0.246)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _435_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.373:0.373:0.373) (0.269:0.269:0.269)) - (IOPATH B X (0.253:0.253:0.253) (0.219:0.219:0.219)) - (IOPATH C X (0.281:0.281:0.281) (0.241:0.241:0.241)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _436_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.395:0.395:0.395) (0.283:0.283:0.283)) - (IOPATH B X (0.267:0.267:0.267) (0.227:0.227:0.227)) - (IOPATH C X (0.294:0.294:0.294) (0.249:0.249:0.249)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _437_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.355:0.355:0.355) (0.262:0.262:0.262)) - (IOPATH B X (0.237:0.237:0.237) (0.216:0.216:0.216)) - (IOPATH C X (0.270:0.270:0.270) (0.237:0.237:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _438_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.351:0.351:0.351) (0.256:0.256:0.256)) - (IOPATH B X (0.227:0.227:0.227) (0.205:0.205:0.205)) - (IOPATH C X (0.265:0.265:0.265) (0.236:0.236:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _439_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.379:0.379:0.379) (0.273:0.273:0.273)) - (IOPATH B X (0.259:0.259:0.259) (0.231:0.231:0.231)) - (IOPATH C X (0.281:0.281:0.281) (0.242:0.242:0.242)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _440_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.350:0.350:0.350) (0.259:0.259:0.259)) - (IOPATH B X (0.239:0.239:0.239) (0.224:0.224:0.224)) - (IOPATH C X (0.261:0.261:0.261) (0.234:0.234:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _441_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.436:0.436:0.436) (0.298:0.298:0.298)) - (IOPATH B X (0.311:0.311:0.311) (0.246:0.246:0.246)) - (IOPATH C X (0.337:0.337:0.337) (0.269:0.269:0.269)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _442_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.451:0.451:0.451) (0.304:0.304:0.304)) - (IOPATH B X (0.320:0.320:0.320) (0.243:0.243:0.243)) - (IOPATH C X (0.350:0.350:0.350) (0.275:0.275:0.275)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _443_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.466:0.466:0.466) (0.311:0.311:0.311)) - (IOPATH B X (0.336:0.336:0.336) (0.249:0.249:0.249)) - (IOPATH C X (0.370:0.370:0.370) (0.296:0.296:0.296)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _444_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.462:0.462:0.462) (0.308:0.308:0.308)) - (IOPATH B X (0.339:0.339:0.339) (0.258:0.258:0.258)) - (IOPATH C X (0.365:0.365:0.365) (0.293:0.293:0.293)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _445_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.462:0.462:0.462) (0.310:0.310:0.310)) - (IOPATH B X (0.330:0.330:0.330) (0.248:0.248:0.248)) - (IOPATH C X (0.363:0.363:0.363) (0.292:0.292:0.292)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _446_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.432:0.432:0.432) (0.299:0.299:0.299)) - (IOPATH B X (0.319:0.319:0.319) (0.259:0.259:0.259)) - (IOPATH C X (0.350:0.350:0.350) (0.282:0.282:0.282)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _447_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.466:0.466:0.466) (0.312:0.312:0.312)) - (IOPATH B X (0.339:0.339:0.339) (0.256:0.256:0.256)) - (IOPATH C X (0.367:0.367:0.367) (0.293:0.293:0.293)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _448_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.418:0.418:0.418) (0.292:0.292:0.292)) - (IOPATH B X (0.293:0.293:0.293) (0.244:0.244:0.244)) - (IOPATH C X (0.320:0.320:0.320) (0.263:0.263:0.263)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _449_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.396:0.396:0.396) (0.281:0.281:0.281)) - (IOPATH B X (0.287:0.287:0.287) (0.249:0.249:0.249)) - (IOPATH C X (0.297:0.297:0.297) (0.255:0.255:0.255)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _450_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.364:0.364:0.364) (0.263:0.263:0.263)) - (IOPATH B X (0.258:0.258:0.258) (0.245:0.245:0.245)) - (IOPATH C X (0.271:0.271:0.271) (0.240:0.240:0.240)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _451_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.378:0.378:0.378) (0.276:0.276:0.276)) - (IOPATH B X (0.273:0.273:0.273) (0.262:0.262:0.262)) - (IOPATH C X (0.287:0.287:0.287) (0.249:0.249:0.249)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _452_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.370:0.370:0.370) (0.265:0.265:0.265)) - (IOPATH B X (0.272:0.272:0.272) (0.258:0.258:0.258)) - (IOPATH C X (0.285:0.285:0.285) (0.250:0.250:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _453_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.376:0.376:0.376) (0.267:0.267:0.267)) - (IOPATH B X (0.287:0.287:0.287) (0.278:0.278:0.278)) - (IOPATH C X (0.284:0.284:0.284) (0.250:0.250:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _454_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.398:0.398:0.398) (0.278:0.278:0.278)) - (IOPATH B X (0.297:0.297:0.297) (0.277:0.277:0.277)) - (IOPATH C X (0.300:0.300:0.300) (0.257:0.257:0.257)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _455_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.378:0.378:0.378) (0.268:0.268:0.268)) - (IOPATH B X (0.265:0.265:0.265) (0.241:0.241:0.241)) - (IOPATH C X (0.284:0.284:0.284) (0.251:0.251:0.251)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _456_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.400:0.400:0.400) (0.283:0.283:0.283)) - (IOPATH B X (0.307:0.307:0.307) (0.287:0.287:0.287)) - (IOPATH C X (0.307:0.307:0.307) (0.261:0.261:0.261)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _457_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.415:0.415:0.415) (0.288:0.288:0.288)) - (IOPATH B X (0.316:0.316:0.316) (0.292:0.292:0.292)) - (IOPATH C X (0.320:0.320:0.320) (0.270:0.270:0.270)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _458_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.573:0.573:0.573) (0.343:0.343:0.343)) - (IOPATH B X (0.444:0.444:0.444) (0.281:0.281:0.281)) - (IOPATH C X (0.473:0.473:0.473) (0.323:0.323:0.323)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _459_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.562:0.562:0.562) (0.337:0.337:0.337)) - (IOPATH B X (0.432:0.432:0.432) (0.273:0.273:0.273)) - (IOPATH C X (0.465:0.465:0.465) (0.321:0.321:0.321)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _460_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.570:0.570:0.570) (0.330:0.330:0.330)) - (IOPATH B X (0.449:0.449:0.449) (0.275:0.275:0.275)) - (IOPATH C X (0.481:0.481:0.481) (0.322:0.322:0.322)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _461_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.595:0.595:0.595) (0.352:0.352:0.352)) - (IOPATH B X (0.458:0.458:0.458) (0.280:0.280:0.280)) - (IOPATH C X (0.490:0.490:0.490) (0.324:0.324:0.324)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _462_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.553:0.553:0.553) (0.326:0.326:0.326)) - (IOPATH B X (0.421:0.421:0.421) (0.257:0.257:0.257)) - (IOPATH C X (0.455:0.455:0.455) (0.307:0.307:0.307)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _463_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.577:0.577:0.577) (0.340:0.340:0.340)) - (IOPATH B X (0.450:0.450:0.450) (0.281:0.281:0.281)) - (IOPATH C X (0.478:0.478:0.478) (0.320:0.320:0.320)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _464_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.518:0.518:0.518) (0.323:0.323:0.323)) - (IOPATH B X (0.403:0.403:0.403) (0.280:0.280:0.280)) - (IOPATH C X (0.412:0.412:0.412) (0.291:0.291:0.291)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _465_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.521:0.521:0.521) (0.329:0.329:0.329)) - (IOPATH B X (0.402:0.402:0.402) (0.279:0.279:0.279)) - (IOPATH C X (0.411:0.411:0.411) (0.290:0.290:0.290)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _466_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.470:0.470:0.470) (0.310:0.310:0.310)) - (IOPATH B X (0.362:0.362:0.362) (0.279:0.279:0.279)) - (IOPATH C X (0.360:0.360:0.360) (0.271:0.271:0.271)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _467_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.474:0.474:0.474) (0.315:0.315:0.315)) - (IOPATH B X (0.356:0.356:0.356) (0.268:0.268:0.268)) - (IOPATH C X (0.366:0.366:0.366) (0.280:0.280:0.280)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _468_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.479:0.479:0.479) (0.313:0.313:0.313)) - (IOPATH B X (0.357:0.357:0.357) (0.259:0.259:0.259)) - (IOPATH C X (0.374:0.374:0.374) (0.283:0.283:0.283)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _469_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.533:0.533:0.533) (0.334:0.334:0.334)) - (IOPATH B X (0.424:0.424:0.424) (0.301:0.301:0.301)) - (IOPATH C X (0.415:0.415:0.415) (0.286:0.286:0.286)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _470_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.501:0.501:0.501) (0.314:0.314:0.314)) - (IOPATH B X (0.375:0.375:0.375) (0.267:0.267:0.267)) - (IOPATH C X (0.393:0.393:0.393) (0.291:0.291:0.291)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _471_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.511:0.511:0.511) (0.323:0.323:0.323)) - (IOPATH B X (0.404:0.404:0.404) (0.291:0.291:0.291)) - (IOPATH C X (0.402:0.402:0.402) (0.286:0.286:0.286)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _472_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.486:0.486:0.486) (0.311:0.311:0.311)) - (IOPATH B X (0.392:0.392:0.392) (0.304:0.304:0.304)) - (IOPATH C X (0.377:0.377:0.377) (0.275:0.275:0.275)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _473_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.526:0.526:0.526) (0.331:0.331:0.331)) - (IOPATH B X (0.421:0.421:0.421) (0.303:0.303:0.303)) - (IOPATH C X (0.418:0.418:0.418) (0.295:0.295:0.295)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _474_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.492:0.492:0.492) (0.319:0.319:0.319)) - (IOPATH B X (0.397:0.397:0.397) (0.308:0.308:0.308)) - (IOPATH C X (0.390:0.390:0.390) (0.291:0.291:0.291)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _475_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.454:0.454:0.454) (0.302:0.302:0.302)) - (IOPATH B X (0.351:0.351:0.351) (0.278:0.278:0.278)) - (IOPATH C X (0.354:0.354:0.354) (0.277:0.277:0.277)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _476_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.418:0.418:0.418) (0.289:0.289:0.289)) - (IOPATH B X (0.317:0.317:0.317) (0.268:0.268:0.268)) - (IOPATH C X (0.322:0.322:0.322) (0.268:0.268:0.268)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _477_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.440:0.440:0.440) (0.298:0.298:0.298)) - (IOPATH B X (0.359:0.359:0.359) (0.315:0.315:0.315)) - (IOPATH C X (0.351:0.351:0.351) (0.288:0.288:0.288)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _478_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.428:0.428:0.428) (0.293:0.293:0.293)) - (IOPATH B X (0.337:0.337:0.337) (0.288:0.288:0.288)) - (IOPATH C X (0.341:0.341:0.341) (0.284:0.284:0.284)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _479_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.421:0.421:0.421) (0.292:0.292:0.292)) - (IOPATH B X (0.315:0.315:0.315) (0.262:0.262:0.262)) - (IOPATH C X (0.335:0.335:0.335) (0.285:0.285:0.285)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _480_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.388:0.388:0.388) (0.274:0.274:0.274)) - (IOPATH B X (0.304:0.304:0.304) (0.288:0.288:0.288)) - (IOPATH C X (0.305:0.305:0.305) (0.257:0.257:0.257)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _481_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.361:0.361:0.361) (0.260:0.260:0.260)) - (IOPATH B X (0.300:0.300:0.300) (0.312:0.312:0.312)) - (IOPATH C X (0.274:0.274:0.274) (0.236:0.236:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _482_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.383:0.383:0.383) (0.272:0.272:0.272)) - (IOPATH B X (0.292:0.292:0.292) (0.268:0.268:0.268)) - (IOPATH C X (0.301:0.301:0.301) (0.254:0.254:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _483_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.356:0.356:0.356) (0.258:0.258:0.258)) - (IOPATH B X (0.290:0.290:0.290) (0.302:0.302:0.302)) - (IOPATH C X (0.265:0.265:0.265) (0.232:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _484_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.403:0.403:0.403) (0.282:0.282:0.282)) - (IOPATH B X (0.287:0.287:0.287) (0.240:0.240:0.240)) - (IOPATH C X (0.311:0.311:0.311) (0.257:0.257:0.257)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _485_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.435:0.435:0.435) (0.295:0.295:0.295)) - (IOPATH B X (0.352:0.352:0.352) (0.306:0.306:0.306)) - (IOPATH C X (0.338:0.338:0.338) (0.274:0.274:0.274)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _486_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.486:0.486:0.486) (0.314:0.314:0.314)) - (IOPATH B X (0.381:0.381:0.381) (0.284:0.284:0.284)) - (IOPATH C X (0.379:0.379:0.379) (0.278:0.278:0.278)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _487_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.475:0.475:0.475) (0.309:0.309:0.309)) - (IOPATH B X (0.384:0.384:0.384) (0.306:0.306:0.306)) - (IOPATH C X (0.371:0.371:0.371) (0.278:0.278:0.278)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _488_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.433:0.433:0.433) (0.292:0.292:0.292)) - (IOPATH B X (0.359:0.359:0.359) (0.318:0.318:0.318)) - (IOPATH C X (0.340:0.340:0.340) (0.275:0.275:0.275)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _489_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.438:0.438:0.438) (0.295:0.295:0.295)) - (IOPATH B X (0.339:0.339:0.339) (0.278:0.278:0.278)) - (IOPATH C X (0.345:0.345:0.345) (0.280:0.280:0.280)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _490_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.408:0.408:0.408) (0.282:0.282:0.282)) - (IOPATH B X (0.338:0.338:0.338) (0.315:0.315:0.315)) - (IOPATH C X (0.316:0.316:0.316) (0.268:0.268:0.268)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _491_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.409:0.409:0.409) (0.285:0.285:0.285)) - (IOPATH B X (0.339:0.339:0.339) (0.320:0.320:0.320)) - (IOPATH C X (0.317:0.317:0.317) (0.259:0.259:0.259)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _492_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.398:0.398:0.398) (0.278:0.278:0.278)) - (IOPATH B X (0.321:0.321:0.321) (0.298:0.298:0.298)) - (IOPATH C X (0.311:0.311:0.311) (0.256:0.256:0.256)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _493_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.366:0.366:0.366) (0.263:0.263:0.263)) - (IOPATH B X (0.285:0.285:0.285) (0.281:0.281:0.281)) - (IOPATH C X (0.268:0.268:0.268) (0.242:0.242:0.242)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _494_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.359:0.359:0.359) (0.259:0.259:0.259)) - (IOPATH B X (0.282:0.282:0.282) (0.283:0.283:0.283)) - (IOPATH C X (0.262:0.262:0.262) (0.238:0.238:0.238)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _495_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.364:0.364:0.364) (0.261:0.261:0.261)) - (IOPATH B X (0.298:0.298:0.298) (0.308:0.308:0.308)) - (IOPATH C X (0.267:0.267:0.267) (0.242:0.242:0.242)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_4") - (INSTANCE _496_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.364:0.364:0.364) (0.260:0.260:0.260)) - (IOPATH B X (0.297:0.297:0.297) (0.296:0.296:0.296)) - (IOPATH C X (0.271:0.271:0.271) (0.241:0.241:0.241)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _497_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.324:0.324:0.324) (0.232:0.232:0.232)) - (IOPATH B X (0.322:0.322:0.322) (0.262:0.262:0.262)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _498_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.333:0.333:0.333) (0.237:0.237:0.237)) - (IOPATH B X (0.341:0.341:0.341) (0.288:0.288:0.288)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _499_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.326:0.326:0.326) (0.223:0.223:0.223)) - (IOPATH B X (0.327:0.327:0.327) (0.268:0.268:0.268)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _500_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.327:0.327:0.327) (0.222:0.222:0.222)) - (IOPATH B X (0.333:0.333:0.333) (0.262:0.262:0.262)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _501_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.288:0.288:0.288) (0.215:0.215:0.215)) - (IOPATH B X (0.285:0.285:0.285) (0.238:0.238:0.238)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _502_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.312:0.312:0.312) (0.216:0.216:0.216)) - (IOPATH B X (0.329:0.329:0.329) (0.270:0.270:0.270)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _503_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.318:0.318:0.318) (0.224:0.224:0.224)) - (IOPATH B X (0.320:0.320:0.320) (0.260:0.260:0.260)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _504_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.346:0.346:0.346) (0.243:0.243:0.243)) - (IOPATH B X (0.327:0.327:0.327) (0.253:0.253:0.253)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _505_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.340:0.340:0.340) (0.233:0.233:0.233)) - (IOPATH B X (0.319:0.319:0.319) (0.244:0.244:0.244)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _506_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.310:0.310:0.310) (0.226:0.226:0.226)) - (IOPATH B X (0.307:0.307:0.307) (0.259:0.259:0.259)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _507_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.325:0.325:0.325) (0.225:0.225:0.225)) - (IOPATH B X (0.341:0.341:0.341) (0.270:0.270:0.270)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _508_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.326:0.326:0.326) (0.227:0.227:0.227)) - (IOPATH B X (0.334:0.334:0.334) (0.262:0.262:0.262)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _509_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.309:0.309:0.309) (0.224:0.224:0.224)) - (IOPATH B X (0.295:0.295:0.295) (0.232:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _510_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.348:0.348:0.348) (0.235:0.235:0.235)) - (IOPATH B X (0.346:0.346:0.346) (0.263:0.263:0.263)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _511_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.346:0.346:0.346) (0.235:0.235:0.235)) - (IOPATH B X (0.348:0.348:0.348) (0.268:0.268:0.268)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _512_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.358:0.358:0.358) (0.241:0.241:0.241)) - (IOPATH B X (0.355:0.355:0.355) (0.268:0.268:0.268)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _513_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.334:0.334:0.334) (0.227:0.227:0.227)) - (IOPATH B X (0.335:0.335:0.335) (0.254:0.254:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _514_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.334:0.334:0.334) (0.225:0.225:0.225)) - (IOPATH B X (0.327:0.327:0.327) (0.245:0.245:0.245)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _515_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.364:0.364:0.364) (0.245:0.245:0.245)) - (IOPATH B X (0.359:0.359:0.359) (0.269:0.269:0.269)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _516_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.324:0.324:0.324) (0.225:0.225:0.225)) - (IOPATH B X (0.310:0.310:0.310) (0.236:0.236:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _517_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.366:0.366:0.366) (0.248:0.248:0.248)) - (IOPATH B X (0.340:0.340:0.340) (0.247:0.247:0.247)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _518_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.280:0.280:0.280) (0.211:0.211:0.211)) - (IOPATH B X (0.239:0.239:0.239) (0.204:0.204:0.204)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _519_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.333:0.333:0.333) (0.232:0.232:0.232)) - (IOPATH B X (0.306:0.306:0.306) (0.232:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _520_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.341:0.341:0.341) (0.235:0.235:0.235)) - (IOPATH B X (0.340:0.340:0.340) (0.262:0.262:0.262)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _521_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.335:0.335:0.335) (0.235:0.235:0.235)) - (IOPATH B X (0.305:0.305:0.305) (0.241:0.241:0.241)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _522_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.347:0.347:0.347) (0.240:0.240:0.240)) - (IOPATH B X (0.313:0.313:0.313) (0.239:0.239:0.239)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _523_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.391:0.391:0.391) (0.251:0.251:0.251)) - (IOPATH B X (0.355:0.355:0.355) (0.248:0.248:0.248)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _524_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.260:0.260:0.260) (0.203:0.203:0.203)) - (IOPATH B X (0.209:0.209:0.209) (0.189:0.189:0.189)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _525_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.405:0.405:0.405) (0.263:0.263:0.263)) - (IOPATH B X (0.383:0.383:0.383) (0.266:0.266:0.266)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _526_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.240:0.240:0.240) (0.194:0.194:0.194)) - (IOPATH B X (0.188:0.188:0.188) (0.177:0.177:0.177)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _527_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.230:0.230:0.230) (0.191:0.191:0.191)) - (IOPATH B X (0.163:0.163:0.163) (0.163:0.163:0.163)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _528_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.231:0.231:0.231) (0.195:0.195:0.195)) - (IOPATH B X (0.165:0.165:0.165) (0.164:0.164:0.164)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _529_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.233:0.233:0.233) (0.191:0.191:0.191)) - (IOPATH B X (0.176:0.176:0.176) (0.170:0.170:0.170)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _530_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.369:0.369:0.369) (0.238:0.238:0.238)) - (IOPATH B X (0.326:0.326:0.326) (0.236:0.236:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _531_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.378:0.378:0.378) (0.246:0.246:0.246)) - (IOPATH B X (0.349:0.349:0.349) (0.250:0.250:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _532_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.247:0.247:0.247) (0.197:0.197:0.197)) - (IOPATH B X (0.193:0.193:0.193) (0.180:0.180:0.180)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _533_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.259:0.259:0.259) (0.210:0.210:0.210)) - (IOPATH B X (0.194:0.194:0.194) (0.181:0.181:0.181)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _534_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.276:0.276:0.276) (0.221:0.221:0.221)) - (IOPATH B X (0.208:0.208:0.208) (0.189:0.189:0.189)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _535_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.383:0.383:0.383) (0.254:0.254:0.254)) - (IOPATH B X (0.353:0.353:0.353) (0.249:0.249:0.249)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _536_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.348:0.348:0.348) (0.241:0.241:0.241)) - (IOPATH B X (0.331:0.331:0.331) (0.243:0.243:0.243)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _537_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.278:0.278:0.278) (0.212:0.212:0.212)) - (IOPATH B X (0.226:0.226:0.226) (0.197:0.197:0.197)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _538_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.425:0.425:0.425) (0.263:0.263:0.263)) - (IOPATH B X (0.383:0.383:0.383) (0.258:0.258:0.258)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _539_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.350:0.350:0.350) (0.243:0.243:0.243)) - (IOPATH B X (0.311:0.311:0.311) (0.226:0.226:0.226)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _540_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.289:0.289:0.289) (0.216:0.216:0.216)) - (IOPATH B X (0.237:0.237:0.237) (0.201:0.201:0.201)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _541_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.355:0.355:0.355) (0.243:0.243:0.243)) - (IOPATH B X (0.302:0.302:0.302) (0.227:0.227:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _542_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.474:0.474:0.474) (0.292:0.292:0.292)) - (IOPATH B X (0.430:0.430:0.430) (0.284:0.284:0.284)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _543_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.298:0.298:0.298) (0.219:0.219:0.219)) - (IOPATH B X (0.252:0.252:0.252) (0.209:0.209:0.209)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _544_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.250:0.250:0.250) (0.205:0.205:0.205)) - (IOPATH B X (0.181:0.181:0.181) (0.175:0.175:0.175)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _545_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.297:0.297:0.297) (0.217:0.217:0.217)) - (IOPATH B X (0.248:0.248:0.248) (0.205:0.205:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _546_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.429:0.429:0.429) (0.271:0.271:0.271)) - (IOPATH B X (0.382:0.382:0.382) (0.261:0.261:0.261)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _547_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.451:0.451:0.451) (0.280:0.280:0.280)) - (IOPATH B X (0.420:0.420:0.420) (0.273:0.273:0.273)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _548_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.325:0.325:0.325) (0.231:0.231:0.231)) - (IOPATH B X (0.270:0.270:0.270) (0.216:0.216:0.216)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _549_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.398:0.398:0.398) (0.241:0.241:0.241)) - (IOPATH B X (0.353:0.353:0.353) (0.231:0.231:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _550_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.455:0.455:0.455) (0.277:0.277:0.277)) - (IOPATH B X (0.407:0.407:0.407) (0.266:0.266:0.266)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _551_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.339:0.339:0.339) (0.236:0.236:0.236)) - (IOPATH B X (0.291:0.291:0.291) (0.225:0.225:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _552_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.398:0.398:0.398) (0.268:0.268:0.268)) - (IOPATH B X (0.350:0.350:0.350) (0.245:0.245:0.245)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _553_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.477:0.477:0.477) (0.292:0.292:0.292)) - (IOPATH B X (0.431:0.431:0.431) (0.281:0.281:0.281)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _554_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.361:0.361:0.361) (0.245:0.245:0.245)) - (IOPATH B X (0.305:0.305:0.305) (0.228:0.228:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _555_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.451:0.451:0.451) (0.250:0.250:0.250)) - (IOPATH B X (0.389:0.389:0.389) (0.229:0.229:0.229)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _556_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.299:0.299:0.299) (0.216:0.216:0.216)) - (IOPATH B X (0.263:0.263:0.263) (0.209:0.209:0.209)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _557_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.352:0.352:0.352) (0.247:0.247:0.247)) - (IOPATH B X (0.321:0.321:0.321) (0.238:0.238:0.238)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _558_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.293:0.293:0.293) (0.222:0.222:0.222)) - (IOPATH B X (0.265:0.265:0.265) (0.214:0.214:0.214)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _559_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.246:0.246:0.246) (0.195:0.195:0.195)) - (IOPATH B X (0.211:0.211:0.211) (0.201:0.201:0.201)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _560_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.235:0.235:0.235) (0.190:0.190:0.190)) - (IOPATH B X (0.190:0.190:0.190) (0.181:0.181:0.181)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _561_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.230:0.230:0.230) (0.188:0.188:0.188)) - (IOPATH B X (0.186:0.186:0.186) (0.186:0.186:0.186)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _562_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.242:0.242:0.242) (0.233:0.233:0.233)) - (IOPATH B X (0.194:0.194:0.194) (0.234:0.234:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _563_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.228:0.228:0.228) (0.188:0.188:0.188)) - (IOPATH B X (0.182:0.182:0.182) (0.183:0.183:0.183)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _564_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.244:0.244:0.244) (0.235:0.235:0.235)) - (IOPATH B X (0.188:0.188:0.188) (0.225:0.225:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _565_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.222:0.222:0.222) (0.184:0.184:0.184)) - (IOPATH B X (0.190:0.190:0.190) (0.206:0.206:0.206)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _566_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.215:0.215:0.215) (0.184:0.184:0.184)) - (IOPATH B X (0.190:0.190:0.190) (0.208:0.208:0.208)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _567_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.239:0.239:0.239) (0.197:0.197:0.197)) - (IOPATH B X (0.193:0.193:0.193) (0.196:0.196:0.196)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _568_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.224:0.224:0.224) (0.185:0.185:0.185)) - (IOPATH B X (0.197:0.197:0.197) (0.214:0.214:0.214)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _569_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.252:0.252:0.252) (0.206:0.206:0.206)) - (IOPATH B X (0.222:0.222:0.222) (0.225:0.225:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _570_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.240:0.240:0.240) (0.200:0.200:0.200)) - (IOPATH B X (0.197:0.197:0.197) (0.199:0.199:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _571_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.240:0.240:0.240) (0.199:0.199:0.199)) - (IOPATH B X (0.202:0.202:0.202) (0.204:0.204:0.204)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _572_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.237:0.237:0.237) (0.197:0.197:0.197)) - (IOPATH B X (0.213:0.213:0.213) (0.224:0.224:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _573_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.253:0.253:0.253) (0.208:0.208:0.208)) - (IOPATH B X (0.219:0.219:0.219) (0.222:0.222:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _574_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.238:0.238:0.238) (0.193:0.193:0.193)) - (IOPATH B X (0.213:0.213:0.213) (0.223:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _575_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.253:0.253:0.253) (0.205:0.205:0.205)) - (IOPATH B X (0.210:0.210:0.210) (0.208:0.208:0.208)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _576_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.252:0.252:0.252) (0.206:0.206:0.206)) - (IOPATH B X (0.210:0.210:0.210) (0.212:0.212:0.212)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _577_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.232:0.232:0.232) (0.189:0.189:0.189)) - (IOPATH B X (0.211:0.211:0.211) (0.227:0.227:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _578_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.248:0.248:0.248) (0.202:0.202:0.202)) - (IOPATH B X (0.214:0.214:0.214) (0.215:0.215:0.215)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _579_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.261:0.261:0.261) (0.209:0.209:0.209)) - (IOPATH B X (0.226:0.226:0.226) (0.235:0.235:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _580_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.225:0.225:0.225) (0.189:0.189:0.189)) - (IOPATH B X (0.204:0.204:0.204) (0.217:0.217:0.217)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _581_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.238:0.238:0.238) (0.198:0.198:0.198)) - (IOPATH B X (0.220:0.220:0.220) (0.231:0.231:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _582_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.242:0.242:0.242) (0.204:0.204:0.204)) - (IOPATH B X (0.221:0.221:0.221) (0.231:0.231:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _583_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.250:0.250:0.250) (0.205:0.205:0.205)) - (IOPATH B X (0.244:0.244:0.244) (0.260:0.260:0.260)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _584_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.257:0.257:0.257) (0.205:0.205:0.205)) - (IOPATH B X (0.238:0.238:0.238) (0.248:0.248:0.248)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _585_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.264:0.264:0.264) (0.213:0.213:0.213)) - (IOPATH B X (0.232:0.232:0.232) (0.231:0.231:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _586_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.360:0.360:0.360) (0.245:0.245:0.245)) - (IOPATH B X (0.315:0.315:0.315) (0.247:0.247:0.247)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _587_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.378:0.378:0.378) (0.253:0.253:0.253)) - (IOPATH B X (0.346:0.346:0.346) (0.268:0.268:0.268)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _588_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.339:0.339:0.339) (0.236:0.236:0.236)) - (IOPATH B X (0.318:0.318:0.318) (0.265:0.265:0.265)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _589_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.299:0.299:0.299) (0.223:0.223:0.223)) - (IOPATH B X (0.242:0.242:0.242) (0.222:0.222:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _590_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.266:0.266:0.266) (0.206:0.206:0.206)) - (IOPATH B X (0.236:0.236:0.236) (0.224:0.224:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _591_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.241:0.241:0.241) (0.194:0.194:0.194)) - (IOPATH B X (0.216:0.216:0.216) (0.225:0.225:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _592_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.221:0.221:0.221) (0.183:0.183:0.183)) - (IOPATH B X (0.216:0.216:0.216) (0.243:0.243:0.243)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _593_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.237:0.237:0.237) (0.190:0.190:0.190)) - (IOPATH B X (0.207:0.207:0.207) (0.212:0.212:0.212)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _594_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.219:0.219:0.219) (0.183:0.183:0.183)) - (IOPATH B X (0.197:0.197:0.197) (0.217:0.217:0.217)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _595_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.256:0.256:0.256) (0.242:0.242:0.242)) - (IOPATH B X (0.230:0.230:0.230) (0.274:0.274:0.274)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _596_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.228:0.228:0.228) (0.188:0.188:0.188)) - (IOPATH B X (0.200:0.200:0.200) (0.212:0.212:0.212)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _597_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.218:0.218:0.218) (0.183:0.183:0.183)) - (IOPATH B X (0.206:0.206:0.206) (0.233:0.233:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _598_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.237:0.237:0.237) (0.201:0.201:0.201)) - (IOPATH B X (0.233:0.233:0.233) (0.241:0.241:0.241)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _599_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.223:0.223:0.223) (0.185:0.185:0.185)) - (IOPATH B X (0.228:0.228:0.228) (0.258:0.258:0.258)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _600_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.232:0.232:0.232) (0.189:0.189:0.189)) - (IOPATH B X (0.220:0.220:0.220) (0.236:0.236:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _601_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.227:0.227:0.227) (0.186:0.186:0.186)) - (IOPATH B X (0.228:0.228:0.228) (0.254:0.254:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _602_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.218:0.218:0.218) (0.181:0.181:0.181)) - (IOPATH B X (0.185:0.185:0.185) (0.195:0.195:0.195)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _603_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.229:0.229:0.229) (0.187:0.187:0.187)) - (IOPATH B X (0.215:0.215:0.215) (0.228:0.228:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _604_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.223:0.223:0.223) (0.184:0.184:0.184)) - (IOPATH B X (0.222:0.222:0.222) (0.249:0.249:0.249)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _605_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.220:0.220:0.220) (0.182:0.182:0.182)) - (IOPATH B X (0.249:0.249:0.249) (0.308:0.308:0.308)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _606_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.217:0.217:0.217) (0.180:0.180:0.180)) - (IOPATH B X (0.216:0.216:0.216) (0.244:0.244:0.244)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _607_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.222:0.222:0.222) (0.183:0.183:0.183)) - (IOPATH B X (0.226:0.226:0.226) (0.260:0.260:0.260)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _608_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.216:0.216:0.216) (0.182:0.182:0.182)) - (IOPATH B X (0.206:0.206:0.206) (0.230:0.230:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _609_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.221:0.221:0.221) (0.183:0.183:0.183)) - (IOPATH B X (0.213:0.213:0.213) (0.230:0.230:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _610_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.219:0.219:0.219) (0.181:0.181:0.181)) - (IOPATH B X (0.200:0.200:0.200) (0.214:0.214:0.214)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _611_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.212:0.212:0.212) (0.178:0.178:0.178)) - (IOPATH B X (0.231:0.231:0.231) (0.279:0.279:0.279)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _612_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.215:0.215:0.215) (0.181:0.181:0.181)) - (IOPATH B X (0.219:0.219:0.219) (0.257:0.257:0.257)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _613_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.212:0.212:0.212) (0.178:0.178:0.178)) - (IOPATH B X (0.226:0.226:0.226) (0.270:0.270:0.270)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _614_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.209:0.209:0.209) (0.176:0.176:0.176)) - (IOPATH B X (0.214:0.214:0.214) (0.251:0.251:0.251)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _615_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.209:0.209:0.209) (0.177:0.177:0.177)) - (IOPATH B X (0.223:0.223:0.223) (0.268:0.268:0.268)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _616_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.213:0.213:0.213) (0.179:0.179:0.179)) - (IOPATH B X (0.227:0.227:0.227) (0.266:0.266:0.266)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _617_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.212:0.212:0.212) (0.179:0.179:0.179)) - (IOPATH B X (0.219:0.219:0.219) (0.254:0.254:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _618_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.205:0.205:0.205) (0.175:0.175:0.175)) - (IOPATH B X (0.215:0.215:0.215) (0.254:0.254:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _619_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.202:0.202:0.202) (0.173:0.173:0.173)) - (IOPATH B X (0.223:0.223:0.223) (0.278:0.278:0.278)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _620_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.198:0.198:0.198) (0.170:0.170:0.170)) - (IOPATH B X (0.223:0.223:0.223) (0.277:0.277:0.277)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _621_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.214:0.214:0.214) (0.180:0.180:0.180)) - (IOPATH B X (0.230:0.230:0.230) (0.275:0.275:0.275)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _622_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.204:0.204:0.204) (0.174:0.174:0.174)) - (IOPATH B X (0.235:0.235:0.235) (0.297:0.297:0.297)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _623_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.202:0.202:0.202) (0.175:0.175:0.175)) - (IOPATH B X (0.228:0.228:0.228) (0.286:0.286:0.286)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_4") - (INSTANCE _624_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.203:0.203:0.203) (0.173:0.173:0.173)) - (IOPATH B X (0.242:0.242:0.242) (0.303:0.303:0.303)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _625_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.141:0.141:0.141) (0.158:0.158:0.158)) - (IOPATH B X (0.136:0.136:0.136) (0.160:0.160:0.160)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE user_irq_gates\[0\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.071:0.071:0.071) (0.054:0.054:0.054)) - (IOPATH B Y (0.095:0.095:0.096) (0.080:0.080:0.081)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE user_irq_gates\[1\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.072:0.072:0.072) (0.057:0.057:0.057)) - (IOPATH B Y (0.094:0.094:0.094) (0.079:0.079:0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE user_irq_gates\[2\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.069:0.069:0.069) (0.055:0.055:0.055)) - (IOPATH B Y (0.094:0.094:0.094) (0.081:0.081:0.082)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE user_to_mprj_in_gates\[0\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.085:0.085:0.085) (0.068:0.068:0.068)) - (IOPATH B Y (0.093:0.093:0.094) (0.081:0.081:0.081)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[100\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.120:0.120:0.120) (0.093:0.093:0.093)) - (IOPATH B Y (0.183:0.184:0.184) (0.159:0.159:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[101\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.130:0.130:0.130) (0.101:0.101:0.101)) - (IOPATH B Y (0.202:0.203:0.203) (0.175:0.175:0.175)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[102\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.106:0.106:0.106) (0.082:0.082:0.082)) - (IOPATH B Y (0.172:0.172:0.173) (0.149:0.149:0.149)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE user_to_mprj_in_gates\[103\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.129:0.129:0.129) (0.095:0.095:0.095)) - (IOPATH B Y (0.170:0.171:0.171) (0.143:0.143:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE user_to_mprj_in_gates\[104\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.119:0.119:0.119) (0.087:0.087:0.087)) - (IOPATH B Y (0.169:0.170:0.170) (0.146:0.146:0.146)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE user_to_mprj_in_gates\[105\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.123:0.123:0.123) (0.090:0.090:0.090)) - (IOPATH B Y (0.172:0.173:0.173) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE user_to_mprj_in_gates\[106\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.100:0.100:0.100) (0.074:0.074:0.074)) - (IOPATH B Y (0.142:0.142:0.143) (0.119:0.119:0.119)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE user_to_mprj_in_gates\[107\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.099:0.099:0.099) (0.073:0.073:0.073)) - (IOPATH B Y (0.130:0.131:0.131) (0.108:0.108:0.108)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE user_to_mprj_in_gates\[108\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.102:0.102:0.102) (0.075:0.075:0.075)) - (IOPATH B Y (0.133:0.133:0.134) (0.109:0.109:0.109)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[109\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.096:0.096:0.096) (0.075:0.075:0.075)) - (IOPATH B Y (0.152:0.153:0.153) (0.129:0.129:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[10\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.086:0.086:0.086) (0.066:0.066:0.066)) - (IOPATH B Y (0.103:0.103:0.104) (0.092:0.092:0.092)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[110\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.110:0.110:0.110) (0.086:0.086:0.086)) - (IOPATH B Y (0.165:0.166:0.166) (0.141:0.141:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[111\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.104:0.104:0.104) (0.080:0.080:0.080)) - (IOPATH B Y (0.166:0.167:0.167) (0.143:0.143:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[112\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.108:0.108:0.108) (0.083:0.083:0.083)) - (IOPATH B Y (0.168:0.169:0.169) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[113\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.106:0.106:0.106) (0.083:0.083:0.083)) - (IOPATH B Y (0.163:0.164:0.164) (0.140:0.140:0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[114\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.100:0.100:0.100) (0.078:0.078:0.078)) - (IOPATH B Y (0.163:0.163:0.164) (0.139:0.139:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[115\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.118:0.118:0.118) (0.091:0.091:0.091)) - (IOPATH B Y (0.193:0.194:0.195) (0.167:0.167:0.167)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[116\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.106:0.106:0.106) (0.082:0.082:0.082)) - (IOPATH B Y (0.174:0.174:0.175) (0.152:0.152:0.152)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE user_to_mprj_in_gates\[117\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.123:0.123:0.123) (0.091:0.091:0.091)) - (IOPATH B Y (0.162:0.163:0.163) (0.138:0.138:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[118\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.100:0.100:0.100) (0.077:0.077:0.077)) - (IOPATH B Y (0.151:0.151:0.152) (0.127:0.127:0.127)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE user_to_mprj_in_gates\[119\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.124:0.124:0.124) (0.091:0.091:0.091)) - (IOPATH B Y (0.151:0.152:0.152) (0.118:0.118:0.118)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE user_to_mprj_in_gates\[11\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.125:0.125:0.125) (0.093:0.093:0.093)) - (IOPATH B Y (0.141:0.142:0.142) (0.121:0.121:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[120\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.089:0.089:0.089) (0.070:0.070:0.070)) - (IOPATH B Y (0.115:0.115:0.116) (0.094:0.094:0.094)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[121\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.090:0.090:0.090) (0.071:0.071:0.071)) - (IOPATH B Y (0.124:0.124:0.125) (0.103:0.103:0.103)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[122\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.086:0.086:0.086) (0.067:0.067:0.067)) - (IOPATH B Y (0.122:0.122:0.123) (0.101:0.101:0.101)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[123\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.091:0.091:0.091) (0.071:0.071:0.071)) - (IOPATH B Y (0.130:0.131:0.131) (0.108:0.108:0.108)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[124\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.089:0.089:0.089) (0.070:0.070:0.070)) - (IOPATH B Y (0.133:0.133:0.134) (0.109:0.109:0.109)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE user_to_mprj_in_gates\[125\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.116:0.116:0.116) (0.085:0.085:0.085)) - (IOPATH B Y (0.155:0.155:0.156) (0.129:0.129:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE user_to_mprj_in_gates\[126\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.102:0.102:0.102) (0.075:0.075:0.075)) - (IOPATH B Y (0.145:0.145:0.146) (0.124:0.124:0.125)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[127\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.084:0.084:0.084) (0.066:0.066:0.066)) - (IOPATH B Y (0.139:0.139:0.140) (0.116:0.116:0.116)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE user_to_mprj_in_gates\[12\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.123:0.123:0.123) (0.091:0.091:0.091)) - (IOPATH B Y (0.138:0.138:0.139) (0.118:0.118:0.118)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE user_to_mprj_in_gates\[13\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.116:0.116:0.116) (0.086:0.086:0.086)) - (IOPATH B Y (0.118:0.119:0.120) (0.099:0.099:0.099)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE user_to_mprj_in_gates\[14\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.118:0.118:0.118) (0.088:0.088:0.088)) - (IOPATH B Y (0.134:0.135:0.136) (0.112:0.112:0.112)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[15\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.094:0.094:0.094) (0.073:0.073:0.073)) - (IOPATH B Y (0.113:0.114:0.114) (0.098:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[16\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.074:0.074:0.074) (0.057:0.057:0.057)) - (IOPATH B Y (0.092:0.093:0.093) (0.076:0.076:0.076)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[17\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.080:0.080:0.080) (0.061:0.061:0.061)) - (IOPATH B Y (0.094:0.094:0.094) (0.083:0.083:0.083)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[18\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.078:0.078:0.078) (0.060:0.060:0.060)) - (IOPATH B Y (0.092:0.092:0.093) (0.076:0.076:0.076)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE user_to_mprj_in_gates\[19\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.109:0.109:0.109) (0.088:0.088:0.088)) - (IOPATH B Y (0.124:0.125:0.125) (0.111:0.111:0.111)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE user_to_mprj_in_gates\[1\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.089:0.089:0.089) (0.069:0.069:0.069)) - (IOPATH B Y (0.100:0.100:0.100) (0.085:0.085:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE user_to_mprj_in_gates\[20\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.128:0.128:0.128) (0.095:0.095:0.095)) - (IOPATH B Y (0.128:0.128:0.129) (0.103:0.103:0.103)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE user_to_mprj_in_gates\[21\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.119:0.119:0.119) (0.089:0.089:0.089)) - (IOPATH B Y (0.123:0.123:0.124) (0.099:0.099:0.099)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE user_to_mprj_in_gates\[22\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.115:0.115:0.115) (0.085:0.085:0.085)) - (IOPATH B Y (0.110:0.110:0.111) (0.088:0.088:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[23\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.145:0.145:0.145) (0.113:0.113:0.113)) - (IOPATH B Y (0.147:0.147:0.148) (0.130:0.130:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[24\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.099:0.099:0.099) (0.077:0.077:0.077)) - (IOPATH B Y (0.105:0.105:0.106) (0.090:0.090:0.090)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[25\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.104:0.104:0.104) (0.081:0.081:0.081)) - (IOPATH B Y (0.107:0.108:0.108) (0.091:0.091:0.091)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[26\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.099:0.099:0.099) (0.077:0.077:0.077)) - (IOPATH B Y (0.108:0.108:0.108) (0.090:0.090:0.090)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[27\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.097:0.097:0.097) (0.076:0.076:0.076)) - (IOPATH B Y (0.112:0.112:0.112) (0.097:0.097:0.097)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[28\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.092:0.092:0.092) (0.071:0.071:0.071)) - (IOPATH B Y (0.109:0.110:0.110) (0.097:0.097:0.097)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[29\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.072:0.072:0.072) (0.056:0.056:0.056)) - (IOPATH B Y (0.098:0.098:0.099) (0.085:0.085:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[2\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.078:0.078:0.078) (0.060:0.060:0.060)) - (IOPATH B Y (0.100:0.100:0.100) (0.086:0.086:0.086)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE user_to_mprj_in_gates\[30\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.091:0.091:0.091) (0.072:0.072:0.072)) - (IOPATH B Y (0.110:0.110:0.111) (0.099:0.099:0.100)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[31\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.096:0.096:0.096) (0.074:0.074:0.074)) - (IOPATH B Y (0.108:0.109:0.109) (0.095:0.095:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[32\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.115:0.115:0.115) (0.090:0.090:0.090)) - (IOPATH B Y (0.129:0.130:0.130) (0.113:0.113:0.113)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[33\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.097:0.097:0.097) (0.076:0.076:0.076)) - (IOPATH B Y (0.116:0.117:0.117) (0.103:0.103:0.103)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[34\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.104:0.104:0.104) (0.081:0.081:0.081)) - (IOPATH B Y (0.113:0.114:0.114) (0.097:0.097:0.097)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[35\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.097:0.097:0.097) (0.074:0.074:0.074)) - (IOPATH B Y (0.110:0.110:0.111) (0.094:0.094:0.094)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[36\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.090:0.090:0.090) (0.069:0.069:0.069)) - (IOPATH B Y (0.113:0.113:0.114) (0.099:0.099:0.099)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE user_to_mprj_in_gates\[37\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.103:0.103:0.103) (0.083:0.083:0.083)) - (IOPATH B Y (0.127:0.127:0.128) (0.116:0.116:0.116)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE user_to_mprj_in_gates\[38\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.098:0.098:0.098) (0.078:0.078:0.078)) - (IOPATH B Y (0.125:0.125:0.126) (0.115:0.115:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[39\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.112:0.112:0.112) (0.087:0.087:0.087)) - (IOPATH B Y (0.121:0.122:0.122) (0.109:0.110:0.110)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE user_to_mprj_in_gates\[3\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.076:0.076:0.076) (0.059:0.059:0.059)) - (IOPATH B Y (0.089:0.089:0.090) (0.074:0.074:0.074)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE user_to_mprj_in_gates\[40\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.124:0.124:0.124) (0.092:0.092:0.092)) - (IOPATH B Y (0.121:0.122:0.123) (0.101:0.101:0.101)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[41\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.149:0.149:0.149) (0.116:0.116:0.116)) - (IOPATH B Y (0.157:0.158:0.158) (0.136:0.136:0.136)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[42\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.140:0.140:0.140) (0.110:0.110:0.110)) - (IOPATH B Y (0.154:0.154:0.155) (0.129:0.129:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[43\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.147:0.147:0.147) (0.115:0.115:0.115)) - (IOPATH B Y (0.145:0.145:0.145) (0.123:0.123:0.123)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[44\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.149:0.149:0.149) (0.116:0.116:0.116)) - (IOPATH B Y (0.156:0.156:0.157) (0.138:0.138:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[45\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.147:0.147:0.147) (0.115:0.115:0.115)) - (IOPATH B Y (0.154:0.155:0.155) (0.136:0.136:0.136)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[46\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.139:0.139:0.139) (0.108:0.108:0.108)) - (IOPATH B Y (0.137:0.137:0.137) (0.119:0.119:0.119)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[47\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.140:0.140:0.140) (0.109:0.109:0.109)) - (IOPATH B Y (0.139:0.140:0.140) (0.123:0.123:0.123)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[48\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.136:0.136:0.136) (0.106:0.106:0.106)) - (IOPATH B Y (0.146:0.146:0.146) (0.128:0.128:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE user_to_mprj_in_gates\[49\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.123:0.123:0.123) (0.091:0.091:0.091)) - (IOPATH B Y (0.123:0.124:0.124) (0.099:0.099:0.099)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE user_to_mprj_in_gates\[4\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.088:0.088:0.088) (0.070:0.070:0.070)) - (IOPATH B Y (0.092:0.092:0.092) (0.078:0.078:0.078)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE user_to_mprj_in_gates\[50\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.128:0.128:0.128) (0.095:0.095:0.095)) - (IOPATH B Y (0.134:0.134:0.135) (0.106:0.106:0.106)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE user_to_mprj_in_gates\[51\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.124:0.124:0.124) (0.092:0.092:0.092)) - (IOPATH B Y (0.135:0.136:0.136) (0.108:0.108:0.108)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE user_to_mprj_in_gates\[52\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.124:0.124:0.124) (0.093:0.093:0.093)) - (IOPATH B Y (0.132:0.132:0.133) (0.105:0.105:0.105)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE user_to_mprj_in_gates\[53\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.113:0.113:0.113) (0.084:0.084:0.084)) - (IOPATH B Y (0.118:0.119:0.120) (0.098:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[54\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.129:0.129:0.129) (0.101:0.101:0.101)) - (IOPATH B Y (0.152:0.152:0.153) (0.133:0.133:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[55\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.122:0.122:0.122) (0.096:0.096:0.096)) - (IOPATH B Y (0.151:0.152:0.152) (0.134:0.134:0.134)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[56\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.116:0.116:0.116) (0.091:0.091:0.091)) - (IOPATH B Y (0.139:0.139:0.140) (0.121:0.121:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[57\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.099:0.099:0.099) (0.078:0.078:0.078)) - (IOPATH B Y (0.118:0.118:0.119) (0.103:0.103:0.103)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[58\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.083:0.083:0.083) (0.065:0.065:0.065)) - (IOPATH B Y (0.106:0.106:0.107) (0.091:0.091:0.091)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[59\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.088:0.088:0.088) (0.069:0.069:0.069)) - (IOPATH B Y (0.106:0.106:0.106) (0.087:0.087:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[5\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.097:0.097:0.097) (0.075:0.075:0.075)) - (IOPATH B Y (0.107:0.107:0.108) (0.093:0.093:0.093)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[60\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.098:0.098:0.098) (0.076:0.076:0.076)) - (IOPATH B Y (0.120:0.121:0.121) (0.102:0.102:0.102)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[61\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.108:0.108:0.108) (0.084:0.084:0.084)) - (IOPATH B Y (0.147:0.147:0.148) (0.129:0.129:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE user_to_mprj_in_gates\[62\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.107:0.107:0.107) (0.079:0.079:0.079)) - (IOPATH B Y (0.131:0.132:0.132) (0.107:0.107:0.108)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE user_to_mprj_in_gates\[63\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.119:0.119:0.119) (0.087:0.087:0.087)) - (IOPATH B Y (0.159:0.159:0.160) (0.129:0.129:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[64\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.116:0.116:0.116) (0.090:0.090:0.090)) - (IOPATH B Y (0.144:0.144:0.145) (0.121:0.121:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[65\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.103:0.103:0.103) (0.081:0.081:0.081)) - (IOPATH B Y (0.131:0.132:0.132) (0.111:0.112:0.112)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE user_to_mprj_in_gates\[66\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.078:0.078:0.078) (0.057:0.057:0.057)) - (IOPATH B Y (0.109:0.110:0.110) (0.087:0.087:0.087)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE user_to_mprj_in_gates\[67\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.083:0.083:0.083) (0.060:0.060:0.060)) - (IOPATH B Y (0.113:0.113:0.114) (0.092:0.092:0.092)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE user_to_mprj_in_gates\[68\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.069:0.069:0.069) (0.051:0.051:0.051)) - (IOPATH B Y (0.098:0.098:0.099) (0.076:0.076:0.076)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE user_to_mprj_in_gates\[69\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.075:0.075:0.075) (0.055:0.055:0.055)) - (IOPATH B Y (0.111:0.111:0.112) (0.089:0.089:0.089)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[6\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.099:0.099:0.099) (0.077:0.077:0.077)) - (IOPATH B Y (0.113:0.114:0.115) (0.101:0.101:0.101)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE user_to_mprj_in_gates\[70\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.087:0.087:0.087) (0.064:0.064:0.064)) - (IOPATH B Y (0.129:0.129:0.130) (0.104:0.104:0.104)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE user_to_mprj_in_gates\[71\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.089:0.089:0.089) (0.065:0.065:0.065)) - (IOPATH B Y (0.136:0.136:0.137) (0.116:0.116:0.116)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE user_to_mprj_in_gates\[72\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.105:0.105:0.105) (0.076:0.076:0.076)) - (IOPATH B Y (0.150:0.150:0.151) (0.127:0.127:0.127)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[73\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.080:0.080:0.080) (0.063:0.063:0.063)) - (IOPATH B Y (0.123:0.124:0.124) (0.103:0.103:0.103)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE user_to_mprj_in_gates\[74\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.117:0.117:0.117) (0.087:0.087:0.087)) - (IOPATH B Y (0.158:0.159:0.159) (0.135:0.135:0.135)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE user_to_mprj_in_gates\[75\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.127:0.127:0.127) (0.093:0.093:0.093)) - (IOPATH B Y (0.171:0.172:0.172) (0.147:0.147:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[76\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.099:0.099:0.099) (0.078:0.078:0.078)) - (IOPATH B Y (0.154:0.154:0.155) (0.131:0.131:0.131)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[77\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.103:0.103:0.103) (0.080:0.080:0.080)) - (IOPATH B Y (0.162:0.162:0.163) (0.138:0.138:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[78\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.122:0.122:0.122) (0.095:0.095:0.095)) - (IOPATH B Y (0.191:0.191:0.192) (0.167:0.167:0.167)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[79\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.128:0.128:0.128) (0.098:0.098:0.098)) - (IOPATH B Y (0.207:0.207:0.208) (0.176:0.176:0.176)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[7\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.115:0.115:0.115) (0.089:0.089:0.089)) - (IOPATH B Y (0.127:0.127:0.128) (0.113:0.113:0.113)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[80\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.133:0.133:0.133) (0.101:0.101:0.101)) - (IOPATH B Y (0.206:0.207:0.207) (0.177:0.177:0.177)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[81\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.139:0.139:0.139) (0.107:0.107:0.107)) - (IOPATH B Y (0.205:0.206:0.206) (0.177:0.177:0.177)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[82\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.145:0.145:0.145) (0.112:0.112:0.112)) - (IOPATH B Y (0.220:0.221:0.221) (0.189:0.189:0.189)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[83\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.148:0.148:0.148) (0.114:0.114:0.114)) - (IOPATH B Y (0.245:0.246:0.246) (0.202:0.202:0.202)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[84\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.151:0.151:0.151) (0.116:0.116:0.116)) - (IOPATH B Y (0.254:0.254:0.255) (0.209:0.209:0.209)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[85\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.173:0.173:0.173) (0.131:0.131:0.131)) - (IOPATH B Y (0.285:0.286:0.286) (0.236:0.236:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[86\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.172:0.172:0.172) (0.130:0.130:0.130)) - (IOPATH B Y (0.283:0.283:0.284) (0.232:0.232:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[87\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.174:0.174:0.174) (0.131:0.131:0.131)) - (IOPATH B Y (0.293:0.293:0.294) (0.238:0.238:0.238)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[88\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.180:0.180:0.180) (0.136:0.136:0.136)) - (IOPATH B Y (0.307:0.307:0.307) (0.246:0.246:0.247)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[89\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.165:0.165:0.165) (0.126:0.126:0.126)) - (IOPATH B Y (0.284:0.284:0.284) (0.232:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[8\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.112:0.112:0.112) (0.087:0.087:0.087)) - (IOPATH B Y (0.127:0.127:0.128) (0.113:0.113:0.113)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[90\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.147:0.147:0.147) (0.113:0.113:0.113)) - (IOPATH B Y (0.271:0.271:0.272) (0.221:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[91\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.140:0.140:0.140) (0.110:0.110:0.110)) - (IOPATH B Y (0.246:0.247:0.248) (0.202:0.202:0.202)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[92\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.123:0.123:0.123) (0.095:0.095:0.095)) - (IOPATH B Y (0.227:0.228:0.228) (0.186:0.186:0.186)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[93\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.121:0.121:0.121) (0.095:0.095:0.095)) - (IOPATH B Y (0.216:0.217:0.217) (0.182:0.182:0.182)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[94\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.105:0.105:0.105) (0.082:0.082:0.082)) - (IOPATH B Y (0.171:0.171:0.172) (0.148:0.148:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[95\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.084:0.084:0.084) (0.065:0.065:0.065)) - (IOPATH B Y (0.139:0.140:0.141) (0.117:0.117:0.117)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[96\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.099:0.099:0.099) (0.076:0.076:0.076)) - (IOPATH B Y (0.161:0.162:0.162) (0.136:0.136:0.136)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE user_to_mprj_in_gates\[97\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.113:0.113:0.113) (0.084:0.084:0.084)) - (IOPATH B Y (0.151:0.152:0.152) (0.126:0.126:0.127)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_4") - (INSTANCE user_to_mprj_in_gates\[98\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.125:0.125:0.125) (0.092:0.092:0.092)) - (IOPATH B Y (0.163:0.164:0.165) (0.137:0.137:0.137)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_8") - (INSTANCE user_to_mprj_in_gates\[99\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.094:0.094:0.094) (0.074:0.074:0.074)) - (IOPATH B Y (0.144:0.144:0.145) (0.122:0.122:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_to_mprj_in_gates\[9\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.121:0.121:0.121) (0.093:0.093:0.093)) - (IOPATH B Y (0.133:0.134:0.134) (0.119:0.119:0.119)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE user_wb_ack_gate) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.332:0.332:0.332) (0.189:0.189:0.189)) - (IOPATH B Y (0.176:0.176:0.176) (0.168:0.168:0.168)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE user_wb_dat_gates\[0\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.304:0.304:0.304) (0.186:0.186:0.186)) - (IOPATH B Y (0.177:0.177:0.177) (0.171:0.171:0.171)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE user_wb_dat_gates\[10\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.325:0.325:0.325) (0.210:0.210:0.210)) - (IOPATH B Y (0.191:0.191:0.191) (0.191:0.191:0.191)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE user_wb_dat_gates\[11\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.259:0.259:0.259) (0.160:0.160:0.160)) - (IOPATH B Y (0.167:0.167:0.167) (0.158:0.158:0.158)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE user_wb_dat_gates\[12\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.264:0.264:0.264) (0.177:0.177:0.177)) - (IOPATH B Y (0.184:0.184:0.184) (0.182:0.182:0.182)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE user_wb_dat_gates\[13\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.268:0.268:0.268) (0.163:0.163:0.163)) - (IOPATH B Y (0.167:0.167:0.167) (0.157:0.157:0.157)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE user_wb_dat_gates\[14\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.228:0.228:0.228) (0.152:0.152:0.152)) - (IOPATH B Y (0.174:0.174:0.174) (0.168:0.168:0.168)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE user_wb_dat_gates\[15\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.255:0.255:0.255) (0.172:0.172:0.172)) - (IOPATH B Y (0.183:0.183:0.184) (0.182:0.182:0.182)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_wb_dat_gates\[16\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.185:0.185:0.185) (0.120:0.120:0.120)) - (IOPATH B Y (0.168:0.169:0.169) (0.147:0.147:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE user_wb_dat_gates\[17\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.267:0.267:0.267) (0.184:0.184:0.184)) - (IOPATH B Y (0.193:0.193:0.193) (0.194:0.194:0.194)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE user_wb_dat_gates\[18\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.247:0.247:0.247) (0.172:0.172:0.172)) - (IOPATH B Y (0.190:0.190:0.191) (0.190:0.190:0.190)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE user_wb_dat_gates\[19\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.245:0.245:0.245) (0.172:0.172:0.172)) - (IOPATH B Y (0.190:0.190:0.190) (0.190:0.190:0.190)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE user_wb_dat_gates\[1\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.290:0.290:0.290) (0.191:0.191:0.191)) - (IOPATH B Y (0.188:0.188:0.188) (0.187:0.187:0.187)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE user_wb_dat_gates\[20\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.284:0.284:0.284) (0.185:0.185:0.185)) - (IOPATH B Y (0.182:0.182:0.183) (0.180:0.180:0.180)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE user_wb_dat_gates\[21\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.210:0.210:0.210) (0.148:0.148:0.148)) - (IOPATH B Y (0.179:0.179:0.179) (0.175:0.175:0.175)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_wb_dat_gates\[22\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.257:0.257:0.257) (0.134:0.134:0.134)) - (IOPATH B Y (0.162:0.163:0.163) (0.136:0.136:0.136)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_wb_dat_gates\[23\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.282:0.282:0.282) (0.174:0.174:0.174)) - (IOPATH B Y (0.192:0.193:0.193) (0.178:0.178:0.178)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_wb_dat_gates\[24\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.263:0.263:0.263) (0.161:0.161:0.161)) - (IOPATH B Y (0.186:0.186:0.186) (0.170:0.170:0.170)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_wb_dat_gates\[25\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.241:0.241:0.241) (0.160:0.160:0.160)) - (IOPATH B Y (0.195:0.195:0.195) (0.182:0.182:0.182)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_wb_dat_gates\[26\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.228:0.228:0.228) (0.151:0.151:0.151)) - (IOPATH B Y (0.190:0.190:0.190) (0.175:0.175:0.175)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_wb_dat_gates\[27\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.251:0.251:0.251) (0.151:0.151:0.151)) - (IOPATH B Y (0.179:0.179:0.180) (0.161:0.162:0.162)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_wb_dat_gates\[28\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.211:0.211:0.211) (0.139:0.139:0.139)) - (IOPATH B Y (0.181:0.182:0.182) (0.164:0.165:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_wb_dat_gates\[29\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.282:0.282:0.282) (0.159:0.159:0.159)) - (IOPATH B Y (0.175:0.176:0.176) (0.156:0.156:0.156)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE user_wb_dat_gates\[2\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.246:0.246:0.246) (0.155:0.155:0.155)) - (IOPATH B Y (0.168:0.168:0.168) (0.158:0.158:0.158)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_wb_dat_gates\[30\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.272:0.272:0.272) (0.156:0.156:0.156)) - (IOPATH B Y (0.176:0.176:0.176) (0.157:0.157:0.157)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_wb_dat_gates\[31\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.214:0.214:0.214) (0.138:0.138:0.138)) - (IOPATH B Y (0.179:0.179:0.179) (0.161:0.161:0.161)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE user_wb_dat_gates\[3\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.268:0.268:0.268) (0.175:0.175:0.175)) - (IOPATH B Y (0.181:0.181:0.182) (0.177:0.177:0.177)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE user_wb_dat_gates\[4\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.272:0.272:0.272) (0.182:0.182:0.182)) - (IOPATH B Y (0.186:0.187:0.187) (0.186:0.186:0.186)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE user_wb_dat_gates\[5\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.209:0.209:0.209) (0.130:0.130:0.130)) - (IOPATH B Y (0.156:0.157:0.157) (0.142:0.142:0.142)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_wb_dat_gates\[6\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.240:0.240:0.240) (0.163:0.163:0.163)) - (IOPATH B Y (0.199:0.199:0.200) (0.188:0.188:0.188)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE user_wb_dat_gates\[7\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.278:0.278:0.278) (0.173:0.173:0.173)) - (IOPATH B Y (0.174:0.174:0.175) (0.167:0.167:0.167)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE user_wb_dat_gates\[8\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.236:0.236:0.236) (0.161:0.161:0.161)) - (IOPATH B Y (0.182:0.182:0.182) (0.179:0.179:0.179)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE user_wb_dat_gates\[9\]) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.258:0.258:0.258) (0.168:0.168:0.168)) - (IOPATH B Y (0.197:0.197:0.198) (0.184:0.184:0.185)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input2) - (DELAY - (ABSOLUTE - (IOPATH A X (0.114:0.114:0.114) (0.121:0.121:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.244:0.244:0.244) (0.151:0.151:0.151)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input3) - (DELAY - (ABSOLUTE - (IOPATH A X (0.204:0.204:0.204) (0.141:0.141:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input4) - (DELAY - (ABSOLUTE - (IOPATH A X (0.139:0.139:0.139) (0.141:0.141:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input5) - (DELAY - (ABSOLUTE - (IOPATH A X (0.114:0.114:0.114) (0.121:0.121:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input6) - (DELAY - (ABSOLUTE - (IOPATH A X (0.165:0.165:0.165) (0.160:0.160:0.160)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input7) - (DELAY - (ABSOLUTE - (IOPATH A X (0.133:0.133:0.133) (0.136:0.136:0.136)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input8) - (DELAY - (ABSOLUTE - (IOPATH A X (0.142:0.142:0.142) (0.143:0.143:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input9) - (DELAY - (ABSOLUTE - (IOPATH A X (0.139:0.139:0.139) (0.141:0.141:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input10) - (DELAY - (ABSOLUTE - (IOPATH A X (0.167:0.167:0.167) (0.161:0.161:0.161)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input11) - (DELAY - (ABSOLUTE - (IOPATH A X (0.168:0.168:0.168) (0.163:0.163:0.163)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input12) - (DELAY - (ABSOLUTE - (IOPATH A X (0.181:0.181:0.181) (0.172:0.172:0.172)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input13) - (DELAY - (ABSOLUTE - (IOPATH A X (0.208:0.208:0.208) (0.191:0.191:0.191)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input14) - (DELAY - (ABSOLUTE - (IOPATH A X (0.210:0.210:0.210) (0.192:0.192:0.192)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input15) - (DELAY - (ABSOLUTE - (IOPATH A X (0.116:0.116:0.116) (0.122:0.122:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input16) - (DELAY - (ABSOLUTE - (IOPATH A X (0.218:0.218:0.218) (0.198:0.198:0.198)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input17) - (DELAY - (ABSOLUTE - (IOPATH A X (0.211:0.211:0.211) (0.185:0.185:0.185)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input18) - (DELAY - (ABSOLUTE - (IOPATH A X (0.171:0.171:0.171) (0.147:0.147:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input19) - (DELAY - (ABSOLUTE - (IOPATH A X (0.201:0.201:0.201) (0.179:0.179:0.179)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input20) - (DELAY - (ABSOLUTE - (IOPATH A X (0.160:0.160:0.160) (0.140:0.140:0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input21) - (DELAY - (ABSOLUTE - (IOPATH A X (0.182:0.182:0.182) (0.171:0.171:0.171)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input22) - (DELAY - (ABSOLUTE - (IOPATH A X (0.176:0.176:0.176) (0.168:0.168:0.168)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input23) - (DELAY - (ABSOLUTE - (IOPATH A X (0.138:0.138:0.138) (0.140:0.140:0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input24) - (DELAY - (ABSOLUTE - (IOPATH A X (0.152:0.152:0.152) (0.151:0.151:0.151)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input25) - (DELAY - (ABSOLUTE - (IOPATH A X (0.182:0.182:0.182) (0.173:0.173:0.173)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input26) - (DELAY - (ABSOLUTE - (IOPATH A X (0.118:0.118:0.118) (0.124:0.124:0.124)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input27) - (DELAY - (ABSOLUTE - (IOPATH A X (0.187:0.187:0.187) (0.176:0.176:0.176)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input28) - (DELAY - (ABSOLUTE - (IOPATH A X (0.187:0.187:0.187) (0.176:0.176:0.176)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input29) - (DELAY - (ABSOLUTE - (IOPATH A X (0.173:0.173:0.173) (0.167:0.167:0.167)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input30) - (DELAY - (ABSOLUTE - (IOPATH A X (0.175:0.175:0.175) (0.167:0.167:0.167)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE input31) - (DELAY - (ABSOLUTE - (IOPATH A X (0.170:0.170:0.170) (0.162:0.162:0.162)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE input32) - (DELAY - (ABSOLUTE - (IOPATH A X (0.167:0.167:0.167) (0.160:0.160:0.160)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE input33) - (DELAY - (ABSOLUTE - (IOPATH A X (0.175:0.175:0.175) (0.165:0.165:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE input34) - (DELAY - (ABSOLUTE - (IOPATH A X (0.160:0.160:0.160) (0.155:0.155:0.155)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input35) - (DELAY - (ABSOLUTE - (IOPATH A X (0.120:0.120:0.120) (0.126:0.126:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input36) - (DELAY - (ABSOLUTE - (IOPATH A X (0.119:0.119:0.119) (0.125:0.125:0.125)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input37) - (DELAY - (ABSOLUTE - (IOPATH A X (0.127:0.127:0.127) (0.131:0.131:0.131)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input38) - (DELAY - (ABSOLUTE - (IOPATH A X (0.138:0.138:0.138) (0.140:0.140:0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input39) - (DELAY - (ABSOLUTE - (IOPATH A X (0.117:0.117:0.117) (0.124:0.124:0.124)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input40) - (DELAY - (ABSOLUTE - (IOPATH A X (0.132:0.132:0.132) (0.136:0.136:0.136)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input41) - (DELAY - (ABSOLUTE - (IOPATH A X (0.142:0.142:0.142) (0.143:0.143:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input42) - (DELAY - (ABSOLUTE - (IOPATH A X (0.124:0.124:0.124) (0.129:0.129:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input43) - (DELAY - (ABSOLUTE - (IOPATH A X (0.135:0.135:0.135) (0.138:0.138:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input44) - (DELAY - (ABSOLUTE - (IOPATH A X (0.122:0.122:0.122) (0.128:0.128:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input45) - (DELAY - (ABSOLUTE - (IOPATH A X (0.166:0.166:0.166) (0.160:0.160:0.160)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input46) - (DELAY - (ABSOLUTE - (IOPATH A X (0.147:0.147:0.147) (0.147:0.147:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input47) - (DELAY - (ABSOLUTE - (IOPATH A X (0.138:0.138:0.138) (0.140:0.140:0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input48) - (DELAY - (ABSOLUTE - (IOPATH A X (0.151:0.151:0.151) (0.149:0.149:0.149)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input49) - (DELAY - (ABSOLUTE - (IOPATH A X (0.156:0.156:0.156) (0.154:0.154:0.154)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input50) - (DELAY - (ABSOLUTE - (IOPATH A X (0.145:0.145:0.145) (0.146:0.146:0.146)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input51) - (DELAY - (ABSOLUTE - (IOPATH A X (0.153:0.153:0.153) (0.151:0.151:0.151)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input52) - (DELAY - (ABSOLUTE - (IOPATH A X (0.156:0.156:0.156) (0.153:0.153:0.153)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input53) - (DELAY - (ABSOLUTE - (IOPATH A X (0.162:0.162:0.162) (0.157:0.157:0.157)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input54) - (DELAY - (ABSOLUTE - (IOPATH A X (0.133:0.133:0.133) (0.136:0.136:0.136)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input55) - (DELAY - (ABSOLUTE - (IOPATH A X (0.171:0.171:0.171) (0.164:0.164:0.164)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input56) - (DELAY - (ABSOLUTE - (IOPATH A X (0.169:0.169:0.169) (0.163:0.163:0.163)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input57) - (DELAY - (ABSOLUTE - (IOPATH A X (0.181:0.181:0.181) (0.171:0.171:0.171)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input58) - (DELAY - (ABSOLUTE - (IOPATH A X (0.172:0.172:0.172) (0.164:0.164:0.164)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input59) - (DELAY - (ABSOLUTE - (IOPATH A X (0.140:0.140:0.140) (0.141:0.141:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input60) - (DELAY - (ABSOLUTE - (IOPATH A X (0.159:0.159:0.159) (0.156:0.156:0.156)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input61) - (DELAY - (ABSOLUTE - (IOPATH A X (0.163:0.163:0.163) (0.159:0.159:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input62) - (DELAY - (ABSOLUTE - (IOPATH A X (0.149:0.149:0.149) (0.148:0.148:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input63) - (DELAY - (ABSOLUTE - (IOPATH A X (0.124:0.124:0.124) (0.129:0.129:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input64) - (DELAY - (ABSOLUTE - (IOPATH A X (0.135:0.135:0.135) (0.138:0.138:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input65) - (DELAY - (ABSOLUTE - (IOPATH A X (0.120:0.120:0.120) (0.125:0.125:0.125)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input66) - (DELAY - (ABSOLUTE - (IOPATH A X (0.172:0.172:0.172) (0.165:0.165:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input67) - (DELAY - (ABSOLUTE - (IOPATH A X (0.159:0.159:0.159) (0.155:0.155:0.155)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input68) - (DELAY - (ABSOLUTE - (IOPATH A X (0.181:0.181:0.181) (0.172:0.172:0.172)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input69) - (DELAY - (ABSOLUTE - (IOPATH A X (0.187:0.187:0.187) (0.175:0.175:0.175)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input70) - (DELAY - (ABSOLUTE - (IOPATH A X (0.179:0.179:0.179) (0.170:0.170:0.170)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input71) - (DELAY - (ABSOLUTE - (IOPATH A X (0.188:0.188:0.188) (0.176:0.176:0.176)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input72) - (DELAY - (ABSOLUTE - (IOPATH A X (0.196:0.196:0.196) (0.182:0.182:0.182)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input73) - (DELAY - (ABSOLUTE - (IOPATH A X (0.171:0.171:0.171) (0.165:0.165:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input74) - (DELAY - (ABSOLUTE - (IOPATH A X (0.190:0.190:0.190) (0.178:0.178:0.178)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input75) - (DELAY - (ABSOLUTE - (IOPATH A X (0.162:0.162:0.162) (0.160:0.160:0.160)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input76) - (DELAY - (ABSOLUTE - (IOPATH A X (0.137:0.137:0.137) (0.140:0.140:0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input77) - (DELAY - (ABSOLUTE - (IOPATH A X (0.179:0.179:0.179) (0.169:0.169:0.169)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input78) - (DELAY - (ABSOLUTE - (IOPATH A X (0.163:0.163:0.163) (0.161:0.161:0.161)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input79) - (DELAY - (ABSOLUTE - (IOPATH A X (0.174:0.174:0.174) (0.166:0.166:0.166)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input80) - (DELAY - (ABSOLUTE - (IOPATH A X (0.167:0.167:0.167) (0.163:0.163:0.163)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input81) - (DELAY - (ABSOLUTE - (IOPATH A X (0.182:0.182:0.182) (0.172:0.172:0.172)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input82) - (DELAY - (ABSOLUTE - (IOPATH A X (0.180:0.180:0.180) (0.170:0.170:0.170)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input83) - (DELAY - (ABSOLUTE - (IOPATH A X (0.185:0.185:0.185) (0.173:0.173:0.173)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input84) - (DELAY - (ABSOLUTE - (IOPATH A X (0.193:0.193:0.193) (0.180:0.180:0.180)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input85) - (DELAY - (ABSOLUTE - (IOPATH A X (0.173:0.173:0.173) (0.165:0.165:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input86) - (DELAY - (ABSOLUTE - (IOPATH A X (0.135:0.135:0.135) (0.138:0.138:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input87) - (DELAY - (ABSOLUTE - (IOPATH A X (0.136:0.136:0.136) (0.139:0.139:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input88) - (DELAY - (ABSOLUTE - (IOPATH A X (0.143:0.143:0.143) (0.144:0.144:0.144)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input89) - (DELAY - (ABSOLUTE - (IOPATH A X (0.149:0.149:0.149) (0.148:0.148:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input90) - (DELAY - (ABSOLUTE - (IOPATH A X (0.185:0.185:0.185) (0.174:0.174:0.174)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input91) - (DELAY - (ABSOLUTE - (IOPATH A X (0.178:0.178:0.178) (0.169:0.169:0.169)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input92) - (DELAY - (ABSOLUTE - (IOPATH A X (0.218:0.218:0.218) (0.187:0.187:0.187)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input93) - (DELAY - (ABSOLUTE - (IOPATH A X (0.174:0.174:0.174) (0.146:0.146:0.146)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input94) - (DELAY - (ABSOLUTE - (IOPATH A X (0.164:0.164:0.164) (0.141:0.141:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input95) - (DELAY - (ABSOLUTE - (IOPATH A X (0.170:0.170:0.170) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input96) - (DELAY - (ABSOLUTE - (IOPATH A X (0.203:0.203:0.203) (0.162:0.162:0.162)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input97) - (DELAY - (ABSOLUTE - (IOPATH A X (0.204:0.204:0.204) (0.161:0.161:0.161)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input98) - (DELAY - (ABSOLUTE - (IOPATH A X (0.146:0.146:0.146) (0.146:0.146:0.146)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input99) - (DELAY - (ABSOLUTE - (IOPATH A X (0.176:0.176:0.176) (0.148:0.148:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input100) - (DELAY - (ABSOLUTE - (IOPATH A X (0.177:0.177:0.177) (0.146:0.146:0.146)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input101) - (DELAY - (ABSOLUTE - (IOPATH A X (0.179:0.179:0.179) (0.169:0.169:0.169)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input102) - (DELAY - (ABSOLUTE - (IOPATH A X (0.172:0.172:0.172) (0.165:0.165:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input103) - (DELAY - (ABSOLUTE - (IOPATH A X (0.204:0.204:0.204) (0.188:0.188:0.188)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input104) - (DELAY - (ABSOLUTE - (IOPATH A X (0.200:0.200:0.200) (0.185:0.185:0.185)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input105) - (DELAY - (ABSOLUTE - (IOPATH A X (0.203:0.203:0.203) (0.187:0.187:0.187)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input106) - (DELAY - (ABSOLUTE - (IOPATH A X (0.214:0.214:0.214) (0.185:0.185:0.185)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input107) - (DELAY - (ABSOLUTE - (IOPATH A X (0.203:0.203:0.203) (0.187:0.187:0.187)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input108) - (DELAY - (ABSOLUTE - (IOPATH A X (0.195:0.195:0.195) (0.177:0.177:0.177)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input109) - (DELAY - (ABSOLUTE - (IOPATH A X (0.161:0.161:0.161) (0.157:0.157:0.157)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE input110) - (DELAY - (ABSOLUTE - (IOPATH A X (0.178:0.178:0.178) (0.169:0.169:0.169)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input111) - (DELAY - (ABSOLUTE - (IOPATH A X (0.180:0.180:0.180) (0.148:0.148:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input112) - (DELAY - (ABSOLUTE - (IOPATH A X (0.220:0.220:0.220) (0.168:0.168:0.168)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input113) - (DELAY - (ABSOLUTE - (IOPATH A X (0.186:0.186:0.186) (0.148:0.148:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input114) - (DELAY - (ABSOLUTE - (IOPATH A X (0.167:0.167:0.167) (0.141:0.141:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input115) - (DELAY - (ABSOLUTE - (IOPATH A X (0.167:0.167:0.167) (0.142:0.142:0.142)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input116) - (DELAY - (ABSOLUTE - (IOPATH A X (0.159:0.159:0.159) (0.137:0.137:0.137)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input117) - (DELAY - (ABSOLUTE - (IOPATH A X (0.174:0.174:0.174) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input118) - (DELAY - (ABSOLUTE - (IOPATH A X (0.188:0.188:0.188) (0.151:0.151:0.151)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input119) - (DELAY - (ABSOLUTE - (IOPATH A X (0.191:0.191:0.191) (0.179:0.179:0.179)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input120) - (DELAY - (ABSOLUTE - (IOPATH A X (0.162:0.162:0.162) (0.157:0.157:0.157)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input121) - (DELAY - (ABSOLUTE - (IOPATH A X (0.191:0.191:0.191) (0.179:0.179:0.179)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input122) - (DELAY - (ABSOLUTE - (IOPATH A X (0.198:0.198:0.198) (0.184:0.184:0.184)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input123) - (DELAY - (ABSOLUTE - (IOPATH A X (0.201:0.201:0.201) (0.186:0.186:0.186)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input124) - (DELAY - (ABSOLUTE - (IOPATH A X (0.183:0.183:0.183) (0.173:0.173:0.173)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input125) - (DELAY - (ABSOLUTE - (IOPATH A X (0.191:0.191:0.191) (0.179:0.179:0.179)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input126) - (DELAY - (ABSOLUTE - (IOPATH A X (0.151:0.151:0.151) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input127) - (DELAY - (ABSOLUTE - (IOPATH A X (0.141:0.141:0.141) (0.142:0.142:0.142)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input128) - (DELAY - (ABSOLUTE - (IOPATH A X (0.147:0.147:0.147) (0.147:0.147:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input129) - (DELAY - (ABSOLUTE - (IOPATH A X (0.164:0.164:0.164) (0.159:0.159:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input130) - (DELAY - (ABSOLUTE - (IOPATH A X (0.164:0.164:0.164) (0.159:0.159:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input131) - (DELAY - (ABSOLUTE - (IOPATH A X (0.153:0.153:0.153) (0.151:0.151:0.151)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input132) - (DELAY - (ABSOLUTE - (IOPATH A X (0.115:0.115:0.115) (0.122:0.122:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input133) - (DELAY - (ABSOLUTE - (IOPATH A X (0.176:0.176:0.176) (0.168:0.168:0.168)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input134) - (DELAY - (ABSOLUTE - (IOPATH A X (0.166:0.166:0.166) (0.161:0.161:0.161)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input135) - (DELAY - (ABSOLUTE - (IOPATH A X (0.166:0.166:0.166) (0.161:0.161:0.161)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input136) - (DELAY - (ABSOLUTE - (IOPATH A X (0.164:0.164:0.164) (0.160:0.160:0.160)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input137) - (DELAY - (ABSOLUTE - (IOPATH A X (0.145:0.145:0.145) (0.146:0.146:0.146)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input138) - (DELAY - (ABSOLUTE - (IOPATH A X (0.133:0.133:0.133) (0.137:0.137:0.137)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input139) - (DELAY - (ABSOLUTE - (IOPATH A X (0.159:0.159:0.159) (0.155:0.155:0.155)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input140) - (DELAY - (ABSOLUTE - (IOPATH A X (0.158:0.158:0.158) (0.155:0.155:0.155)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input141) - (DELAY - (ABSOLUTE - (IOPATH A X (0.158:0.158:0.158) (0.155:0.155:0.155)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input142) - (DELAY - (ABSOLUTE - (IOPATH A X (0.142:0.142:0.142) (0.143:0.143:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input143) - (DELAY - (ABSOLUTE - (IOPATH A X (0.142:0.142:0.142) (0.143:0.143:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input144) - (DELAY - (ABSOLUTE - (IOPATH A X (0.137:0.137:0.137) (0.139:0.139:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input145) - (DELAY - (ABSOLUTE - (IOPATH A X (0.137:0.137:0.137) (0.139:0.139:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input146) - (DELAY - (ABSOLUTE - (IOPATH A X (0.150:0.150:0.150) (0.149:0.149:0.149)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input147) - (DELAY - (ABSOLUTE - (IOPATH A X (0.124:0.124:0.124) (0.129:0.129:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input148) - (DELAY - (ABSOLUTE - (IOPATH A X (0.136:0.136:0.136) (0.138:0.138:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input149) - (DELAY - (ABSOLUTE - (IOPATH A X (0.139:0.139:0.139) (0.141:0.141:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input150) - (DELAY - (ABSOLUTE - (IOPATH A X (0.131:0.131:0.131) (0.134:0.134:0.134)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input151) - (DELAY - (ABSOLUTE - (IOPATH A X (0.121:0.121:0.121) (0.127:0.127:0.127)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input152) - (DELAY - (ABSOLUTE - (IOPATH A X (0.134:0.134:0.134) (0.137:0.137:0.137)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input153) - (DELAY - (ABSOLUTE - (IOPATH A X (0.191:0.191:0.191) (0.179:0.179:0.179)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input154) - (DELAY - (ABSOLUTE - (IOPATH A X (0.145:0.145:0.145) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input155) - (DELAY - (ABSOLUTE - (IOPATH A X (0.175:0.175:0.175) (0.168:0.168:0.168)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input156) - (DELAY - (ABSOLUTE - (IOPATH A X (0.146:0.146:0.146) (0.146:0.146:0.146)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input157) - (DELAY - (ABSOLUTE - (IOPATH A X (0.141:0.141:0.141) (0.142:0.142:0.142)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input158) - (DELAY - (ABSOLUTE - (IOPATH A X (0.130:0.130:0.130) (0.134:0.134:0.134)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input159) - (DELAY - (ABSOLUTE - (IOPATH A X (0.127:0.127:0.127) (0.132:0.132:0.132)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input160) - (DELAY - (ABSOLUTE - (IOPATH A X (0.125:0.125:0.125) (0.130:0.130:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input161) - (DELAY - (ABSOLUTE - (IOPATH A X (0.135:0.135:0.135) (0.138:0.138:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input162) - (DELAY - (ABSOLUTE - (IOPATH A X (0.130:0.130:0.130) (0.134:0.134:0.134)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input163) - (DELAY - (ABSOLUTE - (IOPATH A X (0.142:0.142:0.142) (0.143:0.143:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input164) - (DELAY - (ABSOLUTE - (IOPATH A X (0.121:0.121:0.121) (0.126:0.126:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input165) - (DELAY - (ABSOLUTE - (IOPATH A X (0.125:0.125:0.125) (0.130:0.130:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input166) - (DELAY - (ABSOLUTE - (IOPATH A X (0.116:0.116:0.116) (0.122:0.122:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input167) - (DELAY - (ABSOLUTE - (IOPATH A X (0.115:0.115:0.115) (0.122:0.122:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input168) - (DELAY - (ABSOLUTE - (IOPATH A X (0.123:0.123:0.123) (0.129:0.129:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input169) - (DELAY - (ABSOLUTE - (IOPATH A X (0.127:0.127:0.127) (0.131:0.131:0.131)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input170) - (DELAY - (ABSOLUTE - (IOPATH A X (0.117:0.117:0.117) (0.123:0.123:0.123)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input171) - (DELAY - (ABSOLUTE - (IOPATH A X (0.116:0.116:0.116) (0.123:0.123:0.123)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input172) - (DELAY - (ABSOLUTE - (IOPATH A X (0.174:0.174:0.174) (0.167:0.167:0.167)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input173) - (DELAY - (ABSOLUTE - (IOPATH A X (0.151:0.151:0.151) (0.154:0.154:0.154)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input174) - (DELAY - (ABSOLUTE - (IOPATH A X (0.159:0.159:0.159) (0.159:0.159:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input175) - (DELAY - (ABSOLUTE - (IOPATH A X (0.160:0.160:0.160) (0.159:0.159:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input176) - (DELAY - (ABSOLUTE - (IOPATH A X (0.173:0.173:0.173) (0.166:0.166:0.166)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input177) - (DELAY - (ABSOLUTE - (IOPATH A X (0.149:0.149:0.149) (0.149:0.149:0.149)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input178) - (DELAY - (ABSOLUTE - (IOPATH A X (0.152:0.152:0.152) (0.151:0.151:0.151)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input179) - (DELAY - (ABSOLUTE - (IOPATH A X (0.136:0.136:0.136) (0.139:0.139:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input180) - (DELAY - (ABSOLUTE - (IOPATH A X (0.116:0.116:0.116) (0.123:0.123:0.123)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input181) - (DELAY - (ABSOLUTE - (IOPATH A X (0.117:0.117:0.117) (0.124:0.124:0.124)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input182) - (DELAY - (ABSOLUTE - (IOPATH A X (0.136:0.136:0.136) (0.139:0.139:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input183) - (DELAY - (ABSOLUTE - (IOPATH A X (0.118:0.118:0.118) (0.125:0.125:0.125)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input184) - (DELAY - (ABSOLUTE - (IOPATH A X (0.146:0.146:0.146) (0.146:0.146:0.146)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input185) - (DELAY - (ABSOLUTE - (IOPATH A X (0.129:0.129:0.129) (0.134:0.134:0.134)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input186) - (DELAY - (ABSOLUTE - (IOPATH A X (0.107:0.107:0.107) (0.115:0.115:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input187) - (DELAY - (ABSOLUTE - (IOPATH A X (0.109:0.109:0.109) (0.117:0.117:0.117)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input188) - (DELAY - (ABSOLUTE - (IOPATH A X (0.118:0.118:0.118) (0.125:0.125:0.125)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input189) - (DELAY - (ABSOLUTE - (IOPATH A X (0.126:0.126:0.126) (0.131:0.131:0.131)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input190) - (DELAY - (ABSOLUTE - (IOPATH A X (0.124:0.124:0.124) (0.129:0.129:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input191) - (DELAY - (ABSOLUTE - (IOPATH A X (0.117:0.117:0.117) (0.124:0.124:0.124)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input192) - (DELAY - (ABSOLUTE - (IOPATH A X (0.127:0.127:0.127) (0.131:0.131:0.131)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input193) - (DELAY - (ABSOLUTE - (IOPATH A X (0.124:0.124:0.124) (0.129:0.129:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input194) - (DELAY - (ABSOLUTE - (IOPATH A X (0.169:0.169:0.169) (0.162:0.162:0.162)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input195) - (DELAY - (ABSOLUTE - (IOPATH A X (0.185:0.185:0.185) (0.174:0.174:0.174)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input196) - (DELAY - (ABSOLUTE - (IOPATH A X (0.161:0.161:0.161) (0.160:0.160:0.160)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input197) - (DELAY - (ABSOLUTE - (IOPATH A X (0.159:0.159:0.159) (0.158:0.158:0.158)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input198) - (DELAY - (ABSOLUTE - (IOPATH A X (0.185:0.185:0.185) (0.174:0.174:0.174)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input199) - (DELAY - (ABSOLUTE - (IOPATH A X (0.179:0.179:0.179) (0.168:0.168:0.168)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input200) - (DELAY - (ABSOLUTE - (IOPATH A X (0.176:0.176:0.176) (0.167:0.167:0.167)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input201) - (DELAY - (ABSOLUTE - (IOPATH A X (0.185:0.185:0.185) (0.173:0.173:0.173)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input202) - (DELAY - (ABSOLUTE - (IOPATH A X (0.183:0.183:0.183) (0.172:0.172:0.172)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input203) - (DELAY - (ABSOLUTE - (IOPATH A X (0.177:0.177:0.177) (0.168:0.168:0.168)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input204) - (DELAY - (ABSOLUTE - (IOPATH A X (0.110:0.110:0.110) (0.118:0.118:0.118)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input205) - (DELAY - (ABSOLUTE - (IOPATH A X (0.166:0.166:0.166) (0.163:0.163:0.163)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input206) - (DELAY - (ABSOLUTE - (IOPATH A X (0.196:0.196:0.196) (0.182:0.182:0.182)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input207) - (DELAY - (ABSOLUTE - (IOPATH A X (0.170:0.170:0.170) (0.163:0.163:0.163)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input208) - (DELAY - (ABSOLUTE - (IOPATH A X (0.129:0.129:0.129) (0.133:0.133:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input209) - (DELAY - (ABSOLUTE - (IOPATH A X (0.111:0.111:0.111) (0.119:0.119:0.119)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input210) - (DELAY - (ABSOLUTE - (IOPATH A X (0.106:0.106:0.106) (0.115:0.115:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input211) - (DELAY - (ABSOLUTE - (IOPATH A X (0.107:0.107:0.107) (0.115:0.115:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input212) - (DELAY - (ABSOLUTE - (IOPATH A X (0.107:0.107:0.107) (0.115:0.115:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input213) - (DELAY - (ABSOLUTE - (IOPATH A X (0.106:0.106:0.106) (0.114:0.114:0.114)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input214) - (DELAY - (ABSOLUTE - (IOPATH A X (0.137:0.137:0.137) (0.140:0.140:0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input215) - (DELAY - (ABSOLUTE - (IOPATH A X (0.112:0.112:0.112) (0.119:0.119:0.119)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input216) - (DELAY - (ABSOLUTE - (IOPATH A X (0.162:0.162:0.162) (0.158:0.158:0.158)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input217) - (DELAY - (ABSOLUTE - (IOPATH A X (0.193:0.193:0.193) (0.180:0.180:0.180)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input218) - (DELAY - (ABSOLUTE - (IOPATH A X (0.167:0.167:0.167) (0.162:0.162:0.162)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input219) - (DELAY - (ABSOLUTE - (IOPATH A X (0.190:0.190:0.190) (0.174:0.174:0.174)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input220) - (DELAY - (ABSOLUTE - (IOPATH A X (0.189:0.189:0.189) (0.177:0.177:0.177)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input221) - (DELAY - (ABSOLUTE - (IOPATH A X (0.189:0.189:0.189) (0.176:0.176:0.176)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input222) - (DELAY - (ABSOLUTE - (IOPATH A X (0.199:0.199:0.199) (0.179:0.179:0.179)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input223) - (DELAY - (ABSOLUTE - (IOPATH A X (0.173:0.173:0.173) (0.166:0.166:0.166)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input224) - (DELAY - (ABSOLUTE - (IOPATH A X (0.197:0.197:0.197) (0.177:0.177:0.177)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input225) - (DELAY - (ABSOLUTE - (IOPATH A X (0.191:0.191:0.191) (0.175:0.175:0.175)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input226) - (DELAY - (ABSOLUTE - (IOPATH A X (0.117:0.117:0.117) (0.124:0.124:0.124)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input227) - (DELAY - (ABSOLUTE - (IOPATH A X (0.173:0.173:0.173) (0.167:0.167:0.167)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input228) - (DELAY - (ABSOLUTE - (IOPATH A X (0.149:0.149:0.149) (0.148:0.148:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input229) - (DELAY - (ABSOLUTE - (IOPATH A X (0.159:0.159:0.159) (0.155:0.155:0.155)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input230) - (DELAY - (ABSOLUTE - (IOPATH A X (0.191:0.191:0.191) (0.178:0.178:0.178)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input231) - (DELAY - (ABSOLUTE - (IOPATH A X (0.186:0.186:0.186) (0.175:0.175:0.175)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input232) - (DELAY - (ABSOLUTE - (IOPATH A X (0.197:0.197:0.197) (0.182:0.182:0.182)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input233) - (DELAY - (ABSOLUTE - (IOPATH A X (0.183:0.183:0.183) (0.172:0.172:0.172)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input234) - (DELAY - (ABSOLUTE - (IOPATH A X (0.173:0.173:0.173) (0.166:0.166:0.166)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input235) - (DELAY - (ABSOLUTE - (IOPATH A X (0.149:0.149:0.149) (0.148:0.148:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input236) - (DELAY - (ABSOLUTE - (IOPATH A X (0.150:0.150:0.150) (0.149:0.149:0.149)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input237) - (DELAY - (ABSOLUTE - (IOPATH A X (0.136:0.136:0.136) (0.139:0.139:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input238) - (DELAY - (ABSOLUTE - (IOPATH A X (0.157:0.157:0.157) (0.154:0.154:0.154)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input239) - (DELAY - (ABSOLUTE - (IOPATH A X (0.201:0.201:0.201) (0.186:0.186:0.186)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input240) - (DELAY - (ABSOLUTE - (IOPATH A X (0.175:0.175:0.175) (0.167:0.167:0.167)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input241) - (DELAY - (ABSOLUTE - (IOPATH A X (0.126:0.126:0.126) (0.131:0.131:0.131)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input242) - (DELAY - (ABSOLUTE - (IOPATH A X (0.155:0.155:0.155) (0.152:0.152:0.152)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input243) - (DELAY - (ABSOLUTE - (IOPATH A X (0.143:0.143:0.143) (0.144:0.144:0.144)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input244) - (DELAY - (ABSOLUTE - (IOPATH A X (0.156:0.156:0.156) (0.153:0.153:0.153)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input245) - (DELAY - (ABSOLUTE - (IOPATH A X (0.172:0.172:0.172) (0.165:0.165:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input246) - (DELAY - (ABSOLUTE - (IOPATH A X (0.166:0.166:0.166) (0.161:0.161:0.161)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input247) - (DELAY - (ABSOLUTE - (IOPATH A X (0.167:0.167:0.167) (0.161:0.161:0.161)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input248) - (DELAY - (ABSOLUTE - (IOPATH A X (0.141:0.141:0.141) (0.143:0.143:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input249) - (DELAY - (ABSOLUTE - (IOPATH A X (0.204:0.204:0.204) (0.189:0.189:0.189)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input250) - (DELAY - (ABSOLUTE - (IOPATH A X (0.199:0.199:0.199) (0.185:0.185:0.185)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input251) - (DELAY - (ABSOLUTE - (IOPATH A X (0.178:0.178:0.178) (0.169:0.169:0.169)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input252) - (DELAY - (ABSOLUTE - (IOPATH A X (0.162:0.162:0.162) (0.158:0.158:0.158)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input253) - (DELAY - (ABSOLUTE - (IOPATH A X (0.146:0.146:0.146) (0.146:0.146:0.146)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input254) - (DELAY - (ABSOLUTE - (IOPATH A X (0.177:0.177:0.177) (0.169:0.169:0.169)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input255) - (DELAY - (ABSOLUTE - (IOPATH A X (0.173:0.173:0.173) (0.166:0.166:0.166)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input256) - (DELAY - (ABSOLUTE - (IOPATH A X (0.167:0.167:0.167) (0.161:0.161:0.161)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input257) - (DELAY - (ABSOLUTE - (IOPATH A X (0.190:0.190:0.190) (0.178:0.178:0.178)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input258) - (DELAY - (ABSOLUTE - (IOPATH A X (0.172:0.172:0.172) (0.165:0.165:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input259) - (DELAY - (ABSOLUTE - (IOPATH A X (0.142:0.142:0.142) (0.143:0.143:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input260) - (DELAY - (ABSOLUTE - (IOPATH A X (0.159:0.159:0.159) (0.156:0.156:0.156)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input261) - (DELAY - (ABSOLUTE - (IOPATH A X (0.178:0.178:0.178) (0.147:0.147:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE input262) - (DELAY - (ABSOLUTE - (IOPATH A X (0.188:0.188:0.188) (0.175:0.175:0.175)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input263) - (DELAY - (ABSOLUTE - (IOPATH A X (0.164:0.164:0.164) (0.142:0.142:0.142)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input264) - (DELAY - (ABSOLUTE - (IOPATH A X (0.174:0.174:0.174) (0.147:0.147:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input265) - (DELAY - (ABSOLUTE - (IOPATH A X (0.170:0.170:0.170) (0.146:0.146:0.146)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input266) - (DELAY - (ABSOLUTE - (IOPATH A X (0.179:0.179:0.179) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input267) - (DELAY - (ABSOLUTE - (IOPATH A X (0.170:0.170:0.170) (0.146:0.146:0.146)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input268) - (DELAY - (ABSOLUTE - (IOPATH A X (0.171:0.171:0.171) (0.146:0.146:0.146)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input269) - (DELAY - (ABSOLUTE - (IOPATH A X (0.174:0.174:0.174) (0.147:0.147:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input270) - (DELAY - (ABSOLUTE - (IOPATH A X (0.173:0.173:0.173) (0.148:0.148:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input271) - (DELAY - (ABSOLUTE - (IOPATH A X (0.121:0.121:0.121) (0.126:0.126:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input272) - (DELAY - (ABSOLUTE - (IOPATH A X (0.177:0.177:0.177) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input273) - (DELAY - (ABSOLUTE - (IOPATH A X (0.169:0.169:0.169) (0.143:0.143:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input274) - (DELAY - (ABSOLUTE - (IOPATH A X (0.162:0.162:0.162) (0.142:0.142:0.142)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input275) - (DELAY - (ABSOLUTE - (IOPATH A X (0.173:0.173:0.173) (0.148:0.148:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input276) - (DELAY - (ABSOLUTE - (IOPATH A X (0.170:0.170:0.170) (0.146:0.146:0.146)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input277) - (DELAY - (ABSOLUTE - (IOPATH A X (0.176:0.176:0.176) (0.147:0.147:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input278) - (DELAY - (ABSOLUTE - (IOPATH A X (0.170:0.170:0.170) (0.146:0.146:0.146)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input279) - (DELAY - (ABSOLUTE - (IOPATH A X (0.168:0.168:0.168) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input280) - (DELAY - (ABSOLUTE - (IOPATH A X (0.168:0.168:0.168) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input281) - (DELAY - (ABSOLUTE - (IOPATH A X (0.158:0.158:0.158) (0.139:0.139:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input282) - (DELAY - (ABSOLUTE - (IOPATH A X (0.125:0.125:0.125) (0.130:0.130:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input283) - (DELAY - (ABSOLUTE - (IOPATH A X (0.164:0.164:0.164) (0.142:0.142:0.142)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input284) - (DELAY - (ABSOLUTE - (IOPATH A X (0.159:0.159:0.159) (0.140:0.140:0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input285) - (DELAY - (ABSOLUTE - (IOPATH A X (0.171:0.171:0.171) (0.146:0.146:0.146)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input286) - (DELAY - (ABSOLUTE - (IOPATH A X (0.163:0.163:0.163) (0.144:0.144:0.144)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input287) - (DELAY - (ABSOLUTE - (IOPATH A X (0.167:0.167:0.167) (0.143:0.143:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input288) - (DELAY - (ABSOLUTE - (IOPATH A X (0.164:0.164:0.164) (0.142:0.142:0.142)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input289) - (DELAY - (ABSOLUTE - (IOPATH A X (0.161:0.161:0.161) (0.138:0.138:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input290) - (DELAY - (ABSOLUTE - (IOPATH A X (0.152:0.152:0.152) (0.138:0.138:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input291) - (DELAY - (ABSOLUTE - (IOPATH A X (0.145:0.145:0.145) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input292) - (DELAY - (ABSOLUTE - (IOPATH A X (0.151:0.151:0.151) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input293) - (DELAY - (ABSOLUTE - (IOPATH A X (0.149:0.149:0.149) (0.148:0.148:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input294) - (DELAY - (ABSOLUTE - (IOPATH A X (0.152:0.152:0.152) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input295) - (DELAY - (ABSOLUTE - (IOPATH A X (0.133:0.133:0.133) (0.137:0.137:0.137)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input296) - (DELAY - (ABSOLUTE - (IOPATH A X (0.148:0.148:0.148) (0.147:0.147:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input297) - (DELAY - (ABSOLUTE - (IOPATH A X (0.151:0.151:0.151) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input298) - (DELAY - (ABSOLUTE - (IOPATH A X (0.155:0.155:0.155) (0.152:0.152:0.152)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input299) - (DELAY - (ABSOLUTE - (IOPATH A X (0.169:0.169:0.169) (0.163:0.163:0.163)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input300) - (DELAY - (ABSOLUTE - (IOPATH A X (0.173:0.173:0.173) (0.166:0.166:0.166)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input301) - (DELAY - (ABSOLUTE - (IOPATH A X (0.154:0.154:0.154) (0.140:0.140:0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input302) - (DELAY - (ABSOLUTE - (IOPATH A X (0.137:0.137:0.137) (0.147:0.147:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input303) - (DELAY - (ABSOLUTE - (IOPATH A X (0.142:0.142:0.142) (0.143:0.143:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input304) - (DELAY - (ABSOLUTE - (IOPATH A X (0.168:0.168:0.168) (0.165:0.165:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input305) - (DELAY - (ABSOLUTE - (IOPATH A X (0.163:0.163:0.163) (0.161:0.161:0.161)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input306) - (DELAY - (ABSOLUTE - (IOPATH A X (0.169:0.169:0.169) (0.165:0.165:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input307) - (DELAY - (ABSOLUTE - (IOPATH A X (0.166:0.166:0.166) (0.144:0.144:0.144)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE input308) - (DELAY - (ABSOLUTE - (IOPATH A X (0.158:0.158:0.158) (0.155:0.155:0.155)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input309) - (DELAY - (ABSOLUTE - (IOPATH A X (0.167:0.167:0.167) (0.144:0.144:0.144)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input310) - (DELAY - (ABSOLUTE - (IOPATH A X (0.144:0.144:0.144) (0.151:0.151:0.151)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input311) - (DELAY - (ABSOLUTE - (IOPATH A X (0.192:0.192:0.192) (0.151:0.151:0.151)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input312) - (DELAY - (ABSOLUTE - (IOPATH A X (0.184:0.184:0.184) (0.148:0.148:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input313) - (DELAY - (ABSOLUTE - (IOPATH A X (0.179:0.179:0.179) (0.148:0.148:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input314) - (DELAY - (ABSOLUTE - (IOPATH A X (0.192:0.192:0.192) (0.177:0.177:0.177)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input315) - (DELAY - (ABSOLUTE - (IOPATH A X (0.156:0.156:0.156) (0.158:0.158:0.158)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input316) - (DELAY - (ABSOLUTE - (IOPATH A X (0.175:0.175:0.175) (0.148:0.148:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input317) - (DELAY - (ABSOLUTE - (IOPATH A X (0.182:0.182:0.182) (0.147:0.147:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input318) - (DELAY - (ABSOLUTE - (IOPATH A X (0.183:0.183:0.183) (0.146:0.146:0.146)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input319) - (DELAY - (ABSOLUTE - (IOPATH A X (0.178:0.178:0.178) (0.169:0.169:0.169)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input320) - (DELAY - (ABSOLUTE - (IOPATH A X (0.137:0.137:0.137) (0.140:0.140:0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input321) - (DELAY - (ABSOLUTE - (IOPATH A X (0.148:0.148:0.148) (0.148:0.148:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input322) - (DELAY - (ABSOLUTE - (IOPATH A X (0.176:0.176:0.176) (0.152:0.152:0.152)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input323) - (DELAY - (ABSOLUTE - (IOPATH A X (0.178:0.178:0.178) (0.170:0.170:0.170)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input324) - (DELAY - (ABSOLUTE - (IOPATH A X (0.190:0.190:0.190) (0.178:0.178:0.178)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input325) - (DELAY - (ABSOLUTE - (IOPATH A X (0.176:0.176:0.176) (0.152:0.152:0.152)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input326) - (DELAY - (ABSOLUTE - (IOPATH A X (0.192:0.192:0.192) (0.177:0.177:0.177)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input327) - (DELAY - (ABSOLUTE - (IOPATH A X (0.175:0.175:0.175) (0.167:0.167:0.167)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input328) - (DELAY - (ABSOLUTE - (IOPATH A X (0.160:0.160:0.160) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input329) - (DELAY - (ABSOLUTE - (IOPATH A X (0.199:0.199:0.199) (0.155:0.155:0.155)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input330) - (DELAY - (ABSOLUTE - (IOPATH A X (0.168:0.168:0.168) (0.147:0.147:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input331) - (DELAY - (ABSOLUTE - (IOPATH A X (0.184:0.184:0.184) (0.172:0.172:0.172)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input332) - (DELAY - (ABSOLUTE - (IOPATH A X (0.146:0.146:0.146) (0.146:0.146:0.146)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE input333) - (DELAY - (ABSOLUTE - (IOPATH A X (0.163:0.163:0.163) (0.158:0.158:0.158)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input334) - (DELAY - (ABSOLUTE - (IOPATH A X (0.183:0.183:0.183) (0.154:0.154:0.154)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input335) - (DELAY - (ABSOLUTE - (IOPATH A X (0.172:0.172:0.172) (0.165:0.165:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input336) - (DELAY - (ABSOLUTE - (IOPATH A X (0.180:0.180:0.180) (0.169:0.169:0.169)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input337) - (DELAY - (ABSOLUTE - (IOPATH A X (0.164:0.164:0.164) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input338) - (DELAY - (ABSOLUTE - (IOPATH A X (0.201:0.201:0.201) (0.185:0.185:0.185)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input339) - (DELAY - (ABSOLUTE - (IOPATH A X (0.173:0.173:0.173) (0.165:0.165:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input340) - (DELAY - (ABSOLUTE - (IOPATH A X (0.196:0.196:0.196) (0.178:0.178:0.178)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input341) - (DELAY - (ABSOLUTE - (IOPATH A X (0.190:0.190:0.190) (0.151:0.151:0.151)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input342) - (DELAY - (ABSOLUTE - (IOPATH A X (0.150:0.150:0.150) (0.154:0.154:0.154)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input343) - (DELAY - (ABSOLUTE - (IOPATH A X (0.140:0.140:0.140) (0.142:0.142:0.142)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input344) - (DELAY - (ABSOLUTE - (IOPATH A X (0.166:0.166:0.166) (0.161:0.161:0.161)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input345) - (DELAY - (ABSOLUTE - (IOPATH A X (0.159:0.159:0.159) (0.155:0.155:0.155)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input346) - (DELAY - (ABSOLUTE - (IOPATH A X (0.168:0.168:0.168) (0.147:0.147:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input347) - (DELAY - (ABSOLUTE - (IOPATH A X (0.164:0.164:0.164) (0.143:0.143:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input348) - (DELAY - (ABSOLUTE - (IOPATH A X (0.179:0.179:0.179) (0.148:0.148:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input349) - (DELAY - (ABSOLUTE - (IOPATH A X (0.199:0.199:0.199) (0.156:0.156:0.156)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input350) - (DELAY - (ABSOLUTE - (IOPATH A X (0.180:0.180:0.180) (0.148:0.148:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input351) - (DELAY - (ABSOLUTE - (IOPATH A X (0.199:0.199:0.199) (0.154:0.154:0.154)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input352) - (DELAY - (ABSOLUTE - (IOPATH A X (0.193:0.193:0.193) (0.158:0.158:0.158)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input353) - (DELAY - (ABSOLUTE - (IOPATH A X (0.169:0.169:0.169) (0.144:0.144:0.144)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input354) - (DELAY - (ABSOLUTE - (IOPATH A X (0.155:0.155:0.155) (0.152:0.152:0.152)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input355) - (DELAY - (ABSOLUTE - (IOPATH A X (0.187:0.187:0.187) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input356) - (DELAY - (ABSOLUTE - (IOPATH A X (0.194:0.194:0.194) (0.159:0.159:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input357) - (DELAY - (ABSOLUTE - (IOPATH A X (0.178:0.178:0.178) (0.146:0.146:0.146)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input358) - (DELAY - (ABSOLUTE - (IOPATH A X (0.175:0.175:0.175) (0.144:0.144:0.144)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input359) - (DELAY - (ABSOLUTE - (IOPATH A X (0.172:0.172:0.172) (0.144:0.144:0.144)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input360) - (DELAY - (ABSOLUTE - (IOPATH A X (0.169:0.169:0.169) (0.142:0.142:0.142)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input361) - (DELAY - (ABSOLUTE - (IOPATH A X (0.175:0.175:0.175) (0.143:0.143:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input362) - (DELAY - (ABSOLUTE - (IOPATH A X (0.189:0.189:0.189) (0.157:0.157:0.157)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input363) - (DELAY - (ABSOLUTE - (IOPATH A X (0.184:0.184:0.184) (0.149:0.149:0.149)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input364) - (DELAY - (ABSOLUTE - (IOPATH A X (0.192:0.192:0.192) (0.152:0.152:0.152)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input365) - (DELAY - (ABSOLUTE - (IOPATH A X (0.172:0.172:0.172) (0.165:0.165:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input366) - (DELAY - (ABSOLUTE - (IOPATH A X (0.190:0.190:0.190) (0.157:0.157:0.157)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input367) - (DELAY - (ABSOLUTE - (IOPATH A X (0.174:0.174:0.174) (0.144:0.144:0.144)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input368) - (DELAY - (ABSOLUTE - (IOPATH A X (0.211:0.211:0.211) (0.162:0.162:0.162)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input369) - (DELAY - (ABSOLUTE - (IOPATH A X (0.162:0.162:0.162) (0.139:0.139:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input370) - (DELAY - (ABSOLUTE - (IOPATH A X (0.160:0.160:0.160) (0.138:0.138:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input371) - (DELAY - (ABSOLUTE - (IOPATH A X (0.155:0.155:0.155) (0.132:0.132:0.132)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input372) - (DELAY - (ABSOLUTE - (IOPATH A X (0.158:0.158:0.158) (0.135:0.135:0.135)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input373) - (DELAY - (ABSOLUTE - (IOPATH A X (0.190:0.190:0.190) (0.154:0.154:0.154)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input374) - (DELAY - (ABSOLUTE - (IOPATH A X (0.178:0.178:0.178) (0.144:0.144:0.144)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input375) - (DELAY - (ABSOLUTE - (IOPATH A X (0.207:0.207:0.207) (0.184:0.184:0.184)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input376) - (DELAY - (ABSOLUTE - (IOPATH A X (0.147:0.147:0.147) (0.152:0.152:0.152)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_4") - (INSTANCE input377) - (DELAY - (ABSOLUTE - (IOPATH A X (0.193:0.193:0.193) (0.177:0.177:0.177)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input378) - (DELAY - (ABSOLUTE - (IOPATH A X (0.167:0.167:0.167) (0.147:0.147:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input379) - (DELAY - (ABSOLUTE - (IOPATH A X (0.230:0.230:0.230) (0.174:0.174:0.174)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input380) - (DELAY - (ABSOLUTE - (IOPATH A X (0.179:0.179:0.179) (0.153:0.153:0.153)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input381) - (DELAY - (ABSOLUTE - (IOPATH A X (0.191:0.191:0.191) (0.158:0.158:0.158)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input382) - (DELAY - (ABSOLUTE - (IOPATH A X (0.176:0.176:0.176) (0.151:0.151:0.151)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input383) - (DELAY - (ABSOLUTE - (IOPATH A X (0.185:0.185:0.185) (0.153:0.153:0.153)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input384) - (DELAY - (ABSOLUTE - (IOPATH A X (0.187:0.187:0.187) (0.152:0.152:0.152)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input385) - (DELAY - (ABSOLUTE - (IOPATH A X (0.192:0.192:0.192) (0.153:0.153:0.153)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input386) - (DELAY - (ABSOLUTE - (IOPATH A X (0.179:0.179:0.179) (0.148:0.148:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input387) - (DELAY - (ABSOLUTE - (IOPATH A X (0.167:0.167:0.167) (0.161:0.161:0.161)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input388) - (DELAY - (ABSOLUTE - (IOPATH A X (0.329:0.329:0.329) (0.172:0.172:0.172)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input389) - (DELAY - (ABSOLUTE - (IOPATH A X (0.202:0.202:0.202) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input390) - (DELAY - (ABSOLUTE - (IOPATH A X (0.204:0.204:0.204) (0.149:0.149:0.149)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input391) - (DELAY - (ABSOLUTE - (IOPATH A X (0.317:0.317:0.317) (0.181:0.181:0.181)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input392) - (DELAY - (ABSOLUTE - (IOPATH A X (0.280:0.280:0.280) (0.170:0.170:0.170)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input393) - (DELAY - (ABSOLUTE - (IOPATH A X (0.236:0.236:0.236) (0.156:0.156:0.156)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input394) - (DELAY - (ABSOLUTE - (IOPATH A X (0.325:0.325:0.325) (0.179:0.179:0.179)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input395) - (DELAY - (ABSOLUTE - (IOPATH A X (0.258:0.258:0.258) (0.155:0.155:0.155)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input396) - (DELAY - (ABSOLUTE - (IOPATH A X (0.224:0.224:0.224) (0.156:0.156:0.156)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input397) - (DELAY - (ABSOLUTE - (IOPATH A X (0.315:0.315:0.315) (0.175:0.175:0.175)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input398) - (DELAY - (ABSOLUTE - (IOPATH A X (0.341:0.341:0.341) (0.187:0.187:0.187)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input399) - (DELAY - (ABSOLUTE - (IOPATH A X (0.314:0.314:0.314) (0.170:0.170:0.170)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input400) - (DELAY - (ABSOLUTE - (IOPATH A X (0.242:0.242:0.242) (0.156:0.156:0.156)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input401) - (DELAY - (ABSOLUTE - (IOPATH A X (0.279:0.279:0.279) (0.162:0.162:0.162)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input402) - (DELAY - (ABSOLUTE - (IOPATH A X (0.230:0.230:0.230) (0.157:0.157:0.157)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input403) - (DELAY - (ABSOLUTE - (IOPATH A X (0.242:0.242:0.242) (0.148:0.148:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input404) - (DELAY - (ABSOLUTE - (IOPATH A X (0.328:0.328:0.328) (0.182:0.182:0.182)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input405) - (DELAY - (ABSOLUTE - (IOPATH A X (0.338:0.338:0.338) (0.165:0.165:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input406) - (DELAY - (ABSOLUTE - (IOPATH A X (0.299:0.299:0.299) (0.165:0.165:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input407) - (DELAY - (ABSOLUTE - (IOPATH A X (0.190:0.190:0.190) (0.139:0.139:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input408) - (DELAY - (ABSOLUTE - (IOPATH A X (0.352:0.352:0.352) (0.171:0.171:0.171)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input409) - (DELAY - (ABSOLUTE - (IOPATH A X (0.275:0.275:0.275) (0.159:0.159:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input410) - (DELAY - (ABSOLUTE - (IOPATH A X (0.351:0.351:0.351) (0.181:0.181:0.181)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input411) - (DELAY - (ABSOLUTE - (IOPATH A X (0.314:0.314:0.314) (0.166:0.166:0.166)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input412) - (DELAY - (ABSOLUTE - (IOPATH A X (0.267:0.267:0.267) (0.155:0.155:0.155)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input413) - (DELAY - (ABSOLUTE - (IOPATH A X (0.337:0.337:0.337) (0.176:0.176:0.176)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input414) - (DELAY - (ABSOLUTE - (IOPATH A X (0.330:0.330:0.330) (0.173:0.173:0.173)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input415) - (DELAY - (ABSOLUTE - (IOPATH A X (0.299:0.299:0.299) (0.168:0.168:0.168)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input416) - (DELAY - (ABSOLUTE - (IOPATH A X (0.157:0.157:0.157) (0.122:0.122:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input417) - (DELAY - (ABSOLUTE - (IOPATH A X (0.234:0.234:0.234) (0.138:0.138:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input418) - (DELAY - (ABSOLUTE - (IOPATH A X (0.251:0.251:0.251) (0.155:0.155:0.155)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input419) - (DELAY - (ABSOLUTE - (IOPATH A X (0.252:0.252:0.252) (0.163:0.163:0.163)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input420) - (DELAY - (ABSOLUTE - (IOPATH A X (0.374:0.374:0.374) (0.225:0.225:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input421) - (DELAY - (ABSOLUTE - (IOPATH A X (0.271:0.271:0.271) (0.157:0.157:0.157)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input422) - (DELAY - (ABSOLUTE - (IOPATH A X (0.205:0.205:0.205) (0.147:0.147:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input423) - (DELAY - (ABSOLUTE - (IOPATH A X (0.236:0.236:0.236) (0.155:0.155:0.155)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input424) - (DELAY - (ABSOLUTE - (IOPATH A X (0.214:0.214:0.214) (0.152:0.152:0.152)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input425) - (DELAY - (ABSOLUTE - (IOPATH A X (0.254:0.254:0.254) (0.183:0.183:0.183)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input426) - (DELAY - (ABSOLUTE - (IOPATH A X (0.175:0.175:0.175) (0.135:0.135:0.135)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input427) - (DELAY - (ABSOLUTE - (IOPATH A X (0.174:0.174:0.174) (0.137:0.137:0.137)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input428) - (DELAY - (ABSOLUTE - (IOPATH A X (0.224:0.224:0.224) (0.171:0.171:0.171)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input429) - (DELAY - (ABSOLUTE - (IOPATH A X (0.162:0.162:0.162) (0.138:0.138:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input430) - (DELAY - (ABSOLUTE - (IOPATH A X (0.184:0.184:0.184) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input431) - (DELAY - (ABSOLUTE - (IOPATH A X (0.156:0.156:0.156) (0.131:0.131:0.131)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input432) - (DELAY - (ABSOLUTE - (IOPATH A X (0.360:0.360:0.360) (0.216:0.216:0.216)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input433) - (DELAY - (ABSOLUTE - (IOPATH A X (0.160:0.160:0.160) (0.137:0.137:0.137)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input434) - (DELAY - (ABSOLUTE - (IOPATH A X (0.162:0.162:0.162) (0.139:0.139:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input435) - (DELAY - (ABSOLUTE - (IOPATH A X (0.153:0.153:0.153) (0.131:0.131:0.131)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input436) - (DELAY - (ABSOLUTE - (IOPATH A X (0.169:0.169:0.169) (0.141:0.141:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input437) - (DELAY - (ABSOLUTE - (IOPATH A X (0.155:0.155:0.155) (0.137:0.137:0.137)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input438) - (DELAY - (ABSOLUTE - (IOPATH A X (0.153:0.153:0.153) (0.133:0.133:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input439) - (DELAY - (ABSOLUTE - (IOPATH A X (0.134:0.134:0.134) (0.130:0.130:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input440) - (DELAY - (ABSOLUTE - (IOPATH A X (0.133:0.133:0.133) (0.128:0.128:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input441) - (DELAY - (ABSOLUTE - (IOPATH A X (0.160:0.160:0.160) (0.140:0.140:0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input442) - (DELAY - (ABSOLUTE - (IOPATH A X (0.141:0.141:0.141) (0.130:0.130:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input443) - (DELAY - (ABSOLUTE - (IOPATH A X (0.313:0.313:0.313) (0.201:0.201:0.201)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input444) - (DELAY - (ABSOLUTE - (IOPATH A X (0.130:0.130:0.130) (0.125:0.125:0.125)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_6") - (INSTANCE input445) - (DELAY - (ABSOLUTE - (IOPATH A X (0.152:0.152:0.152) (0.134:0.134:0.134)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input446) - (DELAY - (ABSOLUTE - (IOPATH A X (0.165:0.165:0.165) (0.125:0.125:0.125)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input447) - (DELAY - (ABSOLUTE - (IOPATH A X (0.226:0.226:0.226) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input448) - (DELAY - (ABSOLUTE - (IOPATH A X (0.254:0.254:0.254) (0.156:0.156:0.156)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input449) - (DELAY - (ABSOLUTE - (IOPATH A X (0.212:0.212:0.212) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input450) - (DELAY - (ABSOLUTE - (IOPATH A X (0.212:0.212:0.212) (0.144:0.144:0.144)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input451) - (DELAY - (ABSOLUTE - (IOPATH A X (0.222:0.222:0.222) (0.151:0.151:0.151)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input452) - (DELAY - (ABSOLUTE - (IOPATH A X (0.225:0.225:0.225) (0.155:0.155:0.155)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input453) - (DELAY - (ABSOLUTE - (IOPATH A X (0.113:0.113:0.113) (0.120:0.120:0.120)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input454) - (DELAY - (ABSOLUTE - (IOPATH A X (0.260:0.260:0.260) (0.156:0.156:0.156)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input455) - (DELAY - (ABSOLUTE - (IOPATH A X (0.209:0.209:0.209) (0.142:0.142:0.142)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input456) - (DELAY - (ABSOLUTE - (IOPATH A X (0.238:0.238:0.238) (0.151:0.151:0.151)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input457) - (DELAY - (ABSOLUTE - (IOPATH A X (0.224:0.224:0.224) (0.149:0.149:0.149)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input458) - (DELAY - (ABSOLUTE - (IOPATH A X (0.244:0.244:0.244) (0.156:0.156:0.156)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE input459) - (DELAY - (ABSOLUTE - (IOPATH A X (0.273:0.273:0.273) (0.157:0.157:0.157)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input460) - (DELAY - (ABSOLUTE - (IOPATH A X (0.132:0.132:0.132) (0.136:0.136:0.136)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input461) - (DELAY - (ABSOLUTE - (IOPATH A X (0.124:0.124:0.124) (0.129:0.129:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") - (INSTANCE input462) - (DELAY - (ABSOLUTE - (IOPATH A X (0.119:0.119:0.119) (0.125:0.125:0.125)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output463) - (DELAY - (ABSOLUTE - (IOPATH A X (0.347:0.347:0.347) (0.252:0.253:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output464) - (DELAY - (ABSOLUTE - (IOPATH A X (0.358:0.358:0.358) (0.268:0.269:0.269)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output465) - (DELAY - (ABSOLUTE - (IOPATH A X (0.351:0.351:0.351) (0.256:0.257:0.257)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output466) - (DELAY - (ABSOLUTE - (IOPATH A X (0.354:0.354:0.354) (0.262:0.262:0.263)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output467) - (DELAY - (ABSOLUTE - (IOPATH A X (0.351:0.351:0.351) (0.259:0.259:0.260)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output468) - (DELAY - (ABSOLUTE - (IOPATH A X (0.356:0.356:0.356) (0.264:0.264:0.265)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output469) - (DELAY - (ABSOLUTE - (IOPATH A X (0.350:0.350:0.350) (0.255:0.256:0.256)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output470) - (DELAY - (ABSOLUTE - (IOPATH A X (0.344:0.344:0.344) (0.246:0.247:0.247)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output471) - (DELAY - (ABSOLUTE - (IOPATH A X (0.333:0.333:0.333) (0.236:0.237:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output472) - (DELAY - (ABSOLUTE - (IOPATH A X (0.340:0.340:0.340) (0.241:0.242:0.242)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output473) - (DELAY - (ABSOLUTE - (IOPATH A X (0.337:0.337:0.337) (0.239:0.239:0.240)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output474) - (DELAY - (ABSOLUTE - (IOPATH A X (0.358:0.358:0.358) (0.271:0.271:0.272)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output475) - (DELAY - (ABSOLUTE - (IOPATH A X (0.334:0.334:0.334) (0.236:0.237:0.238)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output476) - (DELAY - (ABSOLUTE - (IOPATH A X (0.322:0.322:0.322) (0.229:0.230:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output477) - (DELAY - (ABSOLUTE - (IOPATH A X (0.315:0.315:0.315) (0.224:0.224:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output478) - (DELAY - (ABSOLUTE - (IOPATH A X (0.322:0.322:0.322) (0.229:0.230:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output479) - (DELAY - (ABSOLUTE - (IOPATH A X (0.312:0.312:0.312) (0.222:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output480) - (DELAY - (ABSOLUTE - (IOPATH A X (0.327:0.327:0.327) (0.234:0.234:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output481) - (DELAY - (ABSOLUTE - (IOPATH A X (0.340:0.340:0.340) (0.241:0.242:0.243)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output482) - (DELAY - (ABSOLUTE - (IOPATH A X (0.350:0.350:0.350) (0.256:0.257:0.257)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output483) - (DELAY - (ABSOLUTE - (IOPATH A X (0.348:0.348:0.348) (0.253:0.253:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output484) - (DELAY - (ABSOLUTE - (IOPATH A X (0.340:0.340:0.340) (0.241:0.242:0.243)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output485) - (DELAY - (ABSOLUTE - (IOPATH A X (0.359:0.359:0.359) (0.270:0.271:0.272)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output486) - (DELAY - (ABSOLUTE - (IOPATH A X (0.341:0.341:0.341) (0.241:0.242:0.243)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output487) - (DELAY - (ABSOLUTE - (IOPATH A X (0.330:0.330:0.330) (0.234:0.235:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output488) - (DELAY - (ABSOLUTE - (IOPATH A X (0.329:0.329:0.330) (0.235:0.236:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output489) - (DELAY - (ABSOLUTE - (IOPATH A X (0.328:0.328:0.328) (0.233:0.234:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output490) - (DELAY - (ABSOLUTE - (IOPATH A X (0.315:0.315:0.315) (0.224:0.225:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output491) - (DELAY - (ABSOLUTE - (IOPATH A X (0.313:0.313:0.313) (0.222:0.223:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output492) - (DELAY - (ABSOLUTE - (IOPATH A X (0.314:0.314:0.314) (0.223:0.224:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output493) - (DELAY - (ABSOLUTE - (IOPATH A X (0.316:0.316:0.316) (0.224:0.224:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output494) - (DELAY - (ABSOLUTE - (IOPATH A X (0.360:0.360:0.360) (0.272:0.273:0.274)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output495) - (DELAY - (ABSOLUTE - (IOPATH A X (0.364:0.365:0.365) (0.279:0.280:0.281)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output496) - (DELAY - (ABSOLUTE - (IOPATH A X (0.362:0.362:0.362) (0.278:0.278:0.279)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output497) - (DELAY - (ABSOLUTE - (IOPATH A X (0.361:0.361:0.361) (0.277:0.278:0.278)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output498) - (DELAY - (ABSOLUTE - (IOPATH A X (0.356:0.356:0.356) (0.269:0.269:0.270)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output499) - (DELAY - (ABSOLUTE - (IOPATH A X (0.361:0.361:0.361) (0.275:0.276:0.276)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output500) - (DELAY - (ABSOLUTE - (IOPATH A X (0.354:0.354:0.354) (0.264:0.265:0.266)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output501) - (DELAY - (ABSOLUTE - (IOPATH A X (0.354:0.354:0.354) (0.265:0.265:0.266)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output502) - (DELAY - (ABSOLUTE - (IOPATH A X (0.350:0.350:0.350) (0.256:0.257:0.257)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output503) - (DELAY - (ABSOLUTE - (IOPATH A X (0.359:0.359:0.359) (0.270:0.271:0.272)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output504) - (DELAY - (ABSOLUTE - (IOPATH A X (0.358:0.358:0.358) (0.271:0.272:0.272)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output505) - (DELAY - (ABSOLUTE - (IOPATH A X (0.365:0.365:0.365) (0.281:0.282:0.283)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output506) - (DELAY - (ABSOLUTE - (IOPATH A X (0.362:0.362:0.362) (0.279:0.279:0.280)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output507) - (DELAY - (ABSOLUTE - (IOPATH A X (0.368:0.368:0.368) (0.287:0.288:0.288)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output508) - (DELAY - (ABSOLUTE - (IOPATH A X (0.365:0.365:0.365) (0.283:0.283:0.284)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output509) - (DELAY - (ABSOLUTE - (IOPATH A X (0.355:0.355:0.355) (0.262:0.263:0.264)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output510) - (DELAY - (ABSOLUTE - (IOPATH A X (0.353:0.353:0.354) (0.259:0.260:0.261)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output511) - (DELAY - (ABSOLUTE - (IOPATH A X (0.351:0.351:0.351) (0.258:0.258:0.259)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output512) - (DELAY - (ABSOLUTE - (IOPATH A X (0.351:0.351:0.351) (0.257:0.257:0.258)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output513) - (DELAY - (ABSOLUTE - (IOPATH A X (0.355:0.355:0.355) (0.263:0.264:0.265)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output514) - (DELAY - (ABSOLUTE - (IOPATH A X (0.350:0.350:0.350) (0.255:0.256:0.256)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output515) - (DELAY - (ABSOLUTE - (IOPATH A X (0.348:0.348:0.348) (0.252:0.253:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output516) - (DELAY - (ABSOLUTE - (IOPATH A X (0.341:0.341:0.341) (0.241:0.242:0.243)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output517) - (DELAY - (ABSOLUTE - (IOPATH A X (0.348:0.348:0.349) (0.253:0.254:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output518) - (DELAY - (ABSOLUTE - (IOPATH A X (0.359:0.359:0.359) (0.270:0.270:0.271)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output519) - (DELAY - (ABSOLUTE - (IOPATH A X (0.357:0.357:0.357) (0.265:0.265:0.266)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output520) - (DELAY - (ABSOLUTE - (IOPATH A X (0.351:0.351:0.351) (0.258:0.259:0.259)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output521) - (DELAY - (ABSOLUTE - (IOPATH A X (0.356:0.356:0.356) (0.265:0.265:0.266)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output522) - (DELAY - (ABSOLUTE - (IOPATH A X (0.357:0.357:0.357) (0.267:0.268:0.268)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output523) - (DELAY - (ABSOLUTE - (IOPATH A X (0.355:0.355:0.355) (0.264:0.265:0.266)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output524) - (DELAY - (ABSOLUTE - (IOPATH A X (0.354:0.354:0.354) (0.264:0.265:0.266)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output525) - (DELAY - (ABSOLUTE - (IOPATH A X (0.367:0.367:0.367) (0.283:0.284:0.284)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output526) - (DELAY - (ABSOLUTE - (IOPATH A X (0.368:0.368:0.368) (0.283:0.284:0.285)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output527) - (DELAY - (ABSOLUTE - (IOPATH A X (0.371:0.371:0.371) (0.286:0.287:0.287)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output528) - (DELAY - (ABSOLUTE - (IOPATH A X (0.374:0.374:0.374) (0.291:0.292:0.292)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output529) - (DELAY - (ABSOLUTE - (IOPATH A X (0.365:0.365:0.366) (0.287:0.288:0.288)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output530) - (DELAY - (ABSOLUTE - (IOPATH A X (0.371:0.371:0.371) (0.301:0.301:0.302)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output531) - (DELAY - (ABSOLUTE - (IOPATH A X (0.372:0.372:0.372) (0.297:0.298:0.299)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output532) - (DELAY - (ABSOLUTE - (IOPATH A X (0.378:0.378:0.378) (0.293:0.294:0.294)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output533) - (DELAY - (ABSOLUTE - (IOPATH A X (0.369:0.369:0.369) (0.291:0.292:0.292)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output534) - (DELAY - (ABSOLUTE - (IOPATH A X (0.373:0.373:0.373) (0.297:0.297:0.298)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output535) - (DELAY - (ABSOLUTE - (IOPATH A X (0.359:0.359:0.359) (0.273:0.273:0.274)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output536) - (DELAY - (ABSOLUTE - (IOPATH A X (0.373:0.373:0.373) (0.301:0.301:0.302)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output537) - (DELAY - (ABSOLUTE - (IOPATH A X (0.374:0.374:0.374) (0.295:0.296:0.296)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output538) - (DELAY - (ABSOLUTE - (IOPATH A X (0.382:0.382:0.382) (0.303:0.303:0.304)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output539) - (DELAY - (ABSOLUTE - (IOPATH A X (0.375:0.375:0.375) (0.300:0.300:0.301)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output540) - (DELAY - (ABSOLUTE - (IOPATH A X (0.372:0.372:0.372) (0.293:0.294:0.294)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output541) - (DELAY - (ABSOLUTE - (IOPATH A X (0.364:0.364:0.364) (0.282:0.283:0.283)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output542) - (DELAY - (ABSOLUTE - (IOPATH A X (0.371:0.371:0.371) (0.298:0.299:0.299)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output543) - (DELAY - (ABSOLUTE - (IOPATH A X (0.368:0.368:0.368) (0.285:0.285:0.286)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output544) - (DELAY - (ABSOLUTE - (IOPATH A X (0.379:0.379:0.379) (0.303:0.304:0.304)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output545) - (DELAY - (ABSOLUTE - (IOPATH A X (0.358:0.358:0.358) (0.271:0.271:0.272)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output546) - (DELAY - (ABSOLUTE - (IOPATH A X (0.359:0.359:0.359) (0.271:0.272:0.273)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output547) - (DELAY - (ABSOLUTE - (IOPATH A X (0.347:0.347:0.347) (0.251:0.252:0.253)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output548) - (DELAY - (ABSOLUTE - (IOPATH A X (0.351:0.351:0.351) (0.257:0.258:0.258)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output549) - (DELAY - (ABSOLUTE - (IOPATH A X (0.340:0.340:0.341) (0.242:0.242:0.243)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output550) - (DELAY - (ABSOLUTE - (IOPATH A X (0.338:0.338:0.338) (0.240:0.241:0.241)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output551) - (DELAY - (ABSOLUTE - (IOPATH A X (0.324:0.324:0.324) (0.230:0.231:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output552) - (DELAY - (ABSOLUTE - (IOPATH A X (0.317:0.317:0.317) (0.225:0.226:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output553) - (DELAY - (ABSOLUTE - (IOPATH A X (0.317:0.317:0.317) (0.225:0.226:0.226)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output554) - (DELAY - (ABSOLUTE - (IOPATH A X (0.321:0.321:0.321) (0.228:0.229:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output555) - (DELAY - (ABSOLUTE - (IOPATH A X (0.310:0.310:0.310) (0.220:0.221:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output556) - (DELAY - (ABSOLUTE - (IOPATH A X (0.309:0.309:0.309) (0.219:0.220:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output557) - (DELAY - (ABSOLUTE - (IOPATH A X (0.358:0.358:0.358) (0.271:0.272:0.273)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output558) - (DELAY - (ABSOLUTE - (IOPATH A X (0.316:0.316:0.316) (0.224:0.225:0.226)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output559) - (DELAY - (ABSOLUTE - (IOPATH A X (0.309:0.309:0.309) (0.219:0.220:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output560) - (DELAY - (ABSOLUTE - (IOPATH A X (0.337:0.337:0.337) (0.238:0.239:0.240)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output561) - (DELAY - (ABSOLUTE - (IOPATH A X (0.341:0.341:0.341) (0.242:0.242:0.243)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output562) - (DELAY - (ABSOLUTE - (IOPATH A X (0.345:0.345:0.345) (0.245:0.246:0.246)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output563) - (DELAY - (ABSOLUTE - (IOPATH A X (0.344:0.344:0.344) (0.244:0.244:0.245)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output564) - (DELAY - (ABSOLUTE - (IOPATH A X (0.343:0.343:0.343) (0.243:0.244:0.245)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output565) - (DELAY - (ABSOLUTE - (IOPATH A X (0.336:0.336:0.336) (0.237:0.238:0.238)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output566) - (DELAY - (ABSOLUTE - (IOPATH A X (0.344:0.344:0.344) (0.245:0.246:0.246)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output567) - (DELAY - (ABSOLUTE - (IOPATH A X (0.329:0.329:0.329) (0.235:0.235:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output568) - (DELAY - (ABSOLUTE - (IOPATH A X (0.367:0.367:0.367) (0.290:0.291:0.291)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output569) - (DELAY - (ABSOLUTE - (IOPATH A X (0.324:0.324:0.324) (0.231:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output570) - (DELAY - (ABSOLUTE - (IOPATH A X (0.312:0.312:0.312) (0.221:0.222:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output571) - (DELAY - (ABSOLUTE - (IOPATH A X (0.314:0.314:0.314) (0.223:0.224:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output572) - (DELAY - (ABSOLUTE - (IOPATH A X (0.315:0.315:0.315) (0.224:0.225:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output573) - (DELAY - (ABSOLUTE - (IOPATH A X (0.316:0.316:0.316) (0.225:0.226:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output574) - (DELAY - (ABSOLUTE - (IOPATH A X (0.321:0.321:0.321) (0.228:0.229:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output575) - (DELAY - (ABSOLUTE - (IOPATH A X (0.316:0.316:0.316) (0.225:0.226:0.226)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output576) - (DELAY - (ABSOLUTE - (IOPATH A X (0.324:0.324:0.324) (0.230:0.231:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output577) - (DELAY - (ABSOLUTE - (IOPATH A X (0.326:0.326:0.326) (0.233:0.233:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output578) - (DELAY - (ABSOLUTE - (IOPATH A X (0.366:0.366:0.366) (0.286:0.287:0.288)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output579) - (DELAY - (ABSOLUTE - (IOPATH A X (0.364:0.364:0.364) (0.285:0.286:0.287)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output580) - (DELAY - (ABSOLUTE - (IOPATH A X (0.364:0.364:0.364) (0.284:0.284:0.285)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output581) - (DELAY - (ABSOLUTE - (IOPATH A X (0.369:0.370:0.370) (0.295:0.296:0.297)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output582) - (DELAY - (ABSOLUTE - (IOPATH A X (0.371:0.371:0.371) (0.298:0.299:0.300)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output583) - (DELAY - (ABSOLUTE - (IOPATH A X (0.366:0.366:0.366) (0.291:0.292:0.292)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output584) - (DELAY - (ABSOLUTE - (IOPATH A X (0.368:0.368:0.368) (0.291:0.292:0.293)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output585) - (DELAY - (ABSOLUTE - (IOPATH A X (0.355:0.355:0.355) (0.267:0.267:0.268)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output586) - (DELAY - (ABSOLUTE - (IOPATH A X (0.356:0.356:0.356) (0.265:0.265:0.266)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output587) - (DELAY - (ABSOLUTE - (IOPATH A X (0.347:0.347:0.347) (0.252:0.253:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output588) - (DELAY - (ABSOLUTE - (IOPATH A X (0.347:0.347:0.347) (0.250:0.251:0.252)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output589) - (DELAY - (ABSOLUTE - (IOPATH A X (0.348:0.348:0.348) (0.253:0.253:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output590) - (DELAY - (ABSOLUTE - (IOPATH A X (0.364:0.365:0.365) (0.282:0.283:0.283)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output591) - (DELAY - (ABSOLUTE - (IOPATH A X (0.298:0.298:0.298) (0.214:0.214:0.214)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output592) - (DELAY - (ABSOLUTE - (IOPATH A X (0.314:0.314:0.315) (0.253:0.253:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output593) - (DELAY - (ABSOLUTE - (IOPATH A X (0.313:0.314:0.314) (0.252:0.252:0.252)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output594) - (DELAY - (ABSOLUTE - (IOPATH A X (0.312:0.312:0.313) (0.248:0.249:0.249)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output595) - (DELAY - (ABSOLUTE - (IOPATH A X (0.323:0.323:0.323) (0.237:0.237:0.238)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output596) - (DELAY - (ABSOLUTE - (IOPATH A X (0.339:0.339:0.339) (0.248:0.248:0.248)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output597) - (DELAY - (ABSOLUTE - (IOPATH A X (0.337:0.337:0.337) (0.246:0.246:0.246)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output598) - (DELAY - (ABSOLUTE - (IOPATH A X (0.331:0.331:0.330) (0.239:0.239:0.239)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output599) - (DELAY - (ABSOLUTE - (IOPATH A X (0.325:0.325:0.325) (0.235:0.235:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output600) - (DELAY - (ABSOLUTE - (IOPATH A X (0.312:0.312:0.312) (0.247:0.248:0.248)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output601) - (DELAY - (ABSOLUTE - (IOPATH A X (0.305:0.305:0.305) (0.233:0.234:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output602) - (DELAY - (ABSOLUTE - (IOPATH A X (0.304:0.304:0.304) (0.233:0.233:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output603) - (DELAY - (ABSOLUTE - (IOPATH A X (0.307:0.307:0.307) (0.223:0.224:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output604) - (DELAY - (ABSOLUTE - (IOPATH A X (0.301:0.302:0.302) (0.220:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output605) - (DELAY - (ABSOLUTE - (IOPATH A X (0.303:0.303:0.304) (0.221:0.221:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output606) - (DELAY - (ABSOLUTE - (IOPATH A X (0.300:0.300:0.301) (0.218:0.218:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output607) - (DELAY - (ABSOLUTE - (IOPATH A X (0.302:0.302:0.303) (0.219:0.219:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output608) - (DELAY - (ABSOLUTE - (IOPATH A X (0.304:0.305:0.306) (0.222:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output609) - (DELAY - (ABSOLUTE - (IOPATH A X (0.304:0.305:0.305) (0.221:0.221:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output610) - (DELAY - (ABSOLUTE - (IOPATH A X (0.303:0.303:0.303) (0.221:0.222:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output611) - (DELAY - (ABSOLUTE - (IOPATH A X (0.304:0.305:0.305) (0.221:0.221:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output612) - (DELAY - (ABSOLUTE - (IOPATH A X (0.310:0.310:0.310) (0.247:0.247:0.247)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output613) - (DELAY - (ABSOLUTE - (IOPATH A X (0.304:0.304:0.304) (0.219:0.219:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output614) - (DELAY - (ABSOLUTE - (IOPATH A X (0.310:0.310:0.310) (0.244:0.244:0.244)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output615) - (DELAY - (ABSOLUTE - (IOPATH A X (0.313:0.313:0.313) (0.251:0.251:0.251)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output616) - (DELAY - (ABSOLUTE - (IOPATH A X (0.312:0.312:0.312) (0.247:0.247:0.247)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output617) - (DELAY - (ABSOLUTE - (IOPATH A X (0.323:0.323:0.323) (0.232:0.232:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output618) - (DELAY - (ABSOLUTE - (IOPATH A X (0.307:0.307:0.307) (0.220:0.220:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output619) - (DELAY - (ABSOLUTE - (IOPATH A X (0.302:0.302:0.302) (0.231:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output620) - (DELAY - (ABSOLUTE - (IOPATH A X (0.303:0.303:0.303) (0.232:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output621) - (DELAY - (ABSOLUTE - (IOPATH A X (0.303:0.303:0.303) (0.216:0.217:0.217)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output622) - (DELAY - (ABSOLUTE - (IOPATH A X (0.302:0.302:0.302) (0.218:0.218:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output623) - (DELAY - (ABSOLUTE - (IOPATH A X (0.302:0.302:0.302) (0.217:0.217:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output624) - (DELAY - (ABSOLUTE - (IOPATH A X (0.303:0.303:0.303) (0.231:0.231:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output625) - (DELAY - (ABSOLUTE - (IOPATH A X (0.303:0.303:0.303) (0.231:0.231:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output626) - (DELAY - (ABSOLUTE - (IOPATH A X (0.303:0.303:0.303) (0.232:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output627) - (DELAY - (ABSOLUTE - (IOPATH A X (0.302:0.302:0.302) (0.229:0.230:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output628) - (DELAY - (ABSOLUTE - (IOPATH A X (0.304:0.304:0.304) (0.233:0.233:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output629) - (DELAY - (ABSOLUTE - (IOPATH A X (0.324:0.324:0.324) (0.234:0.234:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output630) - (DELAY - (ABSOLUTE - (IOPATH A X (0.297:0.297:0.297) (0.225:0.225:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output631) - (DELAY - (ABSOLUTE - (IOPATH A X (0.330:0.330:0.330) (0.238:0.239:0.239)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output632) - (DELAY - (ABSOLUTE - (IOPATH A X (0.334:0.334:0.334) (0.240:0.240:0.240)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output633) - (DELAY - (ABSOLUTE - (IOPATH A X (0.329:0.329:0.329) (0.237:0.237:0.238)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output634) - (DELAY - (ABSOLUTE - (IOPATH A X (0.333:0.333:0.333) (0.242:0.242:0.242)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output635) - (DELAY - (ABSOLUTE - (IOPATH A X (0.330:0.330:0.330) (0.237:0.237:0.238)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output636) - (DELAY - (ABSOLUTE - (IOPATH A X (0.334:0.334:0.334) (0.240:0.240:0.240)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output637) - (DELAY - (ABSOLUTE - (IOPATH A X (0.332:0.332:0.332) (0.238:0.238:0.238)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output638) - (DELAY - (ABSOLUTE - (IOPATH A X (0.325:0.325:0.325) (0.233:0.233:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output639) - (DELAY - (ABSOLUTE - (IOPATH A X (0.325:0.325:0.325) (0.232:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output640) - (DELAY - (ABSOLUTE - (IOPATH A X (0.321:0.321:0.321) (0.228:0.228:0.229)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output641) - (DELAY - (ABSOLUTE - (IOPATH A X (0.305:0.305:0.305) (0.235:0.235:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output642) - (DELAY - (ABSOLUTE - (IOPATH A X (0.318:0.318:0.318) (0.227:0.227:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output643) - (DELAY - (ABSOLUTE - (IOPATH A X (0.304:0.304:0.304) (0.234:0.234:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output644) - (DELAY - (ABSOLUTE - (IOPATH A X (0.306:0.306:0.306) (0.220:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output645) - (DELAY - (ABSOLUTE - (IOPATH A X (0.304:0.304:0.304) (0.217:0.217:0.217)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output646) - (DELAY - (ABSOLUTE - (IOPATH A X (0.304:0.304:0.304) (0.232:0.232:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output647) - (DELAY - (ABSOLUTE - (IOPATH A X (0.308:0.308:0.308) (0.239:0.239:0.239)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output648) - (DELAY - (ABSOLUTE - (IOPATH A X (0.312:0.312:0.312) (0.248:0.248:0.248)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output649) - (DELAY - (ABSOLUTE - (IOPATH A X (0.323:0.323:0.323) (0.233:0.233:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output650) - (DELAY - (ABSOLUTE - (IOPATH A X (0.323:0.323:0.323) (0.232:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output651) - (DELAY - (ABSOLUTE - (IOPATH A X (0.328:0.328:0.328) (0.237:0.237:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output652) - (DELAY - (ABSOLUTE - (IOPATH A X (0.303:0.303:0.303) (0.232:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output653) - (DELAY - (ABSOLUTE - (IOPATH A X (0.326:0.326:0.326) (0.234:0.234:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output654) - (DELAY - (ABSOLUTE - (IOPATH A X (0.332:0.332:0.332) (0.242:0.242:0.242)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output655) - (DELAY - (ABSOLUTE - (IOPATH A X (0.331:0.331:0.331) (0.242:0.242:0.242)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output656) - (DELAY - (ABSOLUTE - (IOPATH A X (0.336:0.336:0.336) (0.245:0.245:0.245)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output657) - (DELAY - (ABSOLUTE - (IOPATH A X (0.334:0.334:0.334) (0.244:0.244:0.244)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output658) - (DELAY - (ABSOLUTE - (IOPATH A X (0.336:0.336:0.336) (0.245:0.246:0.246)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output659) - (DELAY - (ABSOLUTE - (IOPATH A X (0.341:0.341:0.341) (0.248:0.248:0.248)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output660) - (DELAY - (ABSOLUTE - (IOPATH A X (0.337:0.337:0.337) (0.244:0.244:0.244)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output661) - (DELAY - (ABSOLUTE - (IOPATH A X (0.327:0.327:0.327) (0.239:0.240:0.240)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output662) - (DELAY - (ABSOLUTE - (IOPATH A X (0.312:0.312:0.312) (0.247:0.247:0.247)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output663) - (DELAY - (ABSOLUTE - (IOPATH A X (0.301:0.301:0.301) (0.215:0.215:0.216)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output664) - (DELAY - (ABSOLUTE - (IOPATH A X (0.306:0.306:0.306) (0.236:0.237:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output665) - (DELAY - (ABSOLUTE - (IOPATH A X (0.305:0.305:0.305) (0.235:0.235:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output666) - (DELAY - (ABSOLUTE - (IOPATH A X (0.304:0.304:0.304) (0.219:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output667) - (DELAY - (ABSOLUTE - (IOPATH A X (0.302:0.302:0.302) (0.217:0.217:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output668) - (DELAY - (ABSOLUTE - (IOPATH A X (0.303:0.303:0.303) (0.220:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output669) - (DELAY - (ABSOLUTE - (IOPATH A X (0.302:0.302:0.302) (0.219:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output670) - (DELAY - (ABSOLUTE - (IOPATH A X (0.303:0.303:0.303) (0.219:0.219:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output671) - (DELAY - (ABSOLUTE - (IOPATH A X (0.301:0.301:0.301) (0.216:0.216:0.217)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output672) - (DELAY - (ABSOLUTE - (IOPATH A X (0.298:0.298:0.298) (0.213:0.213:0.213)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output673) - (DELAY - (ABSOLUTE - (IOPATH A X (0.299:0.299:0.299) (0.214:0.215:0.215)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output674) - (DELAY - (ABSOLUTE - (IOPATH A X (0.305:0.305:0.305) (0.235:0.235:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output675) - (DELAY - (ABSOLUTE - (IOPATH A X (0.304:0.304:0.305) (0.218:0.219:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output676) - (DELAY - (ABSOLUTE - (IOPATH A X (0.303:0.303:0.303) (0.232:0.232:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output677) - (DELAY - (ABSOLUTE - (IOPATH A X (0.307:0.307:0.307) (0.238:0.238:0.238)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output678) - (DELAY - (ABSOLUTE - (IOPATH A X (0.310:0.310:0.310) (0.245:0.245:0.246)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output679) - (DELAY - (ABSOLUTE - (IOPATH A X (0.312:0.312:0.312) (0.249:0.249:0.249)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output680) - (DELAY - (ABSOLUTE - (IOPATH A X (0.331:0.331:0.331) (0.239:0.239:0.239)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output681) - (DELAY - (ABSOLUTE - (IOPATH A X (0.314:0.314:0.314) (0.249:0.249:0.249)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output682) - (DELAY - (ABSOLUTE - (IOPATH A X (0.317:0.317:0.317) (0.253:0.253:0.253)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output683) - (DELAY - (ABSOLUTE - (IOPATH A X (0.335:0.335:0.335) (0.237:0.238:0.238)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output684) - (DELAY - (ABSOLUTE - (IOPATH A X (0.320:0.320:0.320) (0.258:0.258:0.258)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output685) - (DELAY - (ABSOLUTE - (IOPATH A X (0.304:0.304:0.304) (0.233:0.233:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output686) - (DELAY - (ABSOLUTE - (IOPATH A X (0.320:0.320:0.320) (0.259:0.259:0.259)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output687) - (DELAY - (ABSOLUTE - (IOPATH A X (0.315:0.315:0.315) (0.251:0.251:0.251)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output688) - (DELAY - (ABSOLUTE - (IOPATH A X (0.316:0.316:0.316) (0.253:0.253:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output689) - (DELAY - (ABSOLUTE - (IOPATH A X (0.313:0.313:0.313) (0.246:0.246:0.246)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output690) - (DELAY - (ABSOLUTE - (IOPATH A X (0.341:0.341:0.341) (0.249:0.249:0.249)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output691) - (DELAY - (ABSOLUTE - (IOPATH A X (0.331:0.331:0.331) (0.242:0.242:0.242)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output692) - (DELAY - (ABSOLUTE - (IOPATH A X (0.329:0.330:0.330) (0.239:0.240:0.240)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output693) - (DELAY - (ABSOLUTE - (IOPATH A X (0.322:0.323:0.323) (0.234:0.235:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output694) - (DELAY - (ABSOLUTE - (IOPATH A X (0.310:0.310:0.311) (0.244:0.244:0.245)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output695) - (DELAY - (ABSOLUTE - (IOPATH A X (0.309:0.310:0.310) (0.227:0.227:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output696) - (DELAY - (ABSOLUTE - (IOPATH A X (0.307:0.307:0.307) (0.238:0.238:0.238)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output697) - (DELAY - (ABSOLUTE - (IOPATH A X (0.309:0.310:0.310) (0.227:0.228:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output698) - (DELAY - (ABSOLUTE - (IOPATH A X (0.309:0.309:0.310) (0.243:0.243:0.243)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output699) - (DELAY - (ABSOLUTE - (IOPATH A X (0.308:0.308:0.309) (0.240:0.240:0.241)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output700) - (DELAY - (ABSOLUTE - (IOPATH A X (0.310:0.311:0.312) (0.245:0.246:0.246)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output701) - (DELAY - (ABSOLUTE - (IOPATH A X (0.314:0.315:0.316) (0.254:0.254:0.255)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output702) - (DELAY - (ABSOLUTE - (IOPATH A X (0.316:0.317:0.318) (0.258:0.259:0.259)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output703) - (DELAY - (ABSOLUTE - (IOPATH A X (0.315:0.316:0.317) (0.256:0.257:0.257)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output704) - (DELAY - (ABSOLUTE - (IOPATH A X (0.317:0.318:0.319) (0.259:0.260:0.260)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output705) - (DELAY - (ABSOLUTE - (IOPATH A X (0.311:0.312:0.313) (0.232:0.233:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output706) - (DELAY - (ABSOLUTE - (IOPATH A X (0.310:0.311:0.312) (0.245:0.245:0.246)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output707) - (DELAY - (ABSOLUTE - (IOPATH A X (0.309:0.309:0.309) (0.242:0.243:0.243)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output708) - (DELAY - (ABSOLUTE - (IOPATH A X (0.309:0.310:0.311) (0.243:0.244:0.245)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output709) - (DELAY - (ABSOLUTE - (IOPATH A X (0.307:0.308:0.309) (0.225:0.226:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output710) - (DELAY - (ABSOLUTE - (IOPATH A X (0.308:0.309:0.310) (0.241:0.241:0.242)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output711) - (DELAY - (ABSOLUTE - (IOPATH A X (0.343:0.344:0.344) (0.254:0.254:0.255)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output712) - (DELAY - (ABSOLUTE - (IOPATH A X (0.313:0.313:0.313) (0.246:0.246:0.247)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output713) - (DELAY - (ABSOLUTE - (IOPATH A X (0.317:0.317:0.317) (0.253:0.253:0.253)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output714) - (DELAY - (ABSOLUTE - (IOPATH A X (0.316:0.316:0.316) (0.252:0.252:0.253)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output715) - (DELAY - (ABSOLUTE - (IOPATH A X (0.328:0.328:0.328) (0.239:0.240:0.240)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output716) - (DELAY - (ABSOLUTE - (IOPATH A X (0.329:0.329:0.329) (0.242:0.243:0.243)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output717) - (DELAY - (ABSOLUTE - (IOPATH A X (0.331:0.331:0.331) (0.238:0.238:0.239)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output718) - (DELAY - (ABSOLUTE - (IOPATH A X (0.310:0.310:0.310) (0.243:0.243:0.243)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output719) - (DELAY - (ABSOLUTE - (IOPATH A X (0.350:0.350:0.350) (0.263:0.263:0.264)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output720) - (DELAY - (ABSOLUTE - (IOPATH A X (0.306:0.306:0.306) (0.219:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output721) - (DELAY - (ABSOLUTE - (IOPATH A X (0.318:0.318:0.318) (0.228:0.228:0.229)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output722) - (DELAY - (ABSOLUTE - (IOPATH A X (0.311:0.311:0.311) (0.222:0.223:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output723) - (DELAY - (ABSOLUTE - (IOPATH A X (0.312:0.313:0.313) (0.224:0.224:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output724) - (DELAY - (ABSOLUTE - (IOPATH A X (0.312:0.312:0.312) (0.223:0.224:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output725) - (DELAY - (ABSOLUTE - (IOPATH A X (0.307:0.307:0.308) (0.220:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output726) - (DELAY - (ABSOLUTE - (IOPATH A X (0.312:0.312:0.312) (0.224:0.224:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output727) - (DELAY - (ABSOLUTE - (IOPATH A X (0.310:0.310:0.310) (0.222:0.222:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output728) - (DELAY - (ABSOLUTE - (IOPATH A X (0.309:0.309:0.309) (0.220:0.221:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output729) - (DELAY - (ABSOLUTE - (IOPATH A X (0.308:0.308:0.308) (0.220:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output730) - (DELAY - (ABSOLUTE - (IOPATH A X (0.353:0.353:0.353) (0.268:0.268:0.269)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output731) - (DELAY - (ABSOLUTE - (IOPATH A X (0.309:0.309:0.309) (0.221:0.222:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output732) - (DELAY - (ABSOLUTE - (IOPATH A X (0.307:0.307:0.307) (0.220:0.220:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output733) - (DELAY - (ABSOLUTE - (IOPATH A X (0.311:0.311:0.311) (0.222:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output734) - (DELAY - (ABSOLUTE - (IOPATH A X (0.309:0.309:0.309) (0.221:0.221:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output735) - (DELAY - (ABSOLUTE - (IOPATH A X (0.307:0.307:0.307) (0.219:0.219:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output736) - (DELAY - (ABSOLUTE - (IOPATH A X (0.307:0.307:0.307) (0.219:0.219:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output737) - (DELAY - (ABSOLUTE - (IOPATH A X (0.307:0.307:0.307) (0.219:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output738) - (DELAY - (ABSOLUTE - (IOPATH A X (0.306:0.306:0.306) (0.218:0.219:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output739) - (DELAY - (ABSOLUTE - (IOPATH A X (0.305:0.305:0.306) (0.218:0.219:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output740) - (DELAY - (ABSOLUTE - (IOPATH A X (0.308:0.309:0.309) (0.220:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output741) - (DELAY - (ABSOLUTE - (IOPATH A X (0.352:0.352:0.353) (0.266:0.267:0.267)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output742) - (DELAY - (ABSOLUTE - (IOPATH A X (0.307:0.307:0.307) (0.219:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output743) - (DELAY - (ABSOLUTE - (IOPATH A X (0.306:0.306:0.306) (0.218:0.219:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output744) - (DELAY - (ABSOLUTE - (IOPATH A X (0.302:0.302:0.303) (0.216:0.217:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output745) - (DELAY - (ABSOLUTE - (IOPATH A X (0.302:0.303:0.303) (0.216:0.217:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output746) - (DELAY - (ABSOLUTE - (IOPATH A X (0.307:0.307:0.307) (0.219:0.220:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output747) - (DELAY - (ABSOLUTE - (IOPATH A X (0.304:0.304:0.305) (0.217:0.218:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output748) - (DELAY - (ABSOLUTE - (IOPATH A X (0.302:0.303:0.303) (0.217:0.217:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output749) - (DELAY - (ABSOLUTE - (IOPATH A X (0.306:0.307:0.307) (0.219:0.220:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output750) - (DELAY - (ABSOLUTE - (IOPATH A X (0.348:0.348:0.348) (0.258:0.258:0.259)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output751) - (DELAY - (ABSOLUTE - (IOPATH A X (0.356:0.356:0.356) (0.276:0.277:0.277)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output752) - (DELAY - (ABSOLUTE - (IOPATH A X (0.356:0.356:0.356) (0.275:0.275:0.276)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output753) - (DELAY - (ABSOLUTE - (IOPATH A X (0.357:0.357:0.357) (0.277:0.277:0.278)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output754) - (DELAY - (ABSOLUTE - (IOPATH A X (0.355:0.355:0.355) (0.273:0.273:0.274)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output755) - (DELAY - (ABSOLUTE - (IOPATH A X (0.356:0.356:0.356) (0.277:0.277:0.278)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output756) - (DELAY - (ABSOLUTE - (IOPATH A X (0.358:0.358:0.358) (0.278:0.278:0.279)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output757) - (DELAY - (ABSOLUTE - (IOPATH A X (0.352:0.352:0.352) (0.269:0.269:0.270)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output758) - (DELAY - (ABSOLUTE - (IOPATH A X (0.350:0.350:0.350) (0.265:0.265:0.266)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output759) - (DELAY - (ABSOLUTE - (IOPATH A X (0.357:0.357:0.357) (0.277:0.278:0.279)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output760) - (DELAY - (ABSOLUTE - (IOPATH A X (0.334:0.334:0.334) (0.239:0.239:0.240)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output761) - (DELAY - (ABSOLUTE - (IOPATH A X (0.350:0.350:0.350) (0.261:0.262:0.262)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output762) - (DELAY - (ABSOLUTE - (IOPATH A X (0.354:0.354:0.354) (0.269:0.269:0.270)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output763) - (DELAY - (ABSOLUTE - (IOPATH A X (0.348:0.348:0.348) (0.258:0.258:0.259)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output764) - (DELAY - (ABSOLUTE - (IOPATH A X (0.351:0.351:0.351) (0.262:0.262:0.263)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output765) - (DELAY - (ABSOLUTE - (IOPATH A X (0.360:0.360:0.360) (0.283:0.284:0.284)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output766) - (DELAY - (ABSOLUTE - (IOPATH A X (0.323:0.323:0.323) (0.233:0.233:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output767) - (DELAY - (ABSOLUTE - (IOPATH A X (0.364:0.364:0.364) (0.289:0.289:0.290)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output768) - (DELAY - (ABSOLUTE - (IOPATH A X (0.315:0.315:0.315) (0.226:0.227:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output769) - (DELAY - (ABSOLUTE - (IOPATH A X (0.351:0.351:0.351) (0.267:0.268:0.268)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output770) - (DELAY - (ABSOLUTE - (IOPATH A X (0.307:0.307:0.308) (0.220:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output771) - (DELAY - (ABSOLUTE - (IOPATH A X (0.308:0.308:0.308) (0.221:0.221:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output772) - (DELAY - (ABSOLUTE - (IOPATH A X (0.311:0.311:0.311) (0.223:0.223:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output773) - (DELAY - (ABSOLUTE - (IOPATH A X (0.357:0.357:0.357) (0.280:0.281:0.281)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output774) - (DELAY - (ABSOLUTE - (IOPATH A X (0.358:0.358:0.358) (0.281:0.281:0.282)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output775) - (DELAY - (ABSOLUTE - (IOPATH A X (0.317:0.317:0.317) (0.227:0.228:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output776) - (DELAY - (ABSOLUTE - (IOPATH A X (0.318:0.318:0.318) (0.229:0.229:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output777) - (DELAY - (ABSOLUTE - (IOPATH A X (0.323:0.323:0.323) (0.233:0.233:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output778) - (DELAY - (ABSOLUTE - (IOPATH A X (0.360:0.360:0.360) (0.284:0.284:0.285)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output779) - (DELAY - (ABSOLUTE - (IOPATH A X (0.354:0.354:0.354) (0.267:0.267:0.268)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output780) - (DELAY - (ABSOLUTE - (IOPATH A X (0.354:0.354:0.354) (0.276:0.277:0.277)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output781) - (DELAY - (ABSOLUTE - (IOPATH A X (0.329:0.330:0.330) (0.235:0.236:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output782) - (DELAY - (ABSOLUTE - (IOPATH A X (0.365:0.365:0.366) (0.293:0.294:0.294)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output783) - (DELAY - (ABSOLUTE - (IOPATH A X (0.354:0.354:0.354) (0.274:0.275:0.275)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output784) - (DELAY - (ABSOLUTE - (IOPATH A X (0.334:0.334:0.334) (0.240:0.240:0.241)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output785) - (DELAY - (ABSOLUTE - (IOPATH A X (0.350:0.350:0.350) (0.262:0.262:0.263)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output786) - (DELAY - (ABSOLUTE - (IOPATH A X (0.371:0.371:0.371) (0.297:0.297:0.298)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output787) - (DELAY - (ABSOLUTE - (IOPATH A X (0.339:0.339:0.339) (0.243:0.244:0.244)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output788) - (DELAY - (ABSOLUTE - (IOPATH A X (0.313:0.313:0.313) (0.224:0.224:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output789) - (DELAY - (ABSOLUTE - (IOPATH A X (0.339:0.339:0.339) (0.245:0.245:0.246)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output790) - (DELAY - (ABSOLUTE - (IOPATH A X (0.364:0.364:0.364) (0.290:0.291:0.291)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output791) - (DELAY - (ABSOLUTE - (IOPATH A X (0.345:0.345:0.345) (0.254:0.254:0.255)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output792) - (DELAY - (ABSOLUTE - (IOPATH A X (0.372:0.372:0.372) (0.304:0.304:0.304)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output793) - (DELAY - (ABSOLUTE - (IOPATH A X (0.344:0.344:0.344) (0.249:0.250:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output794) - (DELAY - (ABSOLUTE - (IOPATH A X (0.367:0.367:0.367) (0.305:0.305:0.306)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output795) - (DELAY - (ABSOLUTE - (IOPATH A X (0.370:0.370:0.370) (0.302:0.302:0.303)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output796) - (DELAY - (ABSOLUTE - (IOPATH A X (0.347:0.347:0.347) (0.255:0.255:0.256)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output797) - (DELAY - (ABSOLUTE - (IOPATH A X (0.360:0.360:0.360) (0.281:0.282:0.282)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output798) - (DELAY - (ABSOLUTE - (IOPATH A X (0.373:0.373:0.373) (0.301:0.301:0.301)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output799) - (DELAY - (ABSOLUTE - (IOPATH A X (0.351:0.351:0.351) (0.264:0.264:0.265)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output800) - (DELAY - (ABSOLUTE - (IOPATH A X (0.382:0.382:0.382) (0.336:0.336:0.336)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output801) - (DELAY - (ABSOLUTE - (IOPATH A X (0.344:0.344:0.344) (0.252:0.252:0.253)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output802) - (DELAY - (ABSOLUTE - (IOPATH A X (0.352:0.352:0.352) (0.270:0.271:0.272)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output803) - (DELAY - (ABSOLUTE - (IOPATH A X (0.352:0.352:0.352) (0.265:0.266:0.266)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output804) - (DELAY - (ABSOLUTE - (IOPATH A X (0.343:0.343:0.343) (0.248:0.249:0.249)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output805) - (DELAY - (ABSOLUTE - (IOPATH A X (0.319:0.319:0.319) (0.229:0.229:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output806) - (DELAY - (ABSOLUTE - (IOPATH A X (0.315:0.316:0.316) (0.225:0.226:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output807) - (DELAY - (ABSOLUTE - (IOPATH A X (0.311:0.311:0.311) (0.222:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output808) - (DELAY - (ABSOLUTE - (IOPATH A X (0.313:0.313:0.313) (0.226:0.227:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output809) - (DELAY - (ABSOLUTE - (IOPATH A X (0.310:0.310:0.310) (0.221:0.222:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output810) - (DELAY - (ABSOLUTE - (IOPATH A X (0.312:0.312:0.313) (0.227:0.227:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output811) - (DELAY - (ABSOLUTE - (IOPATH A X (0.306:0.307:0.307) (0.219:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output812) - (DELAY - (ABSOLUTE - (IOPATH A X (0.306:0.306:0.306) (0.218:0.219:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output813) - (DELAY - (ABSOLUTE - (IOPATH A X (0.350:0.350:0.350) (0.266:0.266:0.267)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output814) - (DELAY - (ABSOLUTE - (IOPATH A X (0.311:0.311:0.312) (0.223:0.223:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output815) - (DELAY - (ABSOLUTE - (IOPATH A X (0.307:0.307:0.307) (0.220:0.220:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output816) - (DELAY - (ABSOLUTE - (IOPATH A X (0.316:0.317:0.317) (0.227:0.227:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output817) - (DELAY - (ABSOLUTE - (IOPATH A X (0.312:0.313:0.313) (0.224:0.224:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output818) - (DELAY - (ABSOLUTE - (IOPATH A X (0.313:0.313:0.313) (0.224:0.225:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output819) - (DELAY - (ABSOLUTE - (IOPATH A X (0.313:0.313:0.313) (0.224:0.225:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output820) - (DELAY - (ABSOLUTE - (IOPATH A X (0.316:0.316:0.317) (0.227:0.228:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output821) - (DELAY - (ABSOLUTE - (IOPATH A X (0.313:0.313:0.313) (0.224:0.225:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output822) - (DELAY - (ABSOLUTE - (IOPATH A X (0.316:0.316:0.316) (0.227:0.227:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output823) - (DELAY - (ABSOLUTE - (IOPATH A X (0.314:0.315:0.315) (0.225:0.226:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output824) - (DELAY - (ABSOLUTE - (IOPATH A X (0.352:0.352:0.352) (0.267:0.267:0.268)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output825) - (DELAY - (ABSOLUTE - (IOPATH A X (0.311:0.311:0.311) (0.222:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output826) - (DELAY - (ABSOLUTE - (IOPATH A X (0.316:0.316:0.317) (0.227:0.227:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output827) - (DELAY - (ABSOLUTE - (IOPATH A X (0.316:0.316:0.316) (0.226:0.227:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output828) - (DELAY - (ABSOLUTE - (IOPATH A X (0.310:0.310:0.310) (0.222:0.222:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output829) - (DELAY - (ABSOLUTE - (IOPATH A X (0.314:0.314:0.314) (0.224:0.225:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output830) - (DELAY - (ABSOLUTE - (IOPATH A X (0.314:0.315:0.315) (0.225:0.226:0.226)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output831) - (DELAY - (ABSOLUTE - (IOPATH A X (0.318:0.318:0.318) (0.228:0.228:0.229)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output832) - (DELAY - (ABSOLUTE - (IOPATH A X (0.318:0.318:0.318) (0.228:0.229:0.229)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output833) - (DELAY - (ABSOLUTE - (IOPATH A X (0.319:0.319:0.319) (0.229:0.229:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output834) - (DELAY - (ABSOLUTE - (IOPATH A X (0.350:0.350:0.350) (0.263:0.263:0.264)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output835) - (DELAY - (ABSOLUTE - (IOPATH A X (0.351:0.351:0.351) (0.265:0.266:0.266)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output836) - (DELAY - (ABSOLUTE - (IOPATH A X (0.354:0.354:0.354) (0.268:0.268:0.269)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output837) - (DELAY - (ABSOLUTE - (IOPATH A X (0.347:0.347:0.347) (0.255:0.255:0.256)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output838) - (DELAY - (ABSOLUTE - (IOPATH A X (0.329:0.329:0.330) (0.236:0.236:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output839) - (DELAY - (ABSOLUTE - (IOPATH A X (0.325:0.325:0.325) (0.234:0.234:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output840) - (DELAY - (ABSOLUTE - (IOPATH A X (0.314:0.314:0.314) (0.224:0.225:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output841) - (DELAY - (ABSOLUTE - (IOPATH A X (0.309:0.309:0.309) (0.220:0.221:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output842) - (DELAY - (ABSOLUTE - (IOPATH A X (0.313:0.313:0.314) (0.224:0.225:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output843) - (DELAY - (ABSOLUTE - (IOPATH A X (0.306:0.306:0.306) (0.219:0.219:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output844) - (DELAY - (ABSOLUTE - (IOPATH A X (0.318:0.318:0.318) (0.231:0.231:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output845) - (DELAY - (ABSOLUTE - (IOPATH A X (0.310:0.310:0.310) (0.222:0.222:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output846) - (DELAY - (ABSOLUTE - (IOPATH A X (0.347:0.347:0.347) (0.258:0.258:0.259)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output847) - (DELAY - (ABSOLUTE - (IOPATH A X (0.300:0.302:0.305) (0.226:0.231:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output848) - (DELAY - (ABSOLUTE - (IOPATH A X (0.311:0.312:0.314) (0.222:0.225:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output849) - (DELAY - (ABSOLUTE - (IOPATH A X (0.389:0.389:0.389) (0.373:0.373:0.373)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output850) - (DELAY - (ABSOLUTE - (IOPATH A X (0.387:0.387:0.387) (0.343:0.343:0.343)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output851) - (DELAY - (ABSOLUTE - (IOPATH A X (0.345:0.345:0.345) (0.253:0.254:0.255)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output852) - (DELAY - (ABSOLUTE - (IOPATH A X (0.376:0.376:0.376) (0.313:0.314:0.314)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output853) - (DELAY - (ABSOLUTE - (IOPATH A X (0.375:0.375:0.375) (0.307:0.308:0.308)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output854) - (DELAY - (ABSOLUTE - (IOPATH A X (0.335:0.335:0.335) (0.242:0.243:0.244)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output855) - (DELAY - (ABSOLUTE - (IOPATH A X (0.333:0.334:0.334) (0.240:0.241:0.242)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output856) - (DELAY - (ABSOLUTE - (IOPATH A X (0.381:0.381:0.381) (0.317:0.317:0.318)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output857) - (DELAY - (ABSOLUTE - (IOPATH A X (0.331:0.331:0.332) (0.237:0.239:0.240)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output858) - (DELAY - (ABSOLUTE - (IOPATH A X (0.339:0.340:0.340) (0.245:0.246:0.247)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output859) - (DELAY - (ABSOLUTE - (IOPATH A X (0.323:0.324:0.325) (0.233:0.235:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output860) - (DELAY - (ABSOLUTE - (IOPATH A X (0.367:0.368:0.368) (0.289:0.290:0.290)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output861) - (DELAY - (ABSOLUTE - (IOPATH A X (0.326:0.327:0.327) (0.234:0.235:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output862) - (DELAY - (ABSOLUTE - (IOPATH A X (0.376:0.376:0.376) (0.316:0.316:0.316)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output863) - (DELAY - (ABSOLUTE - (IOPATH A X (0.347:0.347:0.347) (0.254:0.255:0.255)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output864) - (DELAY - (ABSOLUTE - (IOPATH A X (0.312:0.313:0.313) (0.223:0.225:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output865) - (DELAY - (ABSOLUTE - (IOPATH A X (0.313:0.314:0.316) (0.225:0.227:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output866) - (DELAY - (ABSOLUTE - (IOPATH A X (0.321:0.322:0.323) (0.231:0.234:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output867) - (DELAY - (ABSOLUTE - (IOPATH A X (0.323:0.324:0.325) (0.233:0.236:0.239)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output868) - (DELAY - (ABSOLUTE - (IOPATH A X (0.325:0.326:0.327) (0.235:0.238:0.240)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output869) - (DELAY - (ABSOLUTE - (IOPATH A X (0.321:0.322:0.323) (0.231:0.233:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output870) - (DELAY - (ABSOLUTE - (IOPATH A X (0.313:0.314:0.315) (0.228:0.230:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output871) - (DELAY - (ABSOLUTE - (IOPATH A X (0.316:0.318:0.319) (0.230:0.232:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output872) - (DELAY - (ABSOLUTE - (IOPATH A X (0.317:0.318:0.318) (0.226:0.228:0.229)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output873) - (DELAY - (ABSOLUTE - (IOPATH A X (0.325:0.326:0.327) (0.234:0.237:0.239)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output874) - (DELAY - (ABSOLUTE - (IOPATH A X (0.313:0.315:0.317) (0.228:0.231:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output875) - (DELAY - (ABSOLUTE - (IOPATH A X (0.321:0.322:0.323) (0.231:0.232:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output876) - (DELAY - (ABSOLUTE - (IOPATH A X (0.369:0.369:0.369) (0.300:0.301:0.302)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output877) - (DELAY - (ABSOLUTE - (IOPATH A X (0.345:0.345:0.345) (0.252:0.253:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output878) - (DELAY - (ABSOLUTE - (IOPATH A X (0.346:0.346:0.346) (0.252:0.253:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output879) - (DELAY - (ABSOLUTE - (IOPATH A X (0.375:0.375:0.375) (0.304:0.305:0.305)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output880) - (DELAY - (ABSOLUTE - (IOPATH A X (0.379:0.379:0.379) (0.315:0.315:0.315)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output881) - (DELAY - (ABSOLUTE - (IOPATH A X (0.298:0.299:0.301) (0.212:0.215:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output882) - (DELAY - (ABSOLUTE - (IOPATH A X (0.300:0.302:0.303) (0.216:0.219:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output883) - (DELAY - (ABSOLUTE - (IOPATH A X (0.303:0.303:0.304) (0.217:0.220:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output884) - (DELAY - (ABSOLUTE - (IOPATH A X (0.300:0.300:0.301) (0.215:0.217:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output885) - (DELAY - (ABSOLUTE - (IOPATH A X (0.300:0.301:0.302) (0.214:0.217:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output886) - (DELAY - (ABSOLUTE - (IOPATH A X (0.300:0.300:0.300) (0.214:0.216:0.217)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output887) - (DELAY - (ABSOLUTE - (IOPATH A X (0.303:0.303:0.304) (0.218:0.220:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output888) - (DELAY - (ABSOLUTE - (IOPATH A X (0.300:0.301:0.301) (0.215:0.216:0.217)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output889) - (DELAY - (ABSOLUTE - (IOPATH A X (0.303:0.304:0.304) (0.218:0.220:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output890) - (DELAY - (ABSOLUTE - (IOPATH A X (0.303:0.303:0.303) (0.218:0.220:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output891) - (DELAY - (ABSOLUTE - (IOPATH A X (0.304:0.304:0.304) (0.219:0.221:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output892) - (DELAY - (ABSOLUTE - (IOPATH A X (0.300:0.301:0.302) (0.215:0.218:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output893) - (DELAY - (ABSOLUTE - (IOPATH A X (0.300:0.301:0.302) (0.215:0.218:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output894) - (DELAY - (ABSOLUTE - (IOPATH A X (0.301:0.302:0.302) (0.217:0.218:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output895) - (DELAY - (ABSOLUTE - (IOPATH A X (0.302:0.303:0.304) (0.228:0.230:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output896) - (DELAY - (ABSOLUTE - (IOPATH A X (0.308:0.309:0.309) (0.238:0.240:0.243)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output897) - (DELAY - (ABSOLUTE - (IOPATH A X (0.308:0.308:0.308) (0.237:0.239:0.241)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output898) - (DELAY - (ABSOLUTE - (IOPATH A X (0.307:0.308:0.308) (0.237:0.238:0.239)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output899) - (DELAY - (ABSOLUTE - (IOPATH A X (0.306:0.306:0.306) (0.234:0.235:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output900) - (DELAY - (ABSOLUTE - (IOPATH A X (0.304:0.304:0.305) (0.231:0.232:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output901) - (DELAY - (ABSOLUTE - (IOPATH A X (0.305:0.306:0.306) (0.233:0.234:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output902) - (DELAY - (ABSOLUTE - (IOPATH A X (0.303:0.304:0.305) (0.229:0.231:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output903) - (DELAY - (ABSOLUTE - (IOPATH A X (0.302:0.303:0.303) (0.215:0.218:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output904) - (DELAY - (ABSOLUTE - (IOPATH A X (0.304:0.305:0.306) (0.229:0.232:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output905) - (DELAY - (ABSOLUTE - (IOPATH A X (0.303:0.303:0.303) (0.230:0.230:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output906) - (DELAY - (ABSOLUTE - (IOPATH A X (0.303:0.304:0.304) (0.217:0.219:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output907) - (DELAY - (ABSOLUTE - (IOPATH A X (0.299:0.300:0.300) (0.214:0.216:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output908) - (DELAY - (ABSOLUTE - (IOPATH A X (0.308:0.308:0.308) (0.219:0.221:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output909) - (DELAY - (ABSOLUTE - (IOPATH A X (0.304:0.304:0.304) (0.220:0.220:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output910) - (DELAY - (ABSOLUTE - (IOPATH A X (0.298:0.299:0.300) (0.213:0.216:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output911) - (DELAY - (ABSOLUTE - (IOPATH A X (0.301:0.301:0.301) (0.216:0.217:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output912) - (DELAY - (ABSOLUTE - (IOPATH A X (0.303:0.303:0.303) (0.228:0.229:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output913) - (DELAY - (ABSOLUTE - (IOPATH A X (0.362:0.362:0.362) (0.291:0.292:0.293)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output914) - (DELAY - (ABSOLUTE - (IOPATH A X (0.391:0.391:0.391) (0.365:0.365:0.366)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output915) - (DELAY - (ABSOLUTE - (IOPATH A X (0.390:0.390:0.390) (0.364:0.364:0.364)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output916) - (DELAY - (ABSOLUTE - (IOPATH A X (0.390:0.390:0.390) (0.385:0.385:0.385)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output917) - (DELAY - (ABSOLUTE - (IOPATH A X (0.390:0.390:0.390) (0.360:0.360:0.360)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output918) - (DELAY - (ABSOLUTE - (IOPATH A X (0.391:0.391:0.391) (0.395:0.395:0.395)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output919) - (DELAY - (ABSOLUTE - (IOPATH A X (0.391:0.391:0.391) (0.370:0.370:0.371)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output920) - (DELAY - (ABSOLUTE - (IOPATH A X (0.391:0.391:0.391) (0.371:0.371:0.371)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output921) - (DELAY - (ABSOLUTE - (IOPATH A X (0.391:0.391:0.391) (0.383:0.383:0.383)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output922) - (DELAY - (ABSOLUTE - (IOPATH A X (0.393:0.393:0.393) (0.422:0.422:0.422)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output923) - (DELAY - (ABSOLUTE - (IOPATH A X (0.393:0.393:0.393) (0.398:0.398:0.398)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output924) - (DELAY - (ABSOLUTE - (IOPATH A X (0.371:0.371:0.371) (0.307:0.307:0.308)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output925) - (DELAY - (ABSOLUTE - (IOPATH A X (0.393:0.393:0.393) (0.404:0.404:0.404)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output926) - (DELAY - (ABSOLUTE - (IOPATH A X (0.394:0.394:0.394) (0.427:0.427:0.427)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output927) - (DELAY - (ABSOLUTE - (IOPATH A X (0.394:0.394:0.394) (0.403:0.403:0.403)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output928) - (DELAY - (ABSOLUTE - (IOPATH A X (0.394:0.394:0.394) (0.404:0.404:0.404)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output929) - (DELAY - (ABSOLUTE - (IOPATH A X (0.393:0.393:0.393) (0.397:0.397:0.397)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output930) - (DELAY - (ABSOLUTE - (IOPATH A X (0.393:0.393:0.393) (0.401:0.401:0.401)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output931) - (DELAY - (ABSOLUTE - (IOPATH A X (0.395:0.395:0.395) (0.398:0.398:0.398)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output932) - (DELAY - (ABSOLUTE - (IOPATH A X (0.391:0.391:0.391) (0.378:0.378:0.378)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output933) - (DELAY - (ABSOLUTE - (IOPATH A X (0.395:0.395:0.395) (0.432:0.432:0.432)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output934) - (DELAY - (ABSOLUTE - (IOPATH A X (0.394:0.394:0.394) (0.408:0.408:0.408)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output935) - (DELAY - (ABSOLUTE - (IOPATH A X (0.380:0.380:0.380) (0.323:0.323:0.324)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output936) - (DELAY - (ABSOLUTE - (IOPATH A X (0.392:0.392:0.392) (0.386:0.386:0.386)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output937) - (DELAY - (ABSOLUTE - (IOPATH A X (0.389:0.389:0.389) (0.368:0.368:0.368)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output938) - (DELAY - (ABSOLUTE - (IOPATH A X (0.388:0.388:0.388) (0.338:0.338:0.338)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output939) - (DELAY - (ABSOLUTE - (IOPATH A X (0.389:0.389:0.389) (0.342:0.342:0.342)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output940) - (DELAY - (ABSOLUTE - (IOPATH A X (0.388:0.388:0.388) (0.349:0.349:0.350)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output941) - (DELAY - (ABSOLUTE - (IOPATH A X (0.389:0.389:0.389) (0.350:0.350:0.350)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output942) - (DELAY - (ABSOLUTE - (IOPATH A X (0.388:0.388:0.388) (0.344:0.344:0.345)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output943) - (DELAY - (ABSOLUTE - (IOPATH A X (0.389:0.389:0.389) (0.355:0.355:0.355)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output944) - (DELAY - (ABSOLUTE - (IOPATH A X (0.388:0.388:0.388) (0.356:0.356:0.356)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output945) - (DELAY - (ABSOLUTE - (IOPATH A X (0.388:0.388:0.388) (0.343:0.343:0.343)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output946) - (DELAY - (ABSOLUTE - (IOPATH A X (0.388:0.388:0.388) (0.346:0.346:0.347)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output947) - (DELAY - (ABSOLUTE - (IOPATH A X (0.388:0.388:0.388) (0.346:0.347:0.347)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output948) - (DELAY - (ABSOLUTE - (IOPATH A X (0.389:0.389:0.389) (0.353:0.353:0.353)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output949) - (DELAY - (ABSOLUTE - (IOPATH A X (0.387:0.387:0.387) (0.342:0.342:0.343)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output950) - (DELAY - (ABSOLUTE - (IOPATH A X (0.387:0.387:0.387) (0.340:0.341:0.341)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output951) - (DELAY - (ABSOLUTE - (IOPATH A X (0.333:0.333:0.333) (0.284:0.284:0.284)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output952) - (DELAY - (ABSOLUTE - (IOPATH A X (0.319:0.319:0.319) (0.257:0.257:0.257)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output953) - (DELAY - (ABSOLUTE - (IOPATH A X (0.375:0.375:0.375) (0.408:0.408:0.408)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output954) - (DELAY - (ABSOLUTE - (IOPATH A X (0.312:0.312:0.312) (0.246:0.246:0.246)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output955) - (DELAY - (ABSOLUTE - (IOPATH A X (0.382:0.382:0.382) (0.331:0.331:0.332)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output956) - (DELAY - (ABSOLUTE - (IOPATH A X (0.313:0.313:0.314) (0.228:0.228:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output957) - (DELAY - (ABSOLUTE - (IOPATH A X (0.297:0.297:0.297) (0.225:0.225:0.226)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output958) - (DELAY - (ABSOLUTE - (IOPATH A X (0.301:0.301:0.302) (0.216:0.216:0.216)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output959) - (DELAY - (ABSOLUTE - (IOPATH A X (0.301:0.301:0.301) (0.215:0.216:0.216)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_8") - (INSTANCE output960) - (DELAY - (ABSOLUTE - (IOPATH A X (0.370:0.370:0.370) (0.289:0.289:0.289)) - ) - ) - ) -) diff --git a/sdf/multicorner/min/buff_flash_clkrst.ff.sdf b/sdf/multicorner/min/buff_flash_clkrst.ff.sdf deleted file mode 100644 index 08571d45..00000000 --- a/sdf/multicorner/min/buff_flash_clkrst.ff.sdf +++ /dev/null @@ -1,186 +0,0 @@ -(DELAYFILE - (SDFVERSION "3.0") - (DESIGN "buff_flash_clkrst") - (DATE "Thu Oct 13 17:29:02 2022") - (VENDOR "Parallax") - (PROGRAM "STA") - (VERSION "2.3.1") - (DIVIDER .) - (VOLTAGE 1.600::1.600) - (PROCESS "1.000::1.000") - (TEMPERATURE 100.000::100.000) - (TIMESCALE 1ns) - (CELL - (CELLTYPE "buff_flash_clkrst") - (INSTANCE) - (DELAY - (ABSOLUTE - (INTERCONNECT in_n[0] BUF\[3\].A (0.013:0.013:0.013) (0.004:0.004:0.004)) - (INTERCONNECT in_n[10] BUF\[13\].A (0.012:0.012:0.012) (0.004:0.004:0.004)) - (INTERCONNECT in_n[11] BUF\[14\].A (0.017:0.017:0.017) (0.006:0.006:0.006)) - (INTERCONNECT in_n[1] BUF\[4\].A (0.014:0.014:0.014) (0.004:0.004:0.004)) - (INTERCONNECT in_n[2] BUF\[5\].A (0.015:0.015:0.015) (0.005:0.005:0.005)) - (INTERCONNECT in_n[3] BUF\[6\].A (0.013:0.013:0.013) (0.004:0.004:0.004)) - (INTERCONNECT in_n[4] BUF\[7\].A (0.014:0.014:0.014) (0.004:0.004:0.004)) - (INTERCONNECT in_n[5] BUF\[8\].A (0.013:0.013:0.013) (0.004:0.004:0.004)) - (INTERCONNECT in_n[6] BUF\[9\].A (0.015:0.015:0.015) (0.005:0.005:0.005)) - (INTERCONNECT in_n[7] BUF\[10\].A (0.015:0.015:0.015) (0.005:0.005:0.005)) - (INTERCONNECT in_n[8] BUF\[11\].A (0.013:0.013:0.013) (0.004:0.004:0.004)) - (INTERCONNECT in_n[9] BUF\[12\].A (0.015:0.015:0.015) (0.005:0.005:0.005)) - (INTERCONNECT in_s[0] BUF\[0\].A (0.012:0.012:0.012) (0.004:0.004:0.004)) - (INTERCONNECT in_s[1] BUF\[1\].A (0.015:0.015:0.015) (0.005:0.005:0.005)) - (INTERCONNECT in_s[2] BUF\[2\].A (0.013:0.013:0.013) (0.004:0.004:0.004)) - (INTERCONNECT BUF\[0\].X out_n[0] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[10\].X out_s[7] (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT BUF\[11\].X out_s[8] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[12\].X out_s[9] (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT BUF\[13\].X out_s[10] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[14\].X out_s[11] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[1\].X out_n[1] (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT BUF\[2\].X out_n[2] (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT BUF\[3\].X out_s[0] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[4\].X out_s[1] (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT BUF\[5\].X out_s[2] (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT BUF\[6\].X out_s[3] (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT BUF\[7\].X out_s[4] (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT BUF\[8\].X out_s[5] (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT BUF\[9\].X out_s[6] (0.001:0.001:0.001) (0.001:0.001:0.001)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[0\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.100:0.100:0.100) (0.098:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[10\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.100:0.100:0.100) (0.098:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[11\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.099:0.099:0.099) (0.098:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[12\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.099:0.099:0.099) (0.098:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[13\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.099:0.099:0.099) (0.098:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[14\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.102:0.102:0.102) (0.100:0.100:0.100)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[1\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.100:0.100:0.100) (0.098:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[2\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.099:0.099:0.099) (0.098:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[3\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.099:0.099:0.099) (0.098:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[4\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.100:0.100:0.100) (0.098:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[5\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.100:0.100:0.100) (0.098:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[6\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.099:0.099:0.099) (0.098:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[7\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.099:0.099:0.099) (0.098:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[8\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.099:0.099:0.099) (0.098:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[9\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.100:0.100:0.100) (0.098:0.098:0.098)) - ) - ) - ) -) diff --git a/sdf/multicorner/min/buff_flash_clkrst.ss.sdf b/sdf/multicorner/min/buff_flash_clkrst.ss.sdf deleted file mode 100644 index 7dda8e45..00000000 --- a/sdf/multicorner/min/buff_flash_clkrst.ss.sdf +++ /dev/null @@ -1,186 +0,0 @@ -(DELAYFILE - (SDFVERSION "3.0") - (DESIGN "buff_flash_clkrst") - (DATE "Thu Oct 13 17:29:02 2022") - (VENDOR "Parallax") - (PROGRAM "STA") - (VERSION "2.3.1") - (DIVIDER .) - (VOLTAGE 1.600::1.600) - (PROCESS "1.000::1.000") - (TEMPERATURE 100.000::100.000) - (TIMESCALE 1ns) - (CELL - (CELLTYPE "buff_flash_clkrst") - (INSTANCE) - (DELAY - (ABSOLUTE - (INTERCONNECT in_n[0] BUF\[3\].A (0.027:0.027:0.027) (0.014:0.014:0.014)) - (INTERCONNECT in_n[10] BUF\[13\].A (0.026:0.026:0.026) (0.014:0.014:0.014)) - (INTERCONNECT in_n[11] BUF\[14\].A (0.037:0.037:0.037) (0.020:0.020:0.020)) - (INTERCONNECT in_n[1] BUF\[4\].A (0.029:0.029:0.029) (0.016:0.016:0.016)) - (INTERCONNECT in_n[2] BUF\[5\].A (0.032:0.032:0.032) (0.018:0.018:0.018)) - (INTERCONNECT in_n[3] BUF\[6\].A (0.026:0.026:0.026) (0.014:0.014:0.014)) - (INTERCONNECT in_n[4] BUF\[7\].A (0.029:0.029:0.029) (0.016:0.016:0.016)) - (INTERCONNECT in_n[5] BUF\[8\].A (0.028:0.028:0.028) (0.015:0.015:0.015)) - (INTERCONNECT in_n[6] BUF\[9\].A (0.031:0.031:0.031) (0.017:0.017:0.017)) - (INTERCONNECT in_n[7] BUF\[10\].A (0.032:0.032:0.032) (0.017:0.017:0.017)) - (INTERCONNECT in_n[8] BUF\[11\].A (0.027:0.027:0.027) (0.015:0.015:0.015)) - (INTERCONNECT in_n[9] BUF\[12\].A (0.032:0.032:0.032) (0.018:0.018:0.018)) - (INTERCONNECT in_s[0] BUF\[0\].A (0.026:0.026:0.026) (0.014:0.014:0.014)) - (INTERCONNECT in_s[1] BUF\[1\].A (0.032:0.032:0.032) (0.018:0.018:0.018)) - (INTERCONNECT in_s[2] BUF\[2\].A (0.026:0.026:0.026) (0.014:0.014:0.014)) - (INTERCONNECT BUF\[0\].X out_n[0] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[10\].X out_s[7] (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT BUF\[11\].X out_s[8] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[12\].X out_s[9] (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT BUF\[13\].X out_s[10] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[14\].X out_s[11] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[1\].X out_n[1] (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT BUF\[2\].X out_n[2] (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT BUF\[3\].X out_s[0] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[4\].X out_s[1] (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT BUF\[5\].X out_s[2] (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT BUF\[6\].X out_s[3] (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT BUF\[7\].X out_s[4] (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT BUF\[8\].X out_s[5] (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT BUF\[9\].X out_s[6] (0.001:0.001:0.001) (0.001:0.001:0.001)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[0\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.258:0.258:0.258) (0.263:0.263:0.263)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[10\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.260:0.260:0.260) (0.264:0.264:0.264)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[11\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.258:0.258:0.258) (0.262:0.262:0.262)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[12\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.259:0.259:0.259) (0.263:0.263:0.263)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[13\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.258:0.258:0.258) (0.262:0.262:0.262)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[14\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.265:0.265:0.265) (0.267:0.267:0.267)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[1\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.260:0.260:0.260) (0.264:0.264:0.264)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[2\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.258:0.258:0.258) (0.262:0.262:0.262)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[3\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.257:0.257:0.257) (0.262:0.262:0.262)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[4\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.259:0.259:0.259) (0.263:0.263:0.263)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[5\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.260:0.260:0.260) (0.264:0.264:0.264)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[6\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.257:0.257:0.257) (0.262:0.262:0.262)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[7\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.258:0.258:0.258) (0.262:0.262:0.262)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[8\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.258:0.258:0.258) (0.262:0.262:0.262)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[9\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.261:0.261:0.261) (0.264:0.264:0.264)) - ) - ) - ) -) diff --git a/sdf/multicorner/min/buff_flash_clkrst.tt.sdf b/sdf/multicorner/min/buff_flash_clkrst.tt.sdf deleted file mode 100644 index 39e74210..00000000 --- a/sdf/multicorner/min/buff_flash_clkrst.tt.sdf +++ /dev/null @@ -1,186 +0,0 @@ -(DELAYFILE - (SDFVERSION "3.0") - (DESIGN "buff_flash_clkrst") - (DATE "Thu Oct 13 17:29:02 2022") - (VENDOR "Parallax") - (PROGRAM "STA") - (VERSION "2.3.1") - (DIVIDER .) - (VOLTAGE 1.600::1.600) - (PROCESS "1.000::1.000") - (TEMPERATURE 100.000::100.000) - (TIMESCALE 1ns) - (CELL - (CELLTYPE "buff_flash_clkrst") - (INSTANCE) - (DELAY - (ABSOLUTE - (INTERCONNECT in_n[0] BUF\[3\].A (0.017:0.017:0.017) (0.007:0.007:0.007)) - (INTERCONNECT in_n[10] BUF\[13\].A (0.017:0.017:0.017) (0.007:0.007:0.007)) - (INTERCONNECT in_n[11] BUF\[14\].A (0.024:0.024:0.024) (0.010:0.010:0.010)) - (INTERCONNECT in_n[1] BUF\[4\].A (0.019:0.019:0.019) (0.008:0.008:0.008)) - (INTERCONNECT in_n[2] BUF\[5\].A (0.021:0.021:0.021) (0.009:0.009:0.009)) - (INTERCONNECT in_n[3] BUF\[6\].A (0.017:0.017:0.017) (0.007:0.007:0.007)) - (INTERCONNECT in_n[4] BUF\[7\].A (0.019:0.019:0.019) (0.008:0.008:0.008)) - (INTERCONNECT in_n[5] BUF\[8\].A (0.018:0.018:0.018) (0.008:0.008:0.008)) - (INTERCONNECT in_n[6] BUF\[9\].A (0.020:0.020:0.020) (0.008:0.008:0.008)) - (INTERCONNECT in_n[7] BUF\[10\].A (0.021:0.021:0.021) (0.009:0.009:0.009)) - (INTERCONNECT in_n[8] BUF\[11\].A (0.018:0.018:0.018) (0.007:0.007:0.007)) - (INTERCONNECT in_n[9] BUF\[12\].A (0.021:0.021:0.021) (0.009:0.009:0.009)) - (INTERCONNECT in_s[0] BUF\[0\].A (0.017:0.017:0.017) (0.007:0.007:0.007)) - (INTERCONNECT in_s[1] BUF\[1\].A (0.021:0.021:0.021) (0.009:0.009:0.009)) - (INTERCONNECT in_s[2] BUF\[2\].A (0.017:0.017:0.017) (0.007:0.007:0.007)) - (INTERCONNECT BUF\[0\].X out_n[0] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[10\].X out_s[7] (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT BUF\[11\].X out_s[8] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[12\].X out_s[9] (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT BUF\[13\].X out_s[10] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[14\].X out_s[11] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[1\].X out_n[1] (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT BUF\[2\].X out_n[2] (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT BUF\[3\].X out_s[0] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[4\].X out_s[1] (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT BUF\[5\].X out_s[2] (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT BUF\[6\].X out_s[3] (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT BUF\[7\].X out_s[4] (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT BUF\[8\].X out_s[5] (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT BUF\[9\].X out_s[6] (0.001:0.001:0.001) (0.001:0.001:0.001)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[0\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.145:0.145:0.145) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[10\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.146:0.146:0.146) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[11\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.145:0.145:0.145) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[12\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.145:0.145:0.145) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[13\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.145:0.145:0.145) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[14\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.149:0.149:0.149) (0.147:0.147:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[1\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.145:0.145:0.145) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[2\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.144:0.144:0.144) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[3\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.144:0.144:0.144) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[4\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.145:0.145:0.145) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[5\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.146:0.146:0.146) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[6\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.144:0.144:0.144) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[7\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.145:0.145:0.145) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[8\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.144:0.144:0.144) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[9\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.146:0.146:0.146) (0.146:0.146:0.146)) - ) - ) - ) -) diff --git a/sdf/multicorner/nom/buff_flash_clkrst.ff.sdf b/sdf/multicorner/nom/buff_flash_clkrst.ff.sdf deleted file mode 100644 index a57f480c..00000000 --- a/sdf/multicorner/nom/buff_flash_clkrst.ff.sdf +++ /dev/null @@ -1,186 +0,0 @@ -(DELAYFILE - (SDFVERSION "3.0") - (DESIGN "buff_flash_clkrst") - (DATE "Thu Oct 13 17:29:07 2022") - (VENDOR "Parallax") - (PROGRAM "STA") - (VERSION "2.3.1") - (DIVIDER .) - (VOLTAGE 1.600::1.600) - (PROCESS "1.000::1.000") - (TEMPERATURE 100.000::100.000) - (TIMESCALE 1ns) - (CELL - (CELLTYPE "buff_flash_clkrst") - (INSTANCE) - (DELAY - (ABSOLUTE - (INTERCONNECT in_n[0] BUF\[3\].A (0.013:0.013:0.013) (0.004:0.004:0.004)) - (INTERCONNECT in_n[10] BUF\[13\].A (0.012:0.012:0.012) (0.004:0.004:0.004)) - (INTERCONNECT in_n[11] BUF\[14\].A (0.018:0.018:0.018) (0.006:0.006:0.006)) - (INTERCONNECT in_n[1] BUF\[4\].A (0.014:0.014:0.014) (0.004:0.004:0.004)) - (INTERCONNECT in_n[2] BUF\[5\].A (0.016:0.016:0.016) (0.005:0.005:0.005)) - (INTERCONNECT in_n[3] BUF\[6\].A (0.013:0.013:0.013) (0.004:0.004:0.004)) - (INTERCONNECT in_n[4] BUF\[7\].A (0.014:0.014:0.014) (0.004:0.004:0.004)) - (INTERCONNECT in_n[5] BUF\[8\].A (0.014:0.014:0.014) (0.004:0.004:0.004)) - (INTERCONNECT in_n[6] BUF\[9\].A (0.015:0.015:0.015) (0.005:0.005:0.005)) - (INTERCONNECT in_n[7] BUF\[10\].A (0.016:0.016:0.016) (0.005:0.005:0.005)) - (INTERCONNECT in_n[8] BUF\[11\].A (0.013:0.013:0.013) (0.004:0.004:0.004)) - (INTERCONNECT in_n[9] BUF\[12\].A (0.016:0.016:0.016) (0.005:0.005:0.005)) - (INTERCONNECT in_s[0] BUF\[0\].A (0.012:0.012:0.012) (0.004:0.004:0.004)) - (INTERCONNECT in_s[1] BUF\[1\].A (0.016:0.016:0.016) (0.005:0.005:0.005)) - (INTERCONNECT in_s[2] BUF\[2\].A (0.013:0.013:0.013) (0.004:0.004:0.004)) - (INTERCONNECT BUF\[0\].X out_n[0] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[10\].X out_s[7] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[11\].X out_s[8] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[12\].X out_s[9] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[13\].X out_s[10] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[14\].X out_s[11] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[1\].X out_n[1] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[2\].X out_n[2] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[3\].X out_s[0] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[4\].X out_s[1] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[5\].X out_s[2] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[6\].X out_s[3] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[7\].X out_s[4] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[8\].X out_s[5] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[9\].X out_s[6] (0.001:0.001:0.001) (0.001:0.001:0.001)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[0\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.100:0.100:0.100) (0.098:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[10\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.100:0.100:0.100) (0.098:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[11\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.099:0.099:0.099) (0.098:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[12\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.100:0.100:0.100) (0.098:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[13\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.099:0.099:0.099) (0.098:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[14\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.102:0.102:0.102) (0.100:0.100:0.100)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[1\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.100:0.100:0.100) (0.098:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[2\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.099:0.099:0.099) (0.098:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[3\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.099:0.099:0.099) (0.098:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[4\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.100:0.100:0.100) (0.098:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[5\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.100:0.100:0.100) (0.098:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[6\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.099:0.099:0.099) (0.097:0.097:0.097)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[7\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.099:0.099:0.099) (0.098:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[8\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.099:0.099:0.099) (0.098:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[9\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.100:0.100:0.100) (0.098:0.098:0.098)) - ) - ) - ) -) diff --git a/sdf/multicorner/nom/buff_flash_clkrst.ss.sdf b/sdf/multicorner/nom/buff_flash_clkrst.ss.sdf deleted file mode 100644 index c7397d18..00000000 --- a/sdf/multicorner/nom/buff_flash_clkrst.ss.sdf +++ /dev/null @@ -1,186 +0,0 @@ -(DELAYFILE - (SDFVERSION "3.0") - (DESIGN "buff_flash_clkrst") - (DATE "Thu Oct 13 17:29:07 2022") - (VENDOR "Parallax") - (PROGRAM "STA") - (VERSION "2.3.1") - (DIVIDER .) - (VOLTAGE 1.600::1.600) - (PROCESS "1.000::1.000") - (TEMPERATURE 100.000::100.000) - (TIMESCALE 1ns) - (CELL - (CELLTYPE "buff_flash_clkrst") - (INSTANCE) - (DELAY - (ABSOLUTE - (INTERCONNECT in_n[0] BUF\[3\].A (0.027:0.027:0.027) (0.014:0.014:0.014)) - (INTERCONNECT in_n[10] BUF\[13\].A (0.026:0.026:0.026) (0.014:0.014:0.014)) - (INTERCONNECT in_n[11] BUF\[14\].A (0.038:0.038:0.038) (0.021:0.021:0.021)) - (INTERCONNECT in_n[1] BUF\[4\].A (0.030:0.030:0.030) (0.016:0.016:0.016)) - (INTERCONNECT in_n[2] BUF\[5\].A (0.033:0.033:0.033) (0.018:0.018:0.018)) - (INTERCONNECT in_n[3] BUF\[6\].A (0.027:0.027:0.027) (0.014:0.014:0.014)) - (INTERCONNECT in_n[4] BUF\[7\].A (0.030:0.030:0.030) (0.016:0.016:0.016)) - (INTERCONNECT in_n[5] BUF\[8\].A (0.029:0.029:0.029) (0.015:0.015:0.015)) - (INTERCONNECT in_n[6] BUF\[9\].A (0.032:0.032:0.032) (0.018:0.018:0.018)) - (INTERCONNECT in_n[7] BUF\[10\].A (0.033:0.033:0.033) (0.018:0.018:0.018)) - (INTERCONNECT in_n[8] BUF\[11\].A (0.027:0.027:0.027) (0.015:0.015:0.015)) - (INTERCONNECT in_n[9] BUF\[12\].A (0.033:0.033:0.033) (0.018:0.018:0.018)) - (INTERCONNECT in_s[0] BUF\[0\].A (0.026:0.026:0.026) (0.014:0.014:0.014)) - (INTERCONNECT in_s[1] BUF\[1\].A (0.033:0.033:0.033) (0.018:0.018:0.018)) - (INTERCONNECT in_s[2] BUF\[2\].A (0.027:0.027:0.027) (0.014:0.014:0.014)) - (INTERCONNECT BUF\[0\].X out_n[0] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[10\].X out_s[7] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[11\].X out_s[8] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[12\].X out_s[9] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[13\].X out_s[10] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[14\].X out_s[11] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[1\].X out_n[1] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[2\].X out_n[2] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[3\].X out_s[0] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[4\].X out_s[1] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[5\].X out_s[2] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[6\].X out_s[3] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[7\].X out_s[4] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[8\].X out_s[5] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[9\].X out_s[6] (0.001:0.001:0.001) (0.001:0.001:0.001)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[0\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.259:0.259:0.259) (0.263:0.263:0.263)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[10\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.261:0.261:0.261) (0.264:0.264:0.264)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[11\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.258:0.258:0.258) (0.262:0.262:0.262)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[12\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.260:0.260:0.260) (0.263:0.263:0.263)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[13\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.258:0.258:0.258) (0.262:0.262:0.262)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[14\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.266:0.266:0.266) (0.268:0.268:0.268)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[1\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.260:0.260:0.260) (0.264:0.264:0.264)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[2\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.258:0.258:0.258) (0.262:0.262:0.262)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[3\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.258:0.258:0.258) (0.262:0.262:0.262)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[4\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.259:0.259:0.259) (0.263:0.263:0.263)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[5\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.260:0.260:0.260) (0.264:0.264:0.264)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[6\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.257:0.257:0.257) (0.262:0.262:0.262)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[7\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.258:0.258:0.258) (0.262:0.262:0.262)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[8\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.258:0.258:0.258) (0.262:0.262:0.262)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[9\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.261:0.261:0.261) (0.264:0.264:0.264)) - ) - ) - ) -) diff --git a/sdf/multicorner/nom/buff_flash_clkrst.tt.sdf b/sdf/multicorner/nom/buff_flash_clkrst.tt.sdf deleted file mode 100644 index 9cef402d..00000000 --- a/sdf/multicorner/nom/buff_flash_clkrst.tt.sdf +++ /dev/null @@ -1,186 +0,0 @@ -(DELAYFILE - (SDFVERSION "3.0") - (DESIGN "buff_flash_clkrst") - (DATE "Thu Oct 13 17:29:07 2022") - (VENDOR "Parallax") - (PROGRAM "STA") - (VERSION "2.3.1") - (DIVIDER .) - (VOLTAGE 1.600::1.600) - (PROCESS "1.000::1.000") - (TEMPERATURE 100.000::100.000) - (TIMESCALE 1ns) - (CELL - (CELLTYPE "buff_flash_clkrst") - (INSTANCE) - (DELAY - (ABSOLUTE - (INTERCONNECT in_n[0] BUF\[3\].A (0.018:0.018:0.018) (0.007:0.007:0.007)) - (INTERCONNECT in_n[10] BUF\[13\].A (0.017:0.017:0.017) (0.007:0.007:0.007)) - (INTERCONNECT in_n[11] BUF\[14\].A (0.025:0.025:0.025) (0.011:0.011:0.011)) - (INTERCONNECT in_n[1] BUF\[4\].A (0.019:0.019:0.019) (0.008:0.008:0.008)) - (INTERCONNECT in_n[2] BUF\[5\].A (0.022:0.022:0.022) (0.009:0.009:0.009)) - (INTERCONNECT in_n[3] BUF\[6\].A (0.017:0.017:0.017) (0.007:0.007:0.007)) - (INTERCONNECT in_n[4] BUF\[7\].A (0.019:0.019:0.019) (0.008:0.008:0.008)) - (INTERCONNECT in_n[5] BUF\[8\].A (0.019:0.019:0.019) (0.008:0.008:0.008)) - (INTERCONNECT in_n[6] BUF\[9\].A (0.021:0.021:0.021) (0.009:0.009:0.009)) - (INTERCONNECT in_n[7] BUF\[10\].A (0.021:0.021:0.021) (0.009:0.009:0.009)) - (INTERCONNECT in_n[8] BUF\[11\].A (0.018:0.018:0.018) (0.007:0.007:0.007)) - (INTERCONNECT in_n[9] BUF\[12\].A (0.021:0.021:0.021) (0.009:0.009:0.009)) - (INTERCONNECT in_s[0] BUF\[0\].A (0.017:0.017:0.017) (0.007:0.007:0.007)) - (INTERCONNECT in_s[1] BUF\[1\].A (0.021:0.021:0.021) (0.009:0.009:0.009)) - (INTERCONNECT in_s[2] BUF\[2\].A (0.017:0.017:0.017) (0.007:0.007:0.007)) - (INTERCONNECT BUF\[0\].X out_n[0] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[10\].X out_s[7] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[11\].X out_s[8] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[12\].X out_s[9] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[13\].X out_s[10] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[14\].X out_s[11] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[1\].X out_n[1] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[2\].X out_n[2] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[3\].X out_s[0] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[4\].X out_s[1] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[5\].X out_s[2] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[6\].X out_s[3] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[7\].X out_s[4] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[8\].X out_s[5] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT BUF\[9\].X out_s[6] (0.001:0.001:0.001) (0.001:0.001:0.001)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[0\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.145:0.145:0.145) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[10\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.146:0.146:0.146) (0.146:0.146:0.146)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[11\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.145:0.145:0.145) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[12\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.145:0.145:0.145) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[13\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.145:0.145:0.145) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[14\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.149:0.149:0.149) (0.148:0.148:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[1\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.146:0.146:0.146) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[2\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.144:0.144:0.144) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[3\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.144:0.144:0.144) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[4\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.145:0.145:0.145) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[5\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.146:0.146:0.146) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[6\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.144:0.144:0.144) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[7\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.145:0.145:0.145) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[8\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.144:0.144:0.144) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") - (INSTANCE BUF\[9\]) - (DELAY - (ABSOLUTE - (IOPATH A X (0.146:0.146:0.146) (0.146:0.146:0.146)) - ) - ) - ) -) diff --git a/signoff/buff_flash_clkrst/openlane-signoff/buff_flash_clkrst.sdc b/signoff/buff_flash_clkrst/openlane-signoff/buff_flash_clkrst.sdc new file mode 100644 index 00000000..e677bf2c --- /dev/null +++ b/signoff/buff_flash_clkrst/openlane-signoff/buff_flash_clkrst.sdc @@ -0,0 +1,79 @@ +############################################################################### +# Created by write_sdc +# Thu Oct 13 17:28:51 2022 +############################################################################### +current_design buff_flash_clkrst +############################################################################### +# Timing Constraints +############################################################################### +create_clock -name __VIRTUAL_CLK__ -period 8.0000 +set_clock_uncertainty 0.2500 __VIRTUAL_CLK__ +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {in_n[0]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {in_n[10]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {in_n[11]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {in_n[1]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {in_n[2]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {in_n[3]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {in_n[4]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {in_n[5]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {in_n[6]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {in_n[7]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {in_n[8]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {in_n[9]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {in_s[0]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {in_s[1]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {in_s[2]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {out_n[0]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {out_n[1]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {out_n[2]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {out_s[0]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {out_s[10]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {out_s[11]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {out_s[1]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {out_s[2]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {out_s[3]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {out_s[4]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {out_s[5]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {out_s[6]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {out_s[7]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {out_s[8]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {out_s[9]}] +############################################################################### +# Environment +############################################################################### +set_load -pin_load 0.0334 [get_ports {out_n[2]}] +set_load -pin_load 0.0334 [get_ports {out_n[1]}] +set_load -pin_load 0.0334 [get_ports {out_n[0]}] +set_load -pin_load 0.0334 [get_ports {out_s[11]}] +set_load -pin_load 0.0334 [get_ports {out_s[10]}] +set_load -pin_load 0.0334 [get_ports {out_s[9]}] +set_load -pin_load 0.0334 [get_ports {out_s[8]}] +set_load -pin_load 0.0334 [get_ports {out_s[7]}] +set_load -pin_load 0.0334 [get_ports {out_s[6]}] +set_load -pin_load 0.0334 [get_ports {out_s[5]}] +set_load -pin_load 0.0334 [get_ports {out_s[4]}] +set_load -pin_load 0.0334 [get_ports {out_s[3]}] +set_load -pin_load 0.0334 [get_ports {out_s[2]}] +set_load -pin_load 0.0334 [get_ports {out_s[1]}] +set_load -pin_load 0.0334 [get_ports {out_s[0]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {in_n[11]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {in_n[10]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {in_n[9]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {in_n[8]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {in_n[7]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {in_n[6]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {in_n[5]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {in_n[4]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {in_n[3]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {in_n[2]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {in_n[1]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {in_n[0]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {in_s[2]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {in_s[1]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {in_s[0]}] +set_timing_derate -early 0.9500 +set_timing_derate -late 1.0500 +############################################################################### +# Design Rules +############################################################################### +set_max_fanout 10.0000 [current_design] diff --git a/signoff/buff_flash_clkrst/openlane-signoff/lib/buff_flash_clkrst.lib b/signoff/buff_flash_clkrst/openlane-signoff/lib/buff_flash_clkrst.lib new file mode 100644 index 00000000..51ef8663 --- /dev/null +++ b/signoff/buff_flash_clkrst/openlane-signoff/lib/buff_flash_clkrst.lib @@ -0,0 +1,583 @@ +library (buff_flash_clkrst) { + comment : ""; + delay_model : table_lookup; + simulation : false; + capacitive_load_unit (1,pF); + leakage_power_unit : 1pW; + current_unit : "1A"; + pulling_resistance_unit : "1kohm"; + time_unit : "1ns"; + voltage_unit : "1v"; + library_features(report_delay_calculation); + + input_threshold_pct_rise : 50; + input_threshold_pct_fall : 50; + output_threshold_pct_rise : 50; + output_threshold_pct_fall : 50; + slew_lower_threshold_pct_rise : 20; + slew_lower_threshold_pct_fall : 20; + slew_upper_threshold_pct_rise : 80; + slew_upper_threshold_pct_fall : 80; + slew_derate_from_library : 1.0; + + + nom_process : 1.0; + nom_temperature : 25.0; + nom_voltage : 1.80; + + lu_table_template(template_1) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00175, 0.00610, 0.02132, 0.07449, 0.26022, 0.90913"); + } + lu_table_template(template_10) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00175, 0.00610, 0.02132, 0.07449, 0.26022, 0.90913"); + } + lu_table_template(template_11) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00175, 0.00610, 0.02132, 0.07449, 0.26022, 0.90913"); + } + lu_table_template(template_12) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00175, 0.00610, 0.02132, 0.07449, 0.26022, 0.90913"); + } + lu_table_template(template_13) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00175, 0.00610, 0.02132, 0.07449, 0.26022, 0.90913"); + } + lu_table_template(template_14) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00175, 0.00610, 0.02132, 0.07449, 0.26022, 0.90913"); + } + lu_table_template(template_15) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00175, 0.00610, 0.02132, 0.07449, 0.26022, 0.90913"); + } + lu_table_template(template_16) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00175, 0.00610, 0.02132, 0.07449, 0.26022, 0.90913"); + } + lu_table_template(template_17) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00175, 0.00610, 0.02132, 0.07449, 0.26022, 0.90913"); + } + lu_table_template(template_18) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00175, 0.00610, 0.02132, 0.07449, 0.26022, 0.90913"); + } + lu_table_template(template_19) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00175, 0.00610, 0.02132, 0.07449, 0.26022, 0.90913"); + } + lu_table_template(template_2) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00175, 0.00610, 0.02132, 0.07449, 0.26022, 0.90913"); + } + lu_table_template(template_20) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00175, 0.00610, 0.02132, 0.07449, 0.26022, 0.90913"); + } + lu_table_template(template_21) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00175, 0.00610, 0.02132, 0.07449, 0.26022, 0.90913"); + } + lu_table_template(template_22) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00175, 0.00610, 0.02132, 0.07449, 0.26022, 0.90913"); + } + lu_table_template(template_23) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00175, 0.00610, 0.02132, 0.07449, 0.26022, 0.90913"); + } + lu_table_template(template_24) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00175, 0.00610, 0.02132, 0.07449, 0.26022, 0.90913"); + } + lu_table_template(template_25) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00175, 0.00610, 0.02132, 0.07449, 0.26022, 0.90913"); + } + lu_table_template(template_26) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00175, 0.00610, 0.02132, 0.07449, 0.26022, 0.90913"); + } + lu_table_template(template_27) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00175, 0.00610, 0.02132, 0.07449, 0.26022, 0.90913"); + } + lu_table_template(template_28) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00175, 0.00610, 0.02132, 0.07449, 0.26022, 0.90913"); + } + lu_table_template(template_29) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00175, 0.00610, 0.02132, 0.07449, 0.26022, 0.90913"); + } + lu_table_template(template_3) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00175, 0.00610, 0.02132, 0.07449, 0.26022, 0.90913"); + } + lu_table_template(template_30) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00175, 0.00610, 0.02132, 0.07449, 0.26022, 0.90913"); + } + lu_table_template(template_4) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00175, 0.00610, 0.02132, 0.07449, 0.26022, 0.90913"); + } + lu_table_template(template_5) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00175, 0.00610, 0.02132, 0.07449, 0.26022, 0.90913"); + } + lu_table_template(template_6) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00175, 0.00610, 0.02132, 0.07449, 0.26022, 0.90913"); + } + lu_table_template(template_7) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00175, 0.00610, 0.02132, 0.07449, 0.26022, 0.90913"); + } + lu_table_template(template_8) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00175, 0.00610, 0.02132, 0.07449, 0.26022, 0.90913"); + } + lu_table_template(template_9) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00175, 0.00610, 0.02132, 0.07449, 0.26022, 0.90913"); + } + type ("in_n") { + base_type : array; + data_type : bit; + bit_width : 12; + bit_from : 11; + bit_to : 0; + } + type ("in_s") { + base_type : array; + data_type : bit; + bit_width : 3; + bit_from : 2; + bit_to : 0; + } + type ("out_n") { + base_type : array; + data_type : bit; + bit_width : 3; + bit_from : 2; + bit_to : 0; + } + type ("out_s") { + base_type : array; + data_type : bit; + bit_width : 12; + bit_from : 11; + bit_to : 0; + } + + cell ("buff_flash_clkrst") { + pin("VPWR") { + direction : input; + capacitance : 0.0002; + } + pin("VGND") { + direction : input; + capacitance : 0.0002; + } + bus("in_n") { + bus_type : in_n; + direction : input; + capacitance : 0.0000; + pin("in_n[11]") { + direction : input; + capacitance : 0.0071; + } + pin("in_n[10]") { + direction : input; + capacitance : 0.0047; + } + pin("in_n[9]") { + direction : input; + capacitance : 0.0061; + } + pin("in_n[8]") { + direction : input; + capacitance : 0.0050; + } + pin("in_n[7]") { + direction : input; + capacitance : 0.0060; + } + pin("in_n[6]") { + direction : input; + capacitance : 0.0059; + } + pin("in_n[5]") { + direction : input; + capacitance : 0.0052; + } + pin("in_n[4]") { + direction : input; + capacitance : 0.0055; + } + pin("in_n[3]") { + direction : input; + capacitance : 0.0049; + } + pin("in_n[2]") { + direction : input; + capacitance : 0.0062; + } + pin("in_n[1]") { + direction : input; + capacitance : 0.0055; + } + pin("in_n[0]") { + direction : input; + capacitance : 0.0049; + } + } + bus("in_s") { + bus_type : in_s; + direction : input; + capacitance : 0.0000; + pin("in_s[2]") { + direction : input; + capacitance : 0.0049; + } + pin("in_s[1]") { + direction : input; + capacitance : 0.0061; + } + pin("in_s[0]") { + direction : input; + capacitance : 0.0047; + } + } + bus("out_n") { + bus_type : out_n; + direction : output; + capacitance : 0.0000; + pin("out_n[2]") { + direction : output; + capacitance : 0.0334; + timing() { + related_pin : "in_s[2]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_29) { + values("0.12205,0.12483,0.13320,0.15618,0.22127,0.43583,1.18473"); + } + rise_transition(template_29) { + values("0.02209,0.02435,0.03145,0.05499,0.13876,0.44350,1.51271"); + } + cell_fall(template_30) { + values("0.12088,0.12342,0.13084,0.14981,0.19636,0.33046,0.78848"); + } + fall_transition(template_30) { + values("0.02101,0.02246,0.02767,0.04268,0.08998,0.26118,0.88479"); + } + } + } + pin("out_n[1]") { + direction : output; + capacitance : 0.0334; + timing() { + related_pin : "in_s[1]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_27) { + values("0.12720,0.12998,0.13836,0.16133,0.22643,0.44114,1.18891"); + } + rise_transition(template_27) { + values("0.02209,0.02433,0.03145,0.05498,0.13875,0.44330,1.51127"); + } + cell_fall(template_28) { + values("0.12337,0.12590,0.13332,0.15231,0.19882,0.33296,0.79091"); + } + fall_transition(template_28) { + values("0.02104,0.02245,0.02769,0.04266,0.08998,0.26119,0.88461"); + } + } + } + pin("out_n[0]") { + direction : output; + capacitance : 0.0334; + timing() { + related_pin : "in_s[0]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_25) { + values("0.12244,0.12522,0.13359,0.15658,0.22167,0.43621,1.18525"); + } + rise_transition(template_25) { + values("0.02209,0.02435,0.03146,0.05499,0.13876,0.44352,1.51289"); + } + cell_fall(template_26) { + values("0.12131,0.12386,0.13128,0.15024,0.19680,0.33089,0.78892"); + } + fall_transition(template_26) { + values("0.02101,0.02246,0.02767,0.04268,0.08997,0.26118,0.88481"); + } + } + } + } + bus("out_s") { + bus_type : out_s; + direction : output; + capacitance : 0.0000; + pin("out_s[11]") { + direction : output; + capacitance : 0.0334; + timing() { + related_pin : "in_n[11]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_5) { + values("0.13459,0.13737,0.14576,0.16871,0.23382,0.44864,1.19553"); + } + rise_transition(template_5) { + values("0.02209,0.02432,0.03144,0.05497,0.13874,0.44315,1.51013"); + } + cell_fall(template_6) { + values("0.12778,0.13030,0.13772,0.15673,0.20321,0.33738,0.79528"); + } + fall_transition(template_6) { + values("0.02106,0.02244,0.02770,0.04264,0.08998,0.26119,0.88446"); + } + } + } + pin("out_s[10]") { + direction : output; + capacitance : 0.0334; + timing() { + related_pin : "in_n[10]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_3) { + values("0.12170,0.12448,0.13285,0.15583,0.22093,0.43546,1.18452"); + } + rise_transition(template_3) { + values("0.02209,0.02435,0.03146,0.05499,0.13876,0.44353,1.51292"); + } + cell_fall(template_4) { + values("0.12079,0.12334,0.13076,0.14972,0.19628,0.33037,0.78841"); + } + fall_transition(template_4) { + values("0.02101,0.02246,0.02767,0.04268,0.08997,0.26118,0.88481"); + } + } + } + pin("out_s[9]") { + direction : output; + capacitance : 0.0334; + timing() { + related_pin : "in_n[9]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_23) { + values("0.12666,0.12945,0.13783,0.16079,0.22589,0.44060,1.18840"); + } + rise_transition(template_23) { + values("0.02209,0.02433,0.03145,0.05498,0.13875,0.44331,1.51130"); + } + cell_fall(template_24) { + values("0.12300,0.12553,0.13296,0.15194,0.19846,0.33259,0.79055"); + } + fall_transition(template_24) { + values("0.02104,0.02245,0.02769,0.04266,0.08998,0.26119,0.88461"); + } + } + } + pin("out_s[8]") { + direction : output; + capacitance : 0.0334; + timing() { + related_pin : "in_n[8]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_21) { + values("0.12260,0.12538,0.13375,0.15673,0.22183,0.43640,1.18519"); + } + rise_transition(template_21) { + values("0.02209,0.02435,0.03145,0.05499,0.13876,0.44348,1.51258"); + } + cell_fall(template_22) { + values("0.12116,0.12371,0.13113,0.15009,0.19664,0.33074,0.78876"); + } + fall_transition(template_22) { + values("0.02102,0.02246,0.02767,0.04268,0.08998,0.26118,0.88477"); + } + } + } + pin("out_s[7]") { + direction : output; + capacitance : 0.0334; + timing() { + related_pin : "in_n[7]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_19) { + values("0.12734,0.13012,0.13850,0.16147,0.22657,0.44127,1.18913"); + } + rise_transition(template_19) { + values("0.02209,0.02434,0.03145,0.05498,0.13875,0.44332,1.51139"); + } + cell_fall(template_20) { + values("0.12358,0.12611,0.13354,0.15252,0.19904,0.33317,0.79113"); + } + fall_transition(template_20) { + values("0.02104,0.02245,0.02769,0.04266,0.08998,0.26118,0.88462"); + } + } + } + pin("out_s[6]") { + direction : output; + capacitance : 0.0334; + timing() { + related_pin : "in_n[6]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_17) { + values("0.12738,0.13016,0.13854,0.16151,0.22661,0.44129,1.18928"); + } + rise_transition(template_17) { + values("0.02209,0.02434,0.03145,0.05498,0.13875,0.44334,1.51155"); + } + cell_fall(template_18) { + values("0.12376,0.12629,0.13371,0.15269,0.19922,0.33334,0.79131"); + } + fall_transition(template_18) { + values("0.02104,0.02245,0.02768,0.04266,0.08998,0.26118,0.88464"); + } + } + } + pin("out_s[5]") { + direction : output; + capacitance : 0.0334; + timing() { + related_pin : "in_n[5]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_15) { + values("0.12304,0.12582,0.13419,0.15717,0.22227,0.43687,1.18545"); + } + rise_transition(template_15) { + values("0.02209,0.02434,0.03145,0.05499,0.13876,0.44344,1.51232"); + } + cell_fall(template_16) { + values("0.12125,0.12379,0.13122,0.15018,0.19673,0.33084,0.78884"); + } + fall_transition(template_16) { + values("0.02102,0.02245,0.02767,0.04267,0.08998,0.26118,0.88474"); + } + } + } + pin("out_s[4]") { + direction : output; + capacitance : 0.0334; + timing() { + related_pin : "in_n[4]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_13) { + values("0.12397,0.12675,0.13513,0.15810,0.22320,0.43783,1.18618"); + } + rise_transition(template_13) { + values("0.02209,0.02434,0.03145,0.05498,0.13875,0.44340,1.51201"); + } + cell_fall(template_14) { + values("0.12166,0.12420,0.13163,0.15060,0.19714,0.33125,0.78924"); + } + fall_transition(template_14) { + values("0.02103,0.02245,0.02768,0.04267,0.08998,0.26118,0.88470"); + } + } + } + pin("out_s[3]") { + direction : output; + capacitance : 0.0334; + timing() { + related_pin : "in_n[3]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_11) { + values("0.12175,0.12453,0.13290,0.15588,0.22098,0.43553,1.18443"); + } + rise_transition(template_11) { + values("0.02209,0.02435,0.03145,0.05499,0.13876,0.44350,1.51271"); + } + cell_fall(template_12) { + values("0.12066,0.12320,0.13063,0.14959,0.19614,0.33024,0.78826"); + } + fall_transition(template_12) { + values("0.02101,0.02246,0.02767,0.04268,0.08998,0.26118,0.88479"); + } + } + } + pin("out_s[2]") { + direction : output; + capacitance : 0.0334; + timing() { + related_pin : "in_n[2]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_9) { + values("0.12766,0.13044,0.13883,0.16179,0.22689,0.44161,1.18933"); + } + rise_transition(template_9) { + values("0.02209,0.02433,0.03145,0.05498,0.13875,0.44330,1.51120"); + } + cell_fall(template_10) { + values("0.12366,0.12619,0.13361,0.15260,0.19911,0.33325,0.79120"); + } + fall_transition(template_10) { + values("0.02104,0.02245,0.02769,0.04266,0.08998,0.26119,0.88460"); + } + } + } + pin("out_s[1]") { + direction : output; + capacitance : 0.0334; + timing() { + related_pin : "in_n[1]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_7) { + values("0.12485,0.12763,0.13601,0.15898,0.22408,0.43872,1.18704"); + } + rise_transition(template_7) { + values("0.02209,0.02434,0.03145,0.05498,0.13875,0.44340,1.51198"); + } + cell_fall(template_8) { + values("0.12228,0.12482,0.13224,0.15122,0.19775,0.33187,0.78986"); + } + fall_transition(template_8) { + values("0.02103,0.02245,0.02768,0.04267,0.08998,0.26118,0.88469"); + } + } + } + pin("out_s[0]") { + direction : output; + capacitance : 0.0334; + timing() { + related_pin : "in_n[0]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1) { + values("0.12197,0.12475,0.13312,0.15611,0.22120,0.43576,1.18463"); + } + rise_transition(template_1) { + values("0.02209,0.02435,0.03145,0.05499,0.13876,0.44349,1.51268"); + } + cell_fall(template_2) { + values("0.12080,0.12335,0.13077,0.14973,0.19629,0.33038,0.78841"); + } + fall_transition(template_2) { + values("0.02102,0.02246,0.02767,0.04268,0.08998,0.26118,0.88478"); + } + } + } + } + } + +} diff --git a/sdf/caravan.sdf b/signoff/caravan/openlane-signoff/sdf/nom/caravan.tt.sdf similarity index 100% rename from sdf/caravan.sdf rename to signoff/caravan/openlane-signoff/sdf/nom/caravan.tt.sdf diff --git a/spef/caravan/caravan.nom.spef b/signoff/caravan/openlane-signoff/spef/caravan.nom.spef similarity index 100% rename from spef/caravan/caravan.nom.spef rename to signoff/caravan/openlane-signoff/spef/caravan.nom.spef diff --git a/signoff/caravel_clocking/openlane-signoff/lib/caravel_clocking.lib b/signoff/caravel_clocking/openlane-signoff/lib/caravel_clocking.lib new file mode 100644 index 00000000..fa761d64 --- /dev/null +++ b/signoff/caravel_clocking/openlane-signoff/lib/caravel_clocking.lib @@ -0,0 +1,513 @@ +library (caravel_clocking) { + comment : ""; + delay_model : table_lookup; + simulation : false; + capacitive_load_unit (1,pF); + leakage_power_unit : 1pW; + current_unit : "1A"; + pulling_resistance_unit : "1kohm"; + time_unit : "1ns"; + voltage_unit : "1v"; + library_features(report_delay_calculation); + + input_threshold_pct_rise : 50; + input_threshold_pct_fall : 50; + output_threshold_pct_rise : 50; + output_threshold_pct_fall : 50; + slew_lower_threshold_pct_rise : 20; + slew_lower_threshold_pct_fall : 20; + slew_upper_threshold_pct_rise : 80; + slew_upper_threshold_pct_fall : 80; + slew_derate_from_library : 1.0; + + + nom_process : 1.0; + nom_temperature : 25.0; + nom_voltage : 1.80; + + lu_table_template(template_1) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_10) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00191, 0.00726, 0.02767, 0.10546, 0.40192, 1.53169"); + } + lu_table_template(template_11) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_12) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_13) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00191, 0.00726, 0.02767, 0.10546, 0.40192, 1.53169"); + } + lu_table_template(template_14) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00191, 0.00726, 0.02767, 0.10546, 0.40192, 1.53169"); + } + lu_table_template(template_15) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00191, 0.00726, 0.02767, 0.10546, 0.40192, 1.53169"); + } + lu_table_template(template_16) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00191, 0.00726, 0.02767, 0.10546, 0.40192, 1.53169"); + } + lu_table_template(template_17) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00191, 0.00726, 0.02767, 0.10546, 0.40192, 1.53169"); + } + lu_table_template(template_18) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00191, 0.00726, 0.02767, 0.10546, 0.40192, 1.53169"); + } + lu_table_template(template_19) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00191, 0.00726, 0.02767, 0.10546, 0.40192, 1.53169"); + } + lu_table_template(template_2) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_20) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00191, 0.00726, 0.02767, 0.10546, 0.40192, 1.53169"); + } + lu_table_template(template_21) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00191, 0.00726, 0.02767, 0.10546, 0.40192, 1.53169"); + } + lu_table_template(template_22) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00191, 0.00726, 0.02767, 0.10546, 0.40192, 1.53169"); + } + lu_table_template(template_3) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00191, 0.00726, 0.02767, 0.10546, 0.40192, 1.53169"); + } + lu_table_template(template_4) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00191, 0.00726, 0.02767, 0.10546, 0.40192, 1.53169"); + } + lu_table_template(template_5) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00191, 0.00726, 0.02767, 0.10546, 0.40192, 1.53169"); + } + lu_table_template(template_6) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00191, 0.00726, 0.02767, 0.10546, 0.40192, 1.53169"); + } + lu_table_template(template_7) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00191, 0.00726, 0.02767, 0.10546, 0.40192, 1.53169"); + } + lu_table_template(template_8) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00191, 0.00726, 0.02767, 0.10546, 0.40192, 1.53169"); + } + lu_table_template(template_9) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00191, 0.00726, 0.02767, 0.10546, 0.40192, 1.53169"); + } + type ("sel") { + base_type : array; + data_type : bit; + bit_width : 3; + bit_from : 2; + bit_to : 0; + } + type ("sel2") { + base_type : array; + data_type : bit; + bit_width : 3; + bit_from : 2; + bit_to : 0; + } + + cell ("caravel_clocking") { + pin("core_clk") { + direction : output; + capacitance : 0.2094; + timing() { + related_pin : "ext_clk"; + timing_type : rising_edge; + cell_rise(template_3) { + values("1.66101,1.66289,1.66932,1.68895,1.74717,1.94899,2.70950"); + } + rise_transition(template_3) { + values("0.02434,0.02582,0.03117,0.05049,0.12301,0.40936,1.50414"); + } + cell_fall(template_4) { + values("-0.11201,-0.11030,-0.10445,-0.08790,-0.04459,0.08258,0.54403"); + } + fall_transition(template_4) { + values("0.02325,0.02436,0.02827,0.04095,0.08430,0.25146,0.91403"); + } + } + timing() { + related_pin : "ext_clk"; + timing_type : falling_edge; + cell_rise(template_5) { + values("-0.15692,-0.15505,-0.14862,-0.12898,-0.07076,0.13106,0.89157"); + } + rise_transition(template_5) { + values("0.02434,0.02582,0.03117,0.05049,0.12301,0.40936,1.50414"); + } + cell_fall(template_6) { + values("2.99607,2.99779,3.00364,3.02018,3.06350,3.19066,3.65212"); + } + fall_transition(template_6) { + values("0.02325,0.02436,0.02827,0.04095,0.08430,0.25146,0.91403"); + } + } + timing() { + related_pin : "core_clk"; + timing_type : rising_edge; + cell_rise(template_7) { + values("1.66101,1.66289,1.66932,1.68895,1.74717,1.94899,2.70950"); + } + rise_transition(template_7) { + values("0.02434,0.02582,0.03117,0.05049,0.12301,0.40936,1.50414"); + } + cell_fall(template_8) { + values("-0.11201,-0.11030,-0.10445,-0.08790,-0.04459,0.08258,0.54403"); + } + fall_transition(template_8) { + values("0.02325,0.02436,0.02827,0.04095,0.08430,0.25146,0.91403"); + } + } + timing() { + related_pin : "core_clk"; + timing_type : falling_edge; + cell_rise(template_9) { + values("-0.15692,-0.15505,-0.14862,-0.12898,-0.07076,0.13106,0.89157"); + } + rise_transition(template_9) { + values("0.02434,0.02582,0.03117,0.05049,0.12301,0.40936,1.50414"); + } + cell_fall(template_10) { + values("15.49607,15.49779,15.50364,15.52018,15.56350,15.69066,16.15211"); + } + fall_transition(template_10) { + values("0.02325,0.02436,0.02827,0.04095,0.08430,0.25146,0.91403"); + } + } + } + pin("ext_clk") { + direction : input; + capacitance : 0.0113; + } + pin("ext_clk_sel") { + direction : input; + capacitance : 0.0038; + timing() { + related_pin : "pll_clk"; + timing_type : hold_rising; + rise_constraint(scalar) { + values("0.27545"); + } + fall_constraint(scalar) { + values("-0.59870"); + } + } + timing() { + related_pin : "pll_clk"; + timing_type : setup_rising; + rise_constraint(scalar) { + values("-0.06985"); + } + fall_constraint(scalar) { + values("0.85359"); + } + } + } + pin("ext_reset") { + direction : input; + capacitance : 0.0043; + timing() { + related_pin : "ext_clk"; + timing_type : hold_rising; + rise_constraint(scalar) { + values("-5.52988"); + } + fall_constraint(scalar) { + values("-6.42033"); + } + } + timing() { + related_pin : "ext_clk"; + timing_type : setup_rising; + rise_constraint(scalar) { + values("5.59236"); + } + fall_constraint(scalar) { + values("6.56985"); + } + } + } + pin("pll_clk") { + direction : input; + capacitance : 0.0217; + } + pin("pll_clk90") { + direction : input; + capacitance : 0.0155; + } + pin("resetb") { + direction : input; + capacitance : 0.0044; + timing() { + related_pin : "ext_clk"; + timing_sense : negative_unate; + timing_type : hold_falling; + rise_constraint(scalar) { + values("2.67088"); + } + } + timing() { + related_pin : "ext_clk"; + timing_sense : negative_unate; + timing_type : setup_falling; + rise_constraint(scalar) { + values("-1.83114"); + } + } + timing() { + related_pin : "pll_clk"; + timing_type : hold_rising; + rise_constraint(scalar) { + values("0.56148"); + } + fall_constraint(scalar) { + values("-0.63541"); + } + } + timing() { + related_pin : "pll_clk"; + timing_type : setup_rising; + rise_constraint(scalar) { + values("0.41085"); + } + fall_constraint(scalar) { + values("1.06792"); + } + } + timing() { + related_pin : "pll_clk"; + timing_sense : negative_unate; + timing_type : hold_falling; + rise_constraint(scalar) { + values("1.58562"); + } + } + timing() { + related_pin : "pll_clk"; + timing_sense : negative_unate; + timing_type : setup_falling; + rise_constraint(scalar) { + values("-1.02788"); + } + } + timing() { + related_pin : "pll_clk90"; + timing_sense : positive_unate; + timing_type : hold_rising; + rise_constraint(scalar) { + values("0.53892"); + } + } + timing() { + related_pin : "pll_clk90"; + timing_sense : positive_unate; + timing_type : setup_rising; + rise_constraint(scalar) { + values("0.15517"); + } + } + timing() { + related_pin : "pll_clk90"; + timing_sense : negative_unate; + timing_type : hold_falling; + rise_constraint(scalar) { + values("1.56719"); + } + } + timing() { + related_pin : "pll_clk90"; + timing_sense : negative_unate; + timing_type : setup_falling; + rise_constraint(scalar) { + values("-0.70527"); + } + } + } + pin("resetb_sync") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "ext_reset"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1) { + values("1.40631,1.40883,1.41875,1.45386,1.59465,2.23564,5.19283"); + } + rise_transition(template_1) { + values("0.02247,0.02443,0.03316,0.07268,0.26583,1.18279,5.39656"); + } + cell_fall(template_2) { + values("0.50304,0.50506,0.51263,0.53575,0.60447,0.87618,2.12327"); + } + fall_transition(template_2) { + values("0.01885,0.02014,0.02505,0.04329,0.11876,0.48570,2.21348"); + } + } + timing() { + related_pin : "ext_clk"; + timing_type : falling_edge; + cell_rise(template_11) { + values("16.24807,16.25059,16.26051,16.29562,16.43641,17.07740,20.03459"); + } + rise_transition(template_11) { + values("0.02247,0.02443,0.03316,0.07268,0.26583,1.18279,5.39656"); + } + cell_fall(template_12) { + values("16.26107,16.26309,16.27067,16.29379,16.36251,16.63421,17.88131"); + } + fall_transition(template_12) { + values("0.01885,0.02014,0.02505,0.04329,0.11876,0.48570,2.21348"); + } + } + } + pin("user_clk") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "ext_clk"; + timing_type : rising_edge; + cell_rise(template_13) { + values("1.54263,1.54451,1.55093,1.57042,1.62858,1.83060,2.59730"); + } + rise_transition(template_13) { + values("0.02439,0.02587,0.03120,0.05053,0.12314,0.40892,1.50596"); + } + cell_fall(template_14) { + values("-0.10799,-0.10631,-0.10034,-0.08375,-0.04057,0.08664,0.54821"); + } + fall_transition(template_14) { + values("0.02337,0.02442,0.02828,0.04105,0.08437,0.25148,0.91304"); + } + } + timing() { + related_pin : "ext_clk"; + timing_type : falling_edge; + cell_rise(template_15) { + values("-0.15037,-0.14850,-0.14207,-0.12258,-0.06442,0.13760,0.90429"); + } + rise_transition(template_15) { + values("0.02439,0.02587,0.03120,0.05053,0.12314,0.40892,1.50596"); + } + cell_fall(template_16) { + values("15.35966,15.36134,15.36731,15.38390,15.42708,15.55429,16.01586"); + } + fall_transition(template_16) { + values("0.02337,0.02442,0.02828,0.04105,0.08437,0.25148,0.91304"); + } + } + timing() { + related_pin : "pll_clk"; + timing_type : rising_edge; + cell_rise(template_17) { + values("2.11329,2.11516,2.12159,2.14108,2.19924,2.40126,3.16795"); + } + rise_transition(template_17) { + values("0.02439,0.02587,0.03120,0.05053,0.12314,0.40892,1.50596"); + } + cell_fall(template_18) { + values("2.45140,2.45308,2.45905,2.47564,2.51882,2.64603,3.10759"); + } + fall_transition(template_18) { + values("0.02337,0.02442,0.02828,0.04105,0.08437,0.25148,0.91304"); + } + } + timing() { + related_pin : "pll_clk90"; + timing_type : rising_edge; + cell_rise(template_19) { + values("2.51398,2.51585,2.52228,2.54177,2.59993,2.80195,3.56864"); + } + rise_transition(template_19) { + values("0.02439,0.02587,0.03120,0.05053,0.12314,0.40892,1.50596"); + } + cell_fall(template_20) { + values("2.51791,2.51959,2.52555,2.54215,2.58533,2.71254,3.17410"); + } + fall_transition(template_20) { + values("0.02337,0.02442,0.02828,0.04105,0.08437,0.25148,0.91304"); + } + } + timing() { + related_pin : "pll_clk90"; + timing_type : falling_edge; + cell_rise(template_21) { + values("6.81800,6.81987,6.82630,6.84579,6.90395,7.10597,7.87266"); + } + rise_transition(template_21) { + values("0.02439,0.02587,0.03120,0.05053,0.12314,0.40892,1.50596"); + } + cell_fall(template_22) { + values("6.81403,6.81570,6.82167,6.83826,6.88145,7.00866,7.47022"); + } + fall_transition(template_22) { + values("0.02337,0.02442,0.02828,0.04105,0.08437,0.25148,0.91304"); + } + } + } + pin("VPWR") { + direction : input; + capacitance : 0.0002; + } + pin("VGND") { + direction : input; + capacitance : 0.0002; + } + bus("sel") { + bus_type : sel; + direction : input; + capacitance : 0.0000; + pin("sel[2]") { + direction : input; + capacitance : 0.0042; + } + pin("sel[1]") { + direction : input; + capacitance : 0.0043; + } + pin("sel[0]") { + direction : input; + capacitance : 0.0040; + } + } + bus("sel2") { + bus_type : sel2; + direction : input; + capacitance : 0.0000; + pin("sel2[2]") { + direction : input; + capacitance : 0.0041; + } + pin("sel2[1]") { + direction : input; + capacitance : 0.0041; + } + pin("sel2[0]") { + direction : input; + capacitance : 0.0041; + } + } + } + +} diff --git a/sdf/chip_io.sdf b/signoff/chip_io/openlane-signoff/sdf/nom/chip_io.tt.sdf similarity index 100% rename from sdf/chip_io.sdf rename to signoff/chip_io/openlane-signoff/sdf/nom/chip_io.tt.sdf diff --git a/spef/chip_io/chip_io.nom.spef b/signoff/chip_io/openlane-signoff/spef/chip_io.nom.spef similarity index 100% rename from spef/chip_io/chip_io.nom.spef rename to signoff/chip_io/openlane-signoff/spef/chip_io.nom.spef diff --git a/signoff/constant_block/openlane-signoff/constant_block.sdc b/signoff/constant_block/openlane-signoff/constant_block.sdc new file mode 100644 index 00000000..fed8b9c4 --- /dev/null +++ b/signoff/constant_block/openlane-signoff/constant_block.sdc @@ -0,0 +1,23 @@ +############################################################################### +# Created by write_sdc +# Sat Oct 8 18:34:24 2022 +############################################################################### +current_design constant_block +############################################################################### +# Timing Constraints +############################################################################### +create_clock -name __VIRTUAL_CLK__ -period 10.0000 +set_clock_uncertainty 0.2500 __VIRTUAL_CLK__ +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {one}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {zero}] +############################################################################### +# Environment +############################################################################### +set_load -pin_load 0.0334 [get_ports {one}] +set_load -pin_load 0.0334 [get_ports {zero}] +set_timing_derate -early 0.9500 +set_timing_derate -late 1.0500 +############################################################################### +# Design Rules +############################################################################### +set_max_fanout 10.0000 [current_design] diff --git a/signoff/constant_block/openlane-signoff/lib/constant_block.lib b/signoff/constant_block/openlane-signoff/lib/constant_block.lib new file mode 100644 index 00000000..d62d61a0 --- /dev/null +++ b/signoff/constant_block/openlane-signoff/lib/constant_block.lib @@ -0,0 +1,48 @@ +library (constant_block) { + comment : ""; + delay_model : table_lookup; + simulation : false; + capacitive_load_unit (1,pF); + leakage_power_unit : 1pW; + current_unit : "1A"; + pulling_resistance_unit : "1kohm"; + time_unit : "1ns"; + voltage_unit : "1v"; + library_features(report_delay_calculation); + + input_threshold_pct_rise : 50; + input_threshold_pct_fall : 50; + output_threshold_pct_rise : 50; + output_threshold_pct_fall : 50; + slew_lower_threshold_pct_rise : 20; + slew_lower_threshold_pct_fall : 20; + slew_upper_threshold_pct_rise : 80; + slew_upper_threshold_pct_fall : 80; + slew_derate_from_library : 1.0; + + + nom_process : 1.0; + nom_temperature : 25.0; + nom_voltage : 1.80; + + + cell ("constant_block") { + pin("one") { + direction : output; + capacitance : 0.0334; + } + pin("zero") { + direction : output; + capacitance : 0.0334; + } + pin("vccd") { + direction : input; + capacitance : 0.0002; + } + pin("vssd") { + direction : input; + capacitance : 0.0002; + } + } + +} diff --git a/sdf/constant_block.sdf b/signoff/constant_block/openlane-signoff/sdf/nom/constant_block.tt.sdf similarity index 100% rename from sdf/constant_block.sdf rename to signoff/constant_block/openlane-signoff/sdf/nom/constant_block.tt.sdf diff --git a/spef/constant_block/constant_block.nom.spef b/signoff/constant_block/openlane-signoff/spef/constant_block.nom.spef similarity index 100% rename from spef/constant_block/constant_block.nom.spef rename to signoff/constant_block/openlane-signoff/spef/constant_block.nom.spef diff --git a/sdf/gpio_defaults_block.sdf b/signoff/gpio_defaults_block/openlane-signoff/sdf/nom/gpio_defaults_block.tt.sdf similarity index 100% rename from sdf/gpio_defaults_block.sdf rename to signoff/gpio_defaults_block/openlane-signoff/sdf/nom/gpio_defaults_block.tt.sdf diff --git a/spef/gpio_defaults_block/gpio_defaults_block.nom.spef b/signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef similarity index 100% rename from spef/gpio_defaults_block/gpio_defaults_block.nom.spef rename to signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef diff --git a/spef/gpio_defaults_block_0403/gpio_defaults_block_0403.nom.spef b/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.nom.spef similarity index 100% rename from spef/gpio_defaults_block_0403/gpio_defaults_block_0403.nom.spef rename to signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.nom.spef diff --git a/spef/gpio_defaults_block_1803/gpio_defaults_block_1803.nom.spef b/signoff/gpio_defaults_block_1803/openlane-signoff/spef/gpio_defaults_block_1803.nom.spef similarity index 100% rename from spef/gpio_defaults_block_1803/gpio_defaults_block_1803.nom.spef rename to signoff/gpio_defaults_block_1803/openlane-signoff/spef/gpio_defaults_block_1803.nom.spef diff --git a/sdf/gpio_logic_high.sdf b/signoff/gpio_logic_high/openlane-signoff/sdf/nom/gpio_logic_high.tt.sdf similarity index 100% rename from sdf/gpio_logic_high.sdf rename to signoff/gpio_logic_high/openlane-signoff/sdf/nom/gpio_logic_high.tt.sdf diff --git a/spef/gpio_logic_high/gpio_logic_high.nom.spef b/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.nom.spef similarity index 100% rename from spef/gpio_logic_high/gpio_logic_high.nom.spef rename to signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.nom.spef diff --git a/signoff/housekeeping/openlane-signoff/lib/housekeeping.lib b/signoff/housekeeping/openlane-signoff/lib/housekeeping.lib new file mode 100644 index 00000000..414dad78 --- /dev/null +++ b/signoff/housekeeping/openlane-signoff/lib/housekeeping.lib @@ -0,0 +1,3028 @@ +library (housekeeping) { + comment : ""; + delay_model : table_lookup; + simulation : false; + capacitive_load_unit (1,pF); + leakage_power_unit : 1pW; + current_unit : "1A"; + pulling_resistance_unit : "1kohm"; + time_unit : "1ns"; + voltage_unit : "1v"; + library_features(report_delay_calculation); + + input_threshold_pct_rise : 50; + input_threshold_pct_fall : 50; + output_threshold_pct_rise : 50; + output_threshold_pct_fall : 50; + slew_lower_threshold_pct_rise : 20; + slew_lower_threshold_pct_fall : 20; + slew_upper_threshold_pct_rise : 80; + slew_upper_threshold_pct_fall : 80; + slew_derate_from_library : 1.0; + + + nom_process : 1.0; + nom_temperature : 25.0; + nom_voltage : 1.80; + + lu_table_template(template_1) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_10) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_100) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_101) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_102) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_103) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_104) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_105) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_106) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_107) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_108) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_109) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_11) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_110) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_111) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00126, 0.00319, 0.00806, 0.02037, 0.05146, 0.13002"); + } + lu_table_template(template_112) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00126, 0.00319, 0.00806, 0.02037, 0.05146, 0.13002"); + } + lu_table_template(template_113) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00126, 0.00319, 0.00806, 0.02037, 0.05146, 0.13002"); + } + lu_table_template(template_114) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00126, 0.00319, 0.00806, 0.02037, 0.05146, 0.13002"); + } + lu_table_template(template_12) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_13) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_14) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_15) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_16) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_17) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_18) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_19) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_2) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_20) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_21) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_22) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_23) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_24) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_25) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_26) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_27) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_28) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_29) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_3) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_30) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_31) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_32) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_33) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_34) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_35) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00126, 0.00319, 0.00806, 0.02037, 0.05146, 0.13002"); + } + lu_table_template(template_36) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00126, 0.00319, 0.00806, 0.02037, 0.05146, 0.13002"); + } + lu_table_template(template_37) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00126, 0.00319, 0.00806, 0.02037, 0.05146, 0.13002"); + } + lu_table_template(template_38) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00126, 0.00319, 0.00806, 0.02037, 0.05146, 0.13002"); + } + lu_table_template(template_39) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_4) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_40) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_41) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_42) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_43) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_44) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_45) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_46) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_47) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_48) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_49) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_5) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_50) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_51) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_52) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_53) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_54) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_55) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_56) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_57) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_58) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_59) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_6) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_60) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_61) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_62) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_63) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_64) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_65) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_66) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_67) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_68) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_69) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_7) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_70) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_71) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_72) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_73) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_74) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_75) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_76) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_77) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_78) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_79) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_8) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_80) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_81) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00126, 0.00319, 0.00806, 0.02037, 0.05146, 0.13002"); + } + lu_table_template(template_82) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00126, 0.00319, 0.00806, 0.02037, 0.05146, 0.13002"); + } + lu_table_template(template_83) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_84) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_85) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_86) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_87) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_88) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_89) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_9) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_90) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_91) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_92) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_93) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_94) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_95) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_96) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_97) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_98) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_99) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + type ("irq") { + base_type : array; + data_type : bit; + bit_width : 3; + bit_from : 2; + bit_to : 0; + } + type ("mask_rev_in") { + base_type : array; + data_type : bit; + bit_width : 32; + bit_from : 31; + bit_to : 0; + } + type ("mgmt_gpio_in") { + base_type : array; + data_type : bit; + bit_width : 38; + bit_from : 37; + bit_to : 0; + } + type ("mgmt_gpio_oeb") { + base_type : array; + data_type : bit; + bit_width : 38; + bit_from : 37; + bit_to : 0; + } + type ("mgmt_gpio_out") { + base_type : array; + data_type : bit; + bit_width : 38; + bit_from : 37; + bit_to : 0; + } + type ("pll90_sel") { + base_type : array; + data_type : bit; + bit_width : 3; + bit_from : 2; + bit_to : 0; + } + type ("pll_div") { + base_type : array; + data_type : bit; + bit_width : 5; + bit_from : 4; + bit_to : 0; + } + type ("pll_sel") { + base_type : array; + data_type : bit; + bit_width : 3; + bit_from : 2; + bit_to : 0; + } + type ("pll_trim") { + base_type : array; + data_type : bit; + bit_width : 26; + bit_from : 25; + bit_to : 0; + } + type ("pwr_ctrl_out") { + base_type : array; + data_type : bit; + bit_width : 4; + bit_from : 3; + bit_to : 0; + } + type ("wb_adr_i") { + base_type : array; + data_type : bit; + bit_width : 32; + bit_from : 31; + bit_to : 0; + } + type ("wb_dat_i") { + base_type : array; + data_type : bit; + bit_width : 32; + bit_from : 31; + bit_to : 0; + } + type ("wb_dat_o") { + base_type : array; + data_type : bit; + bit_width : 32; + bit_from : 31; + bit_to : 0; + } + type ("wb_sel_i") { + base_type : array; + data_type : bit; + bit_width : 4; + bit_from : 3; + bit_to : 0; + } + + cell ("housekeeping") { + pin("VGND") { + direction : input; + capacitance : 0.0002; + } + pin("VPWR") { + direction : input; + capacitance : 0.0002; + } + pin("debug_in") { + direction : output; + capacitance : 0.0000; + timing() { + related_pin : "debug_mode"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1) { + values("0.41920,0.42158,0.43107,0.46562,0.60609,1.24832,4.22019"); + } + rise_transition(template_1) { + values("0.01999,0.02201,0.03100,0.07132,0.26615,1.18242,5.39442"); + } + cell_fall(template_2) { + values("0.42002,0.42205,0.42961,0.45273,0.52144,0.79317,2.04023"); + } + fall_transition(template_2) { + values("0.01886,0.02015,0.02505,0.04329,0.11876,0.48576,2.21355"); + } + } + timing() { + related_pin : "mgmt_gpio_in[0]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_11) { + values("0.76045,0.76283,0.77232,0.80687,0.94734,1.58956,4.56144"); + } + rise_transition(template_11) { + values("0.01999,0.02201,0.03100,0.07132,0.26615,1.18242,5.39442"); + } + cell_fall(template_12) { + values("0.77644,0.77846,0.78603,0.80914,0.87785,1.14958,2.39664"); + } + fall_transition(template_12) { + values("0.01886,0.02015,0.02505,0.04329,0.11876,0.48576,2.21355"); + } + } + } + pin("debug_mode") { + direction : input; + capacitance : 0.0025; + } + pin("debug_oeb") { + direction : input; + capacitance : 0.0025; + } + pin("debug_out") { + direction : input; + capacitance : 0.0025; + } + pin("pad_flash_clk") { + direction : output; + capacitance : 0.0000; + timing() { + related_pin : "mgmt_gpio_in[4]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_37) { + values("0.55774,0.56444,0.58024,0.61909,0.71605,0.96178,1.58333"); + } + rise_transition(template_37) { + values("0.02010,0.02848,0.05005,0.10545,0.24665,0.60370,1.50408"); + } + cell_fall(template_38) { + values("0.77294,0.77801,0.78867,0.81118,0.86315,0.99278,1.32017"); + } + fall_transition(template_38) { + values("0.01489,0.01933,0.02965,0.05522,0.12157,0.29637,0.72632"); + } + } + timing() { + related_pin : "spimemio_flash_clk"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_81) { + values("0.64897,0.65566,0.67146,0.71032,0.80728,1.05300,1.67456"); + } + rise_transition(template_81) { + values("0.02010,0.02848,0.05005,0.10545,0.24665,0.60370,1.50408"); + } + cell_fall(template_82) { + values("0.82037,0.82543,0.83610,0.85860,0.91057,1.04020,1.36759"); + } + fall_transition(template_82) { + values("0.01489,0.01933,0.02965,0.05522,0.12157,0.29637,0.72632"); + } + } + } + pin("pad_flash_clk_oeb") { + direction : output; + capacitance : 0.0000; + timing() { + related_pin : "porb"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_49) { + values("0.87172,0.87420,0.88401,0.91900,1.05973,1.70095,4.66051"); + } + rise_transition(template_49) { + values("0.02190,0.02388,0.03266,0.07236,0.26587,1.18283,5.39619"); + } + cell_fall(template_50) { + values("0.77151,0.77353,0.78111,0.80424,0.87296,1.14465,2.39178"); + } + fall_transition(template_50) { + values("0.01885,0.02013,0.02505,0.04328,0.11876,0.48564,2.21341"); + } + } + } + pin("pad_flash_csb") { + direction : output; + capacitance : 0.0000; + timing() { + related_pin : "mgmt_gpio_in[3]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_33) { + values("0.76665,0.76897,0.77832,0.81265,0.95298,1.59561,4.57288"); + } + rise_transition(template_33) { + values("0.01902,0.02107,0.03017,0.07079,0.26627,1.18217,5.39315"); + } + cell_fall(template_34) { + values("0.97899,0.98100,0.98860,1.01176,1.08049,1.35212,2.59932"); + } + fall_transition(template_34) { + values("0.01884,0.02012,0.02506,0.04328,0.11875,0.48552,2.21328"); + } + } + timing() { + related_pin : "spimemio_flash_csb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_83) { + values("0.72584,0.72816,0.73750,0.77184,0.91216,1.55479,4.53206"); + } + rise_transition(template_83) { + values("0.01902,0.02107,0.03017,0.07079,0.26627,1.18217,5.39315"); + } + cell_fall(template_84) { + values("0.91092,0.91293,0.92052,0.94368,1.01241,1.28405,2.53124"); + } + fall_transition(template_84) { + values("0.01884,0.02012,0.02506,0.04328,0.11875,0.48552,2.21328"); + } + } + } + pin("pad_flash_csb_oeb") { + direction : output; + capacitance : 0.0000; + timing() { + related_pin : "porb"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_51) { + values("0.87172,0.87420,0.88402,0.91900,1.05973,1.70095,4.66051"); + } + rise_transition(template_51) { + values("0.02190,0.02388,0.03266,0.07236,0.26587,1.18283,5.39619"); + } + cell_fall(template_52) { + values("0.77343,0.77545,0.78302,0.80614,0.87486,1.14657,2.39366"); + } + fall_transition(template_52) { + values("0.01885,0.02014,0.02505,0.04329,0.11876,0.48571,2.21349"); + } + } + } + pin("pad_flash_io0_di") { + direction : input; + capacitance : 0.0025; + } + pin("pad_flash_io0_do") { + direction : output; + capacitance : 0.0000; + timing() { + related_pin : "mgmt_gpio_in[2]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_19) { + values("0.85462,0.85698,0.86644,0.90094,1.04138,1.68372,4.65692"); + } + rise_transition(template_19) { + values("0.01978,0.02181,0.03083,0.07121,0.26618,1.18237,5.39423"); + } + cell_fall(template_20) { + values("1.03675,1.03878,1.04633,1.06943,1.13817,1.40987,2.65698"); + } + fall_transition(template_20) { + values("0.01901,0.02030,0.02517,0.04340,0.11877,0.48589,2.21313"); + } + } + timing() { + related_pin : "spimemio_flash_io0_do"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_85) { + values("0.74337,0.74573,0.75519,0.78969,0.93013,1.57247,4.54567"); + } + rise_transition(template_85) { + values("0.01978,0.02181,0.03083,0.07121,0.26618,1.18237,5.39423"); + } + cell_fall(template_86) { + values("0.93069,0.93271,0.94027,0.96337,1.03211,1.30381,2.55091"); + } + fall_transition(template_86) { + values("0.01901,0.02030,0.02517,0.04340,0.11877,0.48589,2.21313"); + } + } + } + pin("pad_flash_io0_ieb") { + direction : output; + capacitance : 0.0000; + timing() { + related_pin : "spimemio_flash_io0_oeb"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_87) { + values("0.76822,0.77056,0.77996,0.81438,0.95476,1.59730,4.57294"); + } + rise_transition(template_87) { + values("0.01940,0.02144,0.03050,0.07100,0.26624,1.18229,5.39388"); + } + cell_fall(template_88) { + values("0.80364,0.80567,0.81322,0.83631,0.90501,1.17678,2.42378"); + } + fall_transition(template_88) { + values("0.01887,0.02017,0.02505,0.04330,0.11877,0.48588,2.21367"); + } + } + } + pin("pad_flash_io0_oeb") { + direction : output; + capacitance : 0.0000; + timing() { + related_pin : "spimemio_flash_io0_oeb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_89) { + values("0.84919,0.85151,0.86089,0.89527,1.03555,1.67762,4.65066"); + } + rise_transition(template_89) { + values("0.01900,0.02105,0.03013,0.07078,0.26618,1.18198,5.39114"); + } + cell_fall(template_90) { + values("0.84291,0.84490,0.85252,0.87571,0.94445,1.21603,2.46331"); + } + fall_transition(template_90) { + values("0.01883,0.02010,0.02506,0.04327,0.11875,0.48536,2.21311"); + } + } + } + pin("pad_flash_io1_di") { + direction : input; + capacitance : 0.0025; + } + pin("pad_flash_io1_do") { + direction : output; + capacitance : 0.0000; + timing() { + related_pin : "spimemio_flash_io1_do"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_91) { + values("0.78105,0.78337,0.79271,0.82705,0.96737,1.61000,4.58727"); + } + rise_transition(template_91) { + values("0.01902,0.02107,0.03017,0.07079,0.26627,1.18217,5.39315"); + } + cell_fall(template_92) { + values("0.79329,0.79530,0.80290,0.82606,0.89479,1.16642,2.41362"); + } + fall_transition(template_92) { + values("0.01884,0.02012,0.02506,0.04328,0.11875,0.48552,2.21328"); + } + } + } + pin("pad_flash_io1_ieb") { + direction : output; + capacitance : 0.0000; + timing() { + related_pin : "spimemio_flash_io1_oeb"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_93) { + values("1.03902,1.04134,1.05071,1.08508,1.22537,1.86751,4.84112"); + } + rise_transition(template_93) { + values("0.01901,0.02105,0.03014,0.07078,0.26619,1.18200,5.39141"); + } + cell_fall(template_94) { + values("0.85889,0.86088,0.86850,0.89170,0.96044,1.23201,2.47931"); + } + fall_transition(template_94) { + values("0.01883,0.02009,0.02506,0.04326,0.11874,0.48533,2.21308"); + } + } + } + pin("pad_flash_io1_oeb") { + direction : output; + capacitance : 0.0000; + timing() { + related_pin : "spimemio_flash_io1_oeb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_95) { + values("0.78499,0.78732,0.79669,0.83106,0.97141,1.61405,4.59097"); + } + rise_transition(template_95) { + values("0.01921,0.02125,0.03033,0.07090,0.26627,1.18225,5.39370"); + } + cell_fall(template_96) { + values("0.99322,0.99524,1.00280,1.02589,1.09461,1.36635,2.61339"); + } + fall_transition(template_96) { + values("0.01893,0.02023,0.02510,0.04334,0.11877,0.48589,2.21344"); + } + } + } + pin("pll_bypass") { + direction : output; + capacitance : 0.0000; + } + pin("pll_dco_ena") { + direction : output; + capacitance : 0.0000; + } + pin("pll_ena") { + direction : output; + capacitance : 0.0000; + } + pin("porb") { + direction : input; + capacitance : 0.0025; + } + pin("qspi_enabled") { + direction : input; + capacitance : 0.0025; + } + pin("reset") { + direction : output; + capacitance : 0.0000; + } + pin("ser_rx") { + direction : output; + capacitance : 0.0000; + timing() { + related_pin : "mgmt_gpio_in[5]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_39) { + values("0.76526,0.76764,0.77713,0.81168,0.95214,1.59437,4.56626"); + } + rise_transition(template_39) { + values("0.01999,0.02201,0.03100,0.07132,0.26615,1.18242,5.39442"); + } + cell_fall(template_40) { + values("0.77092,0.77294,0.78050,0.80362,0.87233,1.14406,2.39112"); + } + fall_transition(template_40) { + values("0.01886,0.02015,0.02505,0.04329,0.11876,0.48576,2.21355"); + } + } + timing() { + related_pin : "uart_enabled"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_109) { + values("0.37780,0.38017,0.38967,0.42422,0.56468,1.20691,4.17879"); + } + rise_transition(template_109) { + values("0.01999,0.02201,0.03100,0.07132,0.26615,1.18242,5.39442"); + } + cell_fall(template_110) { + values("0.39646,0.39849,0.40605,0.42917,0.49788,0.76960,2.01667"); + } + fall_transition(template_110) { + values("0.01886,0.02015,0.02505,0.04329,0.11876,0.48576,2.21355"); + } + } + } + pin("ser_tx") { + direction : input; + capacitance : 0.0025; + } + pin("serial_clock") { + direction : output; + capacitance : 0.0000; + } + pin("serial_data_1") { + direction : output; + capacitance : 0.0000; + } + pin("serial_data_2") { + direction : output; + capacitance : 0.0000; + } + pin("serial_load") { + direction : output; + capacitance : 0.0000; + } + pin("serial_resetn") { + direction : output; + capacitance : 0.0000; + } + pin("spi_csb") { + direction : input; + capacitance : 0.0025; + } + pin("spi_enabled") { + direction : input; + capacitance : 0.0026; + } + pin("spi_sck") { + direction : input; + capacitance : 0.0025; + } + pin("spi_sdi") { + direction : output; + capacitance : 0.0000; + timing() { + related_pin : "mgmt_gpio_in[34]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_21) { + values("1.01185,1.01423,1.02373,1.05827,1.19874,1.84097,4.81285"); + } + rise_transition(template_21) { + values("0.01999,0.02201,0.03100,0.07132,0.26615,1.18242,5.39442"); + } + cell_fall(template_22) { + values("1.02021,1.02223,1.02980,1.05291,1.12162,1.39335,2.64041"); + } + fall_transition(template_22) { + values("0.01886,0.02015,0.02505,0.04329,0.11876,0.48576,2.21355"); + } + } + timing() { + related_pin : "spi_enabled"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_73) { + values("0.42579,0.42817,0.43766,0.47221,0.61267,1.25490,4.22679"); + } + rise_transition(template_73) { + values("0.01999,0.02201,0.03100,0.07132,0.26615,1.18242,5.39442"); + } + cell_fall(template_74) { + values("0.44730,0.44932,0.45689,0.48000,0.54872,0.82044,2.06751"); + } + fall_transition(template_74) { + values("0.01886,0.02015,0.02505,0.04329,0.11876,0.48576,2.21355"); + } + } + } + pin("spi_sdo") { + direction : input; + capacitance : 0.0025; + } + pin("spi_sdoenb") { + direction : input; + capacitance : 0.0025; + } + pin("spimemio_flash_clk") { + direction : input; + capacitance : 0.0025; + } + pin("spimemio_flash_csb") { + direction : input; + capacitance : 0.0025; + } + pin("spimemio_flash_io0_di") { + direction : output; + capacitance : 0.0000; + timing() { + related_pin : "pad_flash_io0_di"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_43) { + values("0.72541,0.72773,0.73707,0.77141,0.91173,1.55436,4.53163"); + } + rise_transition(template_43) { + values("0.01902,0.02107,0.03017,0.07079,0.26627,1.18217,5.39315"); + } + cell_fall(template_44) { + values("0.77507,0.77707,0.78467,0.80783,0.87656,1.14820,2.39539"); + } + fall_transition(template_44) { + values("0.01884,0.02012,0.02506,0.04328,0.11875,0.48552,2.21328"); + } + } + } + pin("spimemio_flash_io0_do") { + direction : input; + capacitance : 0.0025; + } + pin("spimemio_flash_io0_oeb") { + direction : input; + capacitance : 0.0025; + } + pin("spimemio_flash_io1_di") { + direction : output; + capacitance : 0.0000; + timing() { + related_pin : "pad_flash_io1_di"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_47) { + values("0.82752,0.82985,0.83919,0.87353,1.01385,1.65648,4.63375"); + } + rise_transition(template_47) { + values("0.01902,0.02107,0.03017,0.07079,0.26627,1.18217,5.39315"); + } + cell_fall(template_48) { + values("0.86700,0.86900,0.87660,0.89976,0.96849,1.24013,2.48732"); + } + fall_transition(template_48) { + values("0.01884,0.02012,0.02506,0.04328,0.11875,0.48552,2.21328"); + } + } + } + pin("spimemio_flash_io1_do") { + direction : input; + capacitance : 0.0025; + } + pin("spimemio_flash_io1_oeb") { + direction : input; + capacitance : 0.0025; + } + pin("spimemio_flash_io2_di") { + direction : output; + capacitance : 0.0000; + timing() { + related_pin : "mgmt_gpio_in[36]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_23) { + values("0.63653,0.63885,0.64819,0.68253,0.82285,1.46548,4.44275"); + } + rise_transition(template_23) { + values("0.01902,0.02107,0.03017,0.07079,0.26627,1.18217,5.39315"); + } + cell_fall(template_24) { + values("0.65107,0.65308,0.66068,0.68384,0.75257,1.02420,2.27140"); + } + fall_transition(template_24) { + values("0.01884,0.02012,0.02506,0.04328,0.11875,0.48552,2.21328"); + } + } + } + pin("spimemio_flash_io2_do") { + direction : input; + capacitance : 0.0025; + } + pin("spimemio_flash_io2_oeb") { + direction : input; + capacitance : 0.0025; + } + pin("spimemio_flash_io3_di") { + direction : output; + capacitance : 0.0000; + timing() { + related_pin : "mgmt_gpio_in[37]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_25) { + values("0.75522,0.75761,0.76717,0.80180,0.94231,1.58435,4.55392"); + } + rise_transition(template_25) { + values("0.02035,0.02236,0.03131,0.07152,0.26610,1.18249,5.39475"); + } + cell_fall(template_26) { + values("0.72996,0.73199,0.73955,0.76266,0.83137,1.10311,2.35016"); + } + fall_transition(template_26) { + values("0.01886,0.02015,0.02505,0.04329,0.11876,0.48577,2.21356"); + } + } + } + pin("spimemio_flash_io3_do") { + direction : input; + capacitance : 0.0025; + } + pin("spimemio_flash_io3_oeb") { + direction : input; + capacitance : 0.0025; + } + pin("trap") { + direction : input; + capacitance : 0.0025; + } + pin("uart_enabled") { + direction : input; + capacitance : 0.0025; + } + pin("user_clock") { + direction : input; + capacitance : 0.0260; + } + pin("usr1_vcc_pwrgood") { + direction : input; + capacitance : 0.0025; + } + pin("usr1_vdd_pwrgood") { + direction : input; + capacitance : 0.0025; + } + pin("usr2_vcc_pwrgood") { + direction : input; + capacitance : 0.0025; + } + pin("usr2_vdd_pwrgood") { + direction : input; + capacitance : 0.0025; + } + pin("wb_ack_o") { + direction : output; + capacitance : 0.0000; + } + pin("wb_clk_i") { + direction : input; + capacitance : 0.0093; + } + pin("wb_cyc_i") { + direction : input; + capacitance : 0.0025; + } + pin("wb_rstn_i") { + direction : input; + capacitance : 0.0025; + } + pin("wb_stb_i") { + direction : input; + capacitance : 0.0025; + } + pin("wb_we_i") { + direction : input; + capacitance : 0.0026; + } + bus("irq") { + bus_type : irq; + direction : output; + capacitance : 0.0000; + pin("irq[2]") { + direction : output; + capacitance : 0.0000; + timing() { + related_pin : "mgmt_gpio_in[12]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_15) { + values("0.90924,0.91156,0.92090,0.95524,1.09556,1.73819,4.71546"); + } + rise_transition(template_15) { + values("0.01902,0.02107,0.03017,0.07079,0.26627,1.18217,5.39315"); + } + cell_fall(template_16) { + values("0.95348,0.95549,0.96309,0.98625,1.05498,1.32661,2.57381"); + } + fall_transition(template_16) { + values("0.01884,0.02012,0.02506,0.04328,0.11875,0.48552,2.21328"); + } + } + } + pin("irq[1]") { + direction : output; + capacitance : 0.0000; + timing() { + related_pin : "mgmt_gpio_in[7]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_41) { + values("0.84375,0.84607,0.85541,0.88975,1.03007,1.67270,4.64997"); + } + rise_transition(template_41) { + values("0.01902,0.02107,0.03017,0.07079,0.26627,1.18217,5.39315"); + } + cell_fall(template_42) { + values("0.91387,0.91588,0.92347,0.94663,1.01536,1.28700,2.53420"); + } + fall_transition(template_42) { + values("0.01884,0.02012,0.02506,0.04328,0.11875,0.48552,2.21328"); + } + } + } + pin("irq[0]") { + direction : output; + capacitance : 0.0000; + } + } + bus("mask_rev_in") { + bus_type : mask_rev_in; + direction : input; + capacitance : 0.0000; + pin("mask_rev_in[31]") { + direction : input; + capacitance : 0.0025; + } + pin("mask_rev_in[30]") { + direction : input; + capacitance : 0.0025; + } + pin("mask_rev_in[29]") { + direction : input; + capacitance : 0.0025; + } + pin("mask_rev_in[28]") { + direction : input; + capacitance : 0.0025; + } + pin("mask_rev_in[27]") { + direction : input; + capacitance : 0.0025; + } + pin("mask_rev_in[26]") { + direction : input; + capacitance : 0.0025; + } + pin("mask_rev_in[25]") { + direction : input; + capacitance : 0.0025; + } + pin("mask_rev_in[24]") { + direction : input; + capacitance : 0.0025; + } + pin("mask_rev_in[23]") { + direction : input; + capacitance : 0.0025; + } + pin("mask_rev_in[22]") { + direction : input; + capacitance : 0.0025; + } + pin("mask_rev_in[21]") { + direction : input; + capacitance : 0.0025; + } + pin("mask_rev_in[20]") { + direction : input; + capacitance : 0.0025; + } + pin("mask_rev_in[19]") { + direction : input; + capacitance : 0.0025; + } + pin("mask_rev_in[18]") { + direction : input; + capacitance : 0.0025; + } + pin("mask_rev_in[17]") { + direction : input; + capacitance : 0.0025; + } + pin("mask_rev_in[16]") { + direction : input; + capacitance : 0.0025; + } + pin("mask_rev_in[15]") { + direction : input; + capacitance : 0.0025; + } + pin("mask_rev_in[14]") { + direction : input; + capacitance : 0.0025; + } + pin("mask_rev_in[13]") { + direction : input; + capacitance : 0.0025; + } + pin("mask_rev_in[12]") { + direction : input; + capacitance : 0.0025; + } + pin("mask_rev_in[11]") { + direction : input; + capacitance : 0.0025; + } + pin("mask_rev_in[10]") { + direction : input; + capacitance : 0.0025; + } + pin("mask_rev_in[9]") { + direction : input; + capacitance : 0.0025; + } + pin("mask_rev_in[8]") { + direction : input; + capacitance : 0.0025; + } + pin("mask_rev_in[7]") { + direction : input; + capacitance : 0.0025; + } + pin("mask_rev_in[6]") { + direction : input; + capacitance : 0.0025; + } + pin("mask_rev_in[5]") { + direction : input; + capacitance : 0.0025; + } + pin("mask_rev_in[4]") { + direction : input; + capacitance : 0.0025; + } + pin("mask_rev_in[3]") { + direction : input; + capacitance : 0.0025; + } + pin("mask_rev_in[2]") { + direction : input; + capacitance : 0.0025; + } + pin("mask_rev_in[1]") { + direction : input; + capacitance : 0.0025; + } + pin("mask_rev_in[0]") { + direction : input; + capacitance : 0.0025; + } + } + bus("mgmt_gpio_in") { + bus_type : mgmt_gpio_in; + direction : input; + capacitance : 0.0000; + pin("mgmt_gpio_in[37]") { + direction : input; + capacitance : 0.0035; + } + pin("mgmt_gpio_in[36]") { + direction : input; + capacitance : 0.0035; + } + pin("mgmt_gpio_in[35]") { + direction : input; + capacitance : 0.0035; + } + pin("mgmt_gpio_in[34]") { + direction : input; + capacitance : 0.0035; + } + pin("mgmt_gpio_in[33]") { + direction : input; + capacitance : 0.0035; + } + pin("mgmt_gpio_in[32]") { + direction : input; + capacitance : 0.0035; + } + pin("mgmt_gpio_in[31]") { + direction : input; + capacitance : 0.0025; + } + pin("mgmt_gpio_in[30]") { + direction : input; + capacitance : 0.0025; + } + pin("mgmt_gpio_in[29]") { + direction : input; + capacitance : 0.0025; + } + pin("mgmt_gpio_in[28]") { + direction : input; + capacitance : 0.0025; + } + pin("mgmt_gpio_in[27]") { + direction : input; + capacitance : 0.0025; + } + pin("mgmt_gpio_in[26]") { + direction : input; + capacitance : 0.0025; + } + pin("mgmt_gpio_in[25]") { + direction : input; + capacitance : 0.0035; + } + pin("mgmt_gpio_in[24]") { + direction : input; + capacitance : 0.0025; + } + pin("mgmt_gpio_in[23]") { + direction : input; + capacitance : 0.0025; + } + pin("mgmt_gpio_in[22]") { + direction : input; + capacitance : 0.0025; + } + pin("mgmt_gpio_in[21]") { + direction : input; + capacitance : 0.0025; + } + pin("mgmt_gpio_in[20]") { + direction : input; + capacitance : 0.0025; + } + pin("mgmt_gpio_in[19]") { + direction : input; + capacitance : 0.0025; + } + pin("mgmt_gpio_in[18]") { + direction : input; + capacitance : 0.0025; + } + pin("mgmt_gpio_in[17]") { + direction : input; + capacitance : 0.0025; + } + pin("mgmt_gpio_in[16]") { + direction : input; + capacitance : 0.0025; + } + pin("mgmt_gpio_in[15]") { + direction : input; + capacitance : 0.0025; + } + pin("mgmt_gpio_in[14]") { + direction : input; + capacitance : 0.0025; + } + pin("mgmt_gpio_in[13]") { + direction : input; + capacitance : 0.0025; + } + pin("mgmt_gpio_in[12]") { + direction : input; + capacitance : 0.0025; + } + pin("mgmt_gpio_in[11]") { + direction : input; + capacitance : 0.0025; + } + pin("mgmt_gpio_in[10]") { + direction : input; + capacitance : 0.0025; + } + pin("mgmt_gpio_in[9]") { + direction : input; + capacitance : 0.0025; + } + pin("mgmt_gpio_in[8]") { + direction : input; + capacitance : 0.0025; + } + pin("mgmt_gpio_in[7]") { + direction : input; + capacitance : 0.0025; + } + pin("mgmt_gpio_in[6]") { + direction : input; + capacitance : 0.0025; + } + pin("mgmt_gpio_in[5]") { + direction : input; + capacitance : 0.0025; + } + pin("mgmt_gpio_in[4]") { + direction : input; + capacitance : 0.0082; + } + pin("mgmt_gpio_in[3]") { + direction : input; + capacitance : 0.0052; + } + pin("mgmt_gpio_in[2]") { + direction : input; + capacitance : 0.0026; + } + pin("mgmt_gpio_in[1]") { + direction : input; + capacitance : 0.0025; + } + pin("mgmt_gpio_in[0]") { + direction : input; + capacitance : 0.0025; + } + } + bus("mgmt_gpio_oeb") { + bus_type : mgmt_gpio_oeb; + direction : output; + capacitance : 0.0000; + pin("mgmt_gpio_oeb[37]") { + direction : output; + capacitance : 0.0000; + timing() { + related_pin : "qspi_enabled"; + timing_type : combinational; + cell_rise(template_55) { + values("1.19449,1.19681,1.20615,1.24049,1.38081,2.02344,5.00071"); + } + rise_transition(template_55) { + values("0.01902,0.02107,0.03017,0.07079,0.26627,1.18217,5.39315"); + } + cell_fall(template_56) { + values("1.35792,1.35992,1.36752,1.39068,1.45941,1.73105,2.97824"); + } + fall_transition(template_56) { + values("0.01884,0.02012,0.02506,0.04328,0.11875,0.48552,2.21328"); + } + } + timing() { + related_pin : "spimemio_flash_io3_oeb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_103) { + values("0.65229,0.65461,0.66395,0.69829,0.83861,1.48124,4.45851"); + } + rise_transition(template_103) { + values("0.01902,0.02107,0.03017,0.07079,0.26627,1.18217,5.39315"); + } + cell_fall(template_104) { + values("0.84520,0.84721,0.85480,0.87796,0.94669,1.21833,2.46552"); + } + fall_transition(template_104) { + values("0.01884,0.02012,0.02506,0.04328,0.11875,0.48552,2.21328"); + } + } + } + pin("mgmt_gpio_oeb[36]") { + direction : output; + capacitance : 0.0000; + timing() { + related_pin : "qspi_enabled"; + timing_type : combinational; + cell_rise(template_53) { + values("1.19449,1.19681,1.20615,1.24049,1.38081,2.02344,5.00071"); + } + rise_transition(template_53) { + values("0.01902,0.02107,0.03017,0.07079,0.26627,1.18217,5.39315"); + } + cell_fall(template_54) { + values("1.35792,1.35992,1.36752,1.39068,1.45941,1.73105,2.97824"); + } + fall_transition(template_54) { + values("0.01884,0.02012,0.02506,0.04328,0.11875,0.48552,2.21328"); + } + } + timing() { + related_pin : "spimemio_flash_io2_oeb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_99) { + values("0.65229,0.65461,0.66395,0.69829,0.83861,1.48124,4.45851"); + } + rise_transition(template_99) { + values("0.01902,0.02107,0.03017,0.07079,0.26627,1.18217,5.39315"); + } + cell_fall(template_100) { + values("0.84520,0.84721,0.85480,0.87796,0.94669,1.21833,2.46552"); + } + fall_transition(template_100) { + values("0.01884,0.02012,0.02506,0.04328,0.11875,0.48552,2.21328"); + } + } + } + pin("mgmt_gpio_oeb[35]") { + direction : output; + capacitance : 0.0000; + timing() { + related_pin : "spi_enabled"; + timing_type : combinational; + cell_rise(template_65) { + values("1.11299,1.11532,1.12480,1.15930,1.29945,1.93987,4.90041"); + } + rise_transition(template_65) { + values("0.01896,0.02099,0.03004,0.07073,0.26590,1.18139,5.38521"); + } + cell_fall(template_66) { + values("1.27711,1.27909,1.28674,1.30997,1.37874,1.65022,2.89764"); + } + fall_transition(template_66) { + values("0.01881,0.02006,0.02507,0.04325,0.11874,0.48512,2.21285"); + } + } + timing() { + related_pin : "spi_sdoenb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_79) { + values("1.05447,1.05679,1.06627,1.10078,1.24093,1.88134,4.84189"); + } + rise_transition(template_79) { + values("0.01896,0.02099,0.03004,0.07073,0.26590,1.18139,5.38521"); + } + cell_fall(template_80) { + values("1.25336,1.25534,1.26298,1.28622,1.35498,1.62647,2.87388"); + } + fall_transition(template_80) { + values("0.01881,0.02006,0.02507,0.04325,0.11874,0.48512,2.21285"); + } + } + } + pin("mgmt_gpio_oeb[34]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_oeb[33]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_oeb[32]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_oeb[31]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_oeb[30]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_oeb[29]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_oeb[28]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_oeb[27]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_oeb[26]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_oeb[25]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_oeb[24]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_oeb[23]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_oeb[22]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_oeb[21]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_oeb[20]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_oeb[19]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_oeb[18]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_oeb[17]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_oeb[16]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_oeb[15]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_oeb[14]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_oeb[13]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_oeb[12]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_oeb[11]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_oeb[10]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_oeb[9]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_oeb[8]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_oeb[7]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_oeb[6]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_oeb[5]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_oeb[4]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_oeb[3]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_oeb[2]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_oeb[1]") { + direction : output; + capacitance : 0.0000; + timing() { + related_pin : "mgmt_gpio_in[3]"; + timing_type : combinational; + cell_rise(template_27) { + values("1.56268,1.56500,1.57435,1.60868,1.74901,2.39163,5.36891"); + } + rise_transition(template_27) { + values("0.01902,0.02107,0.03017,0.07079,0.26627,1.18217,5.39315"); + } + cell_fall(template_28) { + values("1.77352,1.77552,1.78312,1.80628,1.87501,2.14665,3.39384"); + } + fall_transition(template_28) { + values("0.01884,0.02012,0.02506,0.04328,0.11875,0.48552,2.21328"); + } + } + } + pin("mgmt_gpio_oeb[0]") { + direction : output; + capacitance : 0.0000; + timing() { + related_pin : "debug_mode"; + timing_type : combinational; + cell_rise(template_3) { + values("0.77403,0.77635,0.78569,0.82003,0.96035,1.60298,4.58025"); + } + rise_transition(template_3) { + values("0.01902,0.02107,0.03017,0.07079,0.26627,1.18217,5.39315"); + } + cell_fall(template_4) { + values("0.94675,0.94875,0.95635,0.97951,1.04824,1.31988,2.56707"); + } + fall_transition(template_4) { + values("0.01884,0.02012,0.02506,0.04328,0.11875,0.48552,2.21328"); + } + } + timing() { + related_pin : "debug_oeb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_7) { + values("0.66168,0.66400,0.67334,0.70768,0.84800,1.49063,4.46791"); + } + rise_transition(template_7) { + values("0.01902,0.02107,0.03017,0.07079,0.26627,1.18217,5.39315"); + } + cell_fall(template_8) { + values("0.85012,0.85212,0.85972,0.88288,0.95161,1.22325,2.47044"); + } + fall_transition(template_8) { + values("0.01884,0.02012,0.02506,0.04328,0.11875,0.48552,2.21328"); + } + } + } + } + bus("mgmt_gpio_out") { + bus_type : mgmt_gpio_out; + direction : output; + capacitance : 0.0000; + pin("mgmt_gpio_out[37]") { + direction : output; + capacitance : 0.0000; + timing() { + related_pin : "qspi_enabled"; + timing_type : combinational; + cell_rise(template_59) { + values("1.14780,1.15012,1.15946,1.19380,1.33412,1.97675,4.95402"); + } + rise_transition(template_59) { + values("0.01902,0.02107,0.03017,0.07079,0.26627,1.18217,5.39315"); + } + cell_fall(template_60) { + values("1.30418,1.30619,1.31378,1.33694,1.40567,1.67731,2.92450"); + } + fall_transition(template_60) { + values("0.01884,0.02012,0.02506,0.04328,0.11875,0.48552,2.21328"); + } + } + timing() { + related_pin : "spimemio_flash_io3_do"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_101) { + values("0.65229,0.65461,0.66395,0.69829,0.83861,1.48124,4.45851"); + } + rise_transition(template_101) { + values("0.01902,0.02107,0.03017,0.07079,0.26627,1.18217,5.39315"); + } + cell_fall(template_102) { + values("0.84516,0.84717,0.85476,0.87792,0.94665,1.21829,2.46549"); + } + fall_transition(template_102) { + values("0.01884,0.02012,0.02506,0.04328,0.11875,0.48552,2.21328"); + } + } + } + pin("mgmt_gpio_out[36]") { + direction : output; + capacitance : 0.0000; + timing() { + related_pin : "qspi_enabled"; + timing_type : combinational; + cell_rise(template_57) { + values("1.19449,1.19681,1.20615,1.24049,1.38081,2.02344,5.00071"); + } + rise_transition(template_57) { + values("0.01902,0.02107,0.03017,0.07079,0.26627,1.18217,5.39315"); + } + cell_fall(template_58) { + values("1.35792,1.35992,1.36752,1.39068,1.45941,1.73105,2.97824"); + } + fall_transition(template_58) { + values("0.01884,0.02012,0.02506,0.04328,0.11875,0.48552,2.21328"); + } + } + timing() { + related_pin : "spimemio_flash_io2_do"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_97) { + values("0.65229,0.65461,0.66395,0.69829,0.83861,1.48124,4.45851"); + } + rise_transition(template_97) { + values("0.01902,0.02107,0.03017,0.07079,0.26627,1.18217,5.39315"); + } + cell_fall(template_98) { + values("0.84520,0.84721,0.85480,0.87796,0.94669,1.21833,2.46552"); + } + fall_transition(template_98) { + values("0.01884,0.02012,0.02506,0.04328,0.11875,0.48552,2.21328"); + } + } + } + pin("mgmt_gpio_out[35]") { + direction : output; + capacitance : 0.0000; + timing() { + related_pin : "spi_enabled"; + timing_type : combinational; + cell_rise(template_71) { + values("1.19430,1.19663,1.20611,1.24061,1.38076,2.02118,4.98173"); + } + rise_transition(template_71) { + values("0.01896,0.02099,0.03004,0.07073,0.26590,1.18139,5.38521"); + } + cell_fall(template_72) { + values("1.35717,1.35915,1.36680,1.39004,1.45880,1.73028,2.97770"); + } + fall_transition(template_72) { + values("0.01881,0.02006,0.02507,0.04325,0.11874,0.48512,2.21285"); + } + } + timing() { + related_pin : "spi_sdo"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_77) { + values("1.13578,1.13811,1.14759,1.18209,1.32224,1.96266,4.92320"); + } + rise_transition(template_77) { + values("0.01896,0.02099,0.03004,0.07073,0.26590,1.18139,5.38521"); + } + cell_fall(template_78) { + values("1.33342,1.33540,1.34304,1.36628,1.43505,1.70653,2.95395"); + } + fall_transition(template_78) { + values("0.01881,0.02006,0.02507,0.04325,0.11874,0.48512,2.21285"); + } + } + } + pin("mgmt_gpio_out[34]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_out[33]") { + direction : output; + capacitance : 0.0000; + timing() { + related_pin : "spi_csb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_63) { + values("1.06387,1.06620,1.07568,1.11018,1.25033,1.89075,4.85130"); + } + rise_transition(template_63) { + values("0.01896,0.02099,0.03004,0.07073,0.26590,1.18139,5.38521"); + } + cell_fall(template_64) { + values("1.25816,1.26014,1.26779,1.29103,1.35979,1.63127,2.87869"); + } + fall_transition(template_64) { + values("0.01881,0.02006,0.02507,0.04325,0.11874,0.48512,2.21285"); + } + } + timing() { + related_pin : "spi_enabled"; + timing_type : combinational; + cell_rise(template_69) { + values("1.12240,1.12472,1.13420,1.16871,1.30886,1.94927,4.90982"); + } + rise_transition(template_69) { + values("0.01896,0.02099,0.03004,0.07073,0.26590,1.18139,5.38521"); + } + cell_fall(template_70) { + values("1.28192,1.28390,1.29154,1.31478,1.38354,1.65503,2.90244"); + } + fall_transition(template_70) { + values("0.01881,0.02006,0.02507,0.04325,0.11874,0.48512,2.21285"); + } + } + } + pin("mgmt_gpio_out[32]") { + direction : output; + capacitance : 0.0000; + timing() { + related_pin : "spi_enabled"; + timing_type : combinational; + cell_rise(template_67) { + values("1.11739,1.11971,1.12905,1.16339,1.30371,1.94634,4.92361"); + } + rise_transition(template_67) { + values("0.01902,0.02107,0.03017,0.07079,0.26627,1.18217,5.39315"); + } + cell_fall(template_68) { + values("1.26931,1.27132,1.27891,1.30207,1.37081,1.64244,2.88964"); + } + fall_transition(template_68) { + values("0.01884,0.02012,0.02506,0.04328,0.11875,0.48552,2.21328"); + } + } + timing() { + related_pin : "spi_sck"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_75) { + values("1.05886,1.06118,1.07053,1.10486,1.24519,1.88782,4.86509"); + } + rise_transition(template_75) { + values("0.01902,0.02107,0.03017,0.07079,0.26627,1.18217,5.39315"); + } + cell_fall(template_76) { + values("1.24556,1.24756,1.25516,1.27832,1.34705,1.61869,2.86588"); + } + fall_transition(template_76) { + values("0.01884,0.02012,0.02506,0.04328,0.11875,0.48552,2.21328"); + } + } + } + pin("mgmt_gpio_out[31]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_out[30]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_out[29]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_out[28]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_out[27]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_out[26]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_out[25]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_out[24]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_out[23]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_out[22]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_out[21]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_out[20]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_out[19]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_out[18]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_out[17]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_out[16]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_out[15]") { + direction : output; + capacitance : 0.0000; + timing() { + related_pin : "user_clock"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_111) { + values("0.17734,0.18402,0.19979,0.23868,0.33572,0.58171,1.20301"); + } + rise_transition(template_111) { + values("0.02012,0.02850,0.05004,0.10543,0.24664,0.60446,1.50554"); + } + cell_fall(template_112) { + values("0.36791,0.37299,0.38367,0.40619,0.45816,0.58779,0.91526"); + } + fall_transition(template_112) { + values("0.01497,0.01940,0.02970,0.05523,0.12156,0.29614,0.72660"); + } + } + } + pin("mgmt_gpio_out[14]") { + direction : output; + capacitance : 0.0000; + timing() { + related_pin : "wb_clk_i"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_113) { + values("0.77910,0.78580,0.80160,0.84045,0.93741,1.18314,1.80469"); + } + rise_transition(template_113) { + values("0.02010,0.02848,0.05005,0.10545,0.24665,0.60370,1.50408"); + } + cell_fall(template_114) { + values("1.02012,1.02518,1.03585,1.05835,1.11032,1.23995,1.56734"); + } + fall_transition(template_114) { + values("0.01489,0.01933,0.02965,0.05522,0.12157,0.29638,0.72631"); + } + } + } + pin("mgmt_gpio_out[13]") { + direction : output; + capacitance : 0.0000; + timing() { + related_pin : "trap"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_105) { + values("0.91537,0.91769,0.92703,0.96137,1.10169,1.74432,4.72160"); + } + rise_transition(template_105) { + values("0.01902,0.02107,0.03017,0.07079,0.26627,1.18217,5.39315"); + } + cell_fall(template_106) { + values("1.10501,1.10702,1.11462,1.13778,1.20651,1.47814,2.72534"); + } + fall_transition(template_106) { + values("0.01884,0.02012,0.02506,0.04328,0.11875,0.48552,2.21328"); + } + } + } + pin("mgmt_gpio_out[12]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_out[11]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_out[10]") { + direction : output; + capacitance : 0.0000; + timing() { + related_pin : "mgmt_gpio_in[2]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_17) { + values("0.62577,0.62813,0.63759,0.67209,0.81253,1.45487,4.42807"); + } + rise_transition(template_17) { + values("0.01978,0.02181,0.03083,0.07121,0.26618,1.18237,5.39423"); + } + cell_fall(template_18) { + values("0.82288,0.82490,0.83246,0.85556,0.92429,1.19600,2.44310"); + } + fall_transition(template_18) { + values("0.01901,0.02030,0.02517,0.04340,0.11877,0.48589,2.21313"); + } + } + } + pin("mgmt_gpio_out[9]") { + direction : output; + capacitance : 0.0000; + timing() { + related_pin : "mgmt_gpio_in[4]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_35) { + values("0.54279,0.54948,0.56529,0.60414,0.70110,0.94683,1.56838"); + } + rise_transition(template_35) { + values("0.02010,0.02848,0.05005,0.10545,0.24665,0.60370,1.50407"); + } + cell_fall(template_36) { + values("0.76252,0.76759,0.77825,0.80076,0.85273,0.98236,1.30975"); + } + fall_transition(template_36) { + values("0.01489,0.01933,0.02965,0.05522,0.12157,0.29638,0.72631"); + } + } + } + pin("mgmt_gpio_out[8]") { + direction : output; + capacitance : 0.0000; + timing() { + related_pin : "mgmt_gpio_in[3]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_31) { + values("0.42588,0.42825,0.43771,0.47221,0.61265,1.25498,4.22819"); + } + rise_transition(template_31) { + values("0.01978,0.02181,0.03083,0.07121,0.26618,1.18237,5.39423"); + } + cell_fall(template_32) { + values("0.64921,0.65124,0.65879,0.68189,0.75063,1.02233,2.26944"); + } + fall_transition(template_32) { + values("0.01901,0.02030,0.02517,0.04340,0.11877,0.48589,2.21313"); + } + } + } + pin("mgmt_gpio_out[7]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_out[6]") { + direction : output; + capacitance : 0.0000; + timing() { + related_pin : "ser_tx"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_61) { + values("0.73359,0.73591,0.74525,0.77959,0.91991,1.56254,4.53981"); + } + rise_transition(template_61) { + values("0.01902,0.02107,0.03017,0.07079,0.26627,1.18217,5.39315"); + } + cell_fall(template_62) { + values("0.92529,0.92730,0.93489,0.95805,1.02678,1.29842,2.54561"); + } + fall_transition(template_62) { + values("0.01884,0.02012,0.02506,0.04328,0.11875,0.48552,2.21328"); + } + } + timing() { + related_pin : "uart_enabled"; + timing_type : combinational; + cell_rise(template_107) { + values("0.82246,0.82478,0.83412,0.86846,1.00878,1.65141,4.62868"); + } + rise_transition(template_107) { + values("0.01902,0.02107,0.03017,0.07079,0.26627,1.18217,5.39315"); + } + cell_fall(template_108) { + values("0.98143,0.98344,0.99104,1.01420,1.08293,1.35456,2.60176"); + } + fall_transition(template_108) { + values("0.01884,0.02012,0.02506,0.04328,0.11875,0.48552,2.21328"); + } + } + } + pin("mgmt_gpio_out[5]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_out[4]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_out[3]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_out[2]") { + direction : output; + capacitance : 0.0000; + } + pin("mgmt_gpio_out[1]") { + direction : output; + capacitance : 0.0000; + timing() { + related_pin : "mgmt_gpio_in[11]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_13) { + values("1.34919,1.35151,1.36085,1.39519,1.53551,2.17814,5.15541"); + } + rise_transition(template_13) { + values("0.01902,0.02107,0.03017,0.07079,0.26627,1.18217,5.39315"); + } + cell_fall(template_14) { + values("1.69753,1.69954,1.70713,1.73029,1.79902,2.07066,3.31785"); + } + fall_transition(template_14) { + values("0.01884,0.02012,0.02506,0.04328,0.11875,0.48552,2.21328"); + } + } + timing() { + related_pin : "mgmt_gpio_in[3]"; + timing_type : combinational; + cell_rise(template_29) { + values("2.54814,2.55046,2.55980,2.59414,2.73446,3.37709,6.35436"); + } + rise_transition(template_29) { + values("0.01902,0.02107,0.03017,0.07079,0.26627,1.18217,5.39315"); + } + cell_fall(template_30) { + values("3.08297,3.08497,3.09257,3.11573,3.18446,3.45610,4.70329"); + } + fall_transition(template_30) { + values("0.01884,0.02012,0.02506,0.04328,0.11875,0.48552,2.21328"); + } + } + timing() { + related_pin : "pad_flash_io1_di"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_45) { + values("0.75258,0.75490,0.76424,0.79858,0.93890,1.58153,4.55880"); + } + rise_transition(template_45) { + values("0.01902,0.02107,0.03017,0.07079,0.26627,1.18217,5.39315"); + } + cell_fall(template_46) { + values("0.93411,0.93612,0.94371,0.96688,1.03561,1.30724,2.55444"); + } + fall_transition(template_46) { + values("0.01884,0.02012,0.02506,0.04328,0.11875,0.48552,2.21328"); + } + } + } + pin("mgmt_gpio_out[0]") { + direction : output; + capacitance : 0.0000; + timing() { + related_pin : "debug_mode"; + timing_type : combinational; + cell_rise(template_5) { + values("0.85534,0.85766,0.86701,0.90134,1.04167,1.68430,4.66157"); + } + rise_transition(template_5) { + values("0.01902,0.02107,0.03017,0.07079,0.26627,1.18217,5.39315"); + } + cell_fall(template_6) { + values("1.02680,1.02881,1.03640,1.05956,1.12830,1.39993,2.64713"); + } + fall_transition(template_6) { + values("0.01884,0.02012,0.02506,0.04328,0.11875,0.48552,2.21328"); + } + } + timing() { + related_pin : "debug_out"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_9) { + values("0.74300,0.74532,0.75466,0.78900,0.92932,1.57195,4.54922"); + } + rise_transition(template_9) { + values("0.01902,0.02107,0.03017,0.07079,0.26627,1.18217,5.39315"); + } + cell_fall(template_10) { + values("0.93017,0.93218,0.93978,0.96294,1.03167,1.30330,2.55050"); + } + fall_transition(template_10) { + values("0.01884,0.02012,0.02506,0.04328,0.11875,0.48552,2.21328"); + } + } + } + } + bus("pll90_sel") { + bus_type : pll90_sel; + direction : output; + capacitance : 0.0000; + pin("pll90_sel[2]") { + direction : output; + capacitance : 0.0000; + } + pin("pll90_sel[1]") { + direction : output; + capacitance : 0.0000; + } + pin("pll90_sel[0]") { + direction : output; + capacitance : 0.0000; + } + } + bus("pll_div") { + bus_type : pll_div; + direction : output; + capacitance : 0.0000; + pin("pll_div[4]") { + direction : output; + capacitance : 0.0000; + } + pin("pll_div[3]") { + direction : output; + capacitance : 0.0000; + } + pin("pll_div[2]") { + direction : output; + capacitance : 0.0000; + } + pin("pll_div[1]") { + direction : output; + capacitance : 0.0000; + } + pin("pll_div[0]") { + direction : output; + capacitance : 0.0000; + } + } + bus("pll_sel") { + bus_type : pll_sel; + direction : output; + capacitance : 0.0000; + pin("pll_sel[2]") { + direction : output; + capacitance : 0.0000; + } + pin("pll_sel[1]") { + direction : output; + capacitance : 0.0000; + } + pin("pll_sel[0]") { + direction : output; + capacitance : 0.0000; + } + } + bus("pll_trim") { + bus_type : pll_trim; + direction : output; + capacitance : 0.0000; + pin("pll_trim[25]") { + direction : output; + capacitance : 0.0000; + } + pin("pll_trim[24]") { + direction : output; + capacitance : 0.0000; + } + pin("pll_trim[23]") { + direction : output; + capacitance : 0.0000; + } + pin("pll_trim[22]") { + direction : output; + capacitance : 0.0000; + } + pin("pll_trim[21]") { + direction : output; + capacitance : 0.0000; + } + pin("pll_trim[20]") { + direction : output; + capacitance : 0.0000; + } + pin("pll_trim[19]") { + direction : output; + capacitance : 0.0000; + } + pin("pll_trim[18]") { + direction : output; + capacitance : 0.0000; + } + pin("pll_trim[17]") { + direction : output; + capacitance : 0.0000; + } + pin("pll_trim[16]") { + direction : output; + capacitance : 0.0000; + } + pin("pll_trim[15]") { + direction : output; + capacitance : 0.0000; + } + pin("pll_trim[14]") { + direction : output; + capacitance : 0.0000; + } + pin("pll_trim[13]") { + direction : output; + capacitance : 0.0000; + } + pin("pll_trim[12]") { + direction : output; + capacitance : 0.0000; + } + pin("pll_trim[11]") { + direction : output; + capacitance : 0.0000; + } + pin("pll_trim[10]") { + direction : output; + capacitance : 0.0000; + } + pin("pll_trim[9]") { + direction : output; + capacitance : 0.0000; + } + pin("pll_trim[8]") { + direction : output; + capacitance : 0.0000; + } + pin("pll_trim[7]") { + direction : output; + capacitance : 0.0000; + } + pin("pll_trim[6]") { + direction : output; + capacitance : 0.0000; + } + pin("pll_trim[5]") { + direction : output; + capacitance : 0.0000; + } + pin("pll_trim[4]") { + direction : output; + capacitance : 0.0000; + } + pin("pll_trim[3]") { + direction : output; + capacitance : 0.0000; + } + pin("pll_trim[2]") { + direction : output; + capacitance : 0.0000; + } + pin("pll_trim[1]") { + direction : output; + capacitance : 0.0000; + } + pin("pll_trim[0]") { + direction : output; + capacitance : 0.0000; + } + } + bus("pwr_ctrl_out") { + bus_type : pwr_ctrl_out; + direction : output; + capacitance : 0.0000; + pin("pwr_ctrl_out[3]") { + direction : output; + capacitance : 0.0000; + } + pin("pwr_ctrl_out[2]") { + direction : output; + capacitance : 0.0000; + } + pin("pwr_ctrl_out[1]") { + direction : output; + capacitance : 0.0000; + } + pin("pwr_ctrl_out[0]") { + direction : output; + capacitance : 0.0000; + } + } + bus("wb_adr_i") { + bus_type : wb_adr_i; + direction : input; + capacitance : 0.0000; + pin("wb_adr_i[31]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_adr_i[30]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_adr_i[29]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_adr_i[28]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_adr_i[27]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_adr_i[26]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_adr_i[25]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_adr_i[24]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_adr_i[23]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_adr_i[22]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_adr_i[21]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_adr_i[20]") { + direction : input; + capacitance : 0.0026; + } + pin("wb_adr_i[19]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_adr_i[18]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_adr_i[17]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_adr_i[16]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_adr_i[15]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_adr_i[14]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_adr_i[13]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_adr_i[12]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_adr_i[11]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_adr_i[10]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_adr_i[9]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_adr_i[8]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_adr_i[7]") { + direction : input; + capacitance : 0.0052; + } + pin("wb_adr_i[6]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_adr_i[5]") { + direction : input; + capacitance : 0.0052; + } + pin("wb_adr_i[4]") { + direction : input; + capacitance : 0.0052; + } + pin("wb_adr_i[3]") { + direction : input; + capacitance : 0.0052; + } + pin("wb_adr_i[2]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_adr_i[1]") { + direction : input; + capacitance : 0.0026; + } + pin("wb_adr_i[0]") { + direction : input; + capacitance : 0.0025; + } + } + bus("wb_dat_i") { + bus_type : wb_dat_i; + direction : input; + capacitance : 0.0000; + pin("wb_dat_i[31]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_dat_i[30]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_dat_i[29]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_dat_i[28]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_dat_i[27]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_dat_i[26]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_dat_i[25]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_dat_i[24]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_dat_i[23]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_dat_i[22]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_dat_i[21]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_dat_i[20]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_dat_i[19]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_dat_i[18]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_dat_i[17]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_dat_i[16]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_dat_i[15]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_dat_i[14]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_dat_i[13]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_dat_i[12]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_dat_i[11]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_dat_i[10]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_dat_i[9]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_dat_i[8]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_dat_i[7]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_dat_i[6]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_dat_i[5]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_dat_i[4]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_dat_i[3]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_dat_i[2]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_dat_i[1]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_dat_i[0]") { + direction : input; + capacitance : 0.0025; + } + } + bus("wb_dat_o") { + bus_type : wb_dat_o; + direction : output; + capacitance : 0.0000; + pin("wb_dat_o[31]") { + direction : output; + capacitance : 0.0000; + } + pin("wb_dat_o[30]") { + direction : output; + capacitance : 0.0000; + } + pin("wb_dat_o[29]") { + direction : output; + capacitance : 0.0000; + } + pin("wb_dat_o[28]") { + direction : output; + capacitance : 0.0000; + } + pin("wb_dat_o[27]") { + direction : output; + capacitance : 0.0000; + } + pin("wb_dat_o[26]") { + direction : output; + capacitance : 0.0000; + } + pin("wb_dat_o[25]") { + direction : output; + capacitance : 0.0000; + } + pin("wb_dat_o[24]") { + direction : output; + capacitance : 0.0000; + } + pin("wb_dat_o[23]") { + direction : output; + capacitance : 0.0000; + } + pin("wb_dat_o[22]") { + direction : output; + capacitance : 0.0000; + } + pin("wb_dat_o[21]") { + direction : output; + capacitance : 0.0000; + } + pin("wb_dat_o[20]") { + direction : output; + capacitance : 0.0000; + } + pin("wb_dat_o[19]") { + direction : output; + capacitance : 0.0000; + } + pin("wb_dat_o[18]") { + direction : output; + capacitance : 0.0000; + } + pin("wb_dat_o[17]") { + direction : output; + capacitance : 0.0000; + } + pin("wb_dat_o[16]") { + direction : output; + capacitance : 0.0000; + } + pin("wb_dat_o[15]") { + direction : output; + capacitance : 0.0000; + } + pin("wb_dat_o[14]") { + direction : output; + capacitance : 0.0000; + } + pin("wb_dat_o[13]") { + direction : output; + capacitance : 0.0000; + } + pin("wb_dat_o[12]") { + direction : output; + capacitance : 0.0000; + } + pin("wb_dat_o[11]") { + direction : output; + capacitance : 0.0000; + } + pin("wb_dat_o[10]") { + direction : output; + capacitance : 0.0000; + } + pin("wb_dat_o[9]") { + direction : output; + capacitance : 0.0000; + } + pin("wb_dat_o[8]") { + direction : output; + capacitance : 0.0000; + } + pin("wb_dat_o[7]") { + direction : output; + capacitance : 0.0000; + } + pin("wb_dat_o[6]") { + direction : output; + capacitance : 0.0000; + } + pin("wb_dat_o[5]") { + direction : output; + capacitance : 0.0000; + } + pin("wb_dat_o[4]") { + direction : output; + capacitance : 0.0000; + } + pin("wb_dat_o[3]") { + direction : output; + capacitance : 0.0000; + } + pin("wb_dat_o[2]") { + direction : output; + capacitance : 0.0000; + } + pin("wb_dat_o[1]") { + direction : output; + capacitance : 0.0000; + } + pin("wb_dat_o[0]") { + direction : output; + capacitance : 0.0000; + } + } + bus("wb_sel_i") { + bus_type : wb_sel_i; + direction : input; + capacitance : 0.0000; + pin("wb_sel_i[3]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_sel_i[2]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_sel_i[1]") { + direction : input; + capacitance : 0.0025; + } + pin("wb_sel_i[0]") { + direction : input; + capacitance : 0.0025; + } + } + } + +} diff --git a/signoff/mgmt_protect/openlane-signoff/lib/mgmt_protect.lib b/signoff/mgmt_protect/openlane-signoff/lib/mgmt_protect.lib new file mode 100644 index 00000000..d15f6187 --- /dev/null +++ b/signoff/mgmt_protect/openlane-signoff/lib/mgmt_protect.lib @@ -0,0 +1,24434 @@ +library (mgmt_protect) { + comment : ""; + delay_model : table_lookup; + simulation : false; + capacitive_load_unit (1,pF); + leakage_power_unit : 1pW; + current_unit : "1A"; + pulling_resistance_unit : "1kohm"; + time_unit : "1ns"; + voltage_unit : "1v"; + library_features(report_delay_calculation); + + input_threshold_pct_rise : 50; + input_threshold_pct_fall : 50; + output_threshold_pct_rise : 50; + output_threshold_pct_fall : 50; + slew_lower_threshold_pct_rise : 20; + slew_lower_threshold_pct_fall : 20; + slew_upper_threshold_pct_rise : 80; + slew_upper_threshold_pct_fall : 80; + slew_derate_from_library : 1.0; + + + nom_process : 1.0; + nom_temperature : 25.0; + nom_voltage : 1.80; + + lu_table_template(template_1) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_10) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_100) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1000) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1001) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1002) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1003) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1004) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1005) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1006) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1007) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1008) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1009) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_101) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1010) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1011) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1012) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1013) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1014) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1015) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1016) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1017) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1018) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1019) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_102) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1020) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1021) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1022) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1023) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1024) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1025) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1026) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1027) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1028) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1029) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_103) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1030) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1031) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1032) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1033) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1034) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1035) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1036) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1037) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1038) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1039) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_104) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1040) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1041) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1042) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1043) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1044) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1045) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1046) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1047) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1048) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1049) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_105) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1050) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1051) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1052) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1053) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1054) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1055) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1056) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1057) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1058) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1059) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_106) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1060) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1061) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1062) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1063) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1064) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1065) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1066) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1067) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1068) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1069) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_107) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1070) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1071) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1072) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1073) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1074) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1075) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1076) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1077) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1078) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1079) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_108) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1080) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1081) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1082) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1083) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1084) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1085) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1086) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1087) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1088) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1089) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_109) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1090) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1091) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1092) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1093) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1094) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1095) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1096) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1097) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1098) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1099) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_11) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_110) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1100) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1101) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1102) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1103) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1104) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1105) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1106) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1107) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1108) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1109) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_111) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1110) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1111) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1112) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1113) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1114) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1115) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1116) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1117) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1118) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1119) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_112) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1120) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1121) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1122) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1123) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1124) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1125) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1126) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1127) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1128) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1129) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_113) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1130) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1131) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1132) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1133) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1134) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1135) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1136) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1137) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1138) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1139) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_114) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1140) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1141) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1142) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1143) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1144) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1145) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1146) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1147) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1148) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1149) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_115) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1150) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1151) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1152) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1153) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1154) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1155) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1156) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1157) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1158) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1159) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_116) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1160) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1161) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1162) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1163) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1164) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1165) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1166) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1167) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1168) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1169) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_117) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1170) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1171) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1172) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1173) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1174) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1175) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1176) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1177) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1178) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1179) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_118) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1180) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1181) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1182) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1183) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1184) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1185) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1186) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1187) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1188) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1189) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_119) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1190) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1191) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1192) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1193) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1194) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1195) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1196) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1197) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1198) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1199) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_12) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_120) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1200) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1201) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1202) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1203) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1204) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1205) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1206) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1207) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1208) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1209) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_121) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1210) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1211) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1212) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1213) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1214) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1215) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1216) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1217) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1218) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1219) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_122) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1220) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1221) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1222) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1223) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1224) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1225) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1226) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1227) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1228) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1229) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_123) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1230) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1231) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1232) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1233) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1234) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1235) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1236) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1237) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1238) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1239) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_124) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1240) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1241) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1242) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1243) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1244) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1245) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1246) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1247) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1248) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1249) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_125) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1250) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1251) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1252) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1253) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1254) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1255) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1256) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1257) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1258) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1259) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_126) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1260) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1261) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1262) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1263) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1264) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1265) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1266) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1267) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1268) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1269) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_127) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1270) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1271) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1272) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1273) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1274) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1275) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1276) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1277) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1278) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1279) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_128) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1280) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1281) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1282) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1283) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1284) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1285) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1286) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1287) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1288) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1289) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_129) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1290) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1291) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1292) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1293) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1294) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1295) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1296) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1297) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1298) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1299) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_13) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_130) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1300) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1301) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1302) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1303) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1304) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1305) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1306) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1307) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1308) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1309) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_131) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1310) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1311) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1312) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1313) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1314) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1315) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1316) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1317) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1318) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1319) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_132) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1320) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1321) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1322) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1323) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1324) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1325) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1326) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1327) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1328) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1329) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_133) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1330) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1331) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1332) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1333) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1334) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1335) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1336) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1337) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1338) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1339) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_134) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1340) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1341) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1342) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1343) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1344) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1345) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1346) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1347) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1348) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1349) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_135) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1350) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1351) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1352) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1353) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1354) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1355) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1356) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1357) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1358) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1359) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_136) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1360) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1361) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1362) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1363) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1364) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1365) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1366) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1367) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1368) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1369) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_137) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1370) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1371) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1372) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1373) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1374) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1375) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1376) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1377) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1378) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1379) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_138) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1380) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1381) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1382) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1383) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1384) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1385) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1386) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1387) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1388) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1389) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_139) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1390) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1391) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1392) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1393) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1394) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1395) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1396) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1397) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1398) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1399) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_14) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_140) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1400) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1401) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1402) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1403) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1404) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1405) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1406) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1407) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1408) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1409) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_141) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1410) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1411) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1412) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1413) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1414) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1415) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1416) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1417) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1418) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1419) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_142) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1420) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1421) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1422) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1423) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1424) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1425) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1426) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1427) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1428) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1429) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_143) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1430) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1431) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1432) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1433) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1434) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1435) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1436) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1437) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1438) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1439) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_144) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1440) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1441) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1442) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1443) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1444) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1445) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1446) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1447) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1448) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1449) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_145) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1450) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1451) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1452) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1453) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1454) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1455) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1456) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1457) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1458) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1459) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_146) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1460) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1461) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1462) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1463) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1464) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1465) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1466) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1467) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1468) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1469) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_147) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1470) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1471) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1472) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1473) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1474) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1475) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1476) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1477) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1478) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1479) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_148) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1480) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1481) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1482) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1483) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1484) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1485) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1486) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1487) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1488) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1489) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_149) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1490) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1491) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1492) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1493) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1494) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1495) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1496) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1497) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1498) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1499) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_15) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_150) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1500) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1501) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1502) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1503) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1504) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1505) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1506) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1507) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1508) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1509) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_151) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1510) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1511) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1512) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1513) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1514) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1515) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1516) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1517) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1518) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1519) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_152) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1520) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1521) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1522) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1523) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1524) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1525) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1526) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1527) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1528) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1529) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_153) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1530) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1531) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1532) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1533) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1534) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1535) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1536) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1537) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1538) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1539) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_154) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1540) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1541) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1542) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1543) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1544) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1545) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1546) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1547) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1548) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1549) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_155) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1550) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1551) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1552) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1553) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1554) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1555) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1556) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1557) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1558) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1559) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_156) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1560) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1561) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1562) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1563) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1564) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1565) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1566) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1567) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1568) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1569) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_157) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1570) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1571) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_1572) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_158) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_159) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_16) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_160) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_161) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_162) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_163) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_164) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_165) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_166) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_167) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_168) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_169) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_17) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_170) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_171) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_172) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_173) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_174) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_175) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_176) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_177) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_178) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_179) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_18) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_180) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_181) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_182) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_183) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_184) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_185) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_186) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_187) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_188) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_189) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_19) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_190) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_191) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_192) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_193) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_194) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_195) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_196) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_197) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_198) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_199) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_2) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_20) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_200) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_201) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_202) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_203) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_204) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_205) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_206) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_207) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_208) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_209) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_21) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_210) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_211) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_212) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_213) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_214) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_215) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_216) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_217) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_218) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_219) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_22) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_220) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_221) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_222) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_223) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_224) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_225) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_226) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_227) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_228) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_229) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_23) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_230) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_231) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_232) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_233) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_234) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_235) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_236) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_237) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_238) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_239) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_24) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_240) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_241) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_242) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_243) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_244) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_245) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_246) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_247) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_248) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_249) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_25) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_250) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_251) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_252) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_253) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_254) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_255) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_256) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_257) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_258) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_259) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_26) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_260) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_261) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_262) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_263) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_264) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_265) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_266) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_267) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_268) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_269) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_27) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_270) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_271) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_272) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_273) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_274) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_275) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_276) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_277) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_278) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_279) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_28) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_280) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_281) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_282) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_283) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_284) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_285) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_286) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_287) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_288) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_289) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_29) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_290) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_291) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_292) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_293) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_294) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_295) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_296) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_297) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_298) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_299) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_3) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_30) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_300) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_301) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_302) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_303) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_304) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_305) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_306) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_307) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_308) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_309) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_31) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_310) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_311) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_312) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_313) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_314) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_315) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_316) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_317) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_318) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_319) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_32) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_320) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_321) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_322) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_323) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_324) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_325) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_326) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_327) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_328) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_329) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_33) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_330) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_331) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_332) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_333) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_334) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_335) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_336) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_337) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_338) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_339) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_34) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_340) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_341) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_342) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_343) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_344) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_345) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_346) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_347) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_348) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_349) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_35) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_350) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_351) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_352) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_353) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_354) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_355) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_356) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_357) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_358) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_359) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_36) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_360) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_361) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_362) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_363) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_364) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_365) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_366) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_367) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_368) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_369) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_37) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_370) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_371) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_372) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_373) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_374) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_375) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_376) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_377) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_378) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_379) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_38) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_380) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_381) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_382) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_383) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_384) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_385) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_386) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_387) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_388) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_389) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_39) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_390) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_391) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_392) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_393) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_394) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_395) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_396) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_397) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_398) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_399) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_4) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_40) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_400) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_401) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_402) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_403) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_404) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_405) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_406) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_407) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_408) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_409) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_41) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_410) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_411) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_412) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_413) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_414) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_415) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_416) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_417) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_418) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_419) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_42) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_420) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_421) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_422) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_423) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_424) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_425) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_426) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_427) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_428) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_429) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_43) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_430) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_431) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_432) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_433) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_434) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_435) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_436) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_437) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_438) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_439) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_44) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_440) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_441) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_442) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_443) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_444) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_445) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_446) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_447) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_448) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_449) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_45) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_450) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_451) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_452) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_453) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_454) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_455) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_456) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_457) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_458) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_459) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_46) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_460) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_461) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_462) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_463) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_464) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_465) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_466) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_467) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_468) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_469) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_47) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_470) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_471) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_472) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_473) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_474) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_475) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_476) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_477) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_478) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_479) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_48) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_480) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_481) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_482) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_483) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_484) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_485) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_486) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_487) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_488) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_489) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_49) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_490) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_491) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_492) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_493) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_494) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_495) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_496) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_497) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_498) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_499) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_5) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_50) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_500) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_501) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_502) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_503) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_504) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_505) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_506) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_507) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_508) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_509) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_51) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_510) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_511) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_512) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_513) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_514) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_515) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_516) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_517) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_518) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_519) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_52) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_520) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_521) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_522) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_523) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_524) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_525) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_526) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_527) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_528) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_529) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_53) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_530) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_531) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_532) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_533) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_534) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_535) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_536) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_537) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_538) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_539) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_54) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_540) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_541) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_542) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_543) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_544) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_545) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_546) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_547) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_548) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_549) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_55) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_550) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_551) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_552) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_553) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_554) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_555) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_556) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_557) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_558) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_559) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_56) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_560) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_561) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_562) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_563) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_564) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_565) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_566) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_567) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_568) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_569) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_57) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_570) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_571) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_572) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_573) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_574) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_575) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_576) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_577) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_578) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_579) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_58) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_580) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_581) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_582) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_583) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_584) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_585) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_586) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_587) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_588) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_589) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_59) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_590) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_591) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_592) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_593) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_594) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_595) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_596) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_597) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_598) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_599) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_6) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_60) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_600) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_601) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_602) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_603) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_604) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_605) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_606) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_607) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_608) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_609) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_61) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_610) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_611) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_612) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_613) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_614) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_615) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_616) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_617) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_618) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_619) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_62) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_620) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_621) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_622) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_623) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_624) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_625) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_626) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_627) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_628) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_629) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_63) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_630) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_631) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_632) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_633) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_634) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_635) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_636) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_637) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_638) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_639) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_64) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_640) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_641) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_642) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_643) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_644) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_645) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_646) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_647) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_648) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_649) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_65) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_650) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_651) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_652) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_653) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_654) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_655) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_656) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_657) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_658) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_659) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_66) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_660) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_661) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_662) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_663) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_664) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_665) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_666) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_667) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_668) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_669) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_67) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_670) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_671) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_672) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_673) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_674) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_675) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_676) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_677) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_678) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_679) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_68) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_680) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_681) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_682) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_683) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_684) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_685) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_686) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_687) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_688) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_689) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_69) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_690) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_691) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_692) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_693) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_694) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_695) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_696) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_697) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_698) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_699) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_7) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_70) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_700) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_701) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_702) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_703) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_704) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_705) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_706) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_707) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_708) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_709) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_71) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_710) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_711) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_712) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_713) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_714) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_715) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_716) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_717) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_718) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_719) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_72) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_720) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_721) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_722) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_723) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_724) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_725) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_726) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_727) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_728) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_729) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_73) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_730) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_731) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_732) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_733) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_734) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_735) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_736) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_737) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_738) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_739) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_74) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_740) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_741) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_742) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_743) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_744) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_745) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_746) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_747) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_748) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_749) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_75) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_750) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_751) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_752) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_753) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_754) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_755) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_756) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_757) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_758) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_759) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_76) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_760) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_761) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_762) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_763) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_764) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_765) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_766) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_767) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_768) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_769) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_77) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_770) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_771) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_772) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_773) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_774) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_775) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_776) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_777) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_778) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_779) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_78) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_780) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_781) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_782) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_783) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_784) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_785) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_786) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_787) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_788) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_789) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_79) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_790) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_791) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_792) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_793) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_794) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_795) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_796) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_797) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_798) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_799) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_8) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_80) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_800) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_801) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_802) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_803) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_804) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_805) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_806) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_807) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_808) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_809) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_81) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_810) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_811) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_812) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_813) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_814) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_815) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_816) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_817) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_818) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_819) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_82) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_820) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_821) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_822) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_823) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_824) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_825) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_826) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_827) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_828) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_829) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_83) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_830) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_831) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_832) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_833) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_834) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_835) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_836) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_837) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_838) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_839) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_84) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_840) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_841) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_842) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_843) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_844) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_845) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_846) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_847) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_848) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_849) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_85) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_850) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_851) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_852) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_853) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_854) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_855) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_856) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_857) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_858) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_859) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_86) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_860) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_861) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_862) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_863) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_864) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_865) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_866) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_867) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_868) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_869) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_87) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_870) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_871) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_872) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_873) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_874) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_875) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_876) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_877) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_878) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_879) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_88) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_880) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_881) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_882) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_883) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_884) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_885) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_886) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_887) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_888) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_889) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_89) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_890) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_891) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_892) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_893) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_894) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_895) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_896) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_897) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_898) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_899) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_9) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_90) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_900) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_901) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_902) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_903) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_904) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_905) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_906) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_907) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_908) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_909) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_91) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_910) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_911) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_912) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_913) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_914) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_915) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_916) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_917) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_918) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_919) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_92) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_920) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_921) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_922) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_923) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_924) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_925) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_926) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_927) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_928) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_929) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_93) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_930) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_931) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_932) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_933) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_934) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_935) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_936) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_937) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_938) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_939) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_94) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_940) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_941) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_942) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_943) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_944) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_945) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_946) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_947) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_948) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_949) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_95) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_950) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_951) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_952) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_953) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_954) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_955) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_956) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_957) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_958) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_959) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_96) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_960) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_961) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_962) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_963) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_964) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_965) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_966) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_967) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_968) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_969) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_97) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_970) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_971) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_972) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_973) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_974) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_975) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_976) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_977) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_978) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_979) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_98) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_980) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_981) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_982) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_983) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_984) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_985) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_986) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_987) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_988) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_989) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_99) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_990) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_991) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_992) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_993) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_994) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_995) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_996) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_997) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_998) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + lu_table_template(template_999) { + variable_1 : total_output_net_capacitance; + index_1 ("0.00050, 0.00232, 0.01077, 0.05000, 0.23208, 1.07722, 5.00000"); + } + type ("la_data_in_core") { + base_type : array; + data_type : bit; + bit_width : 128; + bit_from : 127; + bit_to : 0; + } + type ("la_data_in_mprj") { + base_type : array; + data_type : bit; + bit_width : 128; + bit_from : 127; + bit_to : 0; + } + type ("la_data_out_core") { + base_type : array; + data_type : bit; + bit_width : 128; + bit_from : 127; + bit_to : 0; + } + type ("la_data_out_mprj") { + base_type : array; + data_type : bit; + bit_width : 128; + bit_from : 127; + bit_to : 0; + } + type ("la_iena_mprj") { + base_type : array; + data_type : bit; + bit_width : 128; + bit_from : 127; + bit_to : 0; + } + type ("la_oenb_core") { + base_type : array; + data_type : bit; + bit_width : 128; + bit_from : 127; + bit_to : 0; + } + type ("la_oenb_mprj") { + base_type : array; + data_type : bit; + bit_width : 128; + bit_from : 127; + bit_to : 0; + } + type ("mprj_adr_o_core") { + base_type : array; + data_type : bit; + bit_width : 32; + bit_from : 31; + bit_to : 0; + } + type ("mprj_adr_o_user") { + base_type : array; + data_type : bit; + bit_width : 32; + bit_from : 31; + bit_to : 0; + } + type ("mprj_dat_i_core") { + base_type : array; + data_type : bit; + bit_width : 32; + bit_from : 31; + bit_to : 0; + } + type ("mprj_dat_i_user") { + base_type : array; + data_type : bit; + bit_width : 32; + bit_from : 31; + bit_to : 0; + } + type ("mprj_dat_o_core") { + base_type : array; + data_type : bit; + bit_width : 32; + bit_from : 31; + bit_to : 0; + } + type ("mprj_dat_o_user") { + base_type : array; + data_type : bit; + bit_width : 32; + bit_from : 31; + bit_to : 0; + } + type ("mprj_sel_o_core") { + base_type : array; + data_type : bit; + bit_width : 4; + bit_from : 3; + bit_to : 0; + } + type ("mprj_sel_o_user") { + base_type : array; + data_type : bit; + bit_width : 4; + bit_from : 3; + bit_to : 0; + } + type ("user_irq") { + base_type : array; + data_type : bit; + bit_width : 3; + bit_from : 2; + bit_to : 0; + } + type ("user_irq_core") { + base_type : array; + data_type : bit; + bit_width : 3; + bit_from : 2; + bit_to : 0; + } + type ("user_irq_ena") { + base_type : array; + data_type : bit; + bit_width : 3; + bit_from : 2; + bit_to : 0; + } + + cell ("mgmt_protect") { + pin("caravel_clk") { + direction : input; + capacitance : 0.0052; + } + pin("caravel_clk2") { + direction : input; + capacitance : 0.0044; + } + pin("caravel_rstn") { + direction : input; + capacitance : 0.0070; + } + pin("mprj_ack_i_core") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_ack_i_user"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1287) { + values("0.70735,0.71042,0.72246,0.76791,0.96330,1.86301,6.06802"); + } + rise_transition(template_1287) { + values("0.01776,0.02049,0.03299,0.09116,0.36968,1.66084,7.64988"); + } + cell_fall(template_1288) { + values("0.78161,0.78431,0.79398,0.82246,0.91340,1.30110,3.09776"); + } + fall_transition(template_1288) { + values("0.01756,0.01919,0.02581,0.05056,0.15983,0.69349,3.18660"); + } + } + timing() { + related_pin : "mprj_iena_wb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1483) { + values("1.25825,1.26133,1.27336,1.31882,1.51420,2.41392,6.61892"); + } + rise_transition(template_1483) { + values("0.01776,0.02049,0.03299,0.09116,0.36968,1.66084,7.64988"); + } + cell_fall(template_1484) { + values("1.04247,1.04517,1.05484,1.08333,1.17427,1.56196,3.35862"); + } + fall_transition(template_1484) { + values("0.01756,0.01919,0.02581,0.05056,0.15983,0.69349,3.18660"); + } + } + } + pin("mprj_ack_i_user") { + direction : input; + capacitance : 0.4204; + } + pin("mprj_cyc_o_core") { + direction : input; + capacitance : 0.0088; + } + pin("mprj_cyc_o_user") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_cyc_o_core"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1353) { + values("1.53959,1.54274,1.55498,1.60069,1.79622,2.69702,6.89202"); + } + rise_transition(template_1353) { + values("0.01874,0.02147,0.03376,0.09146,0.36946,1.66123,7.64953"); + } + cell_fall(template_1354) { + values("1.42290,1.42560,1.43526,1.46372,1.55468,1.94231,3.73806"); + } + fall_transition(template_1354) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18601"); + } + } + } + pin("mprj_iena_wb") { + direction : input; + capacitance : 0.0043; + } + pin("mprj_stb_o_core") { + direction : input; + capacitance : 0.0097; + } + pin("mprj_stb_o_user") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_stb_o_core"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1557) { + values("1.51497,1.51808,1.53022,1.57579,1.77125,2.67148,6.87171"); + } + rise_transition(template_1557) { + values("0.01823,0.02096,0.03336,0.09130,0.36958,1.66103,7.64971"); + } + cell_fall(template_1558) { + values("1.36937,1.37207,1.38174,1.41024,1.50117,1.88890,3.68597"); + } + fall_transition(template_1558) { + values("0.01755,0.01920,0.02581,0.05057,0.15984,0.69350,3.18688"); + } + } + } + pin("mprj_we_o_core") { + direction : input; + capacitance : 0.0103; + } + pin("mprj_we_o_user") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_we_o_core"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1559) { + values("1.50439,1.50751,1.51964,1.56521,1.76067,2.66089,6.86118"); + } + rise_transition(template_1559) { + values("0.01822,0.02095,0.03335,0.09130,0.36958,1.66102,7.64971"); + } + cell_fall(template_1560) { + values("1.36804,1.37074,1.38042,1.40891,1.49984,1.88757,3.68466"); + } + fall_transition(template_1560) { + values("0.01755,0.01920,0.02581,0.05057,0.15984,0.69350,3.18689"); + } + } + } + pin("user1_vcc_powergood") { + direction : output; + capacitance : 0.2000; + } + pin("user1_vdd_powergood") { + direction : output; + capacitance : 0.2000; + } + pin("user2_vcc_powergood") { + direction : output; + capacitance : 0.2000; + } + pin("user2_vdd_powergood") { + direction : output; + capacitance : 0.2000; + } + pin("user_clock") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "caravel_clk"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1) { + values("1.10805,1.11121,1.12349,1.16923,1.36479,2.26577,6.45908"); + } + rise_transition(template_1) { + values("0.01891,0.02163,0.03389,0.09151,0.36943,1.66130,7.64947"); + } + cell_fall(template_2) { + values("1.01888,1.02157,1.03123,1.05968,1.15066,1.53825,3.33353"); + } + fall_transition(template_2) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18570"); + } + } + } + pin("user_clock2") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "caravel_clk2"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_3) { + values("0.41195,0.41506,0.42717,0.47271,0.66815,1.56824,5.76972"); + } + rise_transition(template_3) { + values("0.01811,0.02084,0.03326,0.09126,0.36960,1.66098,7.64976"); + } + cell_fall(template_4) { + values("0.47222,0.47492,0.48458,0.51306,0.60401,0.99168,2.78791"); + } + fall_transition(template_4) { + values("0.01757,0.01918,0.02581,0.05056,0.15982,0.69349,3.18633"); + } + } + } + pin("user_reset") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "caravel_rstn"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_5) { + values("0.97894,0.98202,0.99407,1.03954,1.23493,2.13472,6.33909"); + } + rise_transition(template_5) { + values("0.01782,0.02055,0.03304,0.09118,0.36967,1.66086,7.64986"); + } + cell_fall(template_6) { + values("1.06089,1.06359,1.07326,1.10174,1.19268,1.58037,3.37694"); + } + fall_transition(template_6) { + values("0.01757,0.01919,0.02581,0.05056,0.15983,0.69349,3.18654"); + } + } + } + pin("vccd") { + direction : input; + capacitance : 0.0002; + } + pin("vssd") { + direction : input; + capacitance : 0.0002; + } + pin("vccd1") { + direction : input; + capacitance : 0.0002; + } + pin("vssd1") { + direction : input; + capacitance : 0.0002; + } + pin("vccd2") { + direction : input; + capacitance : 0.0002; + } + pin("vssd2") { + direction : input; + capacitance : 0.0002; + } + pin("vdda1") { + direction : input; + capacitance : 0.0002; + } + pin("vssa1") { + direction : input; + capacitance : 0.0002; + } + pin("vdda2") { + direction : input; + capacitance : 0.0002; + } + pin("vssa2") { + direction : input; + capacitance : 0.0002; + } + bus("la_data_in_core") { + bus_type : la_data_in_core; + direction : output; + capacitance : 0.0000; + pin("la_data_in_core[127]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[127]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_323) { + values("0.70763,0.71077,0.72299,0.76868,0.96420,1.86490,6.06079"); + } + rise_transition(template_323) { + values("0.01865,0.02138,0.03369,0.09143,0.36948,1.66120,7.64956"); + } + cell_fall(template_324) { + values("0.67763,0.68033,0.68999,0.71847,0.80942,1.19709,2.99340"); + } + fall_transition(template_324) { + values("0.01757,0.01919,0.02581,0.05056,0.15982,0.69349,3.18638"); + } + } + timing() { + related_pin : "la_oenb_mprj[127]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_895) { + values("0.72189,0.72504,0.73726,0.78294,0.97846,1.87916,6.07505"); + } + rise_transition(template_895) { + values("0.01865,0.02138,0.03369,0.09143,0.36948,1.66120,7.64956"); + } + cell_fall(template_896) { + values("0.62501,0.62771,0.63737,0.66585,0.75680,1.14447,2.94078"); + } + fall_transition(template_896) { + values("0.01757,0.01919,0.02581,0.05056,0.15982,0.69349,3.18638"); + } + } + } + pin("la_data_in_core[126]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[126]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_321) { + values("0.61999,0.62309,0.63518,0.68071,0.87614,1.77616,5.97828"); + } + rise_transition(template_321) { + values("0.01804,0.02077,0.03321,0.09124,0.36962,1.66095,7.64978"); + } + cell_fall(template_322) { + values("0.60801,0.61071,0.62039,0.64890,0.73982,1.12758,2.92509"); + } + fall_transition(template_322) { + values("0.01755,0.01920,0.02582,0.05057,0.15985,0.69351,3.18717"); + } + } + timing() { + related_pin : "la_oenb_mprj[126]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_891) { + values("0.69942,0.70252,0.71461,0.76014,0.95557,1.85560,6.05771"); + } + rise_transition(template_891) { + values("0.01804,0.02077,0.03321,0.09124,0.36962,1.66095,7.64978"); + } + cell_fall(template_892) { + values("0.61431,0.61701,0.62669,0.65519,0.74611,1.13387,2.93139"); + } + fall_transition(template_892) { + values("0.01755,0.01920,0.02582,0.05057,0.15985,0.69351,3.18717"); + } + } + } + pin("la_data_in_core[125]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[125]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_319) { + values("0.62026,0.62336,0.63546,0.68099,0.87642,1.77648,5.97834"); + } + rise_transition(template_319) { + values("0.01807,0.02080,0.03323,0.09125,0.36961,1.66096,7.64977"); + } + cell_fall(template_320) { + values("0.60757,0.61027,0.61995,0.64846,0.73938,1.12713,2.92460"); + } + fall_transition(template_320) { + values("0.01755,0.01920,0.02582,0.05057,0.15985,0.69351,3.18713"); + } + } + timing() { + related_pin : "la_oenb_mprj[125]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_887) { + values("0.70761,0.71071,0.72281,0.76834,0.96378,1.86383,6.06569"); + } + rise_transition(template_887) { + values("0.01807,0.02080,0.03323,0.09125,0.36961,1.66096,7.64977"); + } + cell_fall(template_888) { + values("0.62409,0.62679,0.63647,0.66498,0.75590,1.14365,2.94111"); + } + fall_transition(template_888) { + values("0.01755,0.01920,0.02582,0.05057,0.15985,0.69351,3.18713"); + } + } + } + pin("la_data_in_core[124]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[124]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_317) { + values("0.63954,0.64268,0.65488,0.70053,0.89604,1.79663,5.99359"); + } + rise_transition(template_317) { + values("0.01855,0.02127,0.03361,0.09140,0.36951,1.66116,7.64960"); + } + cell_fall(template_318) { + values("0.61697,0.61967,0.62934,0.65782,0.74876,1.13645,2.93301"); + } + fall_transition(template_318) { + values("0.01757,0.01919,0.02581,0.05056,0.15983,0.69349,3.18654"); + } + } + timing() { + related_pin : "la_oenb_mprj[124]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_883) { + values("0.72427,0.72741,0.73961,0.78526,0.98077,1.88135,6.07832"); + } + rise_transition(template_883) { + values("0.01855,0.02127,0.03361,0.09140,0.36951,1.66116,7.64960"); + } + cell_fall(template_884) { + values("0.63266,0.63536,0.64503,0.67351,0.76446,1.15215,2.94871"); + } + fall_transition(template_884) { + values("0.01757,0.01919,0.02581,0.05056,0.15983,0.69349,3.18654"); + } + } + } + pin("la_data_in_core[123]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[123]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_315) { + values("0.60913,0.61236,0.62480,0.67076,0.86644,1.76831,5.95336"); + } + rise_transition(template_315) { + values("0.01972,0.02243,0.03453,0.09176,0.36925,1.66163,7.64918"); + } + cell_fall(template_316) { + values("0.56158,0.56428,0.57393,0.60238,0.69338,1.08095,2.87601"); + } + fall_transition(template_316) { + values("0.01768,0.01924,0.02586,0.05059,0.15980,0.69344,3.18565"); + } + } + timing() { + related_pin : "la_oenb_mprj[123]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_879) { + values("0.76616,0.76939,0.78183,0.82779,1.02347,1.92534,6.11039"); + } + rise_transition(template_879) { + values("0.01972,0.02243,0.03453,0.09176,0.36925,1.66163,7.64918"); + } + cell_fall(template_880) { + values("0.65428,0.65697,0.66662,0.69507,0.78607,1.17364,2.96871"); + } + fall_transition(template_880) { + values("0.01768,0.01924,0.02586,0.05059,0.15980,0.69344,3.18565"); + } + } + } + pin("la_data_in_core[122]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[122]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_313) { + values("0.64708,0.65035,0.66290,0.70898,0.90474,1.80718,5.98699"); + } + rise_transition(template_313) { + values("0.02023,0.02294,0.03494,0.09191,0.36914,1.66184,7.64899"); + } + cell_fall(template_314) { + values("0.59471,0.59741,0.60706,0.63552,0.72653,1.11410,2.90942"); + } + fall_transition(template_314) { + values("0.01773,0.01929,0.02590,0.05062,0.15980,0.69342,3.18587"); + } + } + timing() { + related_pin : "la_oenb_mprj[122]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_875) { + values("0.79183,0.79510,0.80765,0.85373,1.04950,1.95193,6.13174"); + } + rise_transition(template_875) { + values("0.02023,0.02294,0.03494,0.09191,0.36914,1.66184,7.64899"); + } + cell_fall(template_876) { + values("0.67072,0.67341,0.68307,0.71153,0.80254,1.19011,2.98543"); + } + fall_transition(template_876) { + values("0.01773,0.01929,0.02590,0.05062,0.15980,0.69342,3.18587"); + } + } + } + pin("la_data_in_core[121]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[121]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_311) { + values("0.62336,0.62663,0.63919,0.68530,0.88107,1.78359,5.96268"); + } + rise_transition(template_311) { + values("0.02030,0.02301,0.03499,0.09194,0.36912,1.66187,7.64897"); + } + cell_fall(template_312) { + values("0.56937,0.57207,0.58173,0.61019,0.70119,1.08877,2.88411"); + } + fall_transition(template_312) { + values("0.01773,0.01930,0.02590,0.05062,0.15981,0.69342,3.18589"); + } + } + timing() { + related_pin : "la_oenb_mprj[121]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_871) { + values("0.80206,0.80534,0.81790,0.86401,1.05978,1.96229,6.14139"); + } + rise_transition(template_871) { + values("0.02030,0.02301,0.03499,0.09194,0.36912,1.66187,7.64897"); + } + cell_fall(template_872) { + values("0.68509,0.68779,0.69745,0.72591,0.81691,1.20449,2.99983"); + } + fall_transition(template_872) { + values("0.01773,0.01930,0.02590,0.05062,0.15981,0.69342,3.18589"); + } + } + } + pin("la_data_in_core[120]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[120]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_309) { + values("0.70480,0.70796,0.72020,0.76591,0.96144,1.86225,6.05718"); + } + rise_transition(template_309) { + values("0.01875,0.02147,0.03377,0.09146,0.36946,1.66124,7.64953"); + } + cell_fall(template_310) { + values("0.67583,0.67852,0.68819,0.71666,0.80762,1.19527,2.99139"); + } + fall_transition(template_310) { + values("0.01758,0.01918,0.02581,0.05056,0.15982,0.69348,3.18625"); + } + } + timing() { + related_pin : "la_oenb_mprj[120]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_867) { + values("0.76101,0.76416,0.77640,0.82211,1.01764,1.91845,6.11338"); + } + rise_transition(template_867) { + values("0.01875,0.02147,0.03377,0.09146,0.36946,1.66124,7.64953"); + } + cell_fall(template_868) { + values("0.68590,0.68860,0.69826,0.72673,0.81769,1.20535,3.00146"); + } + fall_transition(template_868) { + values("0.01758,0.01918,0.02581,0.05056,0.15982,0.69348,3.18625"); + } + } + } + pin("la_data_in_core[119]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[119]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_305) { + values("0.64103,0.64418,0.65641,0.70211,0.89764,1.79840,5.99376"); + } + rise_transition(template_305) { + values("0.01871,0.02143,0.03373,0.09145,0.36947,1.66122,7.64954"); + } + cell_fall(template_306) { + values("0.61803,0.62073,0.63039,0.65887,0.74982,1.13749,2.93374"); + } + fall_transition(template_306) { + values("0.01757,0.01919,0.02581,0.05056,0.15982,0.69349,3.18634"); + } + } + timing() { + related_pin : "la_oenb_mprj[119]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_859) { + values("0.75734,0.76050,0.77273,0.81842,1.01395,1.91471,6.11008"); + } + rise_transition(template_859) { + values("0.01871,0.02143,0.03373,0.09145,0.36947,1.66122,7.64954"); + } + cell_fall(template_860) { + values("0.68024,0.68294,0.69260,0.72108,0.81203,1.19970,2.99595"); + } + fall_transition(template_860) { + values("0.01757,0.01919,0.02581,0.05056,0.15982,0.69349,3.18634"); + } + } + } + pin("la_data_in_core[118]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[118]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_303) { + values("0.60186,0.60509,0.61752,0.66346,0.85913,1.76093,5.94663"); + } + rise_transition(template_303) { + values("0.01965,0.02237,0.03448,0.09174,0.36926,1.66160,7.64920"); + } + cell_fall(template_304) { + values("0.55876,0.56145,0.57111,0.59955,0.69055,1.07812,2.87313"); + } + fall_transition(template_304) { + values("0.01766,0.01923,0.02585,0.05058,0.15979,0.69344,3.18560"); + } + } + timing() { + related_pin : "la_oenb_mprj[118]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_855) { + values("0.79082,0.79405,0.80648,0.85241,1.04809,1.94989,6.13558"); + } + rise_transition(template_855) { + values("0.01965,0.02237,0.03448,0.09174,0.36926,1.66160,7.64920"); + } + cell_fall(template_856) { + values("0.69695,0.69964,0.70930,0.73774,0.82874,1.21631,3.01132"); + } + fall_transition(template_856) { + values("0.01766,0.01923,0.02585,0.05058,0.15979,0.69344,3.18560"); + } + } + } + pin("la_data_in_core[117]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[117]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_301) { + values("0.68792,0.69124,0.70393,0.75020,0.94606,1.84927,6.02201"); + } + rise_transition(template_301) { + values("0.02092,0.02363,0.03549,0.09213,0.36898,1.66212,7.64874"); + } + cell_fall(template_302) { + values("0.62099,0.62369,0.63336,0.66185,0.75286,1.14046,2.93637"); + } + fall_transition(template_302) { + values("0.01785,0.01942,0.02600,0.05068,0.15983,0.69338,3.18642"); + } + } + timing() { + related_pin : "la_oenb_mprj[117]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_851) { + values("0.83947,0.84279,0.85548,0.90174,1.09761,2.00081,6.17356"); + } + rise_transition(template_851) { + values("0.02092,0.02363,0.03549,0.09213,0.36898,1.66212,7.64874"); + } + cell_fall(template_852) { + values("0.72631,0.72901,0.73868,0.76717,0.85818,1.24578,3.04169"); + } + fall_transition(template_852) { + values("0.01785,0.01942,0.02600,0.05068,0.15983,0.69338,3.18642"); + } + } + } + pin("la_data_in_core[116]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[116]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_299) { + values("0.72804,0.73119,0.74343,0.78913,0.98466,1.88544,6.08063"); + } + rise_transition(template_299) { + values("0.01872,0.02145,0.03375,0.09145,0.36947,1.66123,7.64954"); + } + cell_fall(template_300) { + values("0.68793,0.69062,0.70029,0.72876,0.81971,1.20738,3.00357"); + } + fall_transition(template_300) { + values("0.01757,0.01918,0.02581,0.05056,0.15982,0.69348,3.18630"); + } + } + timing() { + related_pin : "la_oenb_mprj[116]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_847) { + values("0.74578,0.74893,0.76117,0.80687,1.00240,1.90318,6.09837"); + } + rise_transition(template_847) { + values("0.01872,0.02145,0.03375,0.09145,0.36947,1.66123,7.64954"); + } + cell_fall(template_848) { + values("0.65080,0.65349,0.66316,0.69163,0.78258,1.17025,2.96644"); + } + fall_transition(template_848) { + values("0.01757,0.01918,0.02581,0.05056,0.15982,0.69348,3.18630"); + } + } + } + pin("la_data_in_core[115]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[115]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_297) { + values("0.61512,0.61834,0.63075,0.67668,0.87234,1.77407,5.96039"); + } + rise_transition(template_297) { + values("0.01959,0.02231,0.03444,0.09172,0.36928,1.66158,7.64922"); + } + cell_fall(template_298) { + values("0.56610,0.56879,0.57844,0.60689,0.69789,1.08545,2.88037"); + } + fall_transition(template_298) { + values("0.01765,0.01921,0.02583,0.05057,0.15979,0.69345,3.18552"); + } + } + timing() { + related_pin : "la_oenb_mprj[115]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_843) { + values("0.77871,0.78193,0.79435,0.84027,1.03593,1.93767,6.12398"); + } + rise_transition(template_843) { + values("0.01959,0.02231,0.03444,0.09172,0.36928,1.66158,7.64922"); + } + cell_fall(template_844) { + values("0.67252,0.67522,0.68487,0.71331,0.80431,1.19187,2.98680"); + } + fall_transition(template_844) { + values("0.01765,0.01921,0.02583,0.05057,0.15979,0.69345,3.18552"); + } + } + } + pin("la_data_in_core[114]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[114]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_295) { + values("0.66560,0.66889,0.68149,0.72765,0.92345,1.82618,6.00328"); + } + rise_transition(template_295) { + values("0.02050,0.02321,0.03515,0.09200,0.36908,1.66195,7.64890"); + } + cell_fall(template_296) { + values("0.60761,0.61031,0.61997,0.64844,0.73944,1.12703,2.92255"); + } + fall_transition(template_296) { + values("0.01777,0.01933,0.02593,0.05064,0.15981,0.69341,3.18606"); + } + } + timing() { + related_pin : "la_oenb_mprj[114]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_839) { + values("0.82536,0.82865,0.84125,0.88740,1.08321,1.98594,6.16303"); + } + rise_transition(template_839) { + values("0.02050,0.02321,0.03515,0.09200,0.36908,1.66195,7.64890"); + } + cell_fall(template_840) { + values("0.71159,0.71428,0.72394,0.75241,0.84342,1.23100,3.02652"); + } + fall_transition(template_840) { + values("0.01777,0.01933,0.02593,0.05064,0.15981,0.69341,3.18606"); + } + } + } + pin("la_data_in_core[113]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[113]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_293) { + values("0.68764,0.69104,0.70394,0.75037,0.94628,1.84967,6.01947"); + } + rise_transition(template_293) { + values("0.02170,0.02440,0.03615,0.09241,0.36899,1.66238,7.64879"); + } + cell_fall(template_294) { + values("0.61373,0.61644,0.62612,0.65464,0.74566,1.13329,2.92998"); + } + fall_transition(template_294) { + values("0.01801,0.01958,0.02613,0.05076,0.15985,0.69333,3.18713"); + } + } + timing() { + related_pin : "la_oenb_mprj[113]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_835) { + values("0.85189,0.85529,0.86819,0.91462,1.11054,2.01393,6.18372"); + } + rise_transition(template_835) { + values("0.02170,0.02440,0.03615,0.09241,0.36899,1.66238,7.64879"); + } + cell_fall(template_836) { + values("0.71183,0.71453,0.72421,0.75273,0.84375,1.23138,3.02808"); + } + fall_transition(template_836) { + values("0.01801,0.01958,0.02613,0.05076,0.15985,0.69333,3.18713"); + } + } + } + pin("la_data_in_core[112]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[112]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_291) { + values("0.69726,0.70041,0.71264,0.75833,0.95386,1.85460,6.05012"); + } + rise_transition(template_291) { + values("0.01869,0.02142,0.03372,0.09144,0.36948,1.66121,7.64955"); + } + cell_fall(template_292) { + values("0.68674,0.68943,0.69909,0.72756,0.81852,1.20617,3.00217"); + } + fall_transition(template_292) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18617"); + } + } + timing() { + related_pin : "la_oenb_mprj[112]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_831) { + values("0.83652,0.83967,0.85190,0.89759,1.09311,1.99386,6.18937"); + } + rise_transition(template_831) { + values("0.01869,0.02142,0.03372,0.09144,0.36948,1.66121,7.64955"); + } + cell_fall(template_832) { + values("0.80590,0.80859,0.81825,0.84672,0.93768,1.32533,3.12133"); + } + fall_transition(template_832) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18617"); + } + } + } + pin("la_data_in_core[111]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[111]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_289) { + values("0.71758,0.72074,0.73300,0.77872,0.97427,1.87516,6.06930"); + } + rise_transition(template_289) { + values("0.01883,0.02155,0.03383,0.09148,0.36945,1.66127,7.64950"); + } + cell_fall(template_290) { + values("0.72511,0.72780,0.73746,0.76592,0.85689,1.24451,3.04009"); + } + fall_transition(template_290) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18590"); + } + } + timing() { + related_pin : "la_oenb_mprj[111]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_827) { + values("0.85563,0.85879,0.87105,0.91678,1.11232,2.01321,6.20735"); + } + rise_transition(template_827) { + values("0.01883,0.02155,0.03383,0.09148,0.36945,1.66127,7.64950"); + } + cell_fall(template_828) { + values("0.84014,0.84283,0.85249,0.88095,0.97192,1.35954,3.15512"); + } + fall_transition(template_828) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18590"); + } + } + } + pin("la_data_in_core[110]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[110]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_287) { + values("0.74726,0.75041,0.76263,0.80832,1.00384,1.90454,6.10041"); + } + rise_transition(template_287) { + values("0.01866,0.02138,0.03370,0.09143,0.36948,1.66120,7.64956"); + } + cell_fall(template_288) { + values("0.74007,0.74276,0.75243,0.78090,0.87185,1.25951,3.05558"); + } + fall_transition(template_288) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18622"); + } + } + timing() { + related_pin : "la_oenb_mprj[110]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_823) { + values("0.74667,0.74981,0.76204,0.80772,1.00324,1.90394,6.09981"); + } + rise_transition(template_823) { + values("0.01866,0.02138,0.03370,0.09143,0.36948,1.66120,7.64956"); + } + cell_fall(template_824) { + values("0.68639,0.68909,0.69875,0.72722,0.81818,1.20583,3.00191"); + } + fall_transition(template_824) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18622"); + } + } + } + pin("la_data_in_core[109]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[109]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_283) { + values("0.71051,0.71367,0.72592,0.77165,0.96720,1.86809,6.06221"); + } + rise_transition(template_283) { + values("0.01883,0.02155,0.03383,0.09148,0.36945,1.66127,7.64950"); + } + cell_fall(template_284) { + values("0.66685,0.66955,0.67920,0.70766,0.79863,1.18626,2.98190"); + } + fall_transition(template_284) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69348,3.18594"); + } + } + timing() { + related_pin : "la_oenb_mprj[109]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_815) { + values("0.78418,0.78734,0.79960,0.84533,1.04087,1.94177,6.13588"); + } + rise_transition(template_815) { + values("0.01883,0.02155,0.03383,0.09148,0.36945,1.66127,7.64950"); + } + cell_fall(template_816) { + values("0.65297,0.65567,0.66533,0.69378,0.78476,1.17238,2.96802"); + } + fall_transition(template_816) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69348,3.18594"); + } + } + } + pin("la_data_in_core[108]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[108]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_281) { + values("0.70898,0.71215,0.72442,0.77017,0.96573,1.86672,6.05999"); + } + rise_transition(template_281) { + values("0.01891,0.02163,0.03390,0.09151,0.36943,1.66130,7.64947"); + } + cell_fall(template_282) { + values("0.66663,0.66932,0.67898,0.70743,0.79841,1.18602,2.98147"); + } + fall_transition(template_282) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18582"); + } + } + timing() { + related_pin : "la_oenb_mprj[108]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_811) { + values("0.77798,0.78115,0.79342,0.83917,1.03473,1.93572,6.12899"); + } + rise_transition(template_811) { + values("0.01891,0.02163,0.03390,0.09151,0.36943,1.66130,7.64947"); + } + cell_fall(template_812) { + values("0.64628,0.64897,0.65863,0.68708,0.77806,1.16566,2.96112"); + } + fall_transition(template_812) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18582"); + } + } + } + pin("la_data_in_core[107]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[107]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_279) { + values("0.72182,0.72498,0.73723,0.78294,0.97848,1.87932,6.07393"); + } + rise_transition(template_279) { + values("0.01878,0.02150,0.03379,0.09147,0.36946,1.66125,7.64952"); + } + cell_fall(template_280) { + values("0.67584,0.67854,0.68820,0.71666,0.80763,1.19526,2.99111"); + } + fall_transition(template_280) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18607"); + } + } + timing() { + related_pin : "la_oenb_mprj[107]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_807) { + values("0.93801,0.94116,0.95341,0.99913,1.19467,2.09551,6.29012"); + } + rise_transition(template_807) { + values("0.01878,0.02150,0.03379,0.09147,0.36946,1.66125,7.64952"); + } + cell_fall(template_808) { + values("0.82703,0.82972,0.83938,0.86785,0.95881,1.34645,3.14230"); + } + fall_transition(template_808) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18607"); + } + } + } + pin("la_data_in_core[106]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[106]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_277) { + values("0.71204,0.71520,0.72747,0.77321,0.96877,1.86973,6.06321"); + } + rise_transition(template_277) { + values("0.01889,0.02161,0.03388,0.09150,0.36943,1.66130,7.64948"); + } + cell_fall(template_278) { + values("0.66740,0.67009,0.67975,0.70820,0.79918,1.18679,2.98222"); + } + fall_transition(template_278) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18580"); + } + } + timing() { + related_pin : "la_oenb_mprj[106]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_803) { + values("0.94887,0.95204,0.96431,1.01005,1.20561,2.10657,6.30004"); + } + rise_transition(template_803) { + values("0.01889,0.02161,0.03388,0.09150,0.36943,1.66130,7.64948"); + } + cell_fall(template_804) { + values("0.84527,0.84796,0.85762,0.88607,0.97704,1.36465,3.16008"); + } + fall_transition(template_804) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18580"); + } + } + } + pin("la_data_in_core[105]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[105]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_275) { + values("0.70811,0.71129,0.72358,0.76936,0.96494,1.86603,6.05824"); + } + rise_transition(template_275) { + values("0.01902,0.02174,0.03398,0.09154,0.36940,1.66135,7.64943"); + } + cell_fall(template_276) { + values("0.66381,0.66651,0.67616,0.70461,0.79559,1.18318,2.97841"); + } + fall_transition(template_276) { + values("0.01759,0.01917,0.02580,0.05055,0.15980,0.69347,3.18567"); + } + } + timing() { + related_pin : "la_oenb_mprj[105]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_799) { + values("0.94298,0.94616,0.95845,1.00423,1.19980,2.10090,6.29310"); + } + rise_transition(template_799) { + values("0.01902,0.02174,0.03398,0.09154,0.36940,1.66135,7.64943"); + } + cell_fall(template_800) { + values("0.83282,0.83552,0.84517,0.87362,0.96460,1.35219,3.14742"); + } + fall_transition(template_800) { + values("0.01759,0.01917,0.02580,0.05055,0.15980,0.69347,3.18567"); + } + } + } + pin("la_data_in_core[104]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[104]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_273) { + values("0.71137,0.71454,0.72683,0.77258,0.96815,1.86918,6.06206"); + } + rise_transition(template_273) { + values("0.01895,0.02167,0.03393,0.09152,0.36942,1.66132,7.64945"); + } + cell_fall(template_274) { + values("0.66511,0.66780,0.67746,0.70590,0.79689,1.18448,2.97966"); + } + fall_transition(template_274) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18564"); + } + } + timing() { + related_pin : "la_oenb_mprj[104]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_795) { + values("0.95062,0.95379,0.96607,1.01183,1.20740,2.10842,6.30130"); + } + rise_transition(template_795) { + values("0.01895,0.02167,0.03393,0.09152,0.36942,1.66132,7.64945"); + } + cell_fall(template_796) { + values("0.83734,0.84003,0.84968,0.87813,0.96911,1.35670,3.15188"); + } + fall_transition(template_796) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18564"); + } + } + } + pin("la_data_in_core[103]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[103]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_271) { + values("0.69320,0.69633,0.70850,0.75412,0.94961,1.85004,6.04841"); + } + rise_transition(template_271) { + values("0.01841,0.02114,0.03350,0.09136,0.36954,1.66110,7.64965"); + } + cell_fall(template_272) { + values("0.65217,0.65487,0.66454,0.69302,0.78396,1.17167,2.96841"); + } + fall_transition(template_272) { + values("0.01756,0.01919,0.02581,0.05056,0.15983,0.69349,3.18666"); + } + } + timing() { + related_pin : "la_oenb_mprj[103]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_791) { + values("0.77705,0.78018,0.79235,0.83797,1.03346,1.93389,6.13226"); + } + rise_transition(template_791) { + values("0.01841,0.02114,0.03350,0.09136,0.36954,1.66110,7.64965"); + } + cell_fall(template_792) { + values("0.64651,0.64921,0.65888,0.68737,0.77831,1.16601,2.96275"); + } + fall_transition(template_792) { + values("0.01756,0.01919,0.02581,0.05056,0.15983,0.69349,3.18666"); + } + } + } + pin("la_data_in_core[102]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[102]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_269) { + values("0.72129,0.72447,0.73678,0.78257,0.97815,1.87931,6.07100"); + } + rise_transition(template_269) { + values("0.01907,0.02179,0.03402,0.09156,0.36939,1.66137,7.64941"); + } + cell_fall(template_270) { + values("0.67548,0.67817,0.68783,0.71627,0.80726,1.19484,2.98994"); + } + fall_transition(template_270) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18558"); + } + } + timing() { + related_pin : "la_oenb_mprj[102]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_787) { + values("0.94217,0.94535,0.95765,1.00344,1.19903,2.10018,6.29187"); + } + rise_transition(template_787) { + values("0.01907,0.02179,0.03402,0.09156,0.36939,1.66137,7.64941"); + } + cell_fall(template_788) { + values("0.83160,0.83429,0.84394,0.87239,0.96337,1.35095,3.14605"); + } + fall_transition(template_788) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18558"); + } + } + } + pin("la_data_in_core[101]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[101]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_267) { + values("0.72019,0.72336,0.73562,0.78136,0.97691,1.87785,6.07158"); + } + rise_transition(template_267) { + values("0.01886,0.02159,0.03386,0.09150,0.36944,1.66128,7.64948"); + } + cell_fall(template_268) { + values("0.68097,0.68366,0.69332,0.72178,0.81275,1.20037,2.99597"); + } + fall_transition(template_268) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18591"); + } + } + timing() { + related_pin : "la_oenb_mprj[101]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_783) { + values("0.92449,0.92765,0.93992,0.98565,1.18121,2.08214,6.27588"); + } + rise_transition(template_783) { + values("0.01886,0.02159,0.03386,0.09150,0.36944,1.66128,7.64948"); + } + cell_fall(template_784) { + values("0.82831,0.83100,0.84066,0.86912,0.96009,1.34771,3.14331"); + } + fall_transition(template_784) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18591"); + } + } + } + pin("la_data_in_core[100]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[100]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_265) { + values("0.73081,0.73398,0.74627,0.79204,0.98761,1.88866,6.08126"); + } + rise_transition(template_265) { + values("0.01898,0.02170,0.03395,0.09153,0.36941,1.66133,7.64944"); + } + cell_fall(template_266) { + values("0.72793,0.73062,0.74028,0.76873,0.85971,1.24730,3.04259"); + } + fall_transition(template_266) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18570"); + } + } + timing() { + related_pin : "la_oenb_mprj[100]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_779) { + values("0.87716,0.88034,0.89263,0.93839,1.13396,2.03502,6.22761"); + } + rise_transition(template_779) { + values("0.01898,0.02170,0.03395,0.09153,0.36941,1.66133,7.64944"); + } + cell_fall(template_780) { + values("0.83302,0.83571,0.84536,0.87381,0.96479,1.35239,3.14767"); + } + fall_transition(template_780) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18570"); + } + } + } + pin("la_data_in_core[99]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[99]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_515) { + values("0.73820,0.74136,0.75361,0.79933,0.99487,1.89573,6.09018"); + } + rise_transition(template_515) { + values("0.01880,0.02152,0.03381,0.09147,0.36945,1.66126,7.64951"); + } + cell_fall(template_516) { + values("0.68999,0.69268,0.70234,0.73079,0.82177,1.20938,3.00495"); + } + fall_transition(template_516) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18589"); + } + } + timing() { + related_pin : "la_oenb_mprj[99]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1279) { + values("0.97174,0.97490,0.98715,1.03287,1.22841,2.12927,6.32371"); + } + rise_transition(template_1279) { + values("0.01880,0.02152,0.03381,0.09147,0.36945,1.66126,7.64951"); + } + cell_fall(template_1280) { + values("0.88414,0.88683,0.89649,0.92495,1.01592,1.40354,3.19910"); + } + fall_transition(template_1280) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18589"); + } + } + } + pin("la_data_in_core[98]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[98]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_513) { + values("0.73353,0.73669,0.74895,0.79467,0.99022,1.89112,6.08521"); + } + rise_transition(template_513) { + values("0.01883,0.02155,0.03383,0.09149,0.36944,1.66127,7.64950"); + } + cell_fall(template_514) { + values("0.67716,0.67985,0.68951,0.71797,0.80894,1.19656,2.99215"); + } + fall_transition(template_514) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18590"); + } + } + timing() { + related_pin : "la_oenb_mprj[98]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1275) { + values("0.96862,0.97179,0.98404,1.02977,1.22532,2.12621,6.32031"); + } + rise_transition(template_1275) { + values("0.01883,0.02155,0.03383,0.09149,0.36944,1.66127,7.64950"); + } + cell_fall(template_1276) { + values("0.84126,0.84396,0.85362,0.88207,0.97304,1.36066,3.15625"); + } + fall_transition(template_1276) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18590"); + } + } + } + pin("la_data_in_core[97]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[97]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_511) { + values("0.72669,0.72986,0.74217,0.78796,0.98354,1.88470,6.07640"); + } + rise_transition(template_511) { + values("0.01906,0.02179,0.03402,0.09156,0.36939,1.66137,7.64941"); + } + cell_fall(template_512) { + values("0.69133,0.69402,0.70367,0.73211,0.82310,1.21068,3.00574"); + } + fall_transition(template_512) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18556"); + } + } + timing() { + related_pin : "la_oenb_mprj[97]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1271) { + values("0.86154,0.86472,0.87703,0.92282,1.11840,2.01955,6.21125"); + } + rise_transition(template_1271) { + values("0.01906,0.02179,0.03402,0.09156,0.36939,1.66137,7.64941"); + } + cell_fall(template_1272) { + values("0.79348,0.79617,0.80582,0.83426,0.92525,1.31283,3.10790"); + } + fall_transition(template_1272) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18556"); + } + } + } + pin("la_data_in_core[96]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[96]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_509) { + values("0.81029,0.81347,0.82578,0.87157,1.06716,1.96833,6.15989"); + } + rise_transition(template_509) { + values("0.01908,0.02180,0.03403,0.09156,0.36939,1.66137,7.64941"); + } + cell_fall(template_510) { + values("0.72057,0.72326,0.73291,0.76135,0.85234,1.23991,3.03481"); + } + fall_transition(template_510) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18546"); + } + } + timing() { + related_pin : "la_oenb_mprj[96]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1267) { + values("0.92952,0.93270,0.94501,0.99080,1.18638,2.08755,6.27912"); + } + rise_transition(template_1267) { + values("0.01908,0.02180,0.03403,0.09156,0.36939,1.66137,7.64941"); + } + cell_fall(template_1268) { + values("0.78646,0.78916,0.79881,0.82725,0.91824,1.30580,3.10071"); + } + fall_transition(template_1268) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18546"); + } + } + } + pin("la_data_in_core[95]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[95]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_507) { + values("0.88690,0.89009,0.90241,0.94821,1.14380,2.04501,6.23616"); + } + rise_transition(template_507) { + values("0.01912,0.02184,0.03406,0.09157,0.36938,1.66139,7.64939"); + } + cell_fall(template_508) { + values("0.78273,0.78542,0.79507,0.82351,0.91451,1.30207,3.09691"); + } + fall_transition(template_508) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18541"); + } + } + timing() { + related_pin : "la_oenb_mprj[95]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1263) { + values("0.97331,0.97649,0.98881,1.03461,1.23021,2.13142,6.32256"); + } + rise_transition(template_1263) { + values("0.01912,0.02184,0.03406,0.09157,0.36938,1.66139,7.64939"); + } + cell_fall(template_1264) { + values("0.83190,0.83459,0.84424,0.87268,0.96367,1.35123,3.14607"); + } + fall_transition(template_1264) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18541"); + } + } + } + pin("la_data_in_core[94]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[94]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_505) { + values("0.88330,0.88642,0.89859,0.94419,1.13967,2.04006,6.23887"); + } + rise_transition(template_505) { + values("0.01837,0.02110,0.03347,0.09134,0.36955,1.66108,7.64966"); + } + cell_fall(template_506) { + values("0.78715,0.78985,0.79952,0.82801,0.91894,1.30665,3.10349"); + } + fall_transition(template_506) { + values("0.01756,0.01919,0.02581,0.05056,0.15983,0.69350,3.18672"); + } + } + timing() { + related_pin : "la_oenb_mprj[94]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1259) { + values("0.95190,0.95502,0.96719,1.01279,1.20827,2.10866,6.30747"); + } + rise_transition(template_1259) { + values("0.01837,0.02110,0.03347,0.09134,0.36955,1.66108,7.64966"); + } + cell_fall(template_1260) { + values("0.82992,0.83261,0.84229,0.87078,0.96171,1.34942,3.14626"); + } + fall_transition(template_1260) { + values("0.01756,0.01919,0.02581,0.05056,0.15983,0.69350,3.18672"); + } + } + } + pin("la_data_in_core[93]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[93]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_503) { + values("0.89630,0.89946,0.91174,0.95749,1.15306,2.05405,6.24719"); + } + rise_transition(template_503) { + values("0.01892,0.02165,0.03391,0.09151,0.36942,1.66131,7.64946"); + } + cell_fall(template_504) { + values("0.79381,0.79650,0.80616,0.83461,0.92559,1.31319,3.10852"); + } + fall_transition(template_504) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18574"); + } + } + timing() { + related_pin : "la_oenb_mprj[93]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1255) { + values("0.95380,0.95696,0.96924,1.01499,1.21056,2.11155,6.30468"); + } + rise_transition(template_1255) { + values("0.01892,0.02165,0.03391,0.09151,0.36942,1.66131,7.64946"); + } + cell_fall(template_1256) { + values("0.80674,0.80944,0.81909,0.84754,0.93852,1.32612,3.12146"); + } + fall_transition(template_1256) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18574"); + } + } + } + pin("la_data_in_core[92]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[92]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_501) { + values("0.84833,0.85150,0.86377,0.90952,1.10508,2.00607,6.19934"); + } + rise_transition(template_501) { + values("0.01891,0.02163,0.03390,0.09151,0.36943,1.66130,7.64947"); + } + cell_fall(template_502) { + values("0.75543,0.75812,0.76778,0.79623,0.88721,1.27481,3.07020"); + } + fall_transition(template_502) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18578"); + } + } + timing() { + related_pin : "la_oenb_mprj[92]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1251) { + values("0.94820,0.95137,0.96364,1.00939,1.20495,2.10593,6.29920"); + } + rise_transition(template_1251) { + values("0.01891,0.02163,0.03390,0.09151,0.36943,1.66130,7.64947"); + } + cell_fall(template_1252) { + values("0.82046,0.82315,0.83280,0.86126,0.95223,1.33984,3.13523"); + } + fall_transition(template_1252) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18578"); + } + } + } + pin("la_data_in_core[91]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[91]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_499) { + values("0.86967,0.87285,0.88515,0.93093,1.12651,2.02765,6.21952"); + } + rise_transition(template_499) { + values("0.01905,0.02177,0.03400,0.09155,0.36940,1.66136,7.64942"); + } + cell_fall(template_500) { + values("0.76585,0.76854,0.77819,0.80664,0.89762,1.28521,3.08036"); + } + fall_transition(template_500) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18562"); + } + } + timing() { + related_pin : "la_oenb_mprj[91]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1247) { + values("0.96061,0.96379,0.97609,1.02187,1.21745,2.11859,6.31047"); + } + rise_transition(template_1247) { + values("0.01905,0.02177,0.03400,0.09155,0.36940,1.66136,7.64942"); + } + cell_fall(template_1248) { + values("0.81608,0.81877,0.82843,0.85687,0.94786,1.33544,3.13060"); + } + fall_transition(template_1248) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18562"); + } + } + } + pin("la_data_in_core[90]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[90]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_497) { + values("0.83172,0.83486,0.84707,0.89273,1.08825,1.98888,6.18539"); + } + rise_transition(template_497) { + values("0.01859,0.02132,0.03365,0.09141,0.36950,1.66117,7.64958"); + } + cell_fall(template_498) { + values("0.74823,0.75092,0.76059,0.78906,0.88002,1.26769,3.06395"); + } + fall_transition(template_498) { + values("0.01757,0.01919,0.02581,0.05056,0.15982,0.69349,3.18635"); + } + } + timing() { + related_pin : "la_oenb_mprj[90]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1243) { + values("0.93745,0.94059,0.95280,0.99847,1.19398,2.09461,6.29113"); + } + rise_transition(template_1243) { + values("0.01859,0.02132,0.03365,0.09141,0.36950,1.66117,7.64958"); + } + cell_fall(template_1244) { + values("0.79226,0.79496,0.80462,0.83310,0.92405,1.31172,3.10798"); + } + fall_transition(template_1244) { + values("0.01757,0.01919,0.02581,0.05056,0.15982,0.69349,3.18635"); + } + } + } + pin("la_data_in_core[89]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[89]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_493) { + values("0.82784,0.83099,0.84322,0.88891,1.08444,1.98519,6.18063"); + } + rise_transition(template_493) { + values("0.01870,0.02142,0.03373,0.09144,0.36947,1.66122,7.64954"); + } + cell_fall(template_494) { + values("0.73965,0.74235,0.75201,0.78048,0.87144,1.25909,3.05509"); + } + fall_transition(template_494) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18618"); + } + } + timing() { + related_pin : "la_oenb_mprj[89]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1235) { + values("0.95819,0.96134,0.97357,1.01927,1.21479,2.11554,6.31099"); + } + rise_transition(template_1235) { + values("0.01870,0.02142,0.03373,0.09144,0.36947,1.66122,7.64954"); + } + cell_fall(template_1236) { + values("0.79748,0.80018,0.80984,0.83831,0.92927,1.31692,3.11292"); + } + fall_transition(template_1236) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18618"); + } + } + } + pin("la_data_in_core[88]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[88]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_491) { + values("0.74557,0.74874,0.76100,0.80674,1.00229,1.90323,6.09691"); + } + rise_transition(template_491) { + values("0.01887,0.02159,0.03386,0.09150,0.36944,1.66129,7.64948"); + } + cell_fall(template_492) { + values("0.67870,0.68140,0.69106,0.71952,0.81049,1.19813,2.99402"); + } + fall_transition(template_492) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18611"); + } + } + timing() { + related_pin : "la_oenb_mprj[88]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1231) { + values("0.82078,0.82395,0.83622,0.88195,1.07751,1.97845,6.17212"); + } + rise_transition(template_1231) { + values("0.01887,0.02159,0.03386,0.09150,0.36944,1.66129,7.64948"); + } + cell_fall(template_1232) { + values("0.78986,0.79255,0.80221,0.83068,0.92164,1.30928,3.10518"); + } + fall_transition(template_1232) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18611"); + } + } + } + pin("la_data_in_core[87]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[87]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_489) { + values("0.73846,0.74162,0.75390,0.79966,0.99522,1.89623,6.08926"); + } + rise_transition(template_489) { + values("0.01893,0.02166,0.03392,0.09152,0.36942,1.66131,7.64946"); + } + cell_fall(template_490) { + values("0.67543,0.67812,0.68778,0.71624,0.80721,1.19484,2.99060"); + } + fall_transition(template_490) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18602"); + } + } + timing() { + related_pin : "la_oenb_mprj[87]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1227) { + values("0.82069,0.82386,0.83614,0.88189,1.07746,1.97847,6.17150"); + } + rise_transition(template_1227) { + values("0.01893,0.02166,0.03392,0.09152,0.36942,1.66131,7.64946"); + } + cell_fall(template_1228) { + values("0.77659,0.77928,0.78894,0.81740,0.90837,1.29600,3.09176"); + } + fall_transition(template_1228) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18602"); + } + } + } + pin("la_data_in_core[86]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[86]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_487) { + values("0.78594,0.78916,0.80160,0.84755,1.04323,1.94507,6.13039"); + } + rise_transition(template_487) { + values("0.01969,0.02241,0.03451,0.09175,0.36926,1.66162,7.64919"); + } + cell_fall(template_488) { + values("0.70590,0.70859,0.71824,0.74669,0.83769,1.22526,3.02029"); + } + fall_transition(template_488) { + values("0.01767,0.01923,0.02585,0.05059,0.15979,0.69344,3.18562"); + } + } + timing() { + related_pin : "la_oenb_mprj[86]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1223) { + values("0.85100,0.85423,0.86667,0.91262,1.10829,2.01014,6.19546"); + } + rise_transition(template_1223) { + values("0.01969,0.02241,0.03451,0.09175,0.36926,1.66162,7.64919"); + } + cell_fall(template_1224) { + values("0.79155,0.79425,0.80390,0.83235,0.92335,1.31092,3.10595"); + } + fall_transition(template_1224) { + values("0.01767,0.01923,0.02585,0.05059,0.15979,0.69344,3.18562"); + } + } + } + pin("la_data_in_core[85]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[85]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_485) { + values("0.83694,0.84024,0.85285,0.89902,1.09482,1.99760,6.17426"); + } + rise_transition(template_485) { + values("0.02054,0.02325,0.03518,0.09201,0.36907,1.66196,7.64888"); + } + cell_fall(template_486) { + values("0.73564,0.73833,0.74799,0.77646,0.86747,1.25506,3.05063"); + } + fall_transition(template_486) { + values("0.01778,0.01934,0.02594,0.05064,0.15981,0.69340,3.18611"); + } + } + timing() { + related_pin : "la_oenb_mprj[85]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1219) { + values("0.88551,0.88880,0.90141,0.94758,1.14338,2.04616,6.22282"); + } + rise_transition(template_1219) { + values("0.02054,0.02325,0.03518,0.09201,0.36907,1.66196,7.64888"); + } + cell_fall(template_1220) { + values("0.80848,0.81117,0.82084,0.84931,0.94031,1.32790,3.12347"); + } + fall_transition(template_1220) { + values("0.01778,0.01934,0.02594,0.05064,0.15981,0.69340,3.18611"); + } + } + } + pin("la_data_in_core[84]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[84]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_483) { + values("0.80256,0.80581,0.81832,0.86436,1.06009,1.96232,6.14406"); + } + rise_transition(template_483) { + values("0.02004,0.02275,0.03479,0.09186,0.36918,1.66176,7.64906"); + } + cell_fall(template_484) { + values("0.71482,0.71751,0.72716,0.75561,0.84661,1.23418,3.02928"); + } + fall_transition(template_484) { + values("0.01768,0.01925,0.02586,0.05059,0.15980,0.69343,3.18568"); + } + } + timing() { + related_pin : "la_oenb_mprj[84]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1215) { + values("0.85997,0.86322,0.87573,0.92177,1.11750,2.01973,6.20147"); + } + rise_transition(template_1215) { + values("0.02004,0.02275,0.03479,0.09186,0.36918,1.66176,7.64906"); + } + cell_fall(template_1216) { + values("0.78933,0.79202,0.80168,0.83013,0.92113,1.30870,3.10380"); + } + fall_transition(template_1216) { + values("0.01768,0.01925,0.02586,0.05059,0.15980,0.69343,3.18568"); + } + } + } + pin("la_data_in_core[83]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[83]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_481) { + values("0.75831,0.76151,0.77385,0.81969,1.01530,1.91667,6.10639"); + } + rise_transition(template_481) { + values("0.01926,0.02198,0.03417,0.09162,0.36935,1.66144,7.64934"); + } + cell_fall(template_482) { + values("0.69099,0.69368,0.70333,0.73177,0.82276,1.21034,3.00538"); + } + fall_transition(template_482) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18555"); + } + } + timing() { + related_pin : "la_oenb_mprj[83]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1211) { + values("0.81531,0.81851,0.83085,0.87669,1.07230,1.97367,6.16339"); + } + rise_transition(template_1211) { + values("0.01926,0.02198,0.03417,0.09162,0.36935,1.66144,7.64934"); + } + cell_fall(template_1212) { + values("0.75476,0.75745,0.76710,0.79554,0.88653,1.27411,3.06915"); + } + fall_transition(template_1212) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18555"); + } + } + } + pin("la_data_in_core[82]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[82]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_479) { + values("0.71719,0.72035,0.73262,0.77835,0.97390,1.87482,6.06869"); + } + rise_transition(template_479) { + values("0.01885,0.02158,0.03385,0.09149,0.36944,1.66128,7.64949"); + } + cell_fall(template_480) { + values("0.66386,0.66655,0.67622,0.70468,0.79565,1.18329,2.97922"); + } + fall_transition(template_480) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18613"); + } + } + timing() { + related_pin : "la_oenb_mprj[82]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1207) { + values("0.80709,0.81026,0.82252,0.86825,1.06380,1.96472,6.15859"); + } + rise_transition(template_1207) { + values("0.01885,0.02158,0.03385,0.09149,0.36944,1.66128,7.64949"); + } + cell_fall(template_1208) { + values("0.75994,0.76263,0.77230,0.80076,0.89172,1.27937,3.07530"); + } + fall_transition(template_1208) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18613"); + } + } + } + pin("la_data_in_core[81]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[81]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_477) { + values("0.66277,0.66587,0.67795,0.72345,0.91887,1.81882,6.02166"); + } + rise_transition(template_477) { + values("0.01797,0.02070,0.03316,0.09122,0.36963,1.66092,7.64980"); + } + cell_fall(template_478) { + values("0.63139,0.63409,0.64377,0.67229,0.76320,1.15097,2.94863"); + } + fall_transition(template_478) { + values("0.01754,0.01920,0.02582,0.05057,0.15985,0.69351,3.18726"); + } + } + timing() { + related_pin : "la_oenb_mprj[81]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1203) { + values("0.76254,0.76563,0.77771,0.82322,1.01864,1.91859,6.12142"); + } + rise_transition(template_1203) { + values("0.01797,0.02070,0.03316,0.09122,0.36963,1.66092,7.64980"); + } + cell_fall(template_1204) { + values("0.71914,0.72184,0.73152,0.76003,0.85095,1.23872,3.03638"); + } + fall_transition(template_1204) { + values("0.01754,0.01920,0.02582,0.05057,0.15985,0.69351,3.18726"); + } + } + } + pin("la_data_in_core[80]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[80]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_475) { + values("0.69168,0.69479,0.70691,0.75246,0.94791,1.84806,6.04899"); + } + rise_transition(template_475) { + values("0.01816,0.02089,0.03330,0.09128,0.36959,1.66100,7.64974"); + } + cell_fall(template_476) { + values("0.64844,0.65114,0.66082,0.68932,0.78024,1.16799,2.96531"); + } + fall_transition(template_476) { + values("0.01755,0.01920,0.02582,0.05057,0.15984,0.69350,3.18704"); + } + } + timing() { + related_pin : "la_oenb_mprj[80]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1199) { + values("0.78339,0.78650,0.79861,0.84417,1.03962,1.93977,6.14070"); + } + rise_transition(template_1199) { + values("0.01816,0.02089,0.03330,0.09128,0.36959,1.66100,7.64974"); + } + cell_fall(template_1200) { + values("0.74987,0.75257,0.76225,0.79075,0.88168,1.26942,3.06674"); + } + fall_transition(template_1200) { + values("0.01755,0.01920,0.02582,0.05057,0.15984,0.69350,3.18704"); + } + } + } + pin("la_data_in_core[79]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[79]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_471) { + values("0.68351,0.68663,0.69878,0.74437,0.93984,1.84017,6.03953"); + } + rise_transition(template_471) { + values("0.01831,0.02104,0.03343,0.09133,0.36956,1.66106,7.64968"); + } + cell_fall(template_472) { + values("0.64457,0.64727,0.65694,0.68544,0.77637,1.16410,2.96112"); + } + fall_transition(template_472) { + values("0.01756,0.01919,0.02581,0.05057,0.15984,0.69350,3.18684"); + } + } + timing() { + related_pin : "la_oenb_mprj[79]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1191) { + values("0.79957,0.80269,0.81485,0.86044,1.05591,1.95624,6.15559"); + } + rise_transition(template_1191) { + values("0.01831,0.02104,0.03343,0.09133,0.36956,1.66106,7.64968"); + } + cell_fall(template_1192) { + values("0.76771,0.77040,0.78008,0.80857,0.89950,1.28723,3.08425"); + } + fall_transition(template_1192) { + values("0.01756,0.01919,0.02581,0.05057,0.15984,0.69350,3.18684"); + } + } + } + pin("la_data_in_core[78]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[78]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_469) { + values("0.65774,0.66085,0.67296,0.71851,0.91395,1.81407,6.01537"); + } + rise_transition(template_469) { + values("0.01812,0.02085,0.03327,0.09127,0.36960,1.66098,7.64975"); + } + cell_fall(template_470) { + values("0.62938,0.63208,0.64176,0.67026,0.76118,1.14893,2.94631"); + } + fall_transition(template_470) { + values("0.01755,0.01920,0.02582,0.05057,0.15985,0.69351,3.18708"); + } + } + timing() { + related_pin : "la_oenb_mprj[78]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1187) { + values("0.76747,0.77058,0.78269,0.82824,1.02368,1.92380,6.12510"); + } + rise_transition(template_1187) { + values("0.01812,0.02085,0.03327,0.09127,0.36960,1.66098,7.64975"); + } + cell_fall(template_1188) { + values("0.71427,0.71697,0.72665,0.75515,0.84607,1.23382,3.03120"); + } + fall_transition(template_1188) { + values("0.01755,0.01920,0.02582,0.05057,0.15985,0.69351,3.18708"); + } + } + } + pin("la_data_in_core[77]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[77]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_467) { + values("0.67424,0.67734,0.68944,0.73497,0.93040,1.83045,6.03236"); + } + rise_transition(template_467) { + values("0.01806,0.02079,0.03323,0.09125,0.36961,1.66096,7.64977"); + } + cell_fall(template_468) { + values("0.63536,0.63805,0.64773,0.67624,0.76716,1.15492,2.95242"); + } + fall_transition(template_468) { + values("0.01755,0.01920,0.02582,0.05057,0.15985,0.69351,3.18716"); + } + } + timing() { + related_pin : "la_oenb_mprj[77]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1183) { + values("0.76844,0.77154,0.78364,0.82917,1.02460,1.92465,6.12656"); + } + rise_transition(template_1183) { + values("0.01806,0.02079,0.03323,0.09125,0.36961,1.66096,7.64977"); + } + cell_fall(template_1184) { + values("0.72814,0.73084,0.74052,0.76903,0.85995,1.24771,3.04521"); + } + fall_transition(template_1184) { + values("0.01755,0.01920,0.02582,0.05057,0.15985,0.69351,3.18716"); + } + } + } + pin("la_data_in_core[76]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[76]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_465) { + values("0.66613,0.66923,0.68132,0.72685,0.92228,1.82230,6.02446"); + } + rise_transition(template_465) { + values("0.01804,0.02077,0.03321,0.09124,0.36962,1.66095,7.64978"); + } + cell_fall(template_466) { + values("0.63512,0.63782,0.64750,0.67601,0.76692,1.15469,2.95224"); + } + fall_transition(template_466) { + values("0.01754,0.01920,0.02582,0.05057,0.15985,0.69351,3.18719"); + } + } + timing() { + related_pin : "la_oenb_mprj[76]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1179) { + values("0.76040,0.76350,0.77559,0.82111,1.01654,1.91657,6.11872"); + } + rise_transition(template_1179) { + values("0.01804,0.02077,0.03321,0.09124,0.36962,1.66095,7.64978"); + } + cell_fall(template_1180) { + values("0.70551,0.70821,0.71789,0.74640,0.83731,1.22508,3.02262"); + } + fall_transition(template_1180) { + values("0.01754,0.01920,0.02582,0.05057,0.15985,0.69351,3.18719"); + } + } + } + pin("la_data_in_core[75]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[75]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_463) { + values("0.66964,0.67273,0.68478,0.73025,0.92565,1.82545,6.02966"); + } + rise_transition(template_463) { + values("0.01784,0.02057,0.03305,0.09118,0.36966,1.66087,7.64985"); + } + cell_fall(template_464) { + values("0.63231,0.63501,0.64469,0.67321,0.76412,1.15191,2.94980"); + } + fall_transition(template_464) { + values("0.01754,0.01921,0.02582,0.05057,0.15986,0.69351,3.18742"); + } + } + timing() { + related_pin : "la_oenb_mprj[75]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1175) { + values("0.75803,0.76111,0.77316,0.81864,1.01403,1.91384,6.11804"); + } + rise_transition(template_1175) { + values("0.01784,0.02057,0.03305,0.09118,0.36966,1.66087,7.64985"); + } + cell_fall(template_1176) { + values("0.71079,0.71349,0.72317,0.75169,0.84260,1.23039,3.02828"); + } + fall_transition(template_1176) { + values("0.01754,0.01921,0.02582,0.05057,0.15986,0.69351,3.18742"); + } + } + } + pin("la_data_in_core[74]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[74]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_461) { + values("0.73554,0.73870,0.75094,0.79665,0.99219,1.89301,6.08780"); + } + rise_transition(template_461) { + values("0.01876,0.02149,0.03378,0.09146,0.36946,1.66124,7.64952"); + } + cell_fall(template_462) { + values("0.67478,0.67747,0.68713,0.71561,0.80656,1.19422,2.99031"); + } + fall_transition(template_462) { + values("0.01758,0.01918,0.02581,0.05056,0.15982,0.69348,3.18623"); + } + } + timing() { + related_pin : "la_oenb_mprj[74]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1171) { + values("0.80672,0.80988,0.82212,0.86783,1.06337,1.96419,6.15898"); + } + rise_transition(template_1171) { + values("0.01876,0.02149,0.03378,0.09146,0.36946,1.66124,7.64952"); + } + cell_fall(template_1172) { + values("0.75923,0.76192,0.77159,0.80006,0.89102,1.27867,3.07476"); + } + fall_transition(template_1172) { + values("0.01758,0.01918,0.02581,0.05056,0.15982,0.69348,3.18623"); + } + } + } + pin("la_data_in_core[73]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[73]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_459) { + values("0.66573,0.66884,0.68097,0.72652,0.92197,1.82215,6.02292"); + } + rise_transition(template_459) { + values("0.01818,0.02090,0.03332,0.09128,0.36959,1.66100,7.64973"); + } + cell_fall(template_460) { + values("0.63285,0.63555,0.64522,0.67373,0.76465,1.15240,2.94969"); + } + fall_transition(template_460) { + values("0.01755,0.01920,0.02582,0.05057,0.15984,0.69350,3.18702"); + } + } + timing() { + related_pin : "la_oenb_mprj[73]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1167) { + values("0.76720,0.77031,0.78243,0.82799,1.02344,1.92361,6.12438"); + } + rise_transition(template_1167) { + values("0.01818,0.02090,0.03332,0.09128,0.36959,1.66100,7.64973"); + } + cell_fall(template_1168) { + values("0.71594,0.71864,0.72832,0.75682,0.84774,1.23549,3.03278"); + } + fall_transition(template_1168) { + values("0.01755,0.01920,0.02582,0.05057,0.15984,0.69350,3.18702"); + } + } + } + pin("la_data_in_core[72]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[72]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_457) { + values("0.67633,0.67947,0.69167,0.73733,0.93283,1.83342,6.03035"); + } + rise_transition(template_457) { + values("0.01855,0.02128,0.03361,0.09140,0.36951,1.66116,7.64960"); + } + cell_fall(template_458) { + values("0.63667,0.63936,0.64903,0.67752,0.76846,1.15615,2.95272"); + } + fall_transition(template_458) { + values("0.01757,0.01919,0.02581,0.05056,0.15983,0.69349,3.18654"); + } + } + timing() { + related_pin : "la_oenb_mprj[72]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1163) { + values("0.78704,0.79018,0.80238,0.84803,1.04354,1.94413,6.14105"); + } + rise_transition(template_1163) { + values("0.01855,0.02128,0.03361,0.09140,0.36951,1.66116,7.64960"); + } + cell_fall(template_1164) { + values("0.74631,0.74900,0.75867,0.78716,0.87810,1.26579,3.06236"); + } + fall_transition(template_1164) { + values("0.01757,0.01919,0.02581,0.05056,0.15983,0.69349,3.18654"); + } + } + } + pin("la_data_in_core[71]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[71]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_455) { + values("0.74061,0.74380,0.75613,0.80195,0.99756,1.89886,6.08920"); + } + rise_transition(template_455) { + values("0.01920,0.02192,0.03412,0.09160,0.36936,1.66142,7.64936"); + } + cell_fall(template_456) { + values("0.69083,0.69352,0.70318,0.73163,0.82261,1.21020,3.00541"); + } + fall_transition(template_456) { + values("0.01759,0.01917,0.02580,0.05055,0.15980,0.69347,3.18566"); + } + } + timing() { + related_pin : "la_oenb_mprj[71]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1159) { + values("0.80984,0.81303,0.82537,0.87119,1.06679,1.96809,6.15843"); + } + rise_transition(template_1159) { + values("0.01920,0.02192,0.03412,0.09160,0.36936,1.66142,7.64936"); + } + cell_fall(template_1160) { + values("0.75919,0.76188,0.77153,0.79998,0.89096,1.27855,3.07377"); + } + fall_transition(template_1160) { + values("0.01759,0.01917,0.02580,0.05055,0.15980,0.69347,3.18566"); + } + } + } + pin("la_data_in_core[70]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[70]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_453) { + values("0.68521,0.68835,0.70055,0.74621,0.94172,1.84233,6.03907"); + } + rise_transition(template_453) { + values("0.01857,0.02130,0.03363,0.09141,0.36950,1.66116,7.64959"); + } + cell_fall(template_454) { + values("0.64155,0.64424,0.65391,0.68239,0.77334,1.16103,2.95755"); + } + fall_transition(template_454) { + values("0.01757,0.01919,0.02581,0.05056,0.15983,0.69349,3.18652"); + } + } + timing() { + related_pin : "la_oenb_mprj[70]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1155) { + values("0.76634,0.76948,0.78168,0.82734,1.02285,1.92346,6.12020"); + } + rise_transition(template_1155) { + values("0.01857,0.02130,0.03363,0.09141,0.36950,1.66116,7.64959"); + } + cell_fall(template_1156) { + values("0.69975,0.70245,0.71211,0.74060,0.83154,1.21923,3.01576"); + } + fall_transition(template_1156) { + values("0.01757,0.01919,0.02581,0.05056,0.15983,0.69349,3.18652"); + } + } + } + pin("la_data_in_core[69]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[69]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_449) { + values("0.67037,0.67351,0.68569,0.73133,0.92682,1.82732,6.02506"); + } + rise_transition(template_449) { + values("0.01847,0.02120,0.03355,0.09138,0.36952,1.66113,7.64963"); + } + cell_fall(template_450) { + values("0.63736,0.64006,0.64973,0.67822,0.76916,1.15686,2.95359"); + } + fall_transition(template_450) { + values("0.01756,0.01919,0.02581,0.05056,0.15983,0.69349,3.18665"); + } + } + timing() { + related_pin : "la_oenb_mprj[69]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1147) { + values("0.75700,0.76013,0.77231,0.81795,1.01344,1.91394,6.11168"); + } + rise_transition(template_1147) { + values("0.01847,0.02120,0.03355,0.09138,0.36952,1.66113,7.64963"); + } + cell_fall(template_1148) { + values("0.69908,0.70178,0.71145,0.73994,0.83088,1.21858,3.01531"); + } + fall_transition(template_1148) { + values("0.01756,0.01919,0.02581,0.05056,0.15983,0.69349,3.18665"); + } + } + } + pin("la_data_in_core[68]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[68]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_447) { + values("0.68344,0.68654,0.69865,0.74418,0.93962,1.83969,6.04135"); + } + rise_transition(template_447) { + values("0.01809,0.02082,0.03325,0.09126,0.36961,1.66097,7.64976"); + } + cell_fall(template_448) { + values("0.64498,0.64768,0.65736,0.68586,0.77678,1.16454,2.96200"); + } + fall_transition(template_448) { + values("0.01755,0.01920,0.02582,0.05057,0.15985,0.69351,3.18713"); + } + } + timing() { + related_pin : "la_oenb_mprj[68]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1143) { + values("0.74150,0.74460,0.75671,0.80224,0.99768,1.89776,6.09941"); + } + rise_transition(template_1143) { + values("0.01809,0.02082,0.03325,0.09126,0.36961,1.66097,7.64976"); + } + cell_fall(template_1144) { + values("0.67734,0.68004,0.68972,0.71823,0.80915,1.19690,2.99437"); + } + fall_transition(template_1144) { + values("0.01755,0.01920,0.02582,0.05057,0.15985,0.69351,3.18713"); + } + } + } + pin("la_data_in_core[67]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[67]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_445) { + values("0.67968,0.68280,0.69494,0.74051,0.93597,1.83622,6.03628"); + } + rise_transition(template_445) { + values("0.01825,0.02097,0.03337,0.09131,0.36957,1.66103,7.64971"); + } + cell_fall(template_446) { + values("0.63838,0.64108,0.65076,0.67925,0.77018,1.15792,2.95508"); + } + fall_transition(template_446) { + values("0.01755,0.01920,0.02581,0.05057,0.15984,0.69350,3.18694"); + } + } + timing() { + related_pin : "la_oenb_mprj[67]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1139) { + values("0.75182,0.75493,0.76707,0.81265,1.00811,1.90836,6.10841"); + } + rise_transition(template_1139) { + values("0.01825,0.02097,0.03337,0.09131,0.36957,1.66103,7.64971"); + } + cell_fall(template_1140) { + values("0.68625,0.68895,0.69863,0.72713,0.81805,1.20579,3.00295"); + } + fall_transition(template_1140) { + values("0.01755,0.01920,0.02581,0.05057,0.15984,0.69350,3.18694"); + } + } + } + pin("la_data_in_core[66]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[66]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_443) { + values("0.68246,0.68562,0.69785,0.74355,0.93908,1.83984,6.03513"); + } + rise_transition(template_443) { + values("0.01871,0.02144,0.03374,0.09145,0.36947,1.66122,7.64954"); + } + cell_fall(template_444) { + values("0.64423,0.64693,0.65659,0.68507,0.77602,1.16368,2.95989"); + } + fall_transition(template_444) { + values("0.01757,0.01918,0.02581,0.05056,0.15982,0.69348,3.18631"); + } + } + timing() { + related_pin : "la_oenb_mprj[66]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1135) { + values("0.77669,0.77984,0.79208,0.83777,1.03331,1.93407,6.12936"); + } + rise_transition(template_1135) { + values("0.01871,0.02144,0.03374,0.09145,0.36947,1.66122,7.64954"); + } + cell_fall(template_1136) { + values("0.70802,0.71071,0.72038,0.74885,0.83980,1.22747,3.02367"); + } + fall_transition(template_1136) { + values("0.01757,0.01918,0.02581,0.05056,0.15982,0.69348,3.18631"); + } + } + } + pin("la_data_in_core[65]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[65]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_441) { + values("0.68784,0.69107,0.70353,0.74950,0.94519,1.84712,6.03159"); + } + rise_transition(template_441) { + values("0.01977,0.02249,0.03458,0.09177,0.36924,1.66165,7.64916"); + } + cell_fall(template_442) { + values("0.64359,0.64629,0.65594,0.68439,0.77539,1.16296,2.95808"); + } + fall_transition(template_442) { + values("0.01769,0.01925,0.02586,0.05059,0.15980,0.69343,3.18569"); + } + } + timing() { + related_pin : "la_oenb_mprj[65]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1131) { + values("0.80122,0.80445,0.81690,0.86287,1.05857,1.96050,6.14497"); + } + rise_transition(template_1131) { + values("0.01977,0.02249,0.03458,0.09177,0.36924,1.66165,7.64916"); + } + cell_fall(template_1132) { + values("0.70551,0.70820,0.71786,0.74631,0.83731,1.22488,3.02000"); + } + fall_transition(template_1132) { + values("0.01769,0.01925,0.02586,0.05059,0.15980,0.69343,3.18569"); + } + } + } + pin("la_data_in_core[64]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[64]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_439) { + values("0.67103,0.67435,0.68706,0.73334,0.92921,1.83248,6.00462"); + } + rise_transition(template_439) { + values("0.02098,0.02369,0.03553,0.09215,0.36897,1.66214,7.64872"); + } + cell_fall(template_440) { + values("0.59525,0.59795,0.60762,0.63611,0.72712,1.11472,2.91064"); + } + fall_transition(template_440) { + values("0.01785,0.01942,0.02600,0.05068,0.15983,0.69338,3.18643"); + } + } + timing() { + related_pin : "la_oenb_mprj[64]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1127) { + values("0.84742,0.85075,0.86345,0.90973,1.10561,2.00887,6.18102"); + } + rise_transition(template_1127) { + values("0.02098,0.02369,0.03553,0.09215,0.36897,1.66214,7.64872"); + } + cell_fall(template_1128) { + values("0.73400,0.73669,0.74636,0.77485,0.86586,1.25346,3.04939"); + } + fall_transition(template_1128) { + values("0.01785,0.01942,0.02600,0.05068,0.15983,0.69338,3.18643"); + } + } + } + pin("la_data_in_core[63]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[63]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_437) { + values("0.66096,0.66427,0.67693,0.72314,0.91898,1.82198,5.99659"); + } + rise_transition(template_437) { + values("0.02074,0.02345,0.03534,0.09207,0.36902,1.66205,7.64881"); + } + cell_fall(template_438) { + values("0.59005,0.59275,0.60241,0.63089,0.72190,1.10949,2.90520"); + } + fall_transition(template_438) { + values("0.01781,0.01937,0.02597,0.05066,0.15982,0.69340,3.18624"); + } + } + timing() { + related_pin : "la_oenb_mprj[63]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1123) { + values("0.83987,0.84318,0.85583,0.90205,1.09789,2.00089,6.17549"); + } + rise_transition(template_1123) { + values("0.02074,0.02345,0.03534,0.09207,0.36902,1.66205,7.64881"); + } + cell_fall(template_1124) { + values("0.73130,0.73400,0.74366,0.77214,0.86315,1.25074,3.04646"); + } + fall_transition(template_1124) { + values("0.01781,0.01937,0.02597,0.05066,0.15982,0.69340,3.18624"); + } + } + } + pin("la_data_in_core[62]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[62]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_435) { + values("0.71946,0.72261,0.73485,0.78056,0.97610,1.87690,6.07182"); + } + rise_transition(template_435) { + values("0.01875,0.02147,0.03377,0.09146,0.36946,1.66124,7.64953"); + } + cell_fall(template_436) { + values("0.73204,0.73474,0.74440,0.77286,0.86383,1.25147,3.04740"); + } + fall_transition(template_436) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18613"); + } + } + timing() { + related_pin : "la_oenb_mprj[62]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1119) { + values("0.81647,0.81962,0.83186,0.87757,1.07310,1.97391,6.16883"); + } + rise_transition(template_1119) { + values("0.01875,0.02147,0.03377,0.09146,0.36946,1.66124,7.64953"); + } + cell_fall(template_1120) { + values("0.80241,0.80510,0.81476,0.84323,0.93419,1.32184,3.11777"); + } + fall_transition(template_1120) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18613"); + } + } + } + pin("la_data_in_core[61]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[61]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_433) { + values("0.74772,0.75091,0.76323,0.80904,1.00464,1.90589,6.09668"); + } + rise_transition(template_433) { + values("0.01915,0.02187,0.03409,0.09158,0.36937,1.66140,7.64938"); + } + cell_fall(template_434) { + values("0.69097,0.69366,0.70332,0.73178,0.82275,1.21037,3.00598"); + } + fall_transition(template_434) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18592"); + } + } + timing() { + related_pin : "la_oenb_mprj[61]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1115) { + values("0.85802,0.86121,0.87353,0.91934,1.11494,2.01619,6.20698"); + } + rise_transition(template_1115) { + values("0.01915,0.02187,0.03409,0.09158,0.36937,1.66140,7.64938"); + } + cell_fall(template_1116) { + values("0.72071,0.72341,0.73307,0.76152,0.85250,1.24012,3.03572"); + } + fall_transition(template_1116) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18592"); + } + } + } + pin("la_data_in_core[60]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[60]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_431) { + values("0.83098,0.83415,0.84644,0.89221,1.08778,1.98884,6.18139"); + } + rise_transition(template_431) { + values("0.01898,0.02170,0.03395,0.09153,0.36941,1.66133,7.64944"); + } + cell_fall(template_432) { + values("0.73826,0.74095,0.75061,0.77906,0.87004,1.25764,3.05299"); + } + fall_transition(template_432) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18575"); + } + } + timing() { + related_pin : "la_oenb_mprj[60]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1111) { + values("0.96309,0.96626,0.97855,1.02432,1.21989,2.12095,6.31350"); + } + rise_transition(template_1111) { + values("0.01898,0.02170,0.03395,0.09153,0.36941,1.66133,7.64944"); + } + cell_fall(template_1112) { + values("0.80802,0.81071,0.82037,0.84882,0.93980,1.32740,3.12275"); + } + fall_transition(template_1112) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18575"); + } + } + } + pin("la_data_in_core[59]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[59]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_427) { + values("0.80631,0.80951,0.82189,0.86777,1.06341,1.96495,6.15306"); + } + rise_transition(template_427) { + values("0.01942,0.02214,0.03430,0.09167,0.36932,1.66151,7.64929"); + } + cell_fall(template_428) { + values("0.72541,0.72810,0.73775,0.76620,0.85718,1.24477,3.03988"); + } + fall_transition(template_428) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18559"); + } + } + timing() { + related_pin : "la_oenb_mprj[59]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1103) { + values("0.92665,0.92986,0.94224,0.98812,1.18375,2.08529,6.27341"); + } + rise_transition(template_1103) { + values("0.01942,0.02214,0.03430,0.09167,0.36932,1.66151,7.64929"); + } + cell_fall(template_1104) { + values("0.77461,0.77730,0.78695,0.81540,0.90639,1.29397,3.08908"); + } + fall_transition(template_1104) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18559"); + } + } + } + pin("la_data_in_core[58]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[58]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_425) { + values("1.09792,1.10111,1.11346,1.15929,1.35490,2.25625,6.44614"); + } + rise_transition(template_425) { + values("0.01924,0.02196,0.03416,0.09161,0.36935,1.66144,7.64935"); + } + cell_fall(template_426) { + values("1.00210,1.00479,1.01444,1.04289,1.13387,1.52147,3.31681"); + } + fall_transition(template_426) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18574"); + } + } + timing() { + related_pin : "la_oenb_mprj[58]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1099) { + values("1.21508,1.21827,1.23061,1.27645,1.47206,2.37341,6.56329"); + } + rise_transition(template_1099) { + values("0.01924,0.02196,0.03416,0.09161,0.36935,1.66144,7.64935"); + } + cell_fall(template_1100) { + values("1.05732,1.06001,1.06967,1.09812,1.18910,1.57670,3.37203"); + } + fall_transition(template_1100) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18574"); + } + } + } + pin("la_data_in_core[57]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[57]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_423) { + values("0.79064,0.79383,0.80617,0.85199,1.04760,1.94890,6.13921"); + } + rise_transition(template_423) { + values("0.01920,0.02192,0.03413,0.09160,0.36936,1.66142,7.64936"); + } + cell_fall(template_424) { + values("0.72063,0.72332,0.73298,0.76144,0.85241,1.24002,3.03552"); + } + fall_transition(template_424) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18585"); + } + } + timing() { + related_pin : "la_oenb_mprj[57]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1095) { + values("0.87823,0.88142,0.89376,0.93958,1.13518,2.03649,6.22679"); + } + rise_transition(template_1095) { + values("0.01920,0.02192,0.03413,0.09160,0.36936,1.66142,7.64936"); + } + cell_fall(template_1096) { + values("0.75352,0.75622,0.76587,0.79433,0.88530,1.27291,3.06841"); + } + fall_transition(template_1096) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18585"); + } + } + } + pin("la_data_in_core[56]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[56]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_421) { + values("0.95997,0.96317,0.97553,1.02139,1.21702,2.11847,6.30741"); + } + rise_transition(template_421) { + values("0.01934,0.02205,0.03423,0.09164,0.36933,1.66148,7.64931"); + } + cell_fall(template_422) { + values("0.92082,0.92351,0.93316,0.96161,1.05259,1.44019,3.23553"); + } + fall_transition(template_422) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18574"); + } + } + timing() { + related_pin : "la_oenb_mprj[56]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1091) { + values("0.99966,1.00286,1.01522,1.06108,1.25671,2.15816,6.34710"); + } + rise_transition(template_1091) { + values("0.01934,0.02205,0.03423,0.09164,0.36933,1.66148,7.64931"); + } + cell_fall(template_1092) { + values("0.93641,0.93910,0.94876,0.97721,1.06819,1.45579,3.25112"); + } + fall_transition(template_1092) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18574"); + } + } + } + pin("la_data_in_core[55]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[55]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_419) { + values("0.91889,0.92209,0.93445,0.98030,1.17592,2.07733,6.26660"); + } + rise_transition(template_419) { + values("0.01930,0.02202,0.03421,0.09163,0.36934,1.66146,7.64933"); + } + cell_fall(template_420) { + values("0.87418,0.87687,0.88653,0.91497,1.00596,1.39355,3.18872"); + } + fall_transition(template_420) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18563"); + } + } + timing() { + related_pin : "la_oenb_mprj[55]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1087) { + values("0.95488,0.95808,0.97044,1.01629,1.21191,2.11332,6.30259"); + } + rise_transition(template_1087) { + values("0.01930,0.02202,0.03421,0.09163,0.36934,1.66146,7.64933"); + } + cell_fall(template_1088) { + values("0.87595,0.87865,0.88830,0.91675,1.00773,1.39532,3.19050"); + } + fall_transition(template_1088) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18563"); + } + } + } + pin("la_data_in_core[54]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[54]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_417) { + values("0.88686,0.89003,0.90232,0.94808,1.14365,2.04469,6.23741"); + } + rise_transition(template_417) { + values("0.01897,0.02169,0.03394,0.09153,0.36941,1.66133,7.64945"); + } + cell_fall(template_418) { + values("0.78412,0.78681,0.79646,0.82491,0.91589,1.30349,3.09877"); + } + fall_transition(template_418) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18570"); + } + } + timing() { + related_pin : "la_oenb_mprj[54]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1083) { + values("0.95924,0.96241,0.97469,1.02046,1.21603,2.11707,6.30978"); + } + rise_transition(template_1083) { + values("0.01897,0.02169,0.03394,0.09153,0.36941,1.66133,7.64945"); + } + cell_fall(template_1084) { + values("0.81270,0.81539,0.82505,0.85350,0.94448,1.33207,3.12735"); + } + fall_transition(template_1084) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18570"); + } + } + } + pin("la_data_in_core[53]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[53]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_415) { + values("0.85079,0.85397,0.86627,0.91205,1.10763,2.00877,6.20065"); + } + rise_transition(template_415) { + values("0.01905,0.02177,0.03400,0.09155,0.36940,1.66136,7.64942"); + } + cell_fall(template_416) { + values("0.74680,0.74949,0.75915,0.78760,0.87858,1.26618,3.06153"); + } + fall_transition(template_416) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18575"); + } + } + timing() { + related_pin : "la_oenb_mprj[53]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1079) { + values("1.00798,1.01116,1.02346,1.06924,1.26482,2.16596,6.35784"); + } + rise_transition(template_1079) { + values("0.01905,0.02177,0.03400,0.09155,0.36940,1.66136,7.64942"); + } + cell_fall(template_1080) { + values("0.83266,0.83535,0.84500,0.87346,0.96443,1.35204,3.14738"); + } + fall_transition(template_1080) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18575"); + } + } + } + pin("la_data_in_core[52]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[52]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_413) { + values("0.92432,0.92752,0.93988,0.98573,1.18135,2.08278,6.27195"); + } + rise_transition(template_413) { + values("0.01931,0.02203,0.03421,0.09163,0.36934,1.66147,7.64932"); + } + cell_fall(template_414) { + values("0.80887,0.81156,0.82121,0.84966,0.94064,1.32823,3.12338"); + } + fall_transition(template_414) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18562"); + } + } + timing() { + related_pin : "la_oenb_mprj[52]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1075) { + values("0.99760,1.00080,1.01316,1.05901,1.25463,2.15606,6.34522"); + } + rise_transition(template_1075) { + values("0.01931,0.02203,0.03421,0.09163,0.36934,1.66147,7.64932"); + } + cell_fall(template_1076) { + values("0.81491,0.81760,0.82725,0.85570,0.94668,1.33427,3.12943"); + } + fall_transition(template_1076) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18562"); + } + } + } + pin("la_data_in_core[51]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[51]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_411) { + values("0.93329,0.93650,0.94887,0.99473,1.19036,2.09186,6.28041"); + } + rise_transition(template_411) { + values("0.01937,0.02209,0.03426,0.09165,0.36932,1.66149,7.64930"); + } + cell_fall(template_412) { + values("0.81319,0.81588,0.82554,0.85398,0.94497,1.33256,3.12779"); + } + fall_transition(template_412) { + values("0.01759,0.01917,0.02580,0.05055,0.15980,0.69347,3.18567"); + } + } + timing() { + related_pin : "la_oenb_mprj[51]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1071) { + values("0.97366,0.97686,0.98923,1.03510,1.23073,2.13222,6.32078"); + } + rise_transition(template_1071) { + values("0.01937,0.02209,0.03426,0.09165,0.36932,1.66149,7.64930"); + } + cell_fall(template_1072) { + values("0.79825,0.80094,0.81060,0.83904,0.93003,1.31762,3.11285"); + } + fall_transition(template_1072) { + values("0.01759,0.01917,0.02580,0.05055,0.15980,0.69347,3.18567"); + } + } + } + pin("la_data_in_core[50]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[50]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_409) { + values("0.99498,0.99820,1.01062,1.05654,1.25221,2.15396,6.34016"); + } + rise_transition(template_409) { + values("0.01960,0.02232,0.03444,0.09172,0.36927,1.66158,7.64922"); + } + cell_fall(template_410) { + values("0.95095,0.95364,0.96330,0.99174,1.08273,1.47030,3.26526"); + } + fall_transition(template_410) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18549"); + } + } + timing() { + related_pin : "la_oenb_mprj[50]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1067) { + values("1.01195,1.01517,1.02759,1.07352,1.26918,2.17093,6.35713"); + } + rise_transition(template_1067) { + values("0.01960,0.02232,0.03444,0.09172,0.36927,1.66158,7.64922"); + } + cell_fall(template_1068) { + values("0.93915,0.94185,0.95150,0.97994,1.07093,1.45850,3.25346"); + } + fall_transition(template_1068) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18549"); + } + } + } + pin("la_data_in_core[49]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[49]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_405) { + values("0.90947,0.91266,0.92503,0.97088,1.16650,2.06794,6.25699"); + } + rise_transition(template_405) { + values("0.01932,0.02204,0.03422,0.09164,0.36934,1.66147,7.64932"); + } + cell_fall(template_406) { + values("0.79889,0.80158,0.81123,0.83968,0.93066,1.31825,3.11334"); + } + fall_transition(template_406) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18558"); + } + } + timing() { + related_pin : "la_oenb_mprj[49]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1059) { + values("1.00290,1.00610,1.01846,1.06431,1.25993,2.16138,6.35042"); + } + rise_transition(template_1059) { + values("0.01932,0.02204,0.03422,0.09164,0.36934,1.66147,7.64932"); + } + cell_fall(template_1060) { + values("0.83742,0.84011,0.84977,0.87821,0.96920,1.35678,3.15188"); + } + fall_transition(template_1060) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18558"); + } + } + } + pin("la_data_in_core[48]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[48]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_403) { + values("0.92379,0.92701,0.93941,0.98530,1.18096,2.08259,6.26983"); + } + rise_transition(template_403) { + values("0.01950,0.02222,0.03436,0.09169,0.36930,1.66154,7.64925"); + } + cell_fall(template_404) { + values("0.80371,0.80640,0.81605,0.84448,0.93548,1.32304,3.11781"); + } + fall_transition(template_404) { + values("0.01760,0.01917,0.02580,0.05055,0.15978,0.69346,3.18537"); + } + } + timing() { + related_pin : "la_oenb_mprj[48]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1055) { + values("0.96898,0.97219,0.98459,1.03049,1.22614,2.12777,6.31501"); + } + rise_transition(template_1055) { + values("0.01950,0.02222,0.03436,0.09169,0.36930,1.66154,7.64925"); + } + cell_fall(template_1056) { + values("0.78690,0.78960,0.79924,0.82768,0.91868,1.30623,3.10101"); + } + fall_transition(template_1056) { + values("0.01760,0.01917,0.02580,0.05055,0.15978,0.69346,3.18537"); + } + } + } + pin("la_data_in_core[47]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[47]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_401) { + values("0.95607,0.95927,0.97163,1.01748,1.21311,2.11455,6.30360"); + } + rise_transition(template_401) { + values("0.01932,0.02204,0.03422,0.09164,0.36934,1.66147,7.64932"); + } + cell_fall(template_402) { + values("0.82910,0.83179,0.84145,0.86989,0.96088,1.34846,3.14356"); + } + fall_transition(template_402) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18558"); + } + } + timing() { + related_pin : "la_oenb_mprj[47]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1051) { + values("0.97096,0.97416,0.98652,1.03237,1.22799,2.12943,6.31848"); + } + rise_transition(template_1051) { + values("0.01932,0.02204,0.03422,0.09164,0.36934,1.66147,7.64932"); + } + cell_fall(template_1052) { + values("0.77739,0.78008,0.78973,0.81818,0.90916,1.29674,3.09184"); + } + fall_transition(template_1052) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18558"); + } + } + } + pin("la_data_in_core[46]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[46]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_399) { + values("0.88803,0.89120,0.90349,0.94925,1.14482,2.04587,6.23854"); + } + rise_transition(template_399) { + values("0.01897,0.02169,0.03394,0.09153,0.36941,1.66133,7.64945"); + } + cell_fall(template_400) { + values("0.79068,0.79337,0.80303,0.83148,0.92246,1.31005,3.10532"); + } + fall_transition(template_400) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18570"); + } + } + timing() { + related_pin : "la_oenb_mprj[46]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1047) { + values("0.91402,0.91719,0.92948,0.97524,1.17081,2.07186,6.26453"); + } + rise_transition(template_1047) { + values("0.01897,0.02169,0.03394,0.09153,0.36941,1.66133,7.64945"); + } + cell_fall(template_1048) { + values("0.75169,0.75438,0.76404,0.79249,0.88347,1.27106,3.06633"); + } + fall_transition(template_1048) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18570"); + } + } + } + pin("la_data_in_core[45]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[45]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_397) { + values("0.87871,0.88190,0.89424,0.94006,1.13567,2.03699,6.22712"); + } + rise_transition(template_397) { + values("0.01922,0.02194,0.03414,0.09160,0.36936,1.66143,7.64936"); + } + cell_fall(template_398) { + values("0.77763,0.78032,0.78998,0.81843,0.90941,1.29702,3.09246"); + } + fall_transition(template_398) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18581"); + } + } + timing() { + related_pin : "la_oenb_mprj[45]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1043) { + values("0.98444,0.98763,0.99997,1.04580,1.24141,2.14273,6.33286"); + } + rise_transition(template_1043) { + values("0.01922,0.02194,0.03414,0.09160,0.36936,1.66143,7.64936"); + } + cell_fall(template_1044) { + values("0.82580,0.82849,0.83815,0.86660,0.95758,1.34519,3.14063"); + } + fall_transition(template_1044) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18581"); + } + } + } + pin("la_data_in_core[44]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[44]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_395) { + values("0.87430,0.87751,0.88989,0.93578,1.13143,2.03301,6.22071"); + } + rise_transition(template_395) { + values("0.01946,0.02218,0.03433,0.09168,0.36931,1.66153,7.64927"); + } + cell_fall(template_396) { + values("0.77252,0.77521,0.78486,0.81330,0.90429,1.29186,3.08679"); + } + fall_transition(template_396) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18547"); + } + } + timing() { + related_pin : "la_oenb_mprj[44]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1039) { + values("0.95067,0.95388,0.96627,1.01216,1.20780,2.10939,6.29708"); + } + rise_transition(template_1039) { + values("0.01946,0.02218,0.03433,0.09168,0.36931,1.66153,7.64927"); + } + cell_fall(template_1040) { + values("0.79932,0.80201,0.81167,0.84010,0.93110,1.31867,3.11359"); + } + fall_transition(template_1040) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18547"); + } + } + } + pin("la_data_in_core[43]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[43]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_393) { + values("0.83960,0.84280,0.85519,0.90108,1.09672,1.99830,6.18604"); + } + rise_transition(template_393) { + values("0.01945,0.02217,0.03433,0.09168,0.36931,1.66152,7.64927"); + } + cell_fall(template_394) { + values("0.75072,0.75342,0.76307,0.79151,0.88250,1.27008,3.06511"); + } + fall_transition(template_394) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18554"); + } + } + timing() { + related_pin : "la_oenb_mprj[43]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1035) { + values("0.88400,0.88720,0.89959,0.94548,1.14112,2.04270,6.23044"); + } + rise_transition(template_1035) { + values("0.01945,0.02217,0.03433,0.09168,0.36931,1.66152,7.64927"); + } + cell_fall(template_1036) { + values("0.71336,0.71605,0.72571,0.75415,0.84514,1.23271,3.02775"); + } + fall_transition(template_1036) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18554"); + } + } + } + pin("la_data_in_core[42]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[42]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_391) { + values("0.78981,0.79300,0.80533,0.85115,1.04676,1.94805,6.13844"); + } + rise_transition(template_391) { + values("0.01919,0.02191,0.03412,0.09160,0.36936,1.66142,7.64937"); + } + cell_fall(template_392) { + values("0.71377,0.71646,0.72612,0.75457,0.84555,1.23315,3.02853"); + } + fall_transition(template_392) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18577"); + } + } + timing() { + related_pin : "la_oenb_mprj[42]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1031) { + values("0.93011,0.93330,0.94563,0.99145,1.18705,2.08835,6.27874"); + } + rise_transition(template_1031) { + values("0.01919,0.02191,0.03412,0.09160,0.36936,1.66142,7.64937"); + } + cell_fall(template_1032) { + values("0.78368,0.78637,0.79603,0.82448,0.91546,1.30306,3.09844"); + } + fall_transition(template_1032) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18577"); + } + } + } + pin("la_data_in_core[41]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[41]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_389) { + values("0.83375,0.83690,0.84916,0.89488,1.09042,1.99128,6.18567"); + } + rise_transition(template_389) { + values("0.01880,0.02153,0.03381,0.09148,0.36945,1.66126,7.64951"); + } + cell_fall(template_390) { + values("0.74458,0.74728,0.75694,0.78539,0.87636,1.26399,3.05966"); + } + fall_transition(template_390) { + values("0.01758,0.01918,0.02580,0.05056,0.15981,0.69348,3.18596"); + } + } + timing() { + related_pin : "la_oenb_mprj[41]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1027) { + values("0.95201,0.95517,0.96742,1.01314,1.20868,2.10955,6.30394"); + } + rise_transition(template_1027) { + values("0.01880,0.02153,0.03381,0.09148,0.36945,1.66126,7.64951"); + } + cell_fall(template_1028) { + values("0.79026,0.79295,0.80261,0.83107,0.92204,1.30967,3.10534"); + } + fall_transition(template_1028) { + values("0.01758,0.01918,0.02580,0.05056,0.15981,0.69348,3.18596"); + } + } + } + pin("la_data_in_core[40]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[40]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_387) { + values("0.81541,0.81862,0.83102,0.87692,1.07257,1.97419,6.16150"); + } + rise_transition(template_387) { + values("0.01950,0.02221,0.03436,0.09169,0.36930,1.66154,7.64926"); + } + cell_fall(template_388) { + values("0.73239,0.73508,0.74473,0.77316,0.86416,1.25171,3.04645"); + } + fall_transition(template_388) { + values("0.01761,0.01917,0.02580,0.05055,0.15978,0.69346,3.18535"); + } + } + timing() { + related_pin : "la_oenb_mprj[40]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1023) { + values("0.87772,0.88093,0.89333,0.93923,1.13488,2.03651,6.22381"); + } + rise_transition(template_1023) { + values("0.01950,0.02221,0.03436,0.09169,0.36930,1.66154,7.64926"); + } + cell_fall(template_1024) { + values("0.71358,0.71627,0.72592,0.75436,0.84535,1.23291,3.02764"); + } + fall_transition(template_1024) { + values("0.01761,0.01917,0.02580,0.05055,0.15978,0.69346,3.18535"); + } + } + } + pin("la_data_in_core[39]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[39]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_383) { + values("0.74644,0.74962,0.76194,0.80773,1.00333,1.90453,6.09577"); + } + rise_transition(template_383) { + values("0.01911,0.02183,0.03405,0.09157,0.36938,1.66138,7.64940"); + } + cell_fall(template_384) { + values("0.67522,0.67791,0.68757,0.71603,0.80700,1.19463,2.99037"); + } + fall_transition(template_384) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18601"); + } + } + timing() { + related_pin : "la_oenb_mprj[39]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1015) { + values("0.86037,0.86355,0.87587,0.92167,1.11726,2.01846,6.20970"); + } + rise_transition(template_1015) { + values("0.01911,0.02183,0.03405,0.09157,0.36938,1.66138,7.64940"); + } + cell_fall(template_1016) { + values("0.69423,0.69693,0.70659,0.73505,0.82602,1.21365,3.00939"); + } + fall_transition(template_1016) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18601"); + } + } + } + pin("la_data_in_core[38]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[38]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_381) { + values("0.81245,0.81566,0.82805,0.87394,1.06959,1.97121,6.15865"); + } + rise_transition(template_381) { + values("0.01948,0.02220,0.03435,0.09169,0.36930,1.66154,7.64926"); + } + cell_fall(template_382) { + values("0.71442,0.71711,0.72677,0.75521,0.84620,1.23378,3.02894"); + } + fall_transition(template_382) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18562"); + } + } + timing() { + related_pin : "la_oenb_mprj[38]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1011) { + values("0.97026,0.97347,0.98587,1.03176,1.22741,2.12902,6.31646"); + } + rise_transition(template_1011) { + values("0.01948,0.02220,0.03435,0.09169,0.36930,1.66154,7.64926"); + } + cell_fall(template_1012) { + values("0.79366,0.79636,0.80601,0.83445,0.92544,1.31303,3.10818"); + } + fall_transition(template_1012) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18562"); + } + } + } + pin("la_data_in_core[37]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[37]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_379) { + values("0.76272,0.76591,0.77824,0.82405,1.01965,1.92092,6.11155"); + } + rise_transition(template_379) { + values("0.01917,0.02189,0.03410,0.09159,0.36937,1.66141,7.64937"); + } + cell_fall(template_380) { + values("0.69293,0.69563,0.70528,0.73374,0.82471,1.21233,3.00784"); + } + fall_transition(template_380) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18586"); + } + } + timing() { + related_pin : "la_oenb_mprj[37]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1007) { + values("0.86640,0.86959,0.88192,0.92773,1.12333,2.02460,6.21524"); + } + rise_transition(template_1007) { + values("0.01917,0.02189,0.03410,0.09159,0.36937,1.66141,7.64937"); + } + cell_fall(template_1008) { + values("0.72493,0.72762,0.73728,0.76573,0.85671,1.24432,3.03984"); + } + fall_transition(template_1008) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18586"); + } + } + } + pin("la_data_in_core[36]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[36]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_377) { + values("0.76074,0.76394,0.77629,0.82214,1.01777,1.91919,6.10837"); + } + rise_transition(template_377) { + values("0.01931,0.02203,0.03421,0.09163,0.36934,1.66147,7.64932"); + } + cell_fall(template_378) { + values("0.69914,0.70183,0.71148,0.73993,0.83091,1.21850,3.01361"); + } + fall_transition(template_378) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18559"); + } + } + timing() { + related_pin : "la_oenb_mprj[36]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1003) { + values("0.83161,0.83481,0.84717,0.89302,1.08864,1.99007,6.17924"); + } + rise_transition(template_1003) { + values("0.01931,0.02203,0.03421,0.09163,0.36934,1.66147,7.64932"); + } + cell_fall(template_1004) { + values("0.69223,0.69492,0.70457,0.73301,0.82400,1.21158,3.00669"); + } + fall_transition(template_1004) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18559"); + } + } + } + pin("la_data_in_core[35]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[35]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_375) { + values("0.74320,0.74638,0.75869,0.80448,1.00007,1.90125,6.09276"); + } + rise_transition(template_375) { + values("0.01908,0.02180,0.03403,0.09156,0.36939,1.66137,7.64941"); + } + cell_fall(template_376) { + values("0.69584,0.69853,0.70818,0.73663,0.82761,1.21521,3.01054"); + } + fall_transition(template_376) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18574"); + } + } + timing() { + related_pin : "la_oenb_mprj[35]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_999) { + values("0.93596,0.93914,0.95145,0.99724,1.19283,2.09400,6.28552"); + } + rise_transition(template_999) { + values("0.01908,0.02180,0.03403,0.09156,0.36939,1.66137,7.64941"); + } + cell_fall(template_1000) { + values("0.82309,0.82578,0.83543,0.86388,0.95486,1.34246,3.13779"); + } + fall_transition(template_1000) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18574"); + } + } + } + pin("la_data_in_core[34]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[34]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_373) { + values("0.74262,0.74581,0.75815,0.80397,0.99958,1.90089,6.09110"); + } + rise_transition(template_373) { + values("0.01921,0.02193,0.03413,0.09160,0.36936,1.66142,7.64936"); + } + cell_fall(template_374) { + values("0.68998,0.69267,0.70233,0.73079,0.82176,1.20938,3.00491"); + } + fall_transition(template_374) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18587"); + } + } + timing() { + related_pin : "la_oenb_mprj[34]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_995) { + values("0.87120,0.87439,0.88673,0.93255,1.12816,2.02947,6.21968"); + } + rise_transition(template_995) { + values("0.01921,0.02193,0.03413,0.09160,0.36936,1.66142,7.64936"); + } + cell_fall(template_996) { + values("0.76147,0.76416,0.77382,0.80227,0.89325,1.28086,3.07639"); + } + fall_transition(template_996) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18587"); + } + } + } + pin("la_data_in_core[33]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[33]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_371) { + values("0.73553,0.73875,0.75117,0.79710,0.99276,1.89451,6.08070"); + } + rise_transition(template_371) { + values("0.01961,0.02232,0.03445,0.09172,0.36927,1.66159,7.64922"); + } + cell_fall(template_372) { + values("0.72850,0.73119,0.74084,0.76929,0.86027,1.24785,3.04290"); + } + fall_transition(template_372) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18555"); + } + } + timing() { + related_pin : "la_oenb_mprj[33]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_991) { + values("0.79289,0.79611,0.80853,0.85445,1.05012,1.95187,6.13806"); + } + rise_transition(template_991) { + values("0.01961,0.02232,0.03445,0.09172,0.36927,1.66159,7.64922"); + } + cell_fall(template_992) { + values("0.74742,0.75011,0.75976,0.78821,0.87919,1.26677,3.06182"); + } + fall_transition(template_992) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18555"); + } + } + } + pin("la_data_in_core[32]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[32]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_369) { + values("0.71447,0.71767,0.73002,0.77587,0.97148,1.87289,6.06229"); + } + rise_transition(template_369) { + values("0.01929,0.02201,0.03420,0.09163,0.36934,1.66146,7.64933"); + } + cell_fall(template_370) { + values("0.71985,0.72254,0.73220,0.76064,0.85163,1.23921,3.03435"); + } + fall_transition(template_370) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18561"); + } + } + timing() { + related_pin : "la_oenb_mprj[32]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_987) { + values("0.85907,0.86227,0.87462,0.92047,1.11609,2.01749,6.20690"); + } + rise_transition(template_987) { + values("0.01929,0.02201,0.03420,0.09163,0.36934,1.66146,7.64933"); + } + cell_fall(template_988) { + values("0.83860,0.84129,0.85094,0.87939,0.97037,1.35796,3.15309"); + } + fall_transition(template_988) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18561"); + } + } + } + pin("la_data_in_core[31]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[31]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_367) { + values("0.74255,0.74575,0.75811,0.80397,0.99959,1.90104,6.09004"); + } + rise_transition(template_367) { + values("0.01933,0.02205,0.03423,0.09164,0.36933,1.66147,7.64932"); + } + cell_fall(template_368) { + values("0.73886,0.74156,0.75121,0.77966,0.87064,1.25823,3.05343"); + } + fall_transition(template_368) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18565"); + } + } + timing() { + related_pin : "la_oenb_mprj[31]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_983) { + values("0.75644,0.75964,0.77200,0.81786,1.01348,1.91493,6.10393"); + } + rise_transition(template_983) { + values("0.01933,0.02205,0.03423,0.09164,0.36933,1.66147,7.64932"); + } + cell_fall(template_984) { + values("0.73467,0.73736,0.74702,0.77546,0.86645,1.25404,3.04923"); + } + fall_transition(template_984) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18565"); + } + } + } + pin("la_data_in_core[30]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[30]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_365) { + values("0.75092,0.75412,0.76649,0.81234,1.00797,1.90942,6.09837"); + } + rise_transition(template_365) { + values("0.01933,0.02205,0.03423,0.09164,0.36933,1.66147,7.64932"); + } + cell_fall(template_366) { + values("0.74035,0.74304,0.75270,0.78114,0.87213,1.25971,3.05487"); + } + fall_transition(template_366) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18562"); + } + } + timing() { + related_pin : "la_oenb_mprj[30]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_979) { + values("0.90118,0.90438,0.91674,0.96260,1.15822,2.05967,6.24863"); + } + rise_transition(template_979) { + values("0.01933,0.02205,0.03423,0.09164,0.36933,1.66147,7.64932"); + } + cell_fall(template_980) { + values("0.85291,0.85560,0.86525,0.89370,0.98468,1.37227,3.16742"); + } + fall_transition(template_980) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18562"); + } + } + } + pin("la_data_in_core[29]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[29]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_361) { + values("0.74903,0.75224,0.76463,0.81052,1.00616,1.90775,6.09540"); + } + rise_transition(template_361) { + values("0.01946,0.02218,0.03433,0.09168,0.36931,1.66153,7.64927"); + } + cell_fall(template_362) { + values("0.69841,0.70110,0.71075,0.73918,0.83018,1.21773,3.01250"); + } + fall_transition(template_362) { + values("0.01760,0.01917,0.02580,0.05055,0.15978,0.69346,3.18537"); + } + } + timing() { + related_pin : "la_oenb_mprj[29]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_971) { + values("0.95137,0.95458,0.96697,1.01286,1.20851,2.11010,6.29774"); + } + rise_transition(template_971) { + values("0.01946,0.02218,0.03433,0.09168,0.36931,1.66153,7.64927"); + } + cell_fall(template_972) { + values("0.84204,0.84473,0.85438,0.88282,0.97381,1.36137,3.15614"); + } + fall_transition(template_972) { + values("0.01760,0.01917,0.02580,0.05055,0.15978,0.69346,3.18537"); + } + } + } + pin("la_data_in_core[28]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[28]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_359) { + values("0.73481,0.73799,0.75032,0.79612,0.99172,1.89296,6.08386"); + } + rise_transition(template_359) { + values("0.01914,0.02186,0.03408,0.09158,0.36938,1.66140,7.64938"); + } + cell_fall(template_360) { + values("0.68319,0.68589,0.69554,0.72400,0.81497,1.20259,2.99819"); + } + fall_transition(template_360) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18591"); + } + } + timing() { + related_pin : "la_oenb_mprj[28]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_967) { + values("0.86346,0.86664,0.87897,0.92478,1.12037,2.02161,6.21251"); + } + rise_transition(template_967) { + values("0.01914,0.02186,0.03408,0.09158,0.36938,1.66140,7.64938"); + } + cell_fall(template_968) { + values("0.74432,0.74701,0.75667,0.78513,0.87610,1.26372,3.05931"); + } + fall_transition(template_968) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18591"); + } + } + } + pin("la_data_in_core[27]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[27]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_357) { + values("0.74651,0.74971,0.76209,0.80797,1.00361,1.90515,6.09323"); + } + rise_transition(template_357) { + values("0.01942,0.02214,0.03430,0.09167,0.36931,1.66151,7.64928"); + } + cell_fall(template_358) { + values("0.68724,0.68993,0.69958,0.72802,0.81901,1.20659,3.00170"); + } + fall_transition(template_358) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18559"); + } + } + timing() { + related_pin : "la_oenb_mprj[27]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_963) { + values("0.97287,0.97608,0.98846,1.03434,1.22998,2.13152,6.31960"); + } + rise_transition(template_963) { + values("0.01942,0.02214,0.03430,0.09167,0.36931,1.66151,7.64928"); + } + cell_fall(template_964) { + values("0.84705,0.84975,0.85940,0.88784,0.97883,1.36641,3.16151"); + } + fall_transition(template_964) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18559"); + } + } + } + pin("la_data_in_core[26]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[26]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_355) { + values("0.74341,0.74662,0.75901,0.80490,1.00055,1.90215,6.08972"); + } + rise_transition(template_355) { + values("0.01947,0.02219,0.03434,0.09168,0.36930,1.66153,7.64927"); + } + cell_fall(template_356) { + values("0.69185,0.69454,0.70419,0.73262,0.82362,1.21117,3.00590"); + } + fall_transition(template_356) { + values("0.01761,0.01917,0.02580,0.05055,0.15978,0.69346,3.18534"); + } + } + timing() { + related_pin : "la_oenb_mprj[26]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_959) { + values("0.86287,0.86608,0.87847,0.92436,1.12001,2.02161,6.20918"); + } + rise_transition(template_959) { + values("0.01947,0.02219,0.03434,0.09168,0.36930,1.66153,7.64927"); + } + cell_fall(template_960) { + values("0.74329,0.74598,0.75563,0.78407,0.87506,1.26262,3.05734"); + } + fall_transition(template_960) { + values("0.01761,0.01917,0.02580,0.05055,0.15978,0.69346,3.18534"); + } + } + } + pin("la_data_in_core[25]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[25]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_353) { + values("0.73643,0.73963,0.75199,0.79785,0.99347,1.89492,6.08390"); + } + rise_transition(template_353) { + values("0.01933,0.02205,0.03423,0.09164,0.36933,1.66147,7.64932"); + } + cell_fall(template_354) { + values("0.67499,0.67768,0.68733,0.71578,0.80676,1.19436,2.98960"); + } + fall_transition(template_354) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18568"); + } + } + timing() { + related_pin : "la_oenb_mprj[25]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_955) { + values("0.94617,0.94937,0.96173,1.00758,1.20321,2.10466,6.29363"); + } + rise_transition(template_955) { + values("0.01933,0.02205,0.03423,0.09164,0.36933,1.66147,7.64932"); + } + cell_fall(template_956) { + values("0.81397,0.81666,0.82632,0.85476,0.94575,1.33334,3.12858"); + } + fall_transition(template_956) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18568"); + } + } + } + pin("la_data_in_core[24]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[24]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_351) { + values("0.84914,0.85233,0.86466,0.91048,1.10609,2.00739,6.19769"); + } + rise_transition(template_351) { + values("0.01920,0.02192,0.03413,0.09160,0.36936,1.66142,7.64936"); + } + cell_fall(template_352) { + values("0.75161,0.75430,0.76395,0.79240,0.88338,1.27097,3.06616"); + } + fall_transition(template_352) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18565"); + } + } + timing() { + related_pin : "la_oenb_mprj[24]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_951) { + values("0.96462,0.96781,0.98014,1.02596,1.22157,2.12287,6.31317"); + } + rise_transition(template_951) { + values("0.01920,0.02192,0.03413,0.09160,0.36936,1.66142,7.64936"); + } + cell_fall(template_952) { + values("0.79019,0.79288,0.80254,0.83098,0.92197,1.30956,3.10475"); + } + fall_transition(template_952) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18565"); + } + } + } + pin("la_data_in_core[23]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[23]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_349) { + values("0.86342,0.86665,0.87909,0.92504,1.12072,2.02257,6.20782"); + } + rise_transition(template_349) { + values("0.01970,0.02241,0.03452,0.09175,0.36925,1.66162,7.64918"); + } + cell_fall(template_350) { + values("0.76342,0.76611,0.77576,0.80420,0.89520,1.28275,3.07754"); + } + fall_transition(template_350) { + values("0.01762,0.01918,0.02581,0.05056,0.15979,0.69346,3.18539"); + } + } + timing() { + related_pin : "la_oenb_mprj[23]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_947) { + values("0.97827,0.98150,0.99394,1.03989,1.23557,2.13742,6.32267"); + } + rise_transition(template_947) { + values("0.01970,0.02241,0.03452,0.09175,0.36925,1.66162,7.64918"); + } + cell_fall(template_948) { + values("0.80530,0.80799,0.81764,0.84608,0.93707,1.32463,3.11942"); + } + fall_transition(template_948) { + values("0.01762,0.01918,0.02581,0.05056,0.15979,0.69346,3.18539"); + } + } + } + pin("la_data_in_core[22]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[22]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_347) { + values("0.77667,0.77988,0.79228,0.83817,1.03382,1.93545,6.12276"); + } + rise_transition(template_347) { + values("0.01949,0.02221,0.03436,0.09169,0.36930,1.66154,7.64926"); + } + cell_fall(template_348) { + values("0.70195,0.70464,0.71429,0.74274,0.83372,1.22130,3.01638"); + } + fall_transition(template_348) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18557"); + } + } + timing() { + related_pin : "la_oenb_mprj[22]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_943) { + values("0.89699,0.90020,0.91260,0.95850,1.15415,2.05577,6.24308"); + } + rise_transition(template_943) { + values("0.01949,0.02221,0.03436,0.09169,0.36930,1.66154,7.64926"); + } + cell_fall(template_944) { + values("0.73837,0.74106,0.75072,0.77916,0.87015,1.25773,3.05281"); + } + fall_transition(template_944) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18557"); + } + } + } + pin("la_data_in_core[21]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[21]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_345) { + values("0.78045,0.78367,0.79606,0.84196,1.03761,1.93924,6.12655"); + } + rise_transition(template_345) { + values("0.01949,0.02221,0.03436,0.09169,0.36930,1.66154,7.64926"); + } + cell_fall(template_346) { + values("0.70582,0.70851,0.71817,0.74660,0.83760,1.22516,3.02008"); + } + fall_transition(template_346) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18546"); + } + } + timing() { + related_pin : "la_oenb_mprj[21]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_939) { + values("0.89695,0.90016,0.91256,0.95845,1.15410,2.05573,6.24305"); + } + rise_transition(template_939) { + values("0.01949,0.02221,0.03436,0.09169,0.36930,1.66154,7.64926"); + } + cell_fall(template_940) { + values("0.73648,0.73917,0.74883,0.77726,0.86826,1.25582,3.05074"); + } + fall_transition(template_940) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18546"); + } + } + } + pin("la_data_in_core[20]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[20]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_343) { + values("0.74694,0.75015,0.76251,0.80837,1.00400,1.90546,6.09428"); + } + rise_transition(template_343) { + values("0.01935,0.02207,0.03424,0.09164,0.36933,1.66148,7.64931"); + } + cell_fall(template_344) { + values("0.69361,0.69630,0.70595,0.73440,0.82538,1.21298,3.00826"); + } + fall_transition(template_344) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18570"); + } + } + timing() { + related_pin : "la_oenb_mprj[20]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_935) { + values("0.85603,0.85923,0.87160,0.91746,1.11308,2.01455,6.20336"); + } + rise_transition(template_935) { + values("0.01935,0.02207,0.03424,0.09164,0.36933,1.66148,7.64931"); + } + cell_fall(template_936) { + values("0.73259,0.73528,0.74494,0.77338,0.86437,1.25196,3.04724"); + } + fall_transition(template_936) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18570"); + } + } + } + pin("la_data_in_core[19]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[19]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_339) { + values("0.80977,0.81299,0.82541,0.87134,1.06701,1.96878,6.15478"); + } + rise_transition(template_339) { + values("0.01962,0.02234,0.03446,0.09173,0.36927,1.66159,7.64921"); + } + cell_fall(template_340) { + values("0.72286,0.72555,0.73520,0.76364,0.85463,1.24219,3.03699"); + } + fall_transition(template_340) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18539"); + } + } + timing() { + related_pin : "la_oenb_mprj[19]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_927) { + values("0.93185,0.93508,0.94750,0.99343,1.18910,2.09087,6.27686"); + } + rise_transition(template_927) { + values("0.01962,0.02234,0.03446,0.09173,0.36927,1.66159,7.64921"); + } + cell_fall(template_928) { + values("0.76334,0.76603,0.77568,0.80412,0.89511,1.28267,3.07747"); + } + fall_transition(template_928) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18539"); + } + } + } + pin("la_data_in_core[18]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[18]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_337) { + values("0.74695,0.75016,0.76254,0.80842,1.00405,1.90560,6.09367"); + } + rise_transition(template_337) { + values("0.01942,0.02214,0.03430,0.09167,0.36931,1.66151,7.64928"); + } + cell_fall(template_338) { + values("0.68185,0.68454,0.69419,0.72263,0.81362,1.20119,2.99606"); + } + fall_transition(template_338) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18544"); + } + } + timing() { + related_pin : "la_oenb_mprj[18]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_923) { + values("0.94451,0.94771,0.96009,1.00597,1.20161,2.10316,6.29122"); + } + rise_transition(template_923) { + values("0.01942,0.02214,0.03430,0.09167,0.36931,1.66151,7.64928"); + } + cell_fall(template_924) { + values("0.80395,0.80664,0.81629,0.84473,0.93572,1.32329,3.11817"); + } + fall_transition(template_924) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18544"); + } + } + } + pin("la_data_in_core[17]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[17]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_335) { + values("0.73631,0.73951,0.75187,0.79771,0.99334,1.89475,6.08403"); + } + rise_transition(template_335) { + values("0.01930,0.02202,0.03421,0.09163,0.36934,1.66146,7.64933"); + } + cell_fall(template_336) { + values("0.68236,0.68505,0.69471,0.72315,0.81414,1.20173,2.99693"); + } + fall_transition(template_336) { + values("0.01759,0.01917,0.02580,0.05055,0.15979,0.69347,3.18565"); + } + } + timing() { + related_pin : "la_oenb_mprj[17]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_919) { + values("0.84606,0.84926,0.86161,0.90746,1.10308,2.00450,6.19378"); + } + rise_transition(template_919) { + values("0.01930,0.02202,0.03421,0.09163,0.36934,1.66146,7.64933"); + } + cell_fall(template_920) { + values("0.70777,0.71046,0.72012,0.74856,0.83955,1.22714,3.02234"); + } + fall_transition(template_920) { + values("0.01759,0.01917,0.02580,0.05055,0.15979,0.69347,3.18565"); + } + } + } + pin("la_data_in_core[16]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[16]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_333) { + values("0.74401,0.74721,0.75959,0.80546,1.00109,1.90260,6.09100"); + } + rise_transition(template_333) { + values("0.01939,0.02211,0.03427,0.09166,0.36932,1.66150,7.64930"); + } + cell_fall(template_334) { + values("0.68351,0.68621,0.69586,0.72430,0.81529,1.20288,2.99804"); + } + fall_transition(template_334) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18563"); + } + } + timing() { + related_pin : "la_oenb_mprj[16]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_915) { + values("0.86585,0.86905,0.88142,0.92729,1.12293,2.02444,6.21283"); + } + rise_transition(template_915) { + values("0.01939,0.02211,0.03427,0.09166,0.36932,1.66150,7.64930"); + } + cell_fall(template_916) { + values("0.71783,0.72053,0.73018,0.75863,0.84961,1.23720,3.03236"); + } + fall_transition(template_916) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18563"); + } + } + } + pin("la_data_in_core[15]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[15]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_331) { + values("0.73306,0.73626,0.74863,0.79448,0.99011,1.89156,6.08049"); + } + rise_transition(template_331) { + values("0.01934,0.02206,0.03423,0.09164,0.36933,1.66148,7.64931"); + } + cell_fall(template_332) { + values("0.68073,0.68342,0.69307,0.72152,0.81250,1.20009,2.99529"); + } + fall_transition(template_332) { + values("0.01759,0.01917,0.02580,0.05055,0.15979,0.69347,3.18565"); + } + } + timing() { + related_pin : "la_oenb_mprj[15]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_911) { + values("0.83965,0.84285,0.85521,0.90107,1.09669,1.99815,6.18707"); + } + rise_transition(template_911) { + values("0.01934,0.02206,0.03423,0.09164,0.36933,1.66148,7.64931"); + } + cell_fall(template_912) { + values("0.70367,0.70636,0.71602,0.74446,0.83545,1.22304,3.01823"); + } + fall_transition(template_912) { + values("0.01759,0.01917,0.02580,0.05055,0.15979,0.69347,3.18565"); + } + } + } + pin("la_data_in_core[14]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[14]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_329) { + values("0.74592,0.74911,0.76145,0.80729,1.00290,1.90426,6.09409"); + } + rise_transition(template_329) { + values("0.01925,0.02197,0.03416,0.09161,0.36935,1.66144,7.64935"); + } + cell_fall(template_330) { + values("0.69079,0.69348,0.70314,0.73158,0.82257,1.21016,3.00541"); + } + fall_transition(template_330) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18568"); + } + } + timing() { + related_pin : "la_oenb_mprj[14]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_907) { + values("0.87717,0.88036,0.89271,0.93854,1.13415,2.03551,6.22534"); + } + rise_transition(template_907) { + values("0.01925,0.02197,0.03416,0.09161,0.36935,1.66144,7.64935"); + } + cell_fall(template_908) { + values("0.75211,0.75480,0.76446,0.79290,0.88389,1.27148,3.06673"); + } + fall_transition(template_908) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18568"); + } + } + } + pin("la_data_in_core[13]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[13]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_327) { + values("0.74534,0.74855,0.76095,0.80684,1.00249,1.90412,6.09147"); + } + rise_transition(template_327) { + values("0.01949,0.02221,0.03435,0.09169,0.36930,1.66154,7.64926"); + } + cell_fall(template_328) { + values("0.68722,0.68991,0.69956,0.72800,0.81899,1.20656,3.00150"); + } + fall_transition(template_328) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18548"); + } + } + timing() { + related_pin : "la_oenb_mprj[13]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_903) { + values("0.86804,0.87126,0.88365,0.92955,1.12520,2.02682,6.21417"); + } + rise_transition(template_903) { + values("0.01949,0.02221,0.03435,0.09169,0.36930,1.66154,7.64926"); + } + cell_fall(template_904) { + values("0.73154,0.73423,0.74388,0.77232,0.86332,1.25089,3.04583"); + } + fall_transition(template_904) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18548"); + } + } + } + pin("la_data_in_core[12]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[12]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_325) { + values("0.79849,0.80170,0.81409,0.85998,1.05562,1.95720,6.14495"); + } + rise_transition(template_325) { + values("0.01945,0.02217,0.03432,0.09168,0.36931,1.66152,7.64927"); + } + cell_fall(template_326) { + values("0.72319,0.72588,0.73553,0.76397,0.85496,1.24253,3.03741"); + } + fall_transition(template_326) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18544"); + } + } + timing() { + related_pin : "la_oenb_mprj[12]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_899) { + values("0.90085,0.90406,0.91645,0.96234,1.15798,2.05956,6.24731"); + } + rise_transition(template_899) { + values("0.01945,0.02217,0.03432,0.09168,0.36931,1.66152,7.64927"); + } + cell_fall(template_900) { + values("0.74447,0.74716,0.75681,0.78525,0.87624,1.26381,3.05869"); + } + fall_transition(template_900) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18544"); + } + } + } + pin("la_data_in_core[11]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[11]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_307) { + values("0.88698,0.89017,0.90250,0.94832,1.14392,2.04519,6.23576"); + } + rise_transition(template_307) { + values("0.01918,0.02190,0.03411,0.09159,0.36937,1.66141,7.64937"); + } + cell_fall(template_308) { + values("0.86189,0.86458,0.87424,0.90269,0.99367,1.38128,3.17679"); + } + fall_transition(template_308) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18585"); + } + } + timing() { + related_pin : "la_oenb_mprj[11]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_863) { + values("0.90013,0.90332,0.91565,0.96147,1.15707,2.05834,6.24891"); + } + rise_transition(template_863) { + values("0.01918,0.02190,0.03411,0.09159,0.36937,1.66141,7.64937"); + } + cell_fall(template_864) { + values("0.84131,0.84400,0.85366,0.88211,0.97309,1.36070,3.15622"); + } + fall_transition(template_864) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18585"); + } + } + } + pin("la_data_in_core[10]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[10]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_285) { + values("0.79256,0.79576,0.80814,0.85401,1.04964,1.95115,6.13956"); + } + rise_transition(template_285) { + values("0.01939,0.02211,0.03427,0.09166,0.36932,1.66150,7.64930"); + } + cell_fall(template_286) { + values("0.72363,0.72632,0.73597,0.76442,0.85540,1.24299,3.03812"); + } + fall_transition(template_286) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18560"); + } + } + timing() { + related_pin : "la_oenb_mprj[10]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_819) { + values("0.91133,0.91454,0.92691,0.97278,1.16841,2.06992,6.25833"); + } + rise_transition(template_819) { + values("0.01939,0.02211,0.03427,0.09166,0.36932,1.66150,7.64930"); + } + cell_fall(template_820) { + values("0.76860,0.77129,0.78094,0.80939,0.90037,1.28796,3.08309"); + } + fall_transition(template_820) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18560"); + } + } + } + pin("la_data_in_core[9]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[9]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_517) { + values("0.72228,0.72548,0.73783,0.78367,0.97929,1.88070,6.07009"); + } + rise_transition(template_517) { + values("0.01929,0.02201,0.03420,0.09163,0.36934,1.66146,7.64933"); + } + cell_fall(template_518) { + values("0.67531,0.67801,0.68766,0.71611,0.80709,1.19468,2.98993"); + } + fall_transition(template_518) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18568"); + } + } + timing() { + related_pin : "la_oenb_mprj[9]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1283) { + values("0.82539,0.82859,0.84094,0.88679,1.08241,1.98381,6.17320"); + } + rise_transition(template_1283) { + values("0.01929,0.02201,0.03420,0.09163,0.36934,1.66146,7.64933"); + } + cell_fall(template_1284) { + values("0.69592,0.69861,0.70827,0.73672,0.82770,1.21529,3.01054"); + } + fall_transition(template_1284) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18568"); + } + } + } + pin("la_data_in_core[8]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[8]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_495) { + values("0.72595,0.72914,0.74146,0.78727,0.98287,1.88411,6.07496"); + } + rise_transition(template_495) { + values("0.01915,0.02187,0.03408,0.09158,0.36937,1.66140,7.64938"); + } + cell_fall(template_496) { + values("0.67491,0.67760,0.68726,0.71572,0.80669,1.19431,2.98987"); + } + fall_transition(template_496) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18589"); + } + } + timing() { + related_pin : "la_oenb_mprj[8]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1239) { + values("0.85162,0.85481,0.86713,0.91294,1.10854,2.00978,6.20063"); + } + rise_transition(template_1239) { + values("0.01915,0.02187,0.03408,0.09158,0.36937,1.66140,7.64938"); + } + cell_fall(template_1240) { + values("0.71946,0.72215,0.73181,0.76027,0.85124,1.23885,3.03442"); + } + fall_transition(template_1240) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18589"); + } + } + } + pin("la_data_in_core[7]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[7]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_473) { + values("0.72530,0.72849,0.74083,0.78666,0.98226,1.88359,6.07370"); + } + rise_transition(template_473) { + values("0.01922,0.02194,0.03414,0.09161,0.36936,1.66143,7.64936"); + } + cell_fall(template_474) { + values("0.67265,0.67534,0.68500,0.71345,0.80443,1.19203,2.98743"); + } + fall_transition(template_474) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18578"); + } + } + timing() { + related_pin : "la_oenb_mprj[7]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1195) { + values("0.84842,0.85161,0.86395,0.90978,1.10539,2.00671,6.19682"); + } + rise_transition(template_1195) { + values("0.01922,0.02194,0.03414,0.09161,0.36936,1.66143,7.64936"); + } + cell_fall(template_1196) { + values("0.71103,0.71372,0.72338,0.75183,0.84281,1.23041,3.02580"); + } + fall_transition(template_1196) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18578"); + } + } + } + pin("la_data_in_core[6]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[6]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_451) { + values("0.72151,0.72470,0.73703,0.78284,0.97844,1.87971,6.07034"); + } + rise_transition(template_451) { + values("0.01917,0.02189,0.03410,0.09159,0.36937,1.66141,7.64937"); + } + cell_fall(template_452) { + values("0.66679,0.66948,0.67914,0.70759,0.79857,1.18618,2.98167"); + } + fall_transition(template_452) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18584"); + } + } + timing() { + related_pin : "la_oenb_mprj[6]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1151) { + values("0.83070,0.83389,0.84622,0.89203,1.08763,1.98890,6.17953"); + } + rise_transition(template_1151) { + values("0.01917,0.02189,0.03410,0.09159,0.36937,1.66141,7.64937"); + } + cell_fall(template_1152) { + values("0.68297,0.68566,0.69532,0.72377,0.81475,1.20236,2.99785"); + } + fall_transition(template_1152) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18584"); + } + } + } + pin("la_data_in_core[5]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[5]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_429) { + values("0.70678,0.70997,0.72232,0.76815,0.96376,1.86511,6.05498"); + } + rise_transition(template_429) { + values("0.01924,0.02196,0.03416,0.09161,0.36935,1.66144,7.64935"); + } + cell_fall(template_430) { + values("0.65705,0.65974,0.66940,0.69785,0.78883,1.17642,2.97167"); + } + fall_transition(template_430) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18568"); + } + } + timing() { + related_pin : "la_oenb_mprj[5]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1107) { + values("0.83684,0.84003,0.85237,0.89821,1.09382,1.99517,6.18503"); + } + rise_transition(template_1107) { + values("0.01924,0.02196,0.03416,0.09161,0.36935,1.66144,7.64935"); + } + cell_fall(template_1108) { + values("0.69999,0.70268,0.71234,0.74078,0.83176,1.21936,3.01460"); + } + fall_transition(template_1108) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18568"); + } + } + } + pin("la_data_in_core[4]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[4]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_407) { + values("0.72177,0.72495,0.73727,0.78307,0.97866,1.87986,6.07108"); + } + rise_transition(template_407) { + values("0.01911,0.02183,0.03406,0.09157,0.36938,1.66139,7.64939"); + } + cell_fall(template_408) { + values("0.66958,0.67227,0.68193,0.71039,0.80136,1.18898,2.98457"); + } + fall_transition(template_408) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18590"); + } + } + timing() { + related_pin : "la_oenb_mprj[4]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1063) { + values("0.84116,0.84434,0.85666,0.90246,1.09805,1.99925,6.19047"); + } + rise_transition(template_1063) { + values("0.01911,0.02183,0.03406,0.09157,0.36938,1.66139,7.64939"); + } + cell_fall(template_1064) { + values("0.70178,0.70447,0.71413,0.74259,0.83356,1.22118,3.01677"); + } + fall_transition(template_1064) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18590"); + } + } + } + pin("la_data_in_core[3]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[3]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_385) { + values("0.72403,0.72721,0.73952,0.78530,0.98088,1.88202,6.07385"); + } + rise_transition(template_385) { + values("0.01905,0.02177,0.03401,0.09155,0.36940,1.66136,7.64942"); + } + cell_fall(template_386) { + values("0.66827,0.67096,0.68061,0.70906,0.80004,1.18763,2.98276"); + } + fall_transition(template_386) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18561"); + } + } + timing() { + related_pin : "la_oenb_mprj[3]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_1019) { + values("0.85344,0.85662,0.86893,0.91471,1.11029,2.01143,6.20325"); + } + rise_transition(template_1019) { + values("0.01905,0.02177,0.03401,0.09155,0.36940,1.66136,7.64942"); + } + cell_fall(template_1020) { + values("0.70921,0.71190,0.72155,0.75000,0.84098,1.22857,3.02371"); + } + fall_transition(template_1020) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18561"); + } + } + } + pin("la_data_in_core[2]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[2]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_363) { + values("0.71745,0.72064,0.73297,0.77879,0.97439,1.87568,6.06612"); + } + rise_transition(template_363) { + values("0.01919,0.02191,0.03412,0.09160,0.36937,1.66142,7.64937"); + } + cell_fall(template_364) { + values("0.67090,0.67359,0.68325,0.71171,0.80268,1.19029,2.98581"); + } + fall_transition(template_364) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18586"); + } + } + timing() { + related_pin : "la_oenb_mprj[2]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_975) { + values("0.83949,0.84268,0.85501,0.90083,1.09643,1.99772,6.18816"); + } + rise_transition(template_975) { + values("0.01919,0.02191,0.03412,0.09160,0.36937,1.66142,7.64937"); + } + cell_fall(template_976) { + values("0.71646,0.71916,0.72881,0.75727,0.84824,1.23586,3.03138"); + } + fall_transition(template_976) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18586"); + } + } + } + pin("la_data_in_core[1]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[1]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_341) { + values("0.72062,0.72381,0.73614,0.78196,0.97756,1.87884,6.06934"); + } + rise_transition(template_341) { + values("0.01918,0.02190,0.03411,0.09159,0.36937,1.66141,7.64937"); + } + cell_fall(template_342) { + values("0.67301,0.67570,0.68536,0.71382,0.80479,1.19240,2.98792"); + } + fall_transition(template_342) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18586"); + } + } + timing() { + related_pin : "la_oenb_mprj[1]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_931) { + values("0.82128,0.82447,0.83680,0.88262,1.07822,1.97951,6.17000"); + } + rise_transition(template_931) { + values("0.01918,0.02190,0.03411,0.09159,0.36937,1.66141,7.64937"); + } + cell_fall(template_932) { + values("0.68410,0.68679,0.69645,0.72490,0.81588,1.20349,2.99901"); + } + fall_transition(template_932) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18586"); + } + } + } + pin("la_data_in_core[0]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_mprj[0]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_263) { + values("0.70432,0.70751,0.71983,0.76563,0.96123,1.86246,6.05345"); + } + rise_transition(template_263) { + values("0.01913,0.02186,0.03407,0.09158,0.36938,1.66139,7.64939"); + } + cell_fall(template_264) { + values("0.66207,0.66476,0.67442,0.70288,0.79385,1.18147,2.97715"); + } + fall_transition(template_264) { + values("0.01758,0.01918,0.02580,0.05056,0.15981,0.69348,3.18596"); + } + } + timing() { + related_pin : "la_oenb_mprj[0]"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise(template_775) { + values("0.81895,0.82213,0.83446,0.88026,1.07585,1.97708,6.16807"); + } + rise_transition(template_775) { + values("0.01913,0.02186,0.03407,0.09158,0.36938,1.66139,7.64939"); + } + cell_fall(template_776) { + values("0.69456,0.69726,0.70692,0.73538,0.82635,1.21397,3.00965"); + } + fall_transition(template_776) { + values("0.01758,0.01918,0.02580,0.05056,0.15981,0.69348,3.18596"); + } + } + } + } + bus("la_data_in_mprj") { + bus_type : la_data_in_mprj; + direction : output; + capacitance : 0.0000; + pin("la_data_in_mprj[127]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[127]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_67) { + values("0.43395,0.43701,0.44900,0.49439,0.68974,1.58919,5.79665"); + } + rise_transition(template_67) { + values("0.01752,0.02025,0.03280,0.09108,0.36973,1.66074,7.64997"); + } + cell_fall(template_68) { + values("0.52931,0.53200,0.54167,0.57014,0.66114,1.04873,2.84425"); + } + fall_transition(template_68) { + values("0.01777,0.01934,0.02593,0.05064,0.15981,0.69341,3.18607"); + } + } + timing() { + related_pin : "la_iena_mprj[127]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_579) { + values("0.90964,0.91270,0.92468,0.97007,1.16542,2.06487,6.27234"); + } + rise_transition(template_579) { + values("0.01752,0.02025,0.03280,0.09108,0.36973,1.66074,7.64997"); + } + cell_fall(template_580) { + values("0.97427,0.97696,0.98663,1.01509,1.10610,1.49369,3.28921"); + } + fall_transition(template_580) { + values("0.01777,0.01934,0.02593,0.05064,0.15981,0.69341,3.18607"); + } + } + } + pin("la_data_in_mprj[126]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[126]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_65) { + values("0.43828,0.44134,0.45332,0.49870,0.69406,1.59342,5.80121"); + } + rise_transition(template_65) { + values("0.01743,0.02017,0.03272,0.09105,0.36978,1.66042,7.65018"); + } + cell_fall(template_66) { + values("0.53166,0.53435,0.54401,0.57246,0.66346,1.05102,2.84608"); + } + fall_transition(template_66) { + values("0.01767,0.01924,0.02585,0.05059,0.15980,0.69344,3.18564"); + } + } + timing() { + related_pin : "la_iena_mprj[126]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_577) { + values("0.91648,0.91954,0.93153,0.97691,1.17227,2.07162,6.27941"); + } + rise_transition(template_577) { + values("0.01743,0.02017,0.03272,0.09105,0.36978,1.66042,7.65018"); + } + cell_fall(template_578) { + values("0.97957,0.98226,0.99192,1.02037,1.11137,1.49893,3.29399"); + } + fall_transition(template_578) { + values("0.01767,0.01924,0.02585,0.05059,0.15980,0.69344,3.18564"); + } + } + } + pin("la_data_in_mprj[125]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[125]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_63) { + values("0.28872,0.29178,0.30376,0.34914,0.54449,1.44391,5.65167"); + } + rise_transition(template_63) { + values("0.01749,0.02023,0.03277,0.09107,0.36974,1.66073,7.64998"); + } + cell_fall(template_64) { + values("0.30763,0.31033,0.32001,0.34853,0.43944,0.82723,2.62519"); + } + fall_transition(template_64) { + values("0.01754,0.01921,0.02582,0.05057,0.15986,0.69352,3.18746"); + } + } + timing() { + related_pin : "la_iena_mprj[125]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_575) { + values("0.66847,0.67152,0.68350,0.72889,0.92423,1.82365,6.03141"); + } + rise_transition(template_575) { + values("0.01749,0.02023,0.03277,0.09107,0.36974,1.66073,7.64998"); + } + cell_fall(template_576) { + values("0.67806,0.68076,0.69044,0.71896,0.80987,1.19766,2.99562"); + } + fall_transition(template_576) { + values("0.01754,0.01921,0.02582,0.05057,0.15986,0.69352,3.18746"); + } + } + } + pin("la_data_in_mprj[124]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[124]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_61) { + values("0.30461,0.30772,0.31986,0.36543,0.56089,1.46114,5.66125"); + } + rise_transition(template_61) { + values("0.01824,0.02097,0.03337,0.09130,0.36957,1.66103,7.64971"); + } + cell_fall(template_62) { + values("0.38170,0.38441,0.39410,0.42263,0.51366,0.90131,2.69845"); + } + fall_transition(template_62) { + values("0.01810,0.01968,0.02621,0.05081,0.15987,0.69330,3.18754"); + } + } + timing() { + related_pin : "la_iena_mprj[124]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_573) { + values("0.98171,0.98482,0.99696,1.04253,1.23799,2.13824,6.33835"); + } + rise_transition(template_573) { + values("0.01824,0.02097,0.03337,0.09130,0.36957,1.66103,7.64971"); + } + cell_fall(template_574) { + values("1.05148,1.05418,1.06387,1.09241,1.18344,1.57108,3.36823"); + } + fall_transition(template_574) { + values("0.01810,0.01968,0.02621,0.05081,0.15987,0.69330,3.18754"); + } + } + } + pin("la_data_in_mprj[123]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[123]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_59) { + values("0.44719,0.45030,0.46240,0.50794,0.70338,1.60348,5.80494"); + } + rise_transition(template_59) { + values("0.01811,0.02084,0.03326,0.09126,0.36960,1.66098,7.64976"); + } + cell_fall(template_60) { + values("0.55699,0.55970,0.56939,0.59793,0.68895,1.07660,2.87378"); + } + fall_transition(template_60) { + values("0.01811,0.01968,0.02621,0.05082,0.15987,0.69330,3.18757"); + } + } + timing() { + related_pin : "la_iena_mprj[123]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_571) { + values("1.18441,1.18752,1.19962,1.24516,1.44060,2.34070,6.54216"); + } + rise_transition(template_571) { + values("0.01811,0.02084,0.03326,0.09126,0.36960,1.66098,7.64976"); + } + cell_fall(template_572) { + values("1.21909,1.22180,1.23149,1.26003,1.35105,1.73870,3.53588"); + } + fall_transition(template_572) { + values("0.01811,0.01968,0.02621,0.05082,0.15987,0.69330,3.18757"); + } + } + } + pin("la_data_in_mprj[122]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[122]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_57) { + values("0.50756,0.51082,0.52334,0.56939,0.76513,1.66740,5.84871"); + } + rise_transition(template_57) { + values("0.02008,0.02280,0.03482,0.09187,0.36917,1.66178,7.64905"); + } + cell_fall(template_58) { + values("0.50492,0.50762,0.51728,0.54576,0.63677,1.02436,2.82002"); + } + fall_transition(template_58) { + values("0.01780,0.01936,0.02596,0.05065,0.15982,0.69340,3.18619"); + } + } + timing() { + related_pin : "la_iena_mprj[122]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_569) { + values("0.97314,0.97640,0.98892,1.03496,1.23070,2.13298,6.31429"); + } + rise_transition(template_569) { + values("0.02008,0.02280,0.03482,0.09187,0.36917,1.66178,7.64905"); + } + cell_fall(template_570) { + values("0.93668,0.93938,0.94904,0.97752,1.06853,1.45612,3.25178"); + } + fall_transition(template_570) { + values("0.01780,0.01936,0.02596,0.05065,0.15982,0.69340,3.18619"); + } + } + } + pin("la_data_in_mprj[121]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[121]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_55) { + values("0.29173,0.29479,0.30676,0.35214,0.54748,1.44685,5.65498"); + } + rise_transition(template_55) { + values("0.01745,0.02019,0.03275,0.09106,0.36975,1.66071,7.64999"); + } + cell_fall(template_56) { + values("0.37013,0.37282,0.38248,0.41094,0.50194,0.88951,2.68475"); + } + fall_transition(template_56) { + values("0.01771,0.01927,0.02588,0.05061,0.15980,0.69343,3.18580"); + } + } + timing() { + related_pin : "la_iena_mprj[121]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_567) { + values("0.68499,0.68805,0.70002,0.74539,0.94073,1.84011,6.04824"); + } + rise_transition(template_567) { + values("0.01745,0.02019,0.03275,0.09106,0.36975,1.66071,7.64999"); + } + cell_fall(template_568) { + values("0.74406,0.74676,0.75642,0.78487,0.87587,1.26345,3.05868"); + } + fall_transition(template_568) { + values("0.01771,0.01927,0.02588,0.05061,0.15980,0.69343,3.18580"); + } + } + } + pin("la_data_in_mprj[120]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[120]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_53) { + values("0.26496,0.26803,0.28006,0.32546,0.52088,1.42020,5.62676"); + } + rise_transition(template_53) { + values("0.01739,0.02014,0.03269,0.09104,0.36987,1.65967,7.65065"); + } + cell_fall(template_54) { + values("0.28986,0.29257,0.30226,0.33080,0.42168,0.80954,2.60838"); + } + fall_transition(template_54) { + values("0.01752,0.01922,0.02583,0.05058,0.15988,0.69353,3.18803"); + } + } + timing() { + related_pin : "la_iena_mprj[120]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_565) { + values("0.60994,0.61300,0.62503,0.67043,0.86586,1.76518,5.97174"); + } + rise_transition(template_565) { + values("0.01739,0.02014,0.03269,0.09104,0.36987,1.65967,7.65065"); + } + cell_fall(template_566) { + values("0.62795,0.63065,0.64035,0.66889,0.75977,1.14763,2.94647"); + } + fall_transition(template_566) { + values("0.01752,0.01922,0.02583,0.05058,0.15988,0.69353,3.18803"); + } + } + } + pin("la_data_in_mprj[119]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[119]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_49) { + values("0.28516,0.28822,0.30021,0.34559,0.54095,1.44030,5.64807"); + } + rise_transition(template_49) { + values("0.01743,0.02017,0.03272,0.09105,0.36978,1.66041,7.65018"); + } + cell_fall(template_50) { + values("0.30558,0.30828,0.31797,0.34649,0.43739,0.82521,2.62343"); + } + fall_transition(template_50) { + values("0.01753,0.01921,0.02582,0.05057,0.15986,0.69352,3.18763"); + } + } + timing() { + related_pin : "la_iena_mprj[119]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_561) { + values("0.68361,0.68667,0.69866,0.74403,0.93940,1.83875,6.04652"); + } + rise_transition(template_561) { + values("0.01743,0.02017,0.03272,0.09105,0.36978,1.66041,7.65018"); + } + cell_fall(template_562) { + values("0.68455,0.68726,0.69694,0.72547,0.81637,1.20418,3.00241"); + } + fall_transition(template_562) { + values("0.01753,0.01921,0.02582,0.05057,0.15986,0.69352,3.18763"); + } + } + } + pin("la_data_in_mprj[118]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[118]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_47) { + values("0.40061,0.40382,0.41620,0.46208,0.65772,1.55927,5.74726"); + } + rise_transition(template_47) { + values("0.01943,0.02215,0.03431,0.09167,0.36931,1.66151,7.64928"); + } + cell_fall(template_48) { + values("0.39788,0.40058,0.41024,0.43872,0.52973,0.91732,2.71299"); + } + fall_transition(template_48) { + values("0.01780,0.01937,0.02596,0.05065,0.15982,0.69340,3.18620"); + } + } + timing() { + related_pin : "la_iena_mprj[118]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_559) { + values("0.77274,0.77595,0.78833,0.83421,1.02985,1.93140,6.11939"); + } + rise_transition(template_559) { + values("0.01943,0.02215,0.03431,0.09167,0.36931,1.66151,7.64928"); + } + cell_fall(template_560) { + values("0.75624,0.75894,0.76860,0.79708,0.88809,1.27568,3.07135"); + } + fall_transition(template_560) { + values("0.01780,0.01937,0.02596,0.05065,0.15982,0.69340,3.18620"); + } + } + } + pin("la_data_in_mprj[117]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[117]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_45) { + values("0.53211,0.53536,0.54786,0.59390,0.78962,1.69182,5.87384"); + } + rise_transition(template_45) { + values("0.02001,0.02273,0.03477,0.09185,0.36918,1.66175,7.64907"); + } + cell_fall(template_46) { + values("0.54009,0.54278,0.55245,0.58093,0.67194,1.05953,2.85530"); + } + fall_transition(template_46) { + values("0.01782,0.01939,0.02597,0.05066,0.15982,0.69339,3.18629"); + } + } + timing() { + related_pin : "la_iena_mprj[117]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_557) { + values("1.10943,1.11268,1.12519,1.17122,1.36695,2.26915,6.45116"); + } + rise_transition(template_557) { + values("0.02001,0.02273,0.03477,0.09185,0.36918,1.66175,7.64907"); + } + cell_fall(template_558) { + values("1.05752,1.06022,1.06989,1.09837,1.18938,1.57697,3.37274"); + } + fall_transition(template_558) { + values("0.01782,0.01939,0.02597,0.05066,0.15982,0.69339,3.18629"); + } + } + } + pin("la_data_in_mprj[116]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[116]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_43) { + values("0.40440,0.40766,0.42021,0.46629,0.66204,1.56445,5.74451"); + } + rise_transition(template_43) { + values("0.02021,0.02292,0.03492,0.09191,0.36914,1.66183,7.64900"); + } + cell_fall(template_44) { + values("0.39013,0.39283,0.40250,0.43099,0.52201,0.90961,2.70564"); + } + fall_transition(template_44) { + values("0.01787,0.01944,0.02602,0.05069,0.15983,0.69338,3.18652"); + } + } + timing() { + related_pin : "la_iena_mprj[116]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_555) { + values("0.87056,0.87383,0.88637,0.93245,1.12821,2.03062,6.21068"); + } + rise_transition(template_555) { + values("0.02021,0.02292,0.03492,0.09191,0.36914,1.66183,7.64900"); + } + cell_fall(template_556) { + values("0.82006,0.82276,0.83243,0.86092,0.95193,1.33953,3.13556"); + } + fall_transition(template_556) { + values("0.01787,0.01944,0.02602,0.05069,0.15983,0.69338,3.18652"); + } + } + } + pin("la_data_in_mprj[115]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[115]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_41) { + values("0.50714,0.51036,0.52280,0.56874,0.76442,1.66624,5.85174"); + } + rise_transition(template_41) { + values("0.01967,0.02239,0.03450,0.09174,0.36926,1.66161,7.64919"); + } + cell_fall(template_42) { + values("0.51682,0.51952,0.52918,0.55766,0.64867,1.03627,2.83203"); + } + fall_transition(template_42) { + values("0.01782,0.01939,0.02597,0.05066,0.15982,0.69339,3.18628"); + } + } + timing() { + related_pin : "la_iena_mprj[115]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_553) { + values("1.03461,1.03784,1.05027,1.09621,1.29189,2.19371,6.37921"); + } + rise_transition(template_553) { + values("0.01967,0.02239,0.03450,0.09174,0.36926,1.66161,7.64919"); + } + cell_fall(template_554) { + values("0.99091,0.99360,1.00327,1.03175,1.12276,1.51035,3.30611"); + } + fall_transition(template_554) { + values("0.01782,0.01939,0.02597,0.05066,0.15982,0.69339,3.18628"); + } + } + } + pin("la_data_in_mprj[114]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[114]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_39) { + values("0.49412,0.49720,0.50923,0.55468,0.75006,1.64976,5.85491"); + } + rise_transition(template_39) { + values("0.01775,0.02048,0.03298,0.09115,0.36968,1.66083,7.64989"); + } + cell_fall(template_40) { + values("0.61439,0.61709,0.62676,0.65527,0.74628,1.13390,2.93022"); + } + fall_transition(template_40) { + values("0.01793,0.01950,0.02607,0.05072,0.15984,0.69336,3.18679"); + } + } + timing() { + related_pin : "la_iena_mprj[114]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_551) { + values("1.10889,1.11196,1.12400,1.16944,1.36483,2.26453,6.46968"); + } + rise_transition(template_551) { + values("0.01775,0.02048,0.03298,0.09115,0.36968,1.66083,7.64989"); + } + cell_fall(template_552) { + values("1.18874,1.19144,1.20112,1.22962,1.32064,1.70825,3.50458"); + } + fall_transition(template_552) { + values("0.01793,0.01950,0.02607,0.05072,0.15984,0.69336,3.18679"); + } + } + } + pin("la_data_in_mprj[113]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[113]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_37) { + values("0.56445,0.56770,0.58019,0.62620,0.82192,1.72405,5.90671"); + } + rise_transition(template_37) { + values("0.01995,0.02267,0.03472,0.09183,0.36920,1.66173,7.64909"); + } + cell_fall(template_38) { + values("0.58064,0.58334,0.59301,0.62150,0.71252,1.10012,2.89614"); + } + fall_transition(template_38) { + values("0.01787,0.01944,0.02602,0.05069,0.15983,0.69338,3.18652"); + } + } + timing() { + related_pin : "la_iena_mprj[113]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_549) { + values("1.09386,1.09711,1.10960,1.15561,1.35133,2.25346,6.43612"); + } + rise_transition(template_549) { + values("0.01995,0.02267,0.03472,0.09183,0.36920,1.66173,7.64909"); + } + cell_fall(template_550) { + values("1.04770,1.05040,1.06007,1.08856,1.17957,1.56717,3.36320"); + } + fall_transition(template_550) { + values("0.01787,0.01944,0.02602,0.05069,0.15983,0.69338,3.18652"); + } + } + } + pin("la_data_in_mprj[112]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[112]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_35) { + values("0.49216,0.49528,0.50741,0.55298,0.74843,1.64865,5.84899"); + } + rise_transition(template_35) { + values("0.01822,0.02095,0.03335,0.09130,0.36958,1.66102,7.64972"); + } + cell_fall(template_36) { + values("0.61139,0.61410,0.62379,0.65235,0.74338,1.13104,2.92856"); + } + fall_transition(template_36) { + values("0.01818,0.01975,0.02627,0.05085,0.15988,0.69328,3.18787"); + } + } + timing() { + related_pin : "la_iena_mprj[112]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_547) { + values("1.00279,1.00590,1.01803,1.06360,1.25906,2.15928,6.35962"); + } + rise_transition(template_547) { + values("0.01822,0.02095,0.03335,0.09130,0.36958,1.66102,7.64972"); + } + cell_fall(template_548) { + values("1.06907,1.07178,1.08148,1.11003,1.20106,1.58872,3.38624"); + } + fall_transition(template_548) { + values("0.01818,0.01975,0.02627,0.05085,0.15988,0.69328,3.18787"); + } + } + } + pin("la_data_in_mprj[111]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[111]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_33) { + values("0.45899,0.46210,0.47422,0.51978,0.71522,1.61538,5.81630"); + } + rise_transition(template_33) { + values("0.01816,0.02089,0.03330,0.09128,0.36959,1.66100,7.64974"); + } + cell_fall(template_34) { + values("0.56867,0.57138,0.58107,0.60962,0.70065,1.08830,2.88563"); + } + fall_transition(template_34) { + values("0.01814,0.01971,0.02624,0.05083,0.15988,0.69329,3.18770"); + } + } + timing() { + related_pin : "la_iena_mprj[111]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_545) { + values("0.99810,1.00121,1.01332,1.05888,1.25433,2.15448,6.35540"); + } + rise_transition(template_545) { + values("0.01816,0.02089,0.03330,0.09128,0.36959,1.66100,7.64974"); + } + cell_fall(template_546) { + values("1.05438,1.05709,1.06678,1.09533,1.18635,1.57401,3.37133"); + } + fall_transition(template_546) { + values("0.01814,0.01971,0.02624,0.05083,0.15988,0.69329,3.18770"); + } + } + } + pin("la_data_in_mprj[110]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[110]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_31) { + values("0.51543,0.51866,0.53108,0.57702,0.77269,1.67448,5.86028"); + } + rise_transition(template_31) { + values("0.01964,0.02236,0.03448,0.09173,0.36927,1.66160,7.64920"); + } + cell_fall(template_32) { + values("0.52412,0.52682,0.53648,0.56495,0.65596,1.04355,2.83915"); + } + fall_transition(template_32) { + values("0.01779,0.01935,0.02595,0.05065,0.15981,0.69340,3.18614"); + } + } + timing() { + related_pin : "la_iena_mprj[110]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_543) { + values("1.07274,1.07597,1.08839,1.13433,1.33000,2.23179,6.41759"); + } + rise_transition(template_543) { + values("0.01964,0.02236,0.03448,0.09173,0.36927,1.66160,7.64920"); + } + cell_fall(template_544) { + values("1.02024,1.02294,1.03260,1.06107,1.15208,1.53967,3.33527"); + } + fall_transition(template_544) { + values("0.01779,0.01935,0.02595,0.05065,0.15981,0.69340,3.18614"); + } + } + } + pin("la_data_in_mprj[109]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[109]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_27) { + values("0.31104,0.31413,0.32621,0.37172,0.56713,1.46708,5.66999"); + } + rise_transition(template_27) { + values("0.01797,0.02070,0.03315,0.09122,0.36964,1.66092,7.64981"); + } + cell_fall(template_28) { + values("0.38507,0.38777,0.39745,0.42596,0.51698,0.90460,2.70112"); + } + fall_transition(template_28) { + values("0.01797,0.01954,0.02610,0.05074,0.15985,0.69334,3.18697"); + } + } + timing() { + related_pin : "la_iena_mprj[109]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_539) { + values("0.81667,0.81976,0.83184,0.87735,1.07276,1.97270,6.17562"); + } + rise_transition(template_539) { + values("0.01797,0.02070,0.03315,0.09122,0.36964,1.66092,7.64981"); + } + cell_fall(template_540) { + values("0.85421,0.85692,0.86659,0.89511,0.98612,1.37375,3.17027"); + } + fall_transition(template_540) { + values("0.01797,0.01954,0.02610,0.05074,0.15985,0.69334,3.18697"); + } + } + } + pin("la_data_in_mprj[108]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[108]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_25) { + values("0.56293,0.56626,0.57896,0.62523,0.82111,1.72435,5.89669"); + } + rise_transition(template_25) { + values("0.02096,0.02367,0.03552,0.09214,0.36897,1.66214,7.64873"); + } + cell_fall(template_26) { + values("0.55532,0.55802,0.56770,0.59623,0.68725,1.07488,2.87167"); + } + fall_transition(template_26) { + values("0.01803,0.01960,0.02615,0.05077,0.15986,0.69333,3.18721"); + } + } + timing() { + related_pin : "la_iena_mprj[108]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_537) { + values("1.15087,1.15419,1.16689,1.21317,1.40904,2.31229,6.48462"); + } + rise_transition(template_537) { + values("0.02096,0.02367,0.03552,0.09214,0.36897,1.66214,7.64873"); + } + cell_fall(template_538) { + values("1.07755,1.08026,1.08994,1.11846,1.20949,1.59712,3.39391"); + } + fall_transition(template_538) { + values("0.01803,0.01960,0.02615,0.05077,0.15986,0.69333,3.18721"); + } + } + } + pin("la_data_in_mprj[107]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[107]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_23) { + values("0.42713,0.43020,0.44221,0.48762,0.68298,1.58252,5.78911"); + } + rise_transition(template_23) { + values("0.01761,0.02034,0.03286,0.09111,0.36971,1.66077,7.64994"); + } + cell_fall(template_24) { + values("0.53609,0.53878,0.54846,0.57695,0.66796,1.05557,2.85163"); + } + fall_transition(template_24) { + values("0.01788,0.01945,0.02602,0.05070,0.15983,0.69337,3.18656"); + } + } + timing() { + related_pin : "la_iena_mprj[107]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_535) { + values("1.00553,1.00859,1.02060,1.06601,1.26137,2.16092,6.36751"); + } + rise_transition(template_535) { + values("0.01761,0.02034,0.03286,0.09111,0.36971,1.66077,7.64994"); + } + cell_fall(template_536) { + values("1.08336,1.08606,1.09573,1.12422,1.21523,1.60284,3.39891"); + } + fall_transition(template_536) { + values("0.01788,0.01945,0.02602,0.05070,0.15983,0.69337,3.18656"); + } + } + } + pin("la_data_in_mprj[106]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[106]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_21) { + values("0.34182,0.34493,0.35704,0.40258,0.59802,1.49813,5.69949"); + } + rise_transition(template_21) { + values("0.01812,0.02085,0.03327,0.09127,0.36960,1.66098,7.64975"); + } + cell_fall(template_22) { + values("0.40712,0.40982,0.41950,0.44802,0.53904,0.92667,2.72331"); + } + fall_transition(template_22) { + values("0.01800,0.01957,0.02612,0.05076,0.15985,0.69334,3.18708"); + } + } + timing() { + related_pin : "la_iena_mprj[106]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_533) { + values("0.92437,0.92748,0.93959,0.98513,1.18057,2.08068,6.28203"); + } + rise_transition(template_533) { + values("0.01812,0.02085,0.03327,0.09127,0.36960,1.66098,7.64975"); + } + cell_fall(template_534) { + values("0.92035,0.92305,0.93273,0.96125,1.05227,1.43990,3.23654"); + } + fall_transition(template_534) { + values("0.01800,0.01957,0.02612,0.05076,0.15985,0.69334,3.18708"); + } + } + } + pin("la_data_in_mprj[105]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[105]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_19) { + values("0.29612,0.29924,0.31137,0.35695,0.55241,1.45266,5.65274"); + } + rise_transition(template_19) { + values("0.01824,0.02097,0.03337,0.09130,0.36957,1.66103,7.64971"); + } + cell_fall(template_20) { + values("0.36025,0.36295,0.37263,0.40115,0.49217,0.87980,2.67649"); + } + fall_transition(template_20) { + values("0.01801,0.01958,0.02613,0.05076,0.15985,0.69333,3.18712"); + } + } + timing() { + related_pin : "la_iena_mprj[105]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_531) { + values("0.79481,0.79792,0.81006,0.85564,1.05110,1.95134,6.15143"); + } + rise_transition(template_531) { + values("0.01824,0.02097,0.03337,0.09130,0.36957,1.66103,7.64971"); + } + cell_fall(template_532) { + values("0.83089,0.83359,0.84327,0.87179,0.96281,1.35044,3.14713"); + } + fall_transition(template_532) { + values("0.01801,0.01958,0.02613,0.05076,0.15985,0.69333,3.18712"); + } + } + } + pin("la_data_in_mprj[104]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[104]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_17) { + values("0.32368,0.32679,0.33892,0.38449,0.57994,1.48015,5.68059"); + } + rise_transition(template_17) { + values("0.01821,0.02094,0.03334,0.09129,0.36958,1.66102,7.64972"); + } + cell_fall(template_18) { + values("0.38597,0.38867,0.39835,0.42687,0.51790,0.90553,2.70224"); + } + fall_transition(template_18) { + values("0.01801,0.01959,0.02613,0.05077,0.15985,0.69333,3.18715"); + } + } + timing() { + related_pin : "la_iena_mprj[104]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_529) { + values("0.87071,0.87382,0.88595,0.93152,1.12697,2.02718,6.22762"); + } + rise_transition(template_529) { + values("0.01821,0.02094,0.03334,0.09129,0.36958,1.66102,7.64972"); + } + cell_fall(template_530) { + values("0.87969,0.88240,0.89208,0.92060,1.01162,1.39925,3.19597"); + } + fall_transition(template_530) { + values("0.01801,0.01959,0.02613,0.05077,0.15985,0.69333,3.18715"); + } + } + } + pin("la_data_in_mprj[103]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[103]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_15) { + values("0.54243,0.54565,0.55807,0.60398,0.79965,1.70137,5.88780"); + } + rise_transition(template_15) { + values("0.01958,0.02230,0.03443,0.09172,0.36928,1.66158,7.64923"); + } + cell_fall(template_16) { + values("0.56784,0.57054,0.58021,0.60869,0.69970,1.08729,2.88310"); + } + fall_transition(template_16) { + values("0.01783,0.01940,0.02598,0.05067,0.15982,0.69339,3.18633"); + } + } + timing() { + related_pin : "la_iena_mprj[103]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_527) { + values("1.17498,1.17819,1.19061,1.23653,1.43219,2.33391,6.52034"); + } + rise_transition(template_527) { + values("0.01958,0.02230,0.03443,0.09172,0.36928,1.66158,7.64923"); + } + cell_fall(template_528) { + values("1.18404,1.18674,1.19640,1.22488,1.31589,1.70349,3.49930"); + } + fall_transition(template_528) { + values("0.01783,0.01940,0.02598,0.05067,0.15982,0.69339,3.18633"); + } + } + } + pin("la_data_in_mprj[102]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[102]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_13) { + values("0.36754,0.37079,0.38331,0.42936,0.62509,1.52736,5.70878"); + } + rise_transition(template_13) { + values("0.02007,0.02279,0.03481,0.09187,0.36917,1.66177,7.64905"); + } + cell_fall(template_14) { + values("0.34840,0.35109,0.36075,0.38922,0.48023,0.86781,2.66329"); + } + fall_transition(template_14) { + values("0.01776,0.01933,0.02593,0.05063,0.15981,0.69341,3.18603"); + } + } + timing() { + related_pin : "la_iena_mprj[102]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_525) { + values("0.78749,0.79075,0.80326,0.84931,1.04505,1.94731,6.12873"); + } + rise_transition(template_525) { + values("0.02007,0.02279,0.03481,0.09187,0.36917,1.66177,7.64905"); + } + cell_fall(template_526) { + values("0.75347,0.75617,0.76583,0.79430,0.88530,1.27289,3.06837"); + } + fall_transition(template_526) { + values("0.01776,0.01933,0.02593,0.05063,0.15981,0.69341,3.18603"); + } + } + } + pin("la_data_in_mprj[101]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[101]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_11) { + values("0.36951,0.37280,0.38541,0.43157,0.62738,1.53015,5.70688"); + } + rise_transition(template_11) { + values("0.02053,0.02324,0.03518,0.09201,0.36907,1.66196,7.64888"); + } + cell_fall(template_12) { + values("0.32676,0.32945,0.33912,0.36760,0.45860,0.84620,2.64192"); + } + fall_transition(template_12) { + values("0.01781,0.01938,0.02597,0.05066,0.15982,0.69339,3.18625"); + } + } + timing() { + related_pin : "la_iena_mprj[101]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_523) { + values("0.84237,0.84566,0.85827,0.90444,1.10024,2.00301,6.17974"); + } + rise_transition(template_523) { + values("0.02053,0.02324,0.03518,0.09201,0.36907,1.66196,7.64888"); + } + cell_fall(template_524) { + values("0.81420,0.81689,0.82656,0.85503,0.94604,1.33364,3.12936"); + } + fall_transition(template_524) { + values("0.01781,0.01938,0.02597,0.05066,0.15982,0.69339,3.18625"); + } + } + } + pin("la_data_in_mprj[100]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[100]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_9) { + values("0.48990,0.49304,0.50525,0.55091,0.74642,1.64702,5.84378"); + } + rise_transition(template_9) { + values("0.01857,0.02130,0.03363,0.09141,0.36950,1.66116,7.64959"); + } + cell_fall(template_10) { + values("0.59766,0.60037,0.61007,0.63865,0.72969,1.11737,2.91543"); + } + fall_transition(template_10) { + values("0.01829,0.01987,0.02636,0.05091,0.15990,0.69324,3.18837"); + } + } + timing() { + related_pin : "la_iena_mprj[100]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_521) { + values("1.03878,1.04192,1.05412,1.09978,1.29529,2.19590,6.39266"); + } + rise_transition(template_521) { + values("0.01857,0.02130,0.03363,0.09141,0.36950,1.66116,7.64959"); + } + cell_fall(template_522) { + values("1.07651,1.07922,1.08893,1.11750,1.20854,1.59622,3.39429"); + } + fall_transition(template_522) { + values("0.01829,0.01987,0.02636,0.05091,0.15990,0.69324,3.18837"); + } + } + } + pin("la_data_in_mprj[99]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[99]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_259) { + values("0.49977,0.50294,0.51524,0.56102,0.75660,1.65771,5.84977"); + } + rise_transition(template_259) { + values("0.01903,0.02175,0.03399,0.09155,0.36940,1.66135,7.64942"); + } + cell_fall(template_260) { + values("0.62293,0.62564,0.63536,0.66398,0.75503,1.14274,2.94167"); + } + fall_transition(template_260) { + values("0.01847,0.02005,0.02650,0.05100,0.15993,0.69319,3.18916"); + } + } + timing() { + related_pin : "la_iena_mprj[99]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_771) { + values("1.14947,1.15264,1.16494,1.21072,1.40630,2.30741,6.49947"); + } + rise_transition(template_771) { + values("0.01903,0.02175,0.03399,0.09155,0.36940,1.66135,7.64942"); + } + cell_fall(template_772) { + values("1.22252,1.22524,1.23496,1.26358,1.35462,1.74234,3.54127"); + } + fall_transition(template_772) { + values("0.01847,0.02005,0.02650,0.05100,0.15993,0.69319,3.18916"); + } + } + } + pin("la_data_in_mprj[98]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[98]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_257) { + values("0.38834,0.39152,0.40383,0.44962,0.64521,1.54638,5.73793"); + } + rise_transition(template_257) { + values("0.01908,0.02180,0.03403,0.09156,0.36939,1.66137,7.64941"); + } + cell_fall(template_258) { + values("0.46527,0.46798,0.47770,0.50631,0.59736,0.98507,2.78392"); + } + fall_transition(template_258) { + values("0.01845,0.02003,0.02649,0.05099,0.15993,0.69319,3.18908"); + } + } + timing() { + related_pin : "la_iena_mprj[98]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_769) { + values("0.98700,0.99018,1.00249,1.04828,1.24386,2.14503,6.33658"); + } + rise_transition(template_769) { + values("0.01908,0.02180,0.03403,0.09156,0.36939,1.66137,7.64941"); + } + cell_fall(template_770) { + values("0.97779,0.98050,0.99022,1.01883,1.10988,1.49759,3.29644"); + } + fall_transition(template_770) { + values("0.01845,0.02003,0.02649,0.05099,0.15993,0.69319,3.18908"); + } + } + } + pin("la_data_in_mprj[97]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[97]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_255) { + values("0.38040,0.38358,0.39587,0.44164,0.63722,1.53830,5.73063"); + } + rise_transition(template_255) { + values("0.01900,0.02173,0.03397,0.09154,0.36941,1.66134,7.64943"); + } + cell_fall(template_256) { + values("0.45617,0.45889,0.46860,0.49721,0.58825,0.97596,2.77463"); + } + fall_transition(template_256) { + values("0.01842,0.02000,0.02646,0.05097,0.15992,0.69320,3.18892"); + } + } + timing() { + related_pin : "la_iena_mprj[97]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_767) { + values("1.00163,1.00480,1.01710,1.06287,1.25845,2.15953,6.35185"); + } + rise_transition(template_767) { + values("0.01900,0.02173,0.03397,0.09154,0.36941,1.66134,7.64943"); + } + cell_fall(template_768) { + values("0.98188,0.98459,0.99431,1.02291,1.11396,1.50166,3.30033"); + } + fall_transition(template_768) { + values("0.01842,0.02000,0.02646,0.05097,0.15992,0.69320,3.18892"); + } + } + } + pin("la_data_in_mprj[96]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[96]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_253) { + values("0.34795,0.35105,0.36316,0.40870,0.60414,1.50422,5.70580"); + } + rise_transition(template_253) { + values("0.01810,0.02083,0.03325,0.09126,0.36961,1.66097,7.64976"); + } + cell_fall(template_254) { + values("0.41929,0.42199,0.43168,0.46020,0.55122,0.93885,2.73558"); + } + fall_transition(template_254) { + values("0.01802,0.01959,0.02614,0.05077,0.15986,0.69333,3.18716"); + } + } + timing() { + related_pin : "la_iena_mprj[96]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_765) { + values("0.97430,0.97740,0.98951,1.03505,1.23048,2.13057,6.33215"); + } + rise_transition(template_765) { + values("0.01810,0.02083,0.03325,0.09126,0.36961,1.66097,7.64976"); + } + cell_fall(template_766) { + values("1.00203,1.00474,1.01442,1.04294,1.13396,1.52159,3.31833"); + } + fall_transition(template_766) { + values("0.01802,0.01959,0.02614,0.05077,0.15986,0.69333,3.18716"); + } + } + } + pin("la_data_in_mprj[95]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[95]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_251) { + values("0.48106,0.48418,0.49633,0.54192,0.73738,1.63768,5.83729"); + } + rise_transition(template_251) { + values("0.01829,0.02102,0.03341,0.09132,0.36956,1.66105,7.64969"); + } + cell_fall(template_252) { + values("0.59413,0.59683,0.60653,0.63509,0.72612,1.11378,2.91133"); + } + fall_transition(template_252) { + values("0.01819,0.01976,0.02627,0.05085,0.15988,0.69328,3.18790"); + } + } + timing() { + related_pin : "la_iena_mprj[95]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_763) { + values("1.20771,1.21083,1.22298,1.26856,1.46403,2.36433,6.56394"); + } + rise_transition(template_763) { + values("0.01829,0.02102,0.03341,0.09132,0.36956,1.66105,7.64969"); + } + cell_fall(template_764) { + values("1.22720,1.22991,1.23961,1.26816,1.35919,1.74685,3.54440"); + } + fall_transition(template_764) { + values("0.01819,0.01976,0.02627,0.05085,0.15988,0.69328,3.18790"); + } + } + } + pin("la_data_in_mprj[94]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[94]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_249) { + values("0.55985,0.56310,0.57560,0.62163,0.81736,1.71955,5.90164"); + } + rise_transition(template_249) { + values("0.02001,0.02272,0.03476,0.09185,0.36919,1.66175,7.64907"); + } + cell_fall(template_250) { + values("0.57933,0.58203,0.59170,0.62019,0.71120,1.09881,2.89483"); + } + fall_transition(template_250) { + values("0.01787,0.01944,0.02602,0.05069,0.15983,0.69338,3.18652"); + } + } + timing() { + related_pin : "la_iena_mprj[94]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_761) { + values("1.34263,1.34588,1.35838,1.40441,1.60014,2.50233,6.68442"); + } + rise_transition(template_761) { + values("0.02001,0.02272,0.03476,0.09185,0.36919,1.66175,7.64907"); + } + cell_fall(template_762) { + values("1.25254,1.25524,1.26491,1.29340,1.38441,1.77201,3.56804"); + } + fall_transition(template_762) { + values("0.01787,0.01944,0.02602,0.05069,0.15983,0.69338,3.18652"); + } + } + } + pin("la_data_in_mprj[93]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[93]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_247) { + values("0.46249,0.46556,0.47759,0.52299,0.71841,1.61773,5.82437"); + } + rise_transition(template_247) { + values("0.01740,0.02014,0.03269,0.09104,0.36986,1.65972,7.65062"); + } + cell_fall(template_248) { + values("0.52224,0.52493,0.53462,0.56313,0.65404,1.04181,2.83944"); + } + fall_transition(template_248) { + values("0.01754,0.01920,0.02582,0.05057,0.15985,0.69351,3.18724"); + } + } + timing() { + related_pin : "la_iena_mprj[93]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_759) { + values("1.22086,1.22392,1.23595,1.28135,1.47677,2.37609,6.58273"); + } + rise_transition(template_759) { + values("0.01740,0.02014,0.03269,0.09104,0.36986,1.65972,7.65062"); + } + cell_fall(template_760) { + values("1.18655,1.18925,1.19893,1.22744,1.31836,1.70613,3.50376"); + } + fall_transition(template_760) { + values("0.01754,0.01920,0.02582,0.05057,0.15985,0.69351,3.18724"); + } + } + } + pin("la_data_in_mprj[92]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[92]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_245) { + values("0.46626,0.46932,0.48130,0.52668,0.72203,1.62139,5.82932"); + } + rise_transition(template_245) { + values("0.01743,0.02017,0.03273,0.09105,0.36977,1.66051,7.65012"); + } + cell_fall(template_246) { + values("0.51561,0.51830,0.52798,0.55649,0.64741,1.03516,2.83253"); + } + fall_transition(template_246) { + values("0.01755,0.01920,0.02582,0.05057,0.15985,0.69350,3.18707"); + } + } + timing() { + related_pin : "la_iena_mprj[92]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_757) { + values("1.18064,1.18369,1.19568,1.24105,1.43641,2.33576,6.54369"); + } + rise_transition(template_757) { + values("0.01743,0.02017,0.03273,0.09105,0.36977,1.66051,7.65012"); + } + cell_fall(template_758) { + values("1.15551,1.15821,1.16789,1.19640,1.28732,1.67507,3.47244"); + } + fall_transition(template_758) { + values("0.01755,0.01920,0.02582,0.05057,0.15985,0.69350,3.18707"); + } + } + } + pin("la_data_in_mprj[91]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[91]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_243) { + values("0.56521,0.56850,0.58111,0.62726,0.82307,1.72581,5.90281"); + } + rise_transition(template_243) { + values("0.02051,0.02322,0.03516,0.09200,0.36908,1.66195,7.64889"); + } + cell_fall(template_244) { + values("0.57639,0.57909,0.58877,0.61727,0.70829,1.09590,2.89221"); + } + fall_transition(template_244) { + values("0.01793,0.01950,0.02607,0.05072,0.15984,0.69336,3.18678"); + } + } + timing() { + related_pin : "la_iena_mprj[91]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_755) { + values("1.26539,1.26868,1.28129,1.32745,1.52325,2.42599,6.60299"); + } + rise_transition(template_755) { + values("0.02051,0.02322,0.03516,0.09200,0.36908,1.66195,7.64889"); + } + cell_fall(template_756) { + values("1.20088,1.20358,1.21326,1.24176,1.33278,1.72039,3.51670"); + } + fall_transition(template_756) { + values("0.01793,0.01950,0.02607,0.05072,0.15984,0.69336,3.18678"); + } + } + } + pin("la_data_in_mprj[90]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[90]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_241) { + values("0.51870,0.52177,0.53378,0.57920,0.77457,1.67415,5.88036"); + } + rise_transition(template_241) { + values("0.01764,0.02038,0.03289,0.09112,0.36971,1.66079,7.64992"); + } + cell_fall(template_242) { + values("0.63316,0.63586,0.64553,0.67403,0.76505,1.15265,2.94883"); + } + fall_transition(template_242) { + values("0.01790,0.01947,0.02604,0.05071,0.15984,0.69337,3.18665"); + } + } + timing() { + related_pin : "la_iena_mprj[90]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_753) { + values("1.25390,1.25697,1.26898,1.31440,1.50977,2.40936,6.61556"); + } + rise_transition(template_753) { + values("0.01764,0.02038,0.03289,0.09112,0.36971,1.66079,7.64992"); + } + cell_fall(template_754) { + values("1.28683,1.28952,1.29920,1.32769,1.41871,1.80632,3.60249"); + } + fall_transition(template_754) { + values("0.01790,0.01947,0.02604,0.05071,0.15984,0.69337,3.18665"); + } + } + } + pin("la_data_in_mprj[89]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[89]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_237) { + values("0.49658,0.49964,0.51162,0.55699,0.75234,1.65170,5.85972"); + } + rise_transition(template_237) { + values("0.01743,0.02017,0.03273,0.09106,0.36977,1.66056,7.65009"); + } + cell_fall(template_238) { + values("0.60646,0.60915,0.61881,0.64728,0.73829,1.12587,2.92140"); + } + fall_transition(template_238) { + values("0.01777,0.01934,0.02593,0.05064,0.15981,0.69341,3.18607"); + } + } + timing() { + related_pin : "la_iena_mprj[89]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_749) { + values("1.22721,1.23027,1.24225,1.28762,1.48297,2.38233,6.59035"); + } + rise_transition(template_749) { + values("0.01743,0.02017,0.03273,0.09106,0.36977,1.66056,7.65009"); + } + cell_fall(template_750) { + values("1.25821,1.26091,1.27057,1.29904,1.39004,1.77763,3.57316"); + } + fall_transition(template_750) { + values("0.01777,0.01934,0.02593,0.05064,0.15981,0.69341,3.18607"); + } + } + } + pin("la_data_in_mprj[88]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[88]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_235) { + values("0.50652,0.50957,0.52155,0.56692,0.76227,1.66163,5.86970"); + } + rise_transition(template_235) { + values("0.01744,0.02017,0.03273,0.09106,0.36976,1.66059,7.65007"); + } + cell_fall(template_236) { + values("0.62310,0.62580,0.63546,0.66394,0.75495,1.14254,2.93824"); + } + fall_transition(template_236) { + values("0.01781,0.01937,0.02596,0.05066,0.15982,0.69340,3.18622"); + } + } + timing() { + related_pin : "la_iena_mprj[88]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_747) { + values("1.22129,1.22434,1.23632,1.28169,1.47704,2.37640,6.58447"); + } + rise_transition(template_747) { + values("0.01744,0.02017,0.03273,0.09106,0.36976,1.66059,7.65007"); + } + cell_fall(template_748) { + values("1.26030,1.26299,1.27266,1.30113,1.39214,1.77973,3.57543"); + } + fall_transition(template_748) { + values("0.01781,0.01937,0.02596,0.05066,0.15982,0.69340,3.18622"); + } + } + } + pin("la_data_in_mprj[87]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[87]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_233) { + values("0.51386,0.51692,0.52892,0.57433,0.76969,1.66922,5.87598"); + } + rise_transition(template_233) { + values("0.01759,0.02032,0.03285,0.09110,0.36972,1.66077,7.64994"); + } + cell_fall(template_234) { + values("0.57359,0.57629,0.58595,0.61442,0.70538,1.09304,2.88917"); + } + fall_transition(template_234) { + values("0.01757,0.01918,0.02581,0.05056,0.15982,0.69348,3.18626"); + } + } + timing() { + related_pin : "la_iena_mprj[87]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_745) { + values("1.19413,1.19719,1.20919,1.25460,1.44996,2.34949,6.55625"); + } + rise_transition(template_745) { + values("0.01759,0.02032,0.03285,0.09110,0.36972,1.66077,7.64994"); + } + cell_fall(template_746) { + values("1.18448,1.18717,1.19684,1.22531,1.31626,1.70392,3.50005"); + } + fall_transition(template_746) { + values("0.01757,0.01918,0.02581,0.05056,0.15982,0.69348,3.18626"); + } + } + } + pin("la_data_in_mprj[86]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[86]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_231) { + values("0.47508,0.47815,0.49017,0.53557,0.73098,1.63030,5.83712"); + } + rise_transition(template_231) { + values("0.01740,0.02014,0.03270,0.09104,0.36985,1.65983,7.65055"); + } + cell_fall(template_232) { + values("0.52426,0.52697,0.53665,0.56517,0.65607,1.04387,2.84179"); + } + fall_transition(template_232) { + values("0.01754,0.01921,0.02582,0.05057,0.15986,0.69351,3.18743"); + } + } + timing() { + related_pin : "la_iena_mprj[86]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_743) { + values("1.12743,1.13049,1.14251,1.18791,1.38332,2.28265,6.48946"); + } + rise_transition(template_743) { + values("0.01740,0.02014,0.03270,0.09104,0.36985,1.65983,7.65055"); + } + cell_fall(template_744) { + values("1.11872,1.12142,1.13111,1.15963,1.25053,1.63832,3.43624"); + } + fall_transition(template_744) { + values("0.01754,0.01921,0.02582,0.05057,0.15986,0.69351,3.18743"); + } + } + } + pin("la_data_in_mprj[85]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[85]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_229) { + values("0.47653,0.47959,0.49157,0.53695,0.73231,1.63166,5.83954"); + } + rise_transition(template_229) { + values("0.01743,0.02017,0.03273,0.09105,0.36978,1.66047,7.65014"); + } + cell_fall(template_230) { + values("0.52391,0.52661,0.53628,0.56479,0.65571,1.04346,2.84087"); + } + fall_transition(template_230) { + values("0.01755,0.01920,0.02582,0.05057,0.15985,0.69351,3.18710"); + } + } + timing() { + related_pin : "la_iena_mprj[85]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_741) { + values("1.18148,1.18454,1.19652,1.24190,1.43725,2.33661,6.54448"); + } + rise_transition(template_741) { + values("0.01743,0.02017,0.03273,0.09105,0.36978,1.66047,7.65014"); + } + cell_fall(template_742) { + values("1.15538,1.15808,1.16775,1.19626,1.28718,1.67493,3.47234"); + } + fall_transition(template_742) { + values("0.01755,0.01920,0.02582,0.05057,0.15985,0.69351,3.18710"); + } + } + } + pin("la_data_in_mprj[84]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[84]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_227) { + values("0.49134,0.49439,0.50637,0.55174,0.74709,1.64645,5.85456"); + } + rise_transition(template_227) { + values("0.01744,0.02017,0.03273,0.09106,0.36976,1.66062,7.65005"); + } + cell_fall(template_228) { + values("0.54282,0.54552,0.55519,0.58369,0.67462,1.06234,2.85928"); + } + fall_transition(template_228) { + values("0.01756,0.01919,0.02581,0.05057,0.15984,0.69350,3.18679"); + } + } + timing() { + related_pin : "la_iena_mprj[84]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_739) { + values("1.17985,1.18291,1.19488,1.24026,1.43560,2.33496,6.54307"); + } + rise_transition(template_739) { + values("0.01744,0.02017,0.03273,0.09106,0.36976,1.66062,7.65005"); + } + cell_fall(template_740) { + values("1.15959,1.16229,1.17196,1.20046,1.29139,1.67911,3.47605"); + } + fall_transition(template_740) { + values("0.01756,0.01919,0.02581,0.05057,0.15984,0.69350,3.18679"); + } + } + } + pin("la_data_in_mprj[83]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[83]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_225) { + values("0.51200,0.51506,0.52703,0.57241,0.76775,1.66713,5.87522"); + } + rise_transition(template_225) { + values("0.01746,0.02019,0.03275,0.09106,0.36975,1.66071,7.64999"); + } + cell_fall(template_226) { + values("0.57253,0.57522,0.58490,0.61339,0.70432,1.09203,2.88883"); + } + fall_transition(template_226) { + values("0.01756,0.01919,0.02581,0.05056,0.15983,0.69350,3.18670"); + } + } + timing() { + related_pin : "la_iena_mprj[83]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_737) { + values("1.15423,1.15728,1.16926,1.21463,1.40997,2.30935,6.51745"); + } + rise_transition(template_737) { + values("0.01746,0.02019,0.03275,0.09106,0.36975,1.66071,7.64999"); + } + cell_fall(template_738) { + values("1.15197,1.15467,1.16434,1.19283,1.28376,1.67147,3.46827"); + } + fall_transition(template_738) { + values("0.01756,0.01919,0.02581,0.05056,0.15983,0.69350,3.18670"); + } + } + } + pin("la_data_in_mprj[82]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[82]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_223) { + values("0.29132,0.29438,0.30639,0.35178,0.54717,1.44650,5.65378"); + } + rise_transition(template_223) { + values("0.01741,0.02016,0.03271,0.09105,0.36982,1.66011,7.65037"); + } + cell_fall(template_224) { + values("0.35993,0.36263,0.37228,0.40072,0.49171,0.87928,2.67419"); + } + fall_transition(template_224) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18546"); + } + } + timing() { + related_pin : "la_iena_mprj[82]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_735) { + values("0.74593,0.74899,0.76100,0.80638,1.00177,1.90111,6.10839"); + } + rise_transition(template_735) { + values("0.01741,0.02016,0.03271,0.09105,0.36982,1.66011,7.65037"); + } + cell_fall(template_736) { + values("0.77873,0.78142,0.79107,0.81951,0.91050,1.29807,3.09298"); + } + fall_transition(template_736) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18546"); + } + } + } + pin("la_data_in_mprj[81]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[81]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_221) { + values("0.44902,0.45208,0.46405,0.50942,0.70476,1.60412,5.81235"); + } + rise_transition(template_221) { + values("0.01744,0.02018,0.03273,0.09106,0.36975,1.66069,7.65001"); + } + cell_fall(template_222) { + values("0.56861,0.57130,0.58097,0.60946,0.70047,1.08807,2.88397"); + } + fall_transition(template_222) { + values("0.01785,0.01941,0.02600,0.05068,0.15983,0.69338,3.18641"); + } + } + timing() { + related_pin : "la_iena_mprj[81]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_733) { + values("0.96542,0.96848,0.98045,1.02582,1.22116,2.12052,6.32874"); + } + rise_transition(template_733) { + values("0.01744,0.02018,0.03273,0.09106,0.36975,1.66069,7.65001"); + } + cell_fall(template_734) { + values("1.03482,1.03752,1.04719,1.07567,1.16668,1.55428,3.35019"); + } + fall_transition(template_734) { + values("0.01785,0.01941,0.02600,0.05068,0.15983,0.69338,3.18641"); + } + } + } + pin("la_data_in_mprj[80]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[80]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_219) { + values("0.46550,0.46855,0.48054,0.52592,0.72128,1.62063,5.82845"); + } + rise_transition(template_219) { + values("0.01743,0.02017,0.03272,0.09105,0.36978,1.66044,7.65016"); + } + cell_fall(template_220) { + values("0.58634,0.58904,0.59870,0.62717,0.71818,1.10576,2.90131"); + } + fall_transition(template_220) { + values("0.01777,0.01934,0.02594,0.05064,0.15981,0.69341,3.18609"); + } + } + timing() { + related_pin : "la_iena_mprj[80]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_731) { + values("1.15674,1.15980,1.17178,1.21716,1.41252,2.31187,6.51969"); + } + rise_transition(template_731) { + values("0.01743,0.02017,0.03272,0.09105,0.36978,1.66044,7.65016"); + } + cell_fall(template_732) { + values("1.24705,1.24974,1.25941,1.28788,1.37888,1.76647,3.56202"); + } + fall_transition(template_732) { + values("0.01777,0.01934,0.02594,0.05064,0.15981,0.69341,3.18609"); + } + } + } + pin("la_data_in_mprj[79]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[79]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_215) { + values("0.51156,0.51462,0.52659,0.57197,0.76732,1.66668,5.87467"); + } + rise_transition(template_215) { + values("0.01743,0.02017,0.03273,0.09106,0.36977,1.66055,7.65010"); + } + cell_fall(template_216) { + values("0.62605,0.62875,0.63841,0.66688,0.75789,1.14548,2.94107"); + } + fall_transition(template_216) { + values("0.01778,0.01935,0.02594,0.05064,0.15981,0.69340,3.18612"); + } + } + timing() { + related_pin : "la_iena_mprj[79]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_727) { + values("1.13334,1.13640,1.14838,1.19375,1.38911,2.28846,6.49646"); + } + rise_transition(template_727) { + values("0.01743,0.02017,0.03273,0.09106,0.36977,1.66055,7.65010"); + } + cell_fall(template_728) { + values("1.23687,1.23957,1.24923,1.27770,1.36871,1.75630,3.55189"); + } + fall_transition(template_728) { + values("0.01778,0.01935,0.02594,0.05064,0.15981,0.69340,3.18612"); + } + } + } + pin("la_data_in_mprj[78]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[78]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_213) { + values("0.43734,0.44039,0.45238,0.49776,0.69312,1.59247,5.80029"); + } + rise_transition(template_213) { + values("0.01743,0.02017,0.03272,0.09105,0.36978,1.66044,7.65017"); + } + cell_fall(template_214) { + values("0.54691,0.54961,0.55927,0.58774,0.67875,1.06633,2.86187"); + } + fall_transition(template_214) { + values("0.01777,0.01934,0.02594,0.05064,0.15981,0.69341,3.18608"); + } + } + timing() { + related_pin : "la_iena_mprj[78]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_725) { + values("0.98870,0.99176,1.00374,1.04912,1.24448,2.14383,6.35165"); + } + rise_transition(template_725) { + values("0.01743,0.02017,0.03272,0.09105,0.36978,1.66044,7.65017"); + } + cell_fall(template_726) { + values("1.02696,1.02966,1.03932,1.06779,1.15880,1.54638,3.34192"); + } + fall_transition(template_726) { + values("0.01777,0.01934,0.02594,0.05064,0.15981,0.69341,3.18608"); + } + } + } + pin("la_data_in_mprj[77]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[77]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_211) { + values("0.31825,0.32133,0.33337,0.37883,0.57422,1.47397,5.67866"); + } + rise_transition(template_211) { + values("0.01779,0.02052,0.03301,0.09117,0.36967,1.66085,7.64987"); + } + cell_fall(template_212) { + values("0.38533,0.38802,0.39770,0.42619,0.51720,0.90480,2.70081"); + } + fall_transition(template_212) { + values("0.01787,0.01944,0.02601,0.05069,0.15983,0.69338,3.18650"); + } + } + timing() { + related_pin : "la_iena_mprj[77]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_723) { + values("0.84487,0.84795,0.85999,0.90545,1.10084,2.00059,6.20528"); + } + rise_transition(template_723) { + values("0.01779,0.02052,0.03301,0.09117,0.36967,1.66085,7.64987"); + } + cell_fall(template_724) { + values("0.85328,0.85597,0.86564,0.89413,0.98515,1.37275,3.16876"); + } + fall_transition(template_724) { + values("0.01787,0.01944,0.02601,0.05069,0.15983,0.69338,3.18650"); + } + } + } + pin("la_data_in_mprj[76]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[76]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_209) { + values("0.32924,0.33236,0.34450,0.39009,0.58555,1.48584,5.68558"); + } + rise_transition(template_209) { + values("0.01828,0.02100,0.03339,0.09132,0.36957,1.66105,7.64970"); + } + cell_fall(template_210) { + values("0.40420,0.40690,0.41660,0.44514,0.53617,0.92382,2.72115"); + } + fall_transition(template_210) { + values("0.01814,0.01971,0.02624,0.05083,0.15988,0.69329,3.18770"); + } + } + timing() { + related_pin : "la_iena_mprj[76]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_721) { + values("0.82796,0.83108,0.84322,0.88881,1.08427,1.98456,6.18430"); + } + rise_transition(template_721) { + values("0.01828,0.02100,0.03339,0.09132,0.36957,1.66105,7.64970"); + } + cell_fall(template_722) { + values("0.85443,0.85714,0.86683,0.89538,0.98641,1.37406,3.17139"); + } + fall_transition(template_722) { + values("0.01814,0.01971,0.02624,0.05083,0.15988,0.69329,3.18770"); + } + } + } + pin("la_data_in_mprj[75]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[75]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_207) { + values("0.42071,0.42395,0.43644,0.48245,0.67816,1.58026,5.76318"); + } + rise_transition(template_207) { + values("0.01993,0.02264,0.03470,0.09182,0.36920,1.66172,7.64910"); + } + cell_fall(template_208) { + values("0.42373,0.42643,0.43611,0.46462,0.55564,0.94327,2.73980"); + } + fall_transition(template_208) { + values("0.01798,0.01955,0.02610,0.05075,0.15985,0.69334,3.18698"); + } + } + timing() { + related_pin : "la_iena_mprj[75]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_719) { + values("0.89540,0.89865,0.91114,0.95714,1.15286,2.05496,6.23788"); + } + rise_transition(template_719) { + values("0.01993,0.02264,0.03470,0.09182,0.36920,1.66172,7.64910"); + } + cell_fall(template_720) { + values("0.85743,0.86013,0.86981,0.89832,0.98934,1.37696,3.17349"); + } + fall_transition(template_720) { + values("0.01798,0.01955,0.02610,0.05075,0.15985,0.69334,3.18698"); + } + } + } + pin("la_data_in_mprj[74]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[74]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_205) { + values("0.41626,0.41956,0.43218,0.47836,0.67417,1.57699,5.75323"); + } + rise_transition(template_205) { + values("0.02058,0.02329,0.03522,0.09202,0.36906,1.66198,7.64887"); + } + cell_fall(template_206) { + values("0.39424,0.39694,0.40662,0.43512,0.52614,0.91375,2.71012"); + } + fall_transition(template_206) { + values("0.01794,0.01951,0.02607,0.05073,0.15984,0.69335,3.18683"); + } + } + timing() { + related_pin : "la_iena_mprj[74]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_717) { + values("0.89562,0.89891,0.91153,0.95771,1.15352,2.05635,6.23258"); + } + rise_transition(template_717) { + values("0.02058,0.02329,0.03522,0.09202,0.36906,1.66198,7.64887"); + } + cell_fall(template_718) { + values("0.83046,0.83316,0.84283,0.87134,0.96236,1.34997,3.14634"); + } + fall_transition(template_718) { + values("0.01794,0.01951,0.02607,0.05073,0.15984,0.69335,3.18683"); + } + } + } + pin("la_data_in_mprj[73]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[73]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_203) { + values("0.42738,0.43068,0.44332,0.48952,0.68534,1.58826,5.76367"); + } + rise_transition(template_203) { + values("0.02066,0.02337,0.03528,0.09205,0.36904,1.66201,7.64884"); + } + cell_fall(template_204) { + values("0.41200,0.41470,0.42438,0.45290,0.54392,0.93154,2.72817"); + } + fall_transition(template_204) { + values("0.01799,0.01957,0.02612,0.05076,0.15985,0.69334,3.18706"); + } + } + timing() { + related_pin : "la_iena_mprj[73]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_715) { + values("0.89360,0.89690,0.90954,0.95573,1.15156,2.05447,6.22988"); + } + rise_transition(template_715) { + values("0.02066,0.02337,0.03528,0.09205,0.36904,1.66201,7.64884"); + } + cell_fall(template_716) { + values("0.84611,0.84881,0.85849,0.88701,0.97803,1.36565,3.16228"); + } + fall_transition(template_716) { + values("0.01799,0.01957,0.02612,0.05076,0.15985,0.69334,3.18706"); + } + } + } + pin("la_data_in_mprj[72]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[72]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_201) { + values("0.42049,0.42383,0.43656,0.48287,0.67876,1.58217,5.75303"); + } + rise_transition(template_201) { + values("0.02111,0.02381,0.03563,0.09218,0.36894,1.66220,7.64868"); + } + cell_fall(template_202) { + values("0.39191,0.39462,0.40430,0.43283,0.52385,0.91148,2.70833"); + } + fall_transition(template_202) { + values("0.01804,0.01961,0.02615,0.05078,0.15986,0.69332,3.18726"); + } + } + timing() { + related_pin : "la_iena_mprj[72]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_713) { + values("0.88883,0.89216,0.90489,0.95121,1.14710,2.05051,6.22137"); + } + rise_transition(template_713) { + values("0.02111,0.02381,0.03563,0.09218,0.36894,1.66220,7.64868"); + } + cell_fall(template_714) { + values("0.83079,0.83349,0.84318,0.87170,0.96273,1.35036,3.14720"); + } + fall_transition(template_714) { + values("0.01804,0.01961,0.02615,0.05078,0.15986,0.69332,3.18726"); + } + } + } + pin("la_data_in_mprj[71]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[71]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_199) { + values("0.43459,0.43796,0.45078,0.49717,0.69308,1.59655,5.76626"); + } + rise_transition(template_199) { + values("0.02146,0.02416,0.03593,0.09231,0.36895,1.66231,7.64871"); + } + cell_fall(template_200) { + values("0.40461,0.40732,0.41701,0.44555,0.53658,0.92423,2.72149"); + } + fall_transition(template_200) { + values("0.01813,0.01970,0.02622,0.05082,0.15987,0.69330,3.18764"); + } + } + timing() { + related_pin : "la_iena_mprj[71]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_711) { + values("0.88964,0.89301,0.90584,0.95222,1.14814,2.05160,6.22132"); + } + rise_transition(template_711) { + values("0.02146,0.02416,0.03593,0.09231,0.36895,1.66231,7.64871"); + } + cell_fall(template_712) { + values("0.83781,0.84052,0.85021,0.87875,0.96978,1.35743,3.15469"); + } + fall_transition(template_712) { + values("0.01813,0.01970,0.02622,0.05082,0.15987,0.69330,3.18764"); + } + } + } + pin("la_data_in_mprj[70]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[70]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_197) { + values("0.41553,0.41883,0.43145,0.47764,0.67345,1.57630,5.75233"); + } + rise_transition(template_197) { + values("0.02060,0.02331,0.03523,0.09203,0.36905,1.66199,7.64886"); + } + cell_fall(template_198) { + values("0.39967,0.40237,0.41204,0.44055,0.53157,0.91919,2.71561"); + } + fall_transition(template_198) { + values("0.01795,0.01952,0.02608,0.05073,0.15984,0.69335,3.18688"); + } + } + timing() { + related_pin : "la_iena_mprj[70]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_709) { + values("0.88833,0.89163,0.90425,0.95043,1.14625,2.04910,6.22513"); + } + rise_transition(template_709) { + values("0.02060,0.02331,0.03523,0.09203,0.36905,1.66199,7.64886"); + } + cell_fall(template_710) { + values("0.87182,0.87452,0.88420,0.91271,1.00373,1.39135,3.18777"); + } + fall_transition(template_710) { + values("0.01795,0.01952,0.02608,0.05073,0.15984,0.69335,3.18688"); + } + } + } + pin("la_data_in_mprj[69]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[69]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_193) { + values("0.42397,0.42726,0.43988,0.48605,0.68186,1.58466,5.76109"); + } + rise_transition(template_193) { + values("0.02056,0.02327,0.03520,0.09202,0.36906,1.66197,7.64887"); + } + cell_fall(template_194) { + values("0.41218,0.41488,0.42456,0.45307,0.54409,0.93171,2.72823"); + } + fall_transition(template_194) { + values("0.01797,0.01954,0.02610,0.05074,0.15985,0.69334,3.18696"); + } + } + timing() { + related_pin : "la_iena_mprj[69]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_705) { + values("0.88811,0.89140,0.90402,0.95019,1.14600,2.04881,6.22523"); + } + rise_transition(template_705) { + values("0.02056,0.02327,0.03520,0.09202,0.36906,1.66197,7.64887"); + } + cell_fall(template_706) { + values("0.88033,0.88303,0.89271,0.92122,1.01224,1.39986,3.19638"); + } + fall_transition(template_706) { + values("0.01797,0.01954,0.02610,0.05074,0.15985,0.69334,3.18696"); + } + } + } + pin("la_data_in_mprj[68]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[68]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_191) { + values("0.40948,0.41274,0.42525,0.47129,0.66702,1.56926,5.75092"); + } + rise_transition(template_191) { + values("0.02005,0.02276,0.03480,0.09186,0.36918,1.66177,7.64906"); + } + cell_fall(template_192) { + values("0.40595,0.40865,0.41832,0.44682,0.53783,0.92543,2.72148"); + } + fall_transition(template_192) { + values("0.01788,0.01945,0.02602,0.05069,0.15983,0.69337,3.18654"); + } + } + timing() { + related_pin : "la_iena_mprj[68]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_703) { + values("0.97753,0.98078,0.99330,1.03934,1.23507,2.13731,6.31896"); + } + rise_transition(template_703) { + values("0.02005,0.02276,0.03480,0.09186,0.36918,1.66177,7.64906"); + } + cell_fall(template_704) { + values("0.98721,0.98991,0.99958,1.02807,1.11909,1.50669,3.30274"); + } + fall_transition(template_704) { + values("0.01788,0.01945,0.02602,0.05069,0.15983,0.69337,3.18654"); + } + } + } + pin("la_data_in_mprj[67]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[67]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_189) { + values("0.34963,0.35279,0.36504,0.41077,0.60631,1.50720,5.70140"); + } + rise_transition(template_189) { + values("0.01882,0.02154,0.03382,0.09148,0.36945,1.66127,7.64950"); + } + cell_fall(template_190) { + values("0.45456,0.45727,0.46699,0.49561,0.58666,0.97437,2.77332"); + } + fall_transition(template_190) { + values("0.01847,0.02005,0.02651,0.05100,0.15993,0.69319,3.18917"); + } + } + timing() { + related_pin : "la_iena_mprj[67]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_701) { + values("0.97367,0.97683,0.98909,1.03481,1.23036,2.13124,6.32545"); + } + rise_transition(template_701) { + values("0.01882,0.02154,0.03382,0.09148,0.36945,1.66127,7.64950"); + } + cell_fall(template_702) { + values("1.06850,1.07121,1.08093,1.10955,1.20060,1.58831,3.38726"); + } + fall_transition(template_702) { + values("0.01847,0.02005,0.02651,0.05100,0.15993,0.69319,3.18917"); + } + } + } + pin("la_data_in_mprj[66]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[66]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_187) { + values("0.31910,0.32219,0.33426,0.37975,0.57516,1.47505,5.67849"); + } + rise_transition(template_187) { + values("0.01791,0.02065,0.03311,0.09120,0.36965,1.66090,7.64983"); + } + cell_fall(template_188) { + values("0.41015,0.41286,0.42254,0.45105,0.54207,0.92969,2.72626"); + } + fall_transition(template_188) { + values("0.01798,0.01955,0.02611,0.05075,0.15985,0.69334,3.18701"); + } + } + timing() { + related_pin : "la_iena_mprj[66]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_699) { + values("0.79331,0.79640,0.80847,0.85396,1.04937,1.94926,6.15270"); + } + rise_transition(template_699) { + values("0.01791,0.02065,0.03311,0.09120,0.36965,1.66090,7.64983"); + } + cell_fall(template_700) { + values("0.88204,0.88474,0.89442,0.92294,1.01396,1.40158,3.19815"); + } + fall_transition(template_700) { + values("0.01798,0.01955,0.02611,0.05075,0.15985,0.69334,3.18701"); + } + } + } + pin("la_data_in_mprj[65]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[65]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_185) { + values("0.33695,0.34003,0.35205,0.39748,0.59286,1.49250,5.69820"); + } + rise_transition(template_185) { + values("0.01769,0.02043,0.03293,0.09114,0.36970,1.66081,7.64991"); + } + cell_fall(template_186) { + values("0.43314,0.43584,0.44552,0.47401,0.56503,0.95264,2.74884"); + } + fall_transition(template_186) { + values("0.01791,0.01948,0.02605,0.05071,0.15984,0.69336,3.18668"); + } + } + timing() { + related_pin : "la_iena_mprj[65]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_697) { + values("0.73710,0.74018,0.75220,0.79763,0.99301,1.89265,6.09835"); + } + rise_transition(template_697) { + values("0.01769,0.02043,0.03293,0.09114,0.36970,1.66081,7.64991"); + } + cell_fall(template_698) { + values("0.85964,0.86234,0.87202,0.90051,0.99153,1.37914,3.17534"); + } + fall_transition(template_698) { + values("0.01791,0.01948,0.02605,0.05071,0.15984,0.69336,3.18668"); + } + } + } + pin("la_data_in_mprj[64]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[64]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_183) { + values("0.36272,0.36580,0.37786,0.42333,0.61874,1.51856,5.72257"); + } + rise_transition(template_183) { + values("0.01786,0.02059,0.03306,0.09119,0.36966,1.66088,7.64985"); + } + cell_fall(template_184) { + values("0.46710,0.46980,0.47948,0.50800,0.59902,0.98665,2.78334"); + } + fall_transition(template_184) { + values("0.01801,0.01958,0.02613,0.05076,0.15985,0.69333,3.18712"); + } + } + timing() { + related_pin : "la_iena_mprj[64]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_695) { + values("0.76828,0.77137,0.78342,0.82890,1.02430,1.92413,6.12814"); + } + rise_transition(template_695) { + values("0.01786,0.02059,0.03306,0.09119,0.36966,1.66088,7.64985"); + } + cell_fall(template_696) { + values("0.89330,0.89600,0.90568,0.93420,1.02522,1.41285,3.20954"); + } + fall_transition(template_696) { + values("0.01801,0.01958,0.02613,0.05076,0.15985,0.69333,3.18712"); + } + } + } + pin("la_data_in_mprj[63]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[63]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_181) { + values("0.31033,0.31339,0.32538,0.37076,0.56614,1.46548,5.67301"); + } + rise_transition(template_181) { + values("0.01742,0.02016,0.03272,0.09105,0.36980,1.66026,7.65028"); + } + cell_fall(template_182) { + values("0.39624,0.39893,0.40858,0.43703,0.52803,0.91560,2.71066"); + } + fall_transition(template_182) { + values("0.01767,0.01924,0.02585,0.05059,0.15980,0.69344,3.18564"); + } + } + timing() { + related_pin : "la_iena_mprj[63]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_693) { + values("0.76241,0.76547,0.77747,0.82285,1.01823,1.91757,6.12510"); + } + rise_transition(template_693) { + values("0.01742,0.02016,0.03272,0.09105,0.36980,1.66026,7.65028"); + } + cell_fall(template_694) { + values("0.86549,0.86818,0.87784,0.90628,0.99729,1.38485,3.17991"); + } + fall_transition(template_694) { + values("0.01767,0.01924,0.02585,0.05059,0.15980,0.69344,3.18564"); + } + } + } + pin("la_data_in_mprj[62]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[62]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_179) { + values("0.27386,0.27691,0.28890,0.33427,0.52963,1.42898,5.63688"); + } + rise_transition(template_179) { + values("0.01743,0.02017,0.03273,0.09105,0.36978,1.66049,7.65013"); + } + cell_fall(template_180) { + values("0.35076,0.35345,0.36310,0.39155,0.48254,0.87011,2.66502"); + } + fall_transition(template_180) { + values("0.01764,0.01921,0.02583,0.05057,0.15979,0.69345,3.18551"); + } + } + timing() { + related_pin : "la_iena_mprj[62]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_691) { + values("0.68809,0.69115,0.70313,0.74851,0.94387,1.84322,6.05112"); + } + rise_transition(template_691) { + values("0.01743,0.02017,0.03273,0.09105,0.36978,1.66049,7.65013"); + } + cell_fall(template_692) { + values("0.78687,0.78956,0.79921,0.82765,0.91865,1.30621,3.10113"); + } + fall_transition(template_692) { + values("0.01764,0.01921,0.02583,0.05057,0.15979,0.69345,3.18551"); + } + } + } + pin("la_data_in_mprj[61]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[61]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_177) { + values("0.31118,0.31424,0.32622,0.37160,0.56695,1.46631,5.67424"); + } + rise_transition(template_177) { + values("0.01743,0.02017,0.03273,0.09105,0.36977,1.66051,7.65012"); + } + cell_fall(template_178) { + values("0.38996,0.39265,0.40231,0.43076,0.52176,0.90933,2.70447"); + } + fall_transition(template_178) { + values("0.01769,0.01925,0.02587,0.05060,0.15980,0.69343,3.18571"); + } + } + timing() { + related_pin : "la_iena_mprj[61]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_689) { + values("0.64389,0.64694,0.65893,0.70430,0.89966,1.79901,6.00694"); + } + rise_transition(template_689) { + values("0.01743,0.02017,0.03273,0.09105,0.36977,1.66051,7.65012"); + } + cell_fall(template_690) { + values("0.75654,0.75924,0.76889,0.79735,0.88835,1.27592,3.07105"); + } + fall_transition(template_690) { + values("0.01769,0.01925,0.02587,0.05060,0.15980,0.69343,3.18571"); + } + } + } + pin("la_data_in_mprj[60]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[60]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_175) { + values("0.28962,0.29268,0.30466,0.35003,0.54539,1.44474,5.65271"); + } + rise_transition(template_175) { + values("0.01743,0.02017,0.03273,0.09106,0.36977,1.66053,7.65011"); + } + cell_fall(template_176) { + values("0.30613,0.30883,0.31852,0.34705,0.43794,0.82577,2.62414"); + } + fall_transition(template_176) { + values("0.01753,0.01921,0.02582,0.05057,0.15987,0.69352,3.18773"); + } + } + timing() { + related_pin : "la_iena_mprj[60]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_687) { + values("0.59601,0.59907,0.61105,0.65642,0.85178,1.75113,5.95910"); + } + rise_transition(template_687) { + values("0.01743,0.02017,0.03273,0.09106,0.36977,1.66053,7.65011"); + } + cell_fall(template_688) { + values("0.65350,0.65620,0.66589,0.69442,0.78531,1.17314,2.97151"); + } + fall_transition(template_688) { + values("0.01753,0.01921,0.02582,0.05057,0.15987,0.69352,3.18773"); + } + } + } + pin("la_data_in_mprj[59]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[59]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_171) { + values("0.26110,0.26416,0.27615,0.32154,0.51691,1.41626,5.62375"); + } + rise_transition(template_171) { + values("0.01742,0.02016,0.03272,0.09105,0.36980,1.66024,7.65029"); + } + cell_fall(template_172) { + values("0.27433,0.27703,0.28673,0.31528,0.40615,0.79404,2.59321"); + } + fall_transition(template_172) { + values("0.01751,0.01922,0.02583,0.05058,0.15989,0.69354,3.18825"); + } + } + timing() { + related_pin : "la_iena_mprj[59]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_683) { + values("0.56878,0.57184,0.58384,0.62922,0.82460,1.72394,5.93143"); + } + rise_transition(template_683) { + values("0.01742,0.02016,0.03272,0.09105,0.36980,1.66024,7.65029"); + } + cell_fall(template_684) { + values("0.62200,0.62470,0.63440,0.66295,0.75382,1.14170,2.94088"); + } + fall_transition(template_684) { + values("0.01751,0.01922,0.02583,0.05058,0.15989,0.69354,3.18825"); + } + } + } + pin("la_data_in_mprj[58]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[58]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_169) { + values("0.25038,0.25344,0.26548,0.31089,0.50633,1.40564,5.61190"); + } + rise_transition(template_169) { + values("0.01739,0.02013,0.03268,0.09103,0.36989,1.65949,7.65076"); + } + cell_fall(template_170) { + values("0.26426,0.26697,0.27668,0.30525,0.39610,0.78404,2.58394"); + } + fall_transition(template_170) { + values("0.01749,0.01923,0.02583,0.05058,0.15990,0.69355,3.18873"); + } + } + timing() { + related_pin : "la_iena_mprj[58]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_681) { + values("0.57018,0.57325,0.58529,0.63069,0.82614,1.72545,5.93171"); + } + rise_transition(template_681) { + values("0.01739,0.02013,0.03268,0.09103,0.36989,1.65949,7.65076"); + } + cell_fall(template_682) { + values("0.58058,0.58328,0.59299,0.62156,0.71241,1.10035,2.90025"); + } + fall_transition(template_682) { + values("0.01749,0.01923,0.02583,0.05058,0.15990,0.69355,3.18873"); + } + } + } + pin("la_data_in_mprj[57]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[57]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_167) { + values("0.25628,0.25935,0.27138,0.31679,0.51222,1.41154,5.61791"); + } + rise_transition(template_167) { + values("0.01739,0.02013,0.03269,0.09103,0.36988,1.65956,7.65072"); + } + cell_fall(template_168) { + values("0.27447,0.27717,0.28687,0.31544,0.40630,0.79421,2.59381"); + } + fall_transition(template_168) { + values("0.01750,0.01923,0.02583,0.05058,0.15990,0.69354,3.18853"); + } + } + timing() { + related_pin : "la_iena_mprj[57]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_679) { + values("0.54107,0.54414,0.55617,0.60157,0.79701,1.69632,5.90269"); + } + rise_transition(template_679) { + values("0.01739,0.02013,0.03269,0.09103,0.36988,1.65956,7.65072"); + } + cell_fall(template_680) { + values("0.60302,0.60573,0.61543,0.64399,0.73485,1.12277,2.92236"); + } + fall_transition(template_680) { + values("0.01750,0.01923,0.02583,0.05058,0.15990,0.69354,3.18853"); + } + } + } + pin("la_data_in_mprj[56]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[56]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_165) { + values("0.27832,0.28139,0.29343,0.33884,0.53428,1.43359,5.63977"); + } + rise_transition(template_165) { + values("0.01738,0.02013,0.03268,0.09103,0.36989,1.65944,7.65079"); + } + cell_fall(template_166) { + values("0.30101,0.30372,0.31342,0.34197,0.43284,0.82073,2.62006"); + } + fall_transition(template_166) { + values("0.01751,0.01922,0.02583,0.05058,0.15989,0.69354,3.18835"); + } + } + timing() { + related_pin : "la_iena_mprj[56]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_677) { + values("0.56991,0.57298,0.58502,0.63043,0.82587,1.72518,5.93136"); + } + rise_transition(template_677) { + values("0.01738,0.02013,0.03268,0.09103,0.36989,1.65944,7.65079"); + } + cell_fall(template_678) { + values("0.63039,0.63310,0.64280,0.67136,0.76222,1.15012,2.94944"); + } + fall_transition(template_678) { + values("0.01751,0.01922,0.02583,0.05058,0.15989,0.69354,3.18835"); + } + } + } + pin("la_data_in_mprj[55]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[55]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_163) { + values("0.29270,0.29576,0.30779,0.35319,0.54862,1.44794,5.65445"); + } + rise_transition(template_163) { + values("0.01739,0.02014,0.03269,0.09104,0.36987,1.65964,7.65067"); + } + cell_fall(template_164) { + values("0.31595,0.31865,0.32834,0.35688,0.44776,0.83562,2.63434"); + } + fall_transition(template_164) { + values("0.01752,0.01922,0.02582,0.05058,0.15988,0.69353,3.18796"); + } + } + timing() { + related_pin : "la_iena_mprj[55]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_675) { + values("0.60989,0.61295,0.62498,0.67039,0.86581,1.76513,5.97164"); + } + rise_transition(template_675) { + values("0.01739,0.02014,0.03269,0.09104,0.36987,1.65964,7.65067"); + } + cell_fall(template_676) { + values("0.66893,0.67163,0.68133,0.70987,0.80075,1.18860,2.98733"); + } + fall_transition(template_676) { + values("0.01752,0.01922,0.02582,0.05058,0.15988,0.69353,3.18796"); + } + } + } + pin("la_data_in_mprj[54]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[54]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_161) { + values("0.31388,0.31694,0.32897,0.37436,0.56978,1.46910,5.67588"); + } + rise_transition(template_161) { + values("0.01740,0.02014,0.03270,0.09104,0.36985,1.65981,7.65056"); + } + cell_fall(template_162) { + values("0.33479,0.33749,0.34717,0.37570,0.46660,0.85442,2.65267"); + } + fall_transition(template_162) { + values("0.01753,0.01921,0.02582,0.05057,0.15987,0.69352,3.18765"); + } + } + timing() { + related_pin : "la_iena_mprj[54]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_673) { + values("0.68310,0.68617,0.69819,0.74359,0.93900,1.83833,6.04510"); + } + rise_transition(template_673) { + values("0.01740,0.02014,0.03270,0.09104,0.36985,1.65981,7.65056"); + } + cell_fall(template_674) { + values("0.69165,0.69435,0.70404,0.73256,0.82346,1.21128,3.00954"); + } + fall_transition(template_674) { + values("0.01753,0.01921,0.02582,0.05057,0.15987,0.69352,3.18765"); + } + } + } + pin("la_data_in_mprj[53]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[53]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_159) { + values("0.27873,0.28179,0.29383,0.33924,0.53468,1.43399,5.64027"); + } + rise_transition(template_159) { + values("0.01739,0.02013,0.03268,0.09103,0.36989,1.65950,7.65076"); + } + cell_fall(template_160) { + values("0.30753,0.31023,0.31993,0.34848,0.43935,0.82723,2.62629"); + } + fall_transition(template_160) { + values("0.01751,0.01922,0.02583,0.05058,0.15988,0.69353,3.18818"); + } + } + timing() { + related_pin : "la_iena_mprj[53]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_671) { + values("0.63029,0.63336,0.64540,0.69080,0.88624,1.78555,5.99183"); + } + rise_transition(template_671) { + values("0.01739,0.02013,0.03268,0.09103,0.36989,1.65950,7.65076"); + } + cell_fall(template_672) { + values("0.69136,0.69407,0.70376,0.73231,0.82318,1.21106,3.01013"); + } + fall_transition(template_672) { + values("0.01751,0.01922,0.02583,0.05058,0.15988,0.69353,3.18818"); + } + } + } + pin("la_data_in_mprj[52]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[52]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_157) { + values("0.30275,0.30581,0.31783,0.36322,0.55863,1.45796,5.66485"); + } + rise_transition(template_157) { + values("0.01740,0.02015,0.03270,0.09104,0.36984,1.65987,7.65052"); + } + cell_fall(template_158) { + values("0.33210,0.33481,0.34450,0.37304,0.46392,0.85177,2.65050"); + } + fall_transition(template_158) { + values("0.01752,0.01922,0.02582,0.05058,0.15988,0.69353,3.18796"); + } + } + timing() { + related_pin : "la_iena_mprj[52]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_669) { + values("0.65397,0.65704,0.66905,0.71445,0.90986,1.80919,6.01608"); + } + rise_transition(template_669) { + values("0.01740,0.02015,0.03270,0.09104,0.36984,1.65987,7.65052"); + } + cell_fall(template_670) { + values("0.71220,0.71490,0.72460,0.75314,0.84402,1.23187,3.03060"); + } + fall_transition(template_670) { + values("0.01752,0.01922,0.02582,0.05058,0.15988,0.69353,3.18796"); + } + } + } + pin("la_data_in_mprj[51]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[51]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_155) { + values("0.27233,0.27539,0.28739,0.33278,0.52817,1.42751,5.63485"); + } + rise_transition(template_155) { + values("0.01742,0.02016,0.03271,0.09105,0.36981,1.66015,7.65035"); + } + cell_fall(template_156) { + values("0.35014,0.35283,0.36248,0.39092,0.48191,0.86948,2.66440"); + } + fall_transition(template_156) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18547"); + } + } + timing() { + related_pin : "la_iena_mprj[51]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_667) { + values("0.68508,0.68814,0.70014,0.74552,0.94091,1.84025,6.04759"); + } + rise_transition(template_667) { + values("0.01742,0.02016,0.03271,0.09105,0.36981,1.66015,7.65035"); + } + cell_fall(template_668) { + values("0.78882,0.79152,0.80117,0.82961,0.92060,1.30817,3.10309"); + } + fall_transition(template_668) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18547"); + } + } + } + pin("la_data_in_mprj[50]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[50]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_153) { + values("0.32536,0.32843,0.34045,0.38587,0.58125,1.48085,5.68686"); + } + rise_transition(template_153) { + values("0.01766,0.02040,0.03291,0.09113,0.36970,1.66080,7.64992"); + } + cell_fall(template_154) { + values("0.41178,0.41448,0.42414,0.45262,0.54362,0.93122,2.72691"); + } + fall_transition(template_154) { + values("0.01780,0.01937,0.02596,0.05066,0.15982,0.69340,3.18622"); + } + } + timing() { + related_pin : "la_iena_mprj[50]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_665) { + values("0.68257,0.68565,0.69766,0.74309,0.93846,1.83807,6.04407"); + } + rise_transition(template_665) { + values("0.01766,0.02040,0.03291,0.09113,0.36970,1.66080,7.64992"); + } + cell_fall(template_666) { + values("0.79346,0.79616,0.80582,0.83430,0.92531,1.31290,3.10859"); + } + fall_transition(template_666) { + values("0.01780,0.01937,0.02596,0.05066,0.15982,0.69340,3.18622"); + } + } + } + pin("la_data_in_mprj[49]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[49]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_149) { + values("0.40098,0.40420,0.41662,0.46255,0.65821,1.55996,5.74617"); + } + rise_transition(template_149) { + values("0.01960,0.02232,0.03444,0.09172,0.36927,1.66158,7.64922"); + } + cell_fall(template_150) { + values("0.39102,0.39372,0.40338,0.43183,0.52284,0.91041,2.70567"); + } + fall_transition(template_150) { + values("0.01772,0.01928,0.02589,0.05061,0.15980,0.69342,3.18582"); + } + } + timing() { + related_pin : "la_iena_mprj[49]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_661) { + values("0.77318,0.77640,0.78882,0.83474,1.03041,1.93215,6.11837"); + } + rise_transition(template_661) { + values("0.01960,0.02232,0.03444,0.09172,0.36927,1.66158,7.64922"); + } + cell_fall(template_662) { + values("0.75498,0.75767,0.76733,0.79578,0.88679,1.27436,3.06962"); + } + fall_transition(template_662) { + values("0.01772,0.01928,0.02589,0.05061,0.15980,0.69342,3.18582"); + } + } + } + pin("la_data_in_mprj[48]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[48]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_147) { + values("0.43128,0.43457,0.44719,0.49336,0.68917,1.59196,5.76849"); + } + rise_transition(template_147) { + values("0.02055,0.02326,0.03519,0.09201,0.36907,1.66197,7.64888"); + } + cell_fall(template_148) { + values("0.40458,0.40728,0.41695,0.44544,0.53645,0.92405,2.72004"); + } + fall_transition(template_148) { + values("0.01786,0.01943,0.02601,0.05069,0.15983,0.69338,3.18648"); + } + } + timing() { + related_pin : "la_iena_mprj[48]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_659) { + values("0.81486,0.81816,0.83077,0.87694,1.07275,1.97554,6.15207"); + } + rise_transition(template_659) { + values("0.02055,0.02326,0.03519,0.09201,0.36907,1.66197,7.64888"); + } + cell_fall(template_660) { + values("0.77153,0.77423,0.78390,0.81239,0.90340,1.29100,3.08699"); + } + fall_transition(template_660) { + values("0.01786,0.01943,0.02601,0.05069,0.15983,0.69338,3.18648"); + } + } + } + pin("la_data_in_mprj[47]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[47]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_145) { + values("0.43715,0.44043,0.45302,0.49916,0.69495,1.59761,5.77541"); + } + rise_transition(template_145) { + values("0.02043,0.02314,0.03509,0.09198,0.36909,1.66192,7.64892"); + } + cell_fall(template_146) { + values("0.41574,0.41844,0.42810,0.45659,0.54760,0.93519,2.73106"); + } + fall_transition(template_146) { + values("0.01784,0.01941,0.02599,0.05067,0.15982,0.69339,3.18637"); + } + } + timing() { + related_pin : "la_iena_mprj[47]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_657) { + values("0.75114,0.75442,0.76701,0.81315,1.00894,1.91159,6.08939"); + } + rise_transition(template_657) { + values("0.02043,0.02314,0.03509,0.09198,0.36909,1.66192,7.64892"); + } + cell_fall(template_658) { + values("0.72835,0.73105,0.74071,0.76920,0.86021,1.24780,3.04367"); + } + fall_transition(template_658) { + values("0.01784,0.01941,0.02599,0.05067,0.15982,0.69339,3.18637"); + } + } + } + pin("la_data_in_mprj[46]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[46]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_143) { + values("0.43644,0.43974,0.45236,0.49854,0.69435,1.59718,5.77340"); + } + rise_transition(template_143) { + values("0.02058,0.02329,0.03522,0.09202,0.36906,1.66198,7.64887"); + } + cell_fall(template_144) { + values("0.41162,0.41432,0.42399,0.45248,0.54349,0.93110,2.72713"); + } + fall_transition(template_144) { + values("0.01787,0.01944,0.02602,0.05069,0.15983,0.69337,3.18653"); + } + } + timing() { + related_pin : "la_iena_mprj[46]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_655) { + values("0.73452,0.73782,0.75044,0.79662,0.99243,1.89526,6.07148"); + } + rise_transition(template_655) { + values("0.02058,0.02329,0.03522,0.09202,0.36906,1.66198,7.64887"); + } + cell_fall(template_656) { + values("0.71596,0.71866,0.72833,0.75682,0.84783,1.23544,3.03147"); + } + fall_transition(template_656) { + values("0.01787,0.01944,0.02602,0.05069,0.15983,0.69337,3.18653"); + } + } + } + pin("la_data_in_mprj[45]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[45]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_141) { + values("0.40987,0.41312,0.42562,0.47165,0.66737,1.56955,5.75179"); + } + rise_transition(template_141) { + values("0.01999,0.02271,0.03475,0.09184,0.36919,1.66174,7.64908"); + } + cell_fall(template_142) { + values("0.39350,0.39619,0.40585,0.43432,0.52533,0.91291,2.70840"); + } + fall_transition(template_142) { + values("0.01776,0.01933,0.02593,0.05063,0.15981,0.69341,3.18603"); + } + } + timing() { + related_pin : "la_iena_mprj[45]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_653) { + values("0.75178,0.75503,0.76753,0.81356,1.00928,1.91146,6.09370"); + } + rise_transition(template_653) { + values("0.01999,0.02271,0.03475,0.09184,0.36919,1.66174,7.64908"); + } + cell_fall(template_654) { + values("0.72861,0.73130,0.74097,0.76943,0.86044,1.24802,3.04351"); + } + fall_transition(template_654) { + values("0.01776,0.01933,0.02593,0.05063,0.15981,0.69341,3.18603"); + } + } + } + pin("la_data_in_mprj[44]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[44]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_139) { + values("0.43827,0.44155,0.45412,0.50023,0.69601,1.59857,5.77724"); + } + rise_transition(template_139) { + values("0.02034,0.02305,0.03503,0.09195,0.36911,1.66188,7.64895"); + } + cell_fall(template_140) { + values("0.41930,0.42199,0.43166,0.46014,0.55115,0.93875,2.73455"); + } + fall_transition(template_140) { + values("0.01783,0.01939,0.02598,0.05067,0.15982,0.69339,3.18631"); + } + } + timing() { + related_pin : "la_iena_mprj[44]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_651) { + values("0.74607,0.74934,0.76191,0.80803,1.00381,1.90637,6.08504"); + } + rise_transition(template_651) { + values("0.02034,0.02305,0.03503,0.09195,0.36911,1.66188,7.64895"); + } + cell_fall(template_652) { + values("0.72929,0.73199,0.74166,0.77014,0.86115,1.24874,3.04454"); + } + fall_transition(template_652) { + values("0.01783,0.01939,0.02598,0.05067,0.15982,0.69339,3.18631"); + } + } + } + pin("la_data_in_mprj[43]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[43]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_137) { + values("0.43755,0.44077,0.45318,0.49910,0.69476,1.59647,5.78304"); + } + rise_transition(template_137) { + values("0.01957,0.02228,0.03442,0.09171,0.36928,1.66157,7.64923"); + } + cell_fall(template_138) { + values("0.43685,0.43955,0.44921,0.47769,0.56870,0.95629,2.75199"); + } + fall_transition(template_138) { + values("0.01781,0.01937,0.02596,0.05066,0.15982,0.69340,3.18622"); + } + } + timing() { + related_pin : "la_iena_mprj[43]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_649) { + values("0.73695,0.74017,0.75258,0.79849,0.99415,1.89586,6.08244"); + } + rise_transition(template_649) { + values("0.01957,0.02228,0.03442,0.09171,0.36928,1.66157,7.64923"); + } + cell_fall(template_650) { + values("0.74214,0.74484,0.75450,0.78298,0.87399,1.26158,3.05728"); + } + fall_transition(template_650) { + values("0.01781,0.01937,0.02596,0.05066,0.15982,0.69340,3.18622"); + } + } + } + pin("la_data_in_mprj[42]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[42]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_135) { + values("0.44195,0.44517,0.45759,0.50351,0.69917,1.60091,5.78724"); + } + rise_transition(template_135) { + values("0.01959,0.02231,0.03443,0.09172,0.36928,1.66158,7.64922"); + } + cell_fall(template_136) { + values("0.44243,0.44513,0.45479,0.48328,0.57429,0.96189,2.75779"); + } + fall_transition(template_136) { + values("0.01785,0.01941,0.02600,0.05068,0.15983,0.69338,3.18640"); + } + } + timing() { + related_pin : "la_iena_mprj[42]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_647) { + values("0.76362,0.76683,0.77925,0.82517,1.02084,1.92257,6.10890"); + } + rise_transition(template_647) { + values("0.01959,0.02231,0.03443,0.09172,0.36928,1.66158,7.64922"); + } + cell_fall(template_648) { + values("0.76784,0.77054,0.78021,0.80870,0.89971,1.28730,3.08320"); + } + fall_transition(template_648) { + values("0.01785,0.01941,0.02600,0.05068,0.15983,0.69338,3.18640"); + } + } + } + pin("la_data_in_mprj[41]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[41]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_133) { + values("0.42210,0.42527,0.43754,0.48329,0.67885,1.57982,5.77317"); + } + rise_transition(template_133) { + values("0.01890,0.02163,0.03389,0.09151,0.36943,1.66130,7.64947"); + } + cell_fall(template_134) { + values("0.43068,0.43337,0.44303,0.47148,0.56248,0.95005,2.74520"); + } + fall_transition(template_134) { + values("0.01769,0.01926,0.02587,0.05060,0.15980,0.69343,3.18572"); + } + } + timing() { + related_pin : "la_iena_mprj[41]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_645) { + values("0.76602,0.76919,0.78146,0.82720,1.02276,1.92374,6.11709"); + } + rise_transition(template_645) { + values("0.01890,0.02163,0.03389,0.09151,0.36943,1.66130,7.64947"); + } + cell_fall(template_646) { + values("0.77747,0.78016,0.78982,0.81827,0.90927,1.29684,3.09199"); + } + fall_transition(template_646) { + values("0.01769,0.01926,0.02587,0.05060,0.15980,0.69343,3.18572"); + } + } + } + pin("la_data_in_mprj[40]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[40]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_131) { + values("0.38146,0.38463,0.39693,0.44271,0.63829,1.53941,5.73143"); + } + rise_transition(template_131) { + values("0.01903,0.02176,0.03399,0.09155,0.36940,1.66135,7.64942"); + } + cell_fall(template_132) { + values("0.37542,0.37811,0.38776,0.41619,0.50719,0.89475,2.68948"); + } + fall_transition(template_132) { + values("0.01761,0.01917,0.02580,0.05055,0.15978,0.69346,3.18535"); + } + } + timing() { + related_pin : "la_iena_mprj[40]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_643) { + values("0.78406,0.78724,0.79954,0.84532,1.04090,1.94202,6.13403"); + } + rise_transition(template_643) { + values("0.01903,0.02176,0.03399,0.09155,0.36940,1.66135,7.64942"); + } + cell_fall(template_644) { + values("0.78315,0.78584,0.79549,0.82393,0.91493,1.30248,3.09722"); + } + fall_transition(template_644) { + values("0.01761,0.01917,0.02580,0.05055,0.15978,0.69346,3.18535"); + } + } + } + pin("la_data_in_mprj[39]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[39]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_127) { + values("0.36719,0.37039,0.38274,0.42858,0.62419,1.52557,5.71520"); + } + rise_transition(template_127) { + values("0.01927,0.02199,0.03418,0.09162,0.36935,1.66145,7.64934"); + } + cell_fall(template_128) { + values("0.35548,0.35817,0.36782,0.39625,0.48725,0.87480,2.66954"); + } + fall_transition(template_128) { + values("0.01761,0.01917,0.02580,0.05055,0.15978,0.69346,3.18535"); + } + } + timing() { + related_pin : "la_iena_mprj[39]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_639) { + values("0.70749,0.71068,0.72303,0.76887,0.96449,1.86586,6.05550"); + } + rise_transition(template_639) { + values("0.01927,0.02199,0.03418,0.09162,0.36935,1.66145,7.64934"); + } + cell_fall(template_640) { + values("0.67590,0.67859,0.68824,0.71668,0.80767,1.19523,2.98996"); + } + fall_transition(template_640) { + values("0.01761,0.01917,0.02580,0.05055,0.15978,0.69346,3.18535"); + } + } + } + pin("la_data_in_mprj[38]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[38]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_125) { + values("0.37371,0.37690,0.38921,0.43500,0.63059,1.53176,5.72325"); + } + rise_transition(template_125) { + values("0.01909,0.02181,0.03403,0.09156,0.36939,1.66137,7.64941"); + } + cell_fall(template_126) { + values("0.36819,0.37088,0.38053,0.40897,0.49996,0.88752,2.68235"); + } + fall_transition(template_126) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18540"); + } + } + timing() { + related_pin : "la_iena_mprj[38]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_637) { + values("0.72235,0.72553,0.73784,0.78364,0.97922,1.88040,6.07189"); + } + rise_transition(template_637) { + values("0.01909,0.02181,0.03403,0.09156,0.36939,1.66137,7.64941"); + } + cell_fall(template_638) { + values("0.69683,0.69952,0.70917,0.73761,0.82860,1.21616,3.01099"); + } + fall_transition(template_638) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18540"); + } + } + } + pin("la_data_in_mprj[37]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[37]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_123) { + values("0.33403,0.33710,0.34913,0.39457,0.58995,1.48960,5.69514"); + } + rise_transition(template_123) { + values("0.01771,0.02044,0.03295,0.09114,0.36969,1.66081,7.64990"); + } + cell_fall(template_124) { + values("0.41909,0.42179,0.43146,0.45994,0.55095,0.93854,2.73432"); + } + fall_transition(template_124) { + values("0.01782,0.01939,0.02598,0.05066,0.15982,0.69339,3.18629"); + } + } + timing() { + related_pin : "la_iena_mprj[37]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_635) { + values("0.68174,0.68482,0.69684,0.74228,0.93766,1.83732,6.04286"); + } + rise_transition(template_635) { + values("0.01771,0.02044,0.03295,0.09114,0.36969,1.66081,7.64990"); + } + cell_fall(template_636) { + values("0.74149,0.74419,0.75385,0.78233,0.87334,1.26094,3.05672"); + } + fall_transition(template_636) { + values("0.01782,0.01939,0.02598,0.05066,0.15982,0.69339,3.18629"); + } + } + } + pin("la_data_in_mprj[36]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[36]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_121) { + values("0.31657,0.31964,0.33165,0.37707,0.57243,1.47200,5.67837"); + } + rise_transition(template_121) { + values("0.01763,0.02036,0.03288,0.09112,0.36971,1.66078,7.64993"); + } + cell_fall(template_122) { + values("0.39963,0.40233,0.41199,0.44047,0.53148,0.91907,2.71481"); + } + fall_transition(template_122) { + values("0.01781,0.01938,0.02597,0.05066,0.15982,0.69339,3.18626"); + } + } + timing() { + related_pin : "la_iena_mprj[36]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_633) { + values("0.74438,0.74745,0.75946,0.80488,1.00024,1.89981,6.10618"); + } + rise_transition(template_633) { + values("0.01763,0.02036,0.03288,0.09112,0.36971,1.66078,7.64993"); + } + cell_fall(template_634) { + values("0.79392,0.79661,0.80628,0.83476,0.92577,1.31336,3.10910"); + } + fall_transition(template_634) { + values("0.01781,0.01938,0.02597,0.05066,0.15982,0.69339,3.18626"); + } + } + } + pin("la_data_in_mprj[35]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[35]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_119) { + values("0.35792,0.36107,0.37328,0.41895,0.61446,1.51511,5.71148"); + } + rise_transition(template_119) { + values("0.01861,0.02133,0.03366,0.09142,0.36949,1.66118,7.64958"); + } + cell_fall(template_120) { + values("0.35363,0.35633,0.36599,0.39446,0.48542,0.87307,2.66910"); + } + fall_transition(template_120) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18619"); + } + } + timing() { + related_pin : "la_iena_mprj[35]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_631) { + values("0.70072,0.70386,0.71608,0.76175,0.95726,1.85791,6.05428"); + } + rise_transition(template_631) { + values("0.01861,0.02133,0.03366,0.09142,0.36949,1.66118,7.64958"); + } + cell_fall(template_632) { + values("0.71245,0.71515,0.72481,0.75328,0.84424,1.23189,3.02792"); + } + fall_transition(template_632) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18619"); + } + } + } + pin("la_data_in_mprj[34]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[34]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_117) { + values("0.36494,0.36810,0.38036,0.42609,0.62164,1.52254,5.71656"); + } + rise_transition(template_117) { + values("0.01884,0.02156,0.03384,0.09149,0.36944,1.66127,7.64949"); + } + cell_fall(template_118) { + values("0.35518,0.35787,0.36753,0.39599,0.48696,0.87458,2.67023"); + } + fall_transition(template_118) { + values("0.01759,0.01918,0.02580,0.05056,0.15981,0.69348,3.18594"); + } + } + timing() { + related_pin : "la_iena_mprj[34]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_629) { + values("0.73980,0.74297,0.75523,0.80095,0.99650,1.89741,6.09143"); + } + rise_transition(template_629) { + values("0.01884,0.02156,0.03384,0.09149,0.36944,1.66127,7.64949"); + } + cell_fall(template_630) { + values("0.73442,0.73711,0.74677,0.77523,0.86620,1.25383,3.04948"); + } + fall_transition(template_630) { + values("0.01759,0.01918,0.02580,0.05056,0.15981,0.69348,3.18594"); + } + } + } + pin("la_data_in_mprj[33]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[33]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_115) { + values("0.40988,0.41311,0.42556,0.47152,0.66721,1.56912,5.75381"); + } + rise_transition(template_115) { + values("0.01975,0.02247,0.03456,0.09177,0.36924,1.66164,7.64917"); + } + cell_fall(template_116) { + values("0.39729,0.39998,0.40964,0.43809,0.52910,0.91667,2.71189"); + } + fall_transition(template_116) { + values("0.01771,0.01927,0.02588,0.05060,0.15980,0.69343,3.18579"); + } + } + timing() { + related_pin : "la_iena_mprj[33]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_627) { + values("0.77622,0.77945,0.79190,0.83786,1.03355,1.93546,6.12015"); + } + rise_transition(template_627) { + values("0.01975,0.02247,0.03456,0.09177,0.36924,1.66164,7.64917"); + } + cell_fall(template_628) { + values("0.72661,0.72930,0.73896,0.76742,0.85842,1.24599,3.04121"); + } + fall_transition(template_628) { + values("0.01771,0.01927,0.02588,0.05060,0.15980,0.69343,3.18579"); + } + } + } + pin("la_data_in_mprj[32]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[32]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_113) { + values("0.34023,0.34330,0.35530,0.40072,0.59609,1.49566,5.70203"); + } + rise_transition(template_113) { + values("0.01763,0.02036,0.03288,0.09112,0.36971,1.66078,7.64993"); + } + cell_fall(template_114) { + values("0.41462,0.41732,0.42698,0.45543,0.54643,0.93401,2.72924"); + } + fall_transition(template_114) { + values("0.01771,0.01927,0.02588,0.05061,0.15980,0.69343,3.18580"); + } + } + timing() { + related_pin : "la_iena_mprj[32]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_625) { + values("0.68179,0.68486,0.69687,0.74229,0.93766,1.83722,6.04360"); + } + rise_transition(template_625) { + values("0.01763,0.02036,0.03288,0.09112,0.36971,1.66078,7.64993"); + } + cell_fall(template_626) { + values("0.71907,0.72176,0.73142,0.75987,0.85088,1.23845,3.03369"); + } + fall_transition(template_626) { + values("0.01771,0.01927,0.02588,0.05061,0.15980,0.69343,3.18580"); + } + } + } + pin("la_data_in_mprj[31]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[31]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_111) { + values("0.32565,0.32873,0.34077,0.38623,0.58162,1.48136,5.68613"); + } + rise_transition(template_111) { + values("0.01778,0.02052,0.03301,0.09116,0.36968,1.66085,7.64987"); + } + cell_fall(template_112) { + values("0.39914,0.40184,0.41150,0.43998,0.53099,0.91858,2.71425"); + } + fall_transition(template_112) { + values("0.01780,0.01937,0.02596,0.05065,0.15982,0.69340,3.18620"); + } + } + timing() { + related_pin : "la_iena_mprj[31]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_623) { + values("0.67206,0.67514,0.68718,0.73264,0.92803,1.82777,6.03254"); + } + rise_transition(template_623) { + values("0.01778,0.02052,0.03301,0.09116,0.36968,1.66085,7.64987"); + } + cell_fall(template_624) { + values("0.72357,0.72627,0.73593,0.76441,0.85542,1.24301,3.03868"); + } + fall_transition(template_624) { + values("0.01780,0.01937,0.02596,0.05065,0.15982,0.69340,3.18620"); + } + } + } + pin("la_data_in_mprj[30]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[30]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_109) { + values("0.25409,0.25716,0.26918,0.31462,0.50999,1.40963,5.61532"); + } + rise_transition(template_109) { + values("0.01769,0.02043,0.03293,0.09114,0.36969,1.66081,7.64990"); + } + cell_fall(template_110) { + values("0.31554,0.31823,0.32789,0.35635,0.44736,0.83494,2.63031"); + } + fall_transition(template_110) { + values("0.01774,0.01930,0.02591,0.05062,0.15981,0.69342,3.18593"); + } + } + timing() { + related_pin : "la_iena_mprj[30]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_621) { + values("0.66238,0.66546,0.67748,0.72291,0.91829,1.81793,6.02362"); + } + rise_transition(template_621) { + values("0.01769,0.02043,0.03293,0.09114,0.36969,1.66081,7.64990"); + } + cell_fall(template_622) { + values("0.70485,0.70755,0.71721,0.74567,0.83667,1.22425,3.01963"); + } + fall_transition(template_622) { + values("0.01774,0.01930,0.02591,0.05062,0.15981,0.69342,3.18593"); + } + } + } + pin("la_data_in_mprj[29]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[29]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_105) { + values("0.36113,0.36424,0.37635,0.42189,0.61733,1.51743,5.71885"); + } + rise_transition(template_105) { + values("0.01811,0.02084,0.03327,0.09126,0.36960,1.66098,7.64976"); + } + cell_fall(template_106) { + values("0.43655,0.43925,0.44893,0.47743,0.56845,0.95607,2.75248"); + } + fall_transition(template_106) { + values("0.01795,0.01952,0.02608,0.05073,0.15984,0.69335,3.18687"); + } + } + timing() { + related_pin : "la_iena_mprj[29]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_617) { + values("0.74977,0.75288,0.76499,0.81053,1.00597,1.90607,6.10749"); + } + rise_transition(template_617) { + values("0.01811,0.02084,0.03327,0.09126,0.36960,1.66098,7.64976"); + } + cell_fall(template_618) { + values("0.81773,0.82043,0.83011,0.85861,0.94963,1.33725,3.13366"); + } + fall_transition(template_618) { + values("0.01795,0.01952,0.02608,0.05073,0.15984,0.69335,3.18687"); + } + } + } + pin("la_data_in_mprj[28]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[28]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_103) { + values("0.33551,0.33860,0.35065,0.39613,0.59153,1.49134,5.69542"); + } + rise_transition(template_103) { + values("0.01785,0.02058,0.03306,0.09118,0.36966,1.66087,7.64985"); + } + cell_fall(template_104) { + values("0.40822,0.41091,0.42058,0.44905,0.54006,0.92766,2.72337"); + } + fall_transition(template_104) { + values("0.01781,0.01937,0.02597,0.05066,0.15982,0.69340,3.18624"); + } + } + timing() { + related_pin : "la_iena_mprj[28]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_615) { + values("0.69764,0.70072,0.71278,0.75825,0.95365,1.85347,6.05755"); + } + rise_transition(template_615) { + values("0.01785,0.02058,0.03306,0.09118,0.36966,1.66087,7.64985"); + } + cell_fall(template_616) { + values("0.76366,0.76636,0.77602,0.80450,0.89551,1.28310,3.07881"); + } + fall_transition(template_616) { + values("0.01781,0.01937,0.02597,0.05066,0.15982,0.69340,3.18624"); + } + } + } + pin("la_data_in_mprj[27]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[27]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_101) { + values("0.38926,0.39245,0.40479,0.45060,0.64621,1.54750,5.73794"); + } + rise_transition(template_101) { + values("0.01919,0.02191,0.03412,0.09160,0.36937,1.66142,7.64937"); + } + cell_fall(template_102) { + values("0.37984,0.38253,0.39218,0.42062,0.51161,0.89917,2.69389"); + } + fall_transition(template_102) { + values("0.01761,0.01917,0.02580,0.05055,0.15978,0.69346,3.18534"); + } + } + timing() { + related_pin : "la_iena_mprj[27]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_613) { + values("0.73371,0.73690,0.74924,0.79505,0.99066,1.89195,6.08239"); + } + rise_transition(template_613) { + values("0.01919,0.02191,0.03412,0.09160,0.36937,1.66142,7.64937"); + } + cell_fall(template_614) { + values("0.71078,0.71347,0.72312,0.75155,0.84255,1.23010,3.02483"); + } + fall_transition(template_614) { + values("0.01761,0.01917,0.02580,0.05055,0.15978,0.69346,3.18534"); + } + } + } + pin("la_data_in_mprj[26]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[26]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_99) { + values("0.37223,0.37538,0.38759,0.43326,0.62878,1.52944,5.72569"); + } + rise_transition(template_99) { + values("0.01862,0.02134,0.03367,0.09142,0.36949,1.66118,7.64957"); + } + cell_fall(template_100) { + values("0.37068,0.37337,0.38304,0.41153,0.50247,0.89017,2.68682"); + } + fall_transition(template_100) { + values("0.01756,0.01919,0.02581,0.05056,0.15983,0.69349,3.18660"); + } + } + timing() { + related_pin : "la_iena_mprj[26]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_611) { + values("0.78037,0.78351,0.79573,0.84140,1.03692,1.93758,6.13382"); + } + rise_transition(template_611) { + values("0.01862,0.02134,0.03367,0.09142,0.36949,1.66118,7.64957"); + } + cell_fall(template_612) { + values("0.79898,0.80168,0.81135,0.83983,0.93078,1.31847,3.11513"); + } + fall_transition(template_612) { + values("0.01756,0.01919,0.02581,0.05056,0.15983,0.69349,3.18660"); + } + } + } + pin("la_data_in_mprj[25]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[25]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_97) { + values("0.40098,0.40413,0.41636,0.46205,0.65758,1.55834,5.75374"); + } + rise_transition(template_97) { + values("0.01870,0.02143,0.03373,0.09145,0.36947,1.66122,7.64954"); + } + cell_fall(template_98) { + values("0.40467,0.40736,0.41702,0.44549,0.53645,0.92410,2.72007"); + } + fall_transition(template_98) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18616"); + } + } + timing() { + related_pin : "la_iena_mprj[25]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_609) { + values("0.78305,0.78620,0.79843,0.84412,1.03965,1.94041,6.13580"); + } + rise_transition(template_609) { + values("0.01870,0.02143,0.03373,0.09145,0.36947,1.66122,7.64954"); + } + cell_fall(template_610) { + values("0.81362,0.81632,0.82598,0.85445,0.94541,1.33305,3.12903"); + } + fall_transition(template_610) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18616"); + } + } + } + pin("la_data_in_mprj[24]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[24]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_95) { + values("0.37088,0.37398,0.38607,0.43159,0.62702,1.52702,5.72937"); + } + rise_transition(template_95) { + values("0.01802,0.02075,0.03319,0.09124,0.36962,1.66094,7.64979"); + } + cell_fall(template_96) { + values("0.45305,0.45575,0.46542,0.49392,0.58493,0.97255,2.76875"); + } + fall_transition(template_96) { + values("0.01791,0.01948,0.02605,0.05071,0.15984,0.69336,3.18668"); + } + } + timing() { + related_pin : "la_iena_mprj[24]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_607) { + values("0.72575,0.72885,0.74094,0.78646,0.98188,1.88189,6.08424"); + } + rise_transition(template_607) { + values("0.01802,0.02075,0.03319,0.09124,0.36962,1.66094,7.64979"); + } + cell_fall(template_608) { + values("0.84284,0.84554,0.85521,0.88371,0.97472,1.36233,3.15854"); + } + fall_transition(template_608) { + values("0.01791,0.01948,0.02605,0.05071,0.15984,0.69336,3.18668"); + } + } + } + pin("la_data_in_mprj[23]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[23]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_93) { + values("0.30475,0.30782,0.31984,0.36527,0.56065,1.46028,5.66609"); + } + rise_transition(template_93) { + values("0.01768,0.02042,0.03293,0.09113,0.36970,1.66080,7.64991"); + } + cell_fall(template_94) { + values("0.38099,0.38368,0.39334,0.42181,0.51282,0.90040,2.69590"); + } + fall_transition(template_94) { + values("0.01776,0.01933,0.02593,0.05063,0.15981,0.69341,3.18604"); + } + } + timing() { + related_pin : "la_iena_mprj[23]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_605) { + values("0.75445,0.75752,0.76954,0.81497,1.01035,1.90997,6.11578"); + } + rise_transition(template_605) { + values("0.01768,0.02042,0.03293,0.09113,0.36970,1.66080,7.64991"); + } + cell_fall(template_606) { + values("0.86391,0.86661,0.87627,0.90474,0.99574,1.38333,3.17882"); + } + fall_transition(template_606) { + values("0.01776,0.01933,0.02593,0.05063,0.15981,0.69341,3.18604"); + } + } + } + pin("la_data_in_mprj[22]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[22]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_91) { + values("0.32431,0.32737,0.33937,0.38478,0.58015,1.47968,5.68636"); + } + rise_transition(template_91) { + values("0.01760,0.02033,0.03286,0.09111,0.36972,1.66077,7.64994"); + } + cell_fall(template_92) { + values("0.40845,0.41115,0.42081,0.44928,0.54028,0.92787,2.72337"); + } + fall_transition(template_92) { + values("0.01777,0.01933,0.02593,0.05064,0.15981,0.69341,3.18605"); + } + } + timing() { + related_pin : "la_iena_mprj[22]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_603) { + values("0.65521,0.65827,0.67027,0.71568,0.91105,1.81058,6.01726"); + } + rise_transition(template_603) { + values("0.01760,0.02033,0.03286,0.09111,0.36972,1.66077,7.64994"); + } + cell_fall(template_604) { + values("0.72570,0.72839,0.73805,0.76652,0.85753,1.24511,3.04062"); + } + fall_transition(template_604) { + values("0.01777,0.01933,0.02593,0.05064,0.15981,0.69341,3.18605"); + } + } + } + pin("la_data_in_mprj[21]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[21]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_89) { + values("0.27413,0.27719,0.28919,0.33457,0.52995,1.42929,5.63679"); + } + rise_transition(template_89) { + values("0.01742,0.02016,0.03272,0.09105,0.36980,1.66024,7.65029"); + } + cell_fall(template_90) { + values("0.28719,0.28990,0.29960,0.32815,0.41902,0.80690,2.60604"); + } + fall_transition(template_90) { + values("0.01751,0.01922,0.02583,0.05058,0.15989,0.69354,3.18823"); + } + } + timing() { + related_pin : "la_iena_mprj[21]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_601) { + values("0.52724,0.53030,0.54229,0.58768,0.78305,1.68240,5.88989"); + } + rise_transition(template_601) { + values("0.01742,0.02016,0.03272,0.09105,0.36980,1.66024,7.65029"); + } + cell_fall(template_602) { + values("0.56393,0.56663,0.57633,0.60488,0.69575,1.08364,2.88278"); + } + fall_transition(template_602) { + values("0.01751,0.01922,0.02583,0.05058,0.15989,0.69354,3.18823"); + } + } + } + pin("la_data_in_mprj[20]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[20]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_87) { + values("0.21908,0.22215,0.23421,0.27963,0.47510,1.37440,5.58001"); + } + rise_transition(template_87) { + values("0.01737,0.02012,0.03267,0.09102,0.36993,1.65910,7.65101"); + } + cell_fall(template_88) { + values("0.23394,0.23664,0.24636,0.27495,0.36578,0.75377,2.55445"); + } + fall_transition(template_88) { + values("0.01748,0.01924,0.02584,0.05059,0.15992,0.69356,3.18923"); + } + } + timing() { + related_pin : "la_iena_mprj[20]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_599) { + values("0.43668,0.43975,0.45181,0.49723,0.69271,1.59200,5.79762"); + } + rise_transition(template_599) { + values("0.01737,0.02012,0.03267,0.09102,0.36993,1.65910,7.65101"); + } + cell_fall(template_600) { + values("0.48780,0.49051,0.50023,0.52882,0.61965,1.00764,2.80832"); + } + fall_transition(template_600) { + values("0.01748,0.01924,0.02584,0.05059,0.15992,0.69356,3.18923"); + } + } + } + pin("la_data_in_mprj[19]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[19]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_83) { + values("0.31465,0.31771,0.32970,0.37508,0.57045,1.46980,5.67743"); + } + rise_transition(template_83) { + values("0.01742,0.02016,0.03272,0.09105,0.36979,1.66033,7.65024"); + } + cell_fall(template_84) { + values("0.33261,0.33531,0.34499,0.37351,0.46441,0.85220,2.65006"); + } + fall_transition(template_84) { + values("0.01754,0.01920,0.02582,0.05057,0.15986,0.69351,3.18739"); + } + } + timing() { + related_pin : "la_iena_mprj[19]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_595) { + values("0.69621,0.69927,0.71126,0.75665,0.95202,1.85136,6.05900"); + } + rise_transition(template_595) { + values("0.01742,0.02016,0.03272,0.09105,0.36979,1.66033,7.65024"); + } + cell_fall(template_596) { + values("0.73261,0.73531,0.74499,0.77351,0.86442,1.25220,3.05007"); + } + fall_transition(template_596) { + values("0.01754,0.01920,0.02582,0.05057,0.15986,0.69351,3.18739"); + } + } + } + pin("la_data_in_mprj[18]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[18]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_81) { + values("0.25416,0.25722,0.26922,0.31460,0.50998,1.40932,5.61680"); + } + rise_transition(template_81) { + values("0.01742,0.02016,0.03272,0.09105,0.36980,1.66023,7.65029"); + } + cell_fall(template_82) { + values("0.26418,0.26689,0.27659,0.30515,0.39601,0.78393,2.58352"); + } + fall_transition(template_82) { + values("0.01750,0.01923,0.02583,0.05058,0.15990,0.69354,3.18853"); + } + } + timing() { + related_pin : "la_iena_mprj[18]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_593) { + values("0.59100,0.59406,0.60605,0.65144,0.84682,1.74616,5.95364"); + } + rise_transition(template_593) { + values("0.01742,0.02016,0.03272,0.09105,0.36980,1.66023,7.65029"); + } + cell_fall(template_594) { + values("0.60469,0.60739,0.61709,0.64566,0.73652,1.12443,2.92403"); + } + fall_transition(template_594) { + values("0.01750,0.01923,0.02583,0.05058,0.15990,0.69354,3.18853"); + } + } + } + pin("la_data_in_mprj[17]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[17]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_79) { + values("0.29734,0.30041,0.31244,0.35784,0.55326,1.45258,5.65910"); + } + rise_transition(template_79) { + values("0.01739,0.02014,0.03269,0.09104,0.36987,1.65965,7.65066"); + } + cell_fall(template_80) { + values("0.37515,0.37784,0.38751,0.41598,0.50694,0.89459,2.69059"); + } + fall_transition(template_80) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18618"); + } + } + timing() { + related_pin : "la_iena_mprj[17]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_591) { + values("0.61754,0.62060,0.63263,0.67803,0.87346,1.77278,5.97930"); + } + rise_transition(template_591) { + values("0.01739,0.02014,0.03269,0.09104,0.36987,1.65965,7.65066"); + } + cell_fall(template_592) { + values("0.68110,0.68380,0.69346,0.72193,0.81289,1.20054,2.99655"); + } + fall_transition(template_592) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18618"); + } + } + } + pin("la_data_in_mprj[16]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[16]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_77) { + values("0.33739,0.34045,0.35247,0.39787,0.59328,1.49260,5.69944"); + } + rise_transition(template_77) { + values("0.01740,0.02015,0.03270,0.09104,0.36985,1.65984,7.65054"); + } + cell_fall(template_78) { + values("0.42018,0.42287,0.43253,0.46098,0.55195,0.93955,2.73488"); + } + fall_transition(template_78) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18573"); + } + } + timing() { + related_pin : "la_iena_mprj[16]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_589) { + values("0.68098,0.68405,0.69607,0.74146,0.93687,1.83620,6.04304"); + } + rise_transition(template_589) { + values("0.01740,0.02015,0.03270,0.09104,0.36985,1.65984,7.65054"); + } + cell_fall(template_590) { + values("0.73699,0.73968,0.74934,0.77779,0.86877,1.25636,3.05169"); + } + fall_transition(template_590) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18573"); + } + } + } + pin("la_data_in_mprj[15]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[15]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_75) { + values("0.33313,0.33619,0.34820,0.39358,0.58898,1.48831,5.69551"); + } + rise_transition(template_75) { + values("0.01741,0.02015,0.03271,0.09105,0.36982,1.66006,7.65040"); + } + cell_fall(template_76) { + values("0.41830,0.42099,0.43064,0.45908,0.55007,0.93765,2.73261"); + } + fall_transition(template_76) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18549"); + } + } + timing() { + related_pin : "la_iena_mprj[15]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_587) { + values("0.68444,0.68750,0.69951,0.74490,0.94029,1.83963,6.04682"); + } + rise_transition(template_587) { + values("0.01741,0.02015,0.03271,0.09105,0.36982,1.66006,7.65040"); + } + cell_fall(template_588) { + values("0.75343,0.75612,0.76577,0.79421,0.88520,1.27277,3.06773"); + } + fall_transition(template_588) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18549"); + } + } + } + pin("la_data_in_mprj[14]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[14]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_73) { + values("0.31111,0.31418,0.32620,0.37160,0.56701,1.46633,5.67314"); + } + rise_transition(template_73) { + values("0.01740,0.02014,0.03270,0.09104,0.36985,1.65982,7.65055"); + } + cell_fall(template_74) { + values("0.38862,0.39132,0.40098,0.42944,0.52041,0.90804,2.70379"); + } + fall_transition(template_74) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18601"); + } + } + timing() { + related_pin : "la_iena_mprj[14]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_585) { + values("0.69587,0.69894,0.71096,0.75636,0.95177,1.85109,6.05790"); + } + rise_transition(template_585) { + values("0.01740,0.02014,0.03270,0.09104,0.36985,1.65982,7.65055"); + } + cell_fall(template_586) { + values("0.73789,0.74059,0.75025,0.77871,0.86968,1.25731,3.05306"); + } + fall_transition(template_586) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18601"); + } + } + } + pin("la_data_in_mprj[13]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[13]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_71) { + values("0.28706,0.29013,0.30217,0.34757,0.54301,1.44233,5.64860"); + } + rise_transition(template_71) { + values("0.01739,0.02013,0.03268,0.09103,0.36989,1.65950,7.65076"); + } + cell_fall(template_72) { + values("0.35897,0.36167,0.37133,0.39981,0.49076,0.87844,2.67477"); + } + fall_transition(template_72) { + values("0.01757,0.01919,0.02581,0.05056,0.15982,0.69349,3.18639"); + } + } + timing() { + related_pin : "la_iena_mprj[13]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_583) { + values("0.59864,0.60171,0.61375,0.65916,0.85460,1.75391,5.96018"); + } + rise_transition(template_583) { + values("0.01739,0.02013,0.03268,0.09103,0.36989,1.65950,7.65076"); + } + cell_fall(template_584) { + values("0.69920,0.70189,0.71156,0.74004,0.83099,1.21866,3.01500"); + } + fall_transition(template_584) { + values("0.01757,0.01919,0.02581,0.05056,0.15982,0.69349,3.18639"); + } + } + } + pin("la_data_in_mprj[12]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[12]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_69) { + values("0.24583,0.24889,0.26090,0.30629,0.50168,1.40102,5.60816"); + } + rise_transition(template_69) { + values("0.01741,0.02015,0.03271,0.09104,0.36983,1.66002,7.65043"); + } + cell_fall(template_70) { + values("0.25708,0.25978,0.26949,0.29805,0.38891,0.77683,2.57649"); + } + fall_transition(template_70) { + values("0.01750,0.01923,0.02583,0.05058,0.15990,0.69354,3.18857"); + } + } + timing() { + related_pin : "la_iena_mprj[12]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_581) { + values("0.45752,0.46058,0.47259,0.51798,0.71338,1.61271,5.81985"); + } + rise_transition(template_581) { + values("0.01741,0.02015,0.03271,0.09104,0.36983,1.66002,7.65043"); + } + cell_fall(template_582) { + values("0.50602,0.50873,0.51843,0.54700,0.63785,1.02577,2.82543"); + } + fall_transition(template_582) { + values("0.01750,0.01923,0.02583,0.05058,0.15990,0.69354,3.18857"); + } + } + } + pin("la_data_in_mprj[11]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[11]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_51) { + values("0.27251,0.27557,0.28758,0.33297,0.52837,1.42770,5.63484"); + } + rise_transition(template_51) { + values("0.01741,0.02015,0.03271,0.09104,0.36983,1.66003,7.65042"); + } + cell_fall(template_52) { + values("0.28570,0.28841,0.29811,0.32668,0.41753,0.80545,2.60515"); + } + fall_transition(template_52) { + values("0.01750,0.01923,0.02583,0.05058,0.15990,0.69355,3.18859"); + } + } + timing() { + related_pin : "la_iena_mprj[11]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_563) { + values("0.60050,0.60357,0.61557,0.66096,0.85636,1.75569,5.96284"); + } + rise_transition(template_563) { + values("0.01741,0.02015,0.03271,0.09104,0.36983,1.66003,7.65042"); + } + cell_fall(template_564) { + values("0.63673,0.63943,0.64914,0.67770,0.76856,1.15648,2.95617"); + } + fall_transition(template_564) { + values("0.01750,0.01923,0.02583,0.05058,0.15990,0.69355,3.18859"); + } + } + } + pin("la_data_in_mprj[10]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[10]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_29) { + values("0.24637,0.24944,0.26148,0.30689,0.50234,1.40165,5.60784"); + } + rise_transition(template_29) { + values("0.01738,0.02013,0.03268,0.09103,0.36989,1.65945,7.65079"); + } + cell_fall(template_30) { + values("0.30844,0.31113,0.32080,0.34928,0.44023,0.82791,2.62437"); + } + fall_transition(template_30) { + values("0.01757,0.01919,0.02581,0.05056,0.15982,0.69349,3.18648"); + } + } + timing() { + related_pin : "la_iena_mprj[10]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_541) { + values("0.54504,0.54810,0.56015,0.60555,0.80100,1.70031,5.90650"); + } + rise_transition(template_541) { + values("0.01738,0.02013,0.03268,0.09103,0.36989,1.65945,7.65079"); + } + cell_fall(template_542) { + values("0.61273,0.61543,0.62509,0.65357,0.74452,1.13221,2.92867"); + } + fall_transition(template_542) { + values("0.01757,0.01919,0.02581,0.05056,0.15982,0.69349,3.18648"); + } + } + } + pin("la_data_in_mprj[9]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[9]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_261) { + values("0.29238,0.29545,0.30748,0.35288,0.54831,1.44763,5.65409"); + } + rise_transition(template_261) { + values("0.01739,0.02014,0.03269,0.09104,0.36987,1.65961,7.65069"); + } + cell_fall(template_262) { + values("0.31915,0.32186,0.33156,0.36012,0.45098,0.83888,2.63823"); + } + fall_transition(template_262) { + values("0.01751,0.01922,0.02583,0.05058,0.15989,0.69354,3.18837"); + } + } + timing() { + related_pin : "la_iena_mprj[9]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_773) { + values("0.59670,0.59977,0.61180,0.65720,0.85264,1.75195,5.95841"); + } + rise_transition(template_773) { + values("0.01739,0.02014,0.03269,0.09104,0.36987,1.65961,7.65069"); + } + cell_fall(template_774) { + values("0.60868,0.61139,0.62109,0.64964,0.74051,1.12841,2.92776"); + } + fall_transition(template_774) { + values("0.01751,0.01922,0.02583,0.05058,0.15989,0.69354,3.18837"); + } + } + } + pin("la_data_in_mprj[8]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[8]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_239) { + values("0.31135,0.31441,0.32643,0.37182,0.56723,1.46656,5.67344"); + } + rise_transition(template_239) { + values("0.01740,0.02015,0.03270,0.09104,0.36984,1.65987,7.65052"); + } + cell_fall(template_240) { + values("0.33513,0.33783,0.34752,0.37605,0.46694,0.85477,2.65311"); + } + fall_transition(template_240) { + values("0.01753,0.01921,0.02582,0.05057,0.15987,0.69352,3.18771"); + } + } + timing() { + related_pin : "la_iena_mprj[8]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_751) { + values("0.61219,0.61525,0.62727,0.67267,0.86808,1.76740,5.97429"); + } + rise_transition(template_751) { + values("0.01740,0.02015,0.03270,0.09104,0.36984,1.65987,7.65052"); + } + cell_fall(template_752) { + values("0.66587,0.66857,0.67826,0.70679,0.79769,1.18551,2.98385"); + } + fall_transition(template_752) { + values("0.01753,0.01921,0.02582,0.05057,0.15987,0.69352,3.18771"); + } + } + } + pin("la_data_in_mprj[7]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[7]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_217) { + values("0.29587,0.29893,0.31090,0.35627,0.55162,1.45098,5.65913"); + } + rise_transition(template_217) { + values("0.01744,0.02018,0.03273,0.09106,0.36976,1.66064,7.65004"); + } + cell_fall(template_218) { + values("0.36688,0.36958,0.37923,0.40768,0.49868,0.88624,2.68125"); + } + fall_transition(template_218) { + values("0.01766,0.01923,0.02585,0.05058,0.15979,0.69344,3.18560"); + } + } + timing() { + related_pin : "la_iena_mprj[7]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_729) { + values("0.62851,0.63157,0.64354,0.68891,0.88426,1.78362,5.99177"); + } + rise_transition(template_729) { + values("0.01744,0.02018,0.03273,0.09106,0.36976,1.66064,7.65004"); + } + cell_fall(template_730) { + values("0.71915,0.72184,0.73149,0.75994,0.85094,1.23850,3.03352"); + } + fall_transition(template_730) { + values("0.01766,0.01923,0.02585,0.05058,0.15979,0.69344,3.18560"); + } + } + } + pin("la_data_in_mprj[6]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[6]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_195) { + values("0.23753,0.24060,0.25265,0.29806,0.49352,1.39283,5.59869"); + } + rise_transition(template_195) { + values("0.01737,0.02012,0.03267,0.09103,0.36991,1.65925,7.65091"); + } + cell_fall(template_196) { + values("0.30100,0.30370,0.31337,0.34186,0.43280,0.82051,2.61729"); + } + fall_transition(template_196) { + values("0.01756,0.01919,0.02581,0.05056,0.15983,0.69349,3.18669"); + } + } + timing() { + related_pin : "la_iena_mprj[6]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_707) { + values("0.52845,0.53152,0.54357,0.58898,0.78444,1.68375,5.88961"); + } + rise_transition(template_707) { + values("0.01737,0.02012,0.03267,0.09103,0.36991,1.65925,7.65091"); + } + cell_fall(template_708) { + values("0.63461,0.63731,0.64698,0.67547,0.76641,1.15411,2.95089"); + } + fall_transition(template_708) { + values("0.01756,0.01919,0.02581,0.05056,0.15983,0.69349,3.18669"); + } + } + } + pin("la_data_in_mprj[5]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[5]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_173) { + values("0.25256,0.25562,0.26762,0.31301,0.50839,1.40773,5.61504"); + } + rise_transition(template_173) { + values("0.01741,0.02016,0.03271,0.09105,0.36982,1.66013,7.65036"); + } + cell_fall(template_174) { + values("0.31862,0.32131,0.33097,0.35941,0.45040,0.83798,2.63308"); + } + fall_transition(template_174) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18558"); + } + } + timing() { + related_pin : "la_iena_mprj[5]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_685) { + values("0.53730,0.54036,0.55236,0.59775,0.79313,1.69247,5.89978"); + } + rise_transition(template_685) { + values("0.01741,0.02016,0.03271,0.09105,0.36982,1.66013,7.65036"); + } + cell_fall(template_686) { + values("0.64704,0.64973,0.65938,0.68782,0.77881,1.16639,2.96149"); + } + fall_transition(template_686) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18558"); + } + } + } + pin("la_data_in_mprj[4]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[4]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_151) { + values("0.24443,0.24749,0.25952,0.30492,0.50035,1.39967,5.60625"); + } + rise_transition(template_151) { + values("0.01739,0.02014,0.03269,0.09104,0.36987,1.65968,7.65064"); + } + cell_fall(template_152) { + values("0.30936,0.31206,0.32172,0.35018,0.44115,0.82879,2.62472"); + } + fall_transition(template_152) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18613"); + } + } + timing() { + related_pin : "la_iena_mprj[4]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_663) { + values("0.48298,0.48605,0.49807,0.54347,0.73890,1.63822,5.84480"); + } + rise_transition(template_663) { + values("0.01739,0.02014,0.03269,0.09104,0.36987,1.65968,7.65064"); + } + cell_fall(template_664) { + values("0.57609,0.57878,0.58844,0.61691,0.70787,1.09552,2.89145"); + } + fall_transition(template_664) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18613"); + } + } + } + pin("la_data_in_mprj[3]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[3]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_129) { + values("0.24624,0.24932,0.26138,0.30681,0.50229,1.40158,5.60705"); + } + rise_transition(template_129) { + values("0.01736,0.02011,0.03266,0.09102,0.36994,1.65901,7.65107"); + } + cell_fall(template_130) { + values("0.26529,0.26800,0.27771,0.30629,0.39713,0.78509,2.58533"); + } + fall_transition(template_130) { + values("0.01749,0.01923,0.02583,0.05058,0.15991,0.69355,3.18894"); + } + } + timing() { + related_pin : "la_iena_mprj[3]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_641) { + values("0.45972,0.46279,0.47486,0.52028,0.71576,1.61505,5.82052"); + } + rise_transition(template_641) { + values("0.01736,0.02011,0.03266,0.09102,0.36994,1.65901,7.65107"); + } + cell_fall(template_642) { + values("0.51351,0.51622,0.52592,0.55451,0.64535,1.03331,2.83354"); + } + fall_transition(template_642) { + values("0.01749,0.01923,0.02583,0.05058,0.15991,0.69355,3.18894"); + } + } + } + pin("la_data_in_mprj[2]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[2]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_107) { + values("0.24466,0.24773,0.25982,0.30525,0.50076,1.40003,5.60498"); + } + rise_transition(template_107) { + values("0.01735,0.02010,0.03265,0.09102,0.36998,1.65869,7.65127"); + } + cell_fall(template_108) { + values("0.26559,0.26830,0.27801,0.30660,0.39743,0.78542,2.58595"); + } + fall_transition(template_108) { + values("0.01748,0.01924,0.02584,0.05059,0.15992,0.69356,3.18914"); + } + } + timing() { + related_pin : "la_iena_mprj[2]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_619) { + values("0.43818,0.44126,0.45335,0.49878,0.69428,1.59356,5.79851"); + } + rise_transition(template_619) { + values("0.01735,0.02010,0.03265,0.09102,0.36998,1.65869,7.65127"); + } + cell_fall(template_620) { + values("0.49581,0.49852,0.50823,0.53682,0.62765,1.01563,2.81617"); + } + fall_transition(template_620) { + values("0.01748,0.01924,0.02584,0.05059,0.15992,0.69356,3.18914"); + } + } + } + pin("la_data_in_mprj[1]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[1]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_85) { + values("0.25665,0.25972,0.27179,0.31721,0.51270,1.41199,5.61737"); + } + rise_transition(template_85) { + values("0.01736,0.02011,0.03266,0.09102,0.36995,1.65896,7.65110"); + } + cell_fall(template_86) { + values("0.27716,0.27987,0.28958,0.31815,0.40900,0.79696,2.59713"); + } + fall_transition(template_86) { + values("0.01749,0.01923,0.02583,0.05058,0.15991,0.69355,3.18891"); + } + } + timing() { + related_pin : "la_iena_mprj[1]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_597) { + values("0.46844,0.47151,0.48358,0.52900,0.72449,1.62378,5.82916"); + } + rise_transition(template_597) { + values("0.01736,0.02011,0.03266,0.09102,0.36995,1.65896,7.65110"); + } + cell_fall(template_598) { + values("0.52244,0.52514,0.53485,0.56343,0.65427,1.04223,2.84241"); + } + fall_transition(template_598) { + values("0.01749,0.01923,0.02583,0.05058,0.15991,0.69355,3.18891"); + } + } + } + pin("la_data_in_mprj[0]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_data_out_core[0]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_7) { + values("0.23333,0.23640,0.24843,0.29383,0.48926,1.38858,5.59509"); + } + rise_transition(template_7) { + values("0.01739,0.02014,0.03269,0.09104,0.36987,1.65964,7.65067"); + } + cell_fall(template_8) { + values("0.24672,0.24942,0.25913,0.28771,0.37855,0.76650,2.56655"); + } + fall_transition(template_8) { + values("0.01749,0.01923,0.02583,0.05058,0.15991,0.69355,3.18883"); + } + } + timing() { + related_pin : "la_iena_mprj[0]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_519) { + values("0.49976,0.50282,0.51485,0.56025,0.75568,1.65500,5.86151"); + } + rise_transition(template_519) { + values("0.01739,0.02014,0.03269,0.09104,0.36987,1.65964,7.65067"); + } + cell_fall(template_520) { + values("0.53815,0.54085,0.55056,0.57914,0.66998,1.05793,2.85798"); + } + fall_transition(template_520) { + values("0.01749,0.01923,0.02583,0.05058,0.15991,0.69355,3.18883"); + } + } + } + } + bus("la_data_out_core") { + bus_type : la_data_out_core; + direction : input; + capacitance : 0.0000; + pin("la_data_out_core[127]") { + direction : input; + capacitance : 0.0164; + } + pin("la_data_out_core[126]") { + direction : input; + capacitance : 0.0185; + } + pin("la_data_out_core[125]") { + direction : input; + capacitance : 0.0616; + } + pin("la_data_out_core[124]") { + direction : input; + capacitance : 0.0451; + } + pin("la_data_out_core[123]") { + direction : input; + capacitance : 0.0268; + } + pin("la_data_out_core[122]") { + direction : input; + capacitance : 0.0236; + } + pin("la_data_out_core[121]") { + direction : input; + capacitance : 0.0572; + } + pin("la_data_out_core[120]") { + direction : input; + capacitance : 0.0742; + } + pin("la_data_out_core[119]") { + direction : input; + capacitance : 0.0613; + } + pin("la_data_out_core[118]") { + direction : input; + capacitance : 0.0612; + } + pin("la_data_out_core[117]") { + direction : input; + capacitance : 0.0398; + } + pin("la_data_out_core[116]") { + direction : input; + capacitance : 0.0321; + } + pin("la_data_out_core[115]") { + direction : input; + capacitance : 0.0246; + } + pin("la_data_out_core[114]") { + direction : input; + capacitance : 0.0530; + } + pin("la_data_out_core[113]") { + direction : input; + capacitance : 0.0338; + } + pin("la_data_out_core[112]") { + direction : input; + capacitance : 0.0262; + } + pin("la_data_out_core[111]") { + direction : input; + capacitance : 0.0232; + } + pin("la_data_out_core[110]") { + direction : input; + capacitance : 0.0291; + } + pin("la_data_out_core[109]") { + direction : input; + capacitance : 0.0438; + } + pin("la_data_out_core[108]") { + direction : input; + capacitance : 0.0338; + } + pin("la_data_out_core[107]") { + direction : input; + capacitance : 0.0215; + } + pin("la_data_out_core[106]") { + direction : input; + capacitance : 0.0425; + } + pin("la_data_out_core[105]") { + direction : input; + capacitance : 0.0450; + } + pin("la_data_out_core[104]") { + direction : input; + capacitance : 0.0322; + } + pin("la_data_out_core[103]") { + direction : input; + capacitance : 0.0326; + } + pin("la_data_out_core[102]") { + direction : input; + capacitance : 0.0504; + } + pin("la_data_out_core[101]") { + direction : input; + capacitance : 0.0458; + } + pin("la_data_out_core[100]") { + direction : input; + capacitance : 0.0397; + } + pin("la_data_out_core[99]") { + direction : input; + capacitance : 0.0424; + } + pin("la_data_out_core[98]") { + direction : input; + capacitance : 0.0605; + } + pin("la_data_out_core[97]") { + direction : input; + capacitance : 0.0505; + } + pin("la_data_out_core[96]") { + direction : input; + capacitance : 0.0563; + } + pin("la_data_out_core[95]") { + direction : input; + capacitance : 0.0369; + } + pin("la_data_out_core[94]") { + direction : input; + capacitance : 0.0317; + } + pin("la_data_out_core[93]") { + direction : input; + capacitance : 0.0354; + } + pin("la_data_out_core[92]") { + direction : input; + capacitance : 0.0235; + } + pin("la_data_out_core[91]") { + direction : input; + capacitance : 0.0503; + } + pin("la_data_out_core[90]") { + direction : input; + capacitance : 0.0621; + } + pin("la_data_out_core[89]") { + direction : input; + capacitance : 0.0581; + } + pin("la_data_out_core[88]") { + direction : input; + capacitance : 0.0612; + } + pin("la_data_out_core[87]") { + direction : input; + capacitance : 0.0606; + } + pin("la_data_out_core[86]") { + direction : input; + capacitance : 0.0600; + } + pin("la_data_out_core[85]") { + direction : input; + capacitance : 0.0579; + } + pin("la_data_out_core[84]") { + direction : input; + capacitance : 0.0560; + } + pin("la_data_out_core[83]") { + direction : input; + capacitance : 0.0387; + } + pin("la_data_out_core[82]") { + direction : input; + capacitance : 0.0537; + } + pin("la_data_out_core[81]") { + direction : input; + capacitance : 0.0253; + } + pin("la_data_out_core[80]") { + direction : input; + capacitance : 0.0405; + } + pin("la_data_out_core[79]") { + direction : input; + capacitance : 0.0199; + } + pin("la_data_out_core[78]") { + direction : input; + capacitance : 0.0224; + } + pin("la_data_out_core[77]") { + direction : input; + capacitance : 0.0510; + } + pin("la_data_out_core[76]") { + direction : input; + capacitance : 0.0462; + } + pin("la_data_out_core[75]") { + direction : input; + capacitance : 0.0502; + } + pin("la_data_out_core[74]") { + direction : input; + capacitance : 0.0461; + } + pin("la_data_out_core[73]") { + direction : input; + capacitance : 0.0471; + } + pin("la_data_out_core[72]") { + direction : input; + capacitance : 0.0460; + } + pin("la_data_out_core[71]") { + direction : input; + capacitance : 0.0519; + } + pin("la_data_out_core[70]") { + direction : input; + capacitance : 0.0501; + } + pin("la_data_out_core[69]") { + direction : input; + capacitance : 0.0372; + } + pin("la_data_out_core[68]") { + direction : input; + capacitance : 0.0402; + } + pin("la_data_out_core[67]") { + direction : input; + capacitance : 0.0507; + } + pin("la_data_out_core[66]") { + direction : input; + capacitance : 0.0616; + } + pin("la_data_out_core[65]") { + direction : input; + capacitance : 0.0591; + } + pin("la_data_out_core[64]") { + direction : input; + capacitance : 0.0577; + } + pin("la_data_out_core[63]") { + direction : input; + capacitance : 0.0688; + } + pin("la_data_out_core[62]") { + direction : input; + capacitance : 0.0724; + } + pin("la_data_out_core[61]") { + direction : input; + capacitance : 0.0854; + } + pin("la_data_out_core[60]") { + direction : input; + capacitance : 0.0850; + } + pin("la_data_out_core[59]") { + direction : input; + capacitance : 0.0897; + } + pin("la_data_out_core[58]") { + direction : input; + capacitance : 0.0985; + } + pin("la_data_out_core[57]") { + direction : input; + capacitance : 0.1034; + } + pin("la_data_out_core[56]") { + direction : input; + capacitance : 0.1094; + } + pin("la_data_out_core[55]") { + direction : input; + capacitance : 0.1147; + } + pin("la_data_out_core[54]") { + direction : input; + capacitance : 0.1243; + } + pin("la_data_out_core[53]") { + direction : input; + capacitance : 0.1320; + } + pin("la_data_out_core[52]") { + direction : input; + capacitance : 0.1372; + } + pin("la_data_out_core[51]") { + direction : input; + capacitance : 0.1521; + } + pin("la_data_out_core[50]") { + direction : input; + capacitance : 0.1407; + } + pin("la_data_out_core[49]") { + direction : input; + capacitance : 0.1450; + } + pin("la_data_out_core[48]") { + direction : input; + capacitance : 0.1435; + } + pin("la_data_out_core[47]") { + direction : input; + capacitance : 0.1380; + } + pin("la_data_out_core[46]") { + direction : input; + capacitance : 0.1428; + } + pin("la_data_out_core[45]") { + direction : input; + capacitance : 0.1502; + } + pin("la_data_out_core[44]") { + direction : input; + capacitance : 0.1452; + } + pin("la_data_out_core[43]") { + direction : input; + capacitance : 0.1419; + } + pin("la_data_out_core[42]") { + direction : input; + capacitance : 0.1618; + } + pin("la_data_out_core[41]") { + direction : input; + capacitance : 0.1469; + } + pin("la_data_out_core[40]") { + direction : input; + capacitance : 0.1430; + } + pin("la_data_out_core[39]") { + direction : input; + capacitance : 0.1361; + } + pin("la_data_out_core[38]") { + direction : input; + capacitance : 0.1450; + } + pin("la_data_out_core[37]") { + direction : input; + capacitance : 0.1485; + } + pin("la_data_out_core[36]") { + direction : input; + capacitance : 0.1669; + } + pin("la_data_out_core[35]") { + direction : input; + capacitance : 0.1332; + } + pin("la_data_out_core[34]") { + direction : input; + capacitance : 0.1364; + } + pin("la_data_out_core[33]") { + direction : input; + capacitance : 0.1590; + } + pin("la_data_out_core[32]") { + direction : input; + capacitance : 0.1601; + } + pin("la_data_out_core[31]") { + direction : input; + capacitance : 0.1418; + } + pin("la_data_out_core[30]") { + direction : input; + capacitance : 0.1759; + } + pin("la_data_out_core[29]") { + direction : input; + capacitance : 0.1520; + } + pin("la_data_out_core[28]") { + direction : input; + capacitance : 0.1550; + } + pin("la_data_out_core[27]") { + direction : input; + capacitance : 0.1640; + } + pin("la_data_out_core[26]") { + direction : input; + capacitance : 0.1452; + } + pin("la_data_out_core[25]") { + direction : input; + capacitance : 0.1722; + } + pin("la_data_out_core[24]") { + direction : input; + capacitance : 0.1739; + } + pin("la_data_out_core[23]") { + direction : input; + capacitance : 0.1809; + } + pin("la_data_out_core[22]") { + direction : input; + capacitance : 0.1368; + } + pin("la_data_out_core[21]") { + direction : input; + capacitance : 0.1085; + } + pin("la_data_out_core[20]") { + direction : input; + capacitance : 0.1013; + } + pin("la_data_out_core[19]") { + direction : input; + capacitance : 0.0927; + } + pin("la_data_out_core[18]") { + direction : input; + capacitance : 0.1289; + } + pin("la_data_out_core[17]") { + direction : input; + capacitance : 0.1324; + } + pin("la_data_out_core[16]") { + direction : input; + capacitance : 0.1468; + } + pin("la_data_out_core[15]") { + direction : input; + capacitance : 0.1477; + } + pin("la_data_out_core[14]") { + direction : input; + capacitance : 0.1435; + } + pin("la_data_out_core[13]") { + direction : input; + capacitance : 0.1159; + } + pin("la_data_out_core[12]") { + direction : input; + capacitance : 0.0935; + } + pin("la_data_out_core[11]") { + direction : input; + capacitance : 0.1153; + } + pin("la_data_out_core[10]") { + direction : input; + capacitance : 0.1178; + } + pin("la_data_out_core[9]") { + direction : input; + capacitance : 0.1333; + } + pin("la_data_out_core[8]") { + direction : input; + capacitance : 0.1310; + } + pin("la_data_out_core[7]") { + direction : input; + capacitance : 0.1147; + } + pin("la_data_out_core[6]") { + direction : input; + capacitance : 0.1140; + } + pin("la_data_out_core[5]") { + direction : input; + capacitance : 0.1012; + } + pin("la_data_out_core[4]") { + direction : input; + capacitance : 0.1059; + } + pin("la_data_out_core[3]") { + direction : input; + capacitance : 0.1001; + } + pin("la_data_out_core[2]") { + direction : input; + capacitance : 0.1058; + } + pin("la_data_out_core[1]") { + direction : input; + capacitance : 0.1012; + } + pin("la_data_out_core[0]") { + direction : input; + capacitance : 0.0891; + } + } + bus("la_data_out_mprj") { + bus_type : la_data_out_mprj; + direction : input; + capacitance : 0.0000; + pin("la_data_out_mprj[127]") { + direction : input; + capacitance : 0.0077; + } + pin("la_data_out_mprj[126]") { + direction : input; + capacitance : 0.0117; + } + pin("la_data_out_mprj[125]") { + direction : input; + capacitance : 0.0082; + } + pin("la_data_out_mprj[124]") { + direction : input; + capacitance : 0.0088; + } + pin("la_data_out_mprj[123]") { + direction : input; + capacitance : 0.0091; + } + pin("la_data_out_mprj[122]") { + direction : input; + capacitance : 0.0063; + } + pin("la_data_out_mprj[121]") { + direction : input; + capacitance : 0.0058; + } + pin("la_data_out_mprj[120]") { + direction : input; + capacitance : 0.0053; + } + pin("la_data_out_mprj[119]") { + direction : input; + capacitance : 0.0075; + } + pin("la_data_out_mprj[118]") { + direction : input; + capacitance : 0.0066; + } + pin("la_data_out_mprj[117]") { + direction : input; + capacitance : 0.0062; + } + pin("la_data_out_mprj[116]") { + direction : input; + capacitance : 0.0056; + } + pin("la_data_out_mprj[115]") { + direction : input; + capacitance : 0.0065; + } + pin("la_data_out_mprj[114]") { + direction : input; + capacitance : 0.0062; + } + pin("la_data_out_mprj[113]") { + direction : input; + capacitance : 0.0064; + } + pin("la_data_out_mprj[112]") { + direction : input; + capacitance : 0.0060; + } + pin("la_data_out_mprj[111]") { + direction : input; + capacitance : 0.0065; + } + pin("la_data_out_mprj[110]") { + direction : input; + capacitance : 0.0054; + } + pin("la_data_out_mprj[109]") { + direction : input; + capacitance : 0.0057; + } + pin("la_data_out_mprj[108]") { + direction : input; + capacitance : 0.0056; + } + pin("la_data_out_mprj[107]") { + direction : input; + capacitance : 0.0058; + } + pin("la_data_out_mprj[106]") { + direction : input; + capacitance : 0.0061; + } + pin("la_data_out_mprj[105]") { + direction : input; + capacitance : 0.0069; + } + pin("la_data_out_mprj[104]") { + direction : input; + capacitance : 0.0072; + } + pin("la_data_out_mprj[103]") { + direction : input; + capacitance : 0.0090; + } + pin("la_data_out_mprj[102]") { + direction : input; + capacitance : 0.0105; + } + pin("la_data_out_mprj[101]") { + direction : input; + capacitance : 0.0082; + } + pin("la_data_out_mprj[100]") { + direction : input; + capacitance : 0.0064; + } + pin("la_data_out_mprj[99]") { + direction : input; + capacitance : 0.0071; + } + pin("la_data_out_mprj[98]") { + direction : input; + capacitance : 0.0053; + } + pin("la_data_out_mprj[97]") { + direction : input; + capacitance : 0.0062; + } + pin("la_data_out_mprj[96]") { + direction : input; + capacitance : 0.0060; + } + pin("la_data_out_mprj[95]") { + direction : input; + capacitance : 0.0060; + } + pin("la_data_out_mprj[94]") { + direction : input; + capacitance : 0.0059; + } + pin("la_data_out_mprj[93]") { + direction : input; + capacitance : 0.0058; + } + pin("la_data_out_mprj[92]") { + direction : input; + capacitance : 0.0064; + } + pin("la_data_out_mprj[91]") { + direction : input; + capacitance : 0.0059; + } + pin("la_data_out_mprj[90]") { + direction : input; + capacitance : 0.0055; + } + pin("la_data_out_mprj[89]") { + direction : input; + capacitance : 0.0061; + } + pin("la_data_out_mprj[88]") { + direction : input; + capacitance : 0.0077; + } + pin("la_data_out_mprj[87]") { + direction : input; + capacitance : 0.0079; + } + pin("la_data_out_mprj[86]") { + direction : input; + capacitance : 0.0078; + } + pin("la_data_out_mprj[85]") { + direction : input; + capacitance : 0.0081; + } + pin("la_data_out_mprj[84]") { + direction : input; + capacitance : 0.0077; + } + pin("la_data_out_mprj[83]") { + direction : input; + capacitance : 0.0080; + } + pin("la_data_out_mprj[82]") { + direction : input; + capacitance : 0.0076; + } + pin("la_data_out_mprj[81]") { + direction : input; + capacitance : 0.0080; + } + pin("la_data_out_mprj[80]") { + direction : input; + capacitance : 0.0080; + } + pin("la_data_out_mprj[79]") { + direction : input; + capacitance : 0.0080; + } + pin("la_data_out_mprj[78]") { + direction : input; + capacitance : 0.0072; + } + pin("la_data_out_mprj[77]") { + direction : input; + capacitance : 0.0073; + } + pin("la_data_out_mprj[76]") { + direction : input; + capacitance : 0.0073; + } + pin("la_data_out_mprj[75]") { + direction : input; + capacitance : 0.0074; + } + pin("la_data_out_mprj[74]") { + direction : input; + capacitance : 0.0081; + } + pin("la_data_out_mprj[73]") { + direction : input; + capacitance : 0.0082; + } + pin("la_data_out_mprj[72]") { + direction : input; + capacitance : 0.0076; + } + pin("la_data_out_mprj[71]") { + direction : input; + capacitance : 0.0073; + } + pin("la_data_out_mprj[70]") { + direction : input; + capacitance : 0.0076; + } + pin("la_data_out_mprj[69]") { + direction : input; + capacitance : 0.0075; + } + pin("la_data_out_mprj[68]") { + direction : input; + capacitance : 0.0071; + } + pin("la_data_out_mprj[67]") { + direction : input; + capacitance : 0.0075; + } + pin("la_data_out_mprj[66]") { + direction : input; + capacitance : 0.0069; + } + pin("la_data_out_mprj[65]") { + direction : input; + capacitance : 0.0079; + } + pin("la_data_out_mprj[64]") { + direction : input; + capacitance : 0.0048; + } + pin("la_data_out_mprj[63]") { + direction : input; + capacitance : 0.0051; + } + pin("la_data_out_mprj[62]") { + direction : input; + capacitance : 0.0042; + } + pin("la_data_out_mprj[61]") { + direction : input; + capacitance : 0.0044; + } + pin("la_data_out_mprj[60]") { + direction : input; + capacitance : 0.0051; + } + pin("la_data_out_mprj[59]") { + direction : input; + capacitance : 0.0047; + } + pin("la_data_out_mprj[58]") { + direction : input; + capacitance : 0.0070; + } + pin("la_data_out_mprj[57]") { + direction : input; + capacitance : 0.0046; + } + pin("la_data_out_mprj[56]") { + direction : input; + capacitance : 0.0045; + } + pin("la_data_out_mprj[55]") { + direction : input; + capacitance : 0.0045; + } + pin("la_data_out_mprj[54]") { + direction : input; + capacitance : 0.0042; + } + pin("la_data_out_mprj[53]") { + direction : input; + capacitance : 0.0046; + } + pin("la_data_out_mprj[52]") { + direction : input; + capacitance : 0.0048; + } + pin("la_data_out_mprj[51]") { + direction : input; + capacitance : 0.0046; + } + pin("la_data_out_mprj[50]") { + direction : input; + capacitance : 0.0054; + } + pin("la_data_out_mprj[49]") { + direction : input; + capacitance : 0.0049; + } + pin("la_data_out_mprj[48]") { + direction : input; + capacitance : 0.0050; + } + pin("la_data_out_mprj[47]") { + direction : input; + capacitance : 0.0054; + } + pin("la_data_out_mprj[46]") { + direction : input; + capacitance : 0.0044; + } + pin("la_data_out_mprj[45]") { + direction : input; + capacitance : 0.0047; + } + pin("la_data_out_mprj[44]") { + direction : input; + capacitance : 0.0044; + } + pin("la_data_out_mprj[43]") { + direction : input; + capacitance : 0.0048; + } + pin("la_data_out_mprj[42]") { + direction : input; + capacitance : 0.0043; + } + pin("la_data_out_mprj[41]") { + direction : input; + capacitance : 0.0050; + } + pin("la_data_out_mprj[40]") { + direction : input; + capacitance : 0.0045; + } + pin("la_data_out_mprj[39]") { + direction : input; + capacitance : 0.0048; + } + pin("la_data_out_mprj[38]") { + direction : input; + capacitance : 0.0049; + } + pin("la_data_out_mprj[37]") { + direction : input; + capacitance : 0.0049; + } + pin("la_data_out_mprj[36]") { + direction : input; + capacitance : 0.0047; + } + pin("la_data_out_mprj[35]") { + direction : input; + capacitance : 0.0046; + } + pin("la_data_out_mprj[34]") { + direction : input; + capacitance : 0.0043; + } + pin("la_data_out_mprj[33]") { + direction : input; + capacitance : 0.0046; + } + pin("la_data_out_mprj[32]") { + direction : input; + capacitance : 0.0042; + } + pin("la_data_out_mprj[31]") { + direction : input; + capacitance : 0.0046; + } + pin("la_data_out_mprj[30]") { + direction : input; + capacitance : 0.0047; + } + pin("la_data_out_mprj[29]") { + direction : input; + capacitance : 0.0046; + } + pin("la_data_out_mprj[28]") { + direction : input; + capacitance : 0.0049; + } + pin("la_data_out_mprj[27]") { + direction : input; + capacitance : 0.0045; + } + pin("la_data_out_mprj[26]") { + direction : input; + capacitance : 0.0041; + } + pin("la_data_out_mprj[25]") { + direction : input; + capacitance : 0.0045; + } + pin("la_data_out_mprj[24]") { + direction : input; + capacitance : 0.0046; + } + pin("la_data_out_mprj[23]") { + direction : input; + capacitance : 0.0043; + } + pin("la_data_out_mprj[22]") { + direction : input; + capacitance : 0.0041; + } + pin("la_data_out_mprj[21]") { + direction : input; + capacitance : 0.0046; + } + pin("la_data_out_mprj[20]") { + direction : input; + capacitance : 0.0055; + } + pin("la_data_out_mprj[19]") { + direction : input; + capacitance : 0.0045; + } + pin("la_data_out_mprj[18]") { + direction : input; + capacitance : 0.0054; + } + pin("la_data_out_mprj[17]") { + direction : input; + capacitance : 0.0046; + } + pin("la_data_out_mprj[16]") { + direction : input; + capacitance : 0.0054; + } + pin("la_data_out_mprj[15]") { + direction : input; + capacitance : 0.0045; + } + pin("la_data_out_mprj[14]") { + direction : input; + capacitance : 0.0051; + } + pin("la_data_out_mprj[13]") { + direction : input; + capacitance : 0.0044; + } + pin("la_data_out_mprj[12]") { + direction : input; + capacitance : 0.0050; + } + pin("la_data_out_mprj[11]") { + direction : input; + capacitance : 0.0043; + } + pin("la_data_out_mprj[10]") { + direction : input; + capacitance : 0.0050; + } + pin("la_data_out_mprj[9]") { + direction : input; + capacitance : 0.0046; + } + pin("la_data_out_mprj[8]") { + direction : input; + capacitance : 0.0048; + } + pin("la_data_out_mprj[7]") { + direction : input; + capacitance : 0.0044; + } + pin("la_data_out_mprj[6]") { + direction : input; + capacitance : 0.0049; + } + pin("la_data_out_mprj[5]") { + direction : input; + capacitance : 0.0044; + } + pin("la_data_out_mprj[4]") { + direction : input; + capacitance : 0.0053; + } + pin("la_data_out_mprj[3]") { + direction : input; + capacitance : 0.0044; + } + pin("la_data_out_mprj[2]") { + direction : input; + capacitance : 0.0040; + } + pin("la_data_out_mprj[1]") { + direction : input; + capacitance : 0.0044; + } + pin("la_data_out_mprj[0]") { + direction : input; + capacitance : 0.0048; + } + } + bus("la_iena_mprj") { + bus_type : la_iena_mprj; + direction : input; + capacitance : 0.0000; + pin("la_iena_mprj[127]") { + direction : input; + capacitance : 0.0071; + } + pin("la_iena_mprj[126]") { + direction : input; + capacitance : 0.0113; + } + pin("la_iena_mprj[125]") { + direction : input; + capacitance : 0.0054; + } + pin("la_iena_mprj[124]") { + direction : input; + capacitance : 0.0054; + } + pin("la_iena_mprj[123]") { + direction : input; + capacitance : 0.0061; + } + pin("la_iena_mprj[122]") { + direction : input; + capacitance : 0.0082; + } + pin("la_iena_mprj[121]") { + direction : input; + capacitance : 0.0048; + } + pin("la_iena_mprj[120]") { + direction : input; + capacitance : 0.0045; + } + pin("la_iena_mprj[119]") { + direction : input; + capacitance : 0.0048; + } + pin("la_iena_mprj[118]") { + direction : input; + capacitance : 0.0055; + } + pin("la_iena_mprj[117]") { + direction : input; + capacitance : 0.0049; + } + pin("la_iena_mprj[116]") { + direction : input; + capacitance : 0.0053; + } + pin("la_iena_mprj[115]") { + direction : input; + capacitance : 0.0053; + } + pin("la_iena_mprj[114]") { + direction : input; + capacitance : 0.0051; + } + pin("la_iena_mprj[113]") { + direction : input; + capacitance : 0.0054; + } + pin("la_iena_mprj[112]") { + direction : input; + capacitance : 0.0053; + } + pin("la_iena_mprj[111]") { + direction : input; + capacitance : 0.0051; + } + pin("la_iena_mprj[110]") { + direction : input; + capacitance : 0.0048; + } + pin("la_iena_mprj[109]") { + direction : input; + capacitance : 0.0049; + } + pin("la_iena_mprj[108]") { + direction : input; + capacitance : 0.0048; + } + pin("la_iena_mprj[107]") { + direction : input; + capacitance : 0.0056; + } + pin("la_iena_mprj[106]") { + direction : input; + capacitance : 0.0049; + } + pin("la_iena_mprj[105]") { + direction : input; + capacitance : 0.0049; + } + pin("la_iena_mprj[104]") { + direction : input; + capacitance : 0.0057; + } + pin("la_iena_mprj[103]") { + direction : input; + capacitance : 0.0083; + } + pin("la_iena_mprj[102]") { + direction : input; + capacitance : 0.0072; + } + pin("la_iena_mprj[101]") { + direction : input; + capacitance : 0.0057; + } + pin("la_iena_mprj[100]") { + direction : input; + capacitance : 0.0056; + } + pin("la_iena_mprj[99]") { + direction : input; + capacitance : 0.0051; + } + pin("la_iena_mprj[98]") { + direction : input; + capacitance : 0.0049; + } + pin("la_iena_mprj[97]") { + direction : input; + capacitance : 0.0048; + } + pin("la_iena_mprj[96]") { + direction : input; + capacitance : 0.0052; + } + pin("la_iena_mprj[95]") { + direction : input; + capacitance : 0.0052; + } + pin("la_iena_mprj[94]") { + direction : input; + capacitance : 0.0050; + } + pin("la_iena_mprj[93]") { + direction : input; + capacitance : 0.0048; + } + pin("la_iena_mprj[92]") { + direction : input; + capacitance : 0.0050; + } + pin("la_iena_mprj[91]") { + direction : input; + capacitance : 0.0051; + } + pin("la_iena_mprj[90]") { + direction : input; + capacitance : 0.0048; + } + pin("la_iena_mprj[89]") { + direction : input; + capacitance : 0.0046; + } + pin("la_iena_mprj[88]") { + direction : input; + capacitance : 0.0051; + } + pin("la_iena_mprj[87]") { + direction : input; + capacitance : 0.0055; + } + pin("la_iena_mprj[86]") { + direction : input; + capacitance : 0.0051; + } + pin("la_iena_mprj[85]") { + direction : input; + capacitance : 0.0052; + } + pin("la_iena_mprj[84]") { + direction : input; + capacitance : 0.0048; + } + pin("la_iena_mprj[83]") { + direction : input; + capacitance : 0.0049; + } + pin("la_iena_mprj[82]") { + direction : input; + capacitance : 0.0052; + } + pin("la_iena_mprj[81]") { + direction : input; + capacitance : 0.0049; + } + pin("la_iena_mprj[80]") { + direction : input; + capacitance : 0.0052; + } + pin("la_iena_mprj[79]") { + direction : input; + capacitance : 0.0048; + } + pin("la_iena_mprj[78]") { + direction : input; + capacitance : 0.0049; + } + pin("la_iena_mprj[77]") { + direction : input; + capacitance : 0.0053; + } + pin("la_iena_mprj[76]") { + direction : input; + capacitance : 0.0046; + } + pin("la_iena_mprj[75]") { + direction : input; + capacitance : 0.0046; + } + pin("la_iena_mprj[74]") { + direction : input; + capacitance : 0.0047; + } + pin("la_iena_mprj[73]") { + direction : input; + capacitance : 0.0053; + } + pin("la_iena_mprj[72]") { + direction : input; + capacitance : 0.0053; + } + pin("la_iena_mprj[71]") { + direction : input; + capacitance : 0.0046; + } + pin("la_iena_mprj[70]") { + direction : input; + capacitance : 0.0055; + } + pin("la_iena_mprj[69]") { + direction : input; + capacitance : 0.0050; + } + pin("la_iena_mprj[68]") { + direction : input; + capacitance : 0.0054; + } + pin("la_iena_mprj[67]") { + direction : input; + capacitance : 0.0048; + } + pin("la_iena_mprj[66]") { + direction : input; + capacitance : 0.0047; + } + pin("la_iena_mprj[65]") { + direction : input; + capacitance : 0.0051; + } + pin("la_iena_mprj[64]") { + direction : input; + capacitance : 0.0049; + } + pin("la_iena_mprj[63]") { + direction : input; + capacitance : 0.0046; + } + pin("la_iena_mprj[62]") { + direction : input; + capacitance : 0.0043; + } + pin("la_iena_mprj[61]") { + direction : input; + capacitance : 0.0042; + } + pin("la_iena_mprj[60]") { + direction : input; + capacitance : 0.0041; + } + pin("la_iena_mprj[59]") { + direction : input; + capacitance : 0.0044; + } + pin("la_iena_mprj[58]") { + direction : input; + capacitance : 0.0043; + } + pin("la_iena_mprj[57]") { + direction : input; + capacitance : 0.0041; + } + pin("la_iena_mprj[56]") { + direction : input; + capacitance : 0.0044; + } + pin("la_iena_mprj[55]") { + direction : input; + capacitance : 0.0043; + } + pin("la_iena_mprj[54]") { + direction : input; + capacitance : 0.0042; + } + pin("la_iena_mprj[53]") { + direction : input; + capacitance : 0.0041; + } + pin("la_iena_mprj[52]") { + direction : input; + capacitance : 0.0045; + } + pin("la_iena_mprj[51]") { + direction : input; + capacitance : 0.0042; + } + pin("la_iena_mprj[50]") { + direction : input; + capacitance : 0.0043; + } + pin("la_iena_mprj[49]") { + direction : input; + capacitance : 0.0044; + } + pin("la_iena_mprj[48]") { + direction : input; + capacitance : 0.0051; + } + pin("la_iena_mprj[47]") { + direction : input; + capacitance : 0.0049; + } + pin("la_iena_mprj[46]") { + direction : input; + capacitance : 0.0048; + } + pin("la_iena_mprj[45]") { + direction : input; + capacitance : 0.0049; + } + pin("la_iena_mprj[44]") { + direction : input; + capacitance : 0.0045; + } + pin("la_iena_mprj[43]") { + direction : input; + capacitance : 0.0045; + } + pin("la_iena_mprj[42]") { + direction : input; + capacitance : 0.0047; + } + pin("la_iena_mprj[41]") { + direction : input; + capacitance : 0.0047; + } + pin("la_iena_mprj[40]") { + direction : input; + capacitance : 0.0044; + } + pin("la_iena_mprj[39]") { + direction : input; + capacitance : 0.0044; + } + pin("la_iena_mprj[38]") { + direction : input; + capacitance : 0.0046; + } + pin("la_iena_mprj[37]") { + direction : input; + capacitance : 0.0050; + } + pin("la_iena_mprj[36]") { + direction : input; + capacitance : 0.0051; + } + pin("la_iena_mprj[35]") { + direction : input; + capacitance : 0.0049; + } + pin("la_iena_mprj[34]") { + direction : input; + capacitance : 0.0043; + } + pin("la_iena_mprj[33]") { + direction : input; + capacitance : 0.0047; + } + pin("la_iena_mprj[32]") { + direction : input; + capacitance : 0.0046; + } + pin("la_iena_mprj[31]") { + direction : input; + capacitance : 0.0045; + } + pin("la_iena_mprj[30]") { + direction : input; + capacitance : 0.0046; + } + pin("la_iena_mprj[29]") { + direction : input; + capacitance : 0.0045; + } + pin("la_iena_mprj[28]") { + direction : input; + capacitance : 0.0048; + } + pin("la_iena_mprj[27]") { + direction : input; + capacitance : 0.0046; + } + pin("la_iena_mprj[26]") { + direction : input; + capacitance : 0.0068; + } + pin("la_iena_mprj[25]") { + direction : input; + capacitance : 0.0071; + } + pin("la_iena_mprj[24]") { + direction : input; + capacitance : 0.0071; + } + pin("la_iena_mprj[23]") { + direction : input; + capacitance : 0.0069; + } + pin("la_iena_mprj[22]") { + direction : input; + capacitance : 0.0043; + } + pin("la_iena_mprj[21]") { + direction : input; + capacitance : 0.0042; + } + pin("la_iena_mprj[20]") { + direction : input; + capacitance : 0.0043; + } + pin("la_iena_mprj[19]") { + direction : input; + capacitance : 0.0043; + } + pin("la_iena_mprj[18]") { + direction : input; + capacitance : 0.0042; + } + pin("la_iena_mprj[17]") { + direction : input; + capacitance : 0.0042; + } + pin("la_iena_mprj[16]") { + direction : input; + capacitance : 0.0043; + } + pin("la_iena_mprj[15]") { + direction : input; + capacitance : 0.0043; + } + pin("la_iena_mprj[14]") { + direction : input; + capacitance : 0.0042; + } + pin("la_iena_mprj[13]") { + direction : input; + capacitance : 0.0043; + } + pin("la_iena_mprj[12]") { + direction : input; + capacitance : 0.0042; + } + pin("la_iena_mprj[11]") { + direction : input; + capacitance : 0.0041; + } + pin("la_iena_mprj[10]") { + direction : input; + capacitance : 0.0044; + } + pin("la_iena_mprj[9]") { + direction : input; + capacitance : 0.0041; + } + pin("la_iena_mprj[8]") { + direction : input; + capacitance : 0.0043; + } + pin("la_iena_mprj[7]") { + direction : input; + capacitance : 0.0041; + } + pin("la_iena_mprj[6]") { + direction : input; + capacitance : 0.0044; + } + pin("la_iena_mprj[5]") { + direction : input; + capacitance : 0.0042; + } + pin("la_iena_mprj[4]") { + direction : input; + capacitance : 0.0042; + } + pin("la_iena_mprj[3]") { + direction : input; + capacitance : 0.0042; + } + pin("la_iena_mprj[2]") { + direction : input; + capacitance : 0.0045; + } + pin("la_iena_mprj[1]") { + direction : input; + capacitance : 0.0041; + } + pin("la_iena_mprj[0]") { + direction : input; + capacitance : 0.0041; + } + } + bus("la_oenb_core") { + bus_type : la_oenb_core; + direction : output; + capacitance : 0.0000; + pin("la_oenb_core[127]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[127]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_897) { + values("0.58391,0.58706,0.59929,0.64498,0.84050,1.74123,5.93687"); + } + rise_transition(template_897) { + values("0.01868,0.02140,0.03371,0.09144,0.36948,1.66121,7.64955"); + } + cell_fall(template_898) { + values("0.56265,0.56534,0.57500,0.60347,0.69443,1.08207,2.87793"); + } + fall_transition(template_898) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18608"); + } + } + } + pin("la_oenb_core[126]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[126]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_893) { + values("0.55324,0.55632,0.56837,0.61382,0.80922,1.70896,5.91367"); + } + rise_transition(template_893) { + values("0.01779,0.02052,0.03301,0.09117,0.36967,1.66085,7.64987"); + } + cell_fall(template_894) { + values("0.54425,0.54695,0.55663,0.58515,0.67606,1.06385,2.86169"); + } + fall_transition(template_894) { + values("0.01754,0.01920,0.02582,0.05057,0.15986,0.69351,3.18738"); + } + } + } + pin("la_oenb_core[125]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[125]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_889) { + values("0.56712,0.57021,0.58228,0.62777,0.82319,1.72309,5.92637"); + } + rise_transition(template_889) { + values("0.01793,0.02066,0.03312,0.09121,0.36964,1.66090,7.64982"); + } + cell_fall(template_890) { + values("0.55501,0.55771,0.56739,0.59590,0.68681,1.07458,2.87210"); + } + fall_transition(template_890) { + values("0.01754,0.01920,0.02582,0.05057,0.15985,0.69351,3.18717"); + } + } + } + pin("la_oenb_core[124]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[124]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_885) { + values("0.56182,0.56490,0.57696,0.62243,0.81783,1.71763,5.92185"); + } + rise_transition(template_885) { + values("0.01784,0.02057,0.03305,0.09118,0.36966,1.66087,7.64985"); + } + cell_fall(template_886) { + values("0.55001,0.55270,0.56239,0.59090,0.68181,1.06959,2.86731"); + } + fall_transition(template_886) { + values("0.01754,0.01920,0.02582,0.05057,0.15985,0.69351,3.18730"); + } + } + } + pin("la_oenb_core[123]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[123]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_881) { + values("0.57315,0.57627,0.58840,0.63398,0.82944,1.72968,5.92979"); + } + rise_transition(template_881) { + values("0.01824,0.02097,0.03337,0.09130,0.36957,1.66103,7.64971"); + } + cell_fall(template_882) { + values("0.55580,0.55849,0.56817,0.59666,0.68759,1.07530,2.87217"); + } + fall_transition(template_882) { + values("0.01756,0.01919,0.02581,0.05056,0.15983,0.69350,3.18674"); + } + } + } + pin("la_oenb_core[122]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[122]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_877) { + values("0.57839,0.58150,0.59364,0.63921,0.83467,1.73492,5.93503"); + } + rise_transition(template_877) { + values("0.01824,0.02097,0.03337,0.09130,0.36957,1.66103,7.64971"); + } + cell_fall(template_878) { + values("0.55824,0.56093,0.57061,0.59910,0.69003,1.07775,2.87461"); + } + fall_transition(template_878) { + values("0.01756,0.01919,0.02581,0.05056,0.15983,0.69350,3.18674"); + } + } + } + pin("la_oenb_core[121]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[121]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_873) { + values("0.57423,0.57730,0.58932,0.63476,0.83014,1.72978,5.93545"); + } + rise_transition(template_873) { + values("0.01770,0.02043,0.03294,0.09114,0.36969,1.66081,7.64990"); + } + cell_fall(template_874) { + values("0.55523,0.55793,0.56762,0.59614,0.68704,1.07484,2.87288"); + } + fall_transition(template_874) { + values("0.01753,0.01921,0.02582,0.05057,0.15986,0.69352,3.18751"); + } + } + } + pin("la_oenb_core[120]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[120]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_869) { + values("0.62654,0.62964,0.64174,0.68726,0.88269,1.78272,5.98485"); + } + rise_transition(template_869) { + values("0.01804,0.02077,0.03321,0.09124,0.36962,1.66095,7.64978"); + } + cell_fall(template_870) { + values("0.58589,0.58859,0.59827,0.62677,0.71769,1.10544,2.90276"); + } + fall_transition(template_870) { + values("0.01755,0.01920,0.02582,0.05057,0.15984,0.69350,3.18704"); + } + } + } + pin("la_oenb_core[119]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[119]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_861) { + values("0.63057,0.63369,0.64584,0.69142,0.88689,1.78718,5.98684"); + } + rise_transition(template_861) { + values("0.01829,0.02101,0.03340,0.09132,0.36956,1.66105,7.64969"); + } + cell_fall(template_862) { + values("0.59000,0.59269,0.60236,0.63085,0.72179,1.10950,2.90628"); + } + fall_transition(template_862) { + values("0.01756,0.01919,0.02581,0.05056,0.15983,0.69349,3.18669"); + } + } + } + pin("la_oenb_core[118]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[118]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_857) { + values("0.59714,0.60020,0.61219,0.65758,0.85293,1.75240,5.95973"); + } + rise_transition(template_857) { + values("0.01753,0.02027,0.03281,0.09109,0.36973,1.66074,7.64996"); + } + cell_fall(template_858) { + values("0.56866,0.57136,0.58105,0.60959,0.70048,1.08830,2.88670"); + } + fall_transition(template_858) { + values("0.01753,0.01921,0.02582,0.05057,0.15987,0.69352,3.18774"); + } + } + } + pin("la_oenb_core[117]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[117]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_853) { + values("0.61109,0.61416,0.62619,0.67163,0.86701,1.76669,5.97208"); + } + rise_transition(template_853) { + values("0.01772,0.02046,0.03296,0.09115,0.36969,1.66082,7.64990"); + } + cell_fall(template_854) { + values("0.57415,0.57685,0.58653,0.61505,0.70596,1.09375,2.89176"); + } + fall_transition(template_854) { + values("0.01753,0.01921,0.02582,0.05057,0.15986,0.69352,3.18748"); + } + } + } + pin("la_oenb_core[116]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[116]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_849) { + values("0.58281,0.58590,0.59796,0.64343,0.83884,1.73866,5.94263"); + } + rise_transition(template_849) { + values("0.01786,0.02059,0.03307,0.09119,0.36966,1.66088,7.64984"); + } + cell_fall(template_850) { + values("0.56836,0.57106,0.58075,0.60926,0.70017,1.08794,2.88564"); + } + fall_transition(template_850) { + values("0.01754,0.01920,0.02582,0.05057,0.15985,0.69351,3.18728"); + } + } + } + pin("la_oenb_core[115]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[115]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_845) { + values("0.62602,0.62918,0.64143,0.68714,0.88268,1.78353,5.97810"); + } + rise_transition(template_845) { + values("0.01878,0.02151,0.03380,0.09147,0.36945,1.66125,7.64951"); + } + cell_fall(template_846) { + values("0.59618,0.59888,0.60854,0.63699,0.72797,1.11558,2.91119"); + } + fall_transition(template_846) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18591"); + } + } + } + pin("la_oenb_core[114]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[114]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_841) { + values("0.65111,0.65428,0.66655,0.71228,0.90784,1.80879,6.00241"); + } + rise_transition(template_841) { + values("0.01888,0.02160,0.03387,0.09150,0.36943,1.66129,7.64948"); + } + cell_fall(template_842) { + values("0.60794,0.61063,0.62029,0.64874,0.73972,1.12732,2.92272"); + } + fall_transition(template_842) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18578"); + } + } + } + pin("la_oenb_core[113]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[113]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_837) { + values("0.60137,0.60448,0.61659,0.66214,0.85758,1.75770,5.95894"); + } + rise_transition(template_837) { + values("0.01813,0.02086,0.03328,0.09127,0.36960,1.66099,7.64975"); + } + cell_fall(template_838) { + values("0.57901,0.58171,0.59138,0.61988,0.71081,1.09854,2.89565"); + } + fall_transition(template_838) { + values("0.01755,0.01920,0.02581,0.05057,0.15984,0.69350,3.18690"); + } + } + } + pin("la_oenb_core[112]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[112]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_833) { + values("0.61777,0.62085,0.63290,0.67837,0.87377,1.77355,5.97791"); + } + rise_transition(template_833) { + values("0.01782,0.02056,0.03304,0.09118,0.36967,1.66086,7.64986"); + } + cell_fall(template_834) { + values("0.58016,0.58286,0.59254,0.62106,0.71196,1.09975,2.89754"); + } + fall_transition(template_834) { + values("0.01754,0.01920,0.02582,0.05057,0.15985,0.69351,3.18735"); + } + } + } + pin("la_oenb_core[111]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[111]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_829) { + values("0.61643,0.61952,0.63159,0.67709,0.87250,1.77240,5.97570"); + } + rise_transition(template_829) { + values("0.01793,0.02066,0.03312,0.09121,0.36964,1.66090,7.64982"); + } + cell_fall(template_830) { + values("0.57931,0.58201,0.59169,0.62020,0.71111,1.09888,2.89644"); + } + fall_transition(template_830) { + values("0.01754,0.01920,0.02582,0.05057,0.15985,0.69351,3.18720"); + } + } + } + pin("la_oenb_core[110]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[110]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_825) { + values("0.65399,0.65705,0.66903,0.71442,0.90977,1.80922,6.01669"); + } + rise_transition(template_825) { + values("0.01752,0.02025,0.03280,0.09108,0.36973,1.66074,7.64997"); + } + cell_fall(template_826) { + values("0.61860,0.62130,0.63099,0.65953,0.75042,1.13825,2.93668"); + } + fall_transition(template_826) { + values("0.01753,0.01921,0.02582,0.05057,0.15987,0.69352,3.18776"); + } + } + } + pin("la_oenb_core[109]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[109]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_817) { + values("0.59669,0.59975,0.61172,0.65709,0.85243,1.75179,5.96003"); + } + rise_transition(template_817) { + values("0.01744,0.02018,0.03273,0.09106,0.36975,1.66069,7.65000"); + } + cell_fall(template_818) { + values("0.57076,0.57346,0.58315,0.61169,0.70257,1.09041,2.88898"); + } + fall_transition(template_818) { + values("0.01752,0.01921,0.02582,0.05057,0.15987,0.69353,3.18785"); + } + } + } + pin("la_oenb_core[108]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[108]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_813) { + values("0.69243,0.69555,0.70769,0.75328,0.94874,1.84903,6.04876"); + } + rise_transition(template_813) { + values("0.01828,0.02101,0.03340,0.09132,0.36957,1.66105,7.64970"); + } + cell_fall(template_814) { + values("0.68083,0.68353,0.69319,0.72165,0.81262,1.20026,2.99618"); + } + fall_transition(template_814) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18612"); + } + } + } + pin("la_oenb_core[107]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[107]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_809) { + values("0.57159,0.57464,0.58661,0.63199,0.82733,1.72669,5.93486"); + } + rise_transition(template_809) { + values("0.01744,0.02018,0.03273,0.09106,0.36976,1.66065,7.65003"); + } + cell_fall(template_810) { + values("0.55208,0.55478,0.56447,0.59301,0.68389,1.07174,2.87036"); + } + fall_transition(template_810) { + values("0.01752,0.01921,0.02582,0.05057,0.15987,0.69353,3.18789"); + } + } + } + pin("la_oenb_core[106]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[106]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_805) { + values("0.59566,0.59872,0.61071,0.65611,0.85147,1.75095,5.95808"); + } + rise_transition(template_805) { + values("0.01755,0.02029,0.03282,0.09109,0.36973,1.66075,7.64996"); + } + cell_fall(template_806) { + values("0.56505,0.56775,0.57744,0.60597,0.69686,1.08469,2.88306"); + } + fall_transition(template_806) { + values("0.01753,0.01921,0.02582,0.05057,0.15987,0.69352,3.18773"); + } + } + } + pin("la_oenb_core[105]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[105]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_801) { + values("0.59096,0.59405,0.60611,0.65160,0.84701,1.74688,5.95048"); + } + rise_transition(template_801) { + values("0.01790,0.02063,0.03310,0.09120,0.36965,1.66089,7.64983"); + } + cell_fall(template_802) { + values("0.56290,0.56560,0.57528,0.60379,0.69471,1.08248,2.88010"); + } + fall_transition(template_802) { + values("0.01754,0.01920,0.02582,0.05057,0.15985,0.69351,3.18724"); + } + } + } + pin("la_oenb_core[104]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[104]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_797) { + values("0.59992,0.60303,0.61513,0.66067,0.85611,1.75620,5.95773"); + } + rise_transition(template_797) { + values("0.01810,0.02083,0.03326,0.09126,0.36961,1.66097,7.64976"); + } + cell_fall(template_798) { + values("0.56967,0.57237,0.58204,0.61054,0.70147,1.08921,2.88640"); + } + fall_transition(template_798) { + values("0.01755,0.01920,0.02581,0.05057,0.15984,0.69350,3.18695"); + } + } + } + pin("la_oenb_core[103]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[103]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_793) { + values("0.61049,0.61354,0.62552,0.67089,0.86623,1.76559,5.97378"); + } + rise_transition(template_793) { + values("0.01744,0.02018,0.03273,0.09106,0.36975,1.66067,7.65002"); + } + cell_fall(template_794) { + values("0.57849,0.58120,0.59089,0.61942,0.71031,1.09815,2.89675"); + } + fall_transition(template_794) { + values("0.01752,0.01921,0.02582,0.05057,0.15987,0.69353,3.18787"); + } + } + } + pin("la_oenb_core[102]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[102]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_789) { + values("0.60603,0.60914,0.62126,0.66681,0.86226,1.76241,5.96338"); + } + rise_transition(template_789) { + values("0.01816,0.02089,0.03330,0.09128,0.36959,1.66100,7.64974"); + } + cell_fall(template_790) { + values("0.57545,0.57815,0.58782,0.61632,0.70725,1.09498,2.89205"); + } + fall_transition(template_790) { + values("0.01755,0.01920,0.02581,0.05057,0.15984,0.69350,3.18688"); + } + } + } + pin("la_oenb_core[101]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[101]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_785) { + values("0.61539,0.61850,0.63063,0.67619,0.87164,1.77182,5.97248"); + } + rise_transition(template_785) { + values("0.01819,0.02092,0.03332,0.09129,0.36959,1.66101,7.64973"); + } + cell_fall(template_786) { + values("0.57769,0.58039,0.59006,0.61856,0.70949,1.09721,2.89422"); + } + fall_transition(template_786) { + values("0.01756,0.01919,0.02581,0.05057,0.15984,0.69350,3.18683"); + } + } + } + pin("la_oenb_core[100]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[100]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_781) { + values("0.58922,0.59235,0.60450,0.65009,0.84557,1.74590,5.94518"); + } + rise_transition(template_781) { + values("0.01832,0.02105,0.03343,0.09133,0.36956,1.66106,7.64968"); + } + cell_fall(template_782) { + values("0.56598,0.56868,0.57835,0.60684,0.69778,1.08548,2.88218"); + } + fall_transition(template_782) { + values("0.01756,0.01919,0.02581,0.05056,0.15983,0.69349,3.18663"); + } + } + } + pin("la_oenb_core[99]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[99]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1281) { + values("0.65396,0.65707,0.66918,0.71472,0.91016,1.81026,6.01171"); + } + rise_transition(template_1281) { + values("0.01811,0.02084,0.03326,0.09126,0.36960,1.66098,7.64976"); + } + cell_fall(template_1282) { + values("0.59391,0.59661,0.60629,0.63479,0.72571,1.11345,2.91064"); + } + fall_transition(template_1282) { + values("0.01755,0.01920,0.02581,0.05057,0.15984,0.69350,3.18696"); + } + } + } + pin("la_oenb_core[98]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[98]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1277) { + values("0.62044,0.62361,0.63588,0.68163,0.87720,1.77819,5.97138"); + } + rise_transition(template_1277) { + values("0.01892,0.02164,0.03390,0.09151,0.36942,1.66131,7.64946"); + } + cell_fall(template_1278) { + values("0.58211,0.58480,0.59445,0.62290,0.71388,1.10148,2.89678"); + } + fall_transition(template_1278) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18571"); + } + } + } + pin("la_oenb_core[97]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[97]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1273) { + values("0.62761,0.63081,0.64318,0.68905,0.88468,1.78616,5.97482"); + } + rise_transition(template_1273) { + values("0.01936,0.02208,0.03425,0.09165,0.36933,1.66149,7.64931"); + } + cell_fall(template_1274) { + values("0.54668,0.54937,0.55902,0.58747,0.67847,1.06603,2.86096"); + } + fall_transition(template_1274) { + values("0.01765,0.01921,0.02583,0.05057,0.15979,0.69345,3.18553"); + } + } + } + pin("la_oenb_core[96]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[96]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1269) { + values("0.55400,0.55722,0.56963,0.61554,0.81120,1.71290,5.89957"); + } + rise_transition(template_1269) { + values("0.01956,0.02228,0.03441,0.09171,0.36928,1.66157,7.64924"); + } + cell_fall(template_1270) { + values("0.48957,0.49226,0.50191,0.53036,0.62137,1.00894,2.80404"); + } + fall_transition(template_1270) { + values("0.01768,0.01925,0.02586,0.05059,0.15980,0.69343,3.18569"); + } + } + } + pin("la_oenb_core[95]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[95]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1265) { + values("0.56077,0.56395,0.57625,0.62204,0.81762,1.71877,5.91052"); + } + rise_transition(template_1265) { + values("0.01906,0.02178,0.03401,0.09156,0.36939,1.66136,7.64941"); + } + cell_fall(template_1266) { + values("0.49117,0.49386,0.50351,0.53195,0.62294,1.01051,2.80549"); + } + fall_transition(template_1266) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18550"); + } + } + } + pin("la_oenb_core[94]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[94]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1261) { + values("0.60575,0.60898,0.62140,0.66734,0.86301,1.76480,5.95062"); + } + rise_transition(template_1261) { + values("0.01964,0.02236,0.03447,0.09173,0.36927,1.66160,7.64921"); + } + cell_fall(template_1262) { + values("0.51547,0.51816,0.52782,0.55626,0.64726,1.03483,2.82982"); + } + fall_transition(template_1262) { + values("0.01766,0.01922,0.02584,0.05058,0.15979,0.69344,3.18558"); + } + } + } + pin("la_oenb_core[93]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[93]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1257) { + values("0.56989,0.57311,0.58553,0.63146,0.82713,1.72888,5.91502"); + } + rise_transition(template_1257) { + values("0.01961,0.02233,0.03445,0.09172,0.36927,1.66159,7.64922"); + } + cell_fall(template_1258) { + values("0.50143,0.50412,0.51377,0.54222,0.63322,1.02078,2.81575"); + } + fall_transition(template_1258) { + values("0.01765,0.01922,0.02584,0.05058,0.15979,0.69344,3.18556"); + } + } + } + pin("la_oenb_core[92]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[92]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1253) { + values("0.59558,0.59880,0.61123,0.65717,0.85284,1.75464,5.94036"); + } + rise_transition(template_1253) { + values("0.01965,0.02237,0.03448,0.09174,0.36926,1.66160,7.64920"); + } + cell_fall(template_1254) { + values("0.51071,0.51340,0.52305,0.55150,0.64250,1.03006,2.82508"); + } + fall_transition(template_1254) { + values("0.01766,0.01923,0.02585,0.05058,0.15979,0.69344,3.18560"); + } + } + } + pin("la_oenb_core[91]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[91]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1249) { + values("0.60618,0.60946,0.62204,0.66816,0.86394,1.76655,5.94484"); + } + rise_transition(template_1249) { + values("0.02038,0.02309,0.03506,0.09196,0.36910,1.66190,7.64894"); + } + cell_fall(template_1250) { + values("0.51793,0.52063,0.53029,0.55878,0.64978,1.03738,2.83319"); + } + fall_transition(template_1250) { + values("0.01783,0.01939,0.02598,0.05067,0.15982,0.69339,3.18632"); + } + } + } + pin("la_oenb_core[90]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[90]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1245) { + values("0.79204,0.79521,0.80748,0.85323,1.04878,1.94976,6.14315"); + } + rise_transition(template_1245) { + values("0.01890,0.02162,0.03389,0.09151,0.36943,1.66130,7.64947"); + } + cell_fall(template_1246) { + values("0.74789,0.75058,0.76024,0.78870,0.87967,1.26729,3.06285"); + } + fall_transition(template_1246) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18588"); + } + } + } + pin("la_oenb_core[89]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[89]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1237) { + values("0.80606,0.80921,0.82143,0.86712,1.06264,1.96334,6.15921"); + } + rise_transition(template_1237) { + values("0.01866,0.02138,0.03369,0.09143,0.36948,1.66120,7.64956"); + } + cell_fall(template_1238) { + values("0.76648,0.76917,0.77884,0.80731,0.89826,1.28592,3.08205"); + } + fall_transition(template_1238) { + values("0.01757,0.01918,0.02581,0.05056,0.15982,0.69348,3.18626"); + } + } + } + pin("la_oenb_core[88]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[88]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1233) { + values("0.77388,0.77706,0.78939,0.83519,1.03079,1.93202,6.12296"); + } + rise_transition(template_1233) { + values("0.01914,0.02186,0.03408,0.09158,0.36938,1.66140,7.64939"); + } + cell_fall(template_1234) { + values("0.66925,0.67194,0.68159,0.71003,0.80102,1.18858,2.98334"); + } + fall_transition(template_1234) { + values("0.01760,0.01917,0.02580,0.05055,0.15978,0.69346,3.18536"); + } + } + } + pin("la_oenb_core[87]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[87]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1229) { + values("0.76559,0.76879,0.78116,0.82702,1.02265,1.92413,6.11281"); + } + rise_transition(template_1229) { + values("0.01936,0.02208,0.03425,0.09165,0.36933,1.66149,7.64931"); + } + cell_fall(template_1230) { + values("0.67090,0.67359,0.68325,0.71169,0.80269,1.19026,2.98525"); + } + fall_transition(template_1230) { + values("0.01766,0.01922,0.02584,0.05058,0.15979,0.69344,3.18558"); + } + } + } + pin("la_oenb_core[86]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[86]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1225) { + values("0.74683,0.75001,0.76233,0.80814,1.00373,1.90495,6.09603"); + } + rise_transition(template_1225) { + values("0.01913,0.02185,0.03407,0.09158,0.36938,1.66139,7.64939"); + } + cell_fall(template_1226) { + values("0.66305,0.66574,0.67539,0.70383,0.79482,1.18238,2.97715"); + } + fall_transition(template_1226) { + values("0.01760,0.01917,0.02580,0.05055,0.15978,0.69346,3.18536"); + } + } + } + pin("la_oenb_core[85]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[85]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1221) { + values("0.74512,0.74830,0.76062,0.80642,1.00202,1.90324,6.09431"); + } + rise_transition(template_1221) { + values("0.01913,0.02185,0.03407,0.09158,0.36938,1.66139,7.64939"); + } + cell_fall(template_1222) { + values("0.66294,0.66563,0.67528,0.70372,0.79471,1.18227,2.97701"); + } + fall_transition(template_1222) { + values("0.01760,0.01917,0.02580,0.05055,0.15978,0.69346,3.18535"); + } + } + } + pin("la_oenb_core[84]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[84]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1217) { + values("0.74384,0.74704,0.75940,0.80525,1.00087,1.90231,6.09143"); + } + rise_transition(template_1217) { + values("0.01932,0.02204,0.03422,0.09163,0.36934,1.66147,7.64932"); + } + cell_fall(template_1218) { + values("0.66064,0.66334,0.67299,0.70143,0.79243,1.17999,2.97491"); + } + fall_transition(template_1218) { + values("0.01765,0.01921,0.02583,0.05057,0.15979,0.69345,3.18552"); + } + } + } + pin("la_oenb_core[83]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[83]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1213) { + values("0.71791,0.72109,0.73339,0.77918,0.97476,1.87590,6.06772"); + } + rise_transition(template_1213) { + values("0.01905,0.02178,0.03401,0.09155,0.36940,1.66136,7.64942"); + } + cell_fall(template_1214) { + values("0.64329,0.64598,0.65563,0.68407,0.77506,1.16263,2.95757"); + } + fall_transition(template_1214) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18547"); + } + } + } + pin("la_oenb_core[82]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[82]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1209) { + values("0.72489,0.72805,0.74029,0.78600,0.98153,1.88234,6.07722"); + } + rise_transition(template_1209) { + values("0.01875,0.02148,0.03377,0.09146,0.36946,1.66124,7.64952"); + } + cell_fall(template_1210) { + values("0.64334,0.64603,0.65569,0.68415,0.77512,1.16275,2.95851"); + } + fall_transition(template_1210) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18602"); + } + } + } + pin("la_oenb_core[81]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[81]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1205) { + values("0.71233,0.71550,0.72777,0.77352,0.96908,1.87006,6.06335"); + } + rise_transition(template_1205) { + values("0.01891,0.02163,0.03390,0.09151,0.36943,1.66130,7.64947"); + } + cell_fall(template_1206) { + values("0.64062,0.64331,0.65296,0.68142,0.77239,1.16000,2.95540"); + } + fall_transition(template_1206) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18578"); + } + } + } + pin("la_oenb_core[80]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[80]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1201) { + values("0.74801,0.75120,0.76352,0.80932,1.00492,1.90614,6.09718"); + } + rise_transition(template_1201) { + values("0.01913,0.02185,0.03407,0.09158,0.36938,1.66139,7.64939"); + } + cell_fall(template_1202) { + values("0.65892,0.66161,0.67126,0.69970,0.79069,1.17825,2.97309"); + } + fall_transition(template_1202) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18541"); + } + } + } + pin("la_oenb_core[79]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[79]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1193) { + values("0.78123,0.78444,0.79684,0.84274,1.03840,1.94004,6.12719"); + } + rise_transition(template_1193) { + values("0.01951,0.02223,0.03437,0.09169,0.36929,1.66155,7.64925"); + } + cell_fall(template_1194) { + values("0.68084,0.68353,0.69319,0.72164,0.81264,1.20021,2.99536"); + } + fall_transition(template_1194) { + values("0.01769,0.01926,0.02587,0.05060,0.15980,0.69343,3.18572"); + } + } + } + pin("la_oenb_core[78]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[78]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1189) { + values("0.73166,0.73488,0.74729,0.79320,0.98886,1.89055,6.07729"); + } + rise_transition(template_1189) { + values("0.01955,0.02227,0.03440,0.09171,0.36929,1.66156,7.64924"); + } + cell_fall(template_1190) { + values("0.65937,0.66207,0.67172,0.70018,0.79118,1.17876,2.97398"); + } + fall_transition(template_1190) { + values("0.01771,0.01927,0.02588,0.05061,0.15980,0.69343,3.18579"); + } + } + } + pin("la_oenb_core[77]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[77]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1185) { + values("0.75637,0.75959,0.77202,0.81796,1.01363,1.91543,6.10114"); + } + rise_transition(template_1185) { + values("0.01965,0.02237,0.03448,0.09174,0.36926,1.66160,7.64920"); + } + cell_fall(template_1186) { + values("0.66656,0.66926,0.67891,0.70736,0.79836,1.18592,2.98093"); + } + fall_transition(template_1186) { + values("0.01766,0.01923,0.02585,0.05058,0.15979,0.69344,3.18560"); + } + } + } + pin("la_oenb_core[76]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[76]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1181) { + values("0.73402,0.73725,0.74969,0.79565,0.99134,1.89322,6.07818"); + } + rise_transition(template_1181) { + values("0.01972,0.02244,0.03454,0.09176,0.36925,1.66163,7.64917"); + } + cell_fall(template_1182) { + values("0.66086,0.66355,0.67321,0.70166,0.79266,1.18023,2.97531"); + } + fall_transition(template_1182) { + values("0.01768,0.01924,0.02586,0.05059,0.15980,0.69344,3.18567"); + } + } + } + pin("la_oenb_core[75]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[75]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1177) { + values("0.72220,0.72540,0.73775,0.78360,0.97922,1.88062,6.06996"); + } + rise_transition(template_1177) { + values("0.01930,0.02202,0.03420,0.09163,0.36934,1.66146,7.64933"); + } + cell_fall(template_1178) { + values("0.65325,0.65594,0.66559,0.69403,0.78503,1.17259,2.96746"); + } + fall_transition(template_1178) { + values("0.01764,0.01920,0.02582,0.05057,0.15979,0.69345,3.18547"); + } + } + } + pin("la_oenb_core[74]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[74]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1173) { + values("0.73332,0.73649,0.74879,0.79456,0.99013,1.89121,6.08358"); + } + rise_transition(template_1173) { + values("0.01900,0.02172,0.03397,0.09154,0.36941,1.66134,7.64944"); + } + cell_fall(template_1174) { + values("0.65182,0.65451,0.66416,0.69261,0.78359,1.17118,2.96633"); + } + fall_transition(template_1174) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18562"); + } + } + } + pin("la_oenb_core[73]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[73]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1169) { + values("0.66150,0.66458,0.67664,0.72211,0.91751,1.81733,6.02140"); + } + rise_transition(template_1169) { + values("0.01785,0.02058,0.03306,0.09119,0.36966,1.66087,7.64985"); + } + cell_fall(template_1170) { + values("0.60917,0.61187,0.62156,0.65007,0.74098,1.12876,2.92650"); + } + fall_transition(template_1170) { + values("0.01754,0.01920,0.02582,0.05057,0.15985,0.69351,3.18731"); + } + } + } + pin("la_oenb_core[72]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[72]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1165) { + values("0.69216,0.69525,0.70732,0.75281,0.94822,1.84811,6.05148"); + } + rise_transition(template_1165) { + values("0.01792,0.02065,0.03311,0.09121,0.36964,1.66090,7.64982"); + } + cell_fall(template_1166) { + values("0.61690,0.61960,0.62928,0.65780,0.74871,1.13648,2.93413"); + } + fall_transition(template_1166) { + values("0.01754,0.01920,0.02582,0.05057,0.15985,0.69351,3.18726"); + } + } + } + pin("la_oenb_core[71]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[71]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1161) { + values("0.68248,0.68555,0.69755,0.74297,0.93834,1.83790,6.04428"); + } + rise_transition(template_1161) { + values("0.01763,0.02036,0.03288,0.09112,0.36971,1.66078,7.64993"); + } + cell_fall(template_1162) { + values("0.60948,0.61218,0.62187,0.65040,0.74130,1.12911,2.92739"); + } + fall_transition(template_1162) { + values("0.01753,0.01921,0.02582,0.05057,0.15987,0.69352,3.18766"); + } + } + } + pin("la_oenb_core[70]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[70]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1157) { + values("0.64001,0.64311,0.65520,0.70071,0.89614,1.79612,5.99865"); + } + rise_transition(template_1157) { + values("0.01800,0.02073,0.03318,0.09123,0.36963,1.66093,7.64979"); + } + cell_fall(template_1158) { + values("0.59412,0.59682,0.60650,0.63501,0.72593,1.11368,2.91114"); + } + fall_transition(template_1158) { + values("0.01755,0.01920,0.02582,0.05057,0.15985,0.69351,3.18712"); + } + } + } + pin("la_oenb_core[69]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[69]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1149) { + values("0.65208,0.65520,0.66733,0.71289,0.90835,1.80856,6.00895"); + } + rise_transition(template_1149) { + values("0.01821,0.02094,0.03335,0.09130,0.36958,1.66102,7.64972"); + } + cell_fall(template_1150) { + values("0.59531,0.59801,0.60768,0.63617,0.72711,1.11483,2.91178"); + } + fall_transition(template_1150) { + values("0.01756,0.01919,0.02581,0.05057,0.15984,0.69350,3.18679"); + } + } + } + pin("la_oenb_core[68]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[68]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1145) { + values("0.64325,0.64638,0.65856,0.70419,0.89968,1.80015,5.99816"); + } + rise_transition(template_1145) { + values("0.01845,0.02117,0.03353,0.09137,0.36953,1.66111,7.64963"); + } + cell_fall(template_1146) { + values("0.59805,0.60075,0.61042,0.63890,0.72985,1.11754,2.91410"); + } + fall_transition(template_1146) { + values("0.01757,0.01919,0.02581,0.05056,0.15983,0.69349,3.18654"); + } + } + } + pin("la_oenb_core[67]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[67]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1141) { + values("0.65036,0.65349,0.66567,0.71130,0.90679,1.80726,6.00530"); + } + rise_transition(template_1141) { + values("0.01844,0.02117,0.03353,0.09137,0.36953,1.66111,7.64964"); + } + cell_fall(template_1142) { + values("0.60364,0.60633,0.61600,0.64448,0.73543,1.12311,2.91954"); + } + fall_transition(template_1142) { + values("0.01757,0.01919,0.02581,0.05056,0.15982,0.69349,3.18646"); + } + } + } + pin("la_oenb_core[66]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[66]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1137) { + values("0.62464,0.62770,0.63968,0.68505,0.88040,1.77979,5.98775"); + } + rise_transition(template_1137) { + values("0.01747,0.02021,0.03276,0.09107,0.36974,1.66072,7.64998"); + } + cell_fall(template_1138) { + values("0.58741,0.59011,0.59980,0.62834,0.71923,1.10706,2.90559"); + } + fall_transition(template_1138) { + values("0.01752,0.01921,0.02582,0.05057,0.15987,0.69353,3.18783"); + } + } + } + pin("la_oenb_core[65]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[65]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1133) { + values("0.60903,0.61210,0.62413,0.66957,0.86495,1.76463,5.97002"); + } + rise_transition(template_1133) { + values("0.01772,0.02046,0.03296,0.09115,0.36969,1.66082,7.64989"); + } + cell_fall(template_1134) { + values("0.57697,0.57967,0.58935,0.61788,0.70878,1.09658,2.89460"); + } + fall_transition(template_1134) { + values("0.01753,0.01921,0.02582,0.05057,0.15986,0.69352,3.18750"); + } + } + } + pin("la_oenb_core[64]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[64]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1129) { + values("0.61361,0.61667,0.62867,0.67408,0.86944,1.76896,5.97575"); + } + rise_transition(template_1129) { + values("0.01759,0.02032,0.03285,0.09110,0.36972,1.66077,7.64994"); + } + cell_fall(template_1130) { + values("0.57696,0.57966,0.58935,0.61788,0.70877,1.09659,2.89490"); + } + fall_transition(template_1130) { + values("0.01753,0.01921,0.02582,0.05057,0.15987,0.69352,3.18769"); + } + } + } + pin("la_oenb_core[63]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[63]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1125) { + values("0.61746,0.62053,0.63254,0.67797,0.87334,1.77294,5.97903"); + } + rise_transition(template_1125) { + values("0.01765,0.02039,0.03290,0.09112,0.36970,1.66079,7.64992"); + } + cell_fall(template_1126) { + values("0.57880,0.58150,0.59119,0.61971,0.71061,1.09842,2.89658"); + } + fall_transition(template_1126) { + values("0.01753,0.01921,0.02582,0.05057,0.15986,0.69352,3.18759"); + } + } + } + pin("la_oenb_core[62]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[62]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1121) { + values("0.62446,0.62762,0.63989,0.68563,0.88118,1.78212,5.97580"); + } + rise_transition(template_1121) { + values("0.01887,0.02159,0.03386,0.09150,0.36944,1.66129,7.64948"); + } + cell_fall(template_1122) { + values("0.58264,0.58533,0.59499,0.62344,0.71442,1.10203,2.89751"); + } + fall_transition(template_1122) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18583"); + } + } + } + pin("la_oenb_core[61]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[61]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1117) { + values("0.74185,0.74505,0.75743,0.80330,0.99893,1.90045,6.08879"); + } + rise_transition(template_1117) { + values("0.01939,0.02211,0.03428,0.09166,0.36932,1.66150,7.64929"); + } + cell_fall(template_1118) { + values("0.72010,0.72279,0.73244,0.76088,0.85187,1.23945,3.03447"); + } + fall_transition(template_1118) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18553"); + } + } + } + pin("la_oenb_core[60]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[60]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1113) { + values("0.93337,0.93655,0.94888,0.99468,1.19028,2.09152,6.28240"); + } + rise_transition(template_1113) { + values("0.01914,0.02187,0.03408,0.09158,0.36938,1.66140,7.64938"); + } + cell_fall(template_1114) { + values("0.88228,0.88497,0.89463,0.92309,1.01406,1.40168,3.19729"); + } + fall_transition(template_1114) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18592"); + } + } + } + pin("la_oenb_core[59]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[59]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1105) { + values("0.74794,0.75112,0.76342,0.80919,1.00477,1.90588,6.09799"); + } + rise_transition(template_1105) { + values("0.01902,0.02175,0.03399,0.09154,0.36940,1.66135,7.64943"); + } + cell_fall(template_1106) { + values("0.72315,0.72584,0.73550,0.76395,0.85493,1.24253,3.03787"); + } + fall_transition(template_1106) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18574"); + } + } + } + pin("la_oenb_core[58]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[58]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1101) { + values("1.10117,1.10437,1.11673,1.16259,1.35821,2.25967,6.44859"); + } + rise_transition(template_1101) { + values("0.01934,0.02206,0.03423,0.09164,0.36933,1.66148,7.64931"); + } + cell_fall(template_1102) { + values("0.98384,0.98653,0.99619,1.02463,1.11562,1.50319,3.29824"); + } + fall_transition(template_1102) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18555"); + } + } + } + pin("la_oenb_core[57]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[57]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1097) { + values("0.58728,0.59061,0.60331,0.64959,0.84546,1.74873,5.92090"); + } + rise_transition(template_1097) { + values("0.02098,0.02368,0.03553,0.09214,0.36897,1.66214,7.64872"); + } + cell_fall(template_1098) { + values("0.50510,0.50780,0.51747,0.54597,0.63699,1.02460,2.82087"); + } + fall_transition(template_1098) { + values("0.01792,0.01949,0.02606,0.05072,0.15984,0.69336,3.18674"); + } + } + } + pin("la_oenb_core[56]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[56]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1093) { + values("0.97844,0.98167,0.99411,1.04006,1.23575,2.13762,6.32268"); + } + rise_transition(template_1093) { + values("0.01972,0.02243,0.03453,0.09176,0.36925,1.66163,7.64918"); + } + cell_fall(template_1094) { + values("0.91211,0.91480,0.92445,0.95289,1.04389,1.43145,3.22638"); + } + fall_transition(template_1094) { + values("0.01765,0.01921,0.02583,0.05057,0.15979,0.69345,3.18552"); + } + } + } + pin("la_oenb_core[55]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[55]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1089) { + values("0.82933,0.83254,0.84495,0.89086,1.08652,1.98820,6.17502"); + } + rise_transition(template_1089) { + values("0.01954,0.02226,0.03440,0.09170,0.36929,1.66156,7.64924"); + } + cell_fall(template_1090) { + values("0.72730,0.72999,0.73964,0.76807,0.85907,1.24662,3.04135"); + } + fall_transition(template_1090) { + values("0.01761,0.01917,0.02580,0.05055,0.15978,0.69346,3.18534"); + } + } + } + pin("la_oenb_core[54]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[54]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1085) { + values("0.56953,0.57275,0.58515,0.63105,0.82670,1.72835,5.91546"); + } + rise_transition(template_1085) { + values("0.01952,0.02223,0.03437,0.09170,0.36929,1.66155,7.64925"); + } + cell_fall(template_1086) { + values("0.50263,0.50533,0.51498,0.54343,0.63444,1.02201,2.81715"); + } + fall_transition(template_1086) { + values("0.01769,0.01925,0.02587,0.05060,0.15980,0.69343,3.18571"); + } + } + } + pin("la_oenb_core[53]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[53]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1081) { + values("0.97581,0.97903,0.99143,1.03734,1.23300,2.13467,6.32154"); + } + rise_transition(template_1081) { + values("0.01954,0.02226,0.03439,0.09170,0.36929,1.66156,7.64924"); + } + cell_fall(template_1082) { + values("0.92236,0.92505,0.93470,0.96314,1.05414,1.44170,3.23662"); + } + fall_transition(template_1082) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18546"); + } + } + } + pin("la_oenb_core[52]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[52]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1077) { + values("0.94740,0.95063,0.96305,1.00898,1.20465,2.10643,6.29237"); + } + rise_transition(template_1077) { + values("0.01963,0.02235,0.03446,0.09173,0.36927,1.66159,7.64921"); + } + cell_fall(template_1078) { + values("0.89237,0.89506,0.90471,0.93314,1.02414,1.41169,3.20643"); + } + fall_transition(template_1078) { + values("0.01761,0.01917,0.02580,0.05055,0.15978,0.69346,3.18535"); + } + } + } + pin("la_oenb_core[51]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[51]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1073) { + values("0.68208,0.68517,0.69724,0.74272,0.93813,1.83800,6.04158"); + } + rise_transition(template_1073) { + values("0.01790,0.02063,0.03310,0.09120,0.36965,1.66089,7.64983"); + } + cell_fall(template_1074) { + values("0.61578,0.61848,0.62816,0.65668,0.74759,1.13536,2.93298"); + } + fall_transition(template_1074) { + values("0.01754,0.01920,0.02582,0.05057,0.15985,0.69351,3.18723"); + } + } + } + pin("la_oenb_core[50]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[50]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1069) { + values("0.96813,0.97135,0.98378,1.02971,1.22537,2.12714,6.31317"); + } + rise_transition(template_1069) { + values("0.01962,0.02234,0.03446,0.09173,0.36927,1.66159,7.64921"); + } + cell_fall(template_1070) { + values("0.90250,0.90519,0.91484,0.94328,1.03427,1.42183,3.21668"); + } + fall_transition(template_1070) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18542"); + } + } + } + pin("la_oenb_core[49]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[49]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1061) { + values("0.96161,0.96483,0.97726,1.02319,1.21886,2.12064,6.30652"); + } + rise_transition(template_1061) { + values("0.01964,0.02235,0.03447,0.09173,0.36927,1.66160,7.64921"); + } + cell_fall(template_1062) { + values("0.89908,0.90177,0.91142,0.93985,1.03085,1.41840,3.21316"); + } + fall_transition(template_1062) { + values("0.01761,0.01917,0.02580,0.05056,0.15978,0.69346,3.18536"); + } + } + } + pin("la_oenb_core[48]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[48]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1057) { + values("0.71985,0.72303,0.73535,0.78116,0.97675,1.87798,6.06897"); + } + rise_transition(template_1057) { + values("0.01913,0.02186,0.03407,0.09158,0.36938,1.66139,7.64939"); + } + cell_fall(template_1058) { + values("0.63939,0.64208,0.65173,0.68017,0.77116,1.15873,2.95358"); + } + fall_transition(template_1058) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18542"); + } + } + } + pin("la_oenb_core[47]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[47]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1053) { + values("0.84186,0.84498,0.85713,0.90272,1.09819,1.99850,6.19803"); + } + rise_transition(template_1053) { + values("0.01830,0.02102,0.03341,0.09132,0.36956,1.66105,7.64969"); + } + cell_fall(template_1054) { + values("0.76572,0.76841,0.77809,0.80658,0.89751,1.28522,3.08204"); + } + fall_transition(template_1054) { + values("0.01756,0.01919,0.02581,0.05056,0.15983,0.69350,3.18671"); + } + } + } + pin("la_oenb_core[46]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[46]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1049) { + values("0.68655,0.68974,0.70207,0.74789,0.94349,1.84478,6.03523"); + } + rise_transition(template_1049) { + values("0.01919,0.02191,0.03411,0.09159,0.36937,1.66142,7.64937"); + } + cell_fall(template_1050) { + values("0.62138,0.62407,0.63372,0.66216,0.75316,1.14071,2.93546"); + } + fall_transition(template_1050) { + values("0.01761,0.01917,0.02580,0.05055,0.15978,0.69346,3.18536"); + } + } + } + pin("la_oenb_core[45]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[45]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1045) { + values("0.97447,0.97766,0.98999,1.03581,1.23142,2.13271,6.32308"); + } + rise_transition(template_1045) { + values("0.01919,0.02192,0.03412,0.09160,0.36936,1.66142,7.64936"); + } + cell_fall(template_1046) { + values("0.90726,0.90995,0.91961,0.94807,1.03904,1.42666,3.22229"); + } + fall_transition(template_1046) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18593"); + } + } + } + pin("la_oenb_core[44]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[44]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1041) { + values("0.67508,0.67826,0.69057,0.73635,0.93194,1.83308,6.02484"); + } + rise_transition(template_1041) { + values("0.01906,0.02178,0.03401,0.09156,0.36939,1.66136,7.64941"); + } + cell_fall(template_1042) { + values("0.65490,0.65760,0.66725,0.69570,0.78668,1.17427,2.96945"); + } + fall_transition(template_1042) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18564"); + } + } + } + pin("la_oenb_core[43]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[43]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1037) { + values("0.71979,0.72302,0.73545,0.78139,0.97707,1.87890,6.06437"); + } + rise_transition(template_1037) { + values("0.01967,0.02239,0.03450,0.09174,0.36926,1.66161,7.64919"); + } + cell_fall(template_1038) { + values("0.65670,0.65939,0.66904,0.69749,0.78849,1.17606,2.97108"); + } + fall_transition(template_1038) { + values("0.01767,0.01923,0.02585,0.05058,0.15979,0.69344,3.18561"); + } + } + } + pin("la_oenb_core[42]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[42]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1033) { + values("0.95674,0.95997,0.97243,1.01841,1.21411,2.11608,6.30019"); + } + rise_transition(template_1033) { + values("0.01981,0.02252,0.03461,0.09179,0.36923,1.66167,7.64914"); + } + cell_fall(template_1034) { + values("0.89497,0.89767,0.90732,0.93576,1.02675,1.41431,3.20916"); + } + fall_transition(template_1034) { + values("0.01763,0.01919,0.02582,0.05057,0.15979,0.69345,3.18545"); + } + } + } + pin("la_oenb_core[41]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[41]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1029) { + values("0.81087,0.81407,0.82645,0.87233,1.06797,1.96951,6.15763"); + } + rise_transition(template_1029) { + values("0.01942,0.02213,0.03430,0.09166,0.36932,1.66151,7.64929"); + } + cell_fall(template_1030) { + values("0.72417,0.72686,0.73651,0.76496,0.85594,1.24353,3.03871"); + } + fall_transition(template_1030) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18563"); + } + } + } + pin("la_oenb_core[40]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[40]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1025) { + values("0.66738,0.67054,0.68281,0.72855,0.92411,1.82507,6.01857"); + } + rise_transition(template_1025) { + values("0.01889,0.02161,0.03388,0.09150,0.36943,1.66129,7.64948"); + } + cell_fall(template_1026) { + values("0.60933,0.61202,0.62168,0.65013,0.74111,1.12872,2.92414"); + } + fall_transition(template_1026) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18579"); + } + } + } + pin("la_oenb_core[39]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[39]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1017) { + values("0.68153,0.68474,0.69713,0.74302,0.93867,1.84027,6.02781"); + } + rise_transition(template_1017) { + values("0.01947,0.02219,0.03434,0.09168,0.36930,1.66153,7.64927"); + } + cell_fall(template_1018) { + values("0.63208,0.63477,0.64442,0.67287,0.76385,1.15143,2.94649"); + } + fall_transition(template_1018) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18556"); + } + } + } + pin("la_oenb_core[38]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[38]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1013) { + values("0.90796,0.91119,0.92364,0.96960,1.16528,2.06718,6.25197"); + } + rise_transition(template_1013) { + values("0.01974,0.02246,0.03455,0.09177,0.36924,1.66164,7.64917"); + } + cell_fall(template_1014) { + values("0.83980,0.84249,0.85214,0.88058,0.97158,1.35914,3.15397"); + } + fall_transition(template_1014) { + values("0.01763,0.01919,0.02582,0.05056,0.15979,0.69345,3.18544"); + } + } + } + pin("la_oenb_core[37]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[37]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1009) { + values("0.72839,0.73159,0.74393,0.78976,0.98537,1.88673,6.07660"); + } + rise_transition(template_1009) { + values("0.01924,0.02196,0.03416,0.09161,0.36935,1.66144,7.64935"); + } + cell_fall(template_1010) { + values("0.65039,0.65308,0.66273,0.69117,0.78217,1.16972,2.96448"); + } + fall_transition(template_1010) { + values("0.01761,0.01917,0.02580,0.05056,0.15978,0.69346,3.18537"); + } + } + } + pin("la_oenb_core[36]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[36]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1005) { + values("0.65605,0.65917,0.67132,0.71691,0.91238,1.81268,6.01224"); + } + rise_transition(template_1005) { + values("0.01829,0.02102,0.03341,0.09132,0.36956,1.66105,7.64969"); + } + cell_fall(template_1006) { + values("0.60636,0.60906,0.61873,0.64722,0.73816,1.12587,2.92275"); + } + fall_transition(template_1006) { + values("0.01756,0.01919,0.02581,0.05056,0.15983,0.69350,3.18675"); + } + } + } + pin("la_oenb_core[35]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[35]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1001) { + values("0.62598,0.62915,0.64144,0.68720,0.88277,1.78381,5.97653"); + } + rise_transition(template_1001) { + values("0.01896,0.02169,0.03394,0.09153,0.36941,1.66132,7.64945"); + } + cell_fall(template_1002) { + values("0.58789,0.59058,0.60024,0.62869,0.71967,1.10727,2.90268"); + } + fall_transition(template_1002) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18579"); + } + } + } + pin("la_oenb_core[34]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[34]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_997) { + values("0.94258,0.94579,0.95816,1.00403,1.19966,2.10116,6.28964"); + } + rise_transition(template_997) { + values("0.01938,0.02210,0.03427,0.09165,0.36932,1.66149,7.64930"); + } + cell_fall(template_998) { + values("0.85701,0.85970,0.86936,0.89780,0.98879,1.37637,3.17146"); + } + fall_transition(template_998) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18558"); + } + } + } + pin("la_oenb_core[33]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[33]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_993) { + values("0.74749,0.75069,0.76304,0.80889,1.00452,1.90594,6.09513"); + } + rise_transition(template_993) { + values("0.01931,0.02203,0.03421,0.09163,0.36934,1.66147,7.64932"); + } + cell_fall(template_994) { + values("0.68627,0.68896,0.69862,0.72706,0.81805,1.20564,3.00088"); + } + fall_transition(template_994) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18568"); + } + } + } + pin("la_oenb_core[32]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[32]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_989) { + values("0.59568,0.59875,0.61077,0.65621,0.85158,1.75122,5.95696"); + } + rise_transition(template_989) { + values("0.01769,0.02042,0.03293,0.09113,0.36970,1.66081,7.64991"); + } + cell_fall(template_990) { + values("0.56423,0.56693,0.57662,0.60514,0.69604,1.08385,2.88197"); + } + fall_transition(template_990) { + values("0.01753,0.01921,0.02582,0.05057,0.15986,0.69352,3.18756"); + } + } + } + pin("la_oenb_core[31]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[31]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_985) { + values("0.74595,0.74912,0.76143,0.80721,1.00279,1.90394,6.09575"); + } + rise_transition(template_985) { + values("0.01905,0.02178,0.03401,0.09155,0.36940,1.66136,7.64942"); + } + cell_fall(template_986) { + values("0.66136,0.66405,0.67371,0.70215,0.79314,1.18071,2.97569"); + } + fall_transition(template_986) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18551"); + } + } + } + pin("la_oenb_core[30]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[30]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_981) { + values("0.60053,0.60364,0.61576,0.66131,0.85676,1.75690,5.95793"); + } + rise_transition(template_981) { + values("0.01815,0.02088,0.03330,0.09128,0.36959,1.66099,7.64974"); + } + cell_fall(template_982) { + values("0.61715,0.61985,0.62951,0.65798,0.74894,1.13660,2.93276"); + } + fall_transition(template_982) { + values("0.01757,0.01918,0.02581,0.05056,0.15982,0.69348,3.18628"); + } + } + } + pin("la_oenb_core[29]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[29]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_973) { + values("0.60706,0.61016,0.62224,0.66775,0.86317,1.76313,5.96588"); + } + rise_transition(template_973) { + values("0.01798,0.02071,0.03316,0.09122,0.36963,1.66093,7.64980"); + } + cell_fall(template_974) { + values("0.57511,0.57781,0.58749,0.61599,0.70691,1.09467,2.89215"); + } + fall_transition(template_974) { + values("0.01755,0.01920,0.02582,0.05057,0.15985,0.69351,3.18714"); + } + } + } + pin("la_oenb_core[28]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[28]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_969) { + values("0.80393,0.80710,0.81938,0.86514,1.06071,1.96175,6.15453"); + } + rise_transition(template_969) { + values("0.01896,0.02168,0.03393,0.09152,0.36942,1.66132,7.64945"); + } + cell_fall(template_970) { + values("0.71531,0.71800,0.72766,0.75610,0.84709,1.23468,3.02999"); + } + fall_transition(template_970) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18572"); + } + } + } + pin("la_oenb_core[27]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[27]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_965) { + values("0.64526,0.64845,0.66079,0.70663,0.90224,1.80359,5.99348"); + } + rise_transition(template_965) { + values("0.01924,0.02196,0.03416,0.09161,0.36935,1.66144,7.64935"); + } + cell_fall(template_966) { + values("0.60013,0.60282,0.61247,0.64090,0.73190,1.11946,2.91423"); + } + fall_transition(template_966) { + values("0.01762,0.01918,0.02581,0.05056,0.15979,0.69346,3.18538"); + } + } + } + pin("la_oenb_core[26]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[26]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_961) { + values("0.78518,0.78836,0.80066,0.84644,1.04203,1.94315,6.13510"); + } + rise_transition(template_961) { + values("0.01904,0.02176,0.03400,0.09155,0.36940,1.66136,7.64942"); + } + cell_fall(template_962) { + values("0.70353,0.70623,0.71588,0.74432,0.83531,1.22289,3.01803"); + } + fall_transition(template_962) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18561"); + } + } + } + pin("la_oenb_core[25]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[25]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_957) { + values("0.74561,0.74881,0.76117,0.80703,1.00266,1.90411,6.09303"); + } + rise_transition(template_957) { + values("0.01934,0.02206,0.03423,0.09164,0.36933,1.66148,7.64931"); + } + cell_fall(template_958) { + values("0.70453,0.70722,0.71688,0.74533,0.83631,1.22390,3.01917"); + } + fall_transition(template_958) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18569"); + } + } + } + pin("la_oenb_core[24]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[24]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_953) { + values("0.70743,0.71064,0.72302,0.76889,0.96453,1.86607,6.05419"); + } + rise_transition(template_953) { + values("0.01942,0.02213,0.03430,0.09167,0.36932,1.66151,7.64929"); + } + cell_fall(template_954) { + values("0.69658,0.69927,0.70893,0.73737,0.82836,1.21594,3.01111"); + } + fall_transition(template_954) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18563"); + } + } + } + pin("la_oenb_core[23]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[23]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_949) { + values("0.76767,0.77089,0.78330,0.82921,1.02486,1.92655,6.11333"); + } + rise_transition(template_949) { + values("0.01955,0.02226,0.03440,0.09171,0.36929,1.66156,7.64924"); + } + cell_fall(template_950) { + values("0.69659,0.69929,0.70894,0.73738,0.82837,1.21594,3.01092"); + } + fall_transition(template_950) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18551"); + } + } + } + pin("la_oenb_core[22]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[22]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_945) { + values("0.68621,0.68941,0.70175,0.74759,0.94320,1.84457,6.03429"); + } + rise_transition(template_945) { + values("0.01926,0.02198,0.03417,0.09162,0.36935,1.66144,7.64934"); + } + cell_fall(template_946) { + values("0.64063,0.64333,0.65298,0.68143,0.77241,1.16002,2.95540"); + } + fall_transition(template_946) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18577"); + } + } + } + pin("la_oenb_core[21]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[21]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_941) { + values("0.71158,0.71479,0.72719,0.77309,0.96874,1.87038,6.05757"); + } + rise_transition(template_941) { + values("0.01951,0.02222,0.03437,0.09169,0.36930,1.66155,7.64925"); + } + cell_fall(template_942) { + values("0.65585,0.65854,0.66819,0.69663,0.78762,1.17520,2.97018"); + } + fall_transition(template_942) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18551"); + } + } + } + pin("la_oenb_core[20]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[20]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_937) { + values("0.77025,0.77346,0.78583,0.83171,1.02734,1.92887,6.11710"); + } + rise_transition(template_937) { + values("0.01941,0.02212,0.03429,0.09166,0.36932,1.66150,7.64929"); + } + cell_fall(template_938) { + values("0.69306,0.69575,0.70541,0.73385,0.82484,1.21241,3.00737"); + } + fall_transition(template_938) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18550"); + } + } + } + pin("la_oenb_core[19]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[19]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_929) { + values("0.71498,0.71818,0.73056,0.77643,0.97207,1.87358,6.06191"); + } + rise_transition(template_929) { + values("0.01940,0.02211,0.03428,0.09166,0.36932,1.66150,7.64929"); + } + cell_fall(template_930) { + values("0.66123,0.66392,0.67357,0.70201,0.79300,1.18057,2.97549"); + } + fall_transition(template_930) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18547"); + } + } + } + pin("la_oenb_core[18]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[18]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_925) { + values("0.73701,0.74022,0.75261,0.79850,0.99414,1.89574,6.08331"); + } + rise_transition(template_925) { + values("0.01947,0.02219,0.03434,0.09168,0.36930,1.66153,7.64927"); + } + cell_fall(template_926) { + values("0.72522,0.72791,0.73756,0.76599,0.85699,1.24454,3.03927"); + } + fall_transition(template_926) { + values("0.01761,0.01917,0.02580,0.05055,0.15978,0.69346,3.18534"); + } + } + } + pin("la_oenb_core[17]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[17]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_921) { + values("0.69154,0.69475,0.70713,0.75300,0.94864,1.85018,6.03833"); + } + rise_transition(template_921) { + values("0.01941,0.02213,0.03429,0.09166,0.36932,1.66151,7.64929"); + } + cell_fall(template_922) { + values("0.64262,0.64531,0.65497,0.68341,0.77440,1.16198,2.95712"); + } + fall_transition(template_922) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18561"); + } + } + } + pin("la_oenb_core[16]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[16]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_917) { + values("0.73760,0.74083,0.75328,0.79924,0.99493,1.89684,6.08152"); + } + rise_transition(template_917) { + values("0.01975,0.02247,0.03456,0.09177,0.36924,1.66165,7.64917"); + } + cell_fall(template_918) { + values("0.67151,0.67420,0.68385,0.71228,0.80328,1.19084,2.98564"); + } + fall_transition(template_918) { + values("0.01762,0.01918,0.02581,0.05056,0.15979,0.69345,3.18541"); + } + } + } + pin("la_oenb_core[15]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[15]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_913) { + values("0.69160,0.69481,0.70717,0.75304,0.94867,1.85016,6.03877"); + } + rise_transition(template_913) { + values("0.01937,0.02209,0.03426,0.09165,0.36933,1.66149,7.64930"); + } + cell_fall(template_914) { + values("0.64412,0.64681,0.65646,0.68490,0.77589,1.16346,2.95844"); + } + fall_transition(template_914) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18551"); + } + } + } + pin("la_oenb_core[14]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[14]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_909) { + values("0.77824,0.78144,0.79382,0.83968,1.03531,1.93681,6.12532"); + } + rise_transition(template_909) { + values("0.01938,0.02210,0.03427,0.09165,0.36932,1.66149,7.64930"); + } + cell_fall(template_910) { + values("0.69899,0.70169,0.71134,0.73978,0.83077,1.21835,3.01345"); + } + fall_transition(template_910) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18559"); + } + } + } + pin("la_oenb_core[13]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[13]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_905) { + values("0.76720,0.77042,0.78283,0.82874,1.02440,1.92610,6.11275"); + } + rise_transition(template_905) { + values("0.01956,0.02228,0.03441,0.09171,0.36928,1.66157,7.64923"); + } + cell_fall(template_906) { + values("0.69153,0.69422,0.70387,0.73230,0.82330,1.21086,3.00564"); + } + fall_transition(template_906) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18538"); + } + } + } + pin("la_oenb_core[12]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[12]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_901) { + values("0.69583,0.69904,0.71142,0.75731,0.95295,1.85452,6.04232"); + } + rise_transition(template_901) { + values("0.01945,0.02217,0.03432,0.09167,0.36931,1.66152,7.64928"); + } + cell_fall(template_902) { + values("0.64781,0.65050,0.66015,0.68859,0.77958,1.16715,2.96209"); + } + fall_transition(template_902) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18548"); + } + } + } + pin("la_oenb_core[11]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[11]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_865) { + values("0.78835,0.79157,0.80397,0.84988,1.04553,1.94720,6.13414"); + } + rise_transition(template_865) { + values("0.01953,0.02225,0.03439,0.09170,0.36929,1.66155,7.64924"); + } + cell_fall(template_866) { + values("0.70619,0.70888,0.71853,0.74696,0.83796,1.22552,3.02027"); + } + fall_transition(template_866) { + values("0.01761,0.01917,0.02580,0.05056,0.15978,0.69346,3.18536"); + } + } + } + pin("la_oenb_core[10]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[10]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_821) { + values("0.85925,0.86246,0.87486,0.92077,1.11642,2.01807,6.20515"); + } + rise_transition(template_821) { + values("0.01952,0.02224,0.03438,0.09170,0.36929,1.66155,7.64925"); + } + cell_fall(template_822) { + values("0.84505,0.84775,0.85740,0.88584,0.97683,1.36441,3.15949"); + } + fall_transition(template_822) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18557"); + } + } + } + pin("la_oenb_core[9]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[9]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1285) { + values("0.69418,0.69737,0.70970,0.75551,0.95111,1.85239,6.04298"); + } + rise_transition(template_1285) { + values("0.01917,0.02189,0.03410,0.09159,0.36937,1.66141,7.64937"); + } + cell_fall(template_1286) { + values("0.64563,0.64832,0.65798,0.68643,0.77741,1.16502,2.96052"); + } + fall_transition(template_1286) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18585"); + } + } + } + pin("la_oenb_core[8]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[8]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1241) { + values("0.72597,0.72917,0.74152,0.78737,0.98298,1.88439,6.07379"); + } + rise_transition(template_1241) { + values("0.01929,0.02201,0.03420,0.09163,0.36934,1.66146,7.64933"); + } + cell_fall(template_1242) { + values("0.70974,0.71243,0.72209,0.75053,0.84152,1.22911,3.02441"); + } + fall_transition(template_1242) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18571"); + } + } + } + pin("la_oenb_core[7]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[7]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1197) { + values("0.67539,0.67858,0.69093,0.73677,0.93238,1.83374,6.02350"); + } + rise_transition(template_1197) { + values("0.01925,0.02197,0.03417,0.09162,0.36935,1.66144,7.64934"); + } + cell_fall(template_1198) { + values("0.63430,0.63699,0.64665,0.67510,0.76608,1.15368,2.94899"); + } + fall_transition(template_1198) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18572"); + } + } + } + pin("la_oenb_core[6]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[6]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1153) { + values("0.66668,0.66988,0.68224,0.72809,0.92372,1.82515,6.01425"); + } + rise_transition(template_1153) { + values("0.01932,0.02204,0.03422,0.09164,0.36934,1.66147,7.64932"); + } + cell_fall(template_1154) { + values("0.62469,0.62739,0.63704,0.66549,0.75647,1.14406,2.93927"); + } + fall_transition(template_1154) { + values("0.01759,0.01917,0.02580,0.05055,0.15980,0.69347,3.18566"); + } + } + } + pin("la_oenb_core[5]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[5]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1109) { + values("0.67311,0.67630,0.68865,0.73448,0.93009,1.83144,6.02134"); + } + rise_transition(template_1109) { + values("0.01924,0.02196,0.03416,0.09161,0.36935,1.66144,7.64935"); + } + cell_fall(template_1110) { + values("0.63153,0.63422,0.64387,0.67232,0.76330,1.15090,2.94615"); + } + fall_transition(template_1110) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18568"); + } + } + } + pin("la_oenb_core[4]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[4]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1065) { + values("0.66645,0.66966,0.68203,0.72791,0.92355,1.82507,6.01330"); + } + rise_transition(template_1065) { + values("0.01941,0.02212,0.03429,0.09166,0.36932,1.66150,7.64929"); + } + cell_fall(template_1066) { + values("0.62710,0.62980,0.63945,0.66790,0.75888,1.14647,2.94163"); + } + fall_transition(template_1066) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18563"); + } + } + } + pin("la_oenb_core[3]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[3]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1021) { + values("0.69054,0.69375,0.70612,0.75200,0.94763,1.84915,6.03744"); + } + rise_transition(template_1021) { + values("0.01940,0.02212,0.03428,0.09166,0.36932,1.66150,7.64929"); + } + cell_fall(template_1022) { + values("0.64237,0.64506,0.65471,0.68315,0.77414,1.16172,2.95674"); + } + fall_transition(template_1022) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18553"); + } + } + } + pin("la_oenb_core[2]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[2]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_977) { + values("0.71239,0.71558,0.72791,0.77374,0.96935,1.87066,6.06086"); + } + rise_transition(template_977) { + values("0.01921,0.02193,0.03413,0.09160,0.36936,1.66143,7.64936"); + } + cell_fall(template_978) { + values("0.65916,0.66185,0.67150,0.69995,0.79093,1.17853,2.97388"); + } + fall_transition(template_978) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18575"); + } + } + } + pin("la_oenb_core[1]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[1]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_933) { + values("0.67213,0.67532,0.68766,0.73349,0.92910,1.83044,6.02044"); + } + rise_transition(template_933) { + values("0.01923,0.02195,0.03415,0.09161,0.36936,1.66143,7.64935"); + } + cell_fall(template_934) { + values("0.63411,0.63680,0.64646,0.67491,0.76589,1.15349,2.94890"); + } + fall_transition(template_934) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18579"); + } + } + } + pin("la_oenb_core[0]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "la_oenb_mprj[0]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_777) { + values("0.68518,0.68836,0.70068,0.74647,0.94207,1.84328,6.03447"); + } + rise_transition(template_777) { + values("0.01911,0.02184,0.03406,0.09157,0.36938,1.66139,7.64939"); + } + cell_fall(template_778) { + values("0.64112,0.64381,0.65347,0.68192,0.77290,1.16051,2.95604"); + } + fall_transition(template_778) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18586"); + } + } + } + } + bus("la_oenb_mprj") { + bus_type : la_oenb_mprj; + direction : input; + capacitance : 0.0000; + pin("la_oenb_mprj[127]") { + direction : input; + capacitance : 0.0092; + } + pin("la_oenb_mprj[126]") { + direction : input; + capacitance : 0.0118; + } + pin("la_oenb_mprj[125]") { + direction : input; + capacitance : 0.0102; + } + pin("la_oenb_mprj[124]") { + direction : input; + capacitance : 0.0080; + } + pin("la_oenb_mprj[123]") { + direction : input; + capacitance : 0.0085; + } + pin("la_oenb_mprj[122]") { + direction : input; + capacitance : 0.0100; + } + pin("la_oenb_mprj[121]") { + direction : input; + capacitance : 0.0073; + } + pin("la_oenb_mprj[120]") { + direction : input; + capacitance : 0.0080; + } + pin("la_oenb_mprj[119]") { + direction : input; + capacitance : 0.0079; + } + pin("la_oenb_mprj[118]") { + direction : input; + capacitance : 0.0097; + } + pin("la_oenb_mprj[117]") { + direction : input; + capacitance : 0.0079; + } + pin("la_oenb_mprj[116]") { + direction : input; + capacitance : 0.0077; + } + pin("la_oenb_mprj[115]") { + direction : input; + capacitance : 0.0083; + } + pin("la_oenb_mprj[114]") { + direction : input; + capacitance : 0.0078; + } + pin("la_oenb_mprj[113]") { + direction : input; + capacitance : 0.0087; + } + pin("la_oenb_mprj[112]") { + direction : input; + capacitance : 0.0084; + } + pin("la_oenb_mprj[111]") { + direction : input; + capacitance : 0.0077; + } + pin("la_oenb_mprj[110]") { + direction : input; + capacitance : 0.0077; + } + pin("la_oenb_mprj[109]") { + direction : input; + capacitance : 0.0072; + } + pin("la_oenb_mprj[108]") { + direction : input; + capacitance : 0.0077; + } + pin("la_oenb_mprj[107]") { + direction : input; + capacitance : 0.0078; + } + pin("la_oenb_mprj[106]") { + direction : input; + capacitance : 0.0090; + } + pin("la_oenb_mprj[105]") { + direction : input; + capacitance : 0.0079; + } + pin("la_oenb_mprj[104]") { + direction : input; + capacitance : 0.0089; + } + pin("la_oenb_mprj[103]") { + direction : input; + capacitance : 0.0124; + } + pin("la_oenb_mprj[102]") { + direction : input; + capacitance : 0.0114; + } + pin("la_oenb_mprj[101]") { + direction : input; + capacitance : 0.0087; + } + pin("la_oenb_mprj[100]") { + direction : input; + capacitance : 0.0099; + } + pin("la_oenb_mprj[99]") { + direction : input; + capacitance : 0.0081; + } + pin("la_oenb_mprj[98]") { + direction : input; + capacitance : 0.0086; + } + pin("la_oenb_mprj[97]") { + direction : input; + capacitance : 0.0053; + } + pin("la_oenb_mprj[96]") { + direction : input; + capacitance : 0.0086; + } + pin("la_oenb_mprj[95]") { + direction : input; + capacitance : 0.0101; + } + pin("la_oenb_mprj[94]") { + direction : input; + capacitance : 0.0078; + } + pin("la_oenb_mprj[93]") { + direction : input; + capacitance : 0.0102; + } + pin("la_oenb_mprj[92]") { + direction : input; + capacitance : 0.0080; + } + pin("la_oenb_mprj[91]") { + direction : input; + capacitance : 0.0078; + } + pin("la_oenb_mprj[90]") { + direction : input; + capacitance : 0.0053; + } + pin("la_oenb_mprj[89]") { + direction : input; + capacitance : 0.0053; + } + pin("la_oenb_mprj[88]") { + direction : input; + capacitance : 0.0079; + } + pin("la_oenb_mprj[87]") { + direction : input; + capacitance : 0.0079; + } + pin("la_oenb_mprj[86]") { + direction : input; + capacitance : 0.0085; + } + pin("la_oenb_mprj[85]") { + direction : input; + capacitance : 0.0080; + } + pin("la_oenb_mprj[84]") { + direction : input; + capacitance : 0.0080; + } + pin("la_oenb_mprj[83]") { + direction : input; + capacitance : 0.0078; + } + pin("la_oenb_mprj[82]") { + direction : input; + capacitance : 0.0080; + } + pin("la_oenb_mprj[81]") { + direction : input; + capacitance : 0.0078; + } + pin("la_oenb_mprj[80]") { + direction : input; + capacitance : 0.0079; + } + pin("la_oenb_mprj[79]") { + direction : input; + capacitance : 0.0078; + } + pin("la_oenb_mprj[78]") { + direction : input; + capacitance : 0.0078; + } + pin("la_oenb_mprj[77]") { + direction : input; + capacitance : 0.0073; + } + pin("la_oenb_mprj[76]") { + direction : input; + capacitance : 0.0079; + } + pin("la_oenb_mprj[75]") { + direction : input; + capacitance : 0.0072; + } + pin("la_oenb_mprj[74]") { + direction : input; + capacitance : 0.0080; + } + pin("la_oenb_mprj[73]") { + direction : input; + capacitance : 0.0074; + } + pin("la_oenb_mprj[72]") { + direction : input; + capacitance : 0.0081; + } + pin("la_oenb_mprj[71]") { + direction : input; + capacitance : 0.0072; + } + pin("la_oenb_mprj[70]") { + direction : input; + capacitance : 0.0075; + } + pin("la_oenb_mprj[69]") { + direction : input; + capacitance : 0.0073; + } + pin("la_oenb_mprj[68]") { + direction : input; + capacitance : 0.0077; + } + pin("la_oenb_mprj[67]") { + direction : input; + capacitance : 0.0083; + } + pin("la_oenb_mprj[66]") { + direction : input; + capacitance : 0.0071; + } + pin("la_oenb_mprj[65]") { + direction : input; + capacitance : 0.0070; + } + pin("la_oenb_mprj[64]") { + direction : input; + capacitance : 0.0073; + } + pin("la_oenb_mprj[63]") { + direction : input; + capacitance : 0.0072; + } + pin("la_oenb_mprj[62]") { + direction : input; + capacitance : 0.0072; + } + pin("la_oenb_mprj[61]") { + direction : input; + capacitance : 0.0044; + } + pin("la_oenb_mprj[60]") { + direction : input; + capacitance : 0.0049; + } + pin("la_oenb_mprj[59]") { + direction : input; + capacitance : 0.0044; + } + pin("la_oenb_mprj[58]") { + direction : input; + capacitance : 0.0069; + } + pin("la_oenb_mprj[57]") { + direction : input; + capacitance : 0.0070; + } + pin("la_oenb_mprj[56]") { + direction : input; + capacitance : 0.0050; + } + pin("la_oenb_mprj[55]") { + direction : input; + capacitance : 0.0045; + } + pin("la_oenb_mprj[54]") { + direction : input; + capacitance : 0.0092; + } + pin("la_oenb_mprj[53]") { + direction : input; + capacitance : 0.0044; + } + pin("la_oenb_mprj[52]") { + direction : input; + capacitance : 0.0051; + } + pin("la_oenb_mprj[51]") { + direction : input; + capacitance : 0.0071; + } + pin("la_oenb_mprj[50]") { + direction : input; + capacitance : 0.0050; + } + pin("la_oenb_mprj[49]") { + direction : input; + capacitance : 0.0047; + } + pin("la_oenb_mprj[48]") { + direction : input; + capacitance : 0.0071; + } + pin("la_oenb_mprj[47]") { + direction : input; + capacitance : 0.0075; + } + pin("la_oenb_mprj[46]") { + direction : input; + capacitance : 0.0071; + } + pin("la_oenb_mprj[45]") { + direction : input; + capacitance : 0.0046; + } + pin("la_oenb_mprj[44]") { + direction : input; + capacitance : 0.0070; + } + pin("la_oenb_mprj[43]") { + direction : input; + capacitance : 0.0073; + } + pin("la_oenb_mprj[42]") { + direction : input; + capacitance : 0.0050; + } + pin("la_oenb_mprj[41]") { + direction : input; + capacitance : 0.0046; + } + pin("la_oenb_mprj[40]") { + direction : input; + capacitance : 0.0071; + } + pin("la_oenb_mprj[39]") { + direction : input; + capacitance : 0.0047; + } + pin("la_oenb_mprj[38]") { + direction : input; + capacitance : 0.0051; + } + pin("la_oenb_mprj[37]") { + direction : input; + capacitance : 0.0072; + } + pin("la_oenb_mprj[36]") { + direction : input; + capacitance : 0.0073; + } + pin("la_oenb_mprj[35]") { + direction : input; + capacitance : 0.0075; + } + pin("la_oenb_mprj[34]") { + direction : input; + capacitance : 0.0047; + } + pin("la_oenb_mprj[33]") { + direction : input; + capacitance : 0.0045; + } + pin("la_oenb_mprj[32]") { + direction : input; + capacitance : 0.0076; + } + pin("la_oenb_mprj[31]") { + direction : input; + capacitance : 0.0072; + } + pin("la_oenb_mprj[30]") { + direction : input; + capacitance : 0.0073; + } + pin("la_oenb_mprj[29]") { + direction : input; + capacitance : 0.0076; + } + pin("la_oenb_mprj[28]") { + direction : input; + capacitance : 0.0044; + } + pin("la_oenb_mprj[27]") { + direction : input; + capacitance : 0.0071; + } + pin("la_oenb_mprj[26]") { + direction : input; + capacitance : 0.0047; + } + pin("la_oenb_mprj[25]") { + direction : input; + capacitance : 0.0050; + } + pin("la_oenb_mprj[24]") { + direction : input; + capacitance : 0.0062; + } + pin("la_oenb_mprj[23]") { + direction : input; + capacitance : 0.0043; + } + pin("la_oenb_mprj[22]") { + direction : input; + capacitance : 0.0044; + } + pin("la_oenb_mprj[21]") { + direction : input; + capacitance : 0.0045; + } + pin("la_oenb_mprj[20]") { + direction : input; + capacitance : 0.0053; + } + pin("la_oenb_mprj[19]") { + direction : input; + capacitance : 0.0044; + } + pin("la_oenb_mprj[18]") { + direction : input; + capacitance : 0.0045; + } + pin("la_oenb_mprj[17]") { + direction : input; + capacitance : 0.0044; + } + pin("la_oenb_mprj[16]") { + direction : input; + capacitance : 0.0055; + } + pin("la_oenb_mprj[15]") { + direction : input; + capacitance : 0.0044; + } + pin("la_oenb_mprj[14]") { + direction : input; + capacitance : 0.0048; + } + pin("la_oenb_mprj[13]") { + direction : input; + capacitance : 0.0045; + } + pin("la_oenb_mprj[12]") { + direction : input; + capacitance : 0.0047; + } + pin("la_oenb_mprj[11]") { + direction : input; + capacitance : 0.0044; + } + pin("la_oenb_mprj[10]") { + direction : input; + capacitance : 0.0048; + } + pin("la_oenb_mprj[9]") { + direction : input; + capacitance : 0.0045; + } + pin("la_oenb_mprj[8]") { + direction : input; + capacitance : 0.0047; + } + pin("la_oenb_mprj[7]") { + direction : input; + capacitance : 0.0043; + } + pin("la_oenb_mprj[6]") { + direction : input; + capacitance : 0.0044; + } + pin("la_oenb_mprj[5]") { + direction : input; + capacitance : 0.0044; + } + pin("la_oenb_mprj[4]") { + direction : input; + capacitance : 0.0044; + } + pin("la_oenb_mprj[3]") { + direction : input; + capacitance : 0.0043; + } + pin("la_oenb_mprj[2]") { + direction : input; + capacitance : 0.0049; + } + pin("la_oenb_mprj[1]") { + direction : input; + capacitance : 0.0044; + } + pin("la_oenb_mprj[0]") { + direction : input; + capacitance : 0.0043; + } + } + bus("mprj_adr_o_core") { + bus_type : mprj_adr_o_core; + direction : input; + capacitance : 0.0000; + pin("mprj_adr_o_core[31]") { + direction : input; + capacitance : 0.0071; + } + pin("mprj_adr_o_core[30]") { + direction : input; + capacitance : 0.0072; + } + pin("mprj_adr_o_core[29]") { + direction : input; + capacitance : 0.0071; + } + pin("mprj_adr_o_core[28]") { + direction : input; + capacitance : 0.0074; + } + pin("mprj_adr_o_core[27]") { + direction : input; + capacitance : 0.0073; + } + pin("mprj_adr_o_core[26]") { + direction : input; + capacitance : 0.0077; + } + pin("mprj_adr_o_core[25]") { + direction : input; + capacitance : 0.0071; + } + pin("mprj_adr_o_core[24]") { + direction : input; + capacitance : 0.0071; + } + pin("mprj_adr_o_core[23]") { + direction : input; + capacitance : 0.0073; + } + pin("mprj_adr_o_core[22]") { + direction : input; + capacitance : 0.0072; + } + pin("mprj_adr_o_core[21]") { + direction : input; + capacitance : 0.0075; + } + pin("mprj_adr_o_core[20]") { + direction : input; + capacitance : 0.0080; + } + pin("mprj_adr_o_core[19]") { + direction : input; + capacitance : 0.0072; + } + pin("mprj_adr_o_core[18]") { + direction : input; + capacitance : 0.0078; + } + pin("mprj_adr_o_core[17]") { + direction : input; + capacitance : 0.0073; + } + pin("mprj_adr_o_core[16]") { + direction : input; + capacitance : 0.0072; + } + pin("mprj_adr_o_core[15]") { + direction : input; + capacitance : 0.0079; + } + pin("mprj_adr_o_core[14]") { + direction : input; + capacitance : 0.0078; + } + pin("mprj_adr_o_core[13]") { + direction : input; + capacitance : 0.0082; + } + pin("mprj_adr_o_core[12]") { + direction : input; + capacitance : 0.0077; + } + pin("mprj_adr_o_core[11]") { + direction : input; + capacitance : 0.0080; + } + pin("mprj_adr_o_core[10]") { + direction : input; + capacitance : 0.0086; + } + pin("mprj_adr_o_core[9]") { + direction : input; + capacitance : 0.0074; + } + pin("mprj_adr_o_core[8]") { + direction : input; + capacitance : 0.0071; + } + pin("mprj_adr_o_core[7]") { + direction : input; + capacitance : 0.0083; + } + pin("mprj_adr_o_core[6]") { + direction : input; + capacitance : 0.0093; + } + pin("mprj_adr_o_core[5]") { + direction : input; + capacitance : 0.0085; + } + pin("mprj_adr_o_core[4]") { + direction : input; + capacitance : 0.0078; + } + pin("mprj_adr_o_core[3]") { + direction : input; + capacitance : 0.0087; + } + pin("mprj_adr_o_core[2]") { + direction : input; + capacitance : 0.0068; + } + pin("mprj_adr_o_core[1]") { + direction : input; + capacitance : 0.0092; + } + pin("mprj_adr_o_core[0]") { + direction : input; + capacitance : 0.0087; + } + } + bus("mprj_adr_o_user") { + bus_type : mprj_adr_o_user; + direction : output; + capacitance : 0.0000; + pin("mprj_adr_o_user[31]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_adr_o_core[31]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1337) { + values("1.49704,1.50016,1.51231,1.55791,1.75338,2.65372,6.85295"); + } + rise_transition(template_1337) { + values("0.01833,0.02105,0.03343,0.09133,0.36956,1.66107,7.64968"); + } + cell_fall(template_1338) { + values("1.35396,1.35666,1.36633,1.39482,1.48576,1.87346,3.67019"); + } + fall_transition(template_1338) { + values("0.01756,0.01919,0.02581,0.05056,0.15983,0.69349,3.18665"); + } + } + } + pin("mprj_adr_o_user[30]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_adr_o_core[30]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1335) { + values("1.49368,1.49679,1.50891,1.55446,1.74991,2.65005,6.85104"); + } + rise_transition(template_1335) { + values("0.01815,0.02088,0.03330,0.09128,0.36959,1.66100,7.64974"); + } + cell_fall(template_1336) { + values("1.35605,1.35875,1.36843,1.39692,1.48785,1.87558,3.67262"); + } + fall_transition(template_1336) { + values("0.01756,0.01919,0.02581,0.05057,0.15984,0.69350,3.18686"); + } + } + } + pin("mprj_adr_o_user[29]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_adr_o_core[29]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1331) { + values("1.62127,1.62447,1.63684,1.68271,1.87834,2.77983,6.96839"); + } + rise_transition(template_1331) { + values("0.01937,0.02209,0.03426,0.09165,0.36933,1.66149,7.64930"); + } + cell_fall(template_1332) { + values("1.43692,1.43961,1.44927,1.47771,1.56871,1.95628,3.75126"); + } + fall_transition(template_1332) { + values("0.01766,0.01922,0.02584,0.05058,0.15979,0.69344,3.18557"); + } + } + } + pin("mprj_adr_o_user[28]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_adr_o_core[28]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1329) { + values("1.63995,1.64315,1.65552,1.70138,1.89700,2.79847,6.98728"); + } + rise_transition(template_1329) { + values("0.01935,0.02207,0.03424,0.09164,0.36933,1.66148,7.64931"); + } + cell_fall(template_1330) { + values("1.45005,1.45274,1.46240,1.49084,1.58184,1.96940,3.76435"); + } + fall_transition(template_1330) { + values("0.01765,0.01921,0.02584,0.05058,0.15979,0.69344,3.18554"); + } + } + } + pin("mprj_adr_o_user[27]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_adr_o_core[27]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1327) { + values("1.58501,1.58819,1.60049,1.64627,1.84185,2.74298,6.93493"); + } + rise_transition(template_1327) { + values("0.01904,0.02176,0.03400,0.09155,0.36940,1.66136,7.64942"); + } + cell_fall(template_1328) { + values("1.41404,1.41673,1.42638,1.45482,1.54581,1.93338,3.72836"); + } + fall_transition(template_1328) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18550"); + } + } + } + pin("mprj_adr_o_user[26]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_adr_o_core[26]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1325) { + values("1.57482,1.57795,1.59013,1.63575,1.83123,2.73167,6.92999"); + } + rise_transition(template_1325) { + values("0.01842,0.02114,0.03351,0.09136,0.36954,1.66110,7.64964"); + } + cell_fall(template_1326) { + values("1.40497,1.40767,1.41734,1.44582,1.53676,1.92445,3.72091"); + } + fall_transition(template_1326) { + values("0.01757,0.01919,0.02581,0.05056,0.15982,0.69349,3.18648"); + } + } + } + pin("mprj_adr_o_user[25]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_adr_o_core[25]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1323) { + values("1.56674,1.56987,1.58202,1.62762,1.82310,2.72346,6.92253"); + } + rise_transition(template_1323) { + values("0.01834,0.02107,0.03345,0.09134,0.36955,1.66107,7.64967"); + } + cell_fall(template_1324) { + values("1.40491,1.40761,1.41728,1.44576,1.53670,1.92440,3.72107"); + } + fall_transition(template_1324) { + values("0.01756,0.01919,0.02581,0.05056,0.15983,0.69349,3.18661"); + } + } + } + pin("mprj_adr_o_user[24]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_adr_o_core[24]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1321) { + values("1.54495,1.54806,1.56019,1.60575,1.80121,2.70141,6.90191"); + } + rise_transition(template_1321) { + values("0.01820,0.02093,0.03334,0.09129,0.36958,1.66102,7.64972"); + } + cell_fall(template_1322) { + values("1.38895,1.39165,1.40133,1.42982,1.52075,1.90848,3.70549"); + } + fall_transition(template_1322) { + values("0.01756,0.01919,0.02581,0.05057,0.15984,0.69350,3.18684"); + } + } + } + pin("mprj_adr_o_user[23]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_adr_o_core[23]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1319) { + values("1.45509,1.45828,1.47063,1.51647,1.71209,2.61348,6.80299"); + } + rise_transition(template_1319) { + values("0.01928,0.02200,0.03419,0.09162,0.36935,1.66145,7.64933"); + } + cell_fall(template_1320) { + values("1.27880,1.28149,1.29114,1.31959,1.41057,1.79815,3.59327"); + } + fall_transition(template_1320) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18559"); + } + } + } + pin("mprj_adr_o_user[22]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_adr_o_core[22]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1317) { + values("1.48345,1.48666,1.49905,1.54494,1.74058,2.64218,6.82980"); + } + rise_transition(template_1317) { + values("0.01946,0.02218,0.03433,0.09168,0.36930,1.66153,7.64927"); + } + cell_fall(template_1318) { + values("1.29167,1.29436,1.30401,1.33245,1.42344,1.81101,3.60590"); + } + fall_transition(template_1318) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18545"); + } + } + } + pin("mprj_adr_o_user[21]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_adr_o_core[21]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1315) { + values("1.49541,1.49850,1.51058,1.55609,1.75151,2.65146,6.85429"); + } + rise_transition(template_1315) { + values("0.01797,0.02071,0.03316,0.09122,0.36963,1.66092,7.64980"); + } + cell_fall(template_1316) { + values("1.35221,1.35491,1.36458,1.39309,1.48401,1.87176,3.66918"); + } + fall_transition(template_1316) { + values("0.01755,0.01920,0.02582,0.05057,0.15985,0.69351,3.18710"); + } + } + } + pin("mprj_adr_o_user[20]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_adr_o_core[20]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1313) { + values("1.48953,1.49272,1.50508,1.55093,1.74655,2.64796,6.83725"); + } + rise_transition(template_1313) { + values("0.01930,0.02202,0.03420,0.09163,0.36934,1.66146,7.64933"); + } + cell_fall(template_1314) { + values("1.29331,1.29600,1.30565,1.33410,1.42508,1.81267,3.60786"); + } + fall_transition(template_1314) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18564"); + } + } + } + pin("mprj_adr_o_user[19]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_adr_o_core[19]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1309) { + values("1.50908,1.51227,1.52460,1.57042,1.76602,2.66730,6.85781"); + } + rise_transition(template_1309) { + values("0.01918,0.02190,0.03411,0.09159,0.36937,1.66141,7.64937"); + } + cell_fall(template_1310) { + values("1.36482,1.36751,1.37717,1.40562,1.49660,1.88421,3.67966"); + } + fall_transition(template_1310) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18582"); + } + } + } + pin("mprj_adr_o_user[18]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_adr_o_core[18]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1307) { + values("1.45286,1.45615,1.46876,1.51493,1.71073,2.61351,6.79024"); + } + rise_transition(template_1307) { + values("0.02053,0.02324,0.03518,0.09201,0.36907,1.66196,7.64889"); + } + cell_fall(template_1308) { + values("1.27649,1.27919,1.28886,1.31734,1.40836,1.79596,3.59190"); + } + fall_transition(template_1308) { + values("0.01786,0.01942,0.02600,0.05068,0.15983,0.69338,3.18645"); + } + } + } + pin("mprj_adr_o_user[17]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_adr_o_core[17]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1305) { + values("1.52996,1.53316,1.54552,1.59137,1.78700,2.68845,6.87741"); + } + rise_transition(template_1305) { + values("0.01933,0.02205,0.03423,0.09164,0.36933,1.66147,7.64932"); + } + cell_fall(template_1306) { + values("1.37571,1.37840,1.38805,1.41649,1.50748,1.89505,3.69005"); + } + fall_transition(template_1306) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18552"); + } + } + } + pin("mprj_adr_o_user[16]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_adr_o_core[16]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1303) { + values("1.52986,1.53303,1.54532,1.59109,1.78666,2.68772,6.88030"); + } + rise_transition(template_1303) { + values("0.01898,0.02170,0.03395,0.09153,0.36941,1.66133,7.64944"); + } + cell_fall(template_1304) { + values("1.41711,1.41981,1.42946,1.45791,1.54889,1.93650,3.73190"); + } + fall_transition(template_1304) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18578"); + } + } + } + pin("mprj_adr_o_user[15]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_adr_o_core[15]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1301) { + values("1.52532,1.52851,1.54086,1.58671,1.78233,2.68373,6.87316"); + } + rise_transition(template_1301) { + values("0.01929,0.02201,0.03419,0.09163,0.36934,1.66146,7.64933"); + } + cell_fall(template_1302) { + values("1.37370,1.37639,1.38604,1.41449,1.50547,1.89306,3.68827"); + } + fall_transition(template_1302) { + values("0.01759,0.01917,0.02580,0.05055,0.15979,0.69347,3.18565"); + } + } + } + pin("mprj_adr_o_user[14]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_adr_o_core[14]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1299) { + values("1.60490,1.60810,1.62046,1.66632,1.86194,2.76339,6.95239"); + } + rise_transition(template_1299) { + values("0.01933,0.02205,0.03423,0.09164,0.36933,1.66147,7.64932"); + } + cell_fall(template_1300) { + values("1.46308,1.46577,1.47543,1.50387,1.59486,1.98245,3.77764"); + } + fall_transition(template_1300) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18565"); + } + } + } + pin("mprj_adr_o_user[13]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_adr_o_core[13]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1297) { + values("1.55905,1.56225,1.57462,1.62048,1.81610,2.71757,6.90638"); + } + rise_transition(template_1297) { + values("0.01935,0.02207,0.03424,0.09164,0.36933,1.66148,7.64931"); + } + cell_fall(template_1298) { + values("1.39139,1.39408,1.40374,1.43218,1.52317,1.91076,3.70605"); + } + fall_transition(template_1298) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18571"); + } + } + } + pin("mprj_adr_o_user[12]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_adr_o_core[12]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1295) { + values("1.54385,1.54704,1.55937,1.60518,1.80078,2.70205,6.89268"); + } + rise_transition(template_1295) { + values("0.01917,0.02189,0.03410,0.09159,0.36937,1.66141,7.64937"); + } + cell_fall(template_1296) { + values("1.38732,1.39001,1.39967,1.42812,1.51910,1.90671,3.70224"); + } + fall_transition(template_1296) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18586"); + } + } + } + pin("mprj_adr_o_user[11]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_adr_o_core[11]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1293) { + values("1.57005,1.57324,1.58558,1.63141,1.82701,2.72834,6.91842"); + } + rise_transition(template_1293) { + values("0.01922,0.02194,0.03414,0.09161,0.36936,1.66143,7.64935"); + } + cell_fall(template_1294) { + values("1.44089,1.44358,1.45324,1.48169,1.57267,1.96026,3.75558"); + } + fall_transition(template_1294) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18573"); + } + } + } + pin("mprj_adr_o_user[10]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_adr_o_core[10]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1291) { + values("1.61085,1.61402,1.62630,1.67205,1.86762,2.76863,6.96164"); + } + rise_transition(template_1291) { + values("0.01894,0.02166,0.03392,0.09152,0.36942,1.66131,7.64946"); + } + cell_fall(template_1292) { + values("1.47121,1.47391,1.48356,1.51201,1.60299,1.99059,3.78597"); + } + fall_transition(template_1292) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18576"); + } + } + } + pin("mprj_adr_o_user[9]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_adr_o_core[9]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1351) { + values("1.51256,1.51574,1.52804,1.57383,1.76941,2.67055,6.86237"); + } + rise_transition(template_1351) { + values("0.01905,0.02177,0.03401,0.09155,0.36940,1.66136,7.64942"); + } + cell_fall(template_1352) { + values("1.36457,1.36726,1.37692,1.40536,1.49635,1.88394,3.67911"); + } + fall_transition(template_1352) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18563"); + } + } + } + pin("mprj_adr_o_user[8]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_adr_o_core[8]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1349) { + values("1.59540,1.59857,1.61086,1.65663,1.85220,2.75327,6.94576"); + } + rise_transition(template_1349) { + values("0.01899,0.02171,0.03396,0.09153,0.36941,1.66133,7.64944"); + } + cell_fall(template_1350) { + values("1.46334,1.46603,1.47569,1.50414,1.59512,1.98271,3.77801"); + } + fall_transition(template_1350) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18571"); + } + } + } + pin("mprj_adr_o_user[7]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_adr_o_core[7]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1347) { + values("1.54986,1.55305,1.56537,1.61117,1.80676,2.70798,6.89910"); + } + rise_transition(template_1347) { + values("0.01912,0.02184,0.03406,0.09157,0.36938,1.66139,7.64939"); + } + cell_fall(template_1348) { + values("1.42657,1.42927,1.43892,1.46737,1.55835,1.94595,3.74131"); + } + fall_transition(template_1348) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18575"); + } + } + } + pin("mprj_adr_o_user[6]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_adr_o_core[6]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1345) { + values("1.54217,1.54534,1.55764,1.60342,1.79899,2.70009,6.89229"); + } + rise_transition(template_1345) { + values("0.01902,0.02174,0.03398,0.09154,0.36940,1.66135,7.64943"); + } + cell_fall(template_1346) { + values("1.38550,1.38819,1.39785,1.42630,1.51728,1.90487,3.70010"); + } + fall_transition(template_1346) { + values("0.01759,0.01917,0.02580,0.05055,0.15980,0.69347,3.18567"); + } + } + } + pin("mprj_adr_o_user[5]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_adr_o_core[5]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1343) { + values("1.58115,1.58427,1.59641,1.64199,1.83745,2.73770,6.93770"); + } + rise_transition(template_1343) { + values("0.01825,0.02098,0.03338,0.09131,0.36957,1.66104,7.64970"); + } + cell_fall(template_1344) { + values("1.40497,1.40767,1.41734,1.44583,1.53677,1.92448,3.72131"); + } + fall_transition(template_1344) { + values("0.01756,0.01919,0.02581,0.05056,0.15983,0.69350,3.18672"); + } + } + } + pin("mprj_adr_o_user[4]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_adr_o_core[4]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1341) { + values("1.52074,1.52384,1.53591,1.58142,1.77683,2.67677,6.87971"); + } + rise_transition(template_1341) { + values("0.01796,0.02069,0.03315,0.09122,0.36964,1.66092,7.64981"); + } + cell_fall(template_1342) { + values("1.40847,1.41117,1.42084,1.44932,1.54027,1.92796,3.72451"); + } + fall_transition(template_1342) { + values("0.01757,0.01919,0.02581,0.05056,0.15983,0.69349,3.18654"); + } + } + } + pin("mprj_adr_o_user[3]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_adr_o_core[3]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1339) { + values("1.55164,1.55478,1.56698,1.61265,1.80816,2.70878,6.90542"); + } + rise_transition(template_1339) { + values("0.01858,0.02131,0.03363,0.09141,0.36950,1.66117,7.64959"); + } + cell_fall(template_1340) { + values("1.39227,1.39496,1.40462,1.43310,1.52405,1.91171,3.70781"); + } + fall_transition(template_1340) { + values("0.01758,0.01918,0.02581,0.05056,0.15982,0.69348,3.18624"); + } + } + } + pin("mprj_adr_o_user[2]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_adr_o_core[2]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1333) { + values("1.51106,1.51418,1.52630,1.57186,1.76731,2.66750,6.86816"); + } + rise_transition(template_1333) { + values("0.01819,0.02092,0.03332,0.09129,0.36959,1.66101,7.64973"); + } + cell_fall(template_1334) { + values("1.40721,1.40990,1.41957,1.44804,1.53899,1.92665,3.72273"); + } + fall_transition(template_1334) { + values("0.01758,0.01918,0.02581,0.05056,0.15982,0.69348,3.18622"); + } + } + } + pin("mprj_adr_o_user[1]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_adr_o_core[1]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1311) { + values("1.53579,1.53894,1.55118,1.59689,1.79242,2.69321,6.88827"); + } + rise_transition(template_1311) { + values("0.01873,0.02146,0.03376,0.09146,0.36947,1.66123,7.64953"); + } + cell_fall(template_1312) { + values("1.37818,1.38087,1.39053,1.41899,1.50996,1.89759,3.69332"); + } + fall_transition(template_1312) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18599"); + } + } + } + pin("mprj_adr_o_user[0]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_adr_o_core[0]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1289) { + values("1.50380,1.50687,1.51888,1.56430,1.75967,2.65925,6.86550"); + } + rise_transition(template_1289) { + values("0.01764,0.02037,0.03289,0.09112,0.36971,1.66079,7.64993"); + } + cell_fall(template_1290) { + values("1.40220,1.40490,1.41458,1.44308,1.53400,1.92174,3.71895"); + } + fall_transition(template_1290) { + values("0.01755,0.01920,0.02581,0.05057,0.15984,0.69350,3.18696"); + } + } + } + } + bus("mprj_dat_i_core") { + bus_type : mprj_dat_i_core; + direction : output; + capacitance : 0.0000; + pin("mprj_dat_i_core[31]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_i_user[31]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1403) { + values("0.41660,0.41966,0.43168,0.47708,0.67250,1.57182,5.77856"); + } + rise_transition(template_1403) { + values("0.01740,0.02014,0.03270,0.09104,0.36985,1.65978,7.65058"); + } + cell_fall(template_1404) { + values("0.50917,0.51186,0.52153,0.55000,0.64096,1.02861,2.82469"); + } + fall_transition(template_1404) { + values("0.01758,0.01918,0.02581,0.05056,0.15982,0.69348,3.18623"); + } + } + timing() { + related_pin : "mprj_iena_wb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1533) { + values("1.18654,1.18961,1.20163,1.24703,1.44244,2.34177,6.54850"); + } + rise_transition(template_1533) { + values("0.01740,0.02014,0.03270,0.09104,0.36985,1.65978,7.65058"); + } + cell_fall(template_1534) { + values("1.06162,1.06431,1.07398,1.10245,1.19341,1.58106,3.37714"); + } + fall_transition(template_1534) { + values("0.01758,0.01918,0.02581,0.05056,0.15982,0.69348,3.18623"); + } + } + } + pin("mprj_dat_i_core[30]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_i_user[30]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1401) { + values("0.46591,0.46897,0.48097,0.52636,0.72174,1.62108,5.82839"); + } + rise_transition(template_1401) { + values("0.01741,0.02016,0.03271,0.09105,0.36982,1.66013,7.65036"); + } + cell_fall(template_1402) { + values("0.56987,0.57256,0.58222,0.61067,0.70165,1.08926,2.88469"); + } + fall_transition(template_1402) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18580"); + } + } + timing() { + related_pin : "mprj_iena_wb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1531) { + values("1.19986,1.20292,1.21492,1.26031,1.45570,2.35504,6.56234"); + } + rise_transition(template_1531) { + values("0.01741,0.02016,0.03271,0.09105,0.36982,1.66013,7.65036"); + } + cell_fall(template_1532) { + values("1.07411,1.07680,1.08646,1.11491,1.20589,1.59349,3.38893"); + } + fall_transition(template_1532) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18580"); + } + } + } + pin("mprj_dat_i_core[29]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_i_user[29]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1397) { + values("0.50112,0.50418,0.51616,0.56154,0.75690,1.65625,5.86411"); + } + rise_transition(template_1397) { + values("0.01743,0.02017,0.03273,0.09105,0.36978,1.66047,7.65015"); + } + cell_fall(template_1398) { + values("0.60895,0.61164,0.62129,0.64972,0.74072,1.12827,2.92301"); + } + fall_transition(template_1398) { + values("0.01761,0.01917,0.02580,0.05055,0.15978,0.69346,3.18535"); + } + } + timing() { + related_pin : "mprj_iena_wb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1527) { + values("1.22315,1.22621,1.23819,1.28357,1.47893,2.37828,6.58615"); + } + rise_transition(template_1527) { + values("0.01743,0.02017,0.03273,0.09105,0.36978,1.66047,7.65015"); + } + cell_fall(template_1528) { + values("1.09655,1.09924,1.10889,1.13732,1.22832,1.61587,3.41061"); + } + fall_transition(template_1528) { + values("0.01761,0.01917,0.02580,0.05055,0.15978,0.69346,3.18535"); + } + } + } + pin("mprj_dat_i_core[28]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_i_user[28]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1395) { + values("0.46155,0.46463,0.47665,0.52209,0.71746,1.61711,5.82275"); + } + rise_transition(template_1395) { + values("0.01770,0.02043,0.03294,0.09114,0.36969,1.66081,7.64990"); + } + cell_fall(template_1396) { + values("0.57235,0.57505,0.58471,0.61319,0.70420,1.09179,2.88745"); + } + fall_transition(template_1396) { + values("0.01780,0.01936,0.02596,0.05065,0.15982,0.69340,3.18619"); + } + } + timing() { + related_pin : "mprj_iena_wb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1525) { + values("1.20104,1.20412,1.21614,1.26157,1.45695,2.35660,6.56224"); + } + rise_transition(template_1525) { + values("0.01770,0.02043,0.03294,0.09114,0.36969,1.66081,7.64990"); + } + cell_fall(template_1526) { + values("1.08420,1.08689,1.09656,1.12503,1.21604,1.60363,3.39929"); + } + fall_transition(template_1526) { + values("0.01780,0.01936,0.02596,0.05065,0.15982,0.69340,3.18619"); + } + } + } + pin("mprj_dat_i_core[27]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_i_user[27]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1393) { + values("0.56380,0.56686,0.57884,0.62422,0.81957,1.71900,5.92665"); + } + rise_transition(template_1393) { + values("0.01750,0.02024,0.03278,0.09108,0.36974,1.66073,7.64997"); + } + cell_fall(template_1394) { + values("0.67950,0.68219,0.69185,0.72031,0.81131,1.19889,2.99415"); + } + fall_transition(template_1394) { + values("0.01772,0.01928,0.02589,0.05061,0.15980,0.69342,3.18583"); + } + } + timing() { + related_pin : "mprj_iena_wb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1523) { + values("0.92768,0.93074,0.94272,0.98811,1.18346,2.08289,6.29054"); + } + rise_transition(template_1523) { + values("0.01750,0.02024,0.03278,0.09108,0.36974,1.66073,7.64997"); + } + cell_fall(template_1524) { + values("0.86896,0.87166,0.88131,0.90977,1.00077,1.38835,3.18362"); + } + fall_transition(template_1524) { + values("0.01772,0.01928,0.02589,0.05061,0.15980,0.69342,3.18583"); + } + } + } + pin("mprj_dat_i_core[26]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_i_user[26]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1391) { + values("0.51748,0.52054,0.53253,0.57793,0.77329,1.67277,5.87992"); + } + rise_transition(template_1391) { + values("0.01755,0.02029,0.03282,0.09109,0.36973,1.66075,7.64996"); + } + cell_fall(template_1392) { + values("0.64479,0.64749,0.65715,0.68561,0.77661,1.16419,2.95955"); + } + fall_transition(template_1392) { + values("0.01774,0.01930,0.02591,0.05062,0.15981,0.69342,3.18592"); + } + } + timing() { + related_pin : "mprj_iena_wb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1521) { + values("0.87861,0.88167,0.89367,0.93906,1.13442,2.03390,6.24105"); + } + rise_transition(template_1521) { + values("0.01755,0.02029,0.03282,0.09109,0.36973,1.66075,7.64996"); + } + cell_fall(template_1522) { + values("0.83954,0.84224,0.85190,0.88036,0.97136,1.35894,3.15431"); + } + fall_transition(template_1522) { + values("0.01774,0.01930,0.02591,0.05062,0.15981,0.69342,3.18592"); + } + } + } + pin("mprj_dat_i_core[25]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_i_user[25]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1389) { + values("0.55984,0.56290,0.57489,0.62027,0.81563,1.71498,5.92272"); + } + rise_transition(template_1389) { + values("0.01743,0.02017,0.03272,0.09105,0.36979,1.66039,7.65019"); + } + cell_fall(template_1390) { + values("0.70078,0.70348,0.71313,0.74156,0.83256,1.22012,3.01490"); + } + fall_transition(template_1390) { + values("0.01762,0.01918,0.02581,0.05056,0.15979,0.69346,3.18540"); + } + } + timing() { + related_pin : "mprj_iena_wb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1519) { + values("0.87537,0.87842,0.89041,0.93579,1.13116,2.03051,6.23825"); + } + rise_transition(template_1519) { + values("0.01743,0.02017,0.03272,0.09105,0.36979,1.66039,7.65019"); + } + cell_fall(template_1520) { + values("0.83247,0.83516,0.84481,0.87325,0.96425,1.35180,3.14659"); + } + fall_transition(template_1520) { + values("0.01762,0.01918,0.02581,0.05056,0.15979,0.69346,3.18540"); + } + } + } + pin("mprj_dat_i_core[24]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_i_user[24]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1387) { + values("0.58731,0.59038,0.60239,0.64781,0.84318,1.74275,5.94909"); + } + rise_transition(template_1387) { + values("0.01763,0.02036,0.03288,0.09112,0.36971,1.66078,7.64993"); + } + cell_fall(template_1388) { + values("0.73669,0.73938,0.74905,0.77752,0.86853,1.25611,3.05174"); + } + fall_transition(template_1388) { + values("0.01779,0.01936,0.02595,0.05065,0.15982,0.69340,3.18616"); + } + } + timing() { + related_pin : "mprj_iena_wb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1517) { + values("0.89149,0.89456,0.90657,0.95198,1.14735,2.04692,6.25327"); + } + rise_transition(template_1517) { + values("0.01763,0.02036,0.03288,0.09112,0.36971,1.66078,7.64993"); + } + cell_fall(template_1518) { + values("0.85261,0.85530,0.86497,0.89344,0.98445,1.37204,3.16766"); + } + fall_transition(template_1518) { + values("0.01779,0.01936,0.02595,0.05065,0.15982,0.69340,3.18616"); + } + } + } + pin("mprj_dat_i_core[23]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_i_user[23]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1385) { + values("0.54141,0.54449,0.55653,0.60199,0.79738,1.69713,5.90184"); + } + rise_transition(template_1385) { + values("0.01779,0.02052,0.03301,0.09117,0.36967,1.66085,7.64987"); + } + cell_fall(template_1386) { + values("0.66141,0.66411,0.67378,0.70227,0.79328,1.18089,2.97692"); + } + fall_transition(template_1386) { + values("0.01787,0.01944,0.02602,0.05069,0.15983,0.69337,3.18653"); + } + } + timing() { + related_pin : "mprj_iena_wb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1515) { + values("1.26698,1.27006,1.28210,1.32756,1.52295,2.42270,6.62741"); + } + rise_transition(template_1515) { + values("0.01779,0.02052,0.03301,0.09117,0.36967,1.66085,7.64987"); + } + cell_fall(template_1516) { + values("1.14265,1.14535,1.15502,1.18351,1.27452,1.66212,3.45816"); + } + fall_transition(template_1516) { + values("0.01787,0.01944,0.02602,0.05069,0.15983,0.69337,3.18653"); + } + } + } + pin("mprj_dat_i_core[22]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_i_user[22]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1383) { + values("0.64907,0.65219,0.66432,0.70988,0.90534,1.80556,6.00593"); + } + rise_transition(template_1383) { + values("0.01822,0.02094,0.03335,0.09130,0.36958,1.66102,7.64972"); + } + cell_fall(template_1384) { + values("0.80487,0.80757,0.81726,0.84580,0.93683,1.32448,3.12165"); + } + fall_transition(template_1384) { + values("0.01811,0.01968,0.02621,0.05081,0.15987,0.69330,3.18756"); + } + } + timing() { + related_pin : "mprj_iena_wb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1513) { + values("1.28807,1.29118,1.30331,1.34888,1.54433,2.44455,6.64492"); + } + rise_transition(template_1513) { + values("0.01822,0.02094,0.03335,0.09130,0.36958,1.66102,7.64972"); + } + cell_fall(template_1514) { + values("1.16884,1.17154,1.18123,1.20977,1.30080,1.68845,3.48562"); + } + fall_transition(template_1514) { + values("0.01811,0.01968,0.02621,0.05081,0.15987,0.69330,3.18756"); + } + } + } + pin("mprj_dat_i_core[21]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_i_user[21]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1381) { + values("0.59321,0.59637,0.60861,0.65432,0.84986,1.75069,5.94542"); + } + rise_transition(template_1381) { + values("0.01877,0.02149,0.03378,0.09147,0.36946,1.66124,7.64952"); + } + cell_fall(template_1382) { + values("0.64468,0.64737,0.65702,0.68546,0.77645,1.16403,2.95904"); + } + fall_transition(template_1382) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18553"); + } + } + timing() { + related_pin : "mprj_iena_wb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1511) { + values("1.28724,1.29040,1.30264,1.34835,1.54389,2.44472,6.63946"); + } + rise_transition(template_1511) { + values("0.01877,0.02149,0.03378,0.09147,0.36946,1.66124,7.64952"); + } + cell_fall(template_1512) { + values("1.08364,1.08633,1.09599,1.12443,1.21542,1.60299,3.39800"); + } + fall_transition(template_1512) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18553"); + } + } + } + pin("mprj_dat_i_core[20]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_i_user[20]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1379) { + values("0.58145,0.58451,0.59648,0.64186,0.83721,1.73657,5.94458"); + } + rise_transition(template_1379) { + values("0.01743,0.02017,0.03273,0.09106,0.36977,1.66056,7.65009"); + } + cell_fall(template_1380) { + values("0.64798,0.65068,0.66036,0.68888,0.77979,1.16757,2.96533"); + } + fall_transition(template_1380) { + values("0.01754,0.01920,0.02582,0.05057,0.15985,0.69351,3.18733"); + } + } + timing() { + related_pin : "mprj_iena_wb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1509) { + values("0.91478,0.91784,0.92981,0.97519,1.17054,2.06990,6.27791"); + } + rise_transition(template_1509) { + values("0.01743,0.02017,0.03273,0.09106,0.36977,1.66056,7.65009"); + } + cell_fall(template_1510) { + values("0.79717,0.79987,0.80955,0.83806,0.92898,1.31675,3.11452"); + } + fall_transition(template_1510) { + values("0.01754,0.01920,0.02582,0.05057,0.15985,0.69351,3.18733"); + } + } + } + pin("mprj_dat_i_core[19]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_i_user[19]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1375) { + values("0.64206,0.64514,0.65716,0.70260,0.89797,1.79762,6.00329"); + } + rise_transition(template_1375) { + values("0.01770,0.02043,0.03294,0.09114,0.36969,1.66081,7.64990"); + } + cell_fall(template_1376) { + values("0.73546,0.73815,0.74783,0.77632,0.86725,1.25498,3.05199"); + } + fall_transition(template_1376) { + values("0.01756,0.01919,0.02581,0.05057,0.15984,0.69350,3.18684"); + } + } + timing() { + related_pin : "mprj_iena_wb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1505) { + values("1.22367,1.22675,1.23877,1.28421,1.47958,2.37923,6.58490"); + } + rise_transition(template_1505) { + values("0.01770,0.02043,0.03294,0.09114,0.36969,1.66081,7.64990"); + } + cell_fall(template_1506) { + values("1.03676,1.03945,1.04913,1.07762,1.16855,1.55628,3.35329"); + } + fall_transition(template_1506) { + values("0.01756,0.01919,0.02581,0.05057,0.15984,0.69350,3.18684"); + } + } + } + pin("mprj_dat_i_core[18]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_i_user[18]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1373) { + values("0.63235,0.63542,0.64741,0.69282,0.88817,1.78767,5.99467"); + } + rise_transition(template_1373) { + values("0.01757,0.02030,0.03283,0.09110,0.36972,1.66076,7.64995"); + } + cell_fall(template_1374) { + values("0.73465,0.73735,0.74702,0.77553,0.86645,1.25421,3.05163"); + } + fall_transition(template_1374) { + values("0.01755,0.01920,0.02582,0.05057,0.15985,0.69351,3.18711"); + } + } + timing() { + related_pin : "mprj_iena_wb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1503) { + values("1.20035,1.20342,1.21541,1.26082,1.45617,2.35567,6.56267"); + } + rise_transition(template_1503) { + values("0.01757,0.02030,0.03283,0.09110,0.36972,1.66076,7.64995"); + } + cell_fall(template_1504) { + values("1.01638,1.01908,1.02875,1.05726,1.14818,1.53594,3.33336"); + } + fall_transition(template_1504) { + values("0.01755,0.01920,0.02582,0.05057,0.15985,0.69351,3.18711"); + } + } + } + pin("mprj_dat_i_core[17]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_i_user[17]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1371) { + values("0.45243,0.45551,0.46753,0.51296,0.70833,1.60796,5.81376"); + } + rise_transition(template_1371) { + values("0.01768,0.02042,0.03293,0.09113,0.36970,1.66080,7.64991"); + } + cell_fall(template_1372) { + values("0.56300,0.56570,0.57536,0.60384,0.69485,1.08244,2.87811"); + } + fall_transition(template_1372) { + values("0.01780,0.01937,0.02596,0.05065,0.15982,0.69340,3.18620"); + } + } + timing() { + related_pin : "mprj_iena_wb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1501) { + values("1.18893,1.19200,1.20402,1.24946,1.44483,2.34446,6.55025"); + } + rise_transition(template_1501) { + values("0.01768,0.02042,0.03293,0.09113,0.36970,1.66080,7.64991"); + } + cell_fall(template_1502) { + values("1.07359,1.07629,1.08595,1.11443,1.20544,1.59303,3.38871"); + } + fall_transition(template_1502) { + values("0.01780,0.01937,0.02596,0.05065,0.15982,0.69340,3.18620"); + } + } + } + pin("mprj_dat_i_core[16]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_i_user[16]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1369) { + values("0.69537,0.69843,0.71041,0.75580,0.95114,1.85057,6.05829"); + } + rise_transition(template_1369) { + values("0.01749,0.02023,0.03278,0.09108,0.36974,1.66073,7.64998"); + } + cell_fall(template_1370) { + values("0.88013,0.88283,0.89248,0.92094,1.01194,1.39952,3.19476"); + } + fall_transition(template_1370) { + values("0.01771,0.01928,0.02589,0.05061,0.15980,0.69343,3.18581"); + } + } + timing() { + related_pin : "mprj_iena_wb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1499) { + values("1.20783,1.21088,1.22287,1.26825,1.46360,2.36302,6.57074"); + } + rise_transition(template_1499) { + values("0.01749,0.02023,0.03278,0.09108,0.36974,1.66073,7.64998"); + } + cell_fall(template_1500) { + values("1.08476,1.08746,1.09712,1.12557,1.21657,1.60415,3.39939"); + } + fall_transition(template_1500) { + values("0.01771,0.01928,0.02589,0.05061,0.15980,0.69343,3.18581"); + } + } + } + pin("mprj_dat_i_core[15]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_i_user[15]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1367) { + values("0.68107,0.68414,0.69614,0.74155,0.93691,1.83643,6.04322"); + } + rise_transition(template_1367) { + values("0.01759,0.02032,0.03285,0.09110,0.36972,1.66076,7.64994"); + } + cell_fall(template_1368) { + values("0.79957,0.80227,0.81194,0.84044,0.93137,1.31911,3.11630"); + } + fall_transition(template_1368) { + values("0.01755,0.01920,0.02581,0.05057,0.15984,0.69350,3.18696"); + } + } + timing() { + related_pin : "mprj_iena_wb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1497) { + values("1.20296,1.20602,1.21802,1.26343,1.45879,2.35831,6.56511"); + } + rise_transition(template_1497) { + values("0.01759,0.02032,0.03285,0.09110,0.36972,1.66076,7.64994"); + } + cell_fall(template_1498) { + values("1.01833,1.02102,1.03070,1.05920,1.15013,1.53786,3.33506"); + } + fall_transition(template_1498) { + values("0.01755,0.01920,0.02581,0.05057,0.15984,0.69350,3.18696"); + } + } + } + pin("mprj_dat_i_core[14]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_i_user[14]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1365) { + values("0.66581,0.66887,0.68085,0.72623,0.92159,1.82094,6.02878"); + } + rise_transition(template_1365) { + values("0.01743,0.02017,0.03272,0.09105,0.36978,1.66045,7.65016"); + } + cell_fall(template_1366) { + values("0.76294,0.76564,0.77532,0.80384,0.89475,1.28252,3.08017"); + } + fall_transition(template_1366) { + values("0.01754,0.01920,0.02582,0.05057,0.15985,0.69351,3.18725"); + } + } + timing() { + related_pin : "mprj_iena_wb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1495) { + values("0.89564,0.89870,0.91068,0.95606,1.15142,2.05077,6.25860"); + } + rise_transition(template_1495) { + values("0.01743,0.02017,0.03272,0.09105,0.36978,1.66045,7.65016"); + } + cell_fall(template_1496) { + values("0.77811,0.78081,0.79049,0.81900,0.90991,1.29768,3.09533"); + } + fall_transition(template_1496) { + values("0.01754,0.01920,0.02582,0.05057,0.15985,0.69351,3.18725"); + } + } + } + pin("mprj_dat_i_core[13]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_i_user[13]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1363) { + values("0.60412,0.60718,0.61917,0.66455,0.85991,1.75926,5.96700"); + } + rise_transition(template_1363) { + values("0.01743,0.02017,0.03272,0.09105,0.36979,1.66039,7.65019"); + } + cell_fall(template_1364) { + values("0.67114,0.67384,0.68353,0.71204,0.80295,1.19073,2.98846"); + } + fall_transition(template_1364) { + values("0.01754,0.01920,0.02582,0.05057,0.15985,0.69351,3.18731"); + } + } + timing() { + related_pin : "mprj_iena_wb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1493) { + values("1.22910,1.23215,1.24414,1.28952,1.48489,2.38424,6.59198"); + } + rise_transition(template_1493) { + values("0.01743,0.02017,0.03272,0.09105,0.36979,1.66039,7.65019"); + } + cell_fall(template_1494) { + values("1.03350,1.03620,1.04588,1.07439,1.16531,1.55308,3.35082"); + } + fall_transition(template_1494) { + values("0.01754,0.01920,0.02582,0.05057,0.15985,0.69351,3.18731"); + } + } + } + pin("mprj_dat_i_core[12]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_i_user[12]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1361) { + values("0.74462,0.74771,0.75975,0.80521,1.00060,1.90036,6.10498"); + } + rise_transition(template_1361) { + values("0.01780,0.02053,0.03302,0.09117,0.36967,1.66085,7.64987"); + } + cell_fall(template_1362) { + values("0.83138,0.83408,0.84374,0.87221,0.96317,1.35082,3.14689"); + } + fall_transition(template_1362) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18622"); + } + } + timing() { + related_pin : "mprj_iena_wb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1491) { + values("1.27261,1.27569,1.28773,1.33320,1.52859,2.42834,6.63297"); + } + rise_transition(template_1491) { + values("0.01780,0.02053,0.03302,0.09117,0.36967,1.66085,7.64987"); + } + cell_fall(template_1492) { + values("1.06833,1.07102,1.08068,1.10915,1.20011,1.58777,3.38383"); + } + fall_transition(template_1492) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18622"); + } + } + } + pin("mprj_dat_i_core[11]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_i_user[11]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1359) { + values("0.66206,0.66512,0.67709,0.72246,0.91780,1.81716,6.02539"); + } + rise_transition(template_1359) { + values("0.01744,0.02018,0.03273,0.09106,0.36975,1.66069,7.65001"); + } + cell_fall(template_1360) { + values("0.75060,0.75330,0.76298,0.79148,0.88240,1.27016,3.06757"); + } + fall_transition(template_1360) { + values("0.01755,0.01920,0.02582,0.05057,0.15985,0.69351,3.18710"); + } + } + timing() { + related_pin : "mprj_iena_wb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1489) { + values("0.91109,0.91414,0.92611,0.97148,1.16682,2.06618,6.27441"); + } + rise_transition(template_1489) { + values("0.01744,0.02018,0.03273,0.09106,0.36975,1.66069,7.65001"); + } + cell_fall(template_1490) { + values("0.79073,0.79343,0.80311,0.83161,0.92253,1.31029,3.10770"); + } + fall_transition(template_1490) { + values("0.01755,0.01920,0.02582,0.05057,0.15985,0.69351,3.18710"); + } + } + } + pin("mprj_dat_i_core[10]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_i_user[10]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1357) { + values("0.62625,0.62930,0.64128,0.68665,0.88199,1.78137,5.98948"); + } + rise_transition(template_1357) { + values("0.01746,0.02019,0.03275,0.09106,0.36975,1.66071,7.64999"); + } + cell_fall(template_1358) { + values("0.71419,0.71689,0.72657,0.75508,0.84599,1.23376,3.03142"); + } + fall_transition(template_1358) { + values("0.01754,0.01920,0.02582,0.05057,0.15985,0.69351,3.18726"); + } + } + timing() { + related_pin : "mprj_iena_wb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1487) { + values("0.88534,0.88840,0.90037,0.94574,1.14109,2.04047,6.24857"); + } + rise_transition(template_1487) { + values("0.01746,0.02019,0.03275,0.09106,0.36975,1.66071,7.64999"); + } + cell_fall(template_1488) { + values("0.76930,0.77200,0.78168,0.81019,0.90111,1.28888,3.08653"); + } + fall_transition(template_1488) { + values("0.01754,0.01920,0.02582,0.05057,0.15985,0.69351,3.18726"); + } + } + } + pin("mprj_dat_i_core[9]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_i_user[9]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1417) { + values("0.66920,0.67231,0.68442,0.72997,0.92541,1.82555,6.02668"); + } + rise_transition(template_1417) { + values("0.01814,0.02087,0.03329,0.09127,0.36960,1.66099,7.64974"); + } + cell_fall(template_1418) { + values("0.82549,0.82820,0.83788,0.86642,0.95744,1.34508,3.14208"); + } + fall_transition(template_1418) { + values("0.01807,0.01965,0.02618,0.05080,0.15986,0.69331,3.18741"); + } + } + timing() { + related_pin : "mprj_iena_wb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1547) { + values("0.93692,0.94003,0.95214,0.99769,1.19314,2.09327,6.29440"); + } + rise_transition(template_1547) { + values("0.01814,0.02087,0.03329,0.09127,0.36960,1.66099,7.64974"); + } + cell_fall(template_1548) { + values("0.89020,0.89290,0.90259,0.93112,1.02214,1.40979,3.20679"); + } + fall_transition(template_1548) { + values("0.01807,0.01965,0.02618,0.05080,0.15986,0.69331,3.18741"); + } + } + } + pin("mprj_dat_i_core[8]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_i_user[8]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1415) { + values("0.64190,0.64498,0.65700,0.70243,0.89780,1.79743,6.00324"); + } + rise_transition(template_1415) { + values("0.01768,0.02042,0.03293,0.09113,0.36970,1.66080,7.64991"); + } + cell_fall(template_1416) { + values("0.80372,0.80641,0.81608,0.84456,0.93557,1.32316,3.11891"); + } + fall_transition(template_1416) { + values("0.01782,0.01938,0.02597,0.05066,0.15982,0.69339,3.18627"); + } + } + timing() { + related_pin : "mprj_iena_wb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1545) { + values("1.21317,1.21624,1.22826,1.27369,1.46906,2.36869,6.57450"); + } + rise_transition(template_1545) { + values("0.01768,0.02042,0.03293,0.09113,0.36970,1.66080,7.64991"); + } + cell_fall(template_1546) { + values("1.09286,1.09556,1.10522,1.13370,1.22471,1.61231,3.40806"); + } + fall_transition(template_1546) { + values("0.01782,0.01938,0.02597,0.05066,0.15982,0.69339,3.18627"); + } + } + } + pin("mprj_dat_i_core[7]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_i_user[7]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1413) { + values("0.59150,0.59456,0.60654,0.65192,0.84726,1.74668,5.95448"); + } + rise_transition(template_1413) { + values("0.01749,0.02022,0.03277,0.09107,0.36974,1.66072,7.64998"); + } + cell_fall(template_1414) { + values("0.73909,0.74178,0.75144,0.77989,0.87089,1.25847,3.05370"); + } + fall_transition(template_1414) { + values("0.01771,0.01927,0.02588,0.05061,0.15980,0.69343,3.18580"); + } + } + timing() { + related_pin : "mprj_iena_wb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1543) { + values("1.19432,1.19738,1.20935,1.25474,1.45008,2.34949,6.55730"); + } + rise_transition(template_1543) { + values("0.01749,0.02022,0.03277,0.09107,0.36974,1.66072,7.64998"); + } + cell_fall(template_1544) { + values("1.07177,1.07446,1.08412,1.11258,1.20358,1.59115,3.38638"); + } + fall_transition(template_1544) { + values("0.01771,0.01927,0.02588,0.05061,0.15980,0.69343,3.18580"); + } + } + } + pin("mprj_dat_i_core[6]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_i_user[6]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1411) { + values("0.79937,0.80246,0.81455,0.86006,1.05548,1.95546,6.15804"); + } + rise_transition(template_1411) { + values("0.01800,0.02073,0.03318,0.09123,0.36963,1.66093,7.64980"); + } + cell_fall(template_1412) { + values("1.00126,1.00396,1.01364,1.04215,1.13317,1.52080,3.31736"); + } + fall_transition(template_1412) { + values("0.01798,0.01955,0.02611,0.05075,0.15985,0.69334,3.18700"); + } + } + timing() { + related_pin : "mprj_iena_wb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1541) { + values("1.26640,1.26950,1.28159,1.32710,1.52252,2.42250,6.62508"); + } + rise_transition(template_1541) { + values("0.01800,0.02073,0.03318,0.09123,0.36963,1.66093,7.64980"); + } + cell_fall(template_1542) { + values("1.14712,1.14982,1.15950,1.18801,1.27903,1.66665,3.46321"); + } + fall_transition(template_1542) { + values("0.01798,0.01955,0.02611,0.05075,0.15985,0.69334,3.18700"); + } + } + } + pin("mprj_dat_i_core[5]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_i_user[5]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1409) { + values("0.71366,0.71675,0.72881,0.77430,0.96970,1.86955,6.07331"); + } + rise_transition(template_1409) { + values("0.01788,0.02061,0.03308,0.09119,0.36965,1.66089,7.64984"); + } + cell_fall(template_1410) { + values("0.89746,0.90016,0.90983,0.93833,1.02935,1.41696,3.21325"); + } + fall_transition(template_1410) { + values("0.01793,0.01949,0.02606,0.05072,0.15984,0.69336,3.18675"); + } + } + timing() { + related_pin : "mprj_iena_wb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1539) { + values("1.23485,1.23793,1.24999,1.29548,1.49088,2.39073,6.59450"); + } + rise_transition(template_1539) { + values("0.01788,0.02061,0.03308,0.09119,0.36965,1.66089,7.64984"); + } + cell_fall(template_1540) { + values("1.11716,1.11986,1.12953,1.15803,1.24905,1.63666,3.43294"); + } + fall_transition(template_1540) { + values("0.01793,0.01949,0.02606,0.05072,0.15984,0.69336,3.18675"); + } + } + } + pin("mprj_dat_i_core[4]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_i_user[4]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1407) { + values("0.69095,0.69405,0.70613,0.75164,0.94706,1.84701,6.04982"); + } + rise_transition(template_1407) { + values("0.01798,0.02071,0.03316,0.09122,0.36963,1.66092,7.64980"); + } + cell_fall(template_1408) { + values("0.86861,0.87131,0.88099,0.90951,1.00052,1.38815,3.18469"); + } + fall_transition(template_1408) { + values("0.01798,0.01955,0.02610,0.05075,0.15985,0.69334,3.18699"); + } + } + timing() { + related_pin : "mprj_iena_wb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1537) { + values("1.22312,1.22622,1.23830,1.28380,1.47922,2.37917,6.58199"); + } + rise_transition(template_1537) { + values("0.01798,0.02071,0.03316,0.09122,0.36963,1.66092,7.64980"); + } + cell_fall(template_1538) { + values("1.10678,1.10948,1.11916,1.14767,1.23869,1.62631,3.42285"); + } + fall_transition(template_1538) { + values("0.01798,0.01955,0.02610,0.05075,0.15985,0.69334,3.18699"); + } + } + } + pin("mprj_dat_i_core[3]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_i_user[3]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1405) { + values("0.82337,0.82648,0.83859,0.88413,1.07957,1.97968,6.18103"); + } + rise_transition(template_1405) { + values("0.01812,0.02085,0.03327,0.09127,0.36960,1.66098,7.64975"); + } + cell_fall(template_1406) { + values("1.01948,1.02218,1.03187,1.06040,1.15142,1.53906,3.33599"); + } + fall_transition(template_1406) { + values("0.01806,0.01963,0.02617,0.05079,0.15986,0.69332,3.18734"); + } + } + timing() { + related_pin : "mprj_iena_wb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1535) { + values("1.24728,1.25038,1.26249,1.30804,1.50348,2.40359,6.60494"); + } + rise_transition(template_1535) { + values("0.01812,0.02085,0.03327,0.09127,0.36960,1.66098,7.64975"); + } + cell_fall(template_1536) { + values("1.12011,1.12282,1.13250,1.16103,1.25205,1.63969,3.43662"); + } + fall_transition(template_1536) { + values("0.01806,0.01963,0.02617,0.05079,0.15986,0.69332,3.18734"); + } + } + } + pin("mprj_dat_i_core[2]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_i_user[2]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1399) { + values("0.58135,0.58441,0.59641,0.64181,0.83716,1.73666,5.94372"); + } + rise_transition(template_1399) { + values("0.01756,0.02029,0.03283,0.09110,0.36972,1.66075,7.64995"); + } + cell_fall(template_1400) { + values("0.72522,0.72792,0.73758,0.76604,0.85705,1.24463,3.04009"); + } + fall_transition(template_1400) { + values("0.01776,0.01932,0.02592,0.05063,0.15981,0.69341,3.18600"); + } + } + timing() { + related_pin : "mprj_iena_wb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1529) { + values("1.19938,1.20244,1.21444,1.25984,1.45519,2.35469,6.56175"); + } + rise_transition(template_1529) { + values("0.01756,0.02029,0.03283,0.09110,0.36972,1.66075,7.64995"); + } + cell_fall(template_1530) { + values("1.06246,1.06516,1.07482,1.10329,1.19429,1.58187,3.37733"); + } + fall_transition(template_1530) { + values("0.01776,0.01932,0.02592,0.05063,0.15981,0.69341,3.18600"); + } + } + } + pin("mprj_dat_i_core[1]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_i_user[1]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1377) { + values("0.66930,0.67237,0.68437,0.72977,0.92513,1.82465,6.03146"); + } + rise_transition(template_1377) { + values("0.01758,0.02032,0.03285,0.09110,0.36972,1.66076,7.64994"); + } + cell_fall(template_1378) { + values("0.80985,0.81255,0.82221,0.85068,0.94169,1.32927,3.12479"); + } + fall_transition(template_1378) { + values("0.01777,0.01933,0.02593,0.05064,0.15981,0.69341,3.18606"); + } + } + timing() { + related_pin : "mprj_iena_wb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1507) { + values("1.23666,1.23972,1.25172,1.29713,1.49249,2.39201,6.59882"); + } + rise_transition(template_1507) { + values("0.01758,0.02032,0.03285,0.09110,0.36972,1.66076,7.64994"); + } + cell_fall(template_1508) { + values("1.08989,1.09259,1.10225,1.13072,1.22172,1.60931,3.40483"); + } + fall_transition(template_1508) { + values("0.01777,0.01933,0.02593,0.05064,0.15981,0.69341,3.18606"); + } + } + } + pin("mprj_dat_i_core[0]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_i_user[0]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1355) { + values("0.67567,0.67874,0.69074,0.73615,0.93151,1.83105,6.03771"); + } + rise_transition(template_1355) { + values("0.01760,0.02033,0.03286,0.09111,0.36972,1.66077,7.64994"); + } + cell_fall(template_1356) { + values("0.81918,0.82188,0.83154,0.86001,0.95101,1.33860,3.13411"); + } + fall_transition(template_1356) { + values("0.01777,0.01933,0.02593,0.05064,0.15981,0.69341,3.18606"); + } + } + timing() { + related_pin : "mprj_iena_wb"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1485) { + values("1.23549,1.23856,1.25056,1.29597,1.49133,2.39087,6.59753"); + } + rise_transition(template_1485) { + values("0.01760,0.02033,0.03286,0.09111,0.36972,1.66077,7.64994"); + } + cell_fall(template_1486) { + values("1.08911,1.09181,1.10147,1.12994,1.22094,1.60853,3.40404"); + } + fall_transition(template_1486) { + values("0.01777,0.01933,0.02593,0.05064,0.15981,0.69341,3.18606"); + } + } + } + } + bus("mprj_dat_i_user") { + bus_type : mprj_dat_i_user; + direction : input; + capacitance : 0.0000; + pin("mprj_dat_i_user[31]") { + direction : input; + capacitance : 0.3153; + } + pin("mprj_dat_i_user[30]") { + direction : input; + capacitance : 0.3231; + } + pin("mprj_dat_i_user[29]") { + direction : input; + capacitance : 0.3327; + } + pin("mprj_dat_i_user[28]") { + direction : input; + capacitance : 0.3015; + } + pin("mprj_dat_i_user[27]") { + direction : input; + capacitance : 0.3678; + } + pin("mprj_dat_i_user[26]") { + direction : input; + capacitance : 0.3292; + } + pin("mprj_dat_i_user[25]") { + direction : input; + capacitance : 0.3090; + } + pin("mprj_dat_i_user[24]") { + direction : input; + capacitance : 0.3210; + } + pin("mprj_dat_i_user[23]") { + direction : input; + capacitance : 0.3418; + } + pin("mprj_dat_i_user[22]") { + direction : input; + capacitance : 0.3354; + } + pin("mprj_dat_i_user[21]") { + direction : input; + capacitance : 0.3326; + } + pin("mprj_dat_i_user[20]") { + direction : input; + capacitance : 0.3521; + } + pin("mprj_dat_i_user[19]") { + direction : input; + capacitance : 0.3906; + } + pin("mprj_dat_i_user[18]") { + direction : input; + capacitance : 0.3969; + } + pin("mprj_dat_i_user[17]") { + direction : input; + capacitance : 0.3954; + } + pin("mprj_dat_i_user[16]") { + direction : input; + capacitance : 0.4033; + } + pin("mprj_dat_i_user[15]") { + direction : input; + capacitance : 0.4035; + } + pin("mprj_dat_i_user[14]") { + direction : input; + capacitance : 0.3817; + } + pin("mprj_dat_i_user[13]") { + direction : input; + capacitance : 0.3682; + } + pin("mprj_dat_i_user[12]") { + direction : input; + capacitance : 0.4109; + } + pin("mprj_dat_i_user[11]") { + direction : input; + capacitance : 0.3773; + } + pin("mprj_dat_i_user[10]") { + direction : input; + capacitance : 0.3510; + } + pin("mprj_dat_i_user[9]") { + direction : input; + capacitance : 0.4244; + } + pin("mprj_dat_i_user[8]") { + direction : input; + capacitance : 0.3952; + } + pin("mprj_dat_i_user[7]") { + direction : input; + capacitance : 0.4007; + } + pin("mprj_dat_i_user[6]") { + direction : input; + capacitance : 0.4268; + } + pin("mprj_dat_i_user[5]") { + direction : input; + capacitance : 0.3942; + } + pin("mprj_dat_i_user[4]") { + direction : input; + capacitance : 0.4376; + } + pin("mprj_dat_i_user[3]") { + direction : input; + capacitance : 0.4019; + } + pin("mprj_dat_i_user[2]") { + direction : input; + capacitance : 0.4017; + } + pin("mprj_dat_i_user[1]") { + direction : input; + capacitance : 0.4329; + } + pin("mprj_dat_i_user[0]") { + direction : input; + capacitance : 0.4247; + } + } + bus("mprj_dat_o_core") { + bus_type : mprj_dat_o_core; + direction : input; + capacitance : 0.0000; + pin("mprj_dat_o_core[31]") { + direction : input; + capacitance : 0.0065; + } + pin("mprj_dat_o_core[30]") { + direction : input; + capacitance : 0.0068; + } + pin("mprj_dat_o_core[29]") { + direction : input; + capacitance : 0.0071; + } + pin("mprj_dat_o_core[28]") { + direction : input; + capacitance : 0.0074; + } + pin("mprj_dat_o_core[27]") { + direction : input; + capacitance : 0.0070; + } + pin("mprj_dat_o_core[26]") { + direction : input; + capacitance : 0.0073; + } + pin("mprj_dat_o_core[25]") { + direction : input; + capacitance : 0.0074; + } + pin("mprj_dat_o_core[24]") { + direction : input; + capacitance : 0.0075; + } + pin("mprj_dat_o_core[23]") { + direction : input; + capacitance : 0.0074; + } + pin("mprj_dat_o_core[22]") { + direction : input; + capacitance : 0.0068; + } + pin("mprj_dat_o_core[21]") { + direction : input; + capacitance : 0.0074; + } + pin("mprj_dat_o_core[20]") { + direction : input; + capacitance : 0.0074; + } + pin("mprj_dat_o_core[19]") { + direction : input; + capacitance : 0.0071; + } + pin("mprj_dat_o_core[18]") { + direction : input; + capacitance : 0.0073; + } + pin("mprj_dat_o_core[17]") { + direction : input; + capacitance : 0.0073; + } + pin("mprj_dat_o_core[16]") { + direction : input; + capacitance : 0.0073; + } + pin("mprj_dat_o_core[15]") { + direction : input; + capacitance : 0.0075; + } + pin("mprj_dat_o_core[14]") { + direction : input; + capacitance : 0.0073; + } + pin("mprj_dat_o_core[13]") { + direction : input; + capacitance : 0.0085; + } + pin("mprj_dat_o_core[12]") { + direction : input; + capacitance : 0.0079; + } + pin("mprj_dat_o_core[11]") { + direction : input; + capacitance : 0.0078; + } + pin("mprj_dat_o_core[10]") { + direction : input; + capacitance : 0.0082; + } + pin("mprj_dat_o_core[9]") { + direction : input; + capacitance : 0.0075; + } + pin("mprj_dat_o_core[8]") { + direction : input; + capacitance : 0.0073; + } + pin("mprj_dat_o_core[7]") { + direction : input; + capacitance : 0.0086; + } + pin("mprj_dat_o_core[6]") { + direction : input; + capacitance : 0.0088; + } + pin("mprj_dat_o_core[5]") { + direction : input; + capacitance : 0.0097; + } + pin("mprj_dat_o_core[4]") { + direction : input; + capacitance : 0.0082; + } + pin("mprj_dat_o_core[3]") { + direction : input; + capacitance : 0.0079; + } + pin("mprj_dat_o_core[2]") { + direction : input; + capacitance : 0.0079; + } + pin("mprj_dat_o_core[1]") { + direction : input; + capacitance : 0.0090; + } + pin("mprj_dat_o_core[0]") { + direction : input; + capacitance : 0.0083; + } + } + bus("mprj_dat_o_user") { + bus_type : mprj_dat_o_user; + direction : output; + capacitance : 0.0000; + pin("mprj_dat_o_user[31]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_o_core[31]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1467) { + values("1.44590,1.44906,1.46133,1.50707,1.70263,2.60358,6.79714"); + } + rise_transition(template_1467) { + values("0.01888,0.02161,0.03387,0.09150,0.36943,1.66129,7.64948"); + } + cell_fall(template_1468) { + values("1.37256,1.37525,1.38491,1.41336,1.50434,1.89195,3.68751"); + } + fall_transition(template_1468) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18589"); + } + } + } + pin("mprj_dat_o_user[30]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_o_core[30]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1465) { + values("1.47389,1.47706,1.48935,1.53511,1.73069,2.63174,6.82436"); + } + rise_transition(template_1465) { + values("0.01897,0.02170,0.03395,0.09153,0.36941,1.66133,7.64945"); + } + cell_fall(template_1466) { + values("1.39373,1.39643,1.40608,1.43453,1.52551,1.91312,3.70851"); + } + fall_transition(template_1466) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18578"); + } + } + } + pin("mprj_dat_o_user[29]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_o_core[29]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1461) { + values("1.46397,1.46714,1.47942,1.52518,1.72075,2.62178,6.81462"); + } + rise_transition(template_1461) { + values("0.01895,0.02168,0.03393,0.09152,0.36942,1.66132,7.64945"); + } + cell_fall(template_1462) { + values("1.38587,1.38857,1.39822,1.42668,1.51765,1.90526,3.70073"); + } + fall_transition(template_1462) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18583"); + } + } + } + pin("mprj_dat_o_user[28]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_o_core[28]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1459) { + values("1.49375,1.49692,1.50920,1.55495,1.75051,2.65150,6.84467"); + } + rise_transition(template_1459) { + values("0.01892,0.02164,0.03390,0.09151,0.36942,1.66131,7.64946"); + } + cell_fall(template_1460) { + values("1.40545,1.40814,1.41780,1.44626,1.53723,1.92486,3.72058"); + } + fall_transition(template_1460) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18599"); + } + } + } + pin("mprj_dat_o_user[27]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_o_core[27]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1457) { + values("1.47805,1.48121,1.49345,1.53917,1.73471,2.63554,6.83020"); + } + rise_transition(template_1457) { + values("0.01877,0.02150,0.03379,0.09147,0.36946,1.66125,7.64952"); + } + cell_fall(template_1458) { + values("1.39293,1.39562,1.40528,1.43376,1.52471,1.91237,3.70846"); + } + fall_transition(template_1458) { + values("0.01758,0.01918,0.02581,0.05056,0.15982,0.69348,3.18623"); + } + } + } + pin("mprj_dat_o_user[26]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_o_core[26]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1455) { + values("1.46596,1.46912,1.48137,1.52708,1.72262,2.62345,6.81815"); + } + rise_transition(template_1455) { + values("0.01877,0.02149,0.03379,0.09147,0.36946,1.66125,7.64952"); + } + cell_fall(template_1456) { + values("1.38356,1.38626,1.39592,1.42439,1.51535,1.90301,3.69915"); + } + fall_transition(template_1456) { + values("0.01757,0.01918,0.02581,0.05056,0.15982,0.69348,3.18626"); + } + } + } + pin("mprj_dat_o_user[25]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_o_core[25]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1453) { + values("1.49574,1.49891,1.51118,1.55692,1.75248,2.65345,6.84685"); + } + rise_transition(template_1453) { + values("0.01890,0.02162,0.03389,0.09151,0.36943,1.66130,7.64947"); + } + cell_fall(template_1454) { + values("1.40213,1.40482,1.41448,1.44294,1.53391,1.92154,3.71720"); + } + fall_transition(template_1454) { + values("0.01758,0.01918,0.02580,0.05056,0.15981,0.69348,3.18595"); + } + } + } + pin("mprj_dat_o_user[24]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_o_core[24]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1451) { + values("1.50025,1.50343,1.51574,1.56153,1.75711,2.65828,6.84986"); + } + rise_transition(template_1451) { + values("0.01908,0.02180,0.03403,0.09156,0.36939,1.66137,7.64941"); + } + cell_fall(template_1452) { + values("1.40350,1.40620,1.41585,1.44431,1.53528,1.92289,3.71836"); + } + fall_transition(template_1452) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18582"); + } + } + } + pin("mprj_dat_o_user[23]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_o_core[23]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1449) { + values("1.48214,1.48530,1.49755,1.54327,1.73882,2.63968,6.83406"); + } + rise_transition(template_1449) { + values("0.01880,0.02153,0.03381,0.09148,0.36945,1.66126,7.64951"); + } + cell_fall(template_1450) { + values("1.39130,1.39399,1.40365,1.43212,1.52308,1.91072,3.70661"); + } + fall_transition(template_1450) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18610"); + } + } + } + pin("mprj_dat_o_user[22]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_o_core[22]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1447) { + values("1.48762,1.49078,1.50305,1.54879,1.74435,2.64530,6.83887"); + } + rise_transition(template_1447) { + values("0.01888,0.02160,0.03387,0.09150,0.36943,1.66129,7.64948"); + } + cell_fall(template_1448) { + values("1.36041,1.36311,1.37277,1.40123,1.49220,1.87984,3.67579"); + } + fall_transition(template_1448) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18614"); + } + } + } + pin("mprj_dat_o_user[21]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_o_core[21]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1445) { + values("1.48788,1.49103,1.50327,1.54898,1.74451,2.64532,6.84025"); + } + rise_transition(template_1445) { + values("0.01875,0.02147,0.03377,0.09146,0.36946,1.66124,7.64953"); + } + cell_fall(template_1446) { + values("1.36249,1.36518,1.37484,1.40331,1.49427,1.88191,3.67778"); + } + fall_transition(template_1446) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18609"); + } + } + } + pin("mprj_dat_o_user[20]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_o_core[20]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1443) { + values("1.47482,1.47797,1.49020,1.53588,1.73141,2.63213,6.82782"); + } + rise_transition(template_1443) { + values("0.01867,0.02140,0.03371,0.09144,0.36948,1.66121,7.64955"); + } + cell_fall(template_1444) { + values("1.35274,1.35543,1.36510,1.39357,1.48453,1.87219,3.66843"); + } + fall_transition(template_1444) { + values("0.01757,0.01918,0.02581,0.05056,0.15982,0.69349,3.18633"); + } + } + } + pin("mprj_dat_o_user[19]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_o_core[19]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1439) { + values("1.49688,1.50005,1.51231,1.55805,1.75360,2.65453,6.84832"); + } + rise_transition(template_1439) { + values("0.01886,0.02158,0.03386,0.09149,0.36944,1.66128,7.64949"); + } + cell_fall(template_1440) { + values("1.36738,1.37008,1.37974,1.40820,1.49916,1.88679,3.68249"); + } + fall_transition(template_1440) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18597"); + } + } + } + pin("mprj_dat_o_user[18]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_o_core[18]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1437) { + values("1.47727,1.48043,1.49267,1.53837,1.73391,2.63471,6.82966"); + } + rise_transition(template_1437) { + values("0.01875,0.02147,0.03377,0.09146,0.36946,1.66124,7.64953"); + } + cell_fall(template_1438) { + values("1.35631,1.35900,1.36867,1.39713,1.48809,1.87573,3.67152"); + } + fall_transition(template_1438) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18604"); + } + } + } + pin("mprj_dat_o_user[17]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_o_core[17]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1435) { + values("1.46579,1.46899,1.48137,1.52725,1.72288,2.62442,6.81260"); + } + rise_transition(template_1435) { + values("0.01941,0.02213,0.03429,0.09166,0.36932,1.66151,7.64929"); + } + cell_fall(template_1436) { + values("1.28234,1.28503,1.29468,1.32312,1.41411,1.80167,3.59647"); + } + fall_transition(template_1436) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18539"); + } + } + } + pin("mprj_dat_o_user[16]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_o_core[16]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1433) { + values("1.46415,1.46735,1.47971,1.52556,1.72118,2.62260,6.81182"); + } + rise_transition(template_1433) { + values("0.01931,0.02203,0.03421,0.09163,0.36934,1.66146,7.64932"); + } + cell_fall(template_1434) { + values("1.28127,1.28396,1.29361,1.32205,1.41304,1.80061,3.59561"); + } + fall_transition(template_1434) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18552"); + } + } + } + pin("mprj_dat_o_user[15]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_o_core[15]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1431) { + values("1.51637,1.51958,1.53197,1.57786,1.77351,2.67511,6.86263"); + } + rise_transition(template_1431) { + values("0.01947,0.02219,0.03434,0.09168,0.36930,1.66153,7.64926"); + } + cell_fall(template_1432) { + values("1.36781,1.37050,1.38015,1.40859,1.49958,1.88714,3.68197"); + } + fall_transition(template_1432) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18541"); + } + } + } + pin("mprj_dat_o_user[14]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_o_core[14]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1429) { + values("1.53269,1.53588,1.54823,1.59408,1.78969,2.69109,6.88056"); + } + rise_transition(template_1429) { + values("0.01928,0.02200,0.03419,0.09162,0.36934,1.66145,7.64933"); + } + cell_fall(template_1430) { + values("1.37936,1.38205,1.39170,1.42015,1.51113,1.89873,3.69395"); + } + fall_transition(template_1430) { + values("0.01759,0.01917,0.02580,0.05055,0.15980,0.69347,3.18567"); + } + } + } + pin("mprj_dat_o_user[13]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_o_core[13]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1427) { + values("1.52620,1.52938,1.54172,1.58753,1.78314,2.68442,6.87490"); + } + rise_transition(template_1427) { + values("0.01918,0.02190,0.03411,0.09159,0.36937,1.66141,7.64937"); + } + cell_fall(template_1428) { + values("1.37141,1.37410,1.38375,1.41220,1.50318,1.89078,3.68605"); + } + fall_transition(template_1428) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18570"); + } + } + } + pin("mprj_dat_o_user[12]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_o_core[12]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1425) { + values("1.54172,1.54492,1.55726,1.60309,1.79870,2.70004,6.89002"); + } + rise_transition(template_1425) { + values("0.01923,0.02195,0.03415,0.09161,0.36936,1.66143,7.64935"); + } + cell_fall(template_1426) { + values("1.38406,1.38676,1.39641,1.42486,1.51584,1.90344,3.69876"); + } + fall_transition(template_1426) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18573"); + } + } + } + pin("mprj_dat_o_user[11]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_o_core[11]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1423) { + values("1.51328,1.51647,1.52881,1.57463,1.77023,2.67154,6.86183"); + } + rise_transition(template_1423) { + values("0.01920,0.02192,0.03413,0.09160,0.36936,1.66142,7.64936"); + } + cell_fall(template_1424) { + values("1.36819,1.37088,1.38054,1.40899,1.49997,1.88756,3.68289"); + } + fall_transition(template_1424) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18573"); + } + } + } + pin("mprj_dat_o_user[10]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_o_core[10]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1421) { + values("1.52716,1.53036,1.54272,1.58857,1.78420,2.68564,6.87468"); + } + rise_transition(template_1421) { + values("0.01932,0.02204,0.03422,0.09164,0.36934,1.66147,7.64932"); + } + cell_fall(template_1422) { + values("1.37569,1.37838,1.38803,1.41648,1.50746,1.89505,3.69015"); + } + fall_transition(template_1422) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18559"); + } + } + } + pin("mprj_dat_o_user[9]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_o_core[9]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1481) { + values("1.53148,1.53465,1.54695,1.59272,1.78829,2.68938,6.88173"); + } + rise_transition(template_1481) { + values("0.01900,0.02172,0.03397,0.09154,0.36941,1.66134,7.64944"); + } + cell_fall(template_1482) { + values("1.37926,1.38195,1.39160,1.42005,1.51103,1.89863,3.69390"); + } + fall_transition(template_1482) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18570"); + } + } + } + pin("mprj_dat_o_user[8]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_o_core[8]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1479) { + values("1.57288,1.57607,1.58840,1.63422,1.82983,2.73112,6.92150"); + } + rise_transition(template_1479) { + values("0.01919,0.02192,0.03412,0.09160,0.36936,1.66142,7.64936"); + } + cell_fall(template_1480) { + values("1.44452,1.44721,1.45687,1.48532,1.57630,1.96390,3.75934"); + } + fall_transition(template_1480) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18580"); + } + } + } + pin("mprj_dat_o_user[7]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_o_core[7]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1477) { + values("1.54756,1.55075,1.56308,1.60889,1.80449,2.70576,6.89641"); + } + rise_transition(template_1477) { + values("0.01917,0.02189,0.03410,0.09159,0.36937,1.66141,7.64938"); + } + cell_fall(template_1478) { + values("1.42637,1.42906,1.43872,1.46717,1.55815,1.94575,3.74116"); + } + fall_transition(template_1478) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18579"); + } + } + } + pin("mprj_dat_o_user[6]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_o_core[6]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1475) { + values("1.52404,1.52724,1.53958,1.58542,1.78104,2.68241,6.87207"); + } + rise_transition(template_1475) { + values("0.01927,0.02199,0.03418,0.09162,0.36935,1.66145,7.64934"); + } + cell_fall(template_1476) { + values("1.41114,1.41383,1.42348,1.45193,1.54291,1.93050,3.72567"); + } + fall_transition(template_1476) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69347,3.18563"); + } + } + } + pin("mprj_dat_o_user[5]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_o_core[5]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1473) { + values("1.55380,1.55699,1.56933,1.61516,1.81077,2.71211,6.90213"); + } + rise_transition(template_1473) { + values("0.01923,0.02195,0.03415,0.09161,0.36936,1.66143,7.64935"); + } + cell_fall(template_1474) { + values("1.42944,1.43213,1.44178,1.47024,1.56121,1.94881,3.74416"); + } + fall_transition(template_1474) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18575"); + } + } + } + pin("mprj_dat_o_user[4]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_o_core[4]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1471) { + values("1.59457,1.59777,1.61014,1.65601,1.85164,2.75312,6.94177"); + } + rise_transition(template_1471) { + values("0.01936,0.02208,0.03425,0.09165,0.36933,1.66149,7.64931"); + } + cell_fall(template_1472) { + values("1.45297,1.45566,1.46532,1.49376,1.58475,1.97233,3.76738"); + } + fall_transition(template_1472) { + values("0.01760,0.01917,0.02580,0.05055,0.15979,0.69346,3.18556"); + } + } + } + pin("mprj_dat_o_user[3]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_o_core[3]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1469) { + values("1.45611,1.45927,1.47153,1.51725,1.71280,2.61368,6.80788"); + } + rise_transition(template_1469) { + values("0.01882,0.02154,0.03382,0.09148,0.36945,1.66127,7.64950"); + } + cell_fall(template_1470) { + values("1.27880,1.28149,1.29115,1.31961,1.41058,1.79821,3.59391"); + } + fall_transition(template_1470) { + values("0.01758,0.01918,0.02581,0.05056,0.15981,0.69348,3.18598"); + } + } + } + pin("mprj_dat_o_user[2]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_o_core[2]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1463) { + values("1.54506,1.54826,1.56061,1.60645,1.80207,2.70347,6.89292"); + } + rise_transition(template_1463) { + values("0.01928,0.02200,0.03419,0.09162,0.36934,1.66146,7.64933"); + } + cell_fall(template_1464) { + values("1.38749,1.39018,1.39983,1.42828,1.51926,1.90686,3.70213"); + } + fall_transition(template_1464) { + values("0.01759,0.01917,0.02580,0.05056,0.15980,0.69347,3.18570"); + } + } + } + pin("mprj_dat_o_user[1]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_o_core[1]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1441) { + values("1.53848,1.54161,1.55379,1.59942,1.79492,2.69540,6.89330"); + } + rise_transition(template_1441) { + values("0.01846,0.02118,0.03354,0.09137,0.36953,1.66112,7.64963"); + } + cell_fall(template_1442) { + values("1.37630,1.37899,1.38866,1.41714,1.50809,1.89578,3.69234"); + } + fall_transition(template_1442) { + values("0.01757,0.01919,0.02581,0.05056,0.15983,0.69349,3.18654"); + } + } + } + pin("mprj_dat_o_user[0]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_dat_o_core[0]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1419) { + values("1.54712,1.55028,1.56255,1.60829,1.80384,2.70478,6.89845"); + } + rise_transition(template_1419) { + values("0.01887,0.02160,0.03387,0.09150,0.36944,1.66129,7.64948"); + } + cell_fall(template_1420) { + values("1.38356,1.38625,1.39591,1.42436,1.51534,1.90295,3.69843"); + } + fall_transition(template_1420) { + values("0.01759,0.01918,0.02580,0.05056,0.15980,0.69347,3.18584"); + } + } + } + } + bus("mprj_sel_o_core") { + bus_type : mprj_sel_o_core; + direction : input; + capacitance : 0.0000; + pin("mprj_sel_o_core[3]") { + direction : input; + capacitance : 0.0061; + } + pin("mprj_sel_o_core[2]") { + direction : input; + capacitance : 0.0061; + } + pin("mprj_sel_o_core[1]") { + direction : input; + capacitance : 0.0067; + } + pin("mprj_sel_o_core[0]") { + direction : input; + capacitance : 0.0056; + } + } + bus("mprj_sel_o_user") { + bus_type : mprj_sel_o_user; + direction : output; + capacitance : 0.0000; + pin("mprj_sel_o_user[3]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_sel_o_core[3]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1555) { + values("1.48317,1.48630,1.49850,1.54415,1.73966,2.64023,6.83732"); + } + rise_transition(template_1555) { + values("0.01854,0.02126,0.03360,0.09139,0.36951,1.66115,7.64960"); + } + cell_fall(template_1556) { + values("1.31582,1.31852,1.32818,1.35666,1.44761,1.83529,3.63162"); + } + fall_transition(template_1556) { + values("0.01757,0.01919,0.02581,0.05056,0.15982,0.69349,3.18639"); + } + } + } + pin("mprj_sel_o_user[2]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_sel_o_core[2]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1553) { + values("1.52048,1.52361,1.53578,1.58140,1.77689,2.67735,6.87552"); + } + rise_transition(template_1553) { + values("0.01843,0.02116,0.03352,0.09136,0.36953,1.66111,7.64964"); + } + cell_fall(template_1554) { + values("1.40741,1.41010,1.41977,1.44826,1.53920,1.92690,3.72354"); + } + fall_transition(template_1554) { + values("0.01756,0.01919,0.02581,0.05056,0.15983,0.69349,3.18659"); + } + } + } + pin("mprj_sel_o_user[1]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_sel_o_core[1]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1551) { + values("1.53905,1.54217,1.55434,1.59995,1.79543,2.69583,6.89450"); + } + rise_transition(template_1551) { + values("0.01838,0.02111,0.03348,0.09135,0.36954,1.66109,7.64966"); + } + cell_fall(template_1552) { + values("1.45880,1.46150,1.47117,1.49966,1.59059,1.97830,3.77504"); + } + fall_transition(template_1552) { + values("0.01756,0.01919,0.02581,0.05056,0.15983,0.69349,3.18666"); + } + } + } + pin("mprj_sel_o_user[0]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "mprj_sel_o_core[0]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1549) { + values("1.51567,1.51879,1.53096,1.57658,1.77206,2.67248,6.87095"); + } + rise_transition(template_1549) { + values("0.01840,0.02113,0.03349,0.09135,0.36954,1.66110,7.64965"); + } + cell_fall(template_1550) { + values("1.38940,1.39209,1.40176,1.43026,1.52119,1.90890,3.70575"); + } + fall_transition(template_1550) { + values("0.01756,0.01919,0.02581,0.05056,0.15983,0.69350,3.18673"); + } + } + } + } + bus("user_irq") { + bus_type : user_irq; + direction : output; + capacitance : 0.0000; + pin("user_irq[2]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "user_irq_core[2]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1565) { + values("0.23611,0.23917,0.25118,0.29657,0.49197,1.39131,5.59837"); + } + rise_transition(template_1565) { + values("0.01741,0.02015,0.03270,0.09104,0.36983,1.65998,7.65045"); + } + cell_fall(template_1566) { + values("0.24917,0.25187,0.26157,0.29013,0.38100,0.76889,2.56821"); + } + fall_transition(template_1566) { + values("0.01751,0.01922,0.02583,0.05058,0.15989,0.69354,3.18834"); + } + } + timing() { + related_pin : "user_irq_ena[2]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1571) { + values("0.51653,0.51959,0.53160,0.57699,0.77239,1.67173,5.87879"); + } + rise_transition(template_1571) { + values("0.01741,0.02015,0.03270,0.09104,0.36983,1.65998,7.65045"); + } + cell_fall(template_1572) { + values("0.56141,0.56412,0.57382,0.60237,0.69324,1.08113,2.88045"); + } + fall_transition(template_1572) { + values("0.01751,0.01922,0.02583,0.05058,0.15989,0.69354,3.18834"); + } + } + } + pin("user_irq[1]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "user_irq_core[1]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1563) { + values("0.19686,0.19993,0.21200,0.25742,0.45290,1.35220,5.55765"); + } + rise_transition(template_1563) { + values("0.01736,0.02011,0.03266,0.09102,0.36994,1.65900,7.65107"); + } + cell_fall(template_1564) { + values("0.25482,0.25752,0.26719,0.29569,0.38662,0.77436,2.57153"); + } + fall_transition(template_1564) { + values("0.01755,0.01920,0.02581,0.05057,0.15984,0.69350,3.18694"); + } + } + timing() { + related_pin : "user_irq_ena[1]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1569) { + values("0.53374,0.53682,0.54888,0.59430,0.78979,1.68908,5.89453"); + } + rise_transition(template_1569) { + values("0.01736,0.02011,0.03266,0.09102,0.36994,1.65900,7.65107"); + } + cell_fall(template_1570) { + values("0.61038,0.61308,0.62275,0.65125,0.74218,1.12992,2.92709"); + } + fall_transition(template_1570) { + values("0.01755,0.01920,0.02581,0.05057,0.15984,0.69350,3.18694"); + } + } + } + pin("user_irq[0]") { + direction : output; + capacitance : 0.2000; + timing() { + related_pin : "user_irq_core[0]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1561) { + values("0.19231,0.19538,0.20744,0.25286,0.44833,1.34762,5.55329"); + } + rise_transition(template_1561) { + values("0.01737,0.02012,0.03267,0.09102,0.36993,1.65913,7.65099"); + } + cell_fall(template_1562) { + values("0.25064,0.25333,0.26301,0.29151,0.38244,0.77016,2.56724"); + } + fall_transition(template_1562) { + values("0.01755,0.01920,0.02581,0.05057,0.15984,0.69350,3.18688"); + } + } + timing() { + related_pin : "user_irq_ena[0]"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise(template_1567) { + values("0.48896,0.49203,0.50409,0.54951,0.74498,1.64428,5.84994"); + } + rise_transition(template_1567) { + values("0.01737,0.02012,0.03267,0.09102,0.36993,1.65913,7.65099"); + } + cell_fall(template_1568) { + values("0.57499,0.57769,0.58736,0.61586,0.70679,1.09452,2.89159"); + } + fall_transition(template_1568) { + values("0.01755,0.01920,0.02581,0.05057,0.15984,0.69350,3.18688"); + } + } + } + } + bus("user_irq_core") { + bus_type : user_irq_core; + direction : input; + capacitance : 0.0000; + pin("user_irq_core[2]") { + direction : input; + capacitance : 0.0187; + } + pin("user_irq_core[1]") { + direction : input; + capacitance : 0.0237; + } + pin("user_irq_core[0]") { + direction : input; + capacitance : 0.0175; + } + } + bus("user_irq_ena") { + bus_type : user_irq_ena; + direction : input; + capacitance : 0.0000; + pin("user_irq_ena[2]") { + direction : input; + capacitance : 0.0044; + } + pin("user_irq_ena[1]") { + direction : input; + capacitance : 0.0041; + } + pin("user_irq_ena[0]") { + direction : input; + capacitance : 0.0044; + } + } + } + +} diff --git a/sdf/mgmt_protect_hv.sdf b/signoff/mgmt_protect_hv/openlane-signoff/sdf/nom/mgmt_protect_hv.tt.sdf similarity index 100% rename from sdf/mgmt_protect_hv.sdf rename to signoff/mgmt_protect_hv/openlane-signoff/sdf/nom/mgmt_protect_hv.tt.sdf diff --git a/spef/mgmt_protect_hv/mgmt_protect_hv.nom.spef b/signoff/mgmt_protect_hv/openlane-signoff/spef/mgmt_protect_hv.nom.spef similarity index 100% rename from spef/mgmt_protect_hv/mgmt_protect_hv.nom.spef rename to signoff/mgmt_protect_hv/openlane-signoff/spef/mgmt_protect_hv.nom.spef diff --git a/sdf/mprj2_logic_high.sdf b/signoff/mprj2_logic_high/openlane-signoff/sdf/nom/mprj2_logic_high.tt.sdf similarity index 100% rename from sdf/mprj2_logic_high.sdf rename to signoff/mprj2_logic_high/openlane-signoff/sdf/nom/mprj2_logic_high.tt.sdf diff --git a/spef/mprj2_logic_high/mprj2_logic_high.nom.spef b/signoff/mprj2_logic_high/openlane-signoff/spef/mprj2_logic_high.nom.spef similarity index 100% rename from spef/mprj2_logic_high/mprj2_logic_high.nom.spef rename to signoff/mprj2_logic_high/openlane-signoff/spef/mprj2_logic_high.nom.spef diff --git a/sdf/mprj_logic_high.sdf b/signoff/mprj_logic_high/openlane-signoff/sdf/nom/mprj_logic_high.tt.sdf similarity index 100% rename from sdf/mprj_logic_high.sdf rename to signoff/mprj_logic_high/openlane-signoff/sdf/nom/mprj_logic_high.tt.sdf diff --git a/spef/mprj_logic_high/mprj_logic_high.nom.spef b/signoff/mprj_logic_high/openlane-signoff/spef/mprj_logic_high.nom.spef similarity index 100% rename from spef/mprj_logic_high/mprj_logic_high.nom.spef rename to signoff/mprj_logic_high/openlane-signoff/spef/mprj_logic_high.nom.spef diff --git a/sdf/spare_logic_block.sdf b/signoff/spare_logic_block/openlane-signoff/sdf/nom/spare_logic_block.tt.sdf similarity index 100% rename from sdf/spare_logic_block.sdf rename to signoff/spare_logic_block/openlane-signoff/sdf/nom/spare_logic_block.tt.sdf diff --git a/spef/spare_logic_block/spare_logic_block.nom.spef b/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.nom.spef similarity index 100% rename from spef/spare_logic_block/spare_logic_block.nom.spef rename to signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.nom.spef diff --git a/sdf/xres_buf.sdf b/signoff/xres_buf/openlane-signoff/sdf/nom/xres_buf.tt.sdf similarity index 100% rename from sdf/xres_buf.sdf rename to signoff/xres_buf/openlane-signoff/sdf/nom/xres_buf.tt.sdf diff --git a/spef/xres_buf/xres_buf.nom.spef b/signoff/xres_buf/openlane-signoff/spef/xres_buf.nom.spef similarity index 100% rename from spef/xres_buf/xres_buf.nom.spef rename to signoff/xres_buf/openlane-signoff/spef/xres_buf.nom.spef diff --git a/spef/buff_flash_clkrst.spef b/spef/buff_flash_clkrst.spef deleted file mode 100644 index e4f997a5..00000000 --- a/spef/buff_flash_clkrst.spef +++ /dev/null @@ -1,587 +0,0 @@ -*SPEF "ieee 1481-1999" -*DESIGN "buff_flash_clkrst" -*DATE "11:11:11 Fri 11 11, 1111" -*VENDOR "OpenRCX" -*PROGRAM "Parallel Extraction" -*VERSION "1.0" -*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" -*DIVIDER / -*DELIMITER : -*BUS_DELIMITER [] -*T_UNIT 1 NS -*C_UNIT 1 PF -*R_UNIT 1 OHM -*L_UNIT 1 HENRY - -*NAME_MAP -*3 in_n[0] -*4 in_n[10] -*5 in_n[11] -*6 in_n[1] -*7 in_n[2] -*8 in_n[3] -*9 in_n[4] -*10 in_n[5] -*11 in_n[6] -*12 in_n[7] -*13 in_n[8] -*14 in_n[9] -*15 in_s[0] -*16 in_s[1] -*17 in_s[2] -*18 out_n[0] -*19 out_n[1] -*20 out_n[2] -*21 out_s[0] -*22 out_s[10] -*23 out_s[11] -*24 out_s[1] -*25 out_s[2] -*26 out_s[3] -*27 out_s[4] -*28 out_s[5] -*29 out_s[6] -*30 out_s[7] -*31 out_s[8] -*32 out_s[9] -*33 BUF\[0\] -*34 BUF\[10\] -*35 BUF\[11\] -*36 BUF\[12\] -*37 BUF\[13\] -*38 BUF\[14\] -*39 BUF\[1\] -*40 BUF\[2\] -*41 BUF\[3\] -*42 BUF\[4\] -*43 BUF\[5\] -*44 BUF\[6\] -*45 BUF\[7\] -*46 BUF\[8\] -*47 BUF\[9\] -*48 FILLER_0_19 -*49 FILLER_0_27 -*50 FILLER_0_29 -*51 FILLER_0_3 -*52 FILLER_0_41 -*53 FILLER_0_54 -*54 FILLER_0_57 -*55 FILLER_0_7 -*56 FILLER_0_70 -*57 FILLER_0_74 -*58 FILLER_1_17 -*59 FILLER_1_3 -*60 FILLER_1_32 -*61 FILLER_1_47 -*62 FILLER_1_55 -*63 FILLER_1_57 -*64 FILLER_1_70 -*65 FILLER_1_74 -*66 FILLER_2_26 -*67 FILLER_2_29 -*68 FILLER_2_3 -*69 FILLER_2_52 -*70 FILLER_2_67 -*71 FILLER_3_15 -*72 FILLER_3_27 -*73 FILLER_3_3 -*74 FILLER_3_42 -*75 FILLER_3_54 -*76 FILLER_3_57 -*77 FILLER_3_70 -*78 FILLER_3_74 -*79 FILLER_4_19 -*80 FILLER_4_27 -*81 FILLER_4_29 -*82 FILLER_4_3 -*83 FILLER_4_41 -*84 FILLER_4_53 -*85 FILLER_4_57 -*86 FILLER_4_7 -*87 FILLER_4_70 -*88 FILLER_4_74 -*89 PHY_0 -*90 PHY_1 -*91 PHY_2 -*92 PHY_3 -*93 PHY_4 -*94 PHY_5 -*95 PHY_6 -*96 PHY_7 -*97 PHY_8 -*98 PHY_9 -*99 TAP_10 -*100 TAP_11 -*101 TAP_12 -*102 TAP_13 -*103 TAP_14 -*104 TAP_15 -*105 TAP_16 - -*PORTS -in_n[0] I -in_n[10] I -in_n[11] I -in_n[1] I -in_n[2] I -in_n[3] I -in_n[4] I -in_n[5] I -in_n[6] I -in_n[7] I -in_n[8] I -in_n[9] I -in_s[0] I -in_s[1] I -in_s[2] I -out_n[0] O -out_n[1] O -out_n[2] O -out_s[0] O -out_s[10] O -out_s[11] O -out_s[1] O -out_s[2] O -out_s[3] O -out_s[4] O -out_s[5] O -out_s[6] O -out_s[7] O -out_s[8] O -out_s[9] O - -*D_NET *3 0.000746189 -*CONN -*P in_n[0] I -*I *41:A I *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 in_n[0] 0.000291118 -2 *41:A 0.000291118 -3 *41:A out_n[2] 0.000163953 -4 *41:A *42:A 0 -*RES -1 in_n[0] *41:A 20.5321 -*END - -*D_NET *4 0.000540091 -*CONN -*P in_n[10] I -*I *37:A I *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 in_n[10] 0.00024161 -2 *37:A 0.00024161 -3 *37:A out_s[10] 5.68722e-05 -4 *37:A *36:A 0 -5 *37:A *38:A 0 -*RES -1 in_n[10] *37:A 18.55 -*END - -*D_NET *5 0.00290352 -*CONN -*P in_n[11] I -*I *38:A I *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 in_n[11] 0.00113707 -2 *38:A 0.00113707 -3 *38:A out_s[10] 0 -4 *38:A out_s[11] 0.000304969 -5 *38:A out_s[9] 0.000140933 -6 *38:A *36:A 0.000183477 -7 *37:A *38:A 0 -*RES -1 in_n[11] *38:A 36.925 -*END - -*D_NET *6 0.00134243 -*CONN -*P in_n[1] I -*I *42:A I *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 in_n[1] 0.000293149 -2 *42:A 0.000293149 -3 *42:A out_s[0] 7.58571e-05 -4 *42:A *43:A 0.000680277 -5 *41:A *42:A 0 -*RES -1 in_n[1] *42:A 22.7464 -*END - -*D_NET *7 0.00200548 -*CONN -*P in_n[2] I -*I *43:A I *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 in_n[2] 0.000625829 -2 *43:A 0.000625829 -3 *43:A out_s[0] 0 -4 *43:A out_s[1] 6.74911e-05 -5 *43:A out_s[2] 6.05161e-06 -6 *43:A *44:A 0 -7 *42:A *43:A 0.000680277 -*RES -1 in_n[2] *43:A 27.5679 -*END - -*D_NET *8 0.000719992 -*CONN -*P in_n[3] I -*I *44:A I *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 in_n[3] 0.000359996 -2 *44:A 0.000359996 -3 *44:A *45:A 0 -4 *43:A *44:A 0 -*RES -1 in_n[3] *44:A 20.5321 -*END - -*D_NET *9 0.00131838 -*CONN -*P in_n[4] I -*I *45:A I *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 in_n[4] 0.000565243 -2 *45:A 0.000565243 -3 *45:A out_s[3] 0.000187893 -4 *45:A *46:A 0 -5 *44:A *45:A 0 -*RES -1 in_n[4] *45:A 25.3893 -*END - -*D_NET *10 0.00105711 -*CONN -*P in_n[5] I -*I *46:A I *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 in_n[5] 0.000528554 -2 *46:A 0.000528554 -3 *45:A *46:A 0 -*RES -1 in_n[5] *46:A 23.5679 -*END - -*D_NET *11 0.00171215 -*CONN -*P in_n[6] I -*I *47:A I *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 in_n[6] 0.000448575 -2 *47:A 0.000448575 -3 *47:A out_s[6] 0.000141554 -4 *47:A *34:A 0.000673444 -*RES -1 in_n[6] *47:A 25.3357 -*END - -*D_NET *12 0.00184731 -*CONN -*P in_n[7] I -*I *34:A I *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 in_n[7] 0.000505756 -2 *34:A 0.000505756 -3 *34:A out_s[6] 3.85148e-05 -4 *34:A out_s[7] 0.000123836 -5 *34:A out_s[8] 0 -6 *34:A *35:A 0 -7 *47:A *34:A 0.000673444 -*RES -1 in_n[7] *34:A 26.2107 -*END - -*D_NET *13 0.00083737 -*CONN -*P in_n[8] I -*I *35:A I *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 in_n[8] 0.000415659 -2 *35:A 0.000415659 -3 *35:A out_s[8] 6.05161e-06 -4 *35:A *36:A 0 -5 *34:A *35:A 0 -*RES -1 in_n[8] *35:A 21.1929 -*END - -*D_NET *14 0.00191759 -*CONN -*P in_n[9] I -*I *36:A I *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 in_n[9] 0.000817106 -2 *36:A 0.000817106 -3 *36:A out_s[10] 0 -4 *36:A out_s[11] 9.98961e-05 -5 *35:A *36:A 0 -6 *37:A *36:A 0 -7 *38:A *36:A 0.000183477 -*RES -1 in_n[9] *36:A 29.3714 -*END - -*D_NET *15 0.000565776 -*CONN -*P in_s[0] I -*I *33:A I *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 in_s[0] 0.000232546 -2 *33:A 0.000232546 -3 *33:A out_n[0] 0.000100684 -4 *33:A *39:A 0 -*RES -1 in_s[0] *33:A 17.9071 -*END - -*D_NET *16 0.00194543 -*CONN -*P in_s[1] I -*I *39:A I *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 in_s[1] 0.000603696 -2 *39:A 0.000603696 -3 *39:A out_n[0] 0.000738039 -4 *39:A out_n[2] 0 -5 *39:A *40:A 0 -6 *33:A *39:A 0 -*RES -1 in_s[1] *39:A 27.4607 -*END - -*D_NET *17 0.000720944 -*CONN -*P in_s[2] I -*I *40:A I *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 in_s[2] 0.000360472 -2 *40:A 0.000360472 -3 *40:A out_s[0] 0 -4 *39:A *40:A 0 -*RES -1 in_s[2] *40:A 20.1214 -*END - -*D_NET *18 0.00251314 -*CONN -*P out_n[0] O -*I *33:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 out_n[0] 0.00083721 -2 *33:X 0.00083721 -3 out_n[0] out_n[1] 0 -4 out_n[0] out_n[2] 0 -5 *33:A out_n[0] 0.000100684 -6 *39:A out_n[0] 0.000738039 -*RES -1 *33:X out_n[0] 32.2464 -*END - -*D_NET *19 0.0008921 -*CONN -*P out_n[1] O -*I *39:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 out_n[1] 0.000313512 -2 *39:X 0.000313512 -3 out_n[1] out_n[2] 0.000265077 -4 out_n[0] out_n[1] 0 -*RES -1 *39:X out_n[1] 20.175 -*END - -*D_NET *20 0.00165991 -*CONN -*P out_n[2] O -*I *40:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 out_n[2] 0.000615442 -2 *40:X 0.000615442 -3 out_n[2] out_s[0] 0 -4 out_n[0] out_n[2] 0 -5 out_n[1] out_n[2] 0.000265077 -6 *39:A out_n[2] 0 -7 *41:A out_n[2] 0.000163953 -*RES -1 *40:X out_n[2] 26.7464 -*END - -*D_NET *21 0.00149166 -*CONN -*P out_s[0] O -*I *41:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 out_s[0] 0.000551682 -2 *41:X 0.000551682 -3 out_s[0] out_s[1] 0.000312442 -4 out_s[0] out_s[2] 0 -5 out_n[2] out_s[0] 0 -6 *40:A out_s[0] 0 -7 *42:A out_s[0] 7.58571e-05 -8 *43:A out_s[0] 0 -*RES -1 *41:X out_s[0] 27.6214 -*END - -*D_NET *22 0.00205685 -*CONN -*P out_s[10] O -*I *37:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 out_s[10] 0.000999988 -2 *37:X 0.000999988 -3 out_s[10] out_s[11] 0 -4 out_s[10] out_s[9] 0 -5 *36:A out_s[10] 0 -6 *37:A out_s[10] 5.68722e-05 -7 *38:A out_s[10] 0 -*RES -1 *37:X out_s[10] 30.4607 -*END - -*D_NET *23 0.00269979 -*CONN -*P out_s[11] O -*I *38:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 out_s[11] 0.001077 -2 *38:X 0.001077 -3 out_s[11] out_s[9] 0.000140933 -4 out_s[10] out_s[11] 0 -5 *36:A out_s[11] 9.98961e-05 -6 *38:A out_s[11] 0.000304969 -*RES -1 *38:X out_s[11] 28.3 -*END - -*D_NET *24 0.00141598 -*CONN -*P out_s[1] O -*I *42:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 out_s[1] 0.000407902 -2 *42:X 0.000407902 -3 out_s[1] out_s[2] 0.000220246 -4 out_s[1] out_s[3] 0 -5 out_s[0] out_s[1] 0.000312442 -6 *43:A out_s[1] 6.74911e-05 -*RES -1 *42:X out_s[1] 23.9964 -*END - -*D_NET *25 0.000977116 -*CONN -*P out_s[2] O -*I *43:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 out_s[2] 0.000375409 -2 *43:X 0.000375409 -3 out_s[2] out_s[3] 0 -4 out_s[0] out_s[2] 0 -5 out_s[1] out_s[2] 0.000220246 -6 *43:A out_s[2] 6.05161e-06 -*RES -1 *43:X out_s[2] 23.175 -*END - -*D_NET *26 0.00144163 -*CONN -*P out_s[3] O -*I *44:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 out_s[3] 0.000626868 -2 *44:X 0.000626868 -3 out_s[3] out_s[4] 0 -4 out_s[3] out_s[5] 0 -5 out_s[1] out_s[3] 0 -6 out_s[2] out_s[3] 0 -7 *45:A out_s[3] 0.000187893 -*RES -1 *44:X out_s[3] 25.9071 -*END - -*D_NET *27 0.000857812 -*CONN -*P out_s[4] O -*I *45:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 out_s[4] 0.00037039 -2 *45:X 0.00037039 -3 out_s[4] out_s[5] 0.000117033 -4 out_s[3] out_s[4] 0 -*RES -1 *45:X out_s[4] 21.3 -*END - -*D_NET *28 0.0011436 -*CONN -*P out_s[5] O -*I *46:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 out_s[5] 0.000513285 -2 *46:X 0.000513285 -3 out_s[5] out_s[6] 0 -4 out_s[3] out_s[5] 0 -5 out_s[4] out_s[5] 0.000117033 -*RES -1 *46:X out_s[5] 23.175 -*END - -*D_NET *29 0.00186776 -*CONN -*P out_s[6] O -*I *47:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 out_s[6] 0.000801981 -2 *47:X 0.000801981 -3 out_s[6] out_s[7] 8.37335e-05 -4 out_s[5] out_s[6] 0 -5 *34:A out_s[6] 3.85148e-05 -6 *47:A out_s[6] 0.000141554 -*RES -1 *47:X out_s[6] 27.5321 -*END - -*D_NET *30 0.00134038 -*CONN -*P out_s[7] O -*I *34:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 out_s[7] 0.000566407 -2 *34:X 0.000566407 -3 out_s[7] out_s[8] 0 -4 out_s[6] out_s[7] 8.37335e-05 -5 *34:A out_s[7] 0.000123836 -*RES -1 *34:X out_s[7] 24.3357 -*END - -*D_NET *31 0.00161835 -*CONN -*P out_s[8] O -*I *35:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 out_s[8] 0.000784339 -2 *35:X 0.000784339 -3 out_s[8] out_s[9] 4.36202e-05 -4 out_s[7] out_s[8] 0 -5 *34:A out_s[8] 0 -6 *35:A out_s[8] 6.05161e-06 -*RES -1 *35:X out_s[8] 27.3893 -*END - -*D_NET *32 0.000618171 -*CONN -*P out_s[9] O -*I *36:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 out_s[9] 0.000146343 -2 *36:X 0.000146343 -3 out_s[10] out_s[9] 0 -4 out_s[11] out_s[9] 0.000140933 -5 out_s[8] out_s[9] 4.36202e-05 -6 *38:A out_s[9] 0.000140933 -*RES -1 *36:X out_s[9] 17.4964 -*END diff --git a/spef/caravan.spef b/spef/caravan.spef deleted file mode 100644 index 2ebe5742..00000000 --- a/spef/caravan.spef +++ /dev/null @@ -1,71420 +0,0 @@ -*SPEF "ieee 1481-1999" -*DESIGN "caravan" -*DATE "11:11:11 Fri 11 11, 1111" -*VENDOR "OpenRCX" -*PROGRAM "Parallel Extraction" -*VERSION "1.0" -*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" -*DIVIDER / -*DELIMITER : -*BUS_DELIMITER [] -*T_UNIT 1 NS -*C_UNIT 1 PF -*R_UNIT 1 OHM -*L_UNIT 1 HENRY - -*NAME_MAP -*1 clock -*2 flash_clk -*3 flash_csb -*4 flash_io0 -*5 flash_io1 -*6 gpio -*7 mprj_io[0] -*8 mprj_io[10] -*9 mprj_io[11] -*10 mprj_io[12] -*11 mprj_io[13] -*12 mprj_io[14] -*13 mprj_io[15] -*14 mprj_io[16] -*15 mprj_io[17] -*16 mprj_io[18] -*17 mprj_io[19] -*18 mprj_io[1] -*19 mprj_io[20] -*20 mprj_io[21] -*21 mprj_io[22] -*22 mprj_io[23] -*23 mprj_io[24] -*24 mprj_io[25] -*25 mprj_io[26] -*26 mprj_io[27] -*27 mprj_io[28] -*28 mprj_io[29] -*29 mprj_io[2] -*30 mprj_io[30] -*31 mprj_io[31] -*32 mprj_io[32] -*33 mprj_io[33] -*34 mprj_io[34] -*35 mprj_io[35] -*36 mprj_io[36] -*37 mprj_io[37] -*38 mprj_io[3] -*39 mprj_io[4] -*40 mprj_io[5] -*41 mprj_io[6] -*42 mprj_io[7] -*43 mprj_io[8] -*44 mprj_io[9] -*45 resetb -*46 vccd1 -*47 vccd2 -*48 vdda -*49 vdda1 -*50 vdda1_2 -*51 vdda2 -*52 vddio_2 -*53 vssa1 -*54 vssa1_2 -*55 vssa2 -*56 vssd1 -*57 vssd2 -*58 vssio_2 -*59 vddio -*60 vssio -*61 vssa -*62 vccd -*63 vssd -*64 caravel_clk -*65 caravel_clk2 -*66 caravel_rstn -*67 clock_core -*68 debug_in -*69 debug_mode -*70 debug_oeb -*71 debug_out -*72 ext_clk_sel -*73 ext_reset -*74 flash_clk_core -*75 flash_clk_frame -*76 flash_clk_oeb -*77 flash_csb_core -*78 flash_csb_frame -*79 flash_csb_oeb -*80 flash_io0_di -*81 flash_io0_di_core -*82 flash_io0_do -*83 flash_io0_do_core -*84 flash_io0_ieb -*85 flash_io0_oeb -*86 flash_io0_oeb_core -*87 flash_io1_di -*88 flash_io1_di_core -*89 flash_io1_do -*90 flash_io1_do_core -*91 flash_io1_ieb -*92 flash_io1_oeb -*93 flash_io1_oeb_core -*94 flash_io2_di_core -*95 flash_io2_do_core -*96 flash_io2_oeb_core -*97 flash_io3_di_core -*98 flash_io3_do_core -*99 flash_io3_oeb_core -*100 gpio_clock_1\[0\] -*101 gpio_clock_1\[10\] -*102 gpio_clock_1\[11\] -*103 gpio_clock_1\[12\] -*104 gpio_clock_1\[1\] -*105 gpio_clock_1\[2\] -*106 gpio_clock_1\[3\] -*107 gpio_clock_1\[4\] -*108 gpio_clock_1\[5\] -*109 gpio_clock_1\[6\] -*110 gpio_clock_1\[7\] -*111 gpio_clock_1\[8\] -*112 gpio_clock_1\[9\] -*113 gpio_clock_1_shifted\[0\] -*114 gpio_defaults\[0\] -*115 gpio_defaults\[100\] -*116 gpio_defaults\[101\] -*117 gpio_defaults\[102\] -*118 gpio_defaults\[103\] -*119 gpio_defaults\[104\] -*120 gpio_defaults\[105\] -*121 gpio_defaults\[106\] -*122 gpio_defaults\[107\] -*123 gpio_defaults\[108\] -*124 gpio_defaults\[109\] -*125 gpio_defaults\[10\] -*126 gpio_defaults\[110\] -*127 gpio_defaults\[111\] -*128 gpio_defaults\[112\] -*129 gpio_defaults\[113\] -*130 gpio_defaults\[114\] -*131 gpio_defaults\[115\] -*132 gpio_defaults\[116\] -*133 gpio_defaults\[117\] -*134 gpio_defaults\[118\] -*135 gpio_defaults\[119\] -*136 gpio_defaults\[11\] -*137 gpio_defaults\[120\] -*138 gpio_defaults\[121\] -*139 gpio_defaults\[122\] -*140 gpio_defaults\[123\] -*141 gpio_defaults\[124\] -*142 gpio_defaults\[125\] -*143 gpio_defaults\[126\] -*144 gpio_defaults\[127\] -*145 gpio_defaults\[128\] -*146 gpio_defaults\[129\] -*147 gpio_defaults\[12\] -*148 gpio_defaults\[130\] -*149 gpio_defaults\[131\] -*150 gpio_defaults\[132\] -*151 gpio_defaults\[133\] -*152 gpio_defaults\[134\] -*153 gpio_defaults\[135\] -*154 gpio_defaults\[136\] -*155 gpio_defaults\[137\] -*156 gpio_defaults\[138\] -*157 gpio_defaults\[139\] -*158 gpio_defaults\[13\] -*159 gpio_defaults\[140\] -*160 gpio_defaults\[141\] -*161 gpio_defaults\[142\] -*162 gpio_defaults\[143\] -*163 gpio_defaults\[144\] -*164 gpio_defaults\[145\] -*165 gpio_defaults\[146\] -*166 gpio_defaults\[147\] -*167 gpio_defaults\[148\] -*168 gpio_defaults\[149\] -*169 gpio_defaults\[14\] -*170 gpio_defaults\[150\] -*171 gpio_defaults\[151\] -*172 gpio_defaults\[152\] -*173 gpio_defaults\[153\] -*174 gpio_defaults\[154\] -*175 gpio_defaults\[155\] -*176 gpio_defaults\[156\] -*177 gpio_defaults\[157\] -*178 gpio_defaults\[158\] -*179 gpio_defaults\[159\] -*180 gpio_defaults\[15\] -*181 gpio_defaults\[160\] -*182 gpio_defaults\[161\] -*183 gpio_defaults\[162\] -*184 gpio_defaults\[163\] -*185 gpio_defaults\[164\] -*186 gpio_defaults\[165\] -*187 gpio_defaults\[166\] -*188 gpio_defaults\[167\] -*189 gpio_defaults\[168\] -*190 gpio_defaults\[169\] -*191 gpio_defaults\[16\] -*192 gpio_defaults\[170\] -*193 gpio_defaults\[171\] -*194 gpio_defaults\[172\] -*195 gpio_defaults\[173\] -*196 gpio_defaults\[174\] -*197 gpio_defaults\[175\] -*198 gpio_defaults\[176\] -*199 gpio_defaults\[177\] -*200 gpio_defaults\[178\] -*201 gpio_defaults\[179\] -*202 gpio_defaults\[17\] -*203 gpio_defaults\[180\] -*204 gpio_defaults\[181\] -*205 gpio_defaults\[182\] -*206 gpio_defaults\[183\] -*207 gpio_defaults\[184\] -*208 gpio_defaults\[185\] -*209 gpio_defaults\[186\] -*210 gpio_defaults\[187\] -*211 gpio_defaults\[188\] -*212 gpio_defaults\[189\] -*213 gpio_defaults\[18\] -*214 gpio_defaults\[190\] -*215 gpio_defaults\[191\] -*216 gpio_defaults\[192\] -*217 gpio_defaults\[193\] -*218 gpio_defaults\[194\] -*219 gpio_defaults\[195\] -*220 gpio_defaults\[196\] -*221 gpio_defaults\[197\] -*222 gpio_defaults\[198\] -*223 gpio_defaults\[199\] -*224 gpio_defaults\[19\] -*225 gpio_defaults\[1\] -*226 gpio_defaults\[200\] -*227 gpio_defaults\[201\] -*228 gpio_defaults\[202\] -*229 gpio_defaults\[203\] -*230 gpio_defaults\[204\] -*231 gpio_defaults\[205\] -*232 gpio_defaults\[206\] -*233 gpio_defaults\[207\] -*234 gpio_defaults\[208\] -*235 gpio_defaults\[209\] -*236 gpio_defaults\[20\] -*237 gpio_defaults\[210\] -*238 gpio_defaults\[211\] -*239 gpio_defaults\[212\] -*240 gpio_defaults\[213\] -*241 gpio_defaults\[214\] -*242 gpio_defaults\[215\] -*243 gpio_defaults\[216\] -*244 gpio_defaults\[217\] -*245 gpio_defaults\[218\] -*246 gpio_defaults\[219\] -*247 gpio_defaults\[21\] -*248 gpio_defaults\[220\] -*249 gpio_defaults\[221\] -*250 gpio_defaults\[222\] -*251 gpio_defaults\[223\] -*252 gpio_defaults\[224\] -*253 gpio_defaults\[225\] -*254 gpio_defaults\[226\] -*255 gpio_defaults\[227\] -*256 gpio_defaults\[228\] -*257 gpio_defaults\[229\] -*258 gpio_defaults\[22\] -*259 gpio_defaults\[230\] -*260 gpio_defaults\[231\] -*261 gpio_defaults\[232\] -*262 gpio_defaults\[233\] -*263 gpio_defaults\[234\] -*264 gpio_defaults\[235\] -*265 gpio_defaults\[236\] -*266 gpio_defaults\[237\] -*267 gpio_defaults\[238\] -*268 gpio_defaults\[239\] -*269 gpio_defaults\[23\] -*270 gpio_defaults\[240\] -*271 gpio_defaults\[241\] -*272 gpio_defaults\[242\] -*273 gpio_defaults\[243\] -*274 gpio_defaults\[244\] -*275 gpio_defaults\[245\] -*276 gpio_defaults\[246\] -*277 gpio_defaults\[247\] -*278 gpio_defaults\[248\] -*279 gpio_defaults\[249\] -*280 gpio_defaults\[24\] -*281 gpio_defaults\[250\] -*282 gpio_defaults\[251\] -*283 gpio_defaults\[252\] -*284 gpio_defaults\[253\] -*285 gpio_defaults\[254\] -*286 gpio_defaults\[255\] -*287 gpio_defaults\[256\] -*288 gpio_defaults\[257\] -*289 gpio_defaults\[258\] -*290 gpio_defaults\[259\] -*291 gpio_defaults\[25\] -*292 gpio_defaults\[260\] -*293 gpio_defaults\[261\] -*294 gpio_defaults\[262\] -*295 gpio_defaults\[263\] -*296 gpio_defaults\[264\] -*297 gpio_defaults\[265\] -*298 gpio_defaults\[266\] -*299 gpio_defaults\[267\] -*300 gpio_defaults\[268\] -*301 gpio_defaults\[269\] -*302 gpio_defaults\[26\] -*303 gpio_defaults\[270\] -*304 gpio_defaults\[271\] -*305 gpio_defaults\[272\] -*306 gpio_defaults\[273\] -*307 gpio_defaults\[274\] -*308 gpio_defaults\[275\] -*309 gpio_defaults\[276\] -*310 gpio_defaults\[277\] -*311 gpio_defaults\[278\] -*312 gpio_defaults\[279\] -*313 gpio_defaults\[27\] -*314 gpio_defaults\[280\] -*315 gpio_defaults\[281\] -*316 gpio_defaults\[282\] -*317 gpio_defaults\[283\] -*318 gpio_defaults\[284\] -*319 gpio_defaults\[285\] -*320 gpio_defaults\[286\] -*321 gpio_defaults\[287\] -*322 gpio_defaults\[288\] -*323 gpio_defaults\[289\] -*324 gpio_defaults\[28\] -*325 gpio_defaults\[290\] -*326 gpio_defaults\[291\] -*327 gpio_defaults\[292\] -*328 gpio_defaults\[293\] -*329 gpio_defaults\[294\] -*330 gpio_defaults\[295\] -*331 gpio_defaults\[296\] -*332 gpio_defaults\[297\] -*333 gpio_defaults\[298\] -*334 gpio_defaults\[299\] -*335 gpio_defaults\[29\] -*336 gpio_defaults\[2\] -*337 gpio_defaults\[300\] -*338 gpio_defaults\[301\] -*339 gpio_defaults\[302\] -*340 gpio_defaults\[303\] -*341 gpio_defaults\[304\] -*342 gpio_defaults\[305\] -*343 gpio_defaults\[306\] -*344 gpio_defaults\[307\] -*345 gpio_defaults\[308\] -*346 gpio_defaults\[309\] -*347 gpio_defaults\[30\] -*348 gpio_defaults\[310\] -*349 gpio_defaults\[311\] -*350 gpio_defaults\[312\] -*351 gpio_defaults\[313\] -*352 gpio_defaults\[314\] -*353 gpio_defaults\[315\] -*354 gpio_defaults\[316\] -*355 gpio_defaults\[317\] -*356 gpio_defaults\[318\] -*357 gpio_defaults\[319\] -*358 gpio_defaults\[31\] -*359 gpio_defaults\[320\] -*360 gpio_defaults\[321\] -*361 gpio_defaults\[322\] -*362 gpio_defaults\[323\] -*363 gpio_defaults\[324\] -*364 gpio_defaults\[325\] -*365 gpio_defaults\[326\] -*366 gpio_defaults\[327\] -*367 gpio_defaults\[328\] -*368 gpio_defaults\[329\] -*369 gpio_defaults\[32\] -*370 gpio_defaults\[330\] -*371 gpio_defaults\[331\] -*372 gpio_defaults\[332\] -*373 gpio_defaults\[333\] -*374 gpio_defaults\[334\] -*375 gpio_defaults\[335\] -*376 gpio_defaults\[336\] -*377 gpio_defaults\[337\] -*378 gpio_defaults\[338\] -*379 gpio_defaults\[339\] -*380 gpio_defaults\[33\] -*381 gpio_defaults\[340\] -*382 gpio_defaults\[341\] -*383 gpio_defaults\[342\] -*384 gpio_defaults\[343\] -*385 gpio_defaults\[344\] -*386 gpio_defaults\[345\] -*387 gpio_defaults\[346\] -*388 gpio_defaults\[347\] -*389 gpio_defaults\[348\] -*390 gpio_defaults\[349\] -*391 gpio_defaults\[34\] -*392 gpio_defaults\[350\] -*393 gpio_defaults\[35\] -*394 gpio_defaults\[36\] -*395 gpio_defaults\[37\] -*396 gpio_defaults\[38\] -*397 gpio_defaults\[39\] -*398 gpio_defaults\[3\] -*399 gpio_defaults\[40\] -*400 gpio_defaults\[41\] -*401 gpio_defaults\[42\] -*402 gpio_defaults\[43\] -*403 gpio_defaults\[44\] -*404 gpio_defaults\[45\] -*405 gpio_defaults\[46\] -*406 gpio_defaults\[47\] -*407 gpio_defaults\[48\] -*408 gpio_defaults\[49\] -*409 gpio_defaults\[4\] -*410 gpio_defaults\[50\] -*411 gpio_defaults\[51\] -*412 gpio_defaults\[52\] -*413 gpio_defaults\[53\] -*414 gpio_defaults\[54\] -*415 gpio_defaults\[55\] -*416 gpio_defaults\[56\] -*417 gpio_defaults\[57\] -*418 gpio_defaults\[58\] -*419 gpio_defaults\[59\] -*420 gpio_defaults\[5\] -*421 gpio_defaults\[60\] -*422 gpio_defaults\[61\] -*423 gpio_defaults\[62\] -*424 gpio_defaults\[63\] -*425 gpio_defaults\[64\] -*426 gpio_defaults\[65\] -*427 gpio_defaults\[66\] -*428 gpio_defaults\[67\] -*429 gpio_defaults\[68\] -*430 gpio_defaults\[69\] -*431 gpio_defaults\[6\] -*432 gpio_defaults\[70\] -*433 gpio_defaults\[71\] -*434 gpio_defaults\[72\] -*435 gpio_defaults\[73\] -*436 gpio_defaults\[74\] -*437 gpio_defaults\[75\] -*438 gpio_defaults\[76\] -*439 gpio_defaults\[77\] -*440 gpio_defaults\[78\] -*441 gpio_defaults\[79\] -*442 gpio_defaults\[7\] -*443 gpio_defaults\[80\] -*444 gpio_defaults\[81\] -*445 gpio_defaults\[82\] -*446 gpio_defaults\[83\] -*447 gpio_defaults\[84\] -*448 gpio_defaults\[85\] -*449 gpio_defaults\[86\] -*450 gpio_defaults\[87\] -*451 gpio_defaults\[88\] -*452 gpio_defaults\[89\] -*453 gpio_defaults\[8\] -*454 gpio_defaults\[90\] -*455 gpio_defaults\[91\] -*456 gpio_defaults\[92\] -*457 gpio_defaults\[93\] -*458 gpio_defaults\[94\] -*459 gpio_defaults\[95\] -*460 gpio_defaults\[96\] -*461 gpio_defaults\[97\] -*462 gpio_defaults\[98\] -*463 gpio_defaults\[99\] -*464 gpio_defaults\[9\] -*465 gpio_in_core -*466 gpio_inenb_core -*467 gpio_load_1\[0\] -*468 gpio_load_1\[10\] -*469 gpio_load_1\[11\] -*470 gpio_load_1\[12\] -*471 gpio_load_1\[1\] -*472 gpio_load_1\[2\] -*473 gpio_load_1\[3\] -*474 gpio_load_1\[4\] -*475 gpio_load_1\[5\] -*476 gpio_load_1\[6\] -*477 gpio_load_1\[7\] -*478 gpio_load_1\[8\] -*479 gpio_load_1\[9\] -*480 gpio_load_1_shifted\[0\] -*481 gpio_mode0_core -*482 gpio_mode1_core -*483 gpio_out_core -*484 gpio_outenb_core -*485 gpio_resetn_1\[0\] -*486 gpio_resetn_1\[10\] -*487 gpio_resetn_1\[11\] -*488 gpio_resetn_1\[12\] -*489 gpio_resetn_1\[1\] -*490 gpio_resetn_1\[2\] -*491 gpio_resetn_1\[3\] -*492 gpio_resetn_1\[4\] -*493 gpio_resetn_1\[5\] -*494 gpio_resetn_1\[6\] -*495 gpio_resetn_1\[7\] -*496 gpio_resetn_1\[8\] -*497 gpio_resetn_1\[9\] -*498 gpio_resetn_1_shifted\[0\] -*499 gpio_serial_link_1\[0\] -*500 gpio_serial_link_1\[10\] -*501 gpio_serial_link_1\[11\] -*502 gpio_serial_link_1\[12\] -*503 gpio_serial_link_1\[1\] -*504 gpio_serial_link_1\[2\] -*505 gpio_serial_link_1\[3\] -*506 gpio_serial_link_1\[4\] -*507 gpio_serial_link_1\[5\] -*508 gpio_serial_link_1\[6\] -*509 gpio_serial_link_1\[7\] -*510 gpio_serial_link_1\[8\] -*511 gpio_serial_link_1\[9\] -*512 gpio_serial_link_1_shifted\[0\] -*513 gpio_serial_link_2\[10\] -*514 gpio_serial_link_2\[11\] -*515 gpio_serial_link_2\[12\] -*516 gpio_serial_link_2\[1\] -*517 gpio_serial_link_2\[2\] -*518 gpio_serial_link_2\[3\] -*519 gpio_serial_link_2\[4\] -*520 gpio_serial_link_2\[5\] -*521 gpio_serial_link_2\[6\] -*522 gpio_serial_link_2\[7\] -*523 gpio_serial_link_2\[8\] -*524 gpio_serial_link_2\[9\] -*525 gpio_serial_link_2_shifted\[12\] -*526 hk_ack_i -*527 hk_dat_i\[0\] -*528 hk_dat_i\[10\] -*529 hk_dat_i\[11\] -*530 hk_dat_i\[12\] -*531 hk_dat_i\[13\] -*532 hk_dat_i\[14\] -*533 hk_dat_i\[15\] -*534 hk_dat_i\[16\] -*535 hk_dat_i\[17\] -*536 hk_dat_i\[18\] -*537 hk_dat_i\[19\] -*538 hk_dat_i\[1\] -*539 hk_dat_i\[20\] -*540 hk_dat_i\[21\] -*541 hk_dat_i\[22\] -*542 hk_dat_i\[23\] -*543 hk_dat_i\[24\] -*544 hk_dat_i\[25\] -*545 hk_dat_i\[26\] -*546 hk_dat_i\[27\] -*547 hk_dat_i\[28\] -*548 hk_dat_i\[29\] -*549 hk_dat_i\[2\] -*550 hk_dat_i\[30\] -*551 hk_dat_i\[31\] -*552 hk_dat_i\[3\] -*553 hk_dat_i\[4\] -*554 hk_dat_i\[5\] -*555 hk_dat_i\[6\] -*556 hk_dat_i\[7\] -*557 hk_dat_i\[8\] -*558 hk_dat_i\[9\] -*559 hk_stb_o -*560 hkspi_sram_addr\[0\] -*561 hkspi_sram_addr\[1\] -*562 hkspi_sram_addr\[2\] -*563 hkspi_sram_addr\[3\] -*564 hkspi_sram_addr\[4\] -*565 hkspi_sram_addr\[5\] -*566 hkspi_sram_addr\[6\] -*567 hkspi_sram_addr\[7\] -*568 hkspi_sram_clk -*569 hkspi_sram_csb -*570 hkspi_sram_data\[0\] -*571 hkspi_sram_data\[10\] -*572 hkspi_sram_data\[11\] -*573 hkspi_sram_data\[12\] -*574 hkspi_sram_data\[13\] -*575 hkspi_sram_data\[14\] -*576 hkspi_sram_data\[15\] -*577 hkspi_sram_data\[16\] -*578 hkspi_sram_data\[17\] -*579 hkspi_sram_data\[18\] -*580 hkspi_sram_data\[19\] -*581 hkspi_sram_data\[1\] -*582 hkspi_sram_data\[20\] -*583 hkspi_sram_data\[21\] -*584 hkspi_sram_data\[22\] -*585 hkspi_sram_data\[23\] -*586 hkspi_sram_data\[24\] -*587 hkspi_sram_data\[25\] -*588 hkspi_sram_data\[26\] -*589 hkspi_sram_data\[27\] -*590 hkspi_sram_data\[28\] -*591 hkspi_sram_data\[29\] -*592 hkspi_sram_data\[2\] -*593 hkspi_sram_data\[30\] -*594 hkspi_sram_data\[31\] -*595 hkspi_sram_data\[3\] -*596 hkspi_sram_data\[4\] -*597 hkspi_sram_data\[5\] -*598 hkspi_sram_data\[6\] -*599 hkspi_sram_data\[7\] -*600 hkspi_sram_data\[8\] -*601 hkspi_sram_data\[9\] -*602 irq_spi\[0\] -*603 irq_spi\[1\] -*604 irq_spi\[2\] -*605 la_data_in_mprj\[0\] -*606 la_data_in_mprj\[100\] -*607 la_data_in_mprj\[101\] -*608 la_data_in_mprj\[102\] -*609 la_data_in_mprj\[103\] -*610 la_data_in_mprj\[104\] -*611 la_data_in_mprj\[105\] -*612 la_data_in_mprj\[106\] -*613 la_data_in_mprj\[107\] -*614 la_data_in_mprj\[108\] -*615 la_data_in_mprj\[109\] -*616 la_data_in_mprj\[10\] -*617 la_data_in_mprj\[110\] -*618 la_data_in_mprj\[111\] -*619 la_data_in_mprj\[112\] -*620 la_data_in_mprj\[113\] -*621 la_data_in_mprj\[114\] -*622 la_data_in_mprj\[115\] -*623 la_data_in_mprj\[116\] -*624 la_data_in_mprj\[117\] -*625 la_data_in_mprj\[118\] -*626 la_data_in_mprj\[119\] -*627 la_data_in_mprj\[11\] -*628 la_data_in_mprj\[120\] -*629 la_data_in_mprj\[121\] -*630 la_data_in_mprj\[122\] -*631 la_data_in_mprj\[123\] -*632 la_data_in_mprj\[124\] -*633 la_data_in_mprj\[125\] -*634 la_data_in_mprj\[126\] -*635 la_data_in_mprj\[127\] -*636 la_data_in_mprj\[12\] -*637 la_data_in_mprj\[13\] -*638 la_data_in_mprj\[14\] -*639 la_data_in_mprj\[15\] -*640 la_data_in_mprj\[16\] -*641 la_data_in_mprj\[17\] -*642 la_data_in_mprj\[18\] -*643 la_data_in_mprj\[19\] -*644 la_data_in_mprj\[1\] -*645 la_data_in_mprj\[20\] -*646 la_data_in_mprj\[21\] -*647 la_data_in_mprj\[22\] -*648 la_data_in_mprj\[23\] -*649 la_data_in_mprj\[24\] -*650 la_data_in_mprj\[25\] -*651 la_data_in_mprj\[26\] -*652 la_data_in_mprj\[27\] -*653 la_data_in_mprj\[28\] -*654 la_data_in_mprj\[29\] -*655 la_data_in_mprj\[2\] -*656 la_data_in_mprj\[30\] -*657 la_data_in_mprj\[31\] -*658 la_data_in_mprj\[32\] -*659 la_data_in_mprj\[33\] -*660 la_data_in_mprj\[34\] -*661 la_data_in_mprj\[35\] -*662 la_data_in_mprj\[36\] -*663 la_data_in_mprj\[37\] -*664 la_data_in_mprj\[38\] -*665 la_data_in_mprj\[39\] -*666 la_data_in_mprj\[3\] -*667 la_data_in_mprj\[40\] -*668 la_data_in_mprj\[41\] -*669 la_data_in_mprj\[42\] -*670 la_data_in_mprj\[43\] -*671 la_data_in_mprj\[44\] -*672 la_data_in_mprj\[45\] -*673 la_data_in_mprj\[46\] -*674 la_data_in_mprj\[47\] -*675 la_data_in_mprj\[48\] -*676 la_data_in_mprj\[49\] -*677 la_data_in_mprj\[4\] -*678 la_data_in_mprj\[50\] -*679 la_data_in_mprj\[51\] -*680 la_data_in_mprj\[52\] -*681 la_data_in_mprj\[53\] -*682 la_data_in_mprj\[54\] -*683 la_data_in_mprj\[55\] -*684 la_data_in_mprj\[56\] -*685 la_data_in_mprj\[57\] -*686 la_data_in_mprj\[58\] -*687 la_data_in_mprj\[59\] -*688 la_data_in_mprj\[5\] -*689 la_data_in_mprj\[60\] -*690 la_data_in_mprj\[61\] -*691 la_data_in_mprj\[62\] -*692 la_data_in_mprj\[63\] -*693 la_data_in_mprj\[64\] -*694 la_data_in_mprj\[65\] -*695 la_data_in_mprj\[66\] -*696 la_data_in_mprj\[67\] -*697 la_data_in_mprj\[68\] -*698 la_data_in_mprj\[69\] -*699 la_data_in_mprj\[6\] -*700 la_data_in_mprj\[70\] -*701 la_data_in_mprj\[71\] -*702 la_data_in_mprj\[72\] -*703 la_data_in_mprj\[73\] -*704 la_data_in_mprj\[74\] -*705 la_data_in_mprj\[75\] -*706 la_data_in_mprj\[76\] -*707 la_data_in_mprj\[77\] -*708 la_data_in_mprj\[78\] -*709 la_data_in_mprj\[79\] -*710 la_data_in_mprj\[7\] -*711 la_data_in_mprj\[80\] -*712 la_data_in_mprj\[81\] -*713 la_data_in_mprj\[82\] -*714 la_data_in_mprj\[83\] -*715 la_data_in_mprj\[84\] -*716 la_data_in_mprj\[85\] -*717 la_data_in_mprj\[86\] -*718 la_data_in_mprj\[87\] -*719 la_data_in_mprj\[88\] -*720 la_data_in_mprj\[89\] -*721 la_data_in_mprj\[8\] -*722 la_data_in_mprj\[90\] -*723 la_data_in_mprj\[91\] -*724 la_data_in_mprj\[92\] -*725 la_data_in_mprj\[93\] -*726 la_data_in_mprj\[94\] -*727 la_data_in_mprj\[95\] -*728 la_data_in_mprj\[96\] -*729 la_data_in_mprj\[97\] -*730 la_data_in_mprj\[98\] -*731 la_data_in_mprj\[99\] -*732 la_data_in_mprj\[9\] -*733 la_data_in_user\[0\] -*734 la_data_in_user\[100\] -*735 la_data_in_user\[101\] -*736 la_data_in_user\[102\] -*737 la_data_in_user\[103\] -*738 la_data_in_user\[104\] -*739 la_data_in_user\[105\] -*740 la_data_in_user\[106\] -*741 la_data_in_user\[107\] -*742 la_data_in_user\[108\] -*743 la_data_in_user\[109\] -*744 la_data_in_user\[10\] -*745 la_data_in_user\[110\] -*746 la_data_in_user\[111\] -*747 la_data_in_user\[112\] -*748 la_data_in_user\[113\] -*749 la_data_in_user\[114\] -*750 la_data_in_user\[115\] -*751 la_data_in_user\[116\] -*752 la_data_in_user\[117\] -*753 la_data_in_user\[118\] -*754 la_data_in_user\[119\] -*755 la_data_in_user\[11\] -*756 la_data_in_user\[120\] -*757 la_data_in_user\[121\] -*758 la_data_in_user\[122\] -*759 la_data_in_user\[123\] -*760 la_data_in_user\[124\] -*761 la_data_in_user\[125\] -*762 la_data_in_user\[126\] -*763 la_data_in_user\[127\] -*764 la_data_in_user\[12\] -*765 la_data_in_user\[13\] -*766 la_data_in_user\[14\] -*767 la_data_in_user\[15\] -*768 la_data_in_user\[16\] -*769 la_data_in_user\[17\] -*770 la_data_in_user\[18\] -*771 la_data_in_user\[19\] -*772 la_data_in_user\[1\] -*773 la_data_in_user\[20\] -*774 la_data_in_user\[21\] -*775 la_data_in_user\[22\] -*776 la_data_in_user\[23\] -*777 la_data_in_user\[24\] -*778 la_data_in_user\[25\] -*779 la_data_in_user\[26\] -*780 la_data_in_user\[27\] -*781 la_data_in_user\[28\] -*782 la_data_in_user\[29\] -*783 la_data_in_user\[2\] -*784 la_data_in_user\[30\] -*785 la_data_in_user\[31\] -*786 la_data_in_user\[32\] -*787 la_data_in_user\[33\] -*788 la_data_in_user\[34\] -*789 la_data_in_user\[35\] -*790 la_data_in_user\[36\] -*791 la_data_in_user\[37\] -*792 la_data_in_user\[38\] -*793 la_data_in_user\[39\] -*794 la_data_in_user\[3\] -*795 la_data_in_user\[40\] -*796 la_data_in_user\[41\] -*797 la_data_in_user\[42\] -*798 la_data_in_user\[43\] -*799 la_data_in_user\[44\] -*800 la_data_in_user\[45\] -*801 la_data_in_user\[46\] -*802 la_data_in_user\[47\] -*803 la_data_in_user\[48\] -*804 la_data_in_user\[49\] -*805 la_data_in_user\[4\] -*806 la_data_in_user\[50\] -*807 la_data_in_user\[51\] -*808 la_data_in_user\[52\] -*809 la_data_in_user\[53\] -*810 la_data_in_user\[54\] -*811 la_data_in_user\[55\] -*812 la_data_in_user\[56\] -*813 la_data_in_user\[57\] -*814 la_data_in_user\[58\] -*815 la_data_in_user\[59\] -*816 la_data_in_user\[5\] -*817 la_data_in_user\[60\] -*818 la_data_in_user\[61\] -*819 la_data_in_user\[62\] -*820 la_data_in_user\[63\] -*821 la_data_in_user\[64\] -*822 la_data_in_user\[65\] -*823 la_data_in_user\[66\] -*824 la_data_in_user\[67\] -*825 la_data_in_user\[68\] -*826 la_data_in_user\[69\] -*827 la_data_in_user\[6\] -*828 la_data_in_user\[70\] -*829 la_data_in_user\[71\] -*830 la_data_in_user\[72\] -*831 la_data_in_user\[73\] -*832 la_data_in_user\[74\] -*833 la_data_in_user\[75\] -*834 la_data_in_user\[76\] -*835 la_data_in_user\[77\] -*836 la_data_in_user\[78\] -*837 la_data_in_user\[79\] -*838 la_data_in_user\[7\] -*839 la_data_in_user\[80\] -*840 la_data_in_user\[81\] -*841 la_data_in_user\[82\] -*842 la_data_in_user\[83\] -*843 la_data_in_user\[84\] -*844 la_data_in_user\[85\] -*845 la_data_in_user\[86\] -*846 la_data_in_user\[87\] -*847 la_data_in_user\[88\] -*848 la_data_in_user\[89\] -*849 la_data_in_user\[8\] -*850 la_data_in_user\[90\] -*851 la_data_in_user\[91\] -*852 la_data_in_user\[92\] -*853 la_data_in_user\[93\] -*854 la_data_in_user\[94\] -*855 la_data_in_user\[95\] -*856 la_data_in_user\[96\] -*857 la_data_in_user\[97\] -*858 la_data_in_user\[98\] -*859 la_data_in_user\[99\] -*860 la_data_in_user\[9\] -*861 la_data_out_mprj\[0\] -*862 la_data_out_mprj\[100\] -*863 la_data_out_mprj\[101\] -*864 la_data_out_mprj\[102\] -*865 la_data_out_mprj\[103\] -*866 la_data_out_mprj\[104\] -*867 la_data_out_mprj\[105\] -*868 la_data_out_mprj\[106\] -*869 la_data_out_mprj\[107\] -*870 la_data_out_mprj\[108\] -*871 la_data_out_mprj\[109\] -*872 la_data_out_mprj\[10\] -*873 la_data_out_mprj\[110\] -*874 la_data_out_mprj\[111\] -*875 la_data_out_mprj\[112\] -*876 la_data_out_mprj\[113\] -*877 la_data_out_mprj\[114\] -*878 la_data_out_mprj\[115\] -*879 la_data_out_mprj\[116\] -*880 la_data_out_mprj\[117\] -*881 la_data_out_mprj\[118\] -*882 la_data_out_mprj\[119\] -*883 la_data_out_mprj\[11\] -*884 la_data_out_mprj\[120\] -*885 la_data_out_mprj\[121\] -*886 la_data_out_mprj\[122\] -*887 la_data_out_mprj\[123\] -*888 la_data_out_mprj\[124\] -*889 la_data_out_mprj\[125\] -*890 la_data_out_mprj\[126\] -*891 la_data_out_mprj\[127\] -*892 la_data_out_mprj\[12\] -*893 la_data_out_mprj\[13\] -*894 la_data_out_mprj\[14\] -*895 la_data_out_mprj\[15\] -*896 la_data_out_mprj\[16\] -*897 la_data_out_mprj\[17\] -*898 la_data_out_mprj\[18\] -*899 la_data_out_mprj\[19\] -*900 la_data_out_mprj\[1\] -*901 la_data_out_mprj\[20\] -*902 la_data_out_mprj\[21\] -*903 la_data_out_mprj\[22\] -*904 la_data_out_mprj\[23\] -*905 la_data_out_mprj\[24\] -*906 la_data_out_mprj\[25\] -*907 la_data_out_mprj\[26\] -*908 la_data_out_mprj\[27\] -*909 la_data_out_mprj\[28\] -*910 la_data_out_mprj\[29\] -*911 la_data_out_mprj\[2\] -*912 la_data_out_mprj\[30\] -*913 la_data_out_mprj\[31\] -*914 la_data_out_mprj\[32\] -*915 la_data_out_mprj\[33\] -*916 la_data_out_mprj\[34\] -*917 la_data_out_mprj\[35\] -*918 la_data_out_mprj\[36\] -*919 la_data_out_mprj\[37\] -*920 la_data_out_mprj\[38\] -*921 la_data_out_mprj\[39\] -*922 la_data_out_mprj\[3\] -*923 la_data_out_mprj\[40\] -*924 la_data_out_mprj\[41\] -*925 la_data_out_mprj\[42\] -*926 la_data_out_mprj\[43\] -*927 la_data_out_mprj\[44\] -*928 la_data_out_mprj\[45\] -*929 la_data_out_mprj\[46\] -*930 la_data_out_mprj\[47\] -*931 la_data_out_mprj\[48\] -*932 la_data_out_mprj\[49\] -*933 la_data_out_mprj\[4\] -*934 la_data_out_mprj\[50\] -*935 la_data_out_mprj\[51\] -*936 la_data_out_mprj\[52\] -*937 la_data_out_mprj\[53\] -*938 la_data_out_mprj\[54\] -*939 la_data_out_mprj\[55\] -*940 la_data_out_mprj\[56\] -*941 la_data_out_mprj\[57\] -*942 la_data_out_mprj\[58\] -*943 la_data_out_mprj\[59\] -*944 la_data_out_mprj\[5\] -*945 la_data_out_mprj\[60\] -*946 la_data_out_mprj\[61\] -*947 la_data_out_mprj\[62\] -*948 la_data_out_mprj\[63\] -*949 la_data_out_mprj\[64\] -*950 la_data_out_mprj\[65\] -*951 la_data_out_mprj\[66\] -*952 la_data_out_mprj\[67\] -*953 la_data_out_mprj\[68\] -*954 la_data_out_mprj\[69\] -*955 la_data_out_mprj\[6\] -*956 la_data_out_mprj\[70\] -*957 la_data_out_mprj\[71\] -*958 la_data_out_mprj\[72\] -*959 la_data_out_mprj\[73\] -*960 la_data_out_mprj\[74\] -*961 la_data_out_mprj\[75\] -*962 la_data_out_mprj\[76\] -*963 la_data_out_mprj\[77\] -*964 la_data_out_mprj\[78\] -*965 la_data_out_mprj\[79\] -*966 la_data_out_mprj\[7\] -*967 la_data_out_mprj\[80\] -*968 la_data_out_mprj\[81\] -*969 la_data_out_mprj\[82\] -*970 la_data_out_mprj\[83\] -*971 la_data_out_mprj\[84\] -*972 la_data_out_mprj\[85\] -*973 la_data_out_mprj\[86\] -*974 la_data_out_mprj\[87\] -*975 la_data_out_mprj\[88\] -*976 la_data_out_mprj\[89\] -*977 la_data_out_mprj\[8\] -*978 la_data_out_mprj\[90\] -*979 la_data_out_mprj\[91\] -*980 la_data_out_mprj\[92\] -*981 la_data_out_mprj\[93\] -*982 la_data_out_mprj\[94\] -*983 la_data_out_mprj\[95\] -*984 la_data_out_mprj\[96\] -*985 la_data_out_mprj\[97\] -*986 la_data_out_mprj\[98\] -*987 la_data_out_mprj\[99\] -*988 la_data_out_mprj\[9\] -*989 la_data_out_user\[0\] -*990 la_data_out_user\[100\] -*991 la_data_out_user\[101\] -*992 la_data_out_user\[102\] -*993 la_data_out_user\[103\] -*994 la_data_out_user\[104\] -*995 la_data_out_user\[105\] -*996 la_data_out_user\[106\] -*997 la_data_out_user\[107\] -*998 la_data_out_user\[108\] -*999 la_data_out_user\[109\] -*1000 la_data_out_user\[10\] -*1001 la_data_out_user\[110\] -*1002 la_data_out_user\[111\] -*1003 la_data_out_user\[112\] -*1004 la_data_out_user\[113\] -*1005 la_data_out_user\[114\] -*1006 la_data_out_user\[115\] -*1007 la_data_out_user\[116\] -*1008 la_data_out_user\[117\] -*1009 la_data_out_user\[118\] -*1010 la_data_out_user\[119\] -*1011 la_data_out_user\[11\] -*1012 la_data_out_user\[120\] -*1013 la_data_out_user\[121\] -*1014 la_data_out_user\[122\] -*1015 la_data_out_user\[123\] -*1016 la_data_out_user\[124\] -*1017 la_data_out_user\[125\] -*1018 la_data_out_user\[126\] -*1019 la_data_out_user\[127\] -*1020 la_data_out_user\[12\] -*1021 la_data_out_user\[13\] -*1022 la_data_out_user\[14\] -*1023 la_data_out_user\[15\] -*1024 la_data_out_user\[16\] -*1025 la_data_out_user\[17\] -*1026 la_data_out_user\[18\] -*1027 la_data_out_user\[19\] -*1028 la_data_out_user\[1\] -*1029 la_data_out_user\[20\] -*1030 la_data_out_user\[21\] -*1031 la_data_out_user\[22\] -*1032 la_data_out_user\[23\] -*1033 la_data_out_user\[24\] -*1034 la_data_out_user\[25\] -*1035 la_data_out_user\[26\] -*1036 la_data_out_user\[27\] -*1037 la_data_out_user\[28\] -*1038 la_data_out_user\[29\] -*1039 la_data_out_user\[2\] -*1040 la_data_out_user\[30\] -*1041 la_data_out_user\[31\] -*1042 la_data_out_user\[32\] -*1043 la_data_out_user\[33\] -*1044 la_data_out_user\[34\] -*1045 la_data_out_user\[35\] -*1046 la_data_out_user\[36\] -*1047 la_data_out_user\[37\] -*1048 la_data_out_user\[38\] -*1049 la_data_out_user\[39\] -*1050 la_data_out_user\[3\] -*1051 la_data_out_user\[40\] -*1052 la_data_out_user\[41\] -*1053 la_data_out_user\[42\] -*1054 la_data_out_user\[43\] -*1055 la_data_out_user\[44\] -*1056 la_data_out_user\[45\] -*1057 la_data_out_user\[46\] -*1058 la_data_out_user\[47\] -*1059 la_data_out_user\[48\] -*1060 la_data_out_user\[49\] -*1061 la_data_out_user\[4\] -*1062 la_data_out_user\[50\] -*1063 la_data_out_user\[51\] -*1064 la_data_out_user\[52\] -*1065 la_data_out_user\[53\] -*1066 la_data_out_user\[54\] -*1067 la_data_out_user\[55\] -*1068 la_data_out_user\[56\] -*1069 la_data_out_user\[57\] -*1070 la_data_out_user\[58\] -*1071 la_data_out_user\[59\] -*1072 la_data_out_user\[5\] -*1073 la_data_out_user\[60\] -*1074 la_data_out_user\[61\] -*1075 la_data_out_user\[62\] -*1076 la_data_out_user\[63\] -*1077 la_data_out_user\[64\] -*1078 la_data_out_user\[65\] -*1079 la_data_out_user\[66\] -*1080 la_data_out_user\[67\] -*1081 la_data_out_user\[68\] -*1082 la_data_out_user\[69\] -*1083 la_data_out_user\[6\] -*1084 la_data_out_user\[70\] -*1085 la_data_out_user\[71\] -*1086 la_data_out_user\[72\] -*1087 la_data_out_user\[73\] -*1088 la_data_out_user\[74\] -*1089 la_data_out_user\[75\] -*1090 la_data_out_user\[76\] -*1091 la_data_out_user\[77\] -*1092 la_data_out_user\[78\] -*1093 la_data_out_user\[79\] -*1094 la_data_out_user\[7\] -*1095 la_data_out_user\[80\] -*1096 la_data_out_user\[81\] -*1097 la_data_out_user\[82\] -*1098 la_data_out_user\[83\] -*1099 la_data_out_user\[84\] -*1100 la_data_out_user\[85\] -*1101 la_data_out_user\[86\] -*1102 la_data_out_user\[87\] -*1103 la_data_out_user\[88\] -*1104 la_data_out_user\[89\] -*1105 la_data_out_user\[8\] -*1106 la_data_out_user\[90\] -*1107 la_data_out_user\[91\] -*1108 la_data_out_user\[92\] -*1109 la_data_out_user\[93\] -*1110 la_data_out_user\[94\] -*1111 la_data_out_user\[95\] -*1112 la_data_out_user\[96\] -*1113 la_data_out_user\[97\] -*1114 la_data_out_user\[98\] -*1115 la_data_out_user\[99\] -*1116 la_data_out_user\[9\] -*1117 la_iena_mprj\[0\] -*1118 la_iena_mprj\[100\] -*1119 la_iena_mprj\[101\] -*1120 la_iena_mprj\[102\] -*1121 la_iena_mprj\[103\] -*1122 la_iena_mprj\[104\] -*1123 la_iena_mprj\[105\] -*1124 la_iena_mprj\[106\] -*1125 la_iena_mprj\[107\] -*1126 la_iena_mprj\[108\] -*1127 la_iena_mprj\[109\] -*1128 la_iena_mprj\[10\] -*1129 la_iena_mprj\[110\] -*1130 la_iena_mprj\[111\] -*1131 la_iena_mprj\[112\] -*1132 la_iena_mprj\[113\] -*1133 la_iena_mprj\[114\] -*1134 la_iena_mprj\[115\] -*1135 la_iena_mprj\[116\] -*1136 la_iena_mprj\[117\] -*1137 la_iena_mprj\[118\] -*1138 la_iena_mprj\[119\] -*1139 la_iena_mprj\[11\] -*1140 la_iena_mprj\[120\] -*1141 la_iena_mprj\[121\] -*1142 la_iena_mprj\[122\] -*1143 la_iena_mprj\[123\] -*1144 la_iena_mprj\[124\] -*1145 la_iena_mprj\[125\] -*1146 la_iena_mprj\[126\] -*1147 la_iena_mprj\[127\] -*1148 la_iena_mprj\[12\] -*1149 la_iena_mprj\[13\] -*1150 la_iena_mprj\[14\] -*1151 la_iena_mprj\[15\] -*1152 la_iena_mprj\[16\] -*1153 la_iena_mprj\[17\] -*1154 la_iena_mprj\[18\] -*1155 la_iena_mprj\[19\] -*1156 la_iena_mprj\[1\] -*1157 la_iena_mprj\[20\] -*1158 la_iena_mprj\[21\] -*1159 la_iena_mprj\[22\] -*1160 la_iena_mprj\[23\] -*1161 la_iena_mprj\[24\] -*1162 la_iena_mprj\[25\] -*1163 la_iena_mprj\[26\] -*1164 la_iena_mprj\[27\] -*1165 la_iena_mprj\[28\] -*1166 la_iena_mprj\[29\] -*1167 la_iena_mprj\[2\] -*1168 la_iena_mprj\[30\] -*1169 la_iena_mprj\[31\] -*1170 la_iena_mprj\[32\] -*1171 la_iena_mprj\[33\] -*1172 la_iena_mprj\[34\] -*1173 la_iena_mprj\[35\] -*1174 la_iena_mprj\[36\] -*1175 la_iena_mprj\[37\] -*1176 la_iena_mprj\[38\] -*1177 la_iena_mprj\[39\] -*1178 la_iena_mprj\[3\] -*1179 la_iena_mprj\[40\] -*1180 la_iena_mprj\[41\] -*1181 la_iena_mprj\[42\] -*1182 la_iena_mprj\[43\] -*1183 la_iena_mprj\[44\] -*1184 la_iena_mprj\[45\] -*1185 la_iena_mprj\[46\] -*1186 la_iena_mprj\[47\] -*1187 la_iena_mprj\[48\] -*1188 la_iena_mprj\[49\] -*1189 la_iena_mprj\[4\] -*1190 la_iena_mprj\[50\] -*1191 la_iena_mprj\[51\] -*1192 la_iena_mprj\[52\] -*1193 la_iena_mprj\[53\] -*1194 la_iena_mprj\[54\] -*1195 la_iena_mprj\[55\] -*1196 la_iena_mprj\[56\] -*1197 la_iena_mprj\[57\] -*1198 la_iena_mprj\[58\] -*1199 la_iena_mprj\[59\] -*1200 la_iena_mprj\[5\] -*1201 la_iena_mprj\[60\] -*1202 la_iena_mprj\[61\] -*1203 la_iena_mprj\[62\] -*1204 la_iena_mprj\[63\] -*1205 la_iena_mprj\[64\] -*1206 la_iena_mprj\[65\] -*1207 la_iena_mprj\[66\] -*1208 la_iena_mprj\[67\] -*1209 la_iena_mprj\[68\] -*1210 la_iena_mprj\[69\] -*1211 la_iena_mprj\[6\] -*1212 la_iena_mprj\[70\] -*1213 la_iena_mprj\[71\] -*1214 la_iena_mprj\[72\] -*1215 la_iena_mprj\[73\] -*1216 la_iena_mprj\[74\] -*1217 la_iena_mprj\[75\] -*1218 la_iena_mprj\[76\] -*1219 la_iena_mprj\[77\] -*1220 la_iena_mprj\[78\] -*1221 la_iena_mprj\[79\] -*1222 la_iena_mprj\[7\] -*1223 la_iena_mprj\[80\] -*1224 la_iena_mprj\[81\] -*1225 la_iena_mprj\[82\] -*1226 la_iena_mprj\[83\] -*1227 la_iena_mprj\[84\] -*1228 la_iena_mprj\[85\] -*1229 la_iena_mprj\[86\] -*1230 la_iena_mprj\[87\] -*1231 la_iena_mprj\[88\] -*1232 la_iena_mprj\[89\] -*1233 la_iena_mprj\[8\] -*1234 la_iena_mprj\[90\] -*1235 la_iena_mprj\[91\] -*1236 la_iena_mprj\[92\] -*1237 la_iena_mprj\[93\] -*1238 la_iena_mprj\[94\] -*1239 la_iena_mprj\[95\] -*1240 la_iena_mprj\[96\] -*1241 la_iena_mprj\[97\] -*1242 la_iena_mprj\[98\] -*1243 la_iena_mprj\[99\] -*1244 la_iena_mprj\[9\] -*1245 la_oenb_mprj\[0\] -*1246 la_oenb_mprj\[100\] -*1247 la_oenb_mprj\[101\] -*1248 la_oenb_mprj\[102\] -*1249 la_oenb_mprj\[103\] -*1250 la_oenb_mprj\[104\] -*1251 la_oenb_mprj\[105\] -*1252 la_oenb_mprj\[106\] -*1253 la_oenb_mprj\[107\] -*1254 la_oenb_mprj\[108\] -*1255 la_oenb_mprj\[109\] -*1256 la_oenb_mprj\[10\] -*1257 la_oenb_mprj\[110\] -*1258 la_oenb_mprj\[111\] -*1259 la_oenb_mprj\[112\] -*1260 la_oenb_mprj\[113\] -*1261 la_oenb_mprj\[114\] -*1262 la_oenb_mprj\[115\] -*1263 la_oenb_mprj\[116\] -*1264 la_oenb_mprj\[117\] -*1265 la_oenb_mprj\[118\] -*1266 la_oenb_mprj\[119\] -*1267 la_oenb_mprj\[11\] -*1268 la_oenb_mprj\[120\] -*1269 la_oenb_mprj\[121\] -*1270 la_oenb_mprj\[122\] -*1271 la_oenb_mprj\[123\] -*1272 la_oenb_mprj\[124\] -*1273 la_oenb_mprj\[125\] -*1274 la_oenb_mprj\[126\] -*1275 la_oenb_mprj\[127\] -*1276 la_oenb_mprj\[12\] -*1277 la_oenb_mprj\[13\] -*1278 la_oenb_mprj\[14\] -*1279 la_oenb_mprj\[15\] -*1280 la_oenb_mprj\[16\] -*1281 la_oenb_mprj\[17\] -*1282 la_oenb_mprj\[18\] -*1283 la_oenb_mprj\[19\] -*1284 la_oenb_mprj\[1\] -*1285 la_oenb_mprj\[20\] -*1286 la_oenb_mprj\[21\] -*1287 la_oenb_mprj\[22\] -*1288 la_oenb_mprj\[23\] -*1289 la_oenb_mprj\[24\] -*1290 la_oenb_mprj\[25\] -*1291 la_oenb_mprj\[26\] -*1292 la_oenb_mprj\[27\] -*1293 la_oenb_mprj\[28\] -*1294 la_oenb_mprj\[29\] -*1295 la_oenb_mprj\[2\] -*1296 la_oenb_mprj\[30\] -*1297 la_oenb_mprj\[31\] -*1298 la_oenb_mprj\[32\] -*1299 la_oenb_mprj\[33\] -*1300 la_oenb_mprj\[34\] -*1301 la_oenb_mprj\[35\] -*1302 la_oenb_mprj\[36\] -*1303 la_oenb_mprj\[37\] -*1304 la_oenb_mprj\[38\] -*1305 la_oenb_mprj\[39\] -*1306 la_oenb_mprj\[3\] -*1307 la_oenb_mprj\[40\] -*1308 la_oenb_mprj\[41\] -*1309 la_oenb_mprj\[42\] -*1310 la_oenb_mprj\[43\] -*1311 la_oenb_mprj\[44\] -*1312 la_oenb_mprj\[45\] -*1313 la_oenb_mprj\[46\] -*1314 la_oenb_mprj\[47\] -*1315 la_oenb_mprj\[48\] -*1316 la_oenb_mprj\[49\] -*1317 la_oenb_mprj\[4\] -*1318 la_oenb_mprj\[50\] -*1319 la_oenb_mprj\[51\] -*1320 la_oenb_mprj\[52\] -*1321 la_oenb_mprj\[53\] -*1322 la_oenb_mprj\[54\] -*1323 la_oenb_mprj\[55\] -*1324 la_oenb_mprj\[56\] -*1325 la_oenb_mprj\[57\] -*1326 la_oenb_mprj\[58\] -*1327 la_oenb_mprj\[59\] -*1328 la_oenb_mprj\[5\] -*1329 la_oenb_mprj\[60\] -*1330 la_oenb_mprj\[61\] -*1331 la_oenb_mprj\[62\] -*1332 la_oenb_mprj\[63\] -*1333 la_oenb_mprj\[64\] -*1334 la_oenb_mprj\[65\] -*1335 la_oenb_mprj\[66\] -*1336 la_oenb_mprj\[67\] -*1337 la_oenb_mprj\[68\] -*1338 la_oenb_mprj\[69\] -*1339 la_oenb_mprj\[6\] -*1340 la_oenb_mprj\[70\] -*1341 la_oenb_mprj\[71\] -*1342 la_oenb_mprj\[72\] -*1343 la_oenb_mprj\[73\] -*1344 la_oenb_mprj\[74\] -*1345 la_oenb_mprj\[75\] -*1346 la_oenb_mprj\[76\] -*1347 la_oenb_mprj\[77\] -*1348 la_oenb_mprj\[78\] -*1349 la_oenb_mprj\[79\] -*1350 la_oenb_mprj\[7\] -*1351 la_oenb_mprj\[80\] -*1352 la_oenb_mprj\[81\] -*1353 la_oenb_mprj\[82\] -*1354 la_oenb_mprj\[83\] -*1355 la_oenb_mprj\[84\] -*1356 la_oenb_mprj\[85\] -*1357 la_oenb_mprj\[86\] -*1358 la_oenb_mprj\[87\] -*1359 la_oenb_mprj\[88\] -*1360 la_oenb_mprj\[89\] -*1361 la_oenb_mprj\[8\] -*1362 la_oenb_mprj\[90\] -*1363 la_oenb_mprj\[91\] -*1364 la_oenb_mprj\[92\] -*1365 la_oenb_mprj\[93\] -*1366 la_oenb_mprj\[94\] -*1367 la_oenb_mprj\[95\] -*1368 la_oenb_mprj\[96\] -*1369 la_oenb_mprj\[97\] -*1370 la_oenb_mprj\[98\] -*1371 la_oenb_mprj\[99\] -*1372 la_oenb_mprj\[9\] -*1373 la_oenb_user\[0\] -*1374 la_oenb_user\[100\] -*1375 la_oenb_user\[101\] -*1376 la_oenb_user\[102\] -*1377 la_oenb_user\[103\] -*1378 la_oenb_user\[104\] -*1379 la_oenb_user\[105\] -*1380 la_oenb_user\[106\] -*1381 la_oenb_user\[107\] -*1382 la_oenb_user\[108\] -*1383 la_oenb_user\[109\] -*1384 la_oenb_user\[10\] -*1385 la_oenb_user\[110\] -*1386 la_oenb_user\[111\] -*1387 la_oenb_user\[112\] -*1388 la_oenb_user\[113\] -*1389 la_oenb_user\[114\] -*1390 la_oenb_user\[115\] -*1391 la_oenb_user\[116\] -*1392 la_oenb_user\[117\] -*1393 la_oenb_user\[118\] -*1394 la_oenb_user\[119\] -*1395 la_oenb_user\[11\] -*1396 la_oenb_user\[120\] -*1397 la_oenb_user\[121\] -*1398 la_oenb_user\[122\] -*1399 la_oenb_user\[123\] -*1400 la_oenb_user\[124\] -*1401 la_oenb_user\[125\] -*1402 la_oenb_user\[126\] -*1403 la_oenb_user\[127\] -*1404 la_oenb_user\[12\] -*1405 la_oenb_user\[13\] -*1406 la_oenb_user\[14\] -*1407 la_oenb_user\[15\] -*1408 la_oenb_user\[16\] -*1409 la_oenb_user\[17\] -*1410 la_oenb_user\[18\] -*1411 la_oenb_user\[19\] -*1412 la_oenb_user\[1\] -*1413 la_oenb_user\[20\] -*1414 la_oenb_user\[21\] -*1415 la_oenb_user\[22\] -*1416 la_oenb_user\[23\] -*1417 la_oenb_user\[24\] -*1418 la_oenb_user\[25\] -*1419 la_oenb_user\[26\] -*1420 la_oenb_user\[27\] -*1421 la_oenb_user\[28\] -*1422 la_oenb_user\[29\] -*1423 la_oenb_user\[2\] -*1424 la_oenb_user\[30\] -*1425 la_oenb_user\[31\] -*1426 la_oenb_user\[32\] -*1427 la_oenb_user\[33\] -*1428 la_oenb_user\[34\] -*1429 la_oenb_user\[35\] -*1430 la_oenb_user\[36\] -*1431 la_oenb_user\[37\] -*1432 la_oenb_user\[38\] -*1433 la_oenb_user\[39\] -*1434 la_oenb_user\[3\] -*1435 la_oenb_user\[40\] -*1436 la_oenb_user\[41\] -*1437 la_oenb_user\[42\] -*1438 la_oenb_user\[43\] -*1439 la_oenb_user\[44\] -*1440 la_oenb_user\[45\] -*1441 la_oenb_user\[46\] -*1442 la_oenb_user\[47\] -*1443 la_oenb_user\[48\] -*1444 la_oenb_user\[49\] -*1445 la_oenb_user\[4\] -*1446 la_oenb_user\[50\] -*1447 la_oenb_user\[51\] -*1448 la_oenb_user\[52\] -*1449 la_oenb_user\[53\] -*1450 la_oenb_user\[54\] -*1451 la_oenb_user\[55\] -*1452 la_oenb_user\[56\] -*1453 la_oenb_user\[57\] -*1454 la_oenb_user\[58\] -*1455 la_oenb_user\[59\] -*1456 la_oenb_user\[5\] -*1457 la_oenb_user\[60\] -*1458 la_oenb_user\[61\] -*1459 la_oenb_user\[62\] -*1460 la_oenb_user\[63\] -*1461 la_oenb_user\[64\] -*1462 la_oenb_user\[65\] -*1463 la_oenb_user\[66\] -*1464 la_oenb_user\[67\] -*1465 la_oenb_user\[68\] -*1466 la_oenb_user\[69\] -*1467 la_oenb_user\[6\] -*1468 la_oenb_user\[70\] -*1469 la_oenb_user\[71\] -*1470 la_oenb_user\[72\] -*1471 la_oenb_user\[73\] -*1472 la_oenb_user\[74\] -*1473 la_oenb_user\[75\] -*1474 la_oenb_user\[76\] -*1475 la_oenb_user\[77\] -*1476 la_oenb_user\[78\] -*1477 la_oenb_user\[79\] -*1478 la_oenb_user\[7\] -*1479 la_oenb_user\[80\] -*1480 la_oenb_user\[81\] -*1481 la_oenb_user\[82\] -*1482 la_oenb_user\[83\] -*1483 la_oenb_user\[84\] -*1484 la_oenb_user\[85\] -*1485 la_oenb_user\[86\] -*1486 la_oenb_user\[87\] -*1487 la_oenb_user\[88\] -*1488 la_oenb_user\[89\] -*1489 la_oenb_user\[8\] -*1490 la_oenb_user\[90\] -*1491 la_oenb_user\[91\] -*1492 la_oenb_user\[92\] -*1493 la_oenb_user\[93\] -*1494 la_oenb_user\[94\] -*1495 la_oenb_user\[95\] -*1496 la_oenb_user\[96\] -*1497 la_oenb_user\[97\] -*1498 la_oenb_user\[98\] -*1499 la_oenb_user\[99\] -*1500 la_oenb_user\[9\] -*1501 mask_rev\[0\] -*1502 mask_rev\[10\] -*1503 mask_rev\[11\] -*1504 mask_rev\[12\] -*1505 mask_rev\[13\] -*1506 mask_rev\[14\] -*1507 mask_rev\[15\] -*1508 mask_rev\[16\] -*1509 mask_rev\[17\] -*1510 mask_rev\[18\] -*1511 mask_rev\[19\] -*1512 mask_rev\[1\] -*1513 mask_rev\[20\] -*1514 mask_rev\[21\] -*1515 mask_rev\[22\] -*1516 mask_rev\[23\] -*1517 mask_rev\[24\] -*1518 mask_rev\[25\] -*1519 mask_rev\[26\] -*1520 mask_rev\[27\] -*1521 mask_rev\[28\] -*1522 mask_rev\[29\] -*1523 mask_rev\[2\] -*1524 mask_rev\[30\] -*1525 mask_rev\[31\] -*1526 mask_rev\[3\] -*1527 mask_rev\[4\] -*1528 mask_rev\[5\] -*1529 mask_rev\[6\] -*1530 mask_rev\[7\] -*1531 mask_rev\[8\] -*1532 mask_rev\[9\] -*1533 mgmt_io_in\[0\] -*1534 mgmt_io_in\[10\] -*1535 mgmt_io_in\[11\] -*1536 mgmt_io_in\[12\] -*1537 mgmt_io_in\[13\] -*1538 mgmt_io_in\[14\] -*1539 mgmt_io_in\[15\] -*1540 mgmt_io_in\[16\] -*1541 mgmt_io_in\[17\] -*1542 mgmt_io_in\[18\] -*1543 mgmt_io_in\[19\] -*1544 mgmt_io_in\[1\] -*1545 mgmt_io_in\[20\] -*1546 mgmt_io_in\[21\] -*1547 mgmt_io_in\[22\] -*1548 mgmt_io_in\[23\] -*1549 mgmt_io_in\[24\] -*1550 mgmt_io_in\[25\] -*1551 mgmt_io_in\[26\] -*1552 mgmt_io_in\[27\] -*1553 mgmt_io_in\[28\] -*1554 mgmt_io_in\[29\] -*1555 mgmt_io_in\[2\] -*1556 mgmt_io_in\[30\] -*1557 mgmt_io_in\[31\] -*1558 mgmt_io_in\[32\] -*1559 mgmt_io_in\[33\] -*1560 mgmt_io_in\[34\] -*1561 mgmt_io_in\[35\] -*1562 mgmt_io_in\[36\] -*1563 mgmt_io_in\[37\] -*1564 mgmt_io_in\[3\] -*1565 mgmt_io_in\[4\] -*1566 mgmt_io_in\[5\] -*1567 mgmt_io_in\[6\] -*1568 mgmt_io_in\[7\] -*1569 mgmt_io_in\[8\] -*1570 mgmt_io_in\[9\] -*1571 mgmt_io_oeb\[2\] -*1572 mgmt_io_oeb\[3\] -*1573 mgmt_io_oeb\[4\] -*1574 mgmt_io_out\[2\] -*1575 mgmt_io_out\[3\] -*1576 mgmt_io_out\[4\] -*1577 mprj2_vcc_pwrgood -*1578 mprj2_vdd_pwrgood -*1579 mprj_ack_i_core -*1580 mprj_ack_i_user -*1581 mprj_adr_o_core\[0\] -*1582 mprj_adr_o_core\[10\] -*1583 mprj_adr_o_core\[11\] -*1584 mprj_adr_o_core\[12\] -*1585 mprj_adr_o_core\[13\] -*1586 mprj_adr_o_core\[14\] -*1587 mprj_adr_o_core\[15\] -*1588 mprj_adr_o_core\[16\] -*1589 mprj_adr_o_core\[17\] -*1590 mprj_adr_o_core\[18\] -*1591 mprj_adr_o_core\[19\] -*1592 mprj_adr_o_core\[1\] -*1593 mprj_adr_o_core\[20\] -*1594 mprj_adr_o_core\[21\] -*1595 mprj_adr_o_core\[22\] -*1596 mprj_adr_o_core\[23\] -*1597 mprj_adr_o_core\[24\] -*1598 mprj_adr_o_core\[25\] -*1599 mprj_adr_o_core\[26\] -*1600 mprj_adr_o_core\[27\] -*1601 mprj_adr_o_core\[28\] -*1602 mprj_adr_o_core\[29\] -*1603 mprj_adr_o_core\[2\] -*1604 mprj_adr_o_core\[30\] -*1605 mprj_adr_o_core\[31\] -*1606 mprj_adr_o_core\[3\] -*1607 mprj_adr_o_core\[4\] -*1608 mprj_adr_o_core\[5\] -*1609 mprj_adr_o_core\[6\] -*1610 mprj_adr_o_core\[7\] -*1611 mprj_adr_o_core\[8\] -*1612 mprj_adr_o_core\[9\] -*1613 mprj_adr_o_user\[0\] -*1614 mprj_adr_o_user\[10\] -*1615 mprj_adr_o_user\[11\] -*1616 mprj_adr_o_user\[12\] -*1617 mprj_adr_o_user\[13\] -*1618 mprj_adr_o_user\[14\] -*1619 mprj_adr_o_user\[15\] -*1620 mprj_adr_o_user\[16\] -*1621 mprj_adr_o_user\[17\] -*1622 mprj_adr_o_user\[18\] -*1623 mprj_adr_o_user\[19\] -*1624 mprj_adr_o_user\[1\] -*1625 mprj_adr_o_user\[20\] -*1626 mprj_adr_o_user\[21\] -*1627 mprj_adr_o_user\[22\] -*1628 mprj_adr_o_user\[23\] -*1629 mprj_adr_o_user\[24\] -*1630 mprj_adr_o_user\[25\] -*1631 mprj_adr_o_user\[26\] -*1632 mprj_adr_o_user\[27\] -*1633 mprj_adr_o_user\[28\] -*1634 mprj_adr_o_user\[29\] -*1635 mprj_adr_o_user\[2\] -*1636 mprj_adr_o_user\[30\] -*1637 mprj_adr_o_user\[31\] -*1638 mprj_adr_o_user\[3\] -*1639 mprj_adr_o_user\[4\] -*1640 mprj_adr_o_user\[5\] -*1641 mprj_adr_o_user\[6\] -*1642 mprj_adr_o_user\[7\] -*1643 mprj_adr_o_user\[8\] -*1644 mprj_adr_o_user\[9\] -*1645 mprj_clock -*1646 mprj_clock2 -*1647 mprj_cyc_o_core -*1648 mprj_cyc_o_user -*1649 mprj_dat_i_core\[0\] -*1650 mprj_dat_i_core\[10\] -*1651 mprj_dat_i_core\[11\] -*1652 mprj_dat_i_core\[12\] -*1653 mprj_dat_i_core\[13\] -*1654 mprj_dat_i_core\[14\] -*1655 mprj_dat_i_core\[15\] -*1656 mprj_dat_i_core\[16\] -*1657 mprj_dat_i_core\[17\] -*1658 mprj_dat_i_core\[18\] -*1659 mprj_dat_i_core\[19\] -*1660 mprj_dat_i_core\[1\] -*1661 mprj_dat_i_core\[20\] -*1662 mprj_dat_i_core\[21\] -*1663 mprj_dat_i_core\[22\] -*1664 mprj_dat_i_core\[23\] -*1665 mprj_dat_i_core\[24\] -*1666 mprj_dat_i_core\[25\] -*1667 mprj_dat_i_core\[26\] -*1668 mprj_dat_i_core\[27\] -*1669 mprj_dat_i_core\[28\] -*1670 mprj_dat_i_core\[29\] -*1671 mprj_dat_i_core\[2\] -*1672 mprj_dat_i_core\[30\] -*1673 mprj_dat_i_core\[31\] -*1674 mprj_dat_i_core\[3\] -*1675 mprj_dat_i_core\[4\] -*1676 mprj_dat_i_core\[5\] -*1677 mprj_dat_i_core\[6\] -*1678 mprj_dat_i_core\[7\] -*1679 mprj_dat_i_core\[8\] -*1680 mprj_dat_i_core\[9\] -*1681 mprj_dat_i_user\[0\] -*1682 mprj_dat_i_user\[10\] -*1683 mprj_dat_i_user\[11\] -*1684 mprj_dat_i_user\[12\] -*1685 mprj_dat_i_user\[13\] -*1686 mprj_dat_i_user\[14\] -*1687 mprj_dat_i_user\[15\] -*1688 mprj_dat_i_user\[16\] -*1689 mprj_dat_i_user\[17\] -*1690 mprj_dat_i_user\[18\] -*1691 mprj_dat_i_user\[19\] -*1692 mprj_dat_i_user\[1\] -*1693 mprj_dat_i_user\[20\] -*1694 mprj_dat_i_user\[21\] -*1695 mprj_dat_i_user\[22\] -*1696 mprj_dat_i_user\[23\] -*1697 mprj_dat_i_user\[24\] -*1698 mprj_dat_i_user\[25\] -*1699 mprj_dat_i_user\[26\] -*1700 mprj_dat_i_user\[27\] -*1701 mprj_dat_i_user\[28\] -*1702 mprj_dat_i_user\[29\] -*1703 mprj_dat_i_user\[2\] -*1704 mprj_dat_i_user\[30\] -*1705 mprj_dat_i_user\[31\] -*1706 mprj_dat_i_user\[3\] -*1707 mprj_dat_i_user\[4\] -*1708 mprj_dat_i_user\[5\] -*1709 mprj_dat_i_user\[6\] -*1710 mprj_dat_i_user\[7\] -*1711 mprj_dat_i_user\[8\] -*1712 mprj_dat_i_user\[9\] -*1713 mprj_dat_o_core\[0\] -*1714 mprj_dat_o_core\[10\] -*1715 mprj_dat_o_core\[11\] -*1716 mprj_dat_o_core\[12\] -*1717 mprj_dat_o_core\[13\] -*1718 mprj_dat_o_core\[14\] -*1719 mprj_dat_o_core\[15\] -*1720 mprj_dat_o_core\[16\] -*1721 mprj_dat_o_core\[17\] -*1722 mprj_dat_o_core\[18\] -*1723 mprj_dat_o_core\[19\] -*1724 mprj_dat_o_core\[1\] -*1725 mprj_dat_o_core\[20\] -*1726 mprj_dat_o_core\[21\] -*1727 mprj_dat_o_core\[22\] -*1728 mprj_dat_o_core\[23\] -*1729 mprj_dat_o_core\[24\] -*1730 mprj_dat_o_core\[25\] -*1731 mprj_dat_o_core\[26\] -*1732 mprj_dat_o_core\[27\] -*1733 mprj_dat_o_core\[28\] -*1734 mprj_dat_o_core\[29\] -*1735 mprj_dat_o_core\[2\] -*1736 mprj_dat_o_core\[30\] -*1737 mprj_dat_o_core\[31\] -*1738 mprj_dat_o_core\[3\] -*1739 mprj_dat_o_core\[4\] -*1740 mprj_dat_o_core\[5\] -*1741 mprj_dat_o_core\[6\] -*1742 mprj_dat_o_core\[7\] -*1743 mprj_dat_o_core\[8\] -*1744 mprj_dat_o_core\[9\] -*1745 mprj_dat_o_user\[0\] -*1746 mprj_dat_o_user\[10\] -*1747 mprj_dat_o_user\[11\] -*1748 mprj_dat_o_user\[12\] -*1749 mprj_dat_o_user\[13\] -*1750 mprj_dat_o_user\[14\] -*1751 mprj_dat_o_user\[15\] -*1752 mprj_dat_o_user\[16\] -*1753 mprj_dat_o_user\[17\] -*1754 mprj_dat_o_user\[18\] -*1755 mprj_dat_o_user\[19\] -*1756 mprj_dat_o_user\[1\] -*1757 mprj_dat_o_user\[20\] -*1758 mprj_dat_o_user\[21\] -*1759 mprj_dat_o_user\[22\] -*1760 mprj_dat_o_user\[23\] -*1761 mprj_dat_o_user\[24\] -*1762 mprj_dat_o_user\[25\] -*1763 mprj_dat_o_user\[26\] -*1764 mprj_dat_o_user\[27\] -*1765 mprj_dat_o_user\[28\] -*1766 mprj_dat_o_user\[29\] -*1767 mprj_dat_o_user\[2\] -*1768 mprj_dat_o_user\[30\] -*1769 mprj_dat_o_user\[31\] -*1770 mprj_dat_o_user\[3\] -*1771 mprj_dat_o_user\[4\] -*1772 mprj_dat_o_user\[5\] -*1773 mprj_dat_o_user\[6\] -*1774 mprj_dat_o_user\[7\] -*1775 mprj_dat_o_user\[8\] -*1776 mprj_dat_o_user\[9\] -*1777 mprj_io_analog_en\[0\] -*1778 mprj_io_analog_en\[10\] -*1779 mprj_io_analog_en\[11\] -*1780 mprj_io_analog_en\[12\] -*1781 mprj_io_analog_en\[13\] -*1782 mprj_io_analog_en\[14\] -*1783 mprj_io_analog_en\[15\] -*1784 mprj_io_analog_en\[16\] -*1785 mprj_io_analog_en\[17\] -*1786 mprj_io_analog_en\[18\] -*1787 mprj_io_analog_en\[19\] -*1788 mprj_io_analog_en\[1\] -*1789 mprj_io_analog_en\[20\] -*1790 mprj_io_analog_en\[21\] -*1791 mprj_io_analog_en\[22\] -*1792 mprj_io_analog_en\[23\] -*1793 mprj_io_analog_en\[24\] -*1794 mprj_io_analog_en\[25\] -*1795 mprj_io_analog_en\[26\] -*1796 mprj_io_analog_en\[2\] -*1797 mprj_io_analog_en\[3\] -*1798 mprj_io_analog_en\[4\] -*1799 mprj_io_analog_en\[5\] -*1800 mprj_io_analog_en\[6\] -*1801 mprj_io_analog_en\[7\] -*1802 mprj_io_analog_en\[8\] -*1803 mprj_io_analog_en\[9\] -*1804 mprj_io_analog_pol\[0\] -*1805 mprj_io_analog_pol\[10\] -*1806 mprj_io_analog_pol\[11\] -*1807 mprj_io_analog_pol\[12\] -*1808 mprj_io_analog_pol\[13\] -*1809 mprj_io_analog_pol\[14\] -*1810 mprj_io_analog_pol\[15\] -*1811 mprj_io_analog_pol\[16\] -*1812 mprj_io_analog_pol\[17\] -*1813 mprj_io_analog_pol\[18\] -*1814 mprj_io_analog_pol\[19\] -*1815 mprj_io_analog_pol\[1\] -*1816 mprj_io_analog_pol\[20\] -*1817 mprj_io_analog_pol\[21\] -*1818 mprj_io_analog_pol\[22\] -*1819 mprj_io_analog_pol\[23\] -*1820 mprj_io_analog_pol\[24\] -*1821 mprj_io_analog_pol\[25\] -*1822 mprj_io_analog_pol\[26\] -*1823 mprj_io_analog_pol\[2\] -*1824 mprj_io_analog_pol\[3\] -*1825 mprj_io_analog_pol\[4\] -*1826 mprj_io_analog_pol\[5\] -*1827 mprj_io_analog_pol\[6\] -*1828 mprj_io_analog_pol\[7\] -*1829 mprj_io_analog_pol\[8\] -*1830 mprj_io_analog_pol\[9\] -*1831 mprj_io_analog_sel\[0\] -*1832 mprj_io_analog_sel\[10\] -*1833 mprj_io_analog_sel\[11\] -*1834 mprj_io_analog_sel\[12\] -*1835 mprj_io_analog_sel\[13\] -*1836 mprj_io_analog_sel\[14\] -*1837 mprj_io_analog_sel\[15\] -*1838 mprj_io_analog_sel\[16\] -*1839 mprj_io_analog_sel\[17\] -*1840 mprj_io_analog_sel\[18\] -*1841 mprj_io_analog_sel\[19\] -*1842 mprj_io_analog_sel\[1\] -*1843 mprj_io_analog_sel\[20\] -*1844 mprj_io_analog_sel\[21\] -*1845 mprj_io_analog_sel\[22\] -*1846 mprj_io_analog_sel\[23\] -*1847 mprj_io_analog_sel\[24\] -*1848 mprj_io_analog_sel\[25\] -*1849 mprj_io_analog_sel\[26\] -*1850 mprj_io_analog_sel\[2\] -*1851 mprj_io_analog_sel\[3\] -*1852 mprj_io_analog_sel\[4\] -*1853 mprj_io_analog_sel\[5\] -*1854 mprj_io_analog_sel\[6\] -*1855 mprj_io_analog_sel\[7\] -*1856 mprj_io_analog_sel\[8\] -*1857 mprj_io_analog_sel\[9\] -*1858 mprj_io_dm\[0\] -*1859 mprj_io_dm\[10\] -*1860 mprj_io_dm\[11\] -*1861 mprj_io_dm\[12\] -*1862 mprj_io_dm\[13\] -*1863 mprj_io_dm\[14\] -*1864 mprj_io_dm\[15\] -*1865 mprj_io_dm\[16\] -*1866 mprj_io_dm\[17\] -*1867 mprj_io_dm\[18\] -*1868 mprj_io_dm\[19\] -*1869 mprj_io_dm\[1\] -*1870 mprj_io_dm\[20\] -*1871 mprj_io_dm\[21\] -*1872 mprj_io_dm\[22\] -*1873 mprj_io_dm\[23\] -*1874 mprj_io_dm\[24\] -*1875 mprj_io_dm\[25\] -*1876 mprj_io_dm\[26\] -*1877 mprj_io_dm\[27\] -*1878 mprj_io_dm\[28\] -*1879 mprj_io_dm\[29\] -*1880 mprj_io_dm\[2\] -*1881 mprj_io_dm\[30\] -*1882 mprj_io_dm\[31\] -*1883 mprj_io_dm\[32\] -*1884 mprj_io_dm\[33\] -*1885 mprj_io_dm\[34\] -*1886 mprj_io_dm\[35\] -*1887 mprj_io_dm\[36\] -*1888 mprj_io_dm\[37\] -*1889 mprj_io_dm\[38\] -*1890 mprj_io_dm\[39\] -*1891 mprj_io_dm\[3\] -*1892 mprj_io_dm\[40\] -*1893 mprj_io_dm\[41\] -*1894 mprj_io_dm\[42\] -*1895 mprj_io_dm\[43\] -*1896 mprj_io_dm\[44\] -*1897 mprj_io_dm\[45\] -*1898 mprj_io_dm\[46\] -*1899 mprj_io_dm\[47\] -*1900 mprj_io_dm\[48\] -*1901 mprj_io_dm\[49\] -*1902 mprj_io_dm\[4\] -*1903 mprj_io_dm\[50\] -*1904 mprj_io_dm\[51\] -*1905 mprj_io_dm\[52\] -*1906 mprj_io_dm\[53\] -*1907 mprj_io_dm\[54\] -*1908 mprj_io_dm\[55\] -*1909 mprj_io_dm\[56\] -*1910 mprj_io_dm\[57\] -*1911 mprj_io_dm\[58\] -*1912 mprj_io_dm\[59\] -*1913 mprj_io_dm\[5\] -*1914 mprj_io_dm\[60\] -*1915 mprj_io_dm\[61\] -*1916 mprj_io_dm\[62\] -*1917 mprj_io_dm\[63\] -*1918 mprj_io_dm\[64\] -*1919 mprj_io_dm\[65\] -*1920 mprj_io_dm\[66\] -*1921 mprj_io_dm\[67\] -*1922 mprj_io_dm\[68\] -*1923 mprj_io_dm\[69\] -*1924 mprj_io_dm\[6\] -*1925 mprj_io_dm\[70\] -*1926 mprj_io_dm\[71\] -*1927 mprj_io_dm\[72\] -*1928 mprj_io_dm\[73\] -*1929 mprj_io_dm\[74\] -*1930 mprj_io_dm\[75\] -*1931 mprj_io_dm\[76\] -*1932 mprj_io_dm\[77\] -*1933 mprj_io_dm\[78\] -*1934 mprj_io_dm\[79\] -*1935 mprj_io_dm\[7\] -*1936 mprj_io_dm\[80\] -*1937 mprj_io_dm\[8\] -*1938 mprj_io_dm\[9\] -*1939 mprj_io_holdover\[0\] -*1940 mprj_io_holdover\[10\] -*1941 mprj_io_holdover\[11\] -*1942 mprj_io_holdover\[12\] -*1943 mprj_io_holdover\[13\] -*1944 mprj_io_holdover\[14\] -*1945 mprj_io_holdover\[15\] -*1946 mprj_io_holdover\[16\] -*1947 mprj_io_holdover\[17\] -*1948 mprj_io_holdover\[18\] -*1949 mprj_io_holdover\[19\] -*1950 mprj_io_holdover\[1\] -*1951 mprj_io_holdover\[20\] -*1952 mprj_io_holdover\[21\] -*1953 mprj_io_holdover\[22\] -*1954 mprj_io_holdover\[23\] -*1955 mprj_io_holdover\[24\] -*1956 mprj_io_holdover\[25\] -*1957 mprj_io_holdover\[26\] -*1958 mprj_io_holdover\[2\] -*1959 mprj_io_holdover\[3\] -*1960 mprj_io_holdover\[4\] -*1961 mprj_io_holdover\[5\] -*1962 mprj_io_holdover\[6\] -*1963 mprj_io_holdover\[7\] -*1964 mprj_io_holdover\[8\] -*1965 mprj_io_holdover\[9\] -*1966 mprj_io_ib_mode_sel\[0\] -*1967 mprj_io_ib_mode_sel\[10\] -*1968 mprj_io_ib_mode_sel\[11\] -*1969 mprj_io_ib_mode_sel\[12\] -*1970 mprj_io_ib_mode_sel\[13\] -*1971 mprj_io_ib_mode_sel\[14\] -*1972 mprj_io_ib_mode_sel\[15\] -*1973 mprj_io_ib_mode_sel\[16\] -*1974 mprj_io_ib_mode_sel\[17\] -*1975 mprj_io_ib_mode_sel\[18\] -*1976 mprj_io_ib_mode_sel\[19\] -*1977 mprj_io_ib_mode_sel\[1\] -*1978 mprj_io_ib_mode_sel\[20\] -*1979 mprj_io_ib_mode_sel\[21\] -*1980 mprj_io_ib_mode_sel\[22\] -*1981 mprj_io_ib_mode_sel\[23\] -*1982 mprj_io_ib_mode_sel\[24\] -*1983 mprj_io_ib_mode_sel\[25\] -*1984 mprj_io_ib_mode_sel\[26\] -*1985 mprj_io_ib_mode_sel\[2\] -*1986 mprj_io_ib_mode_sel\[3\] -*1987 mprj_io_ib_mode_sel\[4\] -*1988 mprj_io_ib_mode_sel\[5\] -*1989 mprj_io_ib_mode_sel\[6\] -*1990 mprj_io_ib_mode_sel\[7\] -*1991 mprj_io_ib_mode_sel\[8\] -*1992 mprj_io_ib_mode_sel\[9\] -*1993 mprj_io_in\[0\] -*1994 mprj_io_in\[10\] -*1995 mprj_io_in\[11\] -*1996 mprj_io_in\[12\] -*1997 mprj_io_in\[13\] -*1998 mprj_io_in\[14\] -*1999 mprj_io_in\[15\] -*2000 mprj_io_in\[16\] -*2001 mprj_io_in\[17\] -*2002 mprj_io_in\[18\] -*2003 mprj_io_in\[19\] -*2004 mprj_io_in\[1\] -*2005 mprj_io_in\[20\] -*2006 mprj_io_in\[21\] -*2007 mprj_io_in\[22\] -*2008 mprj_io_in\[23\] -*2009 mprj_io_in\[24\] -*2010 mprj_io_in\[25\] -*2011 mprj_io_in\[26\] -*2012 mprj_io_in\[2\] -*2013 mprj_io_in\[3\] -*2014 mprj_io_in\[4\] -*2015 mprj_io_in\[5\] -*2016 mprj_io_in\[6\] -*2017 mprj_io_in\[7\] -*2018 mprj_io_in\[8\] -*2019 mprj_io_in\[9\] -*2020 mprj_io_in_3v3\[0\] -*2021 mprj_io_in_3v3\[10\] -*2022 mprj_io_in_3v3\[11\] -*2023 mprj_io_in_3v3\[12\] -*2024 mprj_io_in_3v3\[13\] -*2025 mprj_io_in_3v3\[14\] -*2026 mprj_io_in_3v3\[15\] -*2027 mprj_io_in_3v3\[16\] -*2028 mprj_io_in_3v3\[17\] -*2029 mprj_io_in_3v3\[18\] -*2030 mprj_io_in_3v3\[19\] -*2031 mprj_io_in_3v3\[1\] -*2032 mprj_io_in_3v3\[20\] -*2033 mprj_io_in_3v3\[21\] -*2034 mprj_io_in_3v3\[22\] -*2035 mprj_io_in_3v3\[23\] -*2036 mprj_io_in_3v3\[24\] -*2037 mprj_io_in_3v3\[25\] -*2038 mprj_io_in_3v3\[26\] -*2039 mprj_io_in_3v3\[2\] -*2040 mprj_io_in_3v3\[3\] -*2041 mprj_io_in_3v3\[4\] -*2042 mprj_io_in_3v3\[5\] -*2043 mprj_io_in_3v3\[6\] -*2044 mprj_io_in_3v3\[7\] -*2045 mprj_io_in_3v3\[8\] -*2046 mprj_io_in_3v3\[9\] -*2047 mprj_io_inp_dis\[0\] -*2048 mprj_io_inp_dis\[10\] -*2049 mprj_io_inp_dis\[11\] -*2050 mprj_io_inp_dis\[12\] -*2051 mprj_io_inp_dis\[13\] -*2052 mprj_io_inp_dis\[14\] -*2053 mprj_io_inp_dis\[15\] -*2054 mprj_io_inp_dis\[16\] -*2055 mprj_io_inp_dis\[17\] -*2056 mprj_io_inp_dis\[18\] -*2057 mprj_io_inp_dis\[19\] -*2058 mprj_io_inp_dis\[1\] -*2059 mprj_io_inp_dis\[20\] -*2060 mprj_io_inp_dis\[21\] -*2061 mprj_io_inp_dis\[22\] -*2062 mprj_io_inp_dis\[23\] -*2063 mprj_io_inp_dis\[24\] -*2064 mprj_io_inp_dis\[25\] -*2065 mprj_io_inp_dis\[26\] -*2066 mprj_io_inp_dis\[2\] -*2067 mprj_io_inp_dis\[3\] -*2068 mprj_io_inp_dis\[4\] -*2069 mprj_io_inp_dis\[5\] -*2070 mprj_io_inp_dis\[6\] -*2071 mprj_io_inp_dis\[7\] -*2072 mprj_io_inp_dis\[8\] -*2073 mprj_io_inp_dis\[9\] -*2074 mprj_io_oeb\[0\] -*2075 mprj_io_oeb\[10\] -*2076 mprj_io_oeb\[11\] -*2077 mprj_io_oeb\[12\] -*2078 mprj_io_oeb\[13\] -*2079 mprj_io_oeb\[14\] -*2080 mprj_io_oeb\[15\] -*2081 mprj_io_oeb\[16\] -*2082 mprj_io_oeb\[17\] -*2083 mprj_io_oeb\[18\] -*2084 mprj_io_oeb\[19\] -*2085 mprj_io_oeb\[1\] -*2086 mprj_io_oeb\[20\] -*2087 mprj_io_oeb\[21\] -*2088 mprj_io_oeb\[22\] -*2089 mprj_io_oeb\[23\] -*2090 mprj_io_oeb\[24\] -*2091 mprj_io_oeb\[25\] -*2092 mprj_io_oeb\[26\] -*2093 mprj_io_oeb\[2\] -*2094 mprj_io_oeb\[3\] -*2095 mprj_io_oeb\[4\] -*2096 mprj_io_oeb\[5\] -*2097 mprj_io_oeb\[6\] -*2098 mprj_io_oeb\[7\] -*2099 mprj_io_oeb\[8\] -*2100 mprj_io_oeb\[9\] -*2101 mprj_io_out\[0\] -*2102 mprj_io_out\[10\] -*2103 mprj_io_out\[11\] -*2104 mprj_io_out\[12\] -*2105 mprj_io_out\[13\] -*2106 mprj_io_out\[14\] -*2107 mprj_io_out\[15\] -*2108 mprj_io_out\[16\] -*2109 mprj_io_out\[17\] -*2110 mprj_io_out\[18\] -*2111 mprj_io_out\[19\] -*2112 mprj_io_out\[1\] -*2113 mprj_io_out\[20\] -*2114 mprj_io_out\[21\] -*2115 mprj_io_out\[22\] -*2116 mprj_io_out\[23\] -*2117 mprj_io_out\[24\] -*2118 mprj_io_out\[25\] -*2119 mprj_io_out\[26\] -*2120 mprj_io_out\[2\] -*2121 mprj_io_out\[3\] -*2122 mprj_io_out\[4\] -*2123 mprj_io_out\[5\] -*2124 mprj_io_out\[6\] -*2125 mprj_io_out\[7\] -*2126 mprj_io_out\[8\] -*2127 mprj_io_out\[9\] -*2128 mprj_io_slow_sel\[0\] -*2129 mprj_io_slow_sel\[10\] -*2130 mprj_io_slow_sel\[11\] -*2131 mprj_io_slow_sel\[12\] -*2132 mprj_io_slow_sel\[13\] -*2133 mprj_io_slow_sel\[14\] -*2134 mprj_io_slow_sel\[15\] -*2135 mprj_io_slow_sel\[16\] -*2136 mprj_io_slow_sel\[17\] -*2137 mprj_io_slow_sel\[18\] -*2138 mprj_io_slow_sel\[19\] -*2139 mprj_io_slow_sel\[1\] -*2140 mprj_io_slow_sel\[20\] -*2141 mprj_io_slow_sel\[21\] -*2142 mprj_io_slow_sel\[22\] -*2143 mprj_io_slow_sel\[23\] -*2144 mprj_io_slow_sel\[24\] -*2145 mprj_io_slow_sel\[25\] -*2146 mprj_io_slow_sel\[26\] -*2147 mprj_io_slow_sel\[2\] -*2148 mprj_io_slow_sel\[3\] -*2149 mprj_io_slow_sel\[4\] -*2150 mprj_io_slow_sel\[5\] -*2151 mprj_io_slow_sel\[6\] -*2152 mprj_io_slow_sel\[7\] -*2153 mprj_io_slow_sel\[8\] -*2154 mprj_io_slow_sel\[9\] -*2155 mprj_io_vtrip_sel\[0\] -*2156 mprj_io_vtrip_sel\[10\] -*2157 mprj_io_vtrip_sel\[11\] -*2158 mprj_io_vtrip_sel\[12\] -*2159 mprj_io_vtrip_sel\[13\] -*2160 mprj_io_vtrip_sel\[14\] -*2161 mprj_io_vtrip_sel\[15\] -*2162 mprj_io_vtrip_sel\[16\] -*2163 mprj_io_vtrip_sel\[17\] -*2164 mprj_io_vtrip_sel\[18\] -*2165 mprj_io_vtrip_sel\[19\] -*2166 mprj_io_vtrip_sel\[1\] -*2167 mprj_io_vtrip_sel\[20\] -*2168 mprj_io_vtrip_sel\[21\] -*2169 mprj_io_vtrip_sel\[22\] -*2170 mprj_io_vtrip_sel\[23\] -*2171 mprj_io_vtrip_sel\[24\] -*2172 mprj_io_vtrip_sel\[25\] -*2173 mprj_io_vtrip_sel\[26\] -*2174 mprj_io_vtrip_sel\[2\] -*2175 mprj_io_vtrip_sel\[3\] -*2176 mprj_io_vtrip_sel\[4\] -*2177 mprj_io_vtrip_sel\[5\] -*2178 mprj_io_vtrip_sel\[6\] -*2179 mprj_io_vtrip_sel\[7\] -*2180 mprj_io_vtrip_sel\[8\] -*2181 mprj_io_vtrip_sel\[9\] -*2182 mprj_reset -*2183 mprj_sel_o_core\[0\] -*2184 mprj_sel_o_core\[1\] -*2185 mprj_sel_o_core\[2\] -*2186 mprj_sel_o_core\[3\] -*2187 mprj_sel_o_user\[0\] -*2188 mprj_sel_o_user\[1\] -*2189 mprj_sel_o_user\[2\] -*2190 mprj_sel_o_user\[3\] -*2191 mprj_stb_o_core -*2192 mprj_stb_o_user -*2193 mprj_vcc_pwrgood -*2194 mprj_vdd_pwrgood -*2195 mprj_we_o_core -*2196 mprj_we_o_user -*2197 one_loop1\[0\] -*2198 one_loop1\[10\] -*2199 one_loop1\[11\] -*2200 one_loop1\[1\] -*2201 one_loop1\[2\] -*2202 one_loop1\[3\] -*2203 one_loop1\[4\] -*2204 one_loop1\[5\] -*2205 one_loop1\[6\] -*2206 one_loop1\[7\] -*2207 one_loop1\[8\] -*2208 one_loop1\[9\] -*2209 one_loop2\[0\] -*2210 one_loop2\[1\] -*2211 one_loop2\[2\] -*2212 one_loop2\[3\] -*2213 one_loop2\[4\] -*2214 one_loop2\[5\] -*2215 one_loop2\[6\] -*2216 one_loop2\[7\] -*2217 one_loop2\[8\] -*2218 one_loop2\[9\] -*2219 pll_clk -*2220 pll_clk90 -*2221 por_l -*2222 porb_h -*2223 porb_l -*2224 qspi_enabled -*2225 rstb_h -*2226 rstb_l -*2227 ser_rx -*2228 ser_tx -*2229 spi_csb -*2230 spi_enabled -*2231 spi_pll90_sel\[0\] -*2232 spi_pll90_sel\[1\] -*2233 spi_pll90_sel\[2\] -*2234 spi_pll_dco_ena -*2235 spi_pll_div\[0\] -*2236 spi_pll_div\[1\] -*2237 spi_pll_div\[2\] -*2238 spi_pll_div\[3\] -*2239 spi_pll_div\[4\] -*2240 spi_pll_ena -*2241 spi_pll_sel\[0\] -*2242 spi_pll_sel\[1\] -*2243 spi_pll_sel\[2\] -*2244 spi_pll_trim\[0\] -*2245 spi_pll_trim\[10\] -*2246 spi_pll_trim\[11\] -*2247 spi_pll_trim\[12\] -*2248 spi_pll_trim\[13\] -*2249 spi_pll_trim\[14\] -*2250 spi_pll_trim\[15\] -*2251 spi_pll_trim\[16\] -*2252 spi_pll_trim\[17\] -*2253 spi_pll_trim\[18\] -*2254 spi_pll_trim\[19\] -*2255 spi_pll_trim\[1\] -*2256 spi_pll_trim\[20\] -*2257 spi_pll_trim\[21\] -*2258 spi_pll_trim\[22\] -*2259 spi_pll_trim\[23\] -*2260 spi_pll_trim\[24\] -*2261 spi_pll_trim\[25\] -*2262 spi_pll_trim\[2\] -*2263 spi_pll_trim\[3\] -*2264 spi_pll_trim\[4\] -*2265 spi_pll_trim\[5\] -*2266 spi_pll_trim\[6\] -*2267 spi_pll_trim\[7\] -*2268 spi_pll_trim\[8\] -*2269 spi_pll_trim\[9\] -*2270 spi_sck -*2271 spi_sdi -*2272 spi_sdo -*2273 spi_sdoenb -*2274 trap -*2275 uart_enabled -*2276 user_analog\[0\] -*2277 user_analog\[10\] -*2278 user_analog\[1\] -*2279 user_analog\[2\] -*2280 user_analog\[3\] -*2281 user_analog\[4\] -*2282 user_analog\[5\] -*2283 user_analog\[6\] -*2284 user_analog\[7\] -*2285 user_analog\[8\] -*2286 user_analog\[9\] -*2287 user_clamp_high\[0\] -*2288 user_clamp_high\[1\] -*2289 user_clamp_high\[2\] -*2290 user_clamp_low\[0\] -*2291 user_clamp_low\[1\] -*2292 user_clamp_low\[2\] -*2293 user_gpio_analog\[0\] -*2294 user_gpio_analog\[10\] -*2295 user_gpio_analog\[11\] -*2296 user_gpio_analog\[12\] -*2297 user_gpio_analog\[13\] -*2298 user_gpio_analog\[14\] -*2299 user_gpio_analog\[15\] -*2300 user_gpio_analog\[16\] -*2301 user_gpio_analog\[17\] -*2302 user_gpio_analog\[1\] -*2303 user_gpio_analog\[2\] -*2304 user_gpio_analog\[3\] -*2305 user_gpio_analog\[4\] -*2306 user_gpio_analog\[5\] -*2307 user_gpio_analog\[6\] -*2308 user_gpio_analog\[7\] -*2309 user_gpio_analog\[8\] -*2310 user_gpio_analog\[9\] -*2311 user_gpio_noesd\[0\] -*2312 user_gpio_noesd\[10\] -*2313 user_gpio_noesd\[11\] -*2314 user_gpio_noesd\[12\] -*2315 user_gpio_noesd\[13\] -*2316 user_gpio_noesd\[14\] -*2317 user_gpio_noesd\[15\] -*2318 user_gpio_noesd\[16\] -*2319 user_gpio_noesd\[17\] -*2320 user_gpio_noesd\[1\] -*2321 user_gpio_noesd\[2\] -*2322 user_gpio_noesd\[3\] -*2323 user_gpio_noesd\[4\] -*2324 user_gpio_noesd\[5\] -*2325 user_gpio_noesd\[6\] -*2326 user_gpio_noesd\[7\] -*2327 user_gpio_noesd\[8\] -*2328 user_gpio_noesd\[9\] -*2329 user_io_in\[0\] -*2330 user_io_in\[10\] -*2331 user_io_in\[11\] -*2332 user_io_in\[12\] -*2333 user_io_in\[13\] -*2334 user_io_in\[14\] -*2335 user_io_in\[15\] -*2336 user_io_in\[16\] -*2337 user_io_in\[17\] -*2338 user_io_in\[18\] -*2339 user_io_in\[19\] -*2340 user_io_in\[1\] -*2341 user_io_in\[20\] -*2342 user_io_in\[21\] -*2343 user_io_in\[22\] -*2344 user_io_in\[23\] -*2345 user_io_in\[24\] -*2346 user_io_in\[25\] -*2347 user_io_in\[26\] -*2348 user_io_in\[2\] -*2349 user_io_in\[3\] -*2350 user_io_in\[4\] -*2351 user_io_in\[5\] -*2352 user_io_in\[6\] -*2353 user_io_in\[7\] -*2354 user_io_in\[8\] -*2355 user_io_in\[9\] -*2356 user_io_oeb\[0\] -*2357 user_io_oeb\[10\] -*2358 user_io_oeb\[11\] -*2359 user_io_oeb\[12\] -*2360 user_io_oeb\[13\] -*2361 user_io_oeb\[14\] -*2362 user_io_oeb\[15\] -*2363 user_io_oeb\[16\] -*2364 user_io_oeb\[17\] -*2365 user_io_oeb\[18\] -*2366 user_io_oeb\[19\] -*2367 user_io_oeb\[1\] -*2368 user_io_oeb\[20\] -*2369 user_io_oeb\[21\] -*2370 user_io_oeb\[22\] -*2371 user_io_oeb\[23\] -*2372 user_io_oeb\[24\] -*2373 user_io_oeb\[25\] -*2374 user_io_oeb\[26\] -*2375 user_io_oeb\[2\] -*2376 user_io_oeb\[3\] -*2377 user_io_oeb\[4\] -*2378 user_io_oeb\[5\] -*2379 user_io_oeb\[6\] -*2380 user_io_oeb\[7\] -*2381 user_io_oeb\[8\] -*2382 user_io_oeb\[9\] -*2383 user_io_out\[0\] -*2384 user_io_out\[10\] -*2385 user_io_out\[11\] -*2386 user_io_out\[12\] -*2387 user_io_out\[13\] -*2388 user_io_out\[14\] -*2389 user_io_out\[15\] -*2390 user_io_out\[16\] -*2391 user_io_out\[17\] -*2392 user_io_out\[18\] -*2393 user_io_out\[19\] -*2394 user_io_out\[1\] -*2395 user_io_out\[20\] -*2396 user_io_out\[21\] -*2397 user_io_out\[22\] -*2398 user_io_out\[23\] -*2399 user_io_out\[24\] -*2400 user_io_out\[25\] -*2401 user_io_out\[26\] -*2402 user_io_out\[2\] -*2403 user_io_out\[3\] -*2404 user_io_out\[4\] -*2405 user_io_out\[5\] -*2406 user_io_out\[6\] -*2407 user_io_out\[7\] -*2408 user_io_out\[8\] -*2409 user_io_out\[9\] -*2410 user_irq\[0\] -*2411 user_irq\[1\] -*2412 user_irq\[2\] -*2413 user_irq_core\[0\] -*2414 user_irq_core\[1\] -*2415 user_irq_core\[2\] -*2416 clocking -*2417 gpio_01_defaults\[0\] -*2418 gpio_01_defaults\[1\] -*2419 gpio_10_defaults -*2420 gpio_11_defaults -*2421 gpio_12_defaults -*2422 gpio_13_defaults -*2423 gpio_14_defaults -*2424 gpio_234_defaults\[0\] -*2425 gpio_234_defaults\[1\] -*2426 gpio_234_defaults\[2\] -*2427 gpio_26_defaults -*2428 gpio_27_defaults -*2429 gpio_28_defaults -*2430 gpio_29_defaults -*2431 gpio_30_defaults -*2432 gpio_31_defaults -*2433 gpio_32_defaults -*2434 gpio_33_defaults -*2435 gpio_34_defaults -*2436 gpio_35_defaults -*2437 gpio_36_defaults -*2438 gpio_37_defaults -*2439 gpio_5_defaults -*2440 gpio_6_defaults -*2441 gpio_7_defaults -*2442 gpio_8_defaults -*2443 gpio_9_defaults -*2444 gpio_control_bidir_1\[0\] -*2445 gpio_control_bidir_1\[1\] -*2446 gpio_control_bidir_2\[0\] -*2447 gpio_control_bidir_2\[1\] -*2448 gpio_control_bidir_2\[2\] -*2449 gpio_control_in_1\[0\] -*2450 gpio_control_in_1\[1\] -*2451 gpio_control_in_1\[2\] -*2452 gpio_control_in_1\[3\] -*2453 gpio_control_in_1\[4\] -*2454 gpio_control_in_1\[5\] -*2455 gpio_control_in_1a\[0\] -*2456 gpio_control_in_1a\[1\] -*2457 gpio_control_in_1a\[2\] -*2458 gpio_control_in_1a\[3\] -*2459 gpio_control_in_1a\[4\] -*2460 gpio_control_in_1a\[5\] -*2461 gpio_control_in_2\[0\] -*2462 gpio_control_in_2\[1\] -*2463 gpio_control_in_2\[2\] -*2464 gpio_control_in_2\[3\] -*2465 gpio_control_in_2\[4\] -*2466 gpio_control_in_2\[5\] -*2467 gpio_control_in_2\[6\] -*2468 gpio_control_in_2\[7\] -*2469 gpio_control_in_2\[8\] -*2470 gpio_control_in_2\[9\] -*2471 housekeeping -*2472 mgmt_buffers -*2473 mprj -*2474 padframe -*2475 pll -*2476 por -*2477 rstb_level -*2478 soc -*2479 user_id_value - -*PORTS -clock I -flash_clk O -flash_csb O -flash_io0 O -flash_io1 O -gpio I -mprj_io[0] I -mprj_io[10] I -mprj_io[11] I -mprj_io[12] I -mprj_io[13] I -mprj_io[14] I -mprj_io[15] I -mprj_io[16] I -mprj_io[17] I -mprj_io[18] I -mprj_io[19] I -mprj_io[1] I -mprj_io[20] I -mprj_io[21] I -mprj_io[22] I -mprj_io[23] I -mprj_io[24] I -mprj_io[25] I -mprj_io[26] I -mprj_io[27] I -mprj_io[28] I -mprj_io[29] I -mprj_io[2] I -mprj_io[30] I -mprj_io[31] I -mprj_io[32] I -mprj_io[33] I -mprj_io[34] I -mprj_io[35] I -mprj_io[36] I -mprj_io[37] I -mprj_io[3] I -mprj_io[4] I -mprj_io[5] I -mprj_io[6] I -mprj_io[7] I -mprj_io[8] I -mprj_io[9] I -resetb I -vccd1 I -vccd2 I -vdda I -vdda1 I -vdda1_2 I -vdda2 I -vddio_2 I -vssa1 I -vssa1_2 I -vssa2 I -vssd1 I -vssd2 I -vssio_2 I -vddio I -vssio I -vssa I -vccd I -vssd I - -*D_NET *64 0.541286 -*CONN -*I *2471:wb_clk_i I *D housekeeping -*I *2478:core_clk I *D mgmt_core_wrapper -*I *2472:caravel_clk I *D mgmt_protect -*I *2416:core_clk O *D caravel_clocking -*CAP -1 *2471:wb_clk_i 0.00111123 -2 *2478:core_clk 0.000477361 -3 *2472:caravel_clk 0.00152904 -4 *2416:core_clk 0.00165085 -5 *64:51 0.00679804 -6 *64:50 0.00568681 -7 *64:48 0.0639707 -8 *64:47 0.0640219 -9 *64:34 0.0169105 -10 *64:22 0.030332 -11 *64:21 0.0288029 -12 *64:19 0.0245901 -13 *64:18 0.0245901 -14 *64:16 0.0334715 -15 *64:15 0.0499558 -16 *64:13 0.00570652 -17 *64:12 0.0058659 -18 *64:7 0.00181023 -19 *2471:wb_clk_i *2475:ext_trim[19] 0.000269383 -20 *2471:wb_clk_i *66:17 0 -21 *2471:wb_clk_i *72:12 0.000315556 -22 *2471:wb_clk_i *2257:15 0.000266632 -23 *2471:wb_clk_i *2261:12 1.65872e-05 -24 *64:16 *2226:16 0.00484053 -25 *64:16 *2226:27 0.0039377 -26 *64:19 *65:13 0.0712627 -27 *64:34 *2226:27 0.0765658 -28 *64:51 *2471:mask_rev_in[0] 0 -29 *64:51 *72:13 0.0165299 -30 *64:51 *1526:11 0 -*RES -1 *2416:core_clk *64:7 46.8624 -2 *64:7 *64:12 13.051 -3 *64:12 *64:13 160.874 -4 *64:13 *64:15 4.5 -5 *64:15 *64:16 975.162 -6 *64:16 *64:18 4.5 -7 *64:18 *64:19 1137.96 -8 *64:19 *64:21 4.5 -9 *64:21 *64:22 801.016 -10 *64:22 *2472:caravel_clk 13.9709 -11 *64:15 *64:34 799.907 -12 *64:34 *2478:core_clk 16.4812 -13 *2478:core_clk *64:47 6.90602 -14 *64:47 *64:48 1784.88 -15 *64:48 *64:50 4.5 -16 *64:50 *64:51 263.856 -17 *64:51 *2471:wb_clk_i 42.4729 -*END - -*D_NET *65 0.314995 -*CONN -*I *2472:caravel_clk2 I *D mgmt_protect -*I *2416:user_clk O *D caravel_clocking -*CAP -1 *2472:caravel_clk2 0.00199685 -2 *2416:user_clk 9.19267e-05 -3 *65:16 0.0303285 -4 *65:15 0.0283316 -5 *65:13 0.0115887 -6 *65:12 0.0115887 -7 *65:10 0.0351353 -8 *65:9 0.0351353 -9 *65:7 0.00543666 -10 *65:5 0.00552859 -11 *65:13 *66:37 0.0759863 -12 *65:16 *1562:13 0.00258421 -13 *64:19 *65:13 0.0712627 -*RES -1 *2416:user_clk *65:5 2.61365 -2 *65:5 *65:7 154.437 -3 *65:7 *65:9 4.5 -4 *65:9 *65:10 983.481 -5 *65:10 *65:12 4.5 -6 *65:12 *65:13 1240.11 -7 *65:13 *65:15 4.5 -8 *65:15 *65:16 800.462 -9 *65:16 *2472:caravel_clk2 27.2589 -*END - -*D_NET *66 0.492225 -*CONN -*I *2478:core_rstn I *D mgmt_core_wrapper -*I *2472:caravel_rstn I *D mgmt_protect -*I *2471:wb_rstn_i I *D housekeeping -*I *2416:resetb_sync O *D caravel_clocking -*CAP -1 *2478:core_rstn 0.000979358 -2 *2472:caravel_rstn 0.00192382 -3 *2471:wb_rstn_i 1.28869e-05 -4 *2416:resetb_sync 9.19267e-05 -5 *66:40 0.0306413 -6 *66:39 0.0287175 -7 *66:37 0.0285967 -8 *66:36 0.0285967 -9 *66:34 0.0211102 -10 *66:33 0.0213887 -11 *66:30 0.00125789 -12 *66:28 0.0146081 -13 *66:27 0.0149394 -14 *66:17 0.00837873 -15 *66:16 0.00836584 -16 *66:14 0.0911453 -17 *66:13 0.0911453 -18 *66:11 0.00331698 -19 *66:9 0.00301139 -20 *66:7 0.00338883 -21 *66:5 0.00345498 -22 *66:14 *82:18 0.000876276 -23 *66:14 *89:22 0.000278113 -24 *66:17 *2471:mask_rev_in[0] 0 -25 *66:17 *2475:ext_trim[19] 8.39733e-05 -26 *66:17 *72:13 0.00855593 -27 *66:17 *2257:15 0.00108295 -28 *66:28 *466:10 0.000289628 -29 *66:37 *1117:7 0 -30 *2471:wb_clk_i *66:17 0 -31 *65:13 *66:37 0.0759863 -*RES -1 *2416:resetb_sync *66:5 2.61365 -2 *66:5 *66:7 95.7034 -3 *66:7 *66:9 0.732798 -4 *66:9 *66:11 84.2351 -5 *66:11 *66:13 4.5 -6 *66:13 *66:14 2559.66 -7 *66:14 *66:16 4.5 -8 *66:16 *66:17 324.691 -9 *66:17 *2471:wb_rstn_i 0.366399 -10 *66:11 *66:27 13.3913 -11 *66:27 *66:28 408.357 -12 *66:28 *66:30 4.5 -13 *66:30 *66:33 12.1455 -14 *66:33 *66:34 591.376 -15 *66:34 *66:36 4.5 -16 *66:36 *66:37 1280.39 -17 *66:37 *66:39 4.5 -18 *66:39 *66:40 799.907 -19 *66:40 *2472:caravel_rstn 25.1827 -20 *66:30 *2478:core_rstn 27.3212 -*END - -*D_NET *67 0.384619 -*CONN -*I *2475:osc I *D digital_pll -*I *2416:ext_clk I *D caravel_clocking -*I *2474:clock_core O *D chip_io_alt -*CAP -1 *2475:osc 1.28869e-05 -2 *2416:ext_clk 5.24068e-05 -3 *2474:clock_core 0.00506033 -4 *67:32 0.00766076 -5 *67:31 0.00764787 -6 *67:29 0.0539749 -7 *67:28 0.055531 -8 *67:15 0.00517568 -9 *67:14 0.00512327 -10 *67:12 0.00661645 -11 *67:29 *72:16 0.234318 -12 *67:29 *465:22 0.00273662 -13 *67:29 *2243:10 0.00070936 -*RES -1 *2474:clock_core *67:12 24.1758 -2 *67:12 *67:14 3.36879 -3 *67:14 *67:15 145.509 -4 *67:15 *2416:ext_clk 1.49002 -5 *67:12 *67:28 36.2611 -6 *67:28 *67:29 2573.53 -7 *67:29 *67:31 4.5 -8 *67:31 *67:32 216.725 -9 *67:32 *2475:osc 0.366399 -*END - -*D_NET *68 0.0486367 -*CONN -*I *2478:debug_in I *D mgmt_core_wrapper -*I *2471:debug_in O *D housekeeping -*CAP -1 *2478:debug_in 0.00117924 -2 *2471:debug_in 0.00187269 -3 *68:14 0.00267535 -4 *68:13 0.00149611 -5 *68:11 0.000864571 -6 *68:10 0.00273726 -7 *68:10 *2471:debug_mode 0 -8 *68:10 *2471:sram_ro_data[30] 7.77309e-06 -9 *68:10 *2471:sram_ro_data[31] 0.000476542 -10 *68:11 *593:11 0.0107111 -11 *68:11 *594:11 0.0105287 -12 *68:14 *70:8 5.66868e-06 -13 *68:14 *584:8 0.00566104 -14 *68:14 *589:8 0.00774116 -15 *68:14 *593:8 0.000486913 -16 *68:14 *2227:14 0.00135552 -17 *68:14 *2270:8 0.00083707 -*RES -1 *2471:debug_in *68:10 28.1987 -2 *68:10 *68:11 114.972 -3 *68:11 *68:13 4.5 -4 *68:13 *68:14 142.395 -5 *68:14 *2478:debug_in 7.25648 -*END - -*D_NET *69 0.048307 -*CONN -*I *2471:debug_mode I *D housekeeping -*I *2478:debug_mode O *D mgmt_core_wrapper -*CAP -1 *2471:debug_mode 0.00150361 -2 *2478:debug_mode 0.00140471 -3 *69:11 0.00219478 -4 *69:10 0.000691168 -5 *69:8 0.001585 -6 *69:7 0.00298971 -7 *2471:debug_mode *2471:debug_oeb 0.000223845 -8 *2471:debug_mode *2471:debug_out 0.000202487 -9 *69:8 *71:8 0.00123909 -10 *69:8 *575:8 0.00198572 -11 *69:8 *594:8 0.0082482 -12 *69:8 *604:14 0.000502815 -13 *69:8 *2272:8 0.00470526 -14 *69:11 *70:11 0.0104885 -15 *69:11 *71:11 0.0103421 -16 *68:10 *2471:debug_mode 0 -*RES -1 *2478:debug_mode *69:7 7.8684 -2 *69:7 *69:8 150.285 -3 *69:8 *69:10 4.5 -4 *69:10 *69:11 109.98 -5 *69:11 *2471:debug_mode 17.0634 -*END - -*D_NET *70 0.0402574 -*CONN -*I *2471:debug_oeb I *D housekeeping -*I *2478:debug_oeb O *D mgmt_core_wrapper -*CAP -1 *2471:debug_oeb 0.00170361 -2 *2478:debug_oeb 0.00127691 -3 *70:11 0.00420391 -4 *70:10 0.0025003 -5 *70:8 0.00175276 -6 *70:7 0.00302967 -7 *2471:debug_oeb *2471:debug_out 0.000203088 -8 *70:8 *593:8 0.00761 -9 *70:8 *594:8 0 -10 *70:8 *603:14 0.0063597 -11 *70:8 *2227:14 0 -12 *70:8 *2274:8 0.000562744 -13 *70:8 *2275:8 0.000336704 -14 *2471:debug_mode *2471:debug_oeb 0.000223845 -15 *68:14 *70:8 5.66868e-06 -16 *69:11 *70:11 0.0104885 -*RES -1 *2478:debug_oeb *70:7 7.48595 -2 *70:7 *70:8 140.734 -3 *70:8 *70:10 4.5 -4 *70:10 *70:11 113.308 -5 *70:11 *2471:debug_oeb 24.0462 -*END - -*D_NET *71 0.0382811 -*CONN -*I *2471:debug_out I *D housekeeping -*I *2478:debug_out O *D mgmt_core_wrapper -*CAP -1 *2471:debug_out 0.00192412 -2 *2478:debug_out 0.00147749 -3 *71:11 0.00420213 -4 *71:10 0.00227802 -5 *71:8 0.00194817 -6 *71:7 0.00342566 -7 *2471:debug_out *2471:trap 0 -8 *71:8 *575:8 0.000843849 -9 *71:8 *577:8 0 -10 *71:8 *602:14 0.00018896 -11 *71:8 *2272:8 0.00472983 -12 *71:8 *2273:8 0.00527619 -13 *2471:debug_mode *2471:debug_out 0.000202487 -14 *2471:debug_oeb *2471:debug_out 0.000203088 -15 *69:8 *71:8 0.00123909 -16 *69:11 *71:11 0.0103421 -*RES -1 *2478:debug_out *71:7 8.02138 -2 *71:7 *71:8 132.429 -3 *71:8 *71:10 4.5 -4 *71:10 *71:11 108.316 -5 *71:11 *2471:debug_out 29.1822 -*END - -*D_NET *72 0.548649 -*CONN -*I *2416:ext_clk_sel I *D caravel_clocking -*I *2471:pll_bypass O *D housekeeping -*CAP -1 *2416:ext_clk_sel 0.00172964 -2 *2471:pll_bypass 0.00114928 -3 *72:19 0.0102047 -4 *72:18 0.00847505 -5 *72:16 0.0160641 -6 *72:15 0.0160641 -7 *72:13 0.00409011 -8 *72:12 0.00523939 -9 *72:12 *2475:ext_trim[18] 0 -10 *72:12 *2257:15 0.000169038 -11 *72:12 *2261:9 0 -12 *72:12 *2261:12 0.000680863 -13 *72:13 *2257:15 0.00107828 -14 *72:16 *2243:10 0.223986 -15 *2471:wb_clk_i *72:12 0.000315556 -16 *64:51 *72:13 0.0165299 -17 *66:17 *72:13 0.00855593 -18 *67:29 *72:16 0.234318 -*RES -1 *2471:pll_bypass *72:12 45.3852 -2 *72:12 *72:13 305.797 -3 *72:13 *72:15 4.5 -4 *72:15 *72:16 2469.82 -5 *72:16 *72:18 4.5 -6 *72:18 *72:19 238.941 -7 *72:19 *2416:ext_clk_sel 40.4387 -*END - -*D_NET *73 0.439627 -*CONN -*I *2416:ext_reset I *D caravel_clocking -*I *2471:reset O *D housekeeping -*CAP -1 *2416:ext_reset 0.00123118 -2 *2471:reset 0.0010976 -3 *73:19 0.00534138 -4 *73:18 0.0041102 -5 *73:16 0.0222052 -6 *73:15 0.0222052 -7 *73:13 0.00947438 -8 *73:12 0.0098908 -9 *73:9 0.00151403 -10 *73:9 *2471:porb 0.00163502 -11 *73:13 *92:15 0.00252768 -12 *73:16 *79:14 0.170598 -13 *73:16 *87:10 0.000409745 -14 *73:16 *465:22 0.141201 -15 *73:16 *2243:10 0.0359745 -16 *73:19 *2231:19 0.0102051 -17 *73:19 *2232:19 5.66868e-06 -18 *73:19 *2241:19 0 -19 *73:19 *2243:13 0 -*RES -1 *2471:reset *73:9 45.5489 -2 *73:9 *73:12 15.7609 -3 *73:12 *73:13 292.509 -4 *73:13 *73:15 4.5 -5 *73:15 *73:16 2303.44 -6 *73:16 *73:18 4.5 -7 *73:18 *73:19 178.107 -8 *73:19 *2416:ext_reset 7.79191 -*END - -*D_NET *74 0.0206956 -*CONN -*I *2471:spimemio_flash_clk I *D housekeeping -*I *2478:flash_clk O *D mgmt_core_wrapper -*CAP -1 *2471:spimemio_flash_clk 0.00182054 -2 *2478:flash_clk 0.00144648 -3 *74:11 0.00395637 -4 *74:10 0.00358231 -5 *2471:spimemio_flash_clk *2471:spimemio_flash_csb 0 -6 *2471:spimemio_flash_clk *551:10 0 -7 *74:11 *77:11 0.00988993 -*RES -1 *2478:flash_clk *74:10 16.528 -2 *74:10 *74:11 103.325 -3 *74:11 *2471:spimemio_flash_clk 20.4291 -*END - -*D_NET *75 0.238734 -*CONN -*I *2474:flash_clk_core I *D chip_io_alt -*I *2471:pad_flash_clk O *D housekeeping -*CAP -1 *2474:flash_clk_core 0.000202261 -2 *2471:pad_flash_clk 0.00136931 -3 *75:46 0.0218511 -4 *75:45 0.0216671 -5 *75:40 0.00520396 -6 *75:39 0.00518573 -7 *75:37 0.00171496 -8 *75:34 0.00297904 -9 *75:33 0.0023303 -10 *75:30 0.00245467 -11 *75:25 0.00336117 -12 *75:24 0.00197273 -13 *75:22 0.0020888 -14 *75:21 0.0020888 -15 *75:19 0.00444001 -16 *75:18 0.00444001 -17 *75:16 0.00121366 -18 *75:13 0.00398775 -19 *75:12 0.00277409 -20 *75:10 0.00136931 -21 *2474:flash_clk_core *78:16 0 -22 *75:10 *76:9 0 -23 *75:10 *84:10 0.000296981 -24 *75:16 *91:16 0.000762248 -25 *75:19 *91:21 0 -26 *75:34 *92:30 0.0056751 -27 *75:40 *80:16 1.65872e-05 -28 *75:40 *85:20 0.0238996 -29 *75:40 *89:28 0.00303891 -30 *75:45 *85:25 1.66626e-05 -31 *75:46 *2474:flash_io0_oeb_core 0.000994562 -32 *75:46 *2474:flash_io1_do_core 0.000709959 -33 *75:46 *80:16 0.00721236 -34 *75:46 *85:26 0.0674974 -35 *75:46 *89:28 0.0348475 -36 *75:46 *482:16 0.00107171 -*RES -1 *2471:pad_flash_clk *75:10 45.7373 -2 *75:10 *75:12 4.5 -3 *75:12 *75:13 77.8232 -4 *75:13 *75:16 46.8187 -5 *75:16 *75:18 4.5 -6 *75:18 *75:19 125.577 -7 *75:19 *75:21 4.5 -8 *75:21 *75:22 57.8476 -9 *75:22 *75:24 4.5 -10 *75:24 *75:25 55.8148 -11 *75:25 *75:30 46.8818 -12 *75:30 *75:33 34.1539 -13 *75:33 *75:34 59.5114 -14 *75:34 *75:37 47.8572 -15 *75:37 *75:39 4.5 -16 *75:39 *75:40 250.295 -17 *75:40 *75:45 8.2474 -18 *75:45 *75:46 139.989 -19 *75:46 *2474:flash_clk_core 5.16821 -*END - -*D_NET *76 0.288149 -*CONN -*I *2474:flash_clk_oeb_core I *D chip_io_alt -*I *2471:pad_flash_clk_oeb O *D housekeeping -*CAP -1 *2474:flash_clk_oeb_core 6.22868e-05 -2 *2471:pad_flash_clk_oeb 6.28896e-05 -3 *76:21 0.00135184 -4 *76:12 0.0178718 -5 *76:11 0.0165822 -6 *76:9 0.00766888 -7 *76:7 0.00773177 -8 *76:7 *78:9 2.54668e-05 -9 *76:9 *78:9 0 -10 *76:9 *80:19 0.00921367 -11 *76:9 *91:13 0.00411881 -12 *76:12 *79:14 0.138587 -13 *76:12 *87:10 0.0845793 -14 *76:21 *2221:13 0.000292937 -15 *76:21 *2241:16 0 -16 *75:10 *76:9 0 -*RES -1 *2471:pad_flash_clk_oeb *76:7 2.19839 -2 *76:7 *76:9 328.843 -3 *76:9 *76:11 4.5 -4 *76:11 *76:12 1448.24 -5 *76:12 *76:21 49.1192 -6 *76:21 *2474:flash_clk_oeb_core 1.77093 -*END - -*D_NET *77 0.0203546 -*CONN -*I *2471:spimemio_flash_csb I *D housekeeping -*I *2478:flash_csb O *D mgmt_core_wrapper -*CAP -1 *2471:spimemio_flash_csb 0.00146141 -2 *2478:flash_csb 0.00116512 -3 *77:11 0.00406721 -4 *77:10 0.00377092 -5 *2471:spimemio_flash_csb *81:10 0 -6 *2471:spimemio_flash_clk *2471:spimemio_flash_csb 0 -7 *74:11 *77:11 0.00988993 -*RES -1 *2478:flash_csb *77:10 16.8887 -2 *77:10 *77:11 116.081 -3 *77:11 *2471:spimemio_flash_csb 13.7414 -*END - -*D_NET *78 0.376166 -*CONN -*I *2474:flash_csb_core I *D chip_io_alt -*I *2471:pad_flash_csb O *D housekeeping -*CAP -1 *2474:flash_csb_core 0.000333936 -2 *2471:pad_flash_csb 0.00110237 -3 *78:16 0.0443646 -4 *78:15 0.0440307 -5 *78:13 0.00859756 -6 *78:12 0.00859756 -7 *78:10 0.00556428 -8 *78:9 0.00666665 -9 *78:9 *79:7 0 -10 *78:9 *80:19 5.19205e-05 -11 *78:10 *89:10 0.0106049 -12 *78:10 *578:7 0.000241106 -13 *78:13 *89:19 0.0106136 -14 *78:13 *91:25 0.00131394 -15 *78:16 *2474:flash_io0_oeb_core 0 -16 *78:16 *2474:flash_io1_do_core 0 -17 *78:16 *2474:gpio_mode1_core 0 -18 *78:16 *84:22 0.105584 -19 *78:16 *2219:11 0.0407366 -20 *78:16 *2220:11 0.02277 -21 *78:16 *2231:16 0.00767111 -22 *78:16 *2232:16 0.00706908 -23 *78:16 *2233:16 0.0227635 -24 *78:16 *2241:16 0.00652931 -25 *78:16 *2242:10 0.0209337 -26 *2474:flash_clk_core *78:16 0 -27 *76:7 *78:9 2.54668e-05 -28 *76:9 *78:9 0 -*RES -1 *2471:pad_flash_csb *78:9 35.9981 -2 *78:9 *78:10 193.171 -3 *78:10 *78:12 4.5 -4 *78:12 *78:13 318.047 -5 *78:13 *78:15 3.36879 -6 *78:15 *78:16 223.172 -7 *78:16 *2474:flash_csb_core 12.2356 -*END - -*D_NET *79 0.375525 -*CONN -*I *2474:flash_csb_oeb_core I *D chip_io_alt -*I *2471:pad_flash_csb_oeb O *D housekeeping -*CAP -1 *2474:flash_csb_oeb_core 0.00125349 -2 *2471:pad_flash_csb_oeb 0.000111687 -3 *79:14 0.0162098 -4 *79:13 0.0149563 -5 *79:11 0.00598608 -6 *79:9 0.00611066 -7 *79:7 0.00208013 -8 *79:5 0.00206724 -9 *2474:flash_csb_oeb_core *2221:13 0.000156624 -10 *2474:flash_csb_oeb_core *2241:16 5.76883e-05 -11 *79:7 *2471:pad_flash_io0_di 0 -12 *79:7 *80:19 0 -13 *79:11 *80:19 0.0172898 -14 *79:14 *87:10 5.99527e-05 -15 *73:16 *79:14 0.170598 -16 *76:12 *79:14 0.138587 -17 *78:9 *79:7 0 -*RES -1 *2471:pad_flash_csb_oeb *79:5 3.17546 -2 *79:5 *79:7 54.8133 -3 *79:7 *79:9 3.54186 -4 *79:9 *79:11 276.277 -5 *79:11 *79:13 4.5 -6 *79:13 *79:14 1782.66 -7 *79:14 *2474:flash_csb_oeb_core 47.9828 -*END - -*D_NET *80 0.177826 -*CONN -*I *2471:pad_flash_io0_di I *D housekeeping -*I *2474:flash_io0_di_core O *D chip_io_alt -*CAP -1 *2471:pad_flash_io0_di 0.00132084 -2 *2474:flash_io0_di_core 8.04051e-05 -3 *80:19 0.00624581 -4 *80:18 0.00492496 -5 *80:16 0.0318511 -6 *80:15 0.031978 -7 *80:10 0.0045548 -8 *80:9 0.00450832 -9 *2471:pad_flash_io0_di *84:10 0.000213959 -10 *80:10 *2474:flash_io0_oeb_core 0.000996775 -11 *80:16 *2474:gpio_mode0_core 0 -12 *80:16 *85:20 0.0418966 -13 *80:16 *89:28 0.0114793 -14 *80:16 *465:15 0 -15 *80:16 *482:16 0.00399081 -16 *75:40 *80:16 1.65872e-05 -17 *75:46 *80:16 0.00721236 -18 *76:9 *80:19 0.00921367 -19 *78:9 *80:19 5.19205e-05 -20 *79:7 *2471:pad_flash_io0_di 0 -21 *79:7 *80:19 0 -22 *79:11 *80:19 0.0172898 -*RES -1 *2474:flash_io0_di_core *80:9 6.64954 -2 *80:9 *80:10 123.845 -3 *80:10 *80:15 12.0778 -4 *80:15 *80:16 1092.18 -5 *80:16 *80:18 4.5 -6 *80:18 *80:19 330.712 -7 *80:19 *2471:pad_flash_io0_di 47.4643 -*END - -*D_NET *81 0.013867 -*CONN -*I *2478:flash_io0_di I *D mgmt_core_wrapper -*I *2471:spimemio_flash_io0_di O *D housekeeping -*CAP -1 *2478:flash_io0_di 0.00157362 -2 *2471:spimemio_flash_io0_di 0.00154255 -3 *81:11 0.00539096 -4 *81:10 0.00535989 -5 *81:10 *2471:spimemio_flash_io0_do 0 -6 *2471:spimemio_flash_csb *81:10 0 -*RES -1 *2471:spimemio_flash_io0_di *81:10 15.8176 -2 *81:10 *81:11 106.653 -3 *81:11 *2478:flash_io0_di 18.189 -*END - -*D_NET *82 0.257234 -*CONN -*I *2474:flash_io0_do_core I *D chip_io_alt -*I *2471:pad_flash_io0_do O *D housekeeping -*CAP -1 *2474:flash_io0_do_core 0.000367808 -2 *2471:pad_flash_io0_do 5.24068e-05 -3 *82:22 0.0109218 -4 *82:21 0.0114228 -5 *82:18 0.00180947 -6 *82:13 0.00600178 -7 *82:12 0.00506115 -8 *82:10 0.00579952 -9 *82:9 0.00579952 -10 *82:7 0.00365826 -11 *82:5 0.00371067 -12 *82:7 *84:9 0.000831393 -13 *82:7 *87:13 0.00858197 -14 *82:10 *84:18 0 -15 *82:13 *567:14 0.00266023 -16 *82:22 *84:22 0.106317 -17 *82:22 *91:28 0.069641 -18 *82:22 *2241:16 0.013721 -19 *66:14 *82:18 0.000876276 -*RES -1 *2471:pad_flash_io0_do *82:5 1.49002 -2 *82:5 *82:7 164.403 -3 *82:7 *82:9 4.5 -4 *82:9 *82:10 159.895 -5 *82:10 *82:12 4.5 -6 *82:12 *82:13 159.213 -7 *82:13 *82:18 43.5542 -8 *82:18 *82:21 25.7558 -9 *82:21 *82:22 134.214 -10 *82:22 *2474:flash_io0_do_core 11.7593 -*END - -*D_NET *83 0.0139808 -*CONN -*I *2471:spimemio_flash_io0_do I *D housekeeping -*I *2478:flash_io0_do O *D mgmt_core_wrapper -*CAP -1 *2471:spimemio_flash_io0_do 0.00163018 -2 *2478:flash_io0_do 0.00128581 -3 *83:11 0.00570457 -4 *83:10 0.00536019 -5 *2471:spimemio_flash_io0_do *2471:spimemio_flash_io0_oeb 0 -6 *81:10 *2471:spimemio_flash_io0_do 0 -*RES -1 *2478:flash_io0_do *83:10 18.0251 -2 *83:10 *83:11 113.863 -3 *83:11 *2471:spimemio_flash_io0_do 18.3092 -*END - -*D_NET *84 0.30099 -*CONN -*I *2474:flash_io0_ieb_core I *D chip_io_alt -*I *2471:pad_flash_io0_ieb O *D housekeeping -*CAP -1 *2474:flash_io0_ieb_core 0.000196444 -2 *2471:pad_flash_io0_ieb 0.000773056 -3 *84:22 0.00796014 -4 *84:21 0.0077637 -5 *84:19 0.00643048 -6 *84:18 0.00763782 -7 *84:13 0.00618302 -8 *84:12 0.00497568 -9 *84:10 0.0022612 -10 *84:9 0.00303426 -11 *84:9 *85:7 0 -12 *84:9 *87:13 8.16827e-05 -13 *84:10 *2471:pad_flash_io1_di 1.03403e-05 -14 *84:10 *89:10 0.0105367 -15 *84:22 *2219:11 0.0014102 -16 *84:22 *2220:11 0.000765267 -17 *84:22 *2231:16 0.0144457 -18 *84:22 *2232:16 0.0132807 -19 *2471:pad_flash_io0_di *84:10 0.000213959 -20 *75:10 *84:10 0.000296981 -21 *78:16 *84:22 0.105584 -22 *82:7 *84:9 0.000831393 -23 *82:10 *84:18 0 -24 *82:22 *84:22 0.106317 -*RES -1 *2471:pad_flash_io0_ieb *84:9 34.6058 -2 *84:9 *84:10 111.09 -3 *84:10 *84:12 4.5 -4 *84:12 *84:13 138.865 -5 *84:13 *84:18 42.445 -6 *84:18 *84:19 179.352 -7 *84:19 *84:21 3.36879 -8 *84:21 *84:22 142.245 -9 *84:22 *2474:flash_io0_ieb_core 7.6068 -*END - -*D_NET *85 0.237367 -*CONN -*I *2474:flash_io0_oeb_core I *D chip_io_alt -*I *2471:pad_flash_io0_oeb O *D housekeeping -*CAP -1 *2474:flash_io0_oeb_core 0.00162895 -2 *2471:pad_flash_io0_oeb 0.000111687 -3 *85:26 0.00943886 -4 *85:25 0.00788582 -5 *85:20 0.00534446 -6 *85:19 0.00526855 -7 *85:17 0.00549078 -8 *85:16 0.00549078 -9 *85:14 0.00164868 -10 *85:11 0.00491763 -11 *85:9 0.003354 -12 *85:7 0.00203378 -13 *85:5 0.00206041 -14 *85:7 *2471:pad_flash_io1_di 0 -15 *85:7 *87:13 0 -16 *85:11 *87:13 0.00950654 -17 *85:20 *89:28 0.00165274 -18 *85:26 *89:28 1.98294e-05 -19 *85:26 *92:36 0.036212 -20 *75:40 *85:20 0.0238996 -21 *75:45 *85:25 1.66626e-05 -22 *75:46 *2474:flash_io0_oeb_core 0.000994562 -23 *75:46 *85:26 0.0674974 -24 *78:16 *2474:flash_io0_oeb_core 0 -25 *80:10 *2474:flash_io0_oeb_core 0.000996775 -26 *80:16 *85:20 0.0418966 -27 *84:9 *85:7 0 -*RES -1 *2471:pad_flash_io0_oeb *85:5 3.17546 -2 *85:5 *85:7 54.8133 -3 *85:7 *85:9 2.41823 -4 *85:9 *85:11 151.701 -5 *85:11 *85:14 49.0371 -6 *85:14 *85:16 4.5 -7 *85:16 *85:17 152.153 -8 *85:17 *85:19 4.5 -9 *85:19 *85:20 437.751 -10 *85:20 *85:25 9.49315 -11 *85:25 *85:26 85.2599 -12 *85:26 *2474:flash_io0_oeb_core 22.8288 -*END - -*D_NET *86 0.0212236 -*CONN -*I *2471:spimemio_flash_io0_oeb I *D housekeeping -*I *2478:flash_io0_oeb O *D mgmt_core_wrapper -*CAP -1 *2471:spimemio_flash_io0_oeb 0.00172165 -2 *2478:flash_io0_oeb 0.00128442 -3 *86:11 0.00417 -4 *86:10 0.00373277 -5 *2471:spimemio_flash_io0_oeb *88:10 0 -6 *86:10 *2478:flash_io1_di 0 -7 *86:11 *88:11 0.0103148 -8 *2471:spimemio_flash_io0_do *2471:spimemio_flash_io0_oeb 0 -*RES -1 *2478:flash_io0_oeb *86:10 18.0251 -2 *86:10 *86:11 113.863 -3 *86:11 *2471:spimemio_flash_io0_oeb 20.8007 -*END - -*D_NET *87 0.159202 -*CONN -*I *2471:pad_flash_io1_di I *D housekeeping -*I *2474:flash_io1_di_core O *D chip_io_alt -*CAP -1 *2471:pad_flash_io1_di 0.00129918 -2 *2474:flash_io1_di_core 0.00106014 -3 *87:13 0.0080405 -4 *87:12 0.00674132 -5 *87:10 0.0188229 -6 *87:9 0.019883 -7 *2471:pad_flash_io1_di *89:9 0 -8 *2471:pad_flash_io1_di *89:10 0.000125129 -9 *73:16 *87:10 0.000409745 -10 *76:12 *87:10 0.0845793 -11 *79:14 *87:10 5.99527e-05 -12 *82:7 *87:13 0.00858197 -13 *84:9 *87:13 8.16827e-05 -14 *84:10 *2471:pad_flash_io1_di 1.03403e-05 -15 *85:7 *2471:pad_flash_io1_di 0 -16 *85:7 *87:13 0 -17 *85:11 *87:13 0.00950654 -*RES -1 *2474:flash_io1_di_core *87:9 30.5998 -2 *87:9 *87:10 901.954 -3 *87:10 *87:12 4.5 -4 *87:12 *87:13 302.89 -5 *87:13 *2471:pad_flash_io1_di 46.3551 -*END - -*D_NET *88 0.021572 -*CONN -*I *2478:flash_io1_di I *D mgmt_core_wrapper -*I *2471:spimemio_flash_io1_di O *D housekeeping -*CAP -1 *2478:flash_io1_di 0.00153926 -2 *2471:spimemio_flash_io1_di 0.00177939 -3 *88:11 0.00384919 -4 *88:10 0.00408932 -5 *88:10 *2471:spimemio_flash_io1_do 0 -6 *2471:spimemio_flash_io0_oeb *88:10 0 -7 *86:10 *2478:flash_io1_di 0 -8 *86:11 *88:11 0.0103148 -*RES -1 *2471:spimemio_flash_io1_di *88:10 15.4133 -2 *88:10 *88:11 109.98 -3 *88:11 *2478:flash_io1_di 27.27 -*END - -*D_NET *89 0.158232 -*CONN -*I *2474:flash_io1_do_core I *D chip_io_alt -*I *2471:pad_flash_io1_do O *D housekeeping -*CAP -1 *2474:flash_io1_do_core 0.00117932 -2 *2471:pad_flash_io1_do 0.00110044 -3 *89:28 0.0149264 -4 *89:27 0.015175 -5 *89:22 0.00288665 -6 *89:19 0.00281326 -7 *89:18 0.00135449 -8 *89:16 0.00306846 -9 *89:15 0.00306846 -10 *89:13 0.00445086 -11 *89:12 0.00445086 -12 *89:10 0.00170283 -13 *89:9 0.00280328 -14 *89:9 *91:9 0 -15 *89:16 *596:7 0.000740377 -16 *89:19 *91:25 0.01061 -17 *89:28 *2474:gpio_inenb_core 8.63825e-06 -18 *89:28 *2474:gpio_mode1_core 0.000863521 -19 *89:28 *92:36 0.00312262 -20 *2471:pad_flash_io1_di *89:9 0 -21 *2471:pad_flash_io1_di *89:10 0.000125129 -22 *66:14 *89:22 0.000278113 -23 *75:40 *89:28 0.00303891 -24 *75:46 *2474:flash_io1_do_core 0.000709959 -25 *75:46 *89:28 0.0348475 -26 *78:10 *89:10 0.0106049 -27 *78:13 *89:19 0.0106136 -28 *78:16 *2474:flash_io1_do_core 0 -29 *80:16 *89:28 0.0114793 -30 *84:10 *89:10 0.0105367 -31 *85:20 *89:28 0.00165274 -32 *85:26 *89:28 1.98294e-05 -*RES -1 *2471:pad_flash_io1_do *89:9 35.5828 -2 *89:9 *89:10 138.82 -3 *89:10 *89:12 4.5 -4 *89:12 *89:13 124.331 -5 *89:13 *89:15 4.5 -6 *89:15 *89:16 81.1409 -7 *89:16 *89:18 4.5 -8 *89:18 *89:19 169.594 -9 *89:19 *89:22 47.9279 -10 *89:22 *89:27 43.5438 -11 *89:27 *89:28 75.8134 -12 *89:28 *2474:flash_io1_do_core 10.6402 -*END - -*D_NET *90 0.0145972 -*CONN -*I *2471:spimemio_flash_io1_do I *D housekeeping -*I *2478:flash_io1_do O *D mgmt_core_wrapper -*CAP -1 *2471:spimemio_flash_io1_do 0.00181905 -2 *2478:flash_io1_do 0.00159253 -3 *90:11 0.00564785 -4 *90:10 0.00542133 -5 *2471:spimemio_flash_io1_do *2471:spimemio_flash_io1_oeb 0.000116454 -6 *88:10 *2471:spimemio_flash_io1_do 0 -*RES -1 *2478:flash_io1_do *90:10 20.6805 -2 *90:10 *90:11 106.653 -3 *90:11 *2471:spimemio_flash_io1_do 24.9532 -*END - -*D_NET *91 0.153387 -*CONN -*I *2474:flash_io1_ieb_core I *D chip_io_alt -*I *2471:pad_flash_io1_ieb O *D housekeeping -*CAP -1 *2474:flash_io1_ieb_core 0.000308478 -2 *2471:pad_flash_io1_ieb 0.00132551 -3 *91:28 0.0136628 -4 *91:27 0.0133543 -5 *91:25 0.00487708 -6 *91:24 0.00487708 -7 *91:22 0.00475549 -8 *91:21 0.00573507 -9 *91:16 0.00292025 -10 *91:15 0.00194067 -11 *91:13 0.00142227 -12 *91:12 0.00273797 -13 *91:9 0.00264121 -14 *91:9 *92:13 0.000280625 -15 *91:28 *2221:13 0.00610192 -16 *75:16 *91:16 0.000762248 -17 *75:19 *91:21 0 -18 *76:9 *91:13 0.00411881 -19 *78:13 *91:25 0.00131394 -20 *82:22 *91:28 0.069641 -21 *89:9 *91:9 0 -22 *89:19 *91:25 0.01061 -*RES -1 *2471:pad_flash_io1_ieb *91:9 43.7413 -2 *91:9 *91:12 39.0542 -3 *91:12 *91:13 65.7808 -4 *91:13 *91:15 4.5 -5 *91:15 *91:16 62.2844 -6 *91:16 *91:21 36.5776 -7 *91:21 *91:22 127.173 -8 *91:22 *91:24 4.5 -9 *91:24 *91:25 214.233 -10 *91:25 *91:27 3.36879 -11 *91:27 *91:28 87.9371 -12 *91:28 *2474:flash_io1_ieb_core 9.63421 -*END - -*D_NET *92 0.119869 -*CONN -*I *2474:flash_io1_oeb_core I *D chip_io_alt -*I *2471:pad_flash_io1_oeb O *D housekeeping -*CAP -1 *2474:flash_io1_oeb_core 0.000487658 -2 *2471:pad_flash_io1_oeb 0.00153424 -3 *92:36 0.0104675 -4 *92:35 0.00997984 -5 *92:33 0.00177149 -6 *92:30 0.0107257 -7 *92:29 0.00895425 -8 *92:27 0.00389184 -9 *92:26 0.00511063 -10 *92:21 0.0042207 -11 *92:20 0.0030019 -12 *92:18 0.00279867 -13 *92:17 0.00279867 -14 *92:15 0.00209368 -15 *92:13 0.00362792 -16 *2474:flash_io1_oeb_core *465:19 0.000586138 -17 *2474:flash_io1_oeb_core *2219:11 0 -18 *73:13 *92:15 0.00252768 -19 *75:34 *92:30 0.0056751 -20 *85:26 *92:36 0.036212 -21 *89:28 *92:36 0.00312262 -22 *91:9 *92:13 0.000280625 -*RES -1 *2471:pad_flash_io1_oeb *92:13 45.4335 -2 *92:13 *92:15 83.4291 -3 *92:15 *92:17 4.5 -4 *92:17 *92:18 76.1495 -5 *92:18 *92:20 4.5 -6 *92:20 *92:21 84.8824 -7 *92:21 *92:26 42.445 -8 *92:26 *92:27 109.798 -9 *92:27 *92:29 4.5 -10 *92:29 *92:30 274.143 -11 *92:30 *92:33 49.3106 -12 *92:33 *92:35 3.36879 -13 *92:35 *92:36 61.395 -14 *92:36 *2474:flash_io1_oeb_core 23.9832 -*END - -*D_NET *93 0.0217923 -*CONN -*I *2471:spimemio_flash_io1_oeb I *D housekeeping -*I *2478:flash_io1_oeb O *D mgmt_core_wrapper -*CAP -1 *2471:spimemio_flash_io1_oeb 0.00228017 -2 *2478:flash_io1_oeb 0.00136305 -3 *93:11 0.00445023 -4 *93:10 0.00353311 -5 *2471:spimemio_flash_io1_oeb *94:10 0 -6 *93:11 *94:11 0.0100493 -7 *2471:spimemio_flash_io1_do *2471:spimemio_flash_io1_oeb 0.000116454 -*RES -1 *2478:flash_io1_oeb *93:10 13.206 -2 *93:10 *93:11 104.989 -3 *93:11 *2471:spimemio_flash_io1_oeb 36.3945 -*END - -*D_NET *94 0.0219853 -*CONN -*I *2478:flash_io2_di I *D mgmt_core_wrapper -*I *2471:spimemio_flash_io2_di O *D housekeeping -*CAP -1 *2478:flash_io2_di 0.00150883 -2 *2471:spimemio_flash_io2_di 0.00165782 -3 *94:11 0.00431018 -4 *94:10 0.00445918 -5 *94:10 *2471:spimemio_flash_io2_do 0 -6 *2471:spimemio_flash_io1_oeb *94:10 0 -7 *93:11 *94:11 0.0100493 -*RES -1 *2471:spimemio_flash_io2_di *94:10 27.7289 -2 *94:10 *94:11 121.627 -3 *94:11 *2478:flash_io2_di 22.4836 -*END - -*D_NET *95 0.0228355 -*CONN -*I *2471:spimemio_flash_io2_do I *D housekeeping -*I *2478:flash_io2_do O *D mgmt_core_wrapper -*CAP -1 *2471:spimemio_flash_io2_do 0.00220132 -2 *2478:flash_io2_do 0.0014006 -3 *95:11 0.00462074 -4 *95:10 0.00382002 -5 *2471:spimemio_flash_io2_do *2471:spimemio_flash_io2_oeb 0 -6 *95:10 *96:11 0.00012562 -7 *95:11 *96:11 0.0106672 -8 *94:10 *2471:spimemio_flash_io2_do 0 -*RES -1 *2478:flash_io2_do *95:10 14.867 -2 *95:10 *95:11 113.863 -3 *95:11 *2471:spimemio_flash_io2_do 39.7384 -*END - -*D_NET *96 0.0229902 -*CONN -*I *2471:spimemio_flash_io2_oeb I *D housekeeping -*I *2478:flash_io2_oeb O *D mgmt_core_wrapper -*CAP -1 *2471:spimemio_flash_io2_oeb 0.00203052 -2 *2478:flash_io2_oeb 0.0012533 -3 *96:11 0.00484538 -4 *96:10 0.00406816 -5 *2471:spimemio_flash_io2_oeb *2471:spimemio_flash_io3_do 0 -6 *2471:spimemio_flash_io2_oeb *2471:spimemio_flash_io3_oeb 0 -7 *2471:spimemio_flash_io2_oeb *97:10 0 -8 *2471:spimemio_flash_io2_do *2471:spimemio_flash_io2_oeb 0 -9 *95:10 *96:11 0.00012562 -10 *95:11 *96:11 0.0106672 -*RES -1 *2478:flash_io2_oeb *96:10 22.582 -2 *96:10 *96:11 124.955 -3 *96:11 *2471:spimemio_flash_io2_oeb 32.9851 -*END - -*D_NET *97 0.024133 -*CONN -*I *2478:flash_io3_di I *D mgmt_core_wrapper -*I *2471:spimemio_flash_io3_di O *D housekeeping -*CAP -1 *2478:flash_io3_di 0.00102137 -2 *2471:spimemio_flash_io3_di 0.00226535 -3 *97:11 0.00365298 -4 *97:10 0.00489696 -5 *2478:flash_io3_di *525:11 0.000620249 -6 *97:10 *2471:spimemio_flash_io3_do 0.00152064 -7 *97:11 *98:11 0.0101555 -8 *2471:spimemio_flash_io2_oeb *97:10 0 -*RES -1 *2471:spimemio_flash_io3_di *97:10 44.5465 -2 *97:10 *97:11 118.299 -3 *97:11 *2478:flash_io3_di 15.2604 -*END - -*D_NET *98 0.0244067 -*CONN -*I *2471:spimemio_flash_io3_do I *D housekeeping -*I *2478:flash_io3_do O *D mgmt_core_wrapper -*CAP -1 *2471:spimemio_flash_io3_do 0.00176963 -2 *2478:flash_io3_do 0.00178825 -3 *98:11 0.00398602 -4 *98:10 0.00400464 -5 *2471:spimemio_flash_io3_do *2471:spimemio_flash_io3_oeb 0.00118204 -6 *98:11 *525:11 0 -7 *2471:spimemio_flash_io2_oeb *2471:spimemio_flash_io3_do 0 -8 *97:10 *2471:spimemio_flash_io3_do 0.00152064 -9 *97:11 *98:11 0.0101555 -*RES -1 *2478:flash_io3_do *98:10 25.6635 -2 *98:10 *98:11 106.653 -3 *98:11 *2471:spimemio_flash_io3_do 38.2413 -*END - -*D_NET *99 0.0288371 -*CONN -*I *2471:spimemio_flash_io3_oeb I *D housekeeping -*I *2478:flash_io3_oeb O *D mgmt_core_wrapper -*CAP -1 *2471:spimemio_flash_io3_oeb 0.00246874 -2 *2478:flash_io3_oeb 0.00125747 -3 *99:11 0.00468713 -4 *99:10 0.00347585 -5 *2471:spimemio_flash_io3_oeb *103:56 0.000252156 -6 *99:10 *480:17 0.000984253 -7 *99:10 *1607:35 0.000510617 -8 *99:10 *1609:37 0.000424011 -9 *99:10 *1611:35 0.000390675 -10 *99:10 *1713:33 0.000344331 -11 *99:10 *1716:27 0.000469437 -12 *99:10 *1724:33 0.000361052 -13 *99:10 *1738:33 0.000385684 -14 *99:10 *1740:31 0.000418593 -15 *99:10 *1742:7 0.000463439 -16 *99:10 *1744:33 0.000504093 -17 *99:11 *1563:13 0.0102575 -18 *2471:spimemio_flash_io2_oeb *2471:spimemio_flash_io3_oeb 0 -19 *2471:spimemio_flash_io3_do *2471:spimemio_flash_io3_oeb 0.00118204 -*RES -1 *2478:flash_io3_oeb *99:10 18.189 -2 *99:10 *99:11 107.207 -3 *99:11 *2471:spimemio_flash_io3_oeb 48.9613 -*END - -*D_NET *100 1.28735 -*CONN -*I *2445:serial_clock I *D gpio_control_block -*I *2444:serial_clock_out O *D gpio_control_block -*I *2462:serial_clock I *D gpio_control_block -*I *2461:serial_clock_out O *D gpio_control_block -*CAP -1 *2445:serial_clock 0.000246859 -2 *2444:serial_clock_out 0.000246821 -3 *2462:serial_clock 6.94771e-05 -4 *2461:serial_clock_out 0.00323657 -5 *100:96 0.00284399 -6 *100:95 0.00264353 -7 *100:90 0.000814186 -8 *100:89 0.000861604 -9 *100:84 0.00134424 -10 *100:82 0.00157207 -11 *100:80 0.002996 -12 *100:79 0.00292125 -13 *100:77 0.0659933 -14 *100:76 0.0659933 -15 *100:74 0.0290979 -16 *100:73 0.0290979 -17 *100:71 0.00270407 -18 *100:70 0.00326233 -19 *100:54 0.00262767 -20 *100:53 0.00732817 -21 *100:48 0.00806511 -22 *100:47 0.00329513 -23 *100:45 0.00690318 -24 *100:44 0.00746144 -25 *100:42 0.00431793 -26 *100:27 0.0112013 -27 *100:26 0.0068834 -28 *100:24 0.00227276 -29 *100:23 0.00264871 -30 *100:13 0.00361252 -31 *2444:serial_clock_out *2444:resetn_out 0 -32 *2444:serial_clock_out *2444:serial_clock 3.84497e-05 -33 *2444:serial_clock_out *2444:serial_data_in 4.31122e-05 -34 *2445:serial_clock *2445:resetn 0 -35 *2445:serial_clock *2445:resetn_out 4.31122e-05 -36 *2445:serial_clock *2445:serial_clock_out 3.84497e-05 -37 *2445:serial_clock *2445:serial_data_in 0 -38 *2445:serial_clock *485:50 0 -39 *100:13 *485:7 0.00130834 -40 *100:13 *485:10 0.0143405 -41 *100:42 *2462:serial_load 7.50991e-05 -42 *100:42 *2474:mprj_io_analog_en[14] 0 -43 *100:42 *467:12 0.0127171 -44 *100:42 *467:29 5.76799e-05 -45 *100:70 *2462:user_gpio_oeb 1.1573e-05 -46 *100:70 *2335:15 1.1573e-05 -47 *100:71 *471:15 0.000179648 -48 *100:71 *485:26 0.000220183 -49 *100:71 *485:38 0.0110621 -50 *100:71 *2335:15 0.000150769 -51 *100:74 *104:34 0.194707 -52 *100:74 *467:33 0.00580022 -53 *100:74 *467:41 0 -54 *100:74 *480:25 0 -55 *100:74 *485:41 0 -56 *100:74 *498:22 0.212157 -57 *100:74 *1178:7 0 -58 *100:74 *1550:29 1.01451e-05 -59 *100:74 *1574:18 0 -60 *100:77 *480:17 0.0863597 -61 *100:77 *498:17 0.000180782 -62 *100:77 *1550:24 0.000164123 -63 *100:77 *1574:10 0.346032 -64 *100:77 *1607:31 0.00130552 -65 *100:77 *1609:33 0.00970483 -66 *100:77 *1609:37 7.02172e-06 -67 *100:77 *1611:33 0.00107703 -68 *100:77 *1611:35 8.75392e-05 -69 *100:77 *1718:25 0.0026997 -70 *100:77 *1722:25 0.0527978 -71 *100:77 *1724:27 0.00175037 -72 *100:77 *1738:27 0.000589703 -73 *100:77 *1738:31 2.79092e-05 -74 *100:77 *2066:13 2.42128e-05 -75 *100:77 *2120:13 0.000266832 -76 *100:77 *2183:37 0.00027253 -77 *100:77 *2222:29 0.000154145 -78 *100:80 *2445:resetn_out 0 -79 *100:80 *2445:serial_clock_out 0 -80 *100:80 *2445:serial_data_in 0 -81 *100:80 *2474:mprj_io_slow_sel[2] 9.71323e-06 -82 *100:80 *104:56 0.00764896 -83 *100:80 *467:53 0.000199624 -84 *100:80 *471:40 0.00187962 -85 *100:80 *471:46 8.74185e-05 -86 *100:80 *503:13 0 -87 *100:80 *503:14 0.00620413 -88 *100:80 *503:20 2.79471e-05 -89 *100:80 *1796:14 0.00365668 -90 *100:80 *1985:14 9.31501e-05 -91 *100:80 *2012:17 0.00159639 -92 *100:80 *2093:14 0 -93 *100:80 *2147:14 0.00481274 -94 *100:82 *467:53 2.9959e-05 -95 *100:84 *2445:resetn_out 0 -96 *100:84 *467:53 0 -97 *100:84 *467:55 0.0019163 -98 *100:84 *485:53 0 -99 *100:84 *2085:8 0.00157391 -100 *100:89 *1977:19 0 -101 *100:90 *467:55 0.00530294 -102 *100:90 *485:61 0.00363386 -103 *100:90 *1842:16 0.000153978 -104 *100:90 *1842:18 3.39725e-05 -105 *100:90 *1977:19 0.00145704 -106 *100:95 *2474:mprj_io_dm[4] 0.000111708 -107 *100:96 *2444:serial_data_in 0 -108 *100:96 *2444:serial_load 0 -109 *100:96 *2444:serial_load_out 0 -110 *100:96 *2474:mprj_io_dm[4] 0.000211282 -111 *100:96 *2474:mprj_io_slow_sel[1] 0.000223156 -112 *100:96 *467:55 0.00687522 -113 *100:96 *485:65 0.000347035 -114 *100:96 *499:15 0 -115 *100:96 *2004:10 0.000223156 -116 *100:96 *2356:22 0 -117 *100:96 *2383:22 0 -*RES -1 *2461:serial_clock_out *100:13 49.2812 -2 *100:13 *100:23 14.7988 -3 *100:23 *100:24 64.3275 -4 *100:24 *100:26 4.5 -5 *100:26 *100:27 192.616 -6 *100:27 *100:42 49.0325 -7 *100:42 *100:44 4.5 -8 *100:44 *100:45 193.171 -9 *100:45 *100:47 4.5 -10 *100:47 *100:48 93.3951 -11 *100:48 *100:53 24.9921 -12 *100:53 *100:54 72.4249 -13 *100:54 *2462:serial_clock 3.59493 -14 *100:44 *100:70 24.3001 -15 *100:70 *100:71 126.618 -16 *100:71 *100:73 4.5 -17 *100:73 *100:74 3398.59 -18 *100:74 *100:76 4.5 -19 *100:76 *100:77 3694.38 -20 *100:77 *100:79 4.5 -21 *100:79 *100:80 252.852 -22 *100:80 *100:82 1.85642 -23 *100:82 *100:84 65.9885 -24 *100:84 *100:89 10.8326 -25 *100:89 *100:90 85.7129 -26 *100:90 *100:95 10.278 -27 *100:95 *100:96 122.048 -28 *100:96 *2444:serial_clock_out 4.19688 -29 *100:82 *2445:serial_clock 4.12039 -*END - -*D_NET *101 1.00422 -*CONN -*I *2446:serial_clock_out O *D gpio_control_block -*I *2447:serial_clock I *D gpio_control_block -*I *2452:serial_clock I *D gpio_control_block -*I *2451:serial_clock_out O *D gpio_control_block -*CAP -1 *2446:serial_clock_out 0.000488368 -2 *2447:serial_clock 0.00183226 -3 *2452:serial_clock 0.000473904 -4 *2451:serial_clock_out 9.3786e-05 -5 *101:67 0.00542675 -6 *101:66 0.00493838 -7 *101:64 0.00214956 -8 *101:63 0.0092089 -9 *101:48 0.00901326 -10 *101:40 0.0817003 -11 *101:39 0.0815787 -12 *101:37 0.0219875 -13 *101:36 0.0234339 -14 *101:33 0.0017378 -15 *101:22 0.00321298 -16 *101:21 0.00289333 -17 *101:16 0.000708282 -18 *101:15 0.000918808 -19 *101:10 0.00258751 -20 *101:8 0.00228275 -21 *101:7 0.000445188 -22 *2446:serial_clock_out *2446:resetn_out 0.00140059 -23 *2447:serial_clock *2447:resetn 0.00186801 -24 *2447:serial_clock *486:44 1.83572e-05 -25 *2452:serial_clock *2452:resetn 0 -26 *2452:serial_clock *102:13 5.00967e-05 -27 *2452:serial_clock *501:13 0 -28 *101:7 *2451:serial_clock 1.05966e-05 -29 *101:7 *2451:serial_data_in 4.80459e-05 -30 *101:10 *2451:serial_data_in 1.48603e-05 -31 *101:10 *2451:user_gpio_oeb 0 -32 *101:10 *1879:32 0.00257857 -33 *101:10 *1965:8 0.000309229 -34 *101:10 *1967:20 0.000331297 -35 *101:10 *1994:50 0.000248812 -36 *101:10 *2129:13 2.79829e-05 -37 *101:10 *2330:10 0.000196723 -38 *101:15 *1877:19 5.04829e-06 -39 *101:15 *2019:10 4.35979e-05 -40 *101:15 *2073:49 0 -41 *101:16 *2474:mprj_io_dm[28] 0.00148821 -42 *101:16 *1882:14 0.00407454 -43 *101:16 *1994:20 0.00253423 -44 *101:16 *2073:52 2.01595e-05 -45 *101:16 *2102:10 0.000582729 -46 *101:21 *2474:mprj_io_out[9] 0 -47 *101:21 *1994:16 0.000263135 -48 *101:21 *2321:14 3.6695e-05 -49 *101:22 *2452:resetn 0 -50 *101:22 *2474:mprj_io_holdover[9] 0.00010487 -51 *101:22 *2474:mprj_io_ib_mode_sel[9] 4.84974e-05 -52 *101:22 *2474:mprj_io_oeb[9] 0.00010487 -53 *101:22 *2474:mprj_io_out[9] 0.000171079 -54 *101:22 *2474:mprj_io_vtrip_sel[9] 7.88241e-05 -55 *101:22 *1884:13 0 -56 *101:22 *1885:13 0 -57 *101:22 *1968:13 0 -58 *101:22 *1994:11 0.0034193 -59 *101:22 *2046:7 0.00123895 -60 *101:22 *2049:13 0 -61 *101:22 *2076:13 0 -62 *101:22 *2076:14 8.70609e-05 -63 *101:22 *2103:18 0.000106514 -64 *101:22 *2156:17 0.000481816 -65 *101:33 *2451:serial_clock 3.88655e-06 -66 *101:33 *1879:32 0 -67 *101:33 *2129:13 0.000778619 -68 *101:36 *112:47 0.000466287 -69 *101:36 *486:13 0 -70 *101:36 *486:17 0 -71 *101:36 *497:49 2.8182e-06 -72 *101:37 *468:18 7.87327e-05 -73 *101:37 *479:37 0.115362 -74 *101:37 *486:18 0.136092 -75 *101:37 *486:61 0 -76 *101:37 *487:18 1.27831e-06 -77 *101:37 *497:43 0 -78 *101:37 *2356:16 0 -79 *101:40 *468:21 0.0672116 -80 *101:40 *486:21 0.358501 -81 *101:40 *2036:8 0.00976513 -82 *101:48 *469:42 0.000581419 -83 *101:48 *486:29 0.000380888 -84 *101:48 *2036:7 7.86825e-06 -85 *101:63 *2474:mprj_io_vtrip_sel[24] 0.00653006 -86 *101:63 *486:44 0.0231092 -87 *101:64 *486:45 0.00624046 -*RES -1 *2451:serial_clock_out *101:7 3.66144 -2 *101:7 *101:8 1.29461 -3 *101:8 *101:10 90.0731 -4 *101:10 *101:15 16.3786 -5 *101:15 *101:16 65.3656 -6 *101:16 *101:21 13.6056 -7 *101:21 *101:22 117.48 -8 *101:22 *2452:serial_clock 4.19688 -9 *101:7 *101:33 17.3362 -10 *101:33 *101:36 42.9364 -11 *101:36 *101:37 2177.75 -12 *101:37 *101:39 4.5 -13 *101:39 *101:40 3781.46 -14 *101:40 *101:48 9.40057 -15 *101:48 *2447:serial_clock 8.90803 -16 *101:48 *101:63 49.2527 -17 *101:63 *101:64 99.6239 -18 *101:64 *101:66 4.5 -19 *101:66 *101:67 133.274 -20 *101:67 *2446:serial_clock_out 30.2558 -*END - -*D_NET *102 0.986589 -*CONN -*I *2453:serial_clock I *D gpio_control_block -*I *2447:serial_clock_out O *D gpio_control_block -*I *2448:serial_clock I *D gpio_control_block -*I *2452:serial_clock_out O *D gpio_control_block -*CAP -1 *2453:serial_clock 0.000105328 -2 *2447:serial_clock_out 0.000642678 -3 *2448:serial_clock 9.89961e-05 -4 *2452:serial_clock_out 0.000505984 -5 *102:60 0.000981711 -6 *102:54 0.00312315 -7 *102:53 0.00224677 -8 *102:44 0.00745899 -9 *102:43 0.00681632 -10 *102:32 0.00267038 -11 *102:31 0.00738134 -12 *102:26 0.0076261 -13 *102:25 0.00281614 -14 *102:23 0.00554175 -15 *102:21 0.0787319 -16 *102:20 0.0731901 -17 *102:18 0.0598548 -18 *102:17 0.0599461 -19 *102:13 0.000597346 -20 *2447:serial_clock_out *2447:resetn_out 0 -21 *2447:serial_clock_out *2447:serial_data_in 0.000106706 -22 *2447:serial_clock_out *514:7 0 -23 *2453:serial_clock *103:15 3.27908e-05 -24 *2453:serial_clock *488:11 4.2387e-05 -25 *2453:serial_clock *2158:10 0 -26 *102:13 *2452:resetn 0 -27 *102:13 *2452:serial_load 3.88358e-05 -28 *102:13 *469:12 2.01653e-05 -29 *102:13 *469:15 0.0031848 -30 *102:13 *2331:13 0.0032252 -31 *102:17 *469:15 0.00048346 -32 *102:17 *2331:13 0.000695917 -33 *102:18 *487:18 0.170906 -34 *102:21 *487:21 0.35549 -35 *102:21 *487:25 0.000751257 -36 *102:21 *1573:10 0 -37 *102:21 *1581:10 0.0683305 -38 *102:21 *1582:35 0.000598683 -39 *102:21 *1583:10 4.4379e-05 -40 *102:21 *1584:10 1.59204e-05 -41 *102:21 *1585:10 0 -42 *102:21 *1586:10 0 -43 *102:21 *1592:10 0.000113197 -44 *102:23 *1573:10 0 -45 *102:23 *1573:14 0.0143775 -46 *102:26 *1573:17 0.00593618 -47 *102:32 *2448:mgmt_gpio_oeb 0.000626464 -48 *102:44 *1932:8 0.00370754 -49 *102:44 *1956:14 5.78792e-05 -50 *102:44 *1983:8 0 -51 *102:44 *2145:8 0 -52 *102:54 *469:57 0.0164131 -53 *102:54 *487:46 0.0168493 -54 *102:60 *2453:resetn 0.00302181 -55 *102:60 *103:15 7.12582e-05 -56 *102:60 *488:11 0.000197406 -57 *102:60 *1942:11 0.00039558 -58 *102:60 *2050:13 0.000464113 -59 *102:60 *2104:13 0 -60 *102:60 *2131:10 4.3116e-06 -61 *2452:serial_clock *102:13 5.00967e-05 -*RES -1 *2452:serial_clock_out *102:13 44.1107 -2 *102:13 *102:17 11.8786 -3 *102:17 *102:18 2749.14 -4 *102:18 *102:20 4.5 -5 *102:20 *102:21 3768.15 -6 *102:21 *102:23 217.573 -7 *102:23 *102:25 4.5 -8 *102:25 *102:26 116.649 -9 *102:26 *102:31 25.1451 -10 *102:31 *102:32 76.5774 -11 *102:32 *2448:serial_clock 3.708 -12 *102:21 *102:43 4.5 -13 *102:43 *102:44 227.522 -14 *102:44 *2447:serial_clock_out 5.65019 -15 *102:13 *102:53 4.5 -16 *102:53 *102:54 269.254 -17 *102:54 *102:60 48.4978 -18 *102:60 *2453:serial_clock 3.73793 -*END - -*D_NET *103 1.01152 -*CONN -*I *2454:serial_clock I *D gpio_control_block -*I *2448:serial_clock_out O *D gpio_control_block -*I *2453:serial_clock_out O *D gpio_control_block -*CAP -1 *2454:serial_clock 0.00128897 -2 *2448:serial_clock_out 0.000530065 -3 *2453:serial_clock_out 0.00132521 -4 *103:72 0.0252293 -5 *103:71 0.0239403 -6 *103:59 0.0698346 -7 *103:58 0.0693046 -8 *103:56 0.00389319 -9 *103:55 0.00389319 -10 *103:53 0.00180052 -11 *103:52 0.00180052 -12 *103:50 0.00508856 -13 *103:49 0.00508856 -14 *103:47 0.00663653 -15 *103:46 0.00663653 -16 *103:44 0.00304476 -17 *103:43 0.00304476 -18 *103:41 0.00235733 -19 *103:40 0.00235733 -20 *103:38 0.0211002 -21 *103:37 0.0216748 -22 *103:34 0.00173847 -23 *103:31 0.0023329 -24 *103:26 0.00335987 -25 *103:25 0.00219083 -26 *103:23 0.00254357 -27 *103:22 0.00254357 -28 *103:20 0.003849 -29 *103:19 0.00411366 -30 *103:15 0.00158987 -31 *2448:serial_clock_out *2448:resetn 0 -32 *2448:serial_clock_out *2448:resetn_out 2.44479e-06 -33 *2448:serial_clock_out *2448:serial_data_in 9.52305e-06 -34 *2448:serial_clock_out *2448:serial_load_out 0 -35 *2448:serial_clock_out *515:7 0 -36 *2448:serial_clock_out *515:8 0.000388329 -37 *2454:serial_clock *2454:resetn 0 -38 *2454:serial_clock *2454:serial_data_in 0 -39 *2454:serial_clock *470:40 0 -40 *2454:serial_clock *2360:13 0 -41 *103:15 *2453:resetn 6.11359e-06 -42 *103:15 *2453:serial_data_in 3.27908e-05 -43 *103:15 *488:11 0.000845972 -44 *103:15 *1942:11 5.35541e-05 -45 *103:15 *2131:10 0.000416687 -46 *103:19 *488:11 0.00043038 -47 *103:20 *488:16 0 -48 *103:31 *2473:gpio_analog[3] 0.000231696 -49 *103:38 *469:22 0.153823 -50 *103:38 *488:20 0.157626 -51 *103:41 *469:25 0.00179582 -52 *103:59 *488:35 0.322046 -53 *103:72 *469:57 0.0009412 -54 *103:72 *470:34 0.0683009 -55 *103:72 *488:52 8.01542e-05 -56 *2453:serial_clock *103:15 3.27908e-05 -57 *2471:spimemio_flash_io3_oeb *103:56 0.000252156 -58 *102:60 *103:15 7.12582e-05 -*RES -1 *2453:serial_clock_out *103:15 49.1554 -2 *103:15 *103:19 12.9878 -3 *103:19 *103:20 108.967 -4 *103:20 *103:22 4.5 -5 *103:22 *103:23 70.6034 -6 *103:23 *103:25 4.5 -7 *103:25 *103:26 61.6283 -8 *103:26 *103:31 39.1174 -9 *103:31 *103:34 37.0607 -10 *103:34 *103:37 20.1977 -11 *103:37 *103:38 2521.17 -12 *103:38 *103:40 4.5 -13 *103:40 *103:41 65.0574 -14 *103:41 *103:43 4.5 -15 *103:43 *103:44 84.8824 -16 *103:44 *103:46 4.5 -17 *103:46 *103:47 185.406 -18 *103:47 *103:49 4.5 -19 *103:49 *103:50 143.433 -20 *103:50 *103:52 4.5 -21 *103:52 *103:53 50.0831 -22 *103:53 *103:55 4.5 -23 *103:55 *103:56 92.357 -24 *103:56 *103:58 4.5 -25 *103:58 *103:59 3363.84 -26 *103:59 *2448:serial_clock_out 20.1343 -27 *103:15 *103:71 4.5 -28 *103:71 *103:72 1108.06 -29 *103:72 *2454:serial_clock 45.854 -*END - -*D_NET *104 1.25185 -*CONN -*I *2455:serial_clock I *D gpio_control_block -*I *2445:serial_clock_out O *D gpio_control_block -*I *2463:serial_clock I *D gpio_control_block -*I *2462:serial_clock_out O *D gpio_control_block -*CAP -1 *2455:serial_clock 0.000272871 -2 *2445:serial_clock_out 0.000404266 -3 *2463:serial_clock 0.00131308 -4 *2462:serial_clock_out 0.00830566 -5 *104:56 0.00188562 -6 *104:55 0.0015828 -7 *104:50 0.000782148 -8 *104:49 0.00106883 -9 *104:40 0.00149314 -10 *104:39 0.00110501 -11 *104:37 0.0272819 -12 *104:36 0.027009 -13 *104:34 0.0253702 -14 *104:33 0.0253702 -15 *104:31 0.00466983 -16 *104:30 0.00546296 -17 *104:10 0.0104119 -18 *2445:serial_clock_out *2445:serial_data_in 9.35003e-05 -19 *2445:serial_clock_out *503:13 8.99353e-05 -20 *2445:serial_clock_out *2394:27 0 -21 *2455:serial_clock *2455:resetn 0.000196651 -22 *2455:serial_clock *2455:resetn_out 5.37048e-05 -23 *2455:serial_clock *2455:serial_clock_out 5.37048e-05 -24 *2455:serial_clock *503:26 0 -25 *104:30 *517:15 0.000423878 -26 *104:34 *467:33 0.000258189 -27 *104:34 *489:29 0.194165 -28 *104:37 *2455:resetn 4.66492e-05 -29 *104:37 *470:19 0.00100065 -30 *104:37 *489:32 0.349441 -31 *104:37 *1561:11 0.342146 -32 *104:37 *1571:10 1.92336e-05 -33 *104:40 *2455:mgmt_gpio_out 0.000100924 -34 *104:40 *2455:pad_gpio_in 0.000201392 -35 *104:40 *2455:resetn 0 -36 *104:40 *489:35 0.00501558 -37 *104:40 *1796:8 0.00284392 -38 *104:40 *1823:13 5.35541e-05 -39 *104:40 *1850:13 0 -40 *104:40 *1924:13 0 -41 *104:40 *1935:13 6.09999e-05 -42 *104:40 *1937:13 4.2993e-05 -43 *104:40 *1958:13 8.35615e-06 -44 *104:40 *2066:13 5.35541e-05 -45 *104:40 *2093:13 0 -46 *104:40 *2120:13 0 -47 *104:40 *2147:8 2.57465e-06 -48 *104:40 *2174:13 0 -49 *104:49 *2474:mprj_io_oeb[2] 0.000344954 -50 *104:49 *2147:13 0 -51 *104:50 *489:45 0.00320921 -52 *104:50 *503:20 1.3813e-05 -53 *104:50 *1935:24 0.000691052 -54 *104:50 *1958:14 0.000161551 -55 *104:50 *1985:14 0.000193047 -56 *104:50 *2120:14 0.0010439 -57 *104:55 *2474:mprj_io_analog_en[2] 5.04829e-06 -58 *104:56 *467:53 0.000196407 -59 *104:56 *489:45 1.90395e-05 -60 *104:56 *489:49 0.0020682 -61 *104:56 *503:20 0.00135285 -62 *2445:serial_clock *2445:serial_clock_out 3.84497e-05 -63 *100:74 *104:34 0.194707 -64 *100:80 *2445:serial_clock_out 0 -65 *100:80 *104:56 0.00764896 -*RES -1 *2462:serial_clock_out *104:10 33.7469 -2 *104:10 *2463:serial_clock 34.0393 -3 *104:10 *104:30 24.0167 -4 *104:30 *104:31 126.618 -5 *104:31 *104:33 4.5 -6 *104:33 *104:34 3117.47 -7 *104:34 *104:36 4.5 -8 *104:36 *104:37 3697.16 -9 *104:37 *104:39 4.5 -10 *104:39 *104:40 81.5604 -11 *104:40 *104:49 19.5616 -12 *104:49 *104:50 55.3995 -13 *104:50 *104:55 10.8326 -14 *104:55 *104:56 122.67 -15 *104:56 *2445:serial_clock_out 23.3855 -16 *104:37 *2455:serial_clock 14.5252 -*END - -*D_NET *105 0.94941 -*CONN -*I *2456:serial_clock I *D gpio_control_block -*I *2455:serial_clock_out O *D gpio_control_block -*I *2464:serial_clock I *D gpio_control_block -*I *2463:serial_clock_out O *D gpio_control_block -*CAP -1 *2456:serial_clock 0.000139872 -2 *2455:serial_clock_out 8.07371e-05 -3 *2464:serial_clock 0.00055001 -4 *2463:serial_clock_out 0.000562381 -5 *105:60 0.00201892 -6 *105:59 0.00207953 -7 *105:54 0.00121403 -8 *105:52 0.00150362 -9 *105:43 0.00138695 -10 *105:32 0.00224582 -11 *105:30 0.00300827 -12 *105:29 0.00157859 -13 *105:27 0.0839559 -14 *105:26 0.0839559 -15 *105:24 0.019968 -16 *105:23 0.0202751 -17 *105:14 0.000857038 -18 *105:12 0.00237639 -19 *105:10 0.00240217 -20 *105:8 0.00147607 -21 *105:7 0.00201267 -22 *2455:serial_clock_out *2455:resetn_out 0 -23 *2455:serial_clock_out *2455:serial_data_in 8.88774e-05 -24 *2456:serial_clock *2456:resetn 0 -25 *2456:serial_clock *2456:serial_data_in 0 -26 *2464:serial_clock *2464:resetn 0 -27 *2464:serial_clock *106:13 0.000378011 -28 *105:7 *2463:serial_data_in 0.000317363 -29 *105:7 *471:23 0.000279299 -30 *105:7 *490:7 0 -31 *105:8 *472:8 0.00188107 -32 *105:8 *490:8 0.00701861 -33 *105:8 *2162:16 0.00176959 -34 *105:12 *106:13 0 -35 *105:12 *472:8 0.00424781 -36 *105:12 *490:8 0.0045391 -37 *105:12 *518:8 0 -38 *105:23 *2464:resetn 0 -39 *105:24 *471:24 0.155636 -40 *105:24 *472:20 0.155584 -41 *105:24 *1557:27 2.19276e-05 -42 *105:27 *472:23 0.00283259 -43 *105:27 *475:34 0.00255922 -44 *105:27 *2222:33 0.353529 -45 *105:30 *2456:serial_data_in 8.52258e-05 -46 *105:30 *2456:user_gpio_out 0 -47 *105:30 *472:26 0.00393317 -48 *105:30 *472:30 0.000610253 -49 *105:30 *505:13 4.88112e-06 -50 *105:30 *2349:10 1.38068e-05 -51 *105:32 *2455:resetn_out 0.000315887 -52 *105:32 *472:30 0.00018079 -53 *105:32 *472:32 0 -54 *105:32 *1859:13 0.000119662 -55 *105:32 *1938:13 0.000145708 -56 *105:32 *2094:8 0.00249431 -57 *105:32 *2175:13 0.000107108 -58 *105:43 *2455:resetn_out 0.000272364 -59 *105:43 *2474:mprj_io_oeb[3] 0.00102781 -60 *105:43 *472:32 0 -61 *105:43 *472:37 1.21461e-06 -62 *105:43 *2094:8 0.000574919 -63 *105:52 *2455:resetn_out 0.00016984 -64 *105:52 *2474:mprj_io_ib_mode_sel[3] 5.6259e-05 -65 *105:52 *2474:mprj_io_oeb[3] 0 -66 *105:52 *2474:mprj_io_out[3] 5.481e-05 -67 *105:52 *472:38 9.71323e-06 -68 *105:52 *504:12 0.00109374 -69 *105:52 *2013:13 0.00105977 -70 *105:52 *2040:19 0.000444842 -71 *105:54 *2455:resetn_out 0.000629915 -72 *105:54 *472:38 0.00207446 -73 *105:54 *504:8 0.000686636 -74 *105:54 *504:12 0.00173287 -75 *105:59 *472:43 0.00042603 -76 *105:60 *2455:resetn_out 0.000614381 -77 *105:60 *2455:user_gpio_oeb 3.24019e-05 -78 *105:60 *2455:user_gpio_out 0.000922886 -79 *105:60 *471:30 0 -80 *105:60 *471:36 7.12702e-05 -81 *105:60 *471:40 0 -82 *105:60 *472:44 0.00505823 -83 *105:60 *503:26 0 -84 *2455:serial_clock *2455:serial_clock_out 5.37048e-05 -*RES -1 *2463:serial_clock_out *105:7 5.87966 -2 *105:7 *105:8 117.199 -3 *105:8 *105:10 0.732798 -4 *105:10 *105:12 149.735 -5 *105:12 *105:14 3.36879 -6 *105:14 *2464:serial_clock 2.43438 -7 *105:14 *105:23 4.41304 -8 *105:23 *105:24 2488.57 -9 *105:24 *105:26 4.5 -10 *105:26 *105:27 3799.2 -11 *105:27 *105:29 4.5 -12 *105:29 *105:30 73.0477 -13 *105:30 *105:32 53.4454 -14 *105:32 *105:43 47.3945 -15 *105:43 *105:52 36.6149 -16 *105:52 *105:54 69.3105 -17 *105:54 *105:59 14.1602 -18 *105:59 *105:60 91.3188 -19 *105:60 *2455:serial_clock_out 3.81442 -20 *105:30 *2456:serial_clock 3.81442 -*END - -*D_NET *106 1.21421 -*CONN -*I *2456:serial_clock_out O *D gpio_control_block -*I *2457:serial_clock I *D gpio_control_block -*I *2465:serial_clock I *D gpio_control_block -*I *2464:serial_clock_out O *D gpio_control_block -*CAP -1 *2456:serial_clock_out 0.000120058 -2 *2457:serial_clock 0.00128674 -3 *2465:serial_clock 0.00117781 -4 *2464:serial_clock_out 0.00125558 -5 *106:60 0.000881774 -6 *106:59 0.00139961 -7 *106:40 0.00291661 -8 *106:39 0.00162987 -9 *106:37 0.0210987 -10 *106:36 0.0204608 -11 *106:34 0.0181061 -12 *106:33 0.0181061 -13 *106:31 0.00435009 -14 *106:16 0.0055279 -15 *106:14 0.00211462 -16 *106:13 0.0033702 -17 *2457:serial_clock *2457:resetn_out 1.29141e-05 -18 *2457:serial_clock *2457:serial_clock_out 1.29141e-05 -19 *2457:serial_clock *492:31 0 -20 *2465:serial_clock *2465:serial_data_in 1.29018e-05 -21 *2465:serial_clock *2465:serial_load 0.000401123 -22 *2465:serial_clock *474:13 1.93695e-05 -23 *2465:serial_clock *492:9 8.63825e-06 -24 *2465:serial_clock *2338:11 0 -25 *2465:serial_clock *2365:19 0 -26 *2465:serial_clock *2392:19 0 -27 *106:13 *2464:serial_data_in 0.000261617 -28 *106:13 *2464:serial_load 0 -29 *106:13 *490:8 0 -30 *106:13 *490:12 0 -31 *106:13 *518:7 0 -32 *106:13 *519:22 0 -33 *106:13 *2082:10 0.000228329 -34 *106:13 *2163:8 0 -35 *106:14 *491:28 0.0098849 -36 *106:14 *519:10 0.00211279 -37 *106:14 *1905:8 1.5254e-05 -38 *106:14 *1947:8 0.00383441 -39 *106:14 *2082:12 0.00942927 -40 *106:31 *2338:11 0 -41 *106:31 *2392:19 0 -42 *106:34 *113:20 0.0161898 -43 *106:34 *473:28 0.139831 -44 *106:34 *485:41 8.6297e-06 -45 *106:34 *491:45 0.139358 -46 *106:37 *107:87 0.351104 -47 *106:37 *473:31 0.351145 -48 *106:37 *473:53 4.88955e-05 -49 *106:37 *474:57 0.0475258 -50 *106:37 *491:48 1.00981e-05 -51 *106:37 *497:34 1.00937e-05 -52 *106:40 *473:34 0.0121798 -53 *106:40 *491:51 0.0118845 -54 *106:40 *492:28 7.61581e-05 -55 *106:40 *1537:20 5.02971e-05 -56 *106:59 *107:87 0.000761577 -57 *106:59 *473:53 0.00408905 -58 *106:59 *491:70 0.00088455 -59 *106:60 *473:54 0.00404752 -60 *106:60 *491:71 0.0045918 -61 *2464:serial_clock *106:13 0.000378011 -62 *105:12 *106:13 0 -*RES -1 *2464:serial_clock_out *106:13 41.867 -2 *106:13 *106:14 221.085 -3 *106:14 *106:16 4.5 -4 *106:16 *2465:serial_clock 39.174 -5 *106:16 *106:31 115.526 -6 *106:31 *106:33 4.5 -7 *106:33 *106:34 2237.13 -8 *106:34 *106:36 4.5 -9 *106:36 *106:37 3669.98 -10 *106:37 *106:39 4.5 -11 *106:39 *106:40 195.34 -12 *106:40 *2457:serial_clock 40.3179 -13 *106:37 *106:59 49.0371 -14 *106:59 *106:60 74.7088 -15 *106:60 *2456:serial_clock_out 3.708 -*END - -*D_NET *107 1.25349 -*CONN -*I *2458:serial_clock I *D gpio_control_block -*I *2457:serial_clock_out O *D gpio_control_block -*I *2466:serial_clock I *D gpio_control_block -*I *2465:serial_clock_out O *D gpio_control_block -*CAP -1 *2458:serial_clock 0.00073291 -2 *2457:serial_clock_out 0.000812614 -3 *2466:serial_clock 0.000130443 -4 *2465:serial_clock_out 0.00225489 -5 *107:107 0.00293292 -6 *107:90 0.00535518 -7 *107:89 0.00234256 -8 *107:87 0.0205998 -9 *107:86 0.0205998 -10 *107:84 0.0197257 -11 *107:83 0.0197257 -12 *107:81 0.00466434 -13 *107:70 0.00132237 -14 *107:69 0.00596191 -15 *107:64 0.00771459 -16 *107:63 0.0029446 -17 *107:61 0.00743575 -18 *107:60 0.0121001 -19 *107:58 0.00302261 -20 *107:57 0.00350037 -21 *107:51 0.00130164 -22 *107:39 0.00154115 -23 *107:27 0.00613038 -24 *107:26 0.00541311 -25 *107:24 0.00267478 -26 *107:22 0.00694928 -27 *107:15 0.0065294 -28 *2457:serial_clock_out *2457:serial_data_in 1.29141e-05 -29 *2458:serial_clock *2458:resetn_out 4.31122e-05 -30 *2458:serial_clock *2458:serial_clock_out 3.84497e-05 -31 *107:22 *2465:gpio_defaults[0] 0 -32 *107:27 *1948:19 0.00993659 -33 *107:27 *1975:19 0.00108667 -34 *107:39 *2002:9 0.00042425 -35 *107:51 *2474:mprj_io_dm[55] 0.000127271 -36 *107:51 *2474:mprj_io_slow_sel[18] 0.00019711 -37 *107:51 *1786:18 0 -38 *107:51 *1840:27 0.000104535 -39 *107:51 *2002:7 0.000174661 -40 *107:51 *2137:10 0.000684338 -41 *107:51 *2313:15 0.000152131 -42 *107:57 *2474:mprj_io_holdover[18] 0 -43 *107:57 *1909:16 0.00198608 -44 *107:57 *1948:22 0.00157442 -45 *107:57 *2295:13 0 -46 *107:57 *2295:16 0.000142393 -47 *107:58 *2474:mprj_io_holdover[18] 0.000253457 -48 *107:58 *2474:mprj_io_oeb[18] 0 -49 *107:58 *474:14 0 -50 *107:58 *1909:16 0 -51 *107:58 *2029:9 0.000464416 -52 *107:64 *2466:gpio_defaults[0] 0 -53 *107:70 *108:10 0.00343943 -54 *107:84 *108:39 0.106718 -55 *107:84 *113:20 0.0156578 -56 *107:84 *475:31 4.3116e-06 -57 *107:84 *491:45 0.123933 -58 *107:87 *474:57 0.0518102 -59 *107:87 *491:48 4.03336e-05 -60 *107:87 *491:70 9.80747e-05 -61 *107:87 *496:41 0.35154 -62 *107:90 *474:60 0.0123599 -63 *107:90 *478:32 0.0016504 -64 *107:90 *492:34 0.000311015 -65 *107:90 *505:14 0.00584242 -66 *107:107 *474:60 0.000653242 -67 *107:107 *474:75 0.016458 -68 *107:107 *478:32 0.00232784 -69 *107:107 *492:34 0.0167972 -70 *107:107 *506:14 0.000148843 -71 *2457:serial_clock *2457:serial_clock_out 1.29141e-05 -72 *106:37 *107:87 0.351104 -73 *106:59 *107:87 0.000761577 -*RES -1 *2465:serial_clock_out *107:15 37.02 -2 *107:15 *107:22 35.5451 -3 *107:22 *107:24 75.9789 -4 *107:24 *107:26 4.5 -5 *107:26 *107:27 204.263 -6 *107:27 *107:39 37.8229 -7 *107:39 *107:51 47.6191 -8 *107:51 *107:57 44.6418 -9 *107:57 *107:58 93.1875 -10 *107:58 *107:60 4.5 -11 *107:60 *107:61 208.145 -12 *107:61 *107:63 4.5 -13 *107:63 *107:64 83.4291 -14 *107:64 *107:69 24.9921 -15 *107:69 *107:70 54.9843 -16 *107:70 *2466:serial_clock 3.708 -17 *107:60 *107:81 123.291 -18 *107:81 *107:83 4.5 -19 *107:83 *107:84 1982.58 -20 *107:84 *107:86 4.5 -21 *107:86 *107:87 3677.75 -22 *107:87 *107:89 4.5 -23 *107:89 *107:90 198.246 -24 *107:90 *2457:serial_clock_out 31.4443 -25 *107:90 *107:107 273.407 -26 *107:107 *2458:serial_clock 30.3251 -*END - -*D_NET *108 1.31132 -*CONN -*I *2459:serial_clock I *D gpio_control_block -*I *2458:serial_clock_out O *D gpio_control_block -*I *2467:serial_clock I *D gpio_control_block -*I *2466:serial_clock_out O *D gpio_control_block -*CAP -1 *2459:serial_clock 0.00250538 -2 *2458:serial_clock_out 0.000395487 -3 *2467:serial_clock 0.000590316 -4 *2466:serial_clock_out 9.89961e-05 -5 *108:49 0.00866469 -6 *108:47 0.0122248 -7 *108:42 0.0746875 -8 *108:41 0.0682265 -9 *108:39 0.0185278 -10 *108:38 0.0185278 -11 *108:36 0.00265194 -12 *108:35 0.00265194 -13 *108:22 0.001115 -14 *108:21 0.00860152 -15 *108:10 0.00994605 -16 *108:9 0.0019682 -17 *2458:serial_clock_out *2458:resetn_out 0 -18 *2458:serial_clock_out *2458:serial_data_in 4.31122e-05 -19 *2458:serial_clock_out *2458:serial_load 0 -20 *2458:serial_clock_out *507:10 0 -21 *2459:serial_clock *2459:resetn 0.0185843 -22 *2459:serial_clock *2459:resetn_out 3.84497e-05 -23 *2459:serial_clock *2459:serial_clock_out 3.74656e-05 -24 *2459:serial_clock *2459:serial_data_in 0 -25 *2459:serial_clock *2459:serial_load 0.0182494 -26 *2459:serial_clock *476:28 0 -27 *2459:serial_clock *494:26 0 -28 *2459:serial_clock *494:29 0.000148555 -29 *2459:serial_clock *507:12 0.000733756 -30 *2459:serial_clock *1854:14 0.000747342 -31 *2459:serial_clock *1962:16 0.000758727 -32 *2459:serial_clock *2016:13 0.000462724 -33 *2467:serial_clock *2341:12 1.1573e-05 -34 *108:22 *2467:user_gpio_oeb 6.23451e-05 -35 *108:22 *2467:user_gpio_out 1.1573e-05 -36 *108:36 *475:28 0.0116764 -37 *108:36 *2341:13 0.00138917 -38 *108:39 *113:20 0.0141324 -39 *108:39 *475:31 0.0401067 -40 *108:39 *485:41 0 -41 *108:39 *491:45 1.47875e-05 -42 *108:39 *496:38 0.0243437 -43 *108:42 *109:61 0.00129949 -44 *108:42 *475:34 0.399189 -45 *108:42 *478:29 0.398827 -46 *108:42 *479:34 0.00238228 -47 *108:42 *493:48 0.000239164 -48 *108:47 *110:96 0.000641599 -49 *108:47 *110:98 0.00168826 -50 *108:47 *475:37 4.22519e-05 -51 *108:47 *493:51 0.0190094 -52 *108:47 *495:56 0.00049479 -53 *108:47 *495:64 0.000723518 -54 *108:47 *1852:14 0.00072038 -55 *108:47 *1863:14 0.00066488 -56 *108:47 *1960:14 0.000539504 -57 *108:47 *2014:30 0.000224324 -58 *108:47 *2095:8 0.000562858 -59 *108:47 *2176:14 0.000988756 -60 *108:49 *2459:resetn 0.00036201 -61 *108:49 *2474:mprj_io_analog_pol[5] 0.000138177 -62 *108:49 *2474:mprj_io_analog_sel[5] 0.000211107 -63 *108:49 *2474:mprj_io_inp_dis[5] 0.000132081 -64 *108:49 *493:51 0.00405446 -65 *108:49 *493:55 0.000764356 -66 *108:49 *495:64 0.000760449 -67 *108:49 *1853:17 0.000428321 -68 *108:49 *1961:14 0.000597423 -69 *108:49 *1988:8 0.000546308 -70 *108:49 *2042:11 0.00030076 -71 *108:49 *2096:14 0.000567608 -72 *108:49 *2123:14 0.000789249 -73 *108:49 *2150:8 0.000299508 -74 *2458:serial_clock *2458:serial_clock_out 3.84497e-05 -75 *107:70 *108:10 0.00343943 -76 *107:84 *108:39 0.106718 -*RES -1 *2466:serial_clock_out *108:9 3.708 -2 *108:9 *108:10 74.0859 -3 *108:10 *108:21 38.957 -4 *108:21 *108:22 15.3277 -5 *108:22 *2467:serial_clock 17.7899 -6 *108:22 *108:35 4.5 -7 *108:35 *108:36 132.164 -8 *108:36 *108:38 4.5 -9 *108:38 *108:39 1709.97 -10 *108:39 *108:41 3.36879 -11 *108:41 *108:42 508.365 -12 *108:42 *108:47 38.7256 -13 *108:47 *108:49 27.7221 -14 *108:49 *2458:serial_clock_out 1.47244 -15 *108:49 *2459:serial_clock 39.1289 -*END - -*D_NET *109 0.876509 -*CONN -*I *2468:serial_clock I *D gpio_control_block -*I *2460:serial_clock I *D gpio_control_block -*I *2459:serial_clock_out O *D gpio_control_block -*I *2467:serial_clock_out O *D gpio_control_block -*CAP -1 *2468:serial_clock 2.68684e-05 -2 *2460:serial_clock 0 -3 *2459:serial_clock_out 4.9096e-05 -4 *2467:serial_clock_out 6.94498e-05 -5 *109:127 2.68684e-05 -6 *109:123 0.00195138 -7 *109:122 0.0064146 -8 *109:109 0.00300429 -9 *109:108 0.00383081 -10 *109:103 0.00565571 -11 *109:94 0.00600548 -12 *109:90 0.00168787 -13 *109:87 0.00208883 -14 *109:82 0.00331102 -15 *109:81 0.00178286 -16 *109:79 0.0015237 -17 *109:76 0.00570862 -18 *109:75 0.00473976 -19 *109:70 0.00415408 -20 *109:69 0.00484104 -21 *109:64 0.0112607 -22 *109:63 0.0100189 -23 *109:61 0.0473968 -24 *109:60 0.0483724 -25 *109:57 0.00218619 -26 *109:52 0.00226915 -27 *109:51 0.0010585 -28 *109:49 0.00271958 -29 *109:48 0.00271958 -30 *109:46 0.00248324 -31 *109:45 0.00275614 -32 *109:40 0.0126655 -33 *109:39 0.0142603 -34 *109:35 0.00249201 -35 *109:32 0.00152525 -36 *109:27 0.00687353 -37 *109:26 0.00597257 -38 *109:24 0.00508681 -39 *109:22 0.0139737 -40 *109:21 0.0113711 -41 *109:16 0.0247359 -42 *109:15 0.0182297 -43 *109:10 0.00241513 -44 *109:9 0.00204343 -45 *2459:serial_clock_out *2459:serial_data_in 3.27908e-05 -46 *109:10 *2467:resetn 0 -47 *109:16 *2474:mprj_io_holdover[20] 0 -48 *109:24 *110:31 0.000488419 -49 *109:24 *110:32 0 -50 *109:40 *479:16 0.00189414 -51 *109:40 *1558:29 0.00701027 -52 *109:40 *1559:31 0.0280684 -53 *109:40 *1560:29 0.011506 -54 *109:40 *2371:16 9.33066e-05 -55 *109:40 *2398:16 0 -56 *109:46 *2446:resetn 0 -57 *109:46 *112:26 0.000245425 -58 *109:46 *497:16 0 -59 *109:46 *1560:29 0 -60 *109:49 *2446:mgmt_gpio_out 0.00179582 -61 *109:52 *2473:io_in[25] 0 -62 *109:52 *2036:11 0.00282321 -63 *109:52 *2373:12 0 -64 *109:60 *475:31 0.00210751 -65 *109:61 *479:34 0.335471 -66 *109:61 *1551:26 0.0868108 -67 *109:61 *1554:24 0.000145086 -68 *109:64 *469:22 0.0278161 -69 *109:70 *2378:10 4.23937e-05 -70 *109:70 *2405:10 0.00193095 -71 *109:90 *1537:20 0.000443459 -72 *109:94 *494:36 6.75696e-05 -73 *109:94 *507:18 0 -74 *109:103 *476:34 0.0191414 -75 *109:103 *494:36 0.0260934 -76 *109:103 *507:18 0 -77 *109:109 *476:40 0.0036637 -78 *109:122 *110:31 0.000768038 -79 *109:123 *110:8 0.00495477 -80 *2459:serial_clock *2459:serial_clock_out 3.74656e-05 -81 *108:42 *109:61 0.00129949 -*RES -1 *2467:serial_clock_out *109:9 3.59493 -2 *109:9 *109:10 55.8148 -3 *109:10 *109:15 5.36335 -4 *109:15 *109:16 70.8046 -5 *109:16 *109:21 30.2227 -6 *109:21 *109:22 125.577 -7 *109:22 *109:24 144.056 -8 *109:24 *109:26 4.5 -9 *109:26 *109:27 167.104 -10 *109:27 *109:32 34.0861 -11 *109:32 *109:35 17.3856 -12 *109:35 *109:39 49.5917 -13 *109:39 *109:40 679.524 -14 *109:40 *109:45 15.2694 -15 *109:45 *109:46 70.7639 -16 *109:46 *109:48 4.5 -17 *109:48 *109:49 73.9311 -18 *109:49 *109:51 4.5 -19 *109:51 *109:52 45.8487 -20 *109:52 *109:57 34.126 -21 *109:57 *109:60 40.3827 -22 *109:60 *109:61 3536.88 -23 *109:61 *109:63 4.5 -24 *109:63 *109:64 448.228 -25 *109:64 *109:69 42.445 -26 *109:69 *109:70 113.95 -27 *109:70 *109:75 24.1431 -28 *109:75 *109:76 118.518 -29 *109:76 *109:79 46.8187 -30 *109:79 *109:81 4.5 -31 *109:81 *109:82 50.4165 -32 *109:82 *109:87 48.5456 -33 *109:87 *109:90 25.4336 -34 *109:90 *109:94 31.9443 -35 *109:94 *2459:serial_clock_out 3.58495 -36 *109:94 *109:103 416.877 -37 *109:103 *109:108 30.7983 -38 *109:108 *109:109 119.971 -39 *109:109 *2460:serial_clock 3.36879 -40 *109:22 *109:122 24.9156 -41 *109:122 *109:123 85.7129 -42 *109:123 *2468:serial_clock 3.36879 -43 *2468:serial_clock *109:127 0.0631875 -*END - -*D_NET *110 1.18852 -*CONN -*I *2449:serial_clock I *D gpio_control_block -*I *2460:serial_clock_out O *D gpio_control_block -*I *2469:serial_clock I *D gpio_control_block -*I *2468:serial_clock_out O *D gpio_control_block -*CAP -1 *2449:serial_clock 0.000122735 -2 *2460:serial_clock_out 0.000275308 -3 *2469:serial_clock 6.94771e-05 -4 *2468:serial_clock_out 1.65112e-05 -5 *110:123 0.00257203 -6 *110:121 0.00247507 -7 *110:119 0.00314787 -8 *110:118 0.00364206 -9 *110:104 0.0010557 -10 *110:98 0.0256857 -11 *110:96 0.0262349 -12 *110:91 0.0217599 -13 *110:90 0.0209503 -14 *110:88 0.0023514 -15 *110:87 0.00545692 -16 *110:82 0.0127091 -17 *110:81 0.00985323 -18 *110:68 0.00187063 -19 *110:67 0.00655115 -20 *110:62 0.00744857 -21 *110:61 0.00386346 -22 *110:53 0.00427055 -23 *110:52 0.00357469 -24 *110:46 0.00375034 -25 *110:43 0.0106589 -26 *110:38 0.0109156 -27 *110:37 0.0038219 -28 *110:32 0.00294917 -29 *110:31 0.0130347 -30 *110:8 0.012325 -31 *110:7 0.00172248 -32 *2449:serial_clock *2449:resetn_out 1.75358e-05 -33 *2449:serial_clock *2450:resetn 7.40436e-06 -34 *2449:serial_clock *2126:15 2.25102e-05 -35 *2460:serial_clock_out *2460:resetn_out 0 -36 *2460:serial_clock_out *2460:serial_data_in 3.74656e-05 -37 *110:46 *495:14 0.00907174 -38 *110:46 *495:44 0 -39 *110:52 *495:20 0.000145708 -40 *110:53 *495:21 0.0143999 -41 *110:61 *495:21 0.000211758 -42 *110:62 *2469:gpio_defaults[0] 1.78514e-05 -43 *110:62 *495:24 0.00640034 -44 *110:68 *495:30 0.00323575 -45 *110:82 *112:14 1.83756e-05 -46 *110:82 *495:44 0.032386 -47 *110:87 *2473:gpio_noesd[16] 1.7794e-05 -48 *110:87 *2473:io_in_3v3[23] 0 -49 *110:87 *2474:mprj_io_out[23] 6.88661e-06 -50 *110:87 *495:49 0.0130129 -51 *110:88 *111:42 0.014194 -52 *110:88 *479:31 0.00848641 -53 *110:88 *495:50 0.00276002 -54 *110:91 *111:45 0.352313 -55 *110:91 *473:53 0.000514643 -56 *110:91 *494:23 0.0517972 -57 *110:91 *495:53 0.353557 -58 *110:91 *497:34 0 -59 *110:96 *495:56 0.00219222 -60 *110:98 *2459:resetn 0.00186827 -61 *110:98 *493:51 0.00102273 -62 *110:98 *494:26 0.00364078 -63 *110:98 *495:56 0.00111783 -64 *110:98 *495:62 0.000292149 -65 *110:98 *495:64 0.0286027 -66 *110:98 *1801:14 0 -67 *110:98 *1825:16 0 -68 *110:98 *1852:14 0.00491881 -69 *110:98 *1870:14 0.00497089 -70 *110:98 *1872:14 0.000534875 -71 *110:98 *1987:14 3.46206e-05 -72 *110:98 *1990:8 0.000144223 -73 *110:98 *2014:21 0.00133189 -74 *110:98 *2017:13 0.00107501 -75 *110:98 *2043:13 0.00194722 -76 *110:98 *2070:14 1.69932e-05 -77 *110:98 *2122:14 0.000139567 -78 *110:98 *2123:14 0.00514303 -79 *110:98 *2124:14 0.0054535 -80 *110:98 *2150:14 0.00198036 -81 *110:98 *2176:14 0.005214 -82 *110:98 *2177:14 0.00529365 -83 *110:98 *2178:14 7.70741e-05 -84 *110:104 *2071:8 9.41515e-05 -85 *110:104 *2152:10 0.000275937 -86 *110:118 *2071:8 0.000372007 -87 *110:118 *2152:10 0.00114904 -88 *110:118 *2152:13 1.03403e-05 -89 *110:118 *2380:13 0 -90 *110:119 *495:87 0.00911021 -91 *110:123 *495:87 0.00236284 -92 *110:123 *1802:13 0.000298093 -93 *110:123 *1875:13 0.000725336 -94 *110:123 *2153:15 0.000436015 -95 *110:123 *2180:13 0.000369539 -96 *108:47 *110:96 0.000641599 -97 *108:47 *110:98 0.00168826 -98 *109:24 *110:31 0.000488419 -99 *109:24 *110:32 0 -100 *109:122 *110:31 0.000768038 -101 *109:123 *110:8 0.00495477 -*RES -1 *2468:serial_clock_out *110:7 3.43197 -2 *110:7 *110:8 79.0689 -3 *110:8 *110:31 19.6897 -4 *110:31 *110:32 68.2723 -5 *110:32 *110:37 8.69971 -6 *110:37 *110:38 93.1875 -7 *110:38 *110:43 32.6703 -8 *110:43 *110:46 19.6301 -9 *110:46 *110:52 12.0509 -10 *110:52 *110:53 150.466 -11 *110:53 *110:61 48.2224 -12 *110:61 *110:62 116.234 -13 *110:62 *110:67 24.9156 -14 *110:67 *110:68 70.7639 -15 *110:68 *2469:serial_clock 3.59493 -16 *110:46 *110:81 1.19142 -17 *110:81 *110:82 64.2892 -18 *110:82 *110:87 20.8144 -19 *110:87 *110:88 229.183 -20 *110:88 *110:90 4.5 -21 *110:90 *110:91 3697.16 -22 *110:91 *110:96 40.7369 -23 *110:96 *110:98 1247.55 -24 *110:98 *110:104 17.5822 -25 *110:104 *2460:serial_clock_out 4.12039 -26 *110:104 *110:118 32.116 -27 *110:118 *110:119 145.302 -28 *110:119 *110:121 0.732798 -29 *110:121 *110:123 101.077 -30 *110:123 *2449:serial_clock 3.72796 -*END - -*D_NET *111 1.13702 -*CONN -*I *2450:serial_clock I *D gpio_control_block -*I *2449:serial_clock_out O *D gpio_control_block -*I *2470:serial_clock I *D gpio_control_block -*I *2469:serial_clock_out O *D gpio_control_block -*CAP -1 *2450:serial_clock 0.00396593 -2 *2449:serial_clock_out 0.00509584 -3 *2470:serial_clock 0.00110079 -4 *2469:serial_clock_out 0.00156 -5 *111:48 0.0146968 -6 *111:47 0.0135669 -7 *111:45 0.020848 -8 *111:44 0.020848 -9 *111:42 0.00569205 -10 *111:41 0.00569205 -11 *111:39 0.00377489 -12 *111:24 0.00134786 -13 *111:19 0.00407136 -14 *111:16 0.00359089 -15 *111:14 0.00357179 -16 *111:12 0.000595406 -17 *111:10 0.00212511 -18 *2449:serial_clock_out *2449:resetn_out 0 -19 *2449:serial_clock_out *2099:15 0.000163509 -20 *2449:serial_clock_out *2126:15 0.00107825 -21 *2449:serial_clock_out *2180:13 1.7455e-05 -22 *2450:serial_clock *2449:serial_data_in 2.15141e-06 -23 *2450:serial_clock *2450:resetn 0.0183829 -24 *2450:serial_clock *2450:serial_load 0.00467644 -25 *2450:serial_clock *478:49 0.000207156 -26 *2450:serial_clock *1830:13 0.000109401 -27 *2450:serial_clock *1990:8 0 -28 *2470:serial_clock *2470:resetn 0.00175162 -29 *2470:serial_clock *2470:serial_data_in 0.000113456 -30 *2470:serial_clock *496:35 0.00137832 -31 *2470:serial_clock *524:15 0 -32 *111:10 *2469:serial_data_in 0.000312496 -33 *111:10 *474:54 0.000238504 -34 *111:10 *523:7 0 -35 *111:10 *524:22 0 -36 *111:10 *2088:10 0 -37 *111:12 *494:20 0.000527202 -38 *111:12 *1953:8 0.00347587 -39 *111:12 *1980:8 0.00358681 -40 *111:12 *2088:10 1.07248e-05 -41 *111:12 *2088:12 3.29976e-05 -42 *111:16 *494:20 0.00229192 -43 *111:16 *524:16 0 -44 *111:16 *1953:8 1.3813e-05 -45 *111:16 *1980:16 0.00560258 -46 *111:16 *2088:12 0.00561561 -47 *111:19 *2470:user_gpio_oeb 3.77925e-05 -48 *111:19 *2344:15 4.15559e-05 -49 *111:24 *524:16 0.000279887 -50 *111:39 *2470:user_gpio_oeb 0.000307782 -51 *111:39 *2470:user_gpio_out 0 -52 *111:39 *2344:15 0.000313296 -53 *111:42 *478:26 0.0247716 -54 *111:42 *479:31 8.6297e-06 -55 *111:42 *495:50 1.04352e-05 -56 *111:45 *473:31 0.351187 -57 *111:45 *473:53 0.000538037 -58 *111:45 *476:25 0.0475258 -59 *111:45 *497:34 0 -60 *111:48 *496:44 0.0970877 -61 *111:48 *1568:20 0.0773797 -62 *111:48 *1569:20 0.00814342 -63 *111:48 *1570:20 0.00114235 -64 *111:48 *2152:14 0 -65 *110:88 *111:42 0.014194 -66 *110:91 *111:45 0.352313 -*RES -1 *2469:serial_clock_out *111:10 31.6125 -2 *111:10 *111:12 59.2101 -3 *111:12 *111:14 0.732798 -4 *111:14 *111:16 168.556 -5 *111:16 *111:19 6.3326 -6 *111:19 *111:24 17.0608 -7 *111:24 *2470:serial_clock 49.5352 -8 *111:19 *111:39 106.653 -9 *111:39 *111:41 4.5 -10 *111:41 *111:42 398.398 -11 *111:42 *111:44 4.5 -12 *111:44 *111:45 3683.29 -13 *111:45 *111:47 4.5 -14 *111:47 *111:48 1555.91 -15 *111:48 *2449:serial_clock_out 6.82747 -16 *2449:serial_clock_out *2450:serial_clock 38.285 -*END - -*D_NET *112 1.11137 -*CONN -*I *2450:serial_clock_out O *D gpio_control_block -*I *2451:serial_clock I *D gpio_control_block -*I *2446:serial_clock I *D gpio_control_block -*I *2470:serial_clock_out O *D gpio_control_block -*CAP -1 *2450:serial_clock_out 0.00107609 -2 *2451:serial_clock 0.000319204 -3 *2446:serial_clock 9.56542e-05 -4 *2470:serial_clock_out 0.00574806 -5 *112:47 0.00102014 -6 *112:42 0.00665143 -7 *112:41 0.00595049 -8 *112:39 0.00121496 -9 *112:36 0.0146644 -10 *112:35 0.0145255 -11 *112:33 0.0245551 -12 *112:32 0.0245551 -13 *112:30 0.00138206 -14 *112:29 0.00138206 -15 *112:27 0.00319857 -16 *112:26 0.00435716 -17 *112:14 0.0070023 -18 *2446:serial_clock *2446:resetn 0 -19 *2446:serial_clock *2446:serial_data_in 0 -20 *2450:serial_clock_out *2450:resetn_out 0.00316997 -21 *2450:serial_clock_out *2450:serial_data_in 1.75765e-05 -22 *2450:serial_clock_out *511:13 0 -23 *2450:serial_clock_out *1879:8 0 -24 *2450:serial_clock_out *2127:13 0.000272655 -25 *2450:serial_clock_out *2154:13 0.000559658 -26 *2451:serial_clock *486:12 8.42618e-05 -27 *2451:serial_clock *497:49 0.000317191 -28 *2451:serial_clock *1879:32 0 -29 *2451:serial_clock *2129:13 0.000519205 -30 *112:14 *2474:mprj_io_oeb[23] 0.0111481 -31 *112:14 *477:26 0 -32 *112:14 *495:44 0.0107411 -33 *112:14 *1954:10 0.000166051 -34 *112:14 *2035:7 0.00053428 -35 *112:26 *2446:resetn 8.08111e-06 -36 *112:26 *2446:serial_data_in 0 -37 *112:26 *497:16 0.000165063 -38 *112:26 *2345:13 0.00269229 -39 *112:30 *479:31 8.02838e-05 -40 *112:30 *495:50 0.00787012 -41 *112:30 *497:31 0.00755556 -42 *112:30 *1553:33 0.00020097 -43 *112:33 *2473:la_data_in[57] 0.000101638 -44 *112:33 *472:23 0 -45 *112:33 *478:29 0.00279601 -46 *112:33 *479:34 0.347696 -47 *112:33 *497:34 0.347836 -48 *112:33 *1551:26 7.25324e-06 -49 *112:36 *479:37 0.114277 -50 *112:36 *497:37 0.114256 -51 *112:36 *2356:16 5.1493e-06 -52 *112:39 *2450:resetn_out 0.000102003 -53 *112:39 *497:40 0.00033061 -54 *112:42 *479:43 0.0158545 -55 *112:42 *497:43 0.000502815 -56 *112:47 *497:49 0.00306063 -57 *101:7 *2451:serial_clock 1.05966e-05 -58 *101:33 *2451:serial_clock 3.88655e-06 -59 *101:36 *112:47 0.000466287 -60 *109:46 *112:26 0.000245425 -61 *110:82 *112:14 1.83756e-05 -*RES -1 *2470:serial_clock_out *112:14 37.9279 -2 *112:14 *2446:serial_clock 0.330903 -3 *112:14 *112:26 20.8475 -4 *112:26 *112:27 86.687 -5 *112:27 *112:29 4.5 -6 *112:29 *112:30 131.391 -7 *112:30 *112:32 4.5 -8 *112:32 *112:33 3668.32 -9 *112:33 *112:35 4.5 -10 *112:35 *112:36 1825.62 -11 *112:36 *112:39 9.66022 -12 *112:39 *112:41 4.5 -13 *112:41 *112:42 270.5 -14 *112:42 *112:47 42.445 -15 *112:47 *2451:serial_clock 14.1292 -16 *112:39 *2450:serial_clock_out 49.0543 -*END - -*D_NET *113 1.3202 -*CONN -*I *2444:serial_clock I *D gpio_control_block -*I *2461:serial_clock I *D gpio_control_block -*I *2471:serial_clock O *D housekeeping -*CAP -1 *2444:serial_clock 0.000993397 -2 *2461:serial_clock 0.00305192 -3 *2471:serial_clock 0.00126826 -4 *113:20 0.137617 -5 *113:19 0.134565 -6 *113:17 0.0253528 -7 *113:16 0.0253528 -8 *113:14 0.012021 -9 *113:13 0.0120931 -10 *113:10 0.00106543 -11 *113:8 0.00277836 -12 *113:7 0.00404662 -13 *2444:serial_clock *2444:resetn 0 -14 *2444:serial_clock *2444:resetn_out 4.31122e-05 -15 *2444:serial_clock *485:65 0 -16 *2444:serial_clock *498:11 0 -17 *2461:serial_clock *2461:resetn 2.7413e-07 -18 *2461:serial_clock *2461:serial_data_in 0 -19 *2461:serial_clock *2461:serial_load 0.0131675 -20 *2461:serial_clock *2160:7 0 -21 *2461:serial_clock *2334:13 0.000103658 -22 *113:8 *480:8 0.00990286 -23 *113:8 *498:8 0.000206811 -24 *113:8 *1544:14 0.00601149 -25 *113:14 *1534:20 0 -26 *113:14 *1535:20 0.000653095 -27 *113:14 *1536:20 0.000941838 -28 *113:14 *1537:20 0.0146474 -29 *113:14 *1544:14 0.0141016 -30 *113:17 *485:44 0.400485 -31 *113:17 *1553:24 0.387953 -32 *113:17 *1611:25 0.000937295 -33 *113:17 *1726:25 0.00523011 -34 *113:17 *1728:31 0.00439555 -35 *113:20 *2478:la_input[3] 0.000431618 -36 *113:20 *473:28 0.0163917 -37 *113:20 *475:31 0.0152391 -38 *113:20 *480:25 0.000222955 -39 *113:20 *491:45 0.0167407 -40 *113:20 *496:38 0.00282599 -41 *113:20 *1553:27 0.00334581 -42 *2444:serial_clock_out *2444:serial_clock 3.84497e-05 -43 *106:34 *113:20 0.0161898 -44 *107:84 *113:20 0.0156578 -45 *108:39 *113:20 0.0141324 -*RES -1 *2471:serial_clock *113:7 8.17437 -2 *113:7 *113:8 178.522 -3 *113:8 *113:10 4.5 -4 *113:10 *113:13 5.778 -5 *113:13 *113:14 531.901 -6 *113:14 *113:16 3.36879 -7 *113:16 *113:17 505.994 -8 *113:17 *113:19 0.376635 -9 *113:19 *113:20 501.959 -10 *113:20 *2461:serial_clock 18.8191 -11 *113:10 *2444:serial_clock 32.4803 -*END - -*D_NET *114 5.84207e-05 -*CONN -*I *2444:gpio_defaults[0] I *D gpio_control_block -*I *2417:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2444:gpio_defaults[0] 2.92104e-05 -2 *2417:gpio_defaults[0] 2.92104e-05 -3 *2444:gpio_defaults[0] *2444:gpio_defaults[1] 0 -*RES -1 *2417:gpio_defaults[0] *2444:gpio_defaults[0] 0.830504 -*END - -*D_NET *115 5.84207e-05 -*CONN -*I *2460:gpio_defaults[9] I *D gpio_control_block -*I *2441:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2460:gpio_defaults[9] 2.92104e-05 -2 *2441:gpio_defaults[9] 2.92104e-05 -3 *2460:gpio_defaults[9] *2460:gpio_defaults[10] 0 -4 *2460:gpio_defaults[9] *2460:gpio_defaults[8] 0 -*RES -1 *2441:gpio_defaults[9] *2460:gpio_defaults[9] 0.830504 -*END - -*D_NET *116 5.84207e-05 -*CONN -*I *2460:gpio_defaults[10] I *D gpio_control_block -*I *2441:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2460:gpio_defaults[10] 2.92104e-05 -2 *2441:gpio_defaults[10] 2.92104e-05 -3 *2460:gpio_defaults[10] *2460:gpio_defaults[11] 0 -4 *2460:gpio_defaults[9] *2460:gpio_defaults[10] 0 -*RES -1 *2441:gpio_defaults[10] *2460:gpio_defaults[10] 0.830504 -*END - -*D_NET *117 5.84207e-05 -*CONN -*I *2460:gpio_defaults[11] I *D gpio_control_block -*I *2441:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2460:gpio_defaults[11] 2.92104e-05 -2 *2441:gpio_defaults[11] 2.92104e-05 -3 *2460:gpio_defaults[11] *2460:gpio_defaults[12] 0 -4 *2460:gpio_defaults[10] *2460:gpio_defaults[11] 0 -*RES -1 *2441:gpio_defaults[11] *2460:gpio_defaults[11] 0.830504 -*END - -*D_NET *118 5.84207e-05 -*CONN -*I *2460:gpio_defaults[12] I *D gpio_control_block -*I *2441:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2460:gpio_defaults[12] 2.92104e-05 -2 *2441:gpio_defaults[12] 2.92104e-05 -3 *2460:gpio_defaults[11] *2460:gpio_defaults[12] 0 -*RES -1 *2441:gpio_defaults[12] *2460:gpio_defaults[12] 0.830504 -*END - -*D_NET *119 5.84207e-05 -*CONN -*I *2449:gpio_defaults[0] I *D gpio_control_block -*I *2442:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2449:gpio_defaults[0] 2.92104e-05 -2 *2442:gpio_defaults[0] 2.92104e-05 -3 *2449:gpio_defaults[0] *2449:gpio_defaults[1] 0 -*RES -1 *2442:gpio_defaults[0] *2449:gpio_defaults[0] 0.830504 -*END - -*D_NET *120 5.84207e-05 -*CONN -*I *2449:gpio_defaults[1] I *D gpio_control_block -*I *2442:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2449:gpio_defaults[1] 2.92104e-05 -2 *2442:gpio_defaults[1] 2.92104e-05 -3 *2449:gpio_defaults[1] *2449:gpio_defaults[2] 0 -4 *2449:gpio_defaults[0] *2449:gpio_defaults[1] 0 -*RES -1 *2442:gpio_defaults[1] *2449:gpio_defaults[1] 0.830504 -*END - -*D_NET *121 5.84207e-05 -*CONN -*I *2449:gpio_defaults[2] I *D gpio_control_block -*I *2442:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2449:gpio_defaults[2] 2.92104e-05 -2 *2442:gpio_defaults[2] 2.92104e-05 -3 *2449:gpio_defaults[2] *2449:gpio_defaults[3] 0 -4 *2449:gpio_defaults[1] *2449:gpio_defaults[2] 0 -*RES -1 *2442:gpio_defaults[2] *2449:gpio_defaults[2] 0.830504 -*END - -*D_NET *122 5.84207e-05 -*CONN -*I *2449:gpio_defaults[3] I *D gpio_control_block -*I *2442:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2449:gpio_defaults[3] 2.92104e-05 -2 *2442:gpio_defaults[3] 2.92104e-05 -3 *2449:gpio_defaults[3] *2449:gpio_defaults[4] 0 -4 *2449:gpio_defaults[2] *2449:gpio_defaults[3] 0 -*RES -1 *2442:gpio_defaults[3] *2449:gpio_defaults[3] 0.830504 -*END - -*D_NET *123 5.84207e-05 -*CONN -*I *2449:gpio_defaults[4] I *D gpio_control_block -*I *2442:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2449:gpio_defaults[4] 2.92104e-05 -2 *2442:gpio_defaults[4] 2.92104e-05 -3 *2449:gpio_defaults[4] *2449:gpio_defaults[5] 0 -4 *2449:gpio_defaults[3] *2449:gpio_defaults[4] 0 -*RES -1 *2442:gpio_defaults[4] *2449:gpio_defaults[4] 0.830504 -*END - -*D_NET *124 5.84207e-05 -*CONN -*I *2449:gpio_defaults[5] I *D gpio_control_block -*I *2442:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2449:gpio_defaults[5] 2.92104e-05 -2 *2442:gpio_defaults[5] 2.92104e-05 -3 *2449:gpio_defaults[5] *2449:gpio_defaults[6] 0 -4 *2449:gpio_defaults[4] *2449:gpio_defaults[5] 0 -*RES -1 *2442:gpio_defaults[5] *2449:gpio_defaults[5] 0.830504 -*END - -*D_NET *125 5.84207e-05 -*CONN -*I *2444:gpio_defaults[10] I *D gpio_control_block -*I *2417:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2444:gpio_defaults[10] 2.92104e-05 -2 *2417:gpio_defaults[10] 2.92104e-05 -3 *2444:gpio_defaults[10] *2444:gpio_defaults[11] 0 -4 *2444:gpio_defaults[10] *2444:gpio_defaults[9] 0 -*RES -1 *2417:gpio_defaults[10] *2444:gpio_defaults[10] 0.830504 -*END - -*D_NET *126 5.84207e-05 -*CONN -*I *2449:gpio_defaults[6] I *D gpio_control_block -*I *2442:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2449:gpio_defaults[6] 2.92104e-05 -2 *2442:gpio_defaults[6] 2.92104e-05 -3 *2449:gpio_defaults[6] *2449:gpio_defaults[7] 0 -4 *2449:gpio_defaults[5] *2449:gpio_defaults[6] 0 -*RES -1 *2442:gpio_defaults[6] *2449:gpio_defaults[6] 0.830504 -*END - -*D_NET *127 5.84207e-05 -*CONN -*I *2449:gpio_defaults[7] I *D gpio_control_block -*I *2442:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2449:gpio_defaults[7] 2.92104e-05 -2 *2442:gpio_defaults[7] 2.92104e-05 -3 *2449:gpio_defaults[7] *2449:gpio_defaults[8] 0 -4 *2449:gpio_defaults[6] *2449:gpio_defaults[7] 0 -*RES -1 *2442:gpio_defaults[7] *2449:gpio_defaults[7] 0.830504 -*END - -*D_NET *128 5.84207e-05 -*CONN -*I *2449:gpio_defaults[8] I *D gpio_control_block -*I *2442:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2449:gpio_defaults[8] 2.92104e-05 -2 *2442:gpio_defaults[8] 2.92104e-05 -3 *2449:gpio_defaults[8] *2449:gpio_defaults[9] 0 -4 *2449:gpio_defaults[7] *2449:gpio_defaults[8] 0 -*RES -1 *2442:gpio_defaults[8] *2449:gpio_defaults[8] 0.830504 -*END - -*D_NET *129 5.84207e-05 -*CONN -*I *2449:gpio_defaults[9] I *D gpio_control_block -*I *2442:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2449:gpio_defaults[9] 2.92104e-05 -2 *2442:gpio_defaults[9] 2.92104e-05 -3 *2449:gpio_defaults[9] *2449:gpio_defaults[10] 0 -4 *2449:gpio_defaults[8] *2449:gpio_defaults[9] 0 -*RES -1 *2442:gpio_defaults[9] *2449:gpio_defaults[9] 0.830504 -*END - -*D_NET *130 5.84207e-05 -*CONN -*I *2449:gpio_defaults[10] I *D gpio_control_block -*I *2442:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2449:gpio_defaults[10] 2.92104e-05 -2 *2442:gpio_defaults[10] 2.92104e-05 -3 *2449:gpio_defaults[10] *2449:gpio_defaults[11] 0 -4 *2449:gpio_defaults[9] *2449:gpio_defaults[10] 0 -*RES -1 *2442:gpio_defaults[10] *2449:gpio_defaults[10] 0.830504 -*END - -*D_NET *131 5.84207e-05 -*CONN -*I *2449:gpio_defaults[11] I *D gpio_control_block -*I *2442:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2449:gpio_defaults[11] 2.92104e-05 -2 *2442:gpio_defaults[11] 2.92104e-05 -3 *2449:gpio_defaults[11] *2449:gpio_defaults[12] 0 -4 *2449:gpio_defaults[10] *2449:gpio_defaults[11] 0 -*RES -1 *2442:gpio_defaults[11] *2449:gpio_defaults[11] 0.830504 -*END - -*D_NET *132 5.84207e-05 -*CONN -*I *2449:gpio_defaults[12] I *D gpio_control_block -*I *2442:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2449:gpio_defaults[12] 2.92104e-05 -2 *2442:gpio_defaults[12] 2.92104e-05 -3 *2449:gpio_defaults[11] *2449:gpio_defaults[12] 0 -*RES -1 *2442:gpio_defaults[12] *2449:gpio_defaults[12] 0.830504 -*END - -*D_NET *133 5.84207e-05 -*CONN -*I *2450:gpio_defaults[0] I *D gpio_control_block -*I *2443:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2450:gpio_defaults[0] 2.92104e-05 -2 *2443:gpio_defaults[0] 2.92104e-05 -3 *2450:gpio_defaults[0] *2450:gpio_defaults[1] 0 -*RES -1 *2443:gpio_defaults[0] *2450:gpio_defaults[0] 0.830504 -*END - -*D_NET *134 5.84207e-05 -*CONN -*I *2450:gpio_defaults[1] I *D gpio_control_block -*I *2443:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2450:gpio_defaults[1] 2.92104e-05 -2 *2443:gpio_defaults[1] 2.92104e-05 -3 *2450:gpio_defaults[1] *2450:gpio_defaults[2] 0 -4 *2450:gpio_defaults[0] *2450:gpio_defaults[1] 0 -*RES -1 *2443:gpio_defaults[1] *2450:gpio_defaults[1] 0.830504 -*END - -*D_NET *135 5.84207e-05 -*CONN -*I *2450:gpio_defaults[2] I *D gpio_control_block -*I *2443:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2450:gpio_defaults[2] 2.92104e-05 -2 *2443:gpio_defaults[2] 2.92104e-05 -3 *2450:gpio_defaults[2] *2450:gpio_defaults[3] 0 -4 *2450:gpio_defaults[1] *2450:gpio_defaults[2] 0 -*RES -1 *2443:gpio_defaults[2] *2450:gpio_defaults[2] 0.830504 -*END - -*D_NET *136 5.84207e-05 -*CONN -*I *2444:gpio_defaults[11] I *D gpio_control_block -*I *2417:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2444:gpio_defaults[11] 2.92104e-05 -2 *2417:gpio_defaults[11] 2.92104e-05 -3 *2444:gpio_defaults[11] *2444:gpio_defaults[12] 0 -4 *2444:gpio_defaults[10] *2444:gpio_defaults[11] 0 -*RES -1 *2417:gpio_defaults[11] *2444:gpio_defaults[11] 0.830504 -*END - -*D_NET *137 5.84207e-05 -*CONN -*I *2450:gpio_defaults[3] I *D gpio_control_block -*I *2443:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2450:gpio_defaults[3] 2.92104e-05 -2 *2443:gpio_defaults[3] 2.92104e-05 -3 *2450:gpio_defaults[3] *2450:gpio_defaults[4] 0 -4 *2450:gpio_defaults[2] *2450:gpio_defaults[3] 0 -*RES -1 *2443:gpio_defaults[3] *2450:gpio_defaults[3] 0.830504 -*END - -*D_NET *138 5.84207e-05 -*CONN -*I *2450:gpio_defaults[4] I *D gpio_control_block -*I *2443:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2450:gpio_defaults[4] 2.92104e-05 -2 *2443:gpio_defaults[4] 2.92104e-05 -3 *2450:gpio_defaults[4] *2450:gpio_defaults[5] 0 -4 *2450:gpio_defaults[3] *2450:gpio_defaults[4] 0 -*RES -1 *2443:gpio_defaults[4] *2450:gpio_defaults[4] 0.830504 -*END - -*D_NET *139 5.84207e-05 -*CONN -*I *2450:gpio_defaults[5] I *D gpio_control_block -*I *2443:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2450:gpio_defaults[5] 2.92104e-05 -2 *2443:gpio_defaults[5] 2.92104e-05 -3 *2450:gpio_defaults[5] *2450:gpio_defaults[6] 0 -4 *2450:gpio_defaults[4] *2450:gpio_defaults[5] 0 -*RES -1 *2443:gpio_defaults[5] *2450:gpio_defaults[5] 0.830504 -*END - -*D_NET *140 5.84207e-05 -*CONN -*I *2450:gpio_defaults[6] I *D gpio_control_block -*I *2443:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2450:gpio_defaults[6] 2.92104e-05 -2 *2443:gpio_defaults[6] 2.92104e-05 -3 *2450:gpio_defaults[6] *2450:gpio_defaults[7] 0 -4 *2450:gpio_defaults[5] *2450:gpio_defaults[6] 0 -*RES -1 *2443:gpio_defaults[6] *2450:gpio_defaults[6] 0.830504 -*END - -*D_NET *141 5.84207e-05 -*CONN -*I *2450:gpio_defaults[7] I *D gpio_control_block -*I *2443:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2450:gpio_defaults[7] 2.92104e-05 -2 *2443:gpio_defaults[7] 2.92104e-05 -3 *2450:gpio_defaults[7] *2450:gpio_defaults[8] 0 -4 *2450:gpio_defaults[6] *2450:gpio_defaults[7] 0 -*RES -1 *2443:gpio_defaults[7] *2450:gpio_defaults[7] 0.830504 -*END - -*D_NET *142 5.84207e-05 -*CONN -*I *2450:gpio_defaults[8] I *D gpio_control_block -*I *2443:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2450:gpio_defaults[8] 2.92104e-05 -2 *2443:gpio_defaults[8] 2.92104e-05 -3 *2450:gpio_defaults[8] *2450:gpio_defaults[9] 0 -4 *2450:gpio_defaults[7] *2450:gpio_defaults[8] 0 -*RES -1 *2443:gpio_defaults[8] *2450:gpio_defaults[8] 0.830504 -*END - -*D_NET *143 5.84207e-05 -*CONN -*I *2450:gpio_defaults[9] I *D gpio_control_block -*I *2443:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2450:gpio_defaults[9] 2.92104e-05 -2 *2443:gpio_defaults[9] 2.92104e-05 -3 *2450:gpio_defaults[9] *2450:gpio_defaults[10] 0 -4 *2450:gpio_defaults[8] *2450:gpio_defaults[9] 0 -*RES -1 *2443:gpio_defaults[9] *2450:gpio_defaults[9] 0.830504 -*END - -*D_NET *144 5.84207e-05 -*CONN -*I *2450:gpio_defaults[10] I *D gpio_control_block -*I *2443:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2450:gpio_defaults[10] 2.92104e-05 -2 *2443:gpio_defaults[10] 2.92104e-05 -3 *2450:gpio_defaults[10] *2450:gpio_defaults[11] 0 -4 *2450:gpio_defaults[9] *2450:gpio_defaults[10] 0 -*RES -1 *2443:gpio_defaults[10] *2450:gpio_defaults[10] 0.830504 -*END - -*D_NET *145 5.84207e-05 -*CONN -*I *2450:gpio_defaults[11] I *D gpio_control_block -*I *2443:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2450:gpio_defaults[11] 2.92104e-05 -2 *2443:gpio_defaults[11] 2.92104e-05 -3 *2450:gpio_defaults[11] *2450:gpio_defaults[12] 0 -4 *2450:gpio_defaults[10] *2450:gpio_defaults[11] 0 -*RES -1 *2443:gpio_defaults[11] *2450:gpio_defaults[11] 0.830504 -*END - -*D_NET *146 5.84207e-05 -*CONN -*I *2450:gpio_defaults[12] I *D gpio_control_block -*I *2443:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2450:gpio_defaults[12] 2.92104e-05 -2 *2443:gpio_defaults[12] 2.92104e-05 -3 *2450:gpio_defaults[11] *2450:gpio_defaults[12] 0 -*RES -1 *2443:gpio_defaults[12] *2450:gpio_defaults[12] 0.830504 -*END - -*D_NET *147 5.84207e-05 -*CONN -*I *2444:gpio_defaults[12] I *D gpio_control_block -*I *2417:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2444:gpio_defaults[12] 2.92104e-05 -2 *2417:gpio_defaults[12] 2.92104e-05 -3 *2444:gpio_defaults[11] *2444:gpio_defaults[12] 0 -*RES -1 *2417:gpio_defaults[12] *2444:gpio_defaults[12] 0.830504 -*END - -*D_NET *148 5.84207e-05 -*CONN -*I *2451:gpio_defaults[0] I *D gpio_control_block -*I *2419:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2451:gpio_defaults[0] 2.92104e-05 -2 *2419:gpio_defaults[0] 2.92104e-05 -3 *2451:gpio_defaults[0] *2451:gpio_defaults[1] 0 -*RES -1 *2419:gpio_defaults[0] *2451:gpio_defaults[0] 0.830504 -*END - -*D_NET *149 5.84207e-05 -*CONN -*I *2451:gpio_defaults[1] I *D gpio_control_block -*I *2419:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2451:gpio_defaults[1] 2.92104e-05 -2 *2419:gpio_defaults[1] 2.92104e-05 -3 *2451:gpio_defaults[1] *2451:gpio_defaults[2] 0 -4 *2451:gpio_defaults[0] *2451:gpio_defaults[1] 0 -*RES -1 *2419:gpio_defaults[1] *2451:gpio_defaults[1] 0.830504 -*END - -*D_NET *150 5.84207e-05 -*CONN -*I *2451:gpio_defaults[2] I *D gpio_control_block -*I *2419:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2451:gpio_defaults[2] 2.92104e-05 -2 *2419:gpio_defaults[2] 2.92104e-05 -3 *2451:gpio_defaults[2] *2451:gpio_defaults[3] 0 -4 *2451:gpio_defaults[1] *2451:gpio_defaults[2] 0 -*RES -1 *2419:gpio_defaults[2] *2451:gpio_defaults[2] 0.830504 -*END - -*D_NET *151 5.84207e-05 -*CONN -*I *2451:gpio_defaults[3] I *D gpio_control_block -*I *2419:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2451:gpio_defaults[3] 2.92104e-05 -2 *2419:gpio_defaults[3] 2.92104e-05 -3 *2451:gpio_defaults[3] *2451:gpio_defaults[4] 0 -4 *2451:gpio_defaults[2] *2451:gpio_defaults[3] 0 -*RES -1 *2419:gpio_defaults[3] *2451:gpio_defaults[3] 0.830504 -*END - -*D_NET *152 5.84207e-05 -*CONN -*I *2451:gpio_defaults[4] I *D gpio_control_block -*I *2419:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2451:gpio_defaults[4] 2.92104e-05 -2 *2419:gpio_defaults[4] 2.92104e-05 -3 *2451:gpio_defaults[4] *2451:gpio_defaults[5] 0 -4 *2451:gpio_defaults[3] *2451:gpio_defaults[4] 0 -*RES -1 *2419:gpio_defaults[4] *2451:gpio_defaults[4] 0.830504 -*END - -*D_NET *153 5.84207e-05 -*CONN -*I *2451:gpio_defaults[5] I *D gpio_control_block -*I *2419:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2451:gpio_defaults[5] 2.92104e-05 -2 *2419:gpio_defaults[5] 2.92104e-05 -3 *2451:gpio_defaults[5] *2451:gpio_defaults[6] 0 -4 *2451:gpio_defaults[4] *2451:gpio_defaults[5] 0 -*RES -1 *2419:gpio_defaults[5] *2451:gpio_defaults[5] 0.830504 -*END - -*D_NET *154 5.84207e-05 -*CONN -*I *2451:gpio_defaults[6] I *D gpio_control_block -*I *2419:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2451:gpio_defaults[6] 2.92104e-05 -2 *2419:gpio_defaults[6] 2.92104e-05 -3 *2451:gpio_defaults[6] *2451:gpio_defaults[7] 0 -4 *2451:gpio_defaults[5] *2451:gpio_defaults[6] 0 -*RES -1 *2419:gpio_defaults[6] *2451:gpio_defaults[6] 0.830504 -*END - -*D_NET *155 5.84207e-05 -*CONN -*I *2451:gpio_defaults[7] I *D gpio_control_block -*I *2419:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2451:gpio_defaults[7] 2.92104e-05 -2 *2419:gpio_defaults[7] 2.92104e-05 -3 *2451:gpio_defaults[7] *2451:gpio_defaults[8] 0 -4 *2451:gpio_defaults[6] *2451:gpio_defaults[7] 0 -*RES -1 *2419:gpio_defaults[7] *2451:gpio_defaults[7] 0.830504 -*END - -*D_NET *156 5.84207e-05 -*CONN -*I *2451:gpio_defaults[8] I *D gpio_control_block -*I *2419:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2451:gpio_defaults[8] 2.92104e-05 -2 *2419:gpio_defaults[8] 2.92104e-05 -3 *2451:gpio_defaults[8] *2451:gpio_defaults[9] 0 -4 *2451:gpio_defaults[7] *2451:gpio_defaults[8] 0 -*RES -1 *2419:gpio_defaults[8] *2451:gpio_defaults[8] 0.830504 -*END - -*D_NET *157 5.84207e-05 -*CONN -*I *2451:gpio_defaults[9] I *D gpio_control_block -*I *2419:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2451:gpio_defaults[9] 2.92104e-05 -2 *2419:gpio_defaults[9] 2.92104e-05 -3 *2451:gpio_defaults[9] *2451:gpio_defaults[10] 0 -4 *2451:gpio_defaults[8] *2451:gpio_defaults[9] 0 -*RES -1 *2419:gpio_defaults[9] *2451:gpio_defaults[9] 0.830504 -*END - -*D_NET *158 5.84207e-05 -*CONN -*I *2445:gpio_defaults[0] I *D gpio_control_block -*I *2418:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2445:gpio_defaults[0] 2.92104e-05 -2 *2418:gpio_defaults[0] 2.92104e-05 -3 *2445:gpio_defaults[0] *2445:gpio_defaults[1] 0 -*RES -1 *2418:gpio_defaults[0] *2445:gpio_defaults[0] 0.830504 -*END - -*D_NET *159 5.84207e-05 -*CONN -*I *2451:gpio_defaults[10] I *D gpio_control_block -*I *2419:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2451:gpio_defaults[10] 2.92104e-05 -2 *2419:gpio_defaults[10] 2.92104e-05 -3 *2451:gpio_defaults[10] *2451:gpio_defaults[11] 0 -4 *2451:gpio_defaults[9] *2451:gpio_defaults[10] 0 -*RES -1 *2419:gpio_defaults[10] *2451:gpio_defaults[10] 0.830504 -*END - -*D_NET *160 5.84207e-05 -*CONN -*I *2451:gpio_defaults[11] I *D gpio_control_block -*I *2419:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2451:gpio_defaults[11] 2.92104e-05 -2 *2419:gpio_defaults[11] 2.92104e-05 -3 *2451:gpio_defaults[11] *2451:gpio_defaults[12] 0 -4 *2451:gpio_defaults[10] *2451:gpio_defaults[11] 0 -*RES -1 *2419:gpio_defaults[11] *2451:gpio_defaults[11] 0.830504 -*END - -*D_NET *161 5.84207e-05 -*CONN -*I *2451:gpio_defaults[12] I *D gpio_control_block -*I *2419:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2451:gpio_defaults[12] 2.92104e-05 -2 *2419:gpio_defaults[12] 2.92104e-05 -3 *2451:gpio_defaults[11] *2451:gpio_defaults[12] 0 -*RES -1 *2419:gpio_defaults[12] *2451:gpio_defaults[12] 0.830504 -*END - -*D_NET *162 5.84207e-05 -*CONN -*I *2452:gpio_defaults[0] I *D gpio_control_block -*I *2420:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2452:gpio_defaults[0] 2.92104e-05 -2 *2420:gpio_defaults[0] 2.92104e-05 -3 *2452:gpio_defaults[0] *2452:gpio_defaults[1] 0 -*RES -1 *2420:gpio_defaults[0] *2452:gpio_defaults[0] 0.830504 -*END - -*D_NET *163 5.84207e-05 -*CONN -*I *2452:gpio_defaults[1] I *D gpio_control_block -*I *2420:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2452:gpio_defaults[1] 2.92104e-05 -2 *2420:gpio_defaults[1] 2.92104e-05 -3 *2452:gpio_defaults[1] *2452:gpio_defaults[2] 0 -4 *2452:gpio_defaults[0] *2452:gpio_defaults[1] 0 -*RES -1 *2420:gpio_defaults[1] *2452:gpio_defaults[1] 0.830504 -*END - -*D_NET *164 5.84207e-05 -*CONN -*I *2452:gpio_defaults[2] I *D gpio_control_block -*I *2420:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2452:gpio_defaults[2] 2.92104e-05 -2 *2420:gpio_defaults[2] 2.92104e-05 -3 *2452:gpio_defaults[2] *2452:gpio_defaults[3] 0 -4 *2452:gpio_defaults[1] *2452:gpio_defaults[2] 0 -*RES -1 *2420:gpio_defaults[2] *2452:gpio_defaults[2] 0.830504 -*END - -*D_NET *165 5.84207e-05 -*CONN -*I *2452:gpio_defaults[3] I *D gpio_control_block -*I *2420:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2452:gpio_defaults[3] 2.92104e-05 -2 *2420:gpio_defaults[3] 2.92104e-05 -3 *2452:gpio_defaults[3] *2452:gpio_defaults[4] 0 -4 *2452:gpio_defaults[2] *2452:gpio_defaults[3] 0 -*RES -1 *2420:gpio_defaults[3] *2452:gpio_defaults[3] 0.830504 -*END - -*D_NET *166 5.84207e-05 -*CONN -*I *2452:gpio_defaults[4] I *D gpio_control_block -*I *2420:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2452:gpio_defaults[4] 2.92104e-05 -2 *2420:gpio_defaults[4] 2.92104e-05 -3 *2452:gpio_defaults[4] *2452:gpio_defaults[5] 0 -4 *2452:gpio_defaults[3] *2452:gpio_defaults[4] 0 -*RES -1 *2420:gpio_defaults[4] *2452:gpio_defaults[4] 0.830504 -*END - -*D_NET *167 5.84207e-05 -*CONN -*I *2452:gpio_defaults[5] I *D gpio_control_block -*I *2420:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2452:gpio_defaults[5] 2.92104e-05 -2 *2420:gpio_defaults[5] 2.92104e-05 -3 *2452:gpio_defaults[5] *2452:gpio_defaults[6] 0 -4 *2452:gpio_defaults[4] *2452:gpio_defaults[5] 0 -*RES -1 *2420:gpio_defaults[5] *2452:gpio_defaults[5] 0.830504 -*END - -*D_NET *168 5.84207e-05 -*CONN -*I *2452:gpio_defaults[6] I *D gpio_control_block -*I *2420:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2452:gpio_defaults[6] 2.92104e-05 -2 *2420:gpio_defaults[6] 2.92104e-05 -3 *2452:gpio_defaults[6] *2452:gpio_defaults[7] 0 -4 *2452:gpio_defaults[5] *2452:gpio_defaults[6] 0 -*RES -1 *2420:gpio_defaults[6] *2452:gpio_defaults[6] 0.830504 -*END - -*D_NET *169 5.84207e-05 -*CONN -*I *2445:gpio_defaults[1] I *D gpio_control_block -*I *2418:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2445:gpio_defaults[1] 2.92104e-05 -2 *2418:gpio_defaults[1] 2.92104e-05 -3 *2445:gpio_defaults[1] *2445:gpio_defaults[2] 0 -4 *2445:gpio_defaults[0] *2445:gpio_defaults[1] 0 -*RES -1 *2418:gpio_defaults[1] *2445:gpio_defaults[1] 0.830504 -*END - -*D_NET *170 5.84207e-05 -*CONN -*I *2452:gpio_defaults[7] I *D gpio_control_block -*I *2420:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2452:gpio_defaults[7] 2.92104e-05 -2 *2420:gpio_defaults[7] 2.92104e-05 -3 *2452:gpio_defaults[7] *2452:gpio_defaults[8] 0 -4 *2452:gpio_defaults[6] *2452:gpio_defaults[7] 0 -*RES -1 *2420:gpio_defaults[7] *2452:gpio_defaults[7] 0.830504 -*END - -*D_NET *171 5.84207e-05 -*CONN -*I *2452:gpio_defaults[8] I *D gpio_control_block -*I *2420:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2452:gpio_defaults[8] 2.92104e-05 -2 *2420:gpio_defaults[8] 2.92104e-05 -3 *2452:gpio_defaults[8] *2452:gpio_defaults[9] 0 -4 *2452:gpio_defaults[7] *2452:gpio_defaults[8] 0 -*RES -1 *2420:gpio_defaults[8] *2452:gpio_defaults[8] 0.830504 -*END - -*D_NET *172 5.84207e-05 -*CONN -*I *2452:gpio_defaults[9] I *D gpio_control_block -*I *2420:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2452:gpio_defaults[9] 2.92104e-05 -2 *2420:gpio_defaults[9] 2.92104e-05 -3 *2452:gpio_defaults[9] *2452:gpio_defaults[10] 0 -4 *2452:gpio_defaults[8] *2452:gpio_defaults[9] 0 -*RES -1 *2420:gpio_defaults[9] *2452:gpio_defaults[9] 0.830504 -*END - -*D_NET *173 5.84207e-05 -*CONN -*I *2452:gpio_defaults[10] I *D gpio_control_block -*I *2420:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2452:gpio_defaults[10] 2.92104e-05 -2 *2420:gpio_defaults[10] 2.92104e-05 -3 *2452:gpio_defaults[10] *2452:gpio_defaults[11] 0 -4 *2452:gpio_defaults[9] *2452:gpio_defaults[10] 0 -*RES -1 *2420:gpio_defaults[10] *2452:gpio_defaults[10] 0.830504 -*END - -*D_NET *174 5.84207e-05 -*CONN -*I *2452:gpio_defaults[11] I *D gpio_control_block -*I *2420:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2452:gpio_defaults[11] 2.92104e-05 -2 *2420:gpio_defaults[11] 2.92104e-05 -3 *2452:gpio_defaults[11] *2452:gpio_defaults[12] 0 -4 *2452:gpio_defaults[10] *2452:gpio_defaults[11] 0 -*RES -1 *2420:gpio_defaults[11] *2452:gpio_defaults[11] 0.830504 -*END - -*D_NET *175 5.84207e-05 -*CONN -*I *2452:gpio_defaults[12] I *D gpio_control_block -*I *2420:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2452:gpio_defaults[12] 2.92104e-05 -2 *2420:gpio_defaults[12] 2.92104e-05 -3 *2452:gpio_defaults[11] *2452:gpio_defaults[12] 0 -*RES -1 *2420:gpio_defaults[12] *2452:gpio_defaults[12] 0.830504 -*END - -*D_NET *176 5.84207e-05 -*CONN -*I *2453:gpio_defaults[0] I *D gpio_control_block -*I *2421:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2453:gpio_defaults[0] 2.92104e-05 -2 *2421:gpio_defaults[0] 2.92104e-05 -3 *2453:gpio_defaults[0] *2453:gpio_defaults[1] 0 -*RES -1 *2421:gpio_defaults[0] *2453:gpio_defaults[0] 0.830504 -*END - -*D_NET *177 5.84207e-05 -*CONN -*I *2453:gpio_defaults[1] I *D gpio_control_block -*I *2421:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2453:gpio_defaults[1] 2.92104e-05 -2 *2421:gpio_defaults[1] 2.92104e-05 -3 *2453:gpio_defaults[1] *2453:gpio_defaults[2] 0 -4 *2453:gpio_defaults[0] *2453:gpio_defaults[1] 0 -*RES -1 *2421:gpio_defaults[1] *2453:gpio_defaults[1] 0.830504 -*END - -*D_NET *178 5.84207e-05 -*CONN -*I *2453:gpio_defaults[2] I *D gpio_control_block -*I *2421:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2453:gpio_defaults[2] 2.92104e-05 -2 *2421:gpio_defaults[2] 2.92104e-05 -3 *2453:gpio_defaults[2] *2453:gpio_defaults[3] 0 -4 *2453:gpio_defaults[1] *2453:gpio_defaults[2] 0 -*RES -1 *2421:gpio_defaults[2] *2453:gpio_defaults[2] 0.830504 -*END - -*D_NET *179 5.84207e-05 -*CONN -*I *2453:gpio_defaults[3] I *D gpio_control_block -*I *2421:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2453:gpio_defaults[3] 2.92104e-05 -2 *2421:gpio_defaults[3] 2.92104e-05 -3 *2453:gpio_defaults[3] *2453:gpio_defaults[4] 0 -4 *2453:gpio_defaults[2] *2453:gpio_defaults[3] 0 -*RES -1 *2421:gpio_defaults[3] *2453:gpio_defaults[3] 0.830504 -*END - -*D_NET *180 5.84207e-05 -*CONN -*I *2445:gpio_defaults[2] I *D gpio_control_block -*I *2418:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2445:gpio_defaults[2] 2.92104e-05 -2 *2418:gpio_defaults[2] 2.92104e-05 -3 *2445:gpio_defaults[2] *2445:gpio_defaults[3] 0 -4 *2445:gpio_defaults[1] *2445:gpio_defaults[2] 0 -*RES -1 *2418:gpio_defaults[2] *2445:gpio_defaults[2] 0.830504 -*END - -*D_NET *181 5.84207e-05 -*CONN -*I *2453:gpio_defaults[4] I *D gpio_control_block -*I *2421:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2453:gpio_defaults[4] 2.92104e-05 -2 *2421:gpio_defaults[4] 2.92104e-05 -3 *2453:gpio_defaults[4] *2453:gpio_defaults[5] 0 -4 *2453:gpio_defaults[3] *2453:gpio_defaults[4] 0 -*RES -1 *2421:gpio_defaults[4] *2453:gpio_defaults[4] 0.830504 -*END - -*D_NET *182 5.84207e-05 -*CONN -*I *2453:gpio_defaults[5] I *D gpio_control_block -*I *2421:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2453:gpio_defaults[5] 2.92104e-05 -2 *2421:gpio_defaults[5] 2.92104e-05 -3 *2453:gpio_defaults[5] *2453:gpio_defaults[6] 0 -4 *2453:gpio_defaults[4] *2453:gpio_defaults[5] 0 -*RES -1 *2421:gpio_defaults[5] *2453:gpio_defaults[5] 0.830504 -*END - -*D_NET *183 5.84207e-05 -*CONN -*I *2453:gpio_defaults[6] I *D gpio_control_block -*I *2421:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2453:gpio_defaults[6] 2.92104e-05 -2 *2421:gpio_defaults[6] 2.92104e-05 -3 *2453:gpio_defaults[6] *2453:gpio_defaults[7] 0 -4 *2453:gpio_defaults[5] *2453:gpio_defaults[6] 0 -*RES -1 *2421:gpio_defaults[6] *2453:gpio_defaults[6] 0.830504 -*END - -*D_NET *184 5.84207e-05 -*CONN -*I *2453:gpio_defaults[7] I *D gpio_control_block -*I *2421:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2453:gpio_defaults[7] 2.92104e-05 -2 *2421:gpio_defaults[7] 2.92104e-05 -3 *2453:gpio_defaults[7] *2453:gpio_defaults[8] 0 -4 *2453:gpio_defaults[6] *2453:gpio_defaults[7] 0 -*RES -1 *2421:gpio_defaults[7] *2453:gpio_defaults[7] 0.830504 -*END - -*D_NET *185 5.84207e-05 -*CONN -*I *2453:gpio_defaults[8] I *D gpio_control_block -*I *2421:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2453:gpio_defaults[8] 2.92104e-05 -2 *2421:gpio_defaults[8] 2.92104e-05 -3 *2453:gpio_defaults[8] *2453:gpio_defaults[9] 0 -4 *2453:gpio_defaults[7] *2453:gpio_defaults[8] 0 -*RES -1 *2421:gpio_defaults[8] *2453:gpio_defaults[8] 0.830504 -*END - -*D_NET *186 5.84207e-05 -*CONN -*I *2453:gpio_defaults[9] I *D gpio_control_block -*I *2421:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2453:gpio_defaults[9] 2.92104e-05 -2 *2421:gpio_defaults[9] 2.92104e-05 -3 *2453:gpio_defaults[9] *2453:gpio_defaults[10] 0 -4 *2453:gpio_defaults[8] *2453:gpio_defaults[9] 0 -*RES -1 *2421:gpio_defaults[9] *2453:gpio_defaults[9] 0.830504 -*END - -*D_NET *187 5.84207e-05 -*CONN -*I *2453:gpio_defaults[10] I *D gpio_control_block -*I *2421:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2453:gpio_defaults[10] 2.92104e-05 -2 *2421:gpio_defaults[10] 2.92104e-05 -3 *2453:gpio_defaults[10] *2453:gpio_defaults[11] 0 -4 *2453:gpio_defaults[9] *2453:gpio_defaults[10] 0 -*RES -1 *2421:gpio_defaults[10] *2453:gpio_defaults[10] 0.830504 -*END - -*D_NET *188 5.84207e-05 -*CONN -*I *2453:gpio_defaults[11] I *D gpio_control_block -*I *2421:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2453:gpio_defaults[11] 2.92104e-05 -2 *2421:gpio_defaults[11] 2.92104e-05 -3 *2453:gpio_defaults[11] *2453:gpio_defaults[12] 0 -4 *2453:gpio_defaults[10] *2453:gpio_defaults[11] 0 -*RES -1 *2421:gpio_defaults[11] *2453:gpio_defaults[11] 0.830504 -*END - -*D_NET *189 5.84207e-05 -*CONN -*I *2453:gpio_defaults[12] I *D gpio_control_block -*I *2421:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2453:gpio_defaults[12] 2.92104e-05 -2 *2421:gpio_defaults[12] 2.92104e-05 -3 *2453:gpio_defaults[11] *2453:gpio_defaults[12] 0 -*RES -1 *2421:gpio_defaults[12] *2453:gpio_defaults[12] 0.830504 -*END - -*D_NET *190 5.84207e-05 -*CONN -*I *2454:gpio_defaults[0] I *D gpio_control_block -*I *2422:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2454:gpio_defaults[0] 2.92104e-05 -2 *2422:gpio_defaults[0] 2.92104e-05 -3 *2454:gpio_defaults[0] *2454:gpio_defaults[1] 0 -*RES -1 *2422:gpio_defaults[0] *2454:gpio_defaults[0] 0.830504 -*END - -*D_NET *191 5.84207e-05 -*CONN -*I *2445:gpio_defaults[3] I *D gpio_control_block -*I *2418:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2445:gpio_defaults[3] 2.92104e-05 -2 *2418:gpio_defaults[3] 2.92104e-05 -3 *2445:gpio_defaults[3] *2445:gpio_defaults[4] 0 -4 *2445:gpio_defaults[2] *2445:gpio_defaults[3] 0 -*RES -1 *2418:gpio_defaults[3] *2445:gpio_defaults[3] 0.830504 -*END - -*D_NET *192 5.84207e-05 -*CONN -*I *2454:gpio_defaults[1] I *D gpio_control_block -*I *2422:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2454:gpio_defaults[1] 2.92104e-05 -2 *2422:gpio_defaults[1] 2.92104e-05 -3 *2454:gpio_defaults[1] *2454:gpio_defaults[2] 0 -4 *2454:gpio_defaults[0] *2454:gpio_defaults[1] 0 -*RES -1 *2422:gpio_defaults[1] *2454:gpio_defaults[1] 0.830504 -*END - -*D_NET *193 5.84207e-05 -*CONN -*I *2454:gpio_defaults[2] I *D gpio_control_block -*I *2422:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2454:gpio_defaults[2] 2.92104e-05 -2 *2422:gpio_defaults[2] 2.92104e-05 -3 *2454:gpio_defaults[2] *2454:gpio_defaults[3] 0 -4 *2454:gpio_defaults[1] *2454:gpio_defaults[2] 0 -*RES -1 *2422:gpio_defaults[2] *2454:gpio_defaults[2] 0.830504 -*END - -*D_NET *194 5.84207e-05 -*CONN -*I *2454:gpio_defaults[3] I *D gpio_control_block -*I *2422:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2454:gpio_defaults[3] 2.92104e-05 -2 *2422:gpio_defaults[3] 2.92104e-05 -3 *2454:gpio_defaults[3] *2454:gpio_defaults[4] 0 -4 *2454:gpio_defaults[2] *2454:gpio_defaults[3] 0 -*RES -1 *2422:gpio_defaults[3] *2454:gpio_defaults[3] 0.830504 -*END - -*D_NET *195 5.84207e-05 -*CONN -*I *2454:gpio_defaults[4] I *D gpio_control_block -*I *2422:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2454:gpio_defaults[4] 2.92104e-05 -2 *2422:gpio_defaults[4] 2.92104e-05 -3 *2454:gpio_defaults[4] *2454:gpio_defaults[5] 0 -4 *2454:gpio_defaults[3] *2454:gpio_defaults[4] 0 -*RES -1 *2422:gpio_defaults[4] *2454:gpio_defaults[4] 0.830504 -*END - -*D_NET *196 5.84207e-05 -*CONN -*I *2454:gpio_defaults[5] I *D gpio_control_block -*I *2422:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2454:gpio_defaults[5] 2.92104e-05 -2 *2422:gpio_defaults[5] 2.92104e-05 -3 *2454:gpio_defaults[5] *2454:gpio_defaults[6] 0 -4 *2454:gpio_defaults[4] *2454:gpio_defaults[5] 0 -*RES -1 *2422:gpio_defaults[5] *2454:gpio_defaults[5] 0.830504 -*END - -*D_NET *197 5.84207e-05 -*CONN -*I *2454:gpio_defaults[6] I *D gpio_control_block -*I *2422:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2454:gpio_defaults[6] 2.92104e-05 -2 *2422:gpio_defaults[6] 2.92104e-05 -3 *2454:gpio_defaults[6] *2454:gpio_defaults[7] 0 -4 *2454:gpio_defaults[5] *2454:gpio_defaults[6] 0 -*RES -1 *2422:gpio_defaults[6] *2454:gpio_defaults[6] 0.830504 -*END - -*D_NET *198 5.84207e-05 -*CONN -*I *2454:gpio_defaults[7] I *D gpio_control_block -*I *2422:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2454:gpio_defaults[7] 2.92104e-05 -2 *2422:gpio_defaults[7] 2.92104e-05 -3 *2454:gpio_defaults[7] *2454:gpio_defaults[8] 0 -4 *2454:gpio_defaults[6] *2454:gpio_defaults[7] 0 -*RES -1 *2422:gpio_defaults[7] *2454:gpio_defaults[7] 0.830504 -*END - -*D_NET *199 5.84207e-05 -*CONN -*I *2454:gpio_defaults[8] I *D gpio_control_block -*I *2422:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2454:gpio_defaults[8] 2.92104e-05 -2 *2422:gpio_defaults[8] 2.92104e-05 -3 *2454:gpio_defaults[8] *2454:gpio_defaults[9] 0 -4 *2454:gpio_defaults[7] *2454:gpio_defaults[8] 0 -*RES -1 *2422:gpio_defaults[8] *2454:gpio_defaults[8] 0.830504 -*END - -*D_NET *200 5.84207e-05 -*CONN -*I *2454:gpio_defaults[9] I *D gpio_control_block -*I *2422:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2454:gpio_defaults[9] 2.92104e-05 -2 *2422:gpio_defaults[9] 2.92104e-05 -3 *2454:gpio_defaults[9] *2454:gpio_defaults[10] 0 -4 *2454:gpio_defaults[8] *2454:gpio_defaults[9] 0 -*RES -1 *2422:gpio_defaults[9] *2454:gpio_defaults[9] 0.830504 -*END - -*D_NET *201 5.84207e-05 -*CONN -*I *2454:gpio_defaults[10] I *D gpio_control_block -*I *2422:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2454:gpio_defaults[10] 2.92104e-05 -2 *2422:gpio_defaults[10] 2.92104e-05 -3 *2454:gpio_defaults[10] *2454:gpio_defaults[11] 0 -4 *2454:gpio_defaults[9] *2454:gpio_defaults[10] 0 -*RES -1 *2422:gpio_defaults[10] *2454:gpio_defaults[10] 0.830504 -*END - -*D_NET *202 5.84207e-05 -*CONN -*I *2445:gpio_defaults[4] I *D gpio_control_block -*I *2418:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2445:gpio_defaults[4] 2.92104e-05 -2 *2418:gpio_defaults[4] 2.92104e-05 -3 *2445:gpio_defaults[4] *2445:gpio_defaults[5] 0 -4 *2445:gpio_defaults[3] *2445:gpio_defaults[4] 0 -*RES -1 *2418:gpio_defaults[4] *2445:gpio_defaults[4] 0.830504 -*END - -*D_NET *203 5.84207e-05 -*CONN -*I *2454:gpio_defaults[11] I *D gpio_control_block -*I *2422:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2454:gpio_defaults[11] 2.92104e-05 -2 *2422:gpio_defaults[11] 2.92104e-05 -3 *2454:gpio_defaults[11] *2454:gpio_defaults[12] 0 -4 *2454:gpio_defaults[10] *2454:gpio_defaults[11] 0 -*RES -1 *2422:gpio_defaults[11] *2454:gpio_defaults[11] 0.830504 -*END - -*D_NET *204 5.84207e-05 -*CONN -*I *2454:gpio_defaults[12] I *D gpio_control_block -*I *2422:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2454:gpio_defaults[12] 2.92104e-05 -2 *2422:gpio_defaults[12] 2.92104e-05 -3 *2454:gpio_defaults[11] *2454:gpio_defaults[12] 0 -*RES -1 *2422:gpio_defaults[12] *2454:gpio_defaults[12] 0.830504 -*END - -*D_NET *205 6.74231e-05 -*CONN -*I *2461:gpio_defaults[0] I *D gpio_control_block -*I *2423:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2461:gpio_defaults[0] 3.37115e-05 -2 *2423:gpio_defaults[0] 3.37115e-05 -3 *2461:gpio_defaults[0] *2461:gpio_defaults[1] 0 -*RES -1 *2423:gpio_defaults[0] *2461:gpio_defaults[0] 0.830504 -*END - -*D_NET *206 6.74231e-05 -*CONN -*I *2461:gpio_defaults[1] I *D gpio_control_block -*I *2423:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2461:gpio_defaults[1] 3.37115e-05 -2 *2423:gpio_defaults[1] 3.37115e-05 -3 *2461:gpio_defaults[1] *2461:gpio_defaults[2] 0 -4 *2461:gpio_defaults[0] *2461:gpio_defaults[1] 0 -*RES -1 *2423:gpio_defaults[1] *2461:gpio_defaults[1] 0.830504 -*END - -*D_NET *207 6.74231e-05 -*CONN -*I *2461:gpio_defaults[2] I *D gpio_control_block -*I *2423:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2461:gpio_defaults[2] 3.37115e-05 -2 *2423:gpio_defaults[2] 3.37115e-05 -3 *2461:gpio_defaults[2] *2461:gpio_defaults[3] 0 -4 *2461:gpio_defaults[1] *2461:gpio_defaults[2] 0 -*RES -1 *2423:gpio_defaults[2] *2461:gpio_defaults[2] 0.830504 -*END - -*D_NET *208 6.74231e-05 -*CONN -*I *2461:gpio_defaults[3] I *D gpio_control_block -*I *2423:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2461:gpio_defaults[3] 3.37115e-05 -2 *2423:gpio_defaults[3] 3.37115e-05 -3 *2461:gpio_defaults[3] *2461:gpio_defaults[4] 0 -4 *2461:gpio_defaults[2] *2461:gpio_defaults[3] 0 -*RES -1 *2423:gpio_defaults[3] *2461:gpio_defaults[3] 0.830504 -*END - -*D_NET *209 6.74231e-05 -*CONN -*I *2461:gpio_defaults[4] I *D gpio_control_block -*I *2423:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2461:gpio_defaults[4] 3.37115e-05 -2 *2423:gpio_defaults[4] 3.37115e-05 -3 *2461:gpio_defaults[4] *2461:gpio_defaults[5] 0 -4 *2461:gpio_defaults[3] *2461:gpio_defaults[4] 0 -*RES -1 *2423:gpio_defaults[4] *2461:gpio_defaults[4] 0.830504 -*END - -*D_NET *210 6.74231e-05 -*CONN -*I *2461:gpio_defaults[5] I *D gpio_control_block -*I *2423:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2461:gpio_defaults[5] 3.37115e-05 -2 *2423:gpio_defaults[5] 3.37115e-05 -3 *2461:gpio_defaults[5] *2461:gpio_defaults[6] 0 -4 *2461:gpio_defaults[4] *2461:gpio_defaults[5] 0 -*RES -1 *2423:gpio_defaults[5] *2461:gpio_defaults[5] 0.830504 -*END - -*D_NET *211 6.74231e-05 -*CONN -*I *2461:gpio_defaults[6] I *D gpio_control_block -*I *2423:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2461:gpio_defaults[6] 3.37115e-05 -2 *2423:gpio_defaults[6] 3.37115e-05 -3 *2461:gpio_defaults[6] *2461:gpio_defaults[7] 0 -4 *2461:gpio_defaults[5] *2461:gpio_defaults[6] 0 -*RES -1 *2423:gpio_defaults[6] *2461:gpio_defaults[6] 0.830504 -*END - -*D_NET *212 6.74231e-05 -*CONN -*I *2461:gpio_defaults[7] I *D gpio_control_block -*I *2423:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2461:gpio_defaults[7] 3.37115e-05 -2 *2423:gpio_defaults[7] 3.37115e-05 -3 *2461:gpio_defaults[7] *2461:gpio_defaults[8] 0 -4 *2461:gpio_defaults[6] *2461:gpio_defaults[7] 0 -*RES -1 *2423:gpio_defaults[7] *2461:gpio_defaults[7] 0.830504 -*END - -*D_NET *213 5.84207e-05 -*CONN -*I *2445:gpio_defaults[5] I *D gpio_control_block -*I *2418:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2445:gpio_defaults[5] 2.92104e-05 -2 *2418:gpio_defaults[5] 2.92104e-05 -3 *2445:gpio_defaults[5] *2445:gpio_defaults[6] 0 -4 *2445:gpio_defaults[4] *2445:gpio_defaults[5] 0 -*RES -1 *2418:gpio_defaults[5] *2445:gpio_defaults[5] 0.830504 -*END - -*D_NET *214 6.74231e-05 -*CONN -*I *2461:gpio_defaults[8] I *D gpio_control_block -*I *2423:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2461:gpio_defaults[8] 3.37115e-05 -2 *2423:gpio_defaults[8] 3.37115e-05 -3 *2461:gpio_defaults[8] *2461:gpio_defaults[9] 0 -4 *2461:gpio_defaults[7] *2461:gpio_defaults[8] 0 -*RES -1 *2423:gpio_defaults[8] *2461:gpio_defaults[8] 0.830504 -*END - -*D_NET *215 6.74231e-05 -*CONN -*I *2461:gpio_defaults[9] I *D gpio_control_block -*I *2423:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2461:gpio_defaults[9] 3.37115e-05 -2 *2423:gpio_defaults[9] 3.37115e-05 -3 *2461:gpio_defaults[9] *2461:gpio_defaults[10] 0 -4 *2461:gpio_defaults[8] *2461:gpio_defaults[9] 0 -*RES -1 *2423:gpio_defaults[9] *2461:gpio_defaults[9] 0.830504 -*END - -*D_NET *216 6.74231e-05 -*CONN -*I *2461:gpio_defaults[10] I *D gpio_control_block -*I *2423:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2461:gpio_defaults[10] 3.37115e-05 -2 *2423:gpio_defaults[10] 3.37115e-05 -3 *2461:gpio_defaults[10] *2461:gpio_defaults[11] 0 -4 *2461:gpio_defaults[9] *2461:gpio_defaults[10] 0 -*RES -1 *2423:gpio_defaults[10] *2461:gpio_defaults[10] 0.830504 -*END - -*D_NET *217 6.74231e-05 -*CONN -*I *2461:gpio_defaults[11] I *D gpio_control_block -*I *2423:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2461:gpio_defaults[11] 3.37115e-05 -2 *2423:gpio_defaults[11] 3.37115e-05 -3 *2461:gpio_defaults[11] *2461:gpio_defaults[12] 0 -4 *2461:gpio_defaults[10] *2461:gpio_defaults[11] 0 -*RES -1 *2423:gpio_defaults[11] *2461:gpio_defaults[11] 0.830504 -*END - -*D_NET *218 6.74231e-05 -*CONN -*I *2461:gpio_defaults[12] I *D gpio_control_block -*I *2423:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2461:gpio_defaults[12] 3.37115e-05 -2 *2423:gpio_defaults[12] 3.37115e-05 -3 *2461:gpio_defaults[11] *2461:gpio_defaults[12] 0 -*RES -1 *2423:gpio_defaults[12] *2461:gpio_defaults[12] 0.830504 -*END - -*D_NET *219 5.84207e-05 -*CONN -*I *2462:gpio_defaults[0] I *D gpio_control_block -*I *2427:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2462:gpio_defaults[0] 2.92104e-05 -2 *2427:gpio_defaults[0] 2.92104e-05 -3 *2462:gpio_defaults[0] *2462:gpio_defaults[1] 0 -*RES -1 *2427:gpio_defaults[0] *2462:gpio_defaults[0] 0.830504 -*END - -*D_NET *220 5.84207e-05 -*CONN -*I *2462:gpio_defaults[1] I *D gpio_control_block -*I *2427:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2462:gpio_defaults[1] 2.92104e-05 -2 *2427:gpio_defaults[1] 2.92104e-05 -3 *2462:gpio_defaults[1] *2462:gpio_defaults[2] 0 -4 *2462:gpio_defaults[0] *2462:gpio_defaults[1] 0 -*RES -1 *2427:gpio_defaults[1] *2462:gpio_defaults[1] 0.830504 -*END - -*D_NET *221 5.84207e-05 -*CONN -*I *2462:gpio_defaults[2] I *D gpio_control_block -*I *2427:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2462:gpio_defaults[2] 2.92104e-05 -2 *2427:gpio_defaults[2] 2.92104e-05 -3 *2462:gpio_defaults[2] *2462:gpio_defaults[3] 0 -4 *2462:gpio_defaults[1] *2462:gpio_defaults[2] 0 -*RES -1 *2427:gpio_defaults[2] *2462:gpio_defaults[2] 0.830504 -*END - -*D_NET *222 5.84207e-05 -*CONN -*I *2462:gpio_defaults[3] I *D gpio_control_block -*I *2427:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2462:gpio_defaults[3] 2.92104e-05 -2 *2427:gpio_defaults[3] 2.92104e-05 -3 *2462:gpio_defaults[3] *2462:gpio_defaults[4] 0 -4 *2462:gpio_defaults[2] *2462:gpio_defaults[3] 0 -*RES -1 *2427:gpio_defaults[3] *2462:gpio_defaults[3] 0.830504 -*END - -*D_NET *223 5.84207e-05 -*CONN -*I *2462:gpio_defaults[4] I *D gpio_control_block -*I *2427:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2462:gpio_defaults[4] 2.92104e-05 -2 *2427:gpio_defaults[4] 2.92104e-05 -3 *2462:gpio_defaults[4] *2462:gpio_defaults[5] 0 -4 *2462:gpio_defaults[3] *2462:gpio_defaults[4] 0 -*RES -1 *2427:gpio_defaults[4] *2462:gpio_defaults[4] 0.830504 -*END - -*D_NET *224 5.84207e-05 -*CONN -*I *2445:gpio_defaults[6] I *D gpio_control_block -*I *2418:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2445:gpio_defaults[6] 2.92104e-05 -2 *2418:gpio_defaults[6] 2.92104e-05 -3 *2445:gpio_defaults[6] *2445:gpio_defaults[7] 0 -4 *2445:gpio_defaults[5] *2445:gpio_defaults[6] 0 -*RES -1 *2418:gpio_defaults[6] *2445:gpio_defaults[6] 0.830504 -*END - -*D_NET *225 5.84207e-05 -*CONN -*I *2444:gpio_defaults[1] I *D gpio_control_block -*I *2417:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2444:gpio_defaults[1] 2.92104e-05 -2 *2417:gpio_defaults[1] 2.92104e-05 -3 *2444:gpio_defaults[1] *2444:gpio_defaults[2] 0 -4 *2444:gpio_defaults[0] *2444:gpio_defaults[1] 0 -*RES -1 *2417:gpio_defaults[1] *2444:gpio_defaults[1] 0.830504 -*END - -*D_NET *226 5.84207e-05 -*CONN -*I *2462:gpio_defaults[5] I *D gpio_control_block -*I *2427:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2462:gpio_defaults[5] 2.92104e-05 -2 *2427:gpio_defaults[5] 2.92104e-05 -3 *2462:gpio_defaults[5] *2462:gpio_defaults[6] 0 -4 *2462:gpio_defaults[4] *2462:gpio_defaults[5] 0 -*RES -1 *2427:gpio_defaults[5] *2462:gpio_defaults[5] 0.830504 -*END - -*D_NET *227 5.84207e-05 -*CONN -*I *2462:gpio_defaults[6] I *D gpio_control_block -*I *2427:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2462:gpio_defaults[6] 2.92104e-05 -2 *2427:gpio_defaults[6] 2.92104e-05 -3 *2462:gpio_defaults[6] *2462:gpio_defaults[7] 0 -4 *2462:gpio_defaults[5] *2462:gpio_defaults[6] 0 -*RES -1 *2427:gpio_defaults[6] *2462:gpio_defaults[6] 0.830504 -*END - -*D_NET *228 5.84207e-05 -*CONN -*I *2462:gpio_defaults[7] I *D gpio_control_block -*I *2427:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2462:gpio_defaults[7] 2.92104e-05 -2 *2427:gpio_defaults[7] 2.92104e-05 -3 *2462:gpio_defaults[7] *2462:gpio_defaults[8] 0 -4 *2462:gpio_defaults[6] *2462:gpio_defaults[7] 0 -*RES -1 *2427:gpio_defaults[7] *2462:gpio_defaults[7] 0.830504 -*END - -*D_NET *229 5.84207e-05 -*CONN -*I *2462:gpio_defaults[8] I *D gpio_control_block -*I *2427:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2462:gpio_defaults[8] 2.92104e-05 -2 *2427:gpio_defaults[8] 2.92104e-05 -3 *2462:gpio_defaults[8] *2462:gpio_defaults[9] 0 -4 *2462:gpio_defaults[7] *2462:gpio_defaults[8] 0 -*RES -1 *2427:gpio_defaults[8] *2462:gpio_defaults[8] 0.830504 -*END - -*D_NET *230 5.84207e-05 -*CONN -*I *2462:gpio_defaults[9] I *D gpio_control_block -*I *2427:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2462:gpio_defaults[9] 2.92104e-05 -2 *2427:gpio_defaults[9] 2.92104e-05 -3 *2462:gpio_defaults[9] *2462:gpio_defaults[10] 0 -4 *2462:gpio_defaults[8] *2462:gpio_defaults[9] 0 -*RES -1 *2427:gpio_defaults[9] *2462:gpio_defaults[9] 0.830504 -*END - -*D_NET *231 5.84207e-05 -*CONN -*I *2462:gpio_defaults[10] I *D gpio_control_block -*I *2427:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2462:gpio_defaults[10] 2.92104e-05 -2 *2427:gpio_defaults[10] 2.92104e-05 -3 *2462:gpio_defaults[10] *2462:gpio_defaults[11] 0 -4 *2462:gpio_defaults[9] *2462:gpio_defaults[10] 0 -*RES -1 *2427:gpio_defaults[10] *2462:gpio_defaults[10] 0.830504 -*END - -*D_NET *232 5.84207e-05 -*CONN -*I *2462:gpio_defaults[11] I *D gpio_control_block -*I *2427:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2462:gpio_defaults[11] 2.92104e-05 -2 *2427:gpio_defaults[11] 2.92104e-05 -3 *2462:gpio_defaults[11] *2462:gpio_defaults[12] 0 -4 *2462:gpio_defaults[10] *2462:gpio_defaults[11] 0 -*RES -1 *2427:gpio_defaults[11] *2462:gpio_defaults[11] 0.830504 -*END - -*D_NET *233 5.84207e-05 -*CONN -*I *2462:gpio_defaults[12] I *D gpio_control_block -*I *2427:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2462:gpio_defaults[12] 2.92104e-05 -2 *2427:gpio_defaults[12] 2.92104e-05 -3 *2462:gpio_defaults[11] *2462:gpio_defaults[12] 0 -*RES -1 *2427:gpio_defaults[12] *2462:gpio_defaults[12] 0.830504 -*END - -*D_NET *234 5.84207e-05 -*CONN -*I *2463:gpio_defaults[0] I *D gpio_control_block -*I *2428:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2463:gpio_defaults[0] 2.92104e-05 -2 *2428:gpio_defaults[0] 2.92104e-05 -3 *2463:gpio_defaults[0] *2463:gpio_defaults[1] 0 -*RES -1 *2428:gpio_defaults[0] *2463:gpio_defaults[0] 0.830504 -*END - -*D_NET *235 5.84207e-05 -*CONN -*I *2463:gpio_defaults[1] I *D gpio_control_block -*I *2428:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2463:gpio_defaults[1] 2.92104e-05 -2 *2428:gpio_defaults[1] 2.92104e-05 -3 *2463:gpio_defaults[1] *2463:gpio_defaults[2] 0 -4 *2463:gpio_defaults[0] *2463:gpio_defaults[1] 0 -*RES -1 *2428:gpio_defaults[1] *2463:gpio_defaults[1] 0.830504 -*END - -*D_NET *236 5.84207e-05 -*CONN -*I *2445:gpio_defaults[7] I *D gpio_control_block -*I *2418:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2445:gpio_defaults[7] 2.92104e-05 -2 *2418:gpio_defaults[7] 2.92104e-05 -3 *2445:gpio_defaults[7] *2445:gpio_defaults[8] 0 -4 *2445:gpio_defaults[6] *2445:gpio_defaults[7] 0 -*RES -1 *2418:gpio_defaults[7] *2445:gpio_defaults[7] 0.830504 -*END - -*D_NET *237 5.84207e-05 -*CONN -*I *2463:gpio_defaults[2] I *D gpio_control_block -*I *2428:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2463:gpio_defaults[2] 2.92104e-05 -2 *2428:gpio_defaults[2] 2.92104e-05 -3 *2463:gpio_defaults[2] *2463:gpio_defaults[3] 0 -4 *2463:gpio_defaults[1] *2463:gpio_defaults[2] 0 -*RES -1 *2428:gpio_defaults[2] *2463:gpio_defaults[2] 0.830504 -*END - -*D_NET *238 5.84207e-05 -*CONN -*I *2463:gpio_defaults[3] I *D gpio_control_block -*I *2428:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2463:gpio_defaults[3] 2.92104e-05 -2 *2428:gpio_defaults[3] 2.92104e-05 -3 *2463:gpio_defaults[3] *2463:gpio_defaults[4] 0 -4 *2463:gpio_defaults[2] *2463:gpio_defaults[3] 0 -*RES -1 *2428:gpio_defaults[3] *2463:gpio_defaults[3] 0.830504 -*END - -*D_NET *239 5.84207e-05 -*CONN -*I *2463:gpio_defaults[4] I *D gpio_control_block -*I *2428:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2463:gpio_defaults[4] 2.92104e-05 -2 *2428:gpio_defaults[4] 2.92104e-05 -3 *2463:gpio_defaults[4] *2463:gpio_defaults[5] 0 -4 *2463:gpio_defaults[3] *2463:gpio_defaults[4] 0 -*RES -1 *2428:gpio_defaults[4] *2463:gpio_defaults[4] 0.830504 -*END - -*D_NET *240 5.84207e-05 -*CONN -*I *2463:gpio_defaults[5] I *D gpio_control_block -*I *2428:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2463:gpio_defaults[5] 2.92104e-05 -2 *2428:gpio_defaults[5] 2.92104e-05 -3 *2463:gpio_defaults[5] *2463:gpio_defaults[6] 0 -4 *2463:gpio_defaults[4] *2463:gpio_defaults[5] 0 -*RES -1 *2428:gpio_defaults[5] *2463:gpio_defaults[5] 0.830504 -*END - -*D_NET *241 5.84207e-05 -*CONN -*I *2463:gpio_defaults[6] I *D gpio_control_block -*I *2428:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2463:gpio_defaults[6] 2.92104e-05 -2 *2428:gpio_defaults[6] 2.92104e-05 -3 *2463:gpio_defaults[6] *2463:gpio_defaults[7] 0 -4 *2463:gpio_defaults[5] *2463:gpio_defaults[6] 0 -*RES -1 *2428:gpio_defaults[6] *2463:gpio_defaults[6] 0.830504 -*END - -*D_NET *242 5.84207e-05 -*CONN -*I *2463:gpio_defaults[7] I *D gpio_control_block -*I *2428:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2463:gpio_defaults[7] 2.92104e-05 -2 *2428:gpio_defaults[7] 2.92104e-05 -3 *2463:gpio_defaults[7] *2463:gpio_defaults[8] 0 -4 *2463:gpio_defaults[6] *2463:gpio_defaults[7] 0 -*RES -1 *2428:gpio_defaults[7] *2463:gpio_defaults[7] 0.830504 -*END - -*D_NET *243 5.84207e-05 -*CONN -*I *2463:gpio_defaults[8] I *D gpio_control_block -*I *2428:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2463:gpio_defaults[8] 2.92104e-05 -2 *2428:gpio_defaults[8] 2.92104e-05 -3 *2463:gpio_defaults[8] *2463:gpio_defaults[9] 0 -4 *2463:gpio_defaults[7] *2463:gpio_defaults[8] 0 -*RES -1 *2428:gpio_defaults[8] *2463:gpio_defaults[8] 0.830504 -*END - -*D_NET *244 5.84207e-05 -*CONN -*I *2463:gpio_defaults[9] I *D gpio_control_block -*I *2428:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2463:gpio_defaults[9] 2.92104e-05 -2 *2428:gpio_defaults[9] 2.92104e-05 -3 *2463:gpio_defaults[9] *2463:gpio_defaults[10] 0 -4 *2463:gpio_defaults[8] *2463:gpio_defaults[9] 0 -*RES -1 *2428:gpio_defaults[9] *2463:gpio_defaults[9] 0.830504 -*END - -*D_NET *245 5.84207e-05 -*CONN -*I *2463:gpio_defaults[10] I *D gpio_control_block -*I *2428:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2463:gpio_defaults[10] 2.92104e-05 -2 *2428:gpio_defaults[10] 2.92104e-05 -3 *2463:gpio_defaults[10] *2463:gpio_defaults[11] 0 -4 *2463:gpio_defaults[9] *2463:gpio_defaults[10] 0 -*RES -1 *2428:gpio_defaults[10] *2463:gpio_defaults[10] 0.830504 -*END - -*D_NET *246 5.84207e-05 -*CONN -*I *2463:gpio_defaults[11] I *D gpio_control_block -*I *2428:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2463:gpio_defaults[11] 2.92104e-05 -2 *2428:gpio_defaults[11] 2.92104e-05 -3 *2463:gpio_defaults[11] *2463:gpio_defaults[12] 0 -4 *2463:gpio_defaults[10] *2463:gpio_defaults[11] 0 -*RES -1 *2428:gpio_defaults[11] *2463:gpio_defaults[11] 0.830504 -*END - -*D_NET *247 5.84207e-05 -*CONN -*I *2445:gpio_defaults[8] I *D gpio_control_block -*I *2418:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2445:gpio_defaults[8] 2.92104e-05 -2 *2418:gpio_defaults[8] 2.92104e-05 -3 *2445:gpio_defaults[8] *2445:gpio_defaults[9] 0 -4 *2445:gpio_defaults[7] *2445:gpio_defaults[8] 0 -*RES -1 *2418:gpio_defaults[8] *2445:gpio_defaults[8] 0.830504 -*END - -*D_NET *248 5.84207e-05 -*CONN -*I *2463:gpio_defaults[12] I *D gpio_control_block -*I *2428:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2463:gpio_defaults[12] 2.92104e-05 -2 *2428:gpio_defaults[12] 2.92104e-05 -3 *2463:gpio_defaults[11] *2463:gpio_defaults[12] 0 -*RES -1 *2428:gpio_defaults[12] *2463:gpio_defaults[12] 0.830504 -*END - -*D_NET *249 5.84207e-05 -*CONN -*I *2464:gpio_defaults[0] I *D gpio_control_block -*I *2429:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2464:gpio_defaults[0] 2.92104e-05 -2 *2429:gpio_defaults[0] 2.92104e-05 -3 *2464:gpio_defaults[0] *2464:gpio_defaults[1] 0 -*RES -1 *2429:gpio_defaults[0] *2464:gpio_defaults[0] 0.830504 -*END - -*D_NET *250 5.84207e-05 -*CONN -*I *2464:gpio_defaults[1] I *D gpio_control_block -*I *2429:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2464:gpio_defaults[1] 2.92104e-05 -2 *2429:gpio_defaults[1] 2.92104e-05 -3 *2464:gpio_defaults[1] *2464:gpio_defaults[2] 0 -4 *2464:gpio_defaults[0] *2464:gpio_defaults[1] 0 -*RES -1 *2429:gpio_defaults[1] *2464:gpio_defaults[1] 0.830504 -*END - -*D_NET *251 5.84207e-05 -*CONN -*I *2464:gpio_defaults[2] I *D gpio_control_block -*I *2429:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2464:gpio_defaults[2] 2.92104e-05 -2 *2429:gpio_defaults[2] 2.92104e-05 -3 *2464:gpio_defaults[2] *2464:gpio_defaults[3] 0 -4 *2464:gpio_defaults[1] *2464:gpio_defaults[2] 0 -*RES -1 *2429:gpio_defaults[2] *2464:gpio_defaults[2] 0.830504 -*END - -*D_NET *252 5.84207e-05 -*CONN -*I *2464:gpio_defaults[3] I *D gpio_control_block -*I *2429:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2464:gpio_defaults[3] 2.92104e-05 -2 *2429:gpio_defaults[3] 2.92104e-05 -3 *2464:gpio_defaults[3] *2464:gpio_defaults[4] 0 -4 *2464:gpio_defaults[2] *2464:gpio_defaults[3] 0 -*RES -1 *2429:gpio_defaults[3] *2464:gpio_defaults[3] 0.830504 -*END - -*D_NET *253 5.84207e-05 -*CONN -*I *2464:gpio_defaults[4] I *D gpio_control_block -*I *2429:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2464:gpio_defaults[4] 2.92104e-05 -2 *2429:gpio_defaults[4] 2.92104e-05 -3 *2464:gpio_defaults[4] *2464:gpio_defaults[5] 0 -4 *2464:gpio_defaults[3] *2464:gpio_defaults[4] 0 -*RES -1 *2429:gpio_defaults[4] *2464:gpio_defaults[4] 0.830504 -*END - -*D_NET *254 5.84207e-05 -*CONN -*I *2464:gpio_defaults[5] I *D gpio_control_block -*I *2429:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2464:gpio_defaults[5] 2.92104e-05 -2 *2429:gpio_defaults[5] 2.92104e-05 -3 *2464:gpio_defaults[5] *2464:gpio_defaults[6] 0 -4 *2464:gpio_defaults[4] *2464:gpio_defaults[5] 0 -*RES -1 *2429:gpio_defaults[5] *2464:gpio_defaults[5] 0.830504 -*END - -*D_NET *255 5.84207e-05 -*CONN -*I *2464:gpio_defaults[6] I *D gpio_control_block -*I *2429:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2464:gpio_defaults[6] 2.92104e-05 -2 *2429:gpio_defaults[6] 2.92104e-05 -3 *2464:gpio_defaults[6] *2464:gpio_defaults[7] 0 -4 *2464:gpio_defaults[5] *2464:gpio_defaults[6] 0 -*RES -1 *2429:gpio_defaults[6] *2464:gpio_defaults[6] 0.830504 -*END - -*D_NET *256 5.84207e-05 -*CONN -*I *2464:gpio_defaults[7] I *D gpio_control_block -*I *2429:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2464:gpio_defaults[7] 2.92104e-05 -2 *2429:gpio_defaults[7] 2.92104e-05 -3 *2464:gpio_defaults[7] *2464:gpio_defaults[8] 0 -4 *2464:gpio_defaults[6] *2464:gpio_defaults[7] 0 -*RES -1 *2429:gpio_defaults[7] *2464:gpio_defaults[7] 0.830504 -*END - -*D_NET *257 5.84207e-05 -*CONN -*I *2464:gpio_defaults[8] I *D gpio_control_block -*I *2429:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2464:gpio_defaults[8] 2.92104e-05 -2 *2429:gpio_defaults[8] 2.92104e-05 -3 *2464:gpio_defaults[8] *2464:gpio_defaults[9] 0 -4 *2464:gpio_defaults[7] *2464:gpio_defaults[8] 0 -*RES -1 *2429:gpio_defaults[8] *2464:gpio_defaults[8] 0.830504 -*END - -*D_NET *258 5.84207e-05 -*CONN -*I *2445:gpio_defaults[9] I *D gpio_control_block -*I *2418:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2445:gpio_defaults[9] 2.92104e-05 -2 *2418:gpio_defaults[9] 2.92104e-05 -3 *2445:gpio_defaults[9] *2445:gpio_defaults[10] 0 -4 *2445:gpio_defaults[8] *2445:gpio_defaults[9] 0 -*RES -1 *2418:gpio_defaults[9] *2445:gpio_defaults[9] 0.830504 -*END - -*D_NET *259 5.84207e-05 -*CONN -*I *2464:gpio_defaults[9] I *D gpio_control_block -*I *2429:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2464:gpio_defaults[9] 2.92104e-05 -2 *2429:gpio_defaults[9] 2.92104e-05 -3 *2464:gpio_defaults[9] *2464:gpio_defaults[10] 0 -4 *2464:gpio_defaults[8] *2464:gpio_defaults[9] 0 -*RES -1 *2429:gpio_defaults[9] *2464:gpio_defaults[9] 0.830504 -*END - -*D_NET *260 5.84207e-05 -*CONN -*I *2464:gpio_defaults[10] I *D gpio_control_block -*I *2429:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2464:gpio_defaults[10] 2.92104e-05 -2 *2429:gpio_defaults[10] 2.92104e-05 -3 *2464:gpio_defaults[10] *2464:gpio_defaults[11] 0 -4 *2464:gpio_defaults[9] *2464:gpio_defaults[10] 0 -*RES -1 *2429:gpio_defaults[10] *2464:gpio_defaults[10] 0.830504 -*END - -*D_NET *261 5.84207e-05 -*CONN -*I *2464:gpio_defaults[11] I *D gpio_control_block -*I *2429:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2464:gpio_defaults[11] 2.92104e-05 -2 *2429:gpio_defaults[11] 2.92104e-05 -3 *2464:gpio_defaults[11] *2464:gpio_defaults[12] 0 -4 *2464:gpio_defaults[10] *2464:gpio_defaults[11] 0 -*RES -1 *2429:gpio_defaults[11] *2464:gpio_defaults[11] 0.830504 -*END - -*D_NET *262 5.84207e-05 -*CONN -*I *2464:gpio_defaults[12] I *D gpio_control_block -*I *2429:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2464:gpio_defaults[12] 2.92104e-05 -2 *2429:gpio_defaults[12] 2.92104e-05 -3 *2464:gpio_defaults[11] *2464:gpio_defaults[12] 0 -*RES -1 *2429:gpio_defaults[12] *2464:gpio_defaults[12] 0.830504 -*END - -*D_NET *263 5.84207e-05 -*CONN -*I *2465:gpio_defaults[0] I *D gpio_control_block -*I *2430:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2465:gpio_defaults[0] 2.92104e-05 -2 *2430:gpio_defaults[0] 2.92104e-05 -3 *2465:gpio_defaults[0] *2465:gpio_defaults[1] 0 -4 *107:22 *2465:gpio_defaults[0] 0 -*RES -1 *2430:gpio_defaults[0] *2465:gpio_defaults[0] 0.830504 -*END - -*D_NET *264 5.84207e-05 -*CONN -*I *2465:gpio_defaults[1] I *D gpio_control_block -*I *2430:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2465:gpio_defaults[1] 2.92104e-05 -2 *2430:gpio_defaults[1] 2.92104e-05 -3 *2465:gpio_defaults[1] *2465:gpio_defaults[2] 0 -4 *2465:gpio_defaults[0] *2465:gpio_defaults[1] 0 -*RES -1 *2430:gpio_defaults[1] *2465:gpio_defaults[1] 0.830504 -*END - -*D_NET *265 5.84207e-05 -*CONN -*I *2465:gpio_defaults[2] I *D gpio_control_block -*I *2430:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2465:gpio_defaults[2] 2.92104e-05 -2 *2430:gpio_defaults[2] 2.92104e-05 -3 *2465:gpio_defaults[2] *2465:gpio_defaults[3] 0 -4 *2465:gpio_defaults[1] *2465:gpio_defaults[2] 0 -*RES -1 *2430:gpio_defaults[2] *2465:gpio_defaults[2] 0.830504 -*END - -*D_NET *266 5.84207e-05 -*CONN -*I *2465:gpio_defaults[3] I *D gpio_control_block -*I *2430:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2465:gpio_defaults[3] 2.92104e-05 -2 *2430:gpio_defaults[3] 2.92104e-05 -3 *2465:gpio_defaults[3] *2465:gpio_defaults[4] 0 -4 *2465:gpio_defaults[2] *2465:gpio_defaults[3] 0 -*RES -1 *2430:gpio_defaults[3] *2465:gpio_defaults[3] 0.830504 -*END - -*D_NET *267 5.84207e-05 -*CONN -*I *2465:gpio_defaults[4] I *D gpio_control_block -*I *2430:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2465:gpio_defaults[4] 2.92104e-05 -2 *2430:gpio_defaults[4] 2.92104e-05 -3 *2465:gpio_defaults[4] *2465:gpio_defaults[5] 0 -4 *2465:gpio_defaults[3] *2465:gpio_defaults[4] 0 -*RES -1 *2430:gpio_defaults[4] *2465:gpio_defaults[4] 0.830504 -*END - -*D_NET *268 5.84207e-05 -*CONN -*I *2465:gpio_defaults[5] I *D gpio_control_block -*I *2430:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2465:gpio_defaults[5] 2.92104e-05 -2 *2430:gpio_defaults[5] 2.92104e-05 -3 *2465:gpio_defaults[5] *2465:gpio_defaults[6] 0 -4 *2465:gpio_defaults[4] *2465:gpio_defaults[5] 0 -*RES -1 *2430:gpio_defaults[5] *2465:gpio_defaults[5] 0.830504 -*END - -*D_NET *269 5.84207e-05 -*CONN -*I *2445:gpio_defaults[10] I *D gpio_control_block -*I *2418:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2445:gpio_defaults[10] 2.92104e-05 -2 *2418:gpio_defaults[10] 2.92104e-05 -3 *2445:gpio_defaults[10] *2445:gpio_defaults[11] 0 -4 *2445:gpio_defaults[9] *2445:gpio_defaults[10] 0 -*RES -1 *2418:gpio_defaults[10] *2445:gpio_defaults[10] 0.830504 -*END - -*D_NET *270 5.84207e-05 -*CONN -*I *2465:gpio_defaults[6] I *D gpio_control_block -*I *2430:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2465:gpio_defaults[6] 2.92104e-05 -2 *2430:gpio_defaults[6] 2.92104e-05 -3 *2465:gpio_defaults[6] *2465:gpio_defaults[7] 0 -4 *2465:gpio_defaults[5] *2465:gpio_defaults[6] 0 -*RES -1 *2430:gpio_defaults[6] *2465:gpio_defaults[6] 0.830504 -*END - -*D_NET *271 5.84207e-05 -*CONN -*I *2465:gpio_defaults[7] I *D gpio_control_block -*I *2430:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2465:gpio_defaults[7] 2.92104e-05 -2 *2430:gpio_defaults[7] 2.92104e-05 -3 *2465:gpio_defaults[7] *2465:gpio_defaults[8] 0 -4 *2465:gpio_defaults[6] *2465:gpio_defaults[7] 0 -*RES -1 *2430:gpio_defaults[7] *2465:gpio_defaults[7] 0.830504 -*END - -*D_NET *272 5.84207e-05 -*CONN -*I *2465:gpio_defaults[8] I *D gpio_control_block -*I *2430:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2465:gpio_defaults[8] 2.92104e-05 -2 *2430:gpio_defaults[8] 2.92104e-05 -3 *2465:gpio_defaults[8] *2465:gpio_defaults[9] 0 -4 *2465:gpio_defaults[7] *2465:gpio_defaults[8] 0 -*RES -1 *2430:gpio_defaults[8] *2465:gpio_defaults[8] 0.830504 -*END - -*D_NET *273 5.84207e-05 -*CONN -*I *2465:gpio_defaults[9] I *D gpio_control_block -*I *2430:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2465:gpio_defaults[9] 2.92104e-05 -2 *2430:gpio_defaults[9] 2.92104e-05 -3 *2465:gpio_defaults[9] *2465:gpio_defaults[10] 0 -4 *2465:gpio_defaults[8] *2465:gpio_defaults[9] 0 -*RES -1 *2430:gpio_defaults[9] *2465:gpio_defaults[9] 0.830504 -*END - -*D_NET *274 5.84207e-05 -*CONN -*I *2465:gpio_defaults[10] I *D gpio_control_block -*I *2430:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2465:gpio_defaults[10] 2.92104e-05 -2 *2430:gpio_defaults[10] 2.92104e-05 -3 *2465:gpio_defaults[10] *2465:gpio_defaults[11] 0 -4 *2465:gpio_defaults[9] *2465:gpio_defaults[10] 0 -*RES -1 *2430:gpio_defaults[10] *2465:gpio_defaults[10] 0.830504 -*END - -*D_NET *275 5.84207e-05 -*CONN -*I *2465:gpio_defaults[11] I *D gpio_control_block -*I *2430:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2465:gpio_defaults[11] 2.92104e-05 -2 *2430:gpio_defaults[11] 2.92104e-05 -3 *2465:gpio_defaults[11] *2465:gpio_defaults[12] 0 -4 *2465:gpio_defaults[10] *2465:gpio_defaults[11] 0 -*RES -1 *2430:gpio_defaults[11] *2465:gpio_defaults[11] 0.830504 -*END - -*D_NET *276 5.84207e-05 -*CONN -*I *2465:gpio_defaults[12] I *D gpio_control_block -*I *2430:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2465:gpio_defaults[12] 2.92104e-05 -2 *2430:gpio_defaults[12] 2.92104e-05 -3 *2465:gpio_defaults[11] *2465:gpio_defaults[12] 0 -*RES -1 *2430:gpio_defaults[12] *2465:gpio_defaults[12] 0.830504 -*END - -*D_NET *277 5.84207e-05 -*CONN -*I *2466:gpio_defaults[0] I *D gpio_control_block -*I *2431:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2466:gpio_defaults[0] 2.92104e-05 -2 *2431:gpio_defaults[0] 2.92104e-05 -3 *2466:gpio_defaults[0] *2466:gpio_defaults[1] 0 -4 *107:64 *2466:gpio_defaults[0] 0 -*RES -1 *2431:gpio_defaults[0] *2466:gpio_defaults[0] 0.830504 -*END - -*D_NET *278 5.84207e-05 -*CONN -*I *2466:gpio_defaults[1] I *D gpio_control_block -*I *2431:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2466:gpio_defaults[1] 2.92104e-05 -2 *2431:gpio_defaults[1] 2.92104e-05 -3 *2466:gpio_defaults[1] *2466:gpio_defaults[2] 0 -4 *2466:gpio_defaults[0] *2466:gpio_defaults[1] 0 -*RES -1 *2431:gpio_defaults[1] *2466:gpio_defaults[1] 0.830504 -*END - -*D_NET *279 5.84207e-05 -*CONN -*I *2466:gpio_defaults[2] I *D gpio_control_block -*I *2431:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2466:gpio_defaults[2] 2.92104e-05 -2 *2431:gpio_defaults[2] 2.92104e-05 -3 *2466:gpio_defaults[2] *2466:gpio_defaults[3] 0 -4 *2466:gpio_defaults[1] *2466:gpio_defaults[2] 0 -*RES -1 *2431:gpio_defaults[2] *2466:gpio_defaults[2] 0.830504 -*END - -*D_NET *280 5.84207e-05 -*CONN -*I *2445:gpio_defaults[11] I *D gpio_control_block -*I *2418:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2445:gpio_defaults[11] 2.92104e-05 -2 *2418:gpio_defaults[11] 2.92104e-05 -3 *2445:gpio_defaults[11] *2445:gpio_defaults[12] 0 -4 *2445:gpio_defaults[10] *2445:gpio_defaults[11] 0 -*RES -1 *2418:gpio_defaults[11] *2445:gpio_defaults[11] 0.830504 -*END - -*D_NET *281 5.84207e-05 -*CONN -*I *2466:gpio_defaults[3] I *D gpio_control_block -*I *2431:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2466:gpio_defaults[3] 2.92104e-05 -2 *2431:gpio_defaults[3] 2.92104e-05 -3 *2466:gpio_defaults[3] *2466:gpio_defaults[4] 0 -4 *2466:gpio_defaults[2] *2466:gpio_defaults[3] 0 -*RES -1 *2431:gpio_defaults[3] *2466:gpio_defaults[3] 0.830504 -*END - -*D_NET *282 5.84207e-05 -*CONN -*I *2466:gpio_defaults[4] I *D gpio_control_block -*I *2431:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2466:gpio_defaults[4] 2.92104e-05 -2 *2431:gpio_defaults[4] 2.92104e-05 -3 *2466:gpio_defaults[4] *2466:gpio_defaults[5] 0 -4 *2466:gpio_defaults[3] *2466:gpio_defaults[4] 0 -*RES -1 *2431:gpio_defaults[4] *2466:gpio_defaults[4] 0.830504 -*END - -*D_NET *283 5.84207e-05 -*CONN -*I *2466:gpio_defaults[5] I *D gpio_control_block -*I *2431:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2466:gpio_defaults[5] 2.92104e-05 -2 *2431:gpio_defaults[5] 2.92104e-05 -3 *2466:gpio_defaults[5] *2466:gpio_defaults[6] 0 -4 *2466:gpio_defaults[4] *2466:gpio_defaults[5] 0 -*RES -1 *2431:gpio_defaults[5] *2466:gpio_defaults[5] 0.830504 -*END - -*D_NET *284 5.84207e-05 -*CONN -*I *2466:gpio_defaults[6] I *D gpio_control_block -*I *2431:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2466:gpio_defaults[6] 2.92104e-05 -2 *2431:gpio_defaults[6] 2.92104e-05 -3 *2466:gpio_defaults[6] *2466:gpio_defaults[7] 0 -4 *2466:gpio_defaults[5] *2466:gpio_defaults[6] 0 -*RES -1 *2431:gpio_defaults[6] *2466:gpio_defaults[6] 0.830504 -*END - -*D_NET *285 5.84207e-05 -*CONN -*I *2466:gpio_defaults[7] I *D gpio_control_block -*I *2431:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2466:gpio_defaults[7] 2.92104e-05 -2 *2431:gpio_defaults[7] 2.92104e-05 -3 *2466:gpio_defaults[7] *2466:gpio_defaults[8] 0 -4 *2466:gpio_defaults[6] *2466:gpio_defaults[7] 0 -*RES -1 *2431:gpio_defaults[7] *2466:gpio_defaults[7] 0.830504 -*END - -*D_NET *286 5.84207e-05 -*CONN -*I *2466:gpio_defaults[8] I *D gpio_control_block -*I *2431:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2466:gpio_defaults[8] 2.92104e-05 -2 *2431:gpio_defaults[8] 2.92104e-05 -3 *2466:gpio_defaults[8] *2466:gpio_defaults[9] 0 -4 *2466:gpio_defaults[7] *2466:gpio_defaults[8] 0 -*RES -1 *2431:gpio_defaults[8] *2466:gpio_defaults[8] 0.830504 -*END - -*D_NET *287 5.84207e-05 -*CONN -*I *2466:gpio_defaults[9] I *D gpio_control_block -*I *2431:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2466:gpio_defaults[9] 2.92104e-05 -2 *2431:gpio_defaults[9] 2.92104e-05 -3 *2466:gpio_defaults[9] *2466:gpio_defaults[10] 0 -4 *2466:gpio_defaults[8] *2466:gpio_defaults[9] 0 -*RES -1 *2431:gpio_defaults[9] *2466:gpio_defaults[9] 0.830504 -*END - -*D_NET *288 5.84207e-05 -*CONN -*I *2466:gpio_defaults[10] I *D gpio_control_block -*I *2431:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2466:gpio_defaults[10] 2.92104e-05 -2 *2431:gpio_defaults[10] 2.92104e-05 -3 *2466:gpio_defaults[10] *2466:gpio_defaults[11] 0 -4 *2466:gpio_defaults[9] *2466:gpio_defaults[10] 0 -*RES -1 *2431:gpio_defaults[10] *2466:gpio_defaults[10] 0.830504 -*END - -*D_NET *289 5.84207e-05 -*CONN -*I *2466:gpio_defaults[11] I *D gpio_control_block -*I *2431:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2466:gpio_defaults[11] 2.92104e-05 -2 *2431:gpio_defaults[11] 2.92104e-05 -3 *2466:gpio_defaults[11] *2466:gpio_defaults[12] 0 -4 *2466:gpio_defaults[10] *2466:gpio_defaults[11] 0 -*RES -1 *2431:gpio_defaults[11] *2466:gpio_defaults[11] 0.830504 -*END - -*D_NET *290 5.84207e-05 -*CONN -*I *2466:gpio_defaults[12] I *D gpio_control_block -*I *2431:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2466:gpio_defaults[12] 2.92104e-05 -2 *2431:gpio_defaults[12] 2.92104e-05 -3 *2466:gpio_defaults[11] *2466:gpio_defaults[12] 0 -*RES -1 *2431:gpio_defaults[12] *2466:gpio_defaults[12] 0.830504 -*END - -*D_NET *291 5.84207e-05 -*CONN -*I *2445:gpio_defaults[12] I *D gpio_control_block -*I *2418:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2445:gpio_defaults[12] 2.92104e-05 -2 *2418:gpio_defaults[12] 2.92104e-05 -3 *2445:gpio_defaults[11] *2445:gpio_defaults[12] 0 -*RES -1 *2418:gpio_defaults[12] *2445:gpio_defaults[12] 0.830504 -*END - -*D_NET *292 5.84207e-05 -*CONN -*I *2467:gpio_defaults[0] I *D gpio_control_block -*I *2432:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2467:gpio_defaults[0] 2.92104e-05 -2 *2432:gpio_defaults[0] 2.92104e-05 -3 *2467:gpio_defaults[0] *2467:gpio_defaults[1] 0 -*RES -1 *2432:gpio_defaults[0] *2467:gpio_defaults[0] 0.830504 -*END - -*D_NET *293 5.84207e-05 -*CONN -*I *2467:gpio_defaults[1] I *D gpio_control_block -*I *2432:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2467:gpio_defaults[1] 2.92104e-05 -2 *2432:gpio_defaults[1] 2.92104e-05 -3 *2467:gpio_defaults[1] *2467:gpio_defaults[2] 0 -4 *2467:gpio_defaults[0] *2467:gpio_defaults[1] 0 -*RES -1 *2432:gpio_defaults[1] *2467:gpio_defaults[1] 0.830504 -*END - -*D_NET *294 5.84207e-05 -*CONN -*I *2467:gpio_defaults[2] I *D gpio_control_block -*I *2432:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2467:gpio_defaults[2] 2.92104e-05 -2 *2432:gpio_defaults[2] 2.92104e-05 -3 *2467:gpio_defaults[2] *2467:gpio_defaults[3] 0 -4 *2467:gpio_defaults[1] *2467:gpio_defaults[2] 0 -*RES -1 *2432:gpio_defaults[2] *2467:gpio_defaults[2] 0.830504 -*END - -*D_NET *295 5.84207e-05 -*CONN -*I *2467:gpio_defaults[3] I *D gpio_control_block -*I *2432:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2467:gpio_defaults[3] 2.92104e-05 -2 *2432:gpio_defaults[3] 2.92104e-05 -3 *2467:gpio_defaults[3] *2467:gpio_defaults[4] 0 -4 *2467:gpio_defaults[2] *2467:gpio_defaults[3] 0 -*RES -1 *2432:gpio_defaults[3] *2467:gpio_defaults[3] 0.830504 -*END - -*D_NET *296 5.84207e-05 -*CONN -*I *2467:gpio_defaults[4] I *D gpio_control_block -*I *2432:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2467:gpio_defaults[4] 2.92104e-05 -2 *2432:gpio_defaults[4] 2.92104e-05 -3 *2467:gpio_defaults[4] *2467:gpio_defaults[5] 0 -4 *2467:gpio_defaults[3] *2467:gpio_defaults[4] 0 -*RES -1 *2432:gpio_defaults[4] *2467:gpio_defaults[4] 0.830504 -*END - -*D_NET *297 5.84207e-05 -*CONN -*I *2467:gpio_defaults[5] I *D gpio_control_block -*I *2432:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2467:gpio_defaults[5] 2.92104e-05 -2 *2432:gpio_defaults[5] 2.92104e-05 -3 *2467:gpio_defaults[5] *2467:gpio_defaults[6] 0 -4 *2467:gpio_defaults[4] *2467:gpio_defaults[5] 0 -*RES -1 *2432:gpio_defaults[5] *2467:gpio_defaults[5] 0.830504 -*END - -*D_NET *298 5.84207e-05 -*CONN -*I *2467:gpio_defaults[6] I *D gpio_control_block -*I *2432:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2467:gpio_defaults[6] 2.92104e-05 -2 *2432:gpio_defaults[6] 2.92104e-05 -3 *2467:gpio_defaults[6] *2467:gpio_defaults[7] 0 -4 *2467:gpio_defaults[5] *2467:gpio_defaults[6] 0 -*RES -1 *2432:gpio_defaults[6] *2467:gpio_defaults[6] 0.830504 -*END - -*D_NET *299 5.84207e-05 -*CONN -*I *2467:gpio_defaults[7] I *D gpio_control_block -*I *2432:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2467:gpio_defaults[7] 2.92104e-05 -2 *2432:gpio_defaults[7] 2.92104e-05 -3 *2467:gpio_defaults[7] *2467:gpio_defaults[8] 0 -4 *2467:gpio_defaults[6] *2467:gpio_defaults[7] 0 -*RES -1 *2432:gpio_defaults[7] *2467:gpio_defaults[7] 0.830504 -*END - -*D_NET *300 5.84207e-05 -*CONN -*I *2467:gpio_defaults[8] I *D gpio_control_block -*I *2432:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2467:gpio_defaults[8] 2.92104e-05 -2 *2432:gpio_defaults[8] 2.92104e-05 -3 *2467:gpio_defaults[8] *2467:gpio_defaults[9] 0 -4 *2467:gpio_defaults[7] *2467:gpio_defaults[8] 0 -*RES -1 *2432:gpio_defaults[8] *2467:gpio_defaults[8] 0.830504 -*END - -*D_NET *301 5.84207e-05 -*CONN -*I *2467:gpio_defaults[9] I *D gpio_control_block -*I *2432:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2467:gpio_defaults[9] 2.92104e-05 -2 *2432:gpio_defaults[9] 2.92104e-05 -3 *2467:gpio_defaults[9] *2467:gpio_defaults[10] 0 -4 *2467:gpio_defaults[8] *2467:gpio_defaults[9] 0 -*RES -1 *2432:gpio_defaults[9] *2467:gpio_defaults[9] 0.830504 -*END - -*D_NET *302 5.84207e-05 -*CONN -*I *2455:gpio_defaults[0] I *D gpio_control_block -*I *2424:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2455:gpio_defaults[0] 2.92104e-05 -2 *2424:gpio_defaults[0] 2.92104e-05 -3 *2455:gpio_defaults[0] *2455:gpio_defaults[1] 0 -*RES -1 *2424:gpio_defaults[0] *2455:gpio_defaults[0] 0.830504 -*END - -*D_NET *303 5.84207e-05 -*CONN -*I *2467:gpio_defaults[10] I *D gpio_control_block -*I *2432:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2467:gpio_defaults[10] 2.92104e-05 -2 *2432:gpio_defaults[10] 2.92104e-05 -3 *2467:gpio_defaults[10] *2467:gpio_defaults[11] 0 -4 *2467:gpio_defaults[9] *2467:gpio_defaults[10] 0 -*RES -1 *2432:gpio_defaults[10] *2467:gpio_defaults[10] 0.830504 -*END - -*D_NET *304 5.84207e-05 -*CONN -*I *2467:gpio_defaults[11] I *D gpio_control_block -*I *2432:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2467:gpio_defaults[11] 2.92104e-05 -2 *2432:gpio_defaults[11] 2.92104e-05 -3 *2467:gpio_defaults[11] *2467:gpio_defaults[12] 0 -4 *2467:gpio_defaults[10] *2467:gpio_defaults[11] 0 -*RES -1 *2432:gpio_defaults[11] *2467:gpio_defaults[11] 0.830504 -*END - -*D_NET *305 5.84207e-05 -*CONN -*I *2467:gpio_defaults[12] I *D gpio_control_block -*I *2432:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2467:gpio_defaults[12] 2.92104e-05 -2 *2432:gpio_defaults[12] 2.92104e-05 -3 *2467:gpio_defaults[11] *2467:gpio_defaults[12] 0 -*RES -1 *2432:gpio_defaults[12] *2467:gpio_defaults[12] 0.830504 -*END - -*D_NET *306 5.98503e-05 -*CONN -*I *2468:gpio_defaults[0] I *D gpio_control_block -*I *2433:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2468:gpio_defaults[0] 2.99252e-05 -2 *2433:gpio_defaults[0] 2.99252e-05 -*RES -1 *2433:gpio_defaults[0] *2468:gpio_defaults[0] 0.830504 -*END - -*D_NET *307 5.91355e-05 -*CONN -*I *2468:gpio_defaults[1] I *D gpio_control_block -*I *2433:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2468:gpio_defaults[1] 2.95678e-05 -2 *2433:gpio_defaults[1] 2.95678e-05 -3 *2468:gpio_defaults[1] *2468:gpio_defaults[2] 0 -*RES -1 *2433:gpio_defaults[1] *2468:gpio_defaults[1] 0.830504 -*END - -*D_NET *308 5.84207e-05 -*CONN -*I *2468:gpio_defaults[2] I *D gpio_control_block -*I *2433:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2468:gpio_defaults[2] 2.92104e-05 -2 *2433:gpio_defaults[2] 2.92104e-05 -3 *2468:gpio_defaults[2] *2468:gpio_defaults[3] 0 -4 *2468:gpio_defaults[1] *2468:gpio_defaults[2] 0 -*RES -1 *2433:gpio_defaults[2] *2468:gpio_defaults[2] 0.830504 -*END - -*D_NET *309 5.84207e-05 -*CONN -*I *2468:gpio_defaults[3] I *D gpio_control_block -*I *2433:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2468:gpio_defaults[3] 2.92104e-05 -2 *2433:gpio_defaults[3] 2.92104e-05 -3 *2468:gpio_defaults[3] *2468:gpio_defaults[4] 0 -4 *2468:gpio_defaults[2] *2468:gpio_defaults[3] 0 -*RES -1 *2433:gpio_defaults[3] *2468:gpio_defaults[3] 0.830504 -*END - -*D_NET *310 5.84207e-05 -*CONN -*I *2468:gpio_defaults[4] I *D gpio_control_block -*I *2433:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2468:gpio_defaults[4] 2.92104e-05 -2 *2433:gpio_defaults[4] 2.92104e-05 -3 *2468:gpio_defaults[4] *2468:gpio_defaults[5] 0 -4 *2468:gpio_defaults[3] *2468:gpio_defaults[4] 0 -*RES -1 *2433:gpio_defaults[4] *2468:gpio_defaults[4] 0.830504 -*END - -*D_NET *311 5.84207e-05 -*CONN -*I *2468:gpio_defaults[5] I *D gpio_control_block -*I *2433:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2468:gpio_defaults[5] 2.92104e-05 -2 *2433:gpio_defaults[5] 2.92104e-05 -3 *2468:gpio_defaults[5] *2468:gpio_defaults[6] 0 -4 *2468:gpio_defaults[4] *2468:gpio_defaults[5] 0 -*RES -1 *2433:gpio_defaults[5] *2468:gpio_defaults[5] 0.830504 -*END - -*D_NET *312 5.84207e-05 -*CONN -*I *2468:gpio_defaults[6] I *D gpio_control_block -*I *2433:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2468:gpio_defaults[6] 2.92104e-05 -2 *2433:gpio_defaults[6] 2.92104e-05 -3 *2468:gpio_defaults[6] *2468:gpio_defaults[7] 0 -4 *2468:gpio_defaults[5] *2468:gpio_defaults[6] 0 -*RES -1 *2433:gpio_defaults[6] *2468:gpio_defaults[6] 0.830504 -*END - -*D_NET *313 5.84207e-05 -*CONN -*I *2455:gpio_defaults[1] I *D gpio_control_block -*I *2424:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2455:gpio_defaults[1] 2.92104e-05 -2 *2424:gpio_defaults[1] 2.92104e-05 -3 *2455:gpio_defaults[1] *2455:gpio_defaults[2] 0 -4 *2455:gpio_defaults[0] *2455:gpio_defaults[1] 0 -*RES -1 *2424:gpio_defaults[1] *2455:gpio_defaults[1] 0.830504 -*END - -*D_NET *314 5.84207e-05 -*CONN -*I *2468:gpio_defaults[7] I *D gpio_control_block -*I *2433:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2468:gpio_defaults[7] 2.92104e-05 -2 *2433:gpio_defaults[7] 2.92104e-05 -3 *2468:gpio_defaults[7] *2468:gpio_defaults[8] 0 -4 *2468:gpio_defaults[6] *2468:gpio_defaults[7] 0 -*RES -1 *2433:gpio_defaults[7] *2468:gpio_defaults[7] 0.830504 -*END - -*D_NET *315 5.84207e-05 -*CONN -*I *2468:gpio_defaults[8] I *D gpio_control_block -*I *2433:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2468:gpio_defaults[8] 2.92104e-05 -2 *2433:gpio_defaults[8] 2.92104e-05 -3 *2468:gpio_defaults[8] *2468:gpio_defaults[9] 0 -4 *2468:gpio_defaults[7] *2468:gpio_defaults[8] 0 -*RES -1 *2433:gpio_defaults[8] *2468:gpio_defaults[8] 0.830504 -*END - -*D_NET *316 5.84207e-05 -*CONN -*I *2468:gpio_defaults[9] I *D gpio_control_block -*I *2433:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2468:gpio_defaults[9] 2.92104e-05 -2 *2433:gpio_defaults[9] 2.92104e-05 -3 *2468:gpio_defaults[9] *2468:gpio_defaults[10] 0 -4 *2468:gpio_defaults[8] *2468:gpio_defaults[9] 0 -*RES -1 *2433:gpio_defaults[9] *2468:gpio_defaults[9] 0.830504 -*END - -*D_NET *317 5.84207e-05 -*CONN -*I *2468:gpio_defaults[10] I *D gpio_control_block -*I *2433:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2468:gpio_defaults[10] 2.92104e-05 -2 *2433:gpio_defaults[10] 2.92104e-05 -3 *2468:gpio_defaults[10] *2468:gpio_defaults[11] 0 -4 *2468:gpio_defaults[9] *2468:gpio_defaults[10] 0 -*RES -1 *2433:gpio_defaults[10] *2468:gpio_defaults[10] 0.830504 -*END - -*D_NET *318 5.84207e-05 -*CONN -*I *2468:gpio_defaults[11] I *D gpio_control_block -*I *2433:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2468:gpio_defaults[11] 2.92104e-05 -2 *2433:gpio_defaults[11] 2.92104e-05 -3 *2468:gpio_defaults[11] *2468:gpio_defaults[12] 0 -4 *2468:gpio_defaults[10] *2468:gpio_defaults[11] 0 -*RES -1 *2433:gpio_defaults[11] *2468:gpio_defaults[11] 0.830504 -*END - -*D_NET *319 5.84207e-05 -*CONN -*I *2468:gpio_defaults[12] I *D gpio_control_block -*I *2433:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2468:gpio_defaults[12] 2.92104e-05 -2 *2433:gpio_defaults[12] 2.92104e-05 -3 *2468:gpio_defaults[11] *2468:gpio_defaults[12] 0 -*RES -1 *2433:gpio_defaults[12] *2468:gpio_defaults[12] 0.830504 -*END - -*D_NET *320 6.1367e-05 -*CONN -*I *2469:gpio_defaults[0] I *D gpio_control_block -*I *2434:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2469:gpio_defaults[0] 2.17578e-05 -2 *2434:gpio_defaults[0] 2.17578e-05 -3 *2469:gpio_defaults[0] *2469:gpio_defaults[1] 0 -4 *110:62 *2469:gpio_defaults[0] 1.78514e-05 -*RES -1 *2434:gpio_defaults[0] *2469:gpio_defaults[0] 0.830504 -*END - -*D_NET *321 5.84207e-05 -*CONN -*I *2469:gpio_defaults[1] I *D gpio_control_block -*I *2434:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2469:gpio_defaults[1] 2.92104e-05 -2 *2434:gpio_defaults[1] 2.92104e-05 -3 *2469:gpio_defaults[1] *2469:gpio_defaults[2] 0 -4 *2469:gpio_defaults[0] *2469:gpio_defaults[1] 0 -*RES -1 *2434:gpio_defaults[1] *2469:gpio_defaults[1] 0.830504 -*END - -*D_NET *322 5.84207e-05 -*CONN -*I *2469:gpio_defaults[2] I *D gpio_control_block -*I *2434:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2469:gpio_defaults[2] 2.92104e-05 -2 *2434:gpio_defaults[2] 2.92104e-05 -3 *2469:gpio_defaults[2] *2469:gpio_defaults[3] 0 -4 *2469:gpio_defaults[1] *2469:gpio_defaults[2] 0 -*RES -1 *2434:gpio_defaults[2] *2469:gpio_defaults[2] 0.830504 -*END - -*D_NET *323 5.84207e-05 -*CONN -*I *2469:gpio_defaults[3] I *D gpio_control_block -*I *2434:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2469:gpio_defaults[3] 2.92104e-05 -2 *2434:gpio_defaults[3] 2.92104e-05 -3 *2469:gpio_defaults[3] *2469:gpio_defaults[4] 0 -4 *2469:gpio_defaults[2] *2469:gpio_defaults[3] 0 -*RES -1 *2434:gpio_defaults[3] *2469:gpio_defaults[3] 0.830504 -*END - -*D_NET *324 5.84207e-05 -*CONN -*I *2455:gpio_defaults[2] I *D gpio_control_block -*I *2424:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2455:gpio_defaults[2] 2.92104e-05 -2 *2424:gpio_defaults[2] 2.92104e-05 -3 *2455:gpio_defaults[2] *2455:gpio_defaults[3] 0 -4 *2455:gpio_defaults[1] *2455:gpio_defaults[2] 0 -*RES -1 *2424:gpio_defaults[2] *2455:gpio_defaults[2] 0.830504 -*END - -*D_NET *325 5.84207e-05 -*CONN -*I *2469:gpio_defaults[4] I *D gpio_control_block -*I *2434:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2469:gpio_defaults[4] 2.92104e-05 -2 *2434:gpio_defaults[4] 2.92104e-05 -3 *2469:gpio_defaults[4] *2469:gpio_defaults[5] 0 -4 *2469:gpio_defaults[3] *2469:gpio_defaults[4] 0 -*RES -1 *2434:gpio_defaults[4] *2469:gpio_defaults[4] 0.830504 -*END - -*D_NET *326 5.84207e-05 -*CONN -*I *2469:gpio_defaults[5] I *D gpio_control_block -*I *2434:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2469:gpio_defaults[5] 2.92104e-05 -2 *2434:gpio_defaults[5] 2.92104e-05 -3 *2469:gpio_defaults[5] *2469:gpio_defaults[6] 0 -4 *2469:gpio_defaults[4] *2469:gpio_defaults[5] 0 -*RES -1 *2434:gpio_defaults[5] *2469:gpio_defaults[5] 0.830504 -*END - -*D_NET *327 5.84207e-05 -*CONN -*I *2469:gpio_defaults[6] I *D gpio_control_block -*I *2434:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2469:gpio_defaults[6] 2.92104e-05 -2 *2434:gpio_defaults[6] 2.92104e-05 -3 *2469:gpio_defaults[6] *2469:gpio_defaults[7] 0 -4 *2469:gpio_defaults[5] *2469:gpio_defaults[6] 0 -*RES -1 *2434:gpio_defaults[6] *2469:gpio_defaults[6] 0.830504 -*END - -*D_NET *328 5.84207e-05 -*CONN -*I *2469:gpio_defaults[7] I *D gpio_control_block -*I *2434:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2469:gpio_defaults[7] 2.92104e-05 -2 *2434:gpio_defaults[7] 2.92104e-05 -3 *2469:gpio_defaults[7] *2469:gpio_defaults[8] 0 -4 *2469:gpio_defaults[6] *2469:gpio_defaults[7] 0 -*RES -1 *2434:gpio_defaults[7] *2469:gpio_defaults[7] 0.830504 -*END - -*D_NET *329 5.84207e-05 -*CONN -*I *2469:gpio_defaults[8] I *D gpio_control_block -*I *2434:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2469:gpio_defaults[8] 2.92104e-05 -2 *2434:gpio_defaults[8] 2.92104e-05 -3 *2469:gpio_defaults[8] *2469:gpio_defaults[9] 0 -4 *2469:gpio_defaults[7] *2469:gpio_defaults[8] 0 -*RES -1 *2434:gpio_defaults[8] *2469:gpio_defaults[8] 0.830504 -*END - -*D_NET *330 5.84207e-05 -*CONN -*I *2469:gpio_defaults[9] I *D gpio_control_block -*I *2434:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2469:gpio_defaults[9] 2.92104e-05 -2 *2434:gpio_defaults[9] 2.92104e-05 -3 *2469:gpio_defaults[9] *2469:gpio_defaults[10] 0 -4 *2469:gpio_defaults[8] *2469:gpio_defaults[9] 0 -*RES -1 *2434:gpio_defaults[9] *2469:gpio_defaults[9] 0.830504 -*END - -*D_NET *331 5.84207e-05 -*CONN -*I *2469:gpio_defaults[10] I *D gpio_control_block -*I *2434:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2469:gpio_defaults[10] 2.92104e-05 -2 *2434:gpio_defaults[10] 2.92104e-05 -3 *2469:gpio_defaults[10] *2469:gpio_defaults[11] 0 -4 *2469:gpio_defaults[9] *2469:gpio_defaults[10] 0 -*RES -1 *2434:gpio_defaults[10] *2469:gpio_defaults[10] 0.830504 -*END - -*D_NET *332 5.84207e-05 -*CONN -*I *2469:gpio_defaults[11] I *D gpio_control_block -*I *2434:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2469:gpio_defaults[11] 2.92104e-05 -2 *2434:gpio_defaults[11] 2.92104e-05 -3 *2469:gpio_defaults[11] *2469:gpio_defaults[12] 0 -4 *2469:gpio_defaults[10] *2469:gpio_defaults[11] 0 -*RES -1 *2434:gpio_defaults[11] *2469:gpio_defaults[11] 0.830504 -*END - -*D_NET *333 5.84207e-05 -*CONN -*I *2469:gpio_defaults[12] I *D gpio_control_block -*I *2434:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2469:gpio_defaults[12] 2.92104e-05 -2 *2434:gpio_defaults[12] 2.92104e-05 -3 *2469:gpio_defaults[11] *2469:gpio_defaults[12] 0 -*RES -1 *2434:gpio_defaults[12] *2469:gpio_defaults[12] 0.830504 -*END - -*D_NET *334 5.84207e-05 -*CONN -*I *2470:gpio_defaults[0] I *D gpio_control_block -*I *2435:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2470:gpio_defaults[0] 2.92104e-05 -2 *2435:gpio_defaults[0] 2.92104e-05 -3 *2470:gpio_defaults[0] *2470:gpio_defaults[1] 0 -*RES -1 *2435:gpio_defaults[0] *2470:gpio_defaults[0] 0.830504 -*END - -*D_NET *335 5.84207e-05 -*CONN -*I *2455:gpio_defaults[3] I *D gpio_control_block -*I *2424:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2455:gpio_defaults[3] 2.92104e-05 -2 *2424:gpio_defaults[3] 2.92104e-05 -3 *2455:gpio_defaults[3] *2455:gpio_defaults[4] 0 -4 *2455:gpio_defaults[2] *2455:gpio_defaults[3] 0 -*RES -1 *2424:gpio_defaults[3] *2455:gpio_defaults[3] 0.830504 -*END - -*D_NET *336 5.84207e-05 -*CONN -*I *2444:gpio_defaults[2] I *D gpio_control_block -*I *2417:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2444:gpio_defaults[2] 2.92104e-05 -2 *2417:gpio_defaults[2] 2.92104e-05 -3 *2444:gpio_defaults[2] *2444:gpio_defaults[3] 0 -4 *2444:gpio_defaults[1] *2444:gpio_defaults[2] 0 -*RES -1 *2417:gpio_defaults[2] *2444:gpio_defaults[2] 0.830504 -*END - -*D_NET *337 5.84207e-05 -*CONN -*I *2470:gpio_defaults[1] I *D gpio_control_block -*I *2435:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2470:gpio_defaults[1] 2.92104e-05 -2 *2435:gpio_defaults[1] 2.92104e-05 -3 *2470:gpio_defaults[1] *2470:gpio_defaults[2] 0 -4 *2470:gpio_defaults[0] *2470:gpio_defaults[1] 0 -*RES -1 *2435:gpio_defaults[1] *2470:gpio_defaults[1] 0.830504 -*END - -*D_NET *338 5.84207e-05 -*CONN -*I *2470:gpio_defaults[2] I *D gpio_control_block -*I *2435:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2470:gpio_defaults[2] 2.92104e-05 -2 *2435:gpio_defaults[2] 2.92104e-05 -3 *2470:gpio_defaults[2] *2470:gpio_defaults[3] 0 -4 *2470:gpio_defaults[1] *2470:gpio_defaults[2] 0 -*RES -1 *2435:gpio_defaults[2] *2470:gpio_defaults[2] 0.830504 -*END - -*D_NET *339 5.84207e-05 -*CONN -*I *2470:gpio_defaults[3] I *D gpio_control_block -*I *2435:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2470:gpio_defaults[3] 2.92104e-05 -2 *2435:gpio_defaults[3] 2.92104e-05 -3 *2470:gpio_defaults[3] *2470:gpio_defaults[4] 0 -4 *2470:gpio_defaults[2] *2470:gpio_defaults[3] 0 -*RES -1 *2435:gpio_defaults[3] *2470:gpio_defaults[3] 0.830504 -*END - -*D_NET *340 5.84207e-05 -*CONN -*I *2470:gpio_defaults[4] I *D gpio_control_block -*I *2435:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2470:gpio_defaults[4] 2.92104e-05 -2 *2435:gpio_defaults[4] 2.92104e-05 -3 *2470:gpio_defaults[4] *2470:gpio_defaults[5] 0 -4 *2470:gpio_defaults[3] *2470:gpio_defaults[4] 0 -*RES -1 *2435:gpio_defaults[4] *2470:gpio_defaults[4] 0.830504 -*END - -*D_NET *341 5.84207e-05 -*CONN -*I *2470:gpio_defaults[5] I *D gpio_control_block -*I *2435:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2470:gpio_defaults[5] 2.92104e-05 -2 *2435:gpio_defaults[5] 2.92104e-05 -3 *2470:gpio_defaults[5] *2470:gpio_defaults[6] 0 -4 *2470:gpio_defaults[4] *2470:gpio_defaults[5] 0 -*RES -1 *2435:gpio_defaults[5] *2470:gpio_defaults[5] 0.830504 -*END - -*D_NET *342 5.84207e-05 -*CONN -*I *2470:gpio_defaults[6] I *D gpio_control_block -*I *2435:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2470:gpio_defaults[6] 2.92104e-05 -2 *2435:gpio_defaults[6] 2.92104e-05 -3 *2470:gpio_defaults[6] *2470:gpio_defaults[7] 0 -4 *2470:gpio_defaults[5] *2470:gpio_defaults[6] 0 -*RES -1 *2435:gpio_defaults[6] *2470:gpio_defaults[6] 0.830504 -*END - -*D_NET *343 5.84207e-05 -*CONN -*I *2470:gpio_defaults[7] I *D gpio_control_block -*I *2435:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2470:gpio_defaults[7] 2.92104e-05 -2 *2435:gpio_defaults[7] 2.92104e-05 -3 *2470:gpio_defaults[7] *2470:gpio_defaults[8] 0 -4 *2470:gpio_defaults[6] *2470:gpio_defaults[7] 0 -*RES -1 *2435:gpio_defaults[7] *2470:gpio_defaults[7] 0.830504 -*END - -*D_NET *344 5.84207e-05 -*CONN -*I *2470:gpio_defaults[8] I *D gpio_control_block -*I *2435:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2470:gpio_defaults[8] 2.92104e-05 -2 *2435:gpio_defaults[8] 2.92104e-05 -3 *2470:gpio_defaults[8] *2470:gpio_defaults[9] 0 -4 *2470:gpio_defaults[7] *2470:gpio_defaults[8] 0 -*RES -1 *2435:gpio_defaults[8] *2470:gpio_defaults[8] 0.830504 -*END - -*D_NET *345 5.84207e-05 -*CONN -*I *2470:gpio_defaults[9] I *D gpio_control_block -*I *2435:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2470:gpio_defaults[9] 2.92104e-05 -2 *2435:gpio_defaults[9] 2.92104e-05 -3 *2470:gpio_defaults[9] *2470:gpio_defaults[10] 0 -4 *2470:gpio_defaults[8] *2470:gpio_defaults[9] 0 -*RES -1 *2435:gpio_defaults[9] *2470:gpio_defaults[9] 0.830504 -*END - -*D_NET *346 5.84207e-05 -*CONN -*I *2470:gpio_defaults[10] I *D gpio_control_block -*I *2435:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2470:gpio_defaults[10] 2.92104e-05 -2 *2435:gpio_defaults[10] 2.92104e-05 -3 *2470:gpio_defaults[10] *2470:gpio_defaults[11] 0 -4 *2470:gpio_defaults[9] *2470:gpio_defaults[10] 0 -*RES -1 *2435:gpio_defaults[10] *2470:gpio_defaults[10] 0.830504 -*END - -*D_NET *347 5.84207e-05 -*CONN -*I *2455:gpio_defaults[4] I *D gpio_control_block -*I *2424:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2455:gpio_defaults[4] 2.92104e-05 -2 *2424:gpio_defaults[4] 2.92104e-05 -3 *2455:gpio_defaults[4] *2455:gpio_defaults[5] 0 -4 *2455:gpio_defaults[3] *2455:gpio_defaults[4] 0 -*RES -1 *2424:gpio_defaults[4] *2455:gpio_defaults[4] 0.830504 -*END - -*D_NET *348 5.84207e-05 -*CONN -*I *2470:gpio_defaults[11] I *D gpio_control_block -*I *2435:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2470:gpio_defaults[11] 2.92104e-05 -2 *2435:gpio_defaults[11] 2.92104e-05 -3 *2470:gpio_defaults[11] *2470:gpio_defaults[12] 0 -4 *2470:gpio_defaults[10] *2470:gpio_defaults[11] 0 -*RES -1 *2435:gpio_defaults[11] *2470:gpio_defaults[11] 0.830504 -*END - -*D_NET *349 5.84207e-05 -*CONN -*I *2470:gpio_defaults[12] I *D gpio_control_block -*I *2435:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2470:gpio_defaults[12] 2.92104e-05 -2 *2435:gpio_defaults[12] 2.92104e-05 -3 *2470:gpio_defaults[11] *2470:gpio_defaults[12] 0 -*RES -1 *2435:gpio_defaults[12] *2470:gpio_defaults[12] 0.830504 -*END - -*D_NET *350 7.20426e-05 -*CONN -*I *2446:gpio_defaults[0] I *D gpio_control_block -*I *2436:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2446:gpio_defaults[0] 3.60213e-05 -2 *2436:gpio_defaults[0] 3.60213e-05 -3 *2446:gpio_defaults[0] *2446:gpio_defaults[1] 0 -4 *2446:gpio_defaults[0] *486:45 0 -*RES -1 *2436:gpio_defaults[0] *2446:gpio_defaults[0] 0.830504 -*END - -*D_NET *351 7.20426e-05 -*CONN -*I *2446:gpio_defaults[1] I *D gpio_control_block -*I *2436:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2446:gpio_defaults[1] 3.60213e-05 -2 *2436:gpio_defaults[1] 3.60213e-05 -3 *2446:gpio_defaults[1] *2446:gpio_defaults[2] 0 -4 *2446:gpio_defaults[0] *2446:gpio_defaults[1] 0 -*RES -1 *2436:gpio_defaults[1] *2446:gpio_defaults[1] 0.830504 -*END - -*D_NET *352 7.20426e-05 -*CONN -*I *2446:gpio_defaults[2] I *D gpio_control_block -*I *2436:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2446:gpio_defaults[2] 3.60213e-05 -2 *2436:gpio_defaults[2] 3.60213e-05 -3 *2446:gpio_defaults[2] *2446:gpio_defaults[3] 0 -4 *2446:gpio_defaults[1] *2446:gpio_defaults[2] 0 -*RES -1 *2436:gpio_defaults[2] *2446:gpio_defaults[2] 0.830504 -*END - -*D_NET *353 7.20426e-05 -*CONN -*I *2446:gpio_defaults[3] I *D gpio_control_block -*I *2436:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2446:gpio_defaults[3] 3.60213e-05 -2 *2436:gpio_defaults[3] 3.60213e-05 -3 *2446:gpio_defaults[3] *2446:gpio_defaults[4] 0 -4 *2446:gpio_defaults[2] *2446:gpio_defaults[3] 0 -*RES -1 *2436:gpio_defaults[3] *2446:gpio_defaults[3] 0.830504 -*END - -*D_NET *354 7.20426e-05 -*CONN -*I *2446:gpio_defaults[4] I *D gpio_control_block -*I *2436:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2446:gpio_defaults[4] 3.60213e-05 -2 *2436:gpio_defaults[4] 3.60213e-05 -3 *2446:gpio_defaults[4] *2446:gpio_defaults[5] 0 -4 *2446:gpio_defaults[3] *2446:gpio_defaults[4] 0 -*RES -1 *2436:gpio_defaults[4] *2446:gpio_defaults[4] 0.830504 -*END - -*D_NET *355 7.20426e-05 -*CONN -*I *2446:gpio_defaults[5] I *D gpio_control_block -*I *2436:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2446:gpio_defaults[5] 3.60213e-05 -2 *2436:gpio_defaults[5] 3.60213e-05 -3 *2446:gpio_defaults[5] *2446:gpio_defaults[6] 0 -4 *2446:gpio_defaults[4] *2446:gpio_defaults[5] 0 -*RES -1 *2436:gpio_defaults[5] *2446:gpio_defaults[5] 0.830504 -*END - -*D_NET *356 7.20426e-05 -*CONN -*I *2446:gpio_defaults[6] I *D gpio_control_block -*I *2436:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2446:gpio_defaults[6] 3.60213e-05 -2 *2436:gpio_defaults[6] 3.60213e-05 -3 *2446:gpio_defaults[6] *2446:gpio_defaults[7] 0 -4 *2446:gpio_defaults[5] *2446:gpio_defaults[6] 0 -*RES -1 *2436:gpio_defaults[6] *2446:gpio_defaults[6] 0.830504 -*END - -*D_NET *357 7.20426e-05 -*CONN -*I *2446:gpio_defaults[7] I *D gpio_control_block -*I *2436:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2446:gpio_defaults[7] 3.60213e-05 -2 *2436:gpio_defaults[7] 3.60213e-05 -3 *2446:gpio_defaults[7] *2446:gpio_defaults[8] 0 -4 *2446:gpio_defaults[6] *2446:gpio_defaults[7] 0 -*RES -1 *2436:gpio_defaults[7] *2446:gpio_defaults[7] 0.830504 -*END - -*D_NET *358 5.84207e-05 -*CONN -*I *2455:gpio_defaults[5] I *D gpio_control_block -*I *2424:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2455:gpio_defaults[5] 2.92104e-05 -2 *2424:gpio_defaults[5] 2.92104e-05 -3 *2455:gpio_defaults[5] *2455:gpio_defaults[6] 0 -4 *2455:gpio_defaults[4] *2455:gpio_defaults[5] 0 -*RES -1 *2424:gpio_defaults[5] *2455:gpio_defaults[5] 0.830504 -*END - -*D_NET *359 7.20426e-05 -*CONN -*I *2446:gpio_defaults[8] I *D gpio_control_block -*I *2436:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2446:gpio_defaults[8] 3.60213e-05 -2 *2436:gpio_defaults[8] 3.60213e-05 -3 *2446:gpio_defaults[8] *2446:gpio_defaults[9] 0 -4 *2446:gpio_defaults[7] *2446:gpio_defaults[8] 0 -*RES -1 *2436:gpio_defaults[8] *2446:gpio_defaults[8] 0.830504 -*END - -*D_NET *360 7.20426e-05 -*CONN -*I *2446:gpio_defaults[9] I *D gpio_control_block -*I *2436:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2446:gpio_defaults[9] 3.60213e-05 -2 *2436:gpio_defaults[9] 3.60213e-05 -3 *2446:gpio_defaults[9] *2446:gpio_defaults[10] 0 -4 *2446:gpio_defaults[8] *2446:gpio_defaults[9] 0 -*RES -1 *2436:gpio_defaults[9] *2446:gpio_defaults[9] 0.830504 -*END - -*D_NET *361 7.20426e-05 -*CONN -*I *2446:gpio_defaults[10] I *D gpio_control_block -*I *2436:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2446:gpio_defaults[10] 3.60213e-05 -2 *2436:gpio_defaults[10] 3.60213e-05 -3 *2446:gpio_defaults[10] *2446:gpio_defaults[11] 0 -4 *2446:gpio_defaults[9] *2446:gpio_defaults[10] 0 -*RES -1 *2436:gpio_defaults[10] *2446:gpio_defaults[10] 0.830504 -*END - -*D_NET *362 7.20426e-05 -*CONN -*I *2446:gpio_defaults[11] I *D gpio_control_block -*I *2436:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2446:gpio_defaults[11] 3.60213e-05 -2 *2436:gpio_defaults[11] 3.60213e-05 -3 *2446:gpio_defaults[11] *2446:gpio_defaults[12] 0 -4 *2446:gpio_defaults[10] *2446:gpio_defaults[11] 0 -*RES -1 *2436:gpio_defaults[11] *2446:gpio_defaults[11] 0.830504 -*END - -*D_NET *363 7.20426e-05 -*CONN -*I *2446:gpio_defaults[12] I *D gpio_control_block -*I *2436:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2446:gpio_defaults[12] 3.60213e-05 -2 *2436:gpio_defaults[12] 3.60213e-05 -3 *2446:gpio_defaults[11] *2446:gpio_defaults[12] 0 -*RES -1 *2436:gpio_defaults[12] *2446:gpio_defaults[12] 0.830504 -*END - -*D_NET *364 5.84207e-05 -*CONN -*I *2447:gpio_defaults[0] I *D gpio_control_block -*I *2437:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2447:gpio_defaults[0] 2.92104e-05 -2 *2437:gpio_defaults[0] 2.92104e-05 -3 *2447:gpio_defaults[0] *2447:gpio_defaults[1] 0 -*RES -1 *2437:gpio_defaults[0] *2447:gpio_defaults[0] 0.830504 -*END - -*D_NET *365 5.84207e-05 -*CONN -*I *2447:gpio_defaults[1] I *D gpio_control_block -*I *2437:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2447:gpio_defaults[1] 2.92104e-05 -2 *2437:gpio_defaults[1] 2.92104e-05 -3 *2447:gpio_defaults[1] *2447:gpio_defaults[2] 0 -4 *2447:gpio_defaults[0] *2447:gpio_defaults[1] 0 -*RES -1 *2437:gpio_defaults[1] *2447:gpio_defaults[1] 0.830504 -*END - -*D_NET *366 5.84207e-05 -*CONN -*I *2447:gpio_defaults[2] I *D gpio_control_block -*I *2437:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2447:gpio_defaults[2] 2.92104e-05 -2 *2437:gpio_defaults[2] 2.92104e-05 -3 *2447:gpio_defaults[2] *2447:gpio_defaults[3] 0 -4 *2447:gpio_defaults[1] *2447:gpio_defaults[2] 0 -*RES -1 *2437:gpio_defaults[2] *2447:gpio_defaults[2] 0.830504 -*END - -*D_NET *367 5.84207e-05 -*CONN -*I *2447:gpio_defaults[3] I *D gpio_control_block -*I *2437:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2447:gpio_defaults[3] 2.92104e-05 -2 *2437:gpio_defaults[3] 2.92104e-05 -3 *2447:gpio_defaults[3] *2447:gpio_defaults[4] 0 -4 *2447:gpio_defaults[2] *2447:gpio_defaults[3] 0 -*RES -1 *2437:gpio_defaults[3] *2447:gpio_defaults[3] 0.830504 -*END - -*D_NET *368 5.84207e-05 -*CONN -*I *2447:gpio_defaults[4] I *D gpio_control_block -*I *2437:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2447:gpio_defaults[4] 2.92104e-05 -2 *2437:gpio_defaults[4] 2.92104e-05 -3 *2447:gpio_defaults[4] *2447:gpio_defaults[5] 0 -4 *2447:gpio_defaults[3] *2447:gpio_defaults[4] 0 -*RES -1 *2437:gpio_defaults[4] *2447:gpio_defaults[4] 0.830504 -*END - -*D_NET *369 5.84207e-05 -*CONN -*I *2455:gpio_defaults[6] I *D gpio_control_block -*I *2424:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2455:gpio_defaults[6] 2.92104e-05 -2 *2424:gpio_defaults[6] 2.92104e-05 -3 *2455:gpio_defaults[6] *2455:gpio_defaults[7] 0 -4 *2455:gpio_defaults[5] *2455:gpio_defaults[6] 0 -*RES -1 *2424:gpio_defaults[6] *2455:gpio_defaults[6] 0.830504 -*END - -*D_NET *370 5.84207e-05 -*CONN -*I *2447:gpio_defaults[5] I *D gpio_control_block -*I *2437:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2447:gpio_defaults[5] 2.92104e-05 -2 *2437:gpio_defaults[5] 2.92104e-05 -3 *2447:gpio_defaults[5] *2447:gpio_defaults[6] 0 -4 *2447:gpio_defaults[4] *2447:gpio_defaults[5] 0 -*RES -1 *2437:gpio_defaults[5] *2447:gpio_defaults[5] 0.830504 -*END - -*D_NET *371 5.84207e-05 -*CONN -*I *2447:gpio_defaults[6] I *D gpio_control_block -*I *2437:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2447:gpio_defaults[6] 2.92104e-05 -2 *2437:gpio_defaults[6] 2.92104e-05 -3 *2447:gpio_defaults[6] *2447:gpio_defaults[7] 0 -4 *2447:gpio_defaults[5] *2447:gpio_defaults[6] 0 -*RES -1 *2437:gpio_defaults[6] *2447:gpio_defaults[6] 0.830504 -*END - -*D_NET *372 5.84207e-05 -*CONN -*I *2447:gpio_defaults[7] I *D gpio_control_block -*I *2437:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2447:gpio_defaults[7] 2.92104e-05 -2 *2437:gpio_defaults[7] 2.92104e-05 -3 *2447:gpio_defaults[7] *2447:gpio_defaults[8] 0 -4 *2447:gpio_defaults[6] *2447:gpio_defaults[7] 0 -*RES -1 *2437:gpio_defaults[7] *2447:gpio_defaults[7] 0.830504 -*END - -*D_NET *373 5.84207e-05 -*CONN -*I *2447:gpio_defaults[8] I *D gpio_control_block -*I *2437:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2447:gpio_defaults[8] 2.92104e-05 -2 *2437:gpio_defaults[8] 2.92104e-05 -3 *2447:gpio_defaults[8] *2447:gpio_defaults[9] 0 -4 *2447:gpio_defaults[7] *2447:gpio_defaults[8] 0 -*RES -1 *2437:gpio_defaults[8] *2447:gpio_defaults[8] 0.830504 -*END - -*D_NET *374 5.84207e-05 -*CONN -*I *2447:gpio_defaults[9] I *D gpio_control_block -*I *2437:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2447:gpio_defaults[9] 2.92104e-05 -2 *2437:gpio_defaults[9] 2.92104e-05 -3 *2447:gpio_defaults[9] *2447:gpio_defaults[10] 0 -4 *2447:gpio_defaults[8] *2447:gpio_defaults[9] 0 -*RES -1 *2437:gpio_defaults[9] *2447:gpio_defaults[9] 0.830504 -*END - -*D_NET *375 5.84207e-05 -*CONN -*I *2447:gpio_defaults[10] I *D gpio_control_block -*I *2437:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2447:gpio_defaults[10] 2.92104e-05 -2 *2437:gpio_defaults[10] 2.92104e-05 -3 *2447:gpio_defaults[10] *2447:gpio_defaults[11] 0 -4 *2447:gpio_defaults[9] *2447:gpio_defaults[10] 0 -*RES -1 *2437:gpio_defaults[10] *2447:gpio_defaults[10] 0.830504 -*END - -*D_NET *376 5.84207e-05 -*CONN -*I *2447:gpio_defaults[11] I *D gpio_control_block -*I *2437:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2447:gpio_defaults[11] 2.92104e-05 -2 *2437:gpio_defaults[11] 2.92104e-05 -3 *2447:gpio_defaults[11] *2447:gpio_defaults[12] 0 -4 *2447:gpio_defaults[10] *2447:gpio_defaults[11] 0 -*RES -1 *2437:gpio_defaults[11] *2447:gpio_defaults[11] 0.830504 -*END - -*D_NET *377 5.84207e-05 -*CONN -*I *2447:gpio_defaults[12] I *D gpio_control_block -*I *2437:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2447:gpio_defaults[12] 2.92104e-05 -2 *2437:gpio_defaults[12] 2.92104e-05 -3 *2447:gpio_defaults[11] *2447:gpio_defaults[12] 0 -*RES -1 *2437:gpio_defaults[12] *2447:gpio_defaults[12] 0.830504 -*END - -*D_NET *378 5.84207e-05 -*CONN -*I *2448:gpio_defaults[0] I *D gpio_control_block -*I *2438:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2448:gpio_defaults[0] 2.92104e-05 -2 *2438:gpio_defaults[0] 2.92104e-05 -3 *2448:gpio_defaults[0] *2448:gpio_defaults[1] 0 -4 *2448:gpio_defaults[0] *1573:17 0 -*RES -1 *2438:gpio_defaults[0] *2448:gpio_defaults[0] 0.830504 -*END - -*D_NET *379 5.84207e-05 -*CONN -*I *2448:gpio_defaults[1] I *D gpio_control_block -*I *2438:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2448:gpio_defaults[1] 2.92104e-05 -2 *2438:gpio_defaults[1] 2.92104e-05 -3 *2448:gpio_defaults[1] *2448:gpio_defaults[2] 0 -4 *2448:gpio_defaults[0] *2448:gpio_defaults[1] 0 -*RES -1 *2438:gpio_defaults[1] *2448:gpio_defaults[1] 0.830504 -*END - -*D_NET *380 5.84207e-05 -*CONN -*I *2455:gpio_defaults[7] I *D gpio_control_block -*I *2424:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2455:gpio_defaults[7] 2.92104e-05 -2 *2424:gpio_defaults[7] 2.92104e-05 -3 *2455:gpio_defaults[7] *2455:gpio_defaults[8] 0 -4 *2455:gpio_defaults[6] *2455:gpio_defaults[7] 0 -*RES -1 *2424:gpio_defaults[7] *2455:gpio_defaults[7] 0.830504 -*END - -*D_NET *381 5.84207e-05 -*CONN -*I *2448:gpio_defaults[2] I *D gpio_control_block -*I *2438:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2448:gpio_defaults[2] 2.92104e-05 -2 *2438:gpio_defaults[2] 2.92104e-05 -3 *2448:gpio_defaults[2] *2448:gpio_defaults[3] 0 -4 *2448:gpio_defaults[1] *2448:gpio_defaults[2] 0 -*RES -1 *2438:gpio_defaults[2] *2448:gpio_defaults[2] 0.830504 -*END - -*D_NET *382 5.84207e-05 -*CONN -*I *2448:gpio_defaults[3] I *D gpio_control_block -*I *2438:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2448:gpio_defaults[3] 2.92104e-05 -2 *2438:gpio_defaults[3] 2.92104e-05 -3 *2448:gpio_defaults[3] *2448:gpio_defaults[4] 0 -4 *2448:gpio_defaults[2] *2448:gpio_defaults[3] 0 -*RES -1 *2438:gpio_defaults[3] *2448:gpio_defaults[3] 0.830504 -*END - -*D_NET *383 5.84207e-05 -*CONN -*I *2448:gpio_defaults[4] I *D gpio_control_block -*I *2438:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2448:gpio_defaults[4] 2.92104e-05 -2 *2438:gpio_defaults[4] 2.92104e-05 -3 *2448:gpio_defaults[4] *2448:gpio_defaults[5] 0 -4 *2448:gpio_defaults[3] *2448:gpio_defaults[4] 0 -*RES -1 *2438:gpio_defaults[4] *2448:gpio_defaults[4] 0.830504 -*END - -*D_NET *384 5.84207e-05 -*CONN -*I *2448:gpio_defaults[5] I *D gpio_control_block -*I *2438:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2448:gpio_defaults[5] 2.92104e-05 -2 *2438:gpio_defaults[5] 2.92104e-05 -3 *2448:gpio_defaults[5] *2448:gpio_defaults[6] 0 -4 *2448:gpio_defaults[4] *2448:gpio_defaults[5] 0 -*RES -1 *2438:gpio_defaults[5] *2448:gpio_defaults[5] 0.830504 -*END - -*D_NET *385 5.84207e-05 -*CONN -*I *2448:gpio_defaults[6] I *D gpio_control_block -*I *2438:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2448:gpio_defaults[6] 2.92104e-05 -2 *2438:gpio_defaults[6] 2.92104e-05 -3 *2448:gpio_defaults[6] *2448:gpio_defaults[7] 0 -4 *2448:gpio_defaults[5] *2448:gpio_defaults[6] 0 -*RES -1 *2438:gpio_defaults[6] *2448:gpio_defaults[6] 0.830504 -*END - -*D_NET *386 5.84207e-05 -*CONN -*I *2448:gpio_defaults[7] I *D gpio_control_block -*I *2438:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2448:gpio_defaults[7] 2.92104e-05 -2 *2438:gpio_defaults[7] 2.92104e-05 -3 *2448:gpio_defaults[7] *2448:gpio_defaults[8] 0 -4 *2448:gpio_defaults[6] *2448:gpio_defaults[7] 0 -*RES -1 *2438:gpio_defaults[7] *2448:gpio_defaults[7] 0.830504 -*END - -*D_NET *387 5.84207e-05 -*CONN -*I *2448:gpio_defaults[8] I *D gpio_control_block -*I *2438:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2448:gpio_defaults[8] 2.92104e-05 -2 *2438:gpio_defaults[8] 2.92104e-05 -3 *2448:gpio_defaults[8] *2448:gpio_defaults[9] 0 -4 *2448:gpio_defaults[7] *2448:gpio_defaults[8] 0 -*RES -1 *2438:gpio_defaults[8] *2448:gpio_defaults[8] 0.830504 -*END - -*D_NET *388 5.84207e-05 -*CONN -*I *2448:gpio_defaults[9] I *D gpio_control_block -*I *2438:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2448:gpio_defaults[9] 2.92104e-05 -2 *2438:gpio_defaults[9] 2.92104e-05 -3 *2448:gpio_defaults[9] *2448:gpio_defaults[10] 0 -4 *2448:gpio_defaults[8] *2448:gpio_defaults[9] 0 -*RES -1 *2438:gpio_defaults[9] *2448:gpio_defaults[9] 0.830504 -*END - -*D_NET *389 5.84207e-05 -*CONN -*I *2448:gpio_defaults[10] I *D gpio_control_block -*I *2438:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2448:gpio_defaults[10] 2.92104e-05 -2 *2438:gpio_defaults[10] 2.92104e-05 -3 *2448:gpio_defaults[10] *2448:gpio_defaults[11] 0 -4 *2448:gpio_defaults[9] *2448:gpio_defaults[10] 0 -*RES -1 *2438:gpio_defaults[10] *2448:gpio_defaults[10] 0.830504 -*END - -*D_NET *390 5.84207e-05 -*CONN -*I *2448:gpio_defaults[11] I *D gpio_control_block -*I *2438:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2448:gpio_defaults[11] 2.92104e-05 -2 *2438:gpio_defaults[11] 2.92104e-05 -3 *2448:gpio_defaults[11] *2448:gpio_defaults[12] 0 -4 *2448:gpio_defaults[10] *2448:gpio_defaults[11] 0 -*RES -1 *2438:gpio_defaults[11] *2448:gpio_defaults[11] 0.830504 -*END - -*D_NET *391 5.84207e-05 -*CONN -*I *2455:gpio_defaults[8] I *D gpio_control_block -*I *2424:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2455:gpio_defaults[8] 2.92104e-05 -2 *2424:gpio_defaults[8] 2.92104e-05 -3 *2455:gpio_defaults[8] *2455:gpio_defaults[9] 0 -4 *2455:gpio_defaults[7] *2455:gpio_defaults[8] 0 -*RES -1 *2424:gpio_defaults[8] *2455:gpio_defaults[8] 0.830504 -*END - -*D_NET *392 5.84207e-05 -*CONN -*I *2448:gpio_defaults[12] I *D gpio_control_block -*I *2438:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2448:gpio_defaults[12] 2.92104e-05 -2 *2438:gpio_defaults[12] 2.92104e-05 -3 *2448:gpio_defaults[11] *2448:gpio_defaults[12] 0 -*RES -1 *2438:gpio_defaults[12] *2448:gpio_defaults[12] 0.830504 -*END - -*D_NET *393 5.84207e-05 -*CONN -*I *2455:gpio_defaults[9] I *D gpio_control_block -*I *2424:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2455:gpio_defaults[9] 2.92104e-05 -2 *2424:gpio_defaults[9] 2.92104e-05 -3 *2455:gpio_defaults[9] *2455:gpio_defaults[10] 0 -4 *2455:gpio_defaults[8] *2455:gpio_defaults[9] 0 -*RES -1 *2424:gpio_defaults[9] *2455:gpio_defaults[9] 0.830504 -*END - -*D_NET *394 5.84207e-05 -*CONN -*I *2455:gpio_defaults[10] I *D gpio_control_block -*I *2424:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2455:gpio_defaults[10] 2.92104e-05 -2 *2424:gpio_defaults[10] 2.92104e-05 -3 *2455:gpio_defaults[10] *2455:gpio_defaults[11] 0 -4 *2455:gpio_defaults[9] *2455:gpio_defaults[10] 0 -*RES -1 *2424:gpio_defaults[10] *2455:gpio_defaults[10] 0.830504 -*END - -*D_NET *395 5.84207e-05 -*CONN -*I *2455:gpio_defaults[11] I *D gpio_control_block -*I *2424:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2455:gpio_defaults[11] 2.92104e-05 -2 *2424:gpio_defaults[11] 2.92104e-05 -3 *2455:gpio_defaults[11] *2455:gpio_defaults[12] 0 -4 *2455:gpio_defaults[10] *2455:gpio_defaults[11] 0 -*RES -1 *2424:gpio_defaults[11] *2455:gpio_defaults[11] 0.830504 -*END - -*D_NET *396 5.84207e-05 -*CONN -*I *2455:gpio_defaults[12] I *D gpio_control_block -*I *2424:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2455:gpio_defaults[12] 2.92104e-05 -2 *2424:gpio_defaults[12] 2.92104e-05 -3 *2455:gpio_defaults[11] *2455:gpio_defaults[12] 0 -*RES -1 *2424:gpio_defaults[12] *2455:gpio_defaults[12] 0.830504 -*END - -*D_NET *397 5.84207e-05 -*CONN -*I *2456:gpio_defaults[0] I *D gpio_control_block -*I *2425:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2456:gpio_defaults[0] 2.92104e-05 -2 *2425:gpio_defaults[0] 2.92104e-05 -3 *2456:gpio_defaults[0] *2456:gpio_defaults[1] 0 -*RES -1 *2425:gpio_defaults[0] *2456:gpio_defaults[0] 0.830504 -*END - -*D_NET *398 5.84207e-05 -*CONN -*I *2444:gpio_defaults[3] I *D gpio_control_block -*I *2417:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2444:gpio_defaults[3] 2.92104e-05 -2 *2417:gpio_defaults[3] 2.92104e-05 -3 *2444:gpio_defaults[3] *2444:gpio_defaults[4] 0 -4 *2444:gpio_defaults[2] *2444:gpio_defaults[3] 0 -*RES -1 *2417:gpio_defaults[3] *2444:gpio_defaults[3] 0.830504 -*END - -*D_NET *399 5.84207e-05 -*CONN -*I *2456:gpio_defaults[1] I *D gpio_control_block -*I *2425:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2456:gpio_defaults[1] 2.92104e-05 -2 *2425:gpio_defaults[1] 2.92104e-05 -3 *2456:gpio_defaults[1] *2456:gpio_defaults[2] 0 -4 *2456:gpio_defaults[0] *2456:gpio_defaults[1] 0 -*RES -1 *2425:gpio_defaults[1] *2456:gpio_defaults[1] 0.830504 -*END - -*D_NET *400 5.84207e-05 -*CONN -*I *2456:gpio_defaults[2] I *D gpio_control_block -*I *2425:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2456:gpio_defaults[2] 2.92104e-05 -2 *2425:gpio_defaults[2] 2.92104e-05 -3 *2456:gpio_defaults[2] *2456:gpio_defaults[3] 0 -4 *2456:gpio_defaults[1] *2456:gpio_defaults[2] 0 -*RES -1 *2425:gpio_defaults[2] *2456:gpio_defaults[2] 0.830504 -*END - -*D_NET *401 5.84207e-05 -*CONN -*I *2456:gpio_defaults[3] I *D gpio_control_block -*I *2425:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2456:gpio_defaults[3] 2.92104e-05 -2 *2425:gpio_defaults[3] 2.92104e-05 -3 *2456:gpio_defaults[3] *2456:gpio_defaults[4] 0 -4 *2456:gpio_defaults[2] *2456:gpio_defaults[3] 0 -*RES -1 *2425:gpio_defaults[3] *2456:gpio_defaults[3] 0.830504 -*END - -*D_NET *402 5.84207e-05 -*CONN -*I *2456:gpio_defaults[4] I *D gpio_control_block -*I *2425:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2456:gpio_defaults[4] 2.92104e-05 -2 *2425:gpio_defaults[4] 2.92104e-05 -3 *2456:gpio_defaults[4] *2456:gpio_defaults[5] 0 -4 *2456:gpio_defaults[3] *2456:gpio_defaults[4] 0 -*RES -1 *2425:gpio_defaults[4] *2456:gpio_defaults[4] 0.830504 -*END - -*D_NET *403 5.84207e-05 -*CONN -*I *2456:gpio_defaults[5] I *D gpio_control_block -*I *2425:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2456:gpio_defaults[5] 2.92104e-05 -2 *2425:gpio_defaults[5] 2.92104e-05 -3 *2456:gpio_defaults[5] *2456:gpio_defaults[6] 0 -4 *2456:gpio_defaults[4] *2456:gpio_defaults[5] 0 -*RES -1 *2425:gpio_defaults[5] *2456:gpio_defaults[5] 0.830504 -*END - -*D_NET *404 5.84207e-05 -*CONN -*I *2456:gpio_defaults[6] I *D gpio_control_block -*I *2425:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2456:gpio_defaults[6] 2.92104e-05 -2 *2425:gpio_defaults[6] 2.92104e-05 -3 *2456:gpio_defaults[6] *2456:gpio_defaults[7] 0 -4 *2456:gpio_defaults[5] *2456:gpio_defaults[6] 0 -*RES -1 *2425:gpio_defaults[6] *2456:gpio_defaults[6] 0.830504 -*END - -*D_NET *405 5.84207e-05 -*CONN -*I *2456:gpio_defaults[7] I *D gpio_control_block -*I *2425:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2456:gpio_defaults[7] 2.92104e-05 -2 *2425:gpio_defaults[7] 2.92104e-05 -3 *2456:gpio_defaults[7] *2456:gpio_defaults[8] 0 -4 *2456:gpio_defaults[6] *2456:gpio_defaults[7] 0 -*RES -1 *2425:gpio_defaults[7] *2456:gpio_defaults[7] 0.830504 -*END - -*D_NET *406 5.84207e-05 -*CONN -*I *2456:gpio_defaults[8] I *D gpio_control_block -*I *2425:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2456:gpio_defaults[8] 2.92104e-05 -2 *2425:gpio_defaults[8] 2.92104e-05 -3 *2456:gpio_defaults[8] *2456:gpio_defaults[9] 0 -4 *2456:gpio_defaults[7] *2456:gpio_defaults[8] 0 -*RES -1 *2425:gpio_defaults[8] *2456:gpio_defaults[8] 0.830504 -*END - -*D_NET *407 5.84207e-05 -*CONN -*I *2456:gpio_defaults[9] I *D gpio_control_block -*I *2425:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2456:gpio_defaults[9] 2.92104e-05 -2 *2425:gpio_defaults[9] 2.92104e-05 -3 *2456:gpio_defaults[9] *2456:gpio_defaults[10] 0 -4 *2456:gpio_defaults[8] *2456:gpio_defaults[9] 0 -*RES -1 *2425:gpio_defaults[9] *2456:gpio_defaults[9] 0.830504 -*END - -*D_NET *408 5.84207e-05 -*CONN -*I *2456:gpio_defaults[10] I *D gpio_control_block -*I *2425:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2456:gpio_defaults[10] 2.92104e-05 -2 *2425:gpio_defaults[10] 2.92104e-05 -3 *2456:gpio_defaults[10] *2456:gpio_defaults[11] 0 -4 *2456:gpio_defaults[9] *2456:gpio_defaults[10] 0 -*RES -1 *2425:gpio_defaults[10] *2456:gpio_defaults[10] 0.830504 -*END - -*D_NET *409 5.84207e-05 -*CONN -*I *2444:gpio_defaults[4] I *D gpio_control_block -*I *2417:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2444:gpio_defaults[4] 2.92104e-05 -2 *2417:gpio_defaults[4] 2.92104e-05 -3 *2444:gpio_defaults[4] *2444:gpio_defaults[5] 0 -4 *2444:gpio_defaults[3] *2444:gpio_defaults[4] 0 -*RES -1 *2417:gpio_defaults[4] *2444:gpio_defaults[4] 0.830504 -*END - -*D_NET *410 5.84207e-05 -*CONN -*I *2456:gpio_defaults[11] I *D gpio_control_block -*I *2425:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2456:gpio_defaults[11] 2.92104e-05 -2 *2425:gpio_defaults[11] 2.92104e-05 -3 *2456:gpio_defaults[11] *2456:gpio_defaults[12] 0 -4 *2456:gpio_defaults[10] *2456:gpio_defaults[11] 0 -*RES -1 *2425:gpio_defaults[11] *2456:gpio_defaults[11] 0.830504 -*END - -*D_NET *411 5.84207e-05 -*CONN -*I *2456:gpio_defaults[12] I *D gpio_control_block -*I *2425:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2456:gpio_defaults[12] 2.92104e-05 -2 *2425:gpio_defaults[12] 2.92104e-05 -3 *2456:gpio_defaults[11] *2456:gpio_defaults[12] 0 -*RES -1 *2425:gpio_defaults[12] *2456:gpio_defaults[12] 0.830504 -*END - -*D_NET *412 5.84207e-05 -*CONN -*I *2457:gpio_defaults[0] I *D gpio_control_block -*I *2426:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2457:gpio_defaults[0] 2.92104e-05 -2 *2426:gpio_defaults[0] 2.92104e-05 -3 *2457:gpio_defaults[0] *2457:gpio_defaults[1] 0 -*RES -1 *2426:gpio_defaults[0] *2457:gpio_defaults[0] 0.830504 -*END - -*D_NET *413 5.84207e-05 -*CONN -*I *2457:gpio_defaults[1] I *D gpio_control_block -*I *2426:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2457:gpio_defaults[1] 2.92104e-05 -2 *2426:gpio_defaults[1] 2.92104e-05 -3 *2457:gpio_defaults[1] *2457:gpio_defaults[2] 0 -4 *2457:gpio_defaults[0] *2457:gpio_defaults[1] 0 -*RES -1 *2426:gpio_defaults[1] *2457:gpio_defaults[1] 0.830504 -*END - -*D_NET *414 5.84207e-05 -*CONN -*I *2457:gpio_defaults[2] I *D gpio_control_block -*I *2426:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2457:gpio_defaults[2] 2.92104e-05 -2 *2426:gpio_defaults[2] 2.92104e-05 -3 *2457:gpio_defaults[2] *2457:gpio_defaults[3] 0 -4 *2457:gpio_defaults[1] *2457:gpio_defaults[2] 0 -*RES -1 *2426:gpio_defaults[2] *2457:gpio_defaults[2] 0.830504 -*END - -*D_NET *415 5.84207e-05 -*CONN -*I *2457:gpio_defaults[3] I *D gpio_control_block -*I *2426:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2457:gpio_defaults[3] 2.92104e-05 -2 *2426:gpio_defaults[3] 2.92104e-05 -3 *2457:gpio_defaults[3] *2457:gpio_defaults[4] 0 -4 *2457:gpio_defaults[2] *2457:gpio_defaults[3] 0 -*RES -1 *2426:gpio_defaults[3] *2457:gpio_defaults[3] 0.830504 -*END - -*D_NET *416 5.84207e-05 -*CONN -*I *2457:gpio_defaults[4] I *D gpio_control_block -*I *2426:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2457:gpio_defaults[4] 2.92104e-05 -2 *2426:gpio_defaults[4] 2.92104e-05 -3 *2457:gpio_defaults[4] *2457:gpio_defaults[5] 0 -4 *2457:gpio_defaults[3] *2457:gpio_defaults[4] 0 -*RES -1 *2426:gpio_defaults[4] *2457:gpio_defaults[4] 0.830504 -*END - -*D_NET *417 5.84207e-05 -*CONN -*I *2457:gpio_defaults[5] I *D gpio_control_block -*I *2426:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2457:gpio_defaults[5] 2.92104e-05 -2 *2426:gpio_defaults[5] 2.92104e-05 -3 *2457:gpio_defaults[5] *2457:gpio_defaults[6] 0 -4 *2457:gpio_defaults[4] *2457:gpio_defaults[5] 0 -*RES -1 *2426:gpio_defaults[5] *2457:gpio_defaults[5] 0.830504 -*END - -*D_NET *418 5.84207e-05 -*CONN -*I *2457:gpio_defaults[6] I *D gpio_control_block -*I *2426:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2457:gpio_defaults[6] 2.92104e-05 -2 *2426:gpio_defaults[6] 2.92104e-05 -3 *2457:gpio_defaults[6] *2457:gpio_defaults[7] 0 -4 *2457:gpio_defaults[5] *2457:gpio_defaults[6] 0 -*RES -1 *2426:gpio_defaults[6] *2457:gpio_defaults[6] 0.830504 -*END - -*D_NET *419 5.84207e-05 -*CONN -*I *2457:gpio_defaults[7] I *D gpio_control_block -*I *2426:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2457:gpio_defaults[7] 2.92104e-05 -2 *2426:gpio_defaults[7] 2.92104e-05 -3 *2457:gpio_defaults[7] *2457:gpio_defaults[8] 0 -4 *2457:gpio_defaults[6] *2457:gpio_defaults[7] 0 -*RES -1 *2426:gpio_defaults[7] *2457:gpio_defaults[7] 0.830504 -*END - -*D_NET *420 5.84207e-05 -*CONN -*I *2444:gpio_defaults[5] I *D gpio_control_block -*I *2417:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2444:gpio_defaults[5] 2.92104e-05 -2 *2417:gpio_defaults[5] 2.92104e-05 -3 *2444:gpio_defaults[5] *2444:gpio_defaults[6] 0 -4 *2444:gpio_defaults[4] *2444:gpio_defaults[5] 0 -*RES -1 *2417:gpio_defaults[5] *2444:gpio_defaults[5] 0.830504 -*END - -*D_NET *421 5.84207e-05 -*CONN -*I *2457:gpio_defaults[8] I *D gpio_control_block -*I *2426:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2457:gpio_defaults[8] 2.92104e-05 -2 *2426:gpio_defaults[8] 2.92104e-05 -3 *2457:gpio_defaults[8] *2457:gpio_defaults[9] 0 -4 *2457:gpio_defaults[7] *2457:gpio_defaults[8] 0 -*RES -1 *2426:gpio_defaults[8] *2457:gpio_defaults[8] 0.830504 -*END - -*D_NET *422 5.84207e-05 -*CONN -*I *2457:gpio_defaults[9] I *D gpio_control_block -*I *2426:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2457:gpio_defaults[9] 2.92104e-05 -2 *2426:gpio_defaults[9] 2.92104e-05 -3 *2457:gpio_defaults[9] *2457:gpio_defaults[10] 0 -4 *2457:gpio_defaults[8] *2457:gpio_defaults[9] 0 -*RES -1 *2426:gpio_defaults[9] *2457:gpio_defaults[9] 0.830504 -*END - -*D_NET *423 5.84207e-05 -*CONN -*I *2457:gpio_defaults[10] I *D gpio_control_block -*I *2426:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2457:gpio_defaults[10] 2.92104e-05 -2 *2426:gpio_defaults[10] 2.92104e-05 -3 *2457:gpio_defaults[10] *2457:gpio_defaults[11] 0 -4 *2457:gpio_defaults[9] *2457:gpio_defaults[10] 0 -*RES -1 *2426:gpio_defaults[10] *2457:gpio_defaults[10] 0.830504 -*END - -*D_NET *424 5.84207e-05 -*CONN -*I *2457:gpio_defaults[11] I *D gpio_control_block -*I *2426:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2457:gpio_defaults[11] 2.92104e-05 -2 *2426:gpio_defaults[11] 2.92104e-05 -3 *2457:gpio_defaults[11] *2457:gpio_defaults[12] 0 -4 *2457:gpio_defaults[10] *2457:gpio_defaults[11] 0 -*RES -1 *2426:gpio_defaults[11] *2457:gpio_defaults[11] 0.830504 -*END - -*D_NET *425 5.84207e-05 -*CONN -*I *2457:gpio_defaults[12] I *D gpio_control_block -*I *2426:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2457:gpio_defaults[12] 2.92104e-05 -2 *2426:gpio_defaults[12] 2.92104e-05 -3 *2457:gpio_defaults[11] *2457:gpio_defaults[12] 0 -*RES -1 *2426:gpio_defaults[12] *2457:gpio_defaults[12] 0.830504 -*END - -*D_NET *426 5.84207e-05 -*CONN -*I *2458:gpio_defaults[0] I *D gpio_control_block -*I *2439:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2458:gpio_defaults[0] 2.92104e-05 -2 *2439:gpio_defaults[0] 2.92104e-05 -3 *2458:gpio_defaults[0] *2458:gpio_defaults[1] 0 -*RES -1 *2439:gpio_defaults[0] *2458:gpio_defaults[0] 0.830504 -*END - -*D_NET *427 5.84207e-05 -*CONN -*I *2458:gpio_defaults[1] I *D gpio_control_block -*I *2439:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2458:gpio_defaults[1] 2.92104e-05 -2 *2439:gpio_defaults[1] 2.92104e-05 -3 *2458:gpio_defaults[1] *2458:gpio_defaults[2] 0 -4 *2458:gpio_defaults[0] *2458:gpio_defaults[1] 0 -*RES -1 *2439:gpio_defaults[1] *2458:gpio_defaults[1] 0.830504 -*END - -*D_NET *428 5.84207e-05 -*CONN -*I *2458:gpio_defaults[2] I *D gpio_control_block -*I *2439:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2458:gpio_defaults[2] 2.92104e-05 -2 *2439:gpio_defaults[2] 2.92104e-05 -3 *2458:gpio_defaults[2] *2458:gpio_defaults[3] 0 -4 *2458:gpio_defaults[1] *2458:gpio_defaults[2] 0 -*RES -1 *2439:gpio_defaults[2] *2458:gpio_defaults[2] 0.830504 -*END - -*D_NET *429 5.84207e-05 -*CONN -*I *2458:gpio_defaults[3] I *D gpio_control_block -*I *2439:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2458:gpio_defaults[3] 2.92104e-05 -2 *2439:gpio_defaults[3] 2.92104e-05 -3 *2458:gpio_defaults[3] *2458:gpio_defaults[4] 0 -4 *2458:gpio_defaults[2] *2458:gpio_defaults[3] 0 -*RES -1 *2439:gpio_defaults[3] *2458:gpio_defaults[3] 0.830504 -*END - -*D_NET *430 5.84207e-05 -*CONN -*I *2458:gpio_defaults[4] I *D gpio_control_block -*I *2439:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2458:gpio_defaults[4] 2.92104e-05 -2 *2439:gpio_defaults[4] 2.92104e-05 -3 *2458:gpio_defaults[4] *2458:gpio_defaults[5] 0 -4 *2458:gpio_defaults[3] *2458:gpio_defaults[4] 0 -*RES -1 *2439:gpio_defaults[4] *2458:gpio_defaults[4] 0.830504 -*END - -*D_NET *431 5.84207e-05 -*CONN -*I *2444:gpio_defaults[6] I *D gpio_control_block -*I *2417:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2444:gpio_defaults[6] 2.92104e-05 -2 *2417:gpio_defaults[6] 2.92104e-05 -3 *2444:gpio_defaults[6] *2444:gpio_defaults[7] 0 -4 *2444:gpio_defaults[5] *2444:gpio_defaults[6] 0 -*RES -1 *2417:gpio_defaults[6] *2444:gpio_defaults[6] 0.830504 -*END - -*D_NET *432 5.84207e-05 -*CONN -*I *2458:gpio_defaults[5] I *D gpio_control_block -*I *2439:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2458:gpio_defaults[5] 2.92104e-05 -2 *2439:gpio_defaults[5] 2.92104e-05 -3 *2458:gpio_defaults[5] *2458:gpio_defaults[6] 0 -4 *2458:gpio_defaults[4] *2458:gpio_defaults[5] 0 -*RES -1 *2439:gpio_defaults[5] *2458:gpio_defaults[5] 0.830504 -*END - -*D_NET *433 5.84207e-05 -*CONN -*I *2458:gpio_defaults[6] I *D gpio_control_block -*I *2439:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2458:gpio_defaults[6] 2.92104e-05 -2 *2439:gpio_defaults[6] 2.92104e-05 -3 *2458:gpio_defaults[6] *2458:gpio_defaults[7] 0 -4 *2458:gpio_defaults[5] *2458:gpio_defaults[6] 0 -*RES -1 *2439:gpio_defaults[6] *2458:gpio_defaults[6] 0.830504 -*END - -*D_NET *434 5.84207e-05 -*CONN -*I *2458:gpio_defaults[7] I *D gpio_control_block -*I *2439:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2458:gpio_defaults[7] 2.92104e-05 -2 *2439:gpio_defaults[7] 2.92104e-05 -3 *2458:gpio_defaults[7] *2458:gpio_defaults[8] 0 -4 *2458:gpio_defaults[6] *2458:gpio_defaults[7] 0 -*RES -1 *2439:gpio_defaults[7] *2458:gpio_defaults[7] 0.830504 -*END - -*D_NET *435 5.84207e-05 -*CONN -*I *2458:gpio_defaults[8] I *D gpio_control_block -*I *2439:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2458:gpio_defaults[8] 2.92104e-05 -2 *2439:gpio_defaults[8] 2.92104e-05 -3 *2458:gpio_defaults[8] *2458:gpio_defaults[9] 0 -4 *2458:gpio_defaults[7] *2458:gpio_defaults[8] 0 -*RES -1 *2439:gpio_defaults[8] *2458:gpio_defaults[8] 0.830504 -*END - -*D_NET *436 5.84207e-05 -*CONN -*I *2458:gpio_defaults[9] I *D gpio_control_block -*I *2439:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2458:gpio_defaults[9] 2.92104e-05 -2 *2439:gpio_defaults[9] 2.92104e-05 -3 *2458:gpio_defaults[9] *2458:gpio_defaults[10] 0 -4 *2458:gpio_defaults[8] *2458:gpio_defaults[9] 0 -*RES -1 *2439:gpio_defaults[9] *2458:gpio_defaults[9] 0.830504 -*END - -*D_NET *437 5.84207e-05 -*CONN -*I *2458:gpio_defaults[10] I *D gpio_control_block -*I *2439:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2458:gpio_defaults[10] 2.92104e-05 -2 *2439:gpio_defaults[10] 2.92104e-05 -3 *2458:gpio_defaults[10] *2458:gpio_defaults[11] 0 -4 *2458:gpio_defaults[9] *2458:gpio_defaults[10] 0 -*RES -1 *2439:gpio_defaults[10] *2458:gpio_defaults[10] 0.830504 -*END - -*D_NET *438 5.84207e-05 -*CONN -*I *2458:gpio_defaults[11] I *D gpio_control_block -*I *2439:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2458:gpio_defaults[11] 2.92104e-05 -2 *2439:gpio_defaults[11] 2.92104e-05 -3 *2458:gpio_defaults[11] *2458:gpio_defaults[12] 0 -4 *2458:gpio_defaults[10] *2458:gpio_defaults[11] 0 -*RES -1 *2439:gpio_defaults[11] *2458:gpio_defaults[11] 0.830504 -*END - -*D_NET *439 5.84207e-05 -*CONN -*I *2458:gpio_defaults[12] I *D gpio_control_block -*I *2439:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2458:gpio_defaults[12] 2.92104e-05 -2 *2439:gpio_defaults[12] 2.92104e-05 -3 *2458:gpio_defaults[11] *2458:gpio_defaults[12] 0 -*RES -1 *2439:gpio_defaults[12] *2458:gpio_defaults[12] 0.830504 -*END - -*D_NET *440 5.84207e-05 -*CONN -*I *2459:gpio_defaults[0] I *D gpio_control_block -*I *2440:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2459:gpio_defaults[0] 2.92104e-05 -2 *2440:gpio_defaults[0] 2.92104e-05 -3 *2459:gpio_defaults[0] *2459:gpio_defaults[1] 0 -*RES -1 *2440:gpio_defaults[0] *2459:gpio_defaults[0] 0.830504 -*END - -*D_NET *441 5.84207e-05 -*CONN -*I *2459:gpio_defaults[1] I *D gpio_control_block -*I *2440:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2459:gpio_defaults[1] 2.92104e-05 -2 *2440:gpio_defaults[1] 2.92104e-05 -3 *2459:gpio_defaults[1] *2459:gpio_defaults[2] 0 -4 *2459:gpio_defaults[0] *2459:gpio_defaults[1] 0 -*RES -1 *2440:gpio_defaults[1] *2459:gpio_defaults[1] 0.830504 -*END - -*D_NET *442 5.84207e-05 -*CONN -*I *2444:gpio_defaults[7] I *D gpio_control_block -*I *2417:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2444:gpio_defaults[7] 2.92104e-05 -2 *2417:gpio_defaults[7] 2.92104e-05 -3 *2444:gpio_defaults[7] *2444:gpio_defaults[8] 0 -4 *2444:gpio_defaults[6] *2444:gpio_defaults[7] 0 -*RES -1 *2417:gpio_defaults[7] *2444:gpio_defaults[7] 0.830504 -*END - -*D_NET *443 5.84207e-05 -*CONN -*I *2459:gpio_defaults[2] I *D gpio_control_block -*I *2440:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2459:gpio_defaults[2] 2.92104e-05 -2 *2440:gpio_defaults[2] 2.92104e-05 -3 *2459:gpio_defaults[2] *2459:gpio_defaults[3] 0 -4 *2459:gpio_defaults[1] *2459:gpio_defaults[2] 0 -*RES -1 *2440:gpio_defaults[2] *2459:gpio_defaults[2] 0.830504 -*END - -*D_NET *444 5.84207e-05 -*CONN -*I *2459:gpio_defaults[3] I *D gpio_control_block -*I *2440:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2459:gpio_defaults[3] 2.92104e-05 -2 *2440:gpio_defaults[3] 2.92104e-05 -3 *2459:gpio_defaults[3] *2459:gpio_defaults[4] 0 -4 *2459:gpio_defaults[2] *2459:gpio_defaults[3] 0 -*RES -1 *2440:gpio_defaults[3] *2459:gpio_defaults[3] 0.830504 -*END - -*D_NET *445 5.84207e-05 -*CONN -*I *2459:gpio_defaults[4] I *D gpio_control_block -*I *2440:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2459:gpio_defaults[4] 2.92104e-05 -2 *2440:gpio_defaults[4] 2.92104e-05 -3 *2459:gpio_defaults[4] *2459:gpio_defaults[5] 0 -4 *2459:gpio_defaults[3] *2459:gpio_defaults[4] 0 -*RES -1 *2440:gpio_defaults[4] *2459:gpio_defaults[4] 0.830504 -*END - -*D_NET *446 5.84207e-05 -*CONN -*I *2459:gpio_defaults[5] I *D gpio_control_block -*I *2440:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2459:gpio_defaults[5] 2.92104e-05 -2 *2440:gpio_defaults[5] 2.92104e-05 -3 *2459:gpio_defaults[5] *2459:gpio_defaults[6] 0 -4 *2459:gpio_defaults[4] *2459:gpio_defaults[5] 0 -*RES -1 *2440:gpio_defaults[5] *2459:gpio_defaults[5] 0.830504 -*END - -*D_NET *447 5.84207e-05 -*CONN -*I *2459:gpio_defaults[6] I *D gpio_control_block -*I *2440:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2459:gpio_defaults[6] 2.92104e-05 -2 *2440:gpio_defaults[6] 2.92104e-05 -3 *2459:gpio_defaults[6] *2459:gpio_defaults[7] 0 -4 *2459:gpio_defaults[5] *2459:gpio_defaults[6] 0 -*RES -1 *2440:gpio_defaults[6] *2459:gpio_defaults[6] 0.830504 -*END - -*D_NET *448 5.84207e-05 -*CONN -*I *2459:gpio_defaults[7] I *D gpio_control_block -*I *2440:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2459:gpio_defaults[7] 2.92104e-05 -2 *2440:gpio_defaults[7] 2.92104e-05 -3 *2459:gpio_defaults[7] *2459:gpio_defaults[8] 0 -4 *2459:gpio_defaults[6] *2459:gpio_defaults[7] 0 -*RES -1 *2440:gpio_defaults[7] *2459:gpio_defaults[7] 0.830504 -*END - -*D_NET *449 5.84207e-05 -*CONN -*I *2459:gpio_defaults[8] I *D gpio_control_block -*I *2440:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2459:gpio_defaults[8] 2.92104e-05 -2 *2440:gpio_defaults[8] 2.92104e-05 -3 *2459:gpio_defaults[8] *2459:gpio_defaults[9] 0 -4 *2459:gpio_defaults[7] *2459:gpio_defaults[8] 0 -*RES -1 *2440:gpio_defaults[8] *2459:gpio_defaults[8] 0.830504 -*END - -*D_NET *450 5.84207e-05 -*CONN -*I *2459:gpio_defaults[9] I *D gpio_control_block -*I *2440:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2459:gpio_defaults[9] 2.92104e-05 -2 *2440:gpio_defaults[9] 2.92104e-05 -3 *2459:gpio_defaults[9] *2459:gpio_defaults[10] 0 -4 *2459:gpio_defaults[8] *2459:gpio_defaults[9] 0 -*RES -1 *2440:gpio_defaults[9] *2459:gpio_defaults[9] 0.830504 -*END - -*D_NET *451 5.84207e-05 -*CONN -*I *2459:gpio_defaults[10] I *D gpio_control_block -*I *2440:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2459:gpio_defaults[10] 2.92104e-05 -2 *2440:gpio_defaults[10] 2.92104e-05 -3 *2459:gpio_defaults[10] *2459:gpio_defaults[11] 0 -4 *2459:gpio_defaults[9] *2459:gpio_defaults[10] 0 -*RES -1 *2440:gpio_defaults[10] *2459:gpio_defaults[10] 0.830504 -*END - -*D_NET *452 5.84207e-05 -*CONN -*I *2459:gpio_defaults[11] I *D gpio_control_block -*I *2440:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2459:gpio_defaults[11] 2.92104e-05 -2 *2440:gpio_defaults[11] 2.92104e-05 -3 *2459:gpio_defaults[11] *2459:gpio_defaults[12] 0 -4 *2459:gpio_defaults[10] *2459:gpio_defaults[11] 0 -*RES -1 *2440:gpio_defaults[11] *2459:gpio_defaults[11] 0.830504 -*END - -*D_NET *453 5.84207e-05 -*CONN -*I *2444:gpio_defaults[8] I *D gpio_control_block -*I *2417:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2444:gpio_defaults[8] 2.92104e-05 -2 *2417:gpio_defaults[8] 2.92104e-05 -3 *2444:gpio_defaults[8] *2444:gpio_defaults[9] 0 -4 *2444:gpio_defaults[7] *2444:gpio_defaults[8] 0 -*RES -1 *2417:gpio_defaults[8] *2444:gpio_defaults[8] 0.830504 -*END - -*D_NET *454 5.84207e-05 -*CONN -*I *2459:gpio_defaults[12] I *D gpio_control_block -*I *2440:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2459:gpio_defaults[12] 2.92104e-05 -2 *2440:gpio_defaults[12] 2.92104e-05 -3 *2459:gpio_defaults[11] *2459:gpio_defaults[12] 0 -*RES -1 *2440:gpio_defaults[12] *2459:gpio_defaults[12] 0.830504 -*END - -*D_NET *455 5.84207e-05 -*CONN -*I *2460:gpio_defaults[0] I *D gpio_control_block -*I *2441:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2460:gpio_defaults[0] 2.92104e-05 -2 *2441:gpio_defaults[0] 2.92104e-05 -3 *2460:gpio_defaults[0] *2460:gpio_defaults[1] 0 -*RES -1 *2441:gpio_defaults[0] *2460:gpio_defaults[0] 0.830504 -*END - -*D_NET *456 5.84207e-05 -*CONN -*I *2460:gpio_defaults[1] I *D gpio_control_block -*I *2441:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2460:gpio_defaults[1] 2.92104e-05 -2 *2441:gpio_defaults[1] 2.92104e-05 -3 *2460:gpio_defaults[1] *2460:gpio_defaults[2] 0 -4 *2460:gpio_defaults[0] *2460:gpio_defaults[1] 0 -*RES -1 *2441:gpio_defaults[1] *2460:gpio_defaults[1] 0.830504 -*END - -*D_NET *457 5.84207e-05 -*CONN -*I *2460:gpio_defaults[2] I *D gpio_control_block -*I *2441:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2460:gpio_defaults[2] 2.92104e-05 -2 *2441:gpio_defaults[2] 2.92104e-05 -3 *2460:gpio_defaults[2] *2460:gpio_defaults[3] 0 -4 *2460:gpio_defaults[1] *2460:gpio_defaults[2] 0 -*RES -1 *2441:gpio_defaults[2] *2460:gpio_defaults[2] 0.830504 -*END - -*D_NET *458 5.84207e-05 -*CONN -*I *2460:gpio_defaults[3] I *D gpio_control_block -*I *2441:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2460:gpio_defaults[3] 2.92104e-05 -2 *2441:gpio_defaults[3] 2.92104e-05 -3 *2460:gpio_defaults[3] *2460:gpio_defaults[4] 0 -4 *2460:gpio_defaults[2] *2460:gpio_defaults[3] 0 -*RES -1 *2441:gpio_defaults[3] *2460:gpio_defaults[3] 0.830504 -*END - -*D_NET *459 5.84207e-05 -*CONN -*I *2460:gpio_defaults[4] I *D gpio_control_block -*I *2441:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2460:gpio_defaults[4] 2.92104e-05 -2 *2441:gpio_defaults[4] 2.92104e-05 -3 *2460:gpio_defaults[4] *2460:gpio_defaults[5] 0 -4 *2460:gpio_defaults[3] *2460:gpio_defaults[4] 0 -*RES -1 *2441:gpio_defaults[4] *2460:gpio_defaults[4] 0.830504 -*END - -*D_NET *460 5.84207e-05 -*CONN -*I *2460:gpio_defaults[5] I *D gpio_control_block -*I *2441:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2460:gpio_defaults[5] 2.92104e-05 -2 *2441:gpio_defaults[5] 2.92104e-05 -3 *2460:gpio_defaults[5] *2460:gpio_defaults[6] 0 -4 *2460:gpio_defaults[4] *2460:gpio_defaults[5] 0 -*RES -1 *2441:gpio_defaults[5] *2460:gpio_defaults[5] 0.830504 -*END - -*D_NET *461 5.84207e-05 -*CONN -*I *2460:gpio_defaults[6] I *D gpio_control_block -*I *2441:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2460:gpio_defaults[6] 2.92104e-05 -2 *2441:gpio_defaults[6] 2.92104e-05 -3 *2460:gpio_defaults[6] *2460:gpio_defaults[7] 0 -4 *2460:gpio_defaults[5] *2460:gpio_defaults[6] 0 -*RES -1 *2441:gpio_defaults[6] *2460:gpio_defaults[6] 0.830504 -*END - -*D_NET *462 5.84207e-05 -*CONN -*I *2460:gpio_defaults[7] I *D gpio_control_block -*I *2441:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2460:gpio_defaults[7] 2.92104e-05 -2 *2441:gpio_defaults[7] 2.92104e-05 -3 *2460:gpio_defaults[7] *2460:gpio_defaults[8] 0 -4 *2460:gpio_defaults[6] *2460:gpio_defaults[7] 0 -*RES -1 *2441:gpio_defaults[7] *2460:gpio_defaults[7] 0.830504 -*END - -*D_NET *463 5.84207e-05 -*CONN -*I *2460:gpio_defaults[8] I *D gpio_control_block -*I *2441:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2460:gpio_defaults[8] 2.92104e-05 -2 *2441:gpio_defaults[8] 2.92104e-05 -3 *2460:gpio_defaults[7] *2460:gpio_defaults[8] 0 -4 *2460:gpio_defaults[9] *2460:gpio_defaults[8] 0 -*RES -1 *2441:gpio_defaults[8] *2460:gpio_defaults[8] 0.830504 -*END - -*D_NET *464 5.84207e-05 -*CONN -*I *2444:gpio_defaults[9] I *D gpio_control_block -*I *2417:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2444:gpio_defaults[9] 2.92104e-05 -2 *2417:gpio_defaults[9] 2.92104e-05 -3 *2444:gpio_defaults[10] *2444:gpio_defaults[9] 0 -4 *2444:gpio_defaults[8] *2444:gpio_defaults[9] 0 -*RES -1 *2417:gpio_defaults[9] *2444:gpio_defaults[9] 0.830504 -*END - -*D_NET *465 0.393839 -*CONN -*I *2478:gpio_in_pad I *D mgmt_core_wrapper -*I *2474:gpio_in_core O *D chip_io_alt -*CAP -1 *2478:gpio_in_pad 0.00159363 -2 *2474:gpio_in_core 0.00071862 -3 *465:22 0.04014 -4 *465:21 0.0385464 -5 *465:19 0.00157977 -6 *465:16 0.00883029 -7 *465:15 0.00796915 -8 *465:15 *2474:gpio_mode0_core 0.00048572 -9 *465:15 *481:25 0.00123957 -10 *465:16 *481:20 0.00669929 -11 *465:22 *2243:10 0.141513 -12 *2474:flash_io1_oeb_core *465:19 0.000586138 -13 *67:29 *465:22 0.00273662 -14 *73:16 *465:22 0.141201 -15 *80:16 *465:15 0 -*RES -1 *2474:gpio_in_core *465:15 41.3281 -2 *465:15 *465:16 231.993 -3 *465:16 *465:19 48.2725 -4 *465:19 *465:21 4.5 -5 *465:21 *465:22 2353.91 -6 *465:22 *2478:gpio_in_pad 49.2862 -*END - -*D_NET *466 0.183851 -*CONN -*I *2474:gpio_inenb_core I *D chip_io_alt -*I *2478:gpio_inenb_pad O *D mgmt_core_wrapper -*CAP -1 *2474:gpio_inenb_core 0.00147677 -2 *2478:gpio_inenb_pad 0.00106801 -3 *466:10 0.0679332 -4 *466:9 0.0675245 -5 *466:10 *481:10 0.0347446 -6 *466:10 *482:10 0.00694851 -7 *466:10 *483:10 0.00385697 -8 *66:28 *466:10 0.000289628 -9 *89:28 *2474:gpio_inenb_core 8.63825e-06 -*RES -1 *2478:gpio_inenb_pad *466:9 32.9983 -2 *466:9 *466:10 254.112 -3 *466:10 *2474:gpio_inenb_core 10.0516 -*END - -*D_NET *467 1.46848 -*CONN -*I *2445:serial_load I *D gpio_control_block -*I *2444:serial_load_out O *D gpio_control_block -*I *2462:serial_load I *D gpio_control_block -*I *2461:serial_load_out O *D gpio_control_block -*CAP -1 *2445:serial_load 0.000389369 -2 *2444:serial_load_out 0.000292434 -3 *2462:serial_load 0.000687711 -4 *2461:serial_load_out 0.00711199 -5 *467:55 0.00380331 -6 *467:53 0.00378768 -7 *467:48 0.00627146 -8 *467:42 0.0292856 -9 *467:41 0.0239328 -10 *467:33 0.0324181 -11 *467:32 0.0321656 -12 *467:30 0.00464583 -13 *467:29 0.005036 -14 *467:12 0.00818988 -15 *2444:serial_load_out *2444:serial_load 3.84497e-05 -16 *2444:serial_load_out *2444:user_gpio_oeb 0 -17 *2444:serial_load_out *2329:13 9.52305e-06 -18 *2444:serial_load_out *2356:22 0.000117185 -19 *2445:serial_load *2445:serial_load_out 0.000159506 -20 *2445:serial_load *503:13 3.84497e-05 -21 *467:12 *2461:serial_load 5.35899e-05 -22 *467:12 *2474:mprj_io_analog_en[14] 0 -23 *467:12 *2334:13 5.02212e-05 -24 *467:33 *485:41 0.0232972 -25 *467:33 *489:29 0.194161 -26 *467:33 *490:16 0.160109 -27 *467:33 *498:22 0 -28 *467:33 *1178:7 0.00366745 -29 *467:41 *480:25 8.51012e-06 -30 *467:41 *485:41 0.000349904 -31 *467:41 *485:44 0 -32 *467:41 *498:17 0 -33 *467:41 *1574:18 0.000406539 -34 *467:42 *480:17 0.401551 -35 *467:42 *485:44 0.000102622 -36 *467:42 *498:17 0.401502 -37 *467:42 *1550:24 0.0470158 -38 *467:42 *1578:15 0.0146612 -39 *467:48 *485:50 0.0169073 -40 *467:48 *1850:14 0.000682375 -41 *467:48 *1937:14 0.000850726 -42 *467:48 *2066:14 0.000825799 -43 *467:53 *489:49 0.000235981 -44 *467:55 *2474:mprj_io_analog_en[1] 0.000202472 -45 *467:55 *2474:mprj_io_analog_pol[1] 0.00134341 -46 *467:55 *2474:mprj_io_analog_sel[1] 4.3116e-06 -47 *467:55 *2474:mprj_io_dm[3] 0.000215112 -48 *467:55 *2474:mprj_io_dm[5] 0.000791367 -49 *467:55 *2474:mprj_io_ib_mode_sel[1] 0.000197391 -50 *467:55 *2474:mprj_io_oeb[1] 0.00156332 -51 *467:55 *2474:mprj_io_vtrip_sel[1] 3.87462e-05 -52 *467:55 *485:61 0.00178881 -53 *467:55 *485:65 0.00318611 -54 *467:55 *1842:16 0.000819535 -55 *467:55 *1913:19 8.13993e-05 -56 *467:55 *2085:8 0 -57 *467:55 *2112:14 0 -58 *467:55 *2166:14 0 -59 *100:42 *2462:serial_load 7.50991e-05 -60 *100:42 *467:12 0.0127171 -61 *100:42 *467:29 5.76799e-05 -62 *100:74 *467:33 0.00580022 -63 *100:74 *467:41 0 -64 *100:80 *467:53 0.000199624 -65 *100:82 *467:53 2.9959e-05 -66 *100:84 *467:53 0 -67 *100:84 *467:55 0.0019163 -68 *100:90 *467:55 0.00530294 -69 *100:96 *2444:serial_load_out 0 -70 *100:96 *467:55 0.00687522 -71 *104:34 *467:33 0.000258189 -72 *104:56 *467:53 0.000196407 -*RES -1 *2461:serial_load_out *467:12 36.6965 -2 *467:12 *2462:serial_load 2.84993 -3 *467:12 *467:29 10.1983 -4 *467:29 *467:30 128.282 -5 *467:30 *467:32 4.5 -6 *467:32 *467:33 3405.45 -7 *467:33 *467:41 18.0312 -8 *467:41 *467:42 507.906 -9 *467:42 *467:48 35.3773 -10 *467:48 *467:53 16.1928 -11 *467:53 *467:55 260.742 -12 *467:55 *2444:serial_load_out 19.7848 -13 *467:48 *2445:serial_load 1.13405 -*END - -*D_NET *468 0.820491 -*CONN -*I *2452:serial_load I *D gpio_control_block -*I *2446:serial_load_out O *D gpio_control_block -*I *2447:serial_load I *D gpio_control_block -*I *2451:serial_load_out O *D gpio_control_block -*CAP -1 *2452:serial_load 0.00037691 -2 *2446:serial_load_out 0.00653291 -3 *2447:serial_load 0.002121 -4 *2451:serial_load_out 0.000586624 -5 *468:54 0.00121046 -6 *468:49 0.0101715 -7 *468:48 0.00933794 -8 *468:23 0.00865391 -9 *468:21 0.168445 -10 *468:20 0.168445 -11 *468:18 0.017762 -12 *468:17 0.0178189 -13 *468:13 0.000643479 -14 *2446:serial_load_out *2446:serial_load 0.0002035 -15 *2446:serial_load_out *2448:serial_load 1.80524e-05 -16 *2446:serial_load_out *2474:mprj_io_ib_mode_sel[24] 0.000309803 -17 *2446:serial_load_out *469:28 0.000267782 -18 *2446:serial_load_out *474:54 0 -19 *2446:serial_load_out *476:22 0 -20 *2446:serial_load_out *477:26 0.00893909 -21 *2446:serial_load_out *486:44 0 -22 *2446:serial_load_out *494:20 0.00084449 -23 *2446:serial_load_out *514:14 0.000244213 -24 *2446:serial_load_out *1928:8 0.000555017 -25 *2446:serial_load_out *1929:20 0.000237742 -26 *2446:serial_load_out *1955:14 0.000435822 -27 *2446:serial_load_out *2090:8 0.0010458 -28 *2446:serial_load_out *2345:13 0.000185151 -29 *2447:serial_load *2448:serial_load 0.00105572 -30 *2447:serial_load *514:7 0.000172143 -31 *2452:serial_load *469:12 0.000228463 -32 *2452:serial_load *501:13 1.9775e-05 -33 *468:13 *2451:user_gpio_oeb 0.000289124 -34 *468:13 *479:52 0.000151667 -35 *468:13 *2330:10 7.92492e-05 -36 *468:13 *2357:13 0.00308242 -37 *468:13 *2384:13 0.00309016 -38 *468:17 *2357:13 0.000695917 -39 *468:17 *2384:13 0.000691706 -40 *468:18 *486:18 0.136093 -41 *468:18 *486:61 0 -42 *468:18 *487:18 0.137263 -43 *468:21 *486:21 0.034295 -44 *468:21 *490:19 0 -45 *468:21 *1012:14 0.00324704 -46 *468:21 *1019:14 0.0013824 -47 *468:21 *2036:8 0.00218674 -48 *468:49 *486:61 0 -49 *468:49 *487:46 0.00036177 -50 *468:54 *2076:13 0.000204874 -51 *468:54 *2331:13 0.00317984 -52 *101:37 *468:18 7.87327e-05 -53 *101:40 *468:21 0.0672116 -54 *102:13 *2452:serial_load 3.88358e-05 -*RES -1 *2451:serial_load_out *468:13 47.154 -2 *468:13 *468:17 11.8786 -3 *468:17 *468:18 2193.74 -4 *468:18 *468:20 3.36879 -5 *468:20 *468:21 520.91 -6 *468:21 *468:23 0.376635 -7 *468:23 *2447:serial_load 49.3826 -8 *468:23 *2446:serial_load_out 31.2806 -9 *468:13 *468:48 4.5 -10 *468:48 *468:49 268.424 -11 *468:49 *468:54 43.5542 -12 *468:54 *2452:serial_load 12.8069 -*END - -*D_NET *469 0.910454 -*CONN -*I *2453:serial_load I *D gpio_control_block -*I *2447:serial_load_out O *D gpio_control_block -*I *2448:serial_load I *D gpio_control_block -*I *2452:serial_load_out O *D gpio_control_block -*CAP -1 *2453:serial_load 0.00102631 -2 *2447:serial_load_out 9.89961e-05 -3 *2448:serial_load 0.0121991 -4 *2452:serial_load_out 0.000426436 -5 *469:57 0.0069661 -6 *469:56 0.00618146 -7 *469:43 0.00181008 -8 *469:42 0.00266106 -9 *469:28 0.0133496 -10 *469:25 0.146886 -11 *469:24 0.146685 -12 *469:22 0.0473668 -13 *469:21 0.0473668 -14 *469:19 0.00427014 -15 *469:18 0.00476114 -16 *469:15 0.00125536 -17 *469:12 0.00143247 -18 *2448:serial_load *2447:resetn 0.000425575 -19 *2448:serial_load *2448:serial_load_out 1.57892e-05 -20 *2448:serial_load *2474:mprj_io_analog_pol[25] 0.000127577 -21 *2448:serial_load *2474:mprj_io_analog_sel[25] 0.000284916 -22 *2448:serial_load *2474:mprj_io_dm[76] 0.000190544 -23 *2448:serial_load *2474:mprj_io_oeb[25] 0 -24 *2448:serial_load *486:44 5.86491e-06 -25 *2448:serial_load *515:7 0.000138605 -26 *2448:serial_load *515:8 0.00158975 -27 *2448:serial_load *515:12 0.000258215 -28 *2448:serial_load *1821:16 0.000478558 -29 *2448:serial_load *1848:16 0.000516867 -30 *2448:serial_load *1930:10 0.000197477 -31 *2448:serial_load *1930:12 0.000555176 -32 *2448:serial_load *1931:8 0.000556537 -33 *2448:serial_load *2010:9 0.000481922 -34 *2448:serial_load *2037:7 0.000376127 -35 *2448:serial_load *2118:8 0.000168181 -36 *2448:serial_load *2172:8 0.000271272 -37 *2453:serial_load *470:11 3.27908e-05 -38 *2453:serial_load *502:13 0.000108398 -39 *2453:serial_load *1942:11 0.00032161 -40 *2453:serial_load *2131:10 1.66771e-05 -41 *2453:serial_load *2332:11 0 -42 *2453:serial_load *2359:13 0.00113281 -43 *469:12 *2452:user_gpio_oeb 0 -44 *469:12 *2452:user_gpio_out 0 -45 *469:12 *501:13 2.71025e-05 -46 *469:12 *2076:14 0 -47 *469:18 *487:46 0 -48 *469:22 *488:20 3.26582e-06 -49 *469:25 *746:8 0.0134906 -50 *469:25 *747:8 0.0149734 -51 *469:25 *748:8 0.000157133 -52 *469:25 *749:8 0.000190972 -53 *469:25 *750:8 0.000364076 -54 *469:25 *751:8 0.136728 -55 *469:25 *845:8 0.00486911 -56 *469:25 *847:8 0.00579944 -57 *469:25 *850:8 0.00682183 -58 *469:25 *852:8 0.007901 -59 *469:25 *854:8 0.0093123 -60 *469:25 *1001:8 0 -61 *469:25 *1003:8 5.62259e-05 -62 *469:25 *1005:8 0.0218418 -63 *469:25 *1580:8 0.0212041 -64 *469:25 *1615:8 0.00645101 -65 *469:28 *486:44 5.57527e-05 -66 *469:42 *486:29 1.98294e-05 -67 *469:57 *487:46 9.22013e-06 -68 *469:57 *488:16 0 -69 *469:57 *488:52 0.000554108 -70 *2446:serial_load_out *2448:serial_load 1.80524e-05 -71 *2446:serial_load_out *469:28 0.000267782 -72 *2447:serial_load *2448:serial_load 0.00105572 -73 *2452:serial_load *469:12 0.000228463 -74 *101:48 *469:42 0.000581419 -75 *102:13 *469:12 2.01653e-05 -76 *102:13 *469:15 0.0031848 -77 *102:17 *469:15 0.00048346 -78 *102:54 *469:57 0.0164131 -79 *103:38 *469:22 0.153823 -80 *103:41 *469:25 0.00179582 -81 *103:72 *469:57 0.0009412 -82 *109:64 *469:22 0.0278161 -*RES -1 *2452:serial_load_out *469:12 18.6292 -2 *469:12 *469:15 44.0456 -3 *469:15 *469:18 11.315 -4 *469:18 *469:19 117.745 -5 *469:19 *469:21 4.5 -6 *469:21 *469:22 2460.75 -7 *469:22 *469:24 3.36879 -8 *469:24 *469:25 505.153 -9 *469:25 *469:28 1.5701 -10 *469:28 *2448:serial_load 44.8054 -11 *469:28 *469:42 7.3521 -12 *469:42 *469:43 48.3402 -13 *469:43 *2447:serial_load_out 3.708 -14 *469:18 *469:56 10.2148 -15 *469:56 *469:57 278.39 -16 *469:57 *2453:serial_load 46.4086 -*END - -*D_NET *470 0.946702 -*CONN -*I *2454:serial_load I *D gpio_control_block -*I *2448:serial_load_out O *D gpio_control_block -*I *2453:serial_load_out O *D gpio_control_block -*CAP -1 *2454:serial_load 0.000150181 -2 *2448:serial_load_out 0.000799846 -3 *2453:serial_load_out 0.00110365 -4 *470:40 0.000523386 -5 *470:34 0.00912663 -6 *470:33 0.00875342 -7 *470:19 0.079118 -8 *470:18 0.0783181 -9 *470:16 0.101995 -10 *470:15 0.102574 -11 *470:11 0.00168283 -12 *2448:serial_load_out *2448:resetn_out 8.10016e-06 -13 *2448:serial_load_out *2448:user_gpio_oeb 0.000752866 -14 *2448:serial_load_out *2448:user_gpio_out 0 -15 *2448:serial_load_out *515:8 0 -16 *2448:serial_load_out *2347:12 7.93861e-05 -17 *2448:serial_load_out *2401:16 0.00163095 -18 *2454:serial_load *2454:serial_data_in 1.67063e-05 -19 *2454:serial_load *2333:10 0 -20 *470:11 *2131:10 4.15201e-05 -21 *470:11 *2332:10 7.79528e-05 -22 *470:11 *2332:11 0.00126666 -23 *470:15 *2332:11 0.00105742 -24 *470:16 *2020:21 0.000137254 -25 *470:16 *2031:15 0.000758132 -26 *470:16 *2039:15 0 -27 *470:16 *2222:30 0.0221395 -28 *470:16 *2394:20 0 -29 *470:19 *612:8 0.000721049 -30 *470:19 *614:8 0.039841 -31 *470:19 *1557:24 0.000110213 -32 *470:19 *1561:11 0.349977 -33 *470:34 *488:52 0.0679899 -34 *470:40 *2454:user_gpio_oeb 7.77309e-06 -35 *470:40 *2333:10 0.000272862 -36 *470:40 *2333:11 0.00318552 -37 *470:40 *2360:13 0.00313465 -38 *2448:serial_clock_out *2448:serial_load_out 0 -39 *2448:serial_load *2448:serial_load_out 1.57892e-05 -40 *2453:serial_load *470:11 3.27908e-05 -41 *2454:serial_clock *470:40 0 -42 *103:72 *470:34 0.0683009 -43 *104:37 *470:19 0.00100065 -*RES -1 *2453:serial_load_out *470:11 42.3238 -2 *470:11 *470:15 29.0714 -3 *470:15 *470:16 3029.02 -4 *470:16 *470:18 4.5 -5 *470:18 *470:19 3767.04 -6 *470:19 *2448:serial_load_out 42.1855 -7 *470:11 *470:33 4.5 -8 *470:33 *470:34 1093.53 -9 *470:34 *470:40 47.946 -10 *470:40 *2454:serial_load 3.78449 -*END - -*D_NET *471 0.935065 -*CONN -*I *2463:serial_load I *D gpio_control_block -*I *2455:serial_load I *D gpio_control_block -*I *2445:serial_load_out O *D gpio_control_block -*I *2462:serial_load_out O *D gpio_control_block -*CAP -1 *2463:serial_load 0.000357196 -2 *2455:serial_load 0.000154053 -3 *2445:serial_load_out 0.000178986 -4 *2462:serial_load_out 0.000440908 -5 *471:46 0.00224358 -6 *471:45 0.00212143 -7 *471:40 0.00305451 -8 *471:38 0.00306298 -9 *471:36 0.00062557 -10 *471:30 0.00188238 -11 *471:27 0.0790684 -12 *471:26 0.0775923 -13 *471:24 0.0703421 -14 *471:23 0.0709409 -15 *471:16 0.00611109 -16 *471:15 0.00559594 -17 *2445:serial_load_out *2340:11 3.84497e-05 -18 *2455:serial_load *2455:serial_load_out 8.15092e-05 -19 *2455:serial_load *504:7 9.67643e-05 -20 *2463:serial_load *2463:serial_data_in 0 -21 *2463:serial_load *472:7 0.000316991 -22 *2463:serial_load *517:15 1.53622e-05 -23 *471:15 *485:26 9.90116e-05 -24 *471:15 *485:38 8.90486e-05 -25 *471:15 *516:7 6.19346e-06 -26 *471:15 *2335:15 1.29018e-05 -27 *471:16 *2462:resetn 0 -28 *471:16 *2474:mprj_io_analog_sel[15] 0.00115603 -29 *471:16 *489:14 0 -30 *471:16 *516:8 9.20633e-05 -31 *471:16 *517:16 0.00303265 -32 *471:16 *517:20 0.000352663 -33 *471:16 *1810:16 0.00331202 -34 *471:16 *1837:16 1.2366e-05 -35 *471:16 *1897:8 0.00124681 -36 *471:16 *1898:8 4.61488e-05 -37 *471:16 *2053:14 0.00300455 -38 *471:16 *2080:8 0.00180177 -39 *471:16 *2107:8 0 -40 *471:16 *2161:17 0.0022651 -41 *471:16 *2309:13 1.87125e-05 -42 *471:16 *2336:15 0 -43 *471:23 *2463:serial_data_in 0.000216058 -44 *471:23 *472:7 0 -45 *471:23 *489:14 0 -46 *471:23 *518:8 1.25836e-05 -47 *471:23 *2336:15 0.00105852 -48 *471:24 *472:20 0.000296518 -49 *471:24 *487:36 0.0091684 -50 *471:24 *490:8 0 -51 *471:24 *490:12 0 -52 *471:24 *1557:27 0.00296728 -53 *471:24 *2337:14 9.64545e-05 -54 *471:27 *623:8 0.000334676 -55 *471:27 *624:8 0.0494946 -56 *471:27 *629:8 0 -57 *471:27 *630:8 0 -58 *471:27 *1142:10 0 -59 *471:27 *1266:10 0.0011524 -60 *471:27 *1575:16 0.352013 -61 *471:36 *472:44 0.000515024 -62 *471:36 *504:8 0 -63 *471:40 *2474:mprj_io_analog_en[2] 0.00019711 -64 *471:40 *2474:mprj_io_analog_pol[2] 4.35659e-05 -65 *471:40 *2474:mprj_io_analog_sel[2] 0.000145018 -66 *471:40 *2474:mprj_io_dm[6] 0.00019711 -67 *471:40 *2474:mprj_io_dm[7] 0.00019711 -68 *471:40 *2474:mprj_io_dm[8] 0.00019711 -69 *471:40 *2474:mprj_io_holdover[2] 9.29258e-05 -70 *471:40 *2474:mprj_io_ib_mode_sel[2] 0.000111209 -71 *471:40 *2474:mprj_io_inp_dis[2] 0.00019711 -72 *471:40 *2474:mprj_io_oeb[2] 0.000102001 -73 *471:40 *2474:mprj_io_out[2] 0.000211282 -74 *471:40 *2474:mprj_io_vtrip_sel[2] 0.000131612 -75 *471:40 *503:26 0.00555187 -76 *471:40 *504:8 5.27687e-05 -77 *471:40 *2039:10 3.78286e-05 -78 *471:40 *2093:14 0 -79 *471:40 *2147:8 1.55462e-05 -80 *471:40 *2147:14 0.00477008 -81 *471:40 *2174:14 0 -82 *471:46 *2445:user_gpio_out 0 -83 *471:46 *2474:mprj_io_slow_sel[2] 0.000148615 -84 *471:46 *503:14 0.0059796 -85 *471:46 *2012:10 0.00019711 -86 *2445:serial_load *2445:serial_load_out 0.000159506 -87 *100:71 *471:15 0.000179648 -88 *100:80 *471:40 0.00187962 -89 *100:80 *471:46 8.74185e-05 -90 *105:7 *471:23 0.000279299 -91 *105:24 *471:24 0.155636 -92 *105:60 *471:30 0 -93 *105:60 *471:36 7.12702e-05 -94 *105:60 *471:40 0 -*RES -1 *2462:serial_load_out *471:15 25.6195 -2 *471:15 *471:16 264.064 -3 *471:16 *471:23 14.4548 -4 *471:23 *471:24 3043.35 -5 *471:24 *471:26 4.5 -6 *471:26 *471:27 3801.98 -7 *471:27 *471:30 46.1962 -8 *471:30 *471:36 23.2563 -9 *471:36 *471:38 1.85642 -10 *471:38 *471:40 173.124 -11 *471:40 *471:45 10.3986 -12 *471:45 *471:46 98.3781 -13 *471:46 *2445:serial_load_out 4.19688 -14 *471:36 *2455:serial_load 3.9674 -15 *471:16 *2463:serial_load 5.03827 -*END - -*D_NET *472 1.16108 -*CONN -*I *2456:serial_load I *D gpio_control_block -*I *2455:serial_load_out O *D gpio_control_block -*I *2464:serial_load I *D gpio_control_block -*I *2463:serial_load_out O *D gpio_control_block -*CAP -1 *2456:serial_load 0.000151155 -2 *2455:serial_load_out 0.000143788 -3 *2464:serial_load 0.000434211 -4 *2463:serial_load_out 0.000482471 -5 *472:44 0.0017896 -6 *472:43 0.00180691 -7 *472:38 0.00181555 -8 *472:37 0.00184007 -9 *472:32 0.00241526 -10 *472:30 0.00260751 -11 *472:26 0.00195142 -12 *472:25 0.0014224 -13 *472:23 0.0279993 -14 *472:22 0.0279993 -15 *472:20 0.026349 -16 *472:19 0.0267402 -17 *472:10 0.000825413 -18 *472:8 0.00481767 -19 *472:7 0.00530014 -20 *2455:serial_load_out *2348:10 3.84497e-05 -21 *2456:serial_load *505:13 3.84497e-05 -22 *2456:serial_load *2349:10 0 -23 *2464:serial_load *473:7 0.000206243 -24 *2464:serial_load *518:7 0.000373077 -25 *472:7 *2463:serial_data_in 0 -26 *472:7 *2463:user_gpio_oeb 2.9322e-05 -27 *472:7 *2336:15 0.00160176 -28 *472:8 *2463:user_gpio_out 0 -29 *472:8 *518:8 0 -30 *472:8 *1973:8 0.00560656 -31 *472:8 *1973:16 9.71323e-06 -32 *472:8 *2081:8 0 -33 *472:8 *2081:19 0.00101426 -34 *472:8 *2081:20 0.00044857 -35 *472:8 *2108:8 0.00022036 -36 *472:8 *2162:16 0.000358272 -37 *472:8 *2162:20 0.0029677 -38 *472:8 *2336:15 0.000150805 -39 *472:20 *492:22 0.00941994 -40 *472:20 *1557:27 0.102916 -41 *472:20 *2337:14 0.0102791 -42 *472:23 *475:34 0.00180173 -43 *472:23 *479:34 0 -44 *472:23 *491:48 0 -45 *472:23 *491:70 0 -46 *472:23 *497:34 0 -47 *472:23 *1551:26 2.41483e-05 -48 *472:23 *1554:24 0.346311 -49 *472:23 *2222:33 0.353525 -50 *472:30 *2456:serial_data_in 0 -51 *472:32 *2455:resetn_out 0.00031116 -52 *472:32 *2456:serial_data_in 1.07248e-05 -53 *472:32 *504:12 0.00248328 -54 *472:32 *1859:13 0 -55 *472:32 *1938:13 0 -56 *472:38 *2455:resetn_out 0.0010111 -57 *472:38 *2474:mprj_io_holdover[3] 0.00150762 -58 *472:38 *504:8 5.68225e-06 -59 *472:38 *1851:22 0.00197138 -60 *472:38 *2013:13 5.07721e-05 -61 *472:38 *2148:26 0.000772464 -62 *472:44 *2455:resetn_out 0.000674611 -63 *472:44 *504:8 0 -64 *2455:serial_load *2455:serial_load_out 8.15092e-05 -65 *2463:serial_load *472:7 0.000316991 -66 *105:8 *472:8 0.00188107 -67 *105:12 *472:8 0.00424781 -68 *105:24 *472:20 0.155584 -69 *105:27 *472:23 0.00283259 -70 *105:30 *472:26 0.00393317 -71 *105:30 *472:30 0.000610253 -72 *105:32 *472:30 0.00018079 -73 *105:32 *472:32 0 -74 *105:43 *472:32 0 -75 *105:43 *472:37 1.21461e-06 -76 *105:52 *472:38 9.71323e-06 -77 *105:54 *472:38 0.00207446 -78 *105:59 *472:43 0.00042603 -79 *105:60 *472:44 0.00505823 -80 *106:13 *2464:serial_load 0 -81 *112:33 *472:23 0 -82 *471:23 *472:7 0 -83 *471:24 *472:20 0.000296518 -84 *471:36 *472:44 0.000515024 -*RES -1 *2463:serial_load_out *472:7 5.65019 -2 *472:7 *472:8 266.763 -3 *472:8 *472:10 3.36879 -4 *472:10 *2464:serial_load 2.2814 -5 *472:10 *472:19 4.64251 -6 *472:19 *472:20 2497.71 -7 *472:20 *472:22 4.5 -8 *472:22 *472:23 3798.09 -9 *472:23 *472:25 4.5 -10 *472:25 *472:26 63.9122 -11 *472:26 *472:30 15.2666 -12 *472:30 *472:32 84.0397 -13 *472:32 *472:37 12.4964 -14 *472:37 *472:38 96.0942 -15 *472:38 *472:43 14.7148 -16 *472:43 *472:44 80.9375 -17 *472:44 *2455:serial_load_out 3.89091 -18 *472:26 *2456:serial_load 3.89091 -*END - -*D_NET *473 1.19963 -*CONN -*I *2456:serial_load_out O *D gpio_control_block -*I *2457:serial_load I *D gpio_control_block -*I *2465:serial_load I *D gpio_control_block -*I *2464:serial_load_out O *D gpio_control_block -*CAP -1 *2456:serial_load_out 0.000149479 -2 *2457:serial_load 0.000741014 -3 *2465:serial_load 0.00111249 -4 *2464:serial_load_out 0.000249929 -5 *473:54 0.00157874 -6 *473:53 0.002252 -7 *473:34 0.00534931 -8 *473:33 0.0046083 -9 *473:31 0.0212902 -10 *473:30 0.0204675 -11 *473:28 0.0183353 -12 *473:27 0.0183353 -13 *473:25 0.0045591 -14 *473:10 0.0056716 -15 *473:8 0.00809594 -16 *473:7 0.00834586 -17 *2457:serial_load *2457:serial_data_in 0 -18 *2457:serial_load *2457:serial_load_out 5.17837e-06 -19 *2457:serial_load *506:13 0.00040907 -20 *2457:serial_load *2350:12 0 -21 *2457:serial_load *2377:13 0.00247411 -22 *2457:serial_load *2404:13 1.92172e-05 -23 *2465:serial_load *2465:user_gpio_oeb 0.000130155 -24 *2465:serial_load *2465:user_gpio_out 1.64979e-05 -25 *2465:serial_load *474:13 8.59705e-05 -26 *2465:serial_load *519:9 2.7185e-05 -27 *2465:serial_load *2338:10 7.86825e-06 -28 *473:7 *2337:13 5.83673e-05 -29 *473:8 *519:22 0 -30 *473:8 *1785:16 0 -31 *473:8 *1904:8 0.00111559 -32 *473:8 *1906:22 0.000322335 -33 *473:8 *1974:8 0 -34 *473:8 *1974:22 0 -35 *473:8 *1974:24 0 -36 *473:8 *2028:9 0.000579885 -37 *473:8 *2055:14 0 -38 *473:8 *2136:8 0 -39 *473:8 *2163:23 0 -40 *473:8 *2294:13 0 -41 *473:28 *485:41 0.140845 -42 *473:31 *476:25 0.0517972 -43 *473:31 *497:34 3.62662e-06 -44 *473:34 *1537:20 0 -45 *473:53 *495:53 1.41291e-05 -46 *2464:serial_load *473:7 0.000206243 -47 *2465:serial_clock *2465:serial_load 0.000401123 -48 *106:34 *473:28 0.139831 -49 *106:37 *473:31 0.351145 -50 *106:37 *473:53 4.88955e-05 -51 *106:40 *473:34 0.0121798 -52 *106:59 *473:53 0.00408905 -53 *106:60 *473:54 0.00404752 -54 *110:91 *473:53 0.000514643 -55 *111:45 *473:31 0.351187 -56 *111:45 *473:53 0.000538037 -57 *113:20 *473:28 0.0163917 -*RES -1 *2464:serial_load_out *473:7 4.42635 -2 *473:7 *473:8 242.055 -3 *473:8 *473:10 4.5 -4 *473:10 *2465:serial_load 38.2695 -5 *473:10 *473:25 121.627 -6 *473:25 *473:27 4.5 -7 *473:27 *473:28 2252.91 -8 *473:28 *473:30 4.5 -9 *473:30 *473:31 3669.98 -10 *473:31 *473:33 4.5 -11 *473:33 *473:34 204.89 -12 *473:34 *2457:serial_load 40.8725 -13 *473:31 *473:53 49.0371 -14 *473:53 *473:54 65.158 -15 *473:54 *2456:serial_load_out 3.708 -*END - -*D_NET *474 1.27099 -*CONN -*I *2458:serial_load I *D gpio_control_block -*I *2457:serial_load_out O *D gpio_control_block -*I *2466:serial_load I *D gpio_control_block -*I *2465:serial_load_out O *D gpio_control_block -*CAP -1 *2458:serial_load 0.000748739 -2 *2457:serial_load_out 0.000693815 -3 *2466:serial_load 0.000568366 -4 *2465:serial_load_out 0.000543685 -5 *474:75 0.00403438 -6 *474:60 0.00600667 -7 *474:59 0.00202721 -8 *474:57 0.0254228 -9 *474:56 0.0254228 -10 *474:54 0.0209527 -11 *474:53 0.021277 -12 *474:48 0.0211466 -13 *474:47 0.0208796 -14 *474:42 0.0121529 -15 *474:41 0.0121825 -16 *474:17 0.000779554 -17 *474:14 0.00584702 -18 *474:13 0.00626649 -19 *2457:serial_load_out *2457:user_gpio_oeb 2.04189e-05 -20 *2457:serial_load_out *506:13 0 -21 *2457:serial_load_out *2350:12 1.53622e-05 -22 *2457:serial_load_out *2350:13 0.000286826 -23 *2458:serial_load *2458:serial_load_out 7.68345e-05 -24 *2458:serial_load *495:64 0 -25 *2458:serial_load *507:10 8.85901e-05 -26 *2458:serial_load *2378:13 0 -27 *2466:serial_load *2466:user_gpio_out 0 -28 *2466:serial_load *475:15 2.56241e-05 -29 *2466:serial_load *520:15 0.000479552 -30 *2466:serial_load *2393:19 0.000243133 -31 *474:13 *519:9 0.000237017 -32 *474:13 *2338:10 4.2387e-05 -33 *474:14 *2465:resetn 0.000397726 -34 *474:14 *2474:mprj_io_inp_dis[18] 0.000287352 -35 *474:14 *520:16 0 -36 *474:14 *1813:16 0.00230879 -37 *474:14 *1907:10 0.00547833 -38 *474:14 *1908:10 4.61488e-05 -39 *474:14 *1909:16 0.000797637 -40 *474:14 *1975:22 0.00090832 -41 *474:14 *2056:10 0.00438821 -42 *474:14 *2110:10 0 -43 *474:14 *2110:16 1.32509e-05 -44 *474:14 *2164:10 0 -45 *474:17 *2393:19 0.000215716 -46 *474:41 *520:15 1.93857e-05 -47 *474:42 *2474:mprj_io_analog_sel[19] 0.000126093 -48 *474:42 *475:16 0.00274791 -49 *474:42 *522:32 0.00637025 -50 *474:42 *1911:18 0.00038975 -51 *474:42 *1912:14 0.000339251 -52 *474:42 *1949:8 0.000357167 -53 *474:42 *1976:8 0.000405863 -54 *474:42 *2057:29 0.000803122 -55 *474:42 *2086:13 0.00518522 -56 *474:42 *2138:12 0.000347446 -57 *474:42 *2165:14 0.000357167 -58 *474:42 *2314:19 0.000116362 -59 *474:48 *2474:mprj_io_ib_mode_sel[20] 0 -60 *474:48 *2474:mprj_io_oeb[20] 0 -61 *474:48 *2474:mprj_io_vtrip_sel[20] 0.000642796 -62 *474:48 *522:14 0.000673094 -63 *474:48 *522:31 0.00119336 -64 *474:48 *522:32 0.00861327 -65 *474:48 *1789:16 0 -66 *474:48 *1843:16 0 -67 *474:48 *1915:8 0 -68 *474:48 *1916:18 0 -69 *474:48 *2032:13 0 -70 *474:48 *2059:14 0 -71 *474:48 *2113:16 0 -72 *474:48 *2297:12 0 -73 *474:48 *2315:13 0 -74 *474:53 *476:20 6.21462e-05 -75 *474:54 *476:20 0.000702084 -76 *474:54 *476:22 0.0678278 -77 *474:54 *494:8 0.000702874 -78 *474:54 *522:14 0 -79 *474:54 *524:16 0.00223508 -80 *474:54 *1844:10 0.000270979 -81 *474:54 *1844:12 0.00045085 -82 *474:54 *1847:10 0.000329532 -83 *474:54 *1847:16 0.000337909 -84 *474:54 *1919:12 0.000861194 -85 *474:54 *1929:19 0.000149105 -86 *474:57 *476:25 0.414093 -87 *474:57 *478:29 4.42985e-05 -88 *474:57 *493:48 0.399306 -89 *474:60 *478:32 0.00163931 -90 *474:60 *505:14 1.81081e-06 -91 *474:60 *1565:20 0.00863553 -92 *474:60 *1566:20 0.00212345 -93 *474:75 *478:32 0.00220247 -94 *474:75 *506:14 9.81824e-05 -95 *474:75 *1566:20 0.00649045 -96 *474:75 *1567:20 0.0012715 -97 *2446:serial_load_out *474:54 0 -98 *2457:serial_load *2457:serial_load_out 5.17837e-06 -99 *2458:serial_clock_out *2458:serial_load 0 -100 *2465:serial_clock *474:13 1.93695e-05 -101 *2465:serial_load *474:13 8.59705e-05 -102 *106:37 *474:57 0.0475258 -103 *107:58 *474:14 0 -104 *107:87 *474:57 0.0518102 -105 *107:90 *474:60 0.0123599 -106 *107:107 *474:60 0.000653242 -107 *107:107 *474:75 0.016458 -108 *111:10 *474:54 0.000238504 -*RES -1 *2465:serial_load_out *474:13 25.8226 -2 *474:13 *474:14 253.475 -3 *474:14 *474:17 9.66022 -4 *474:17 *2466:serial_load 26.6904 -5 *474:17 *474:41 9.12742 -6 *474:41 *474:42 51.1443 -7 *474:42 *474:47 3.83355 -8 *474:47 *474:48 612.253 -9 *474:48 *474:53 4.90441 -10 *474:53 *474:54 136.644 -11 *474:54 *474:56 0.376635 -12 *474:56 *474:57 523.052 -13 *474:57 *474:59 3.36879 -14 *474:59 *474:60 209.25 -15 *474:60 *2457:serial_load_out 30.8797 -16 *474:60 *474:75 272.576 -17 *474:75 *2458:serial_load 28.9672 -*END - -*D_NET *475 1.11477 -*CONN -*I *2458:serial_load_out O *D gpio_control_block -*I *2459:serial_load I *D gpio_control_block -*I *2467:serial_load I *D gpio_control_block -*I *2466:serial_load_out O *D gpio_control_block -*CAP -1 *2458:serial_load_out 0.000278414 -2 *2459:serial_load 0.00684241 -3 *2467:serial_load 0.00039929 -4 *2466:serial_load_out 0.000684626 -5 *475:40 0.00745939 -6 *475:37 0.00388656 -7 *475:36 0.003548 -8 *475:34 0.195157 -9 *475:33 0.195157 -10 *475:31 0.0414805 -11 *475:30 0.0414805 -12 *475:28 0.00286584 -13 *475:27 0.00286584 -14 *475:16 0.00867546 -15 *475:15 0.00896079 -16 *2458:serial_load_out *2351:10 3.84497e-05 -17 *2459:serial_load *2459:serial_data_in 0 -18 *2459:serial_load *2459:serial_load_out 3.71128e-05 -19 *2459:serial_load *476:28 0 -20 *2459:serial_load *476:31 0.000129337 -21 *2459:serial_load *507:10 0.000146476 -22 *2459:serial_load *507:18 0.000909965 -23 *2459:serial_load *1989:14 0.000562156 -24 *2459:serial_load *2097:8 0.000382785 -25 *2459:serial_load *2151:22 0.000708538 -26 *2467:serial_load *476:7 0.000204503 -27 *2467:serial_load *521:7 0.000204503 -28 *475:15 *2466:serial_data_in 0 -29 *475:15 *520:15 8.44986e-05 -30 *475:15 *2339:15 3.77653e-05 -31 *475:16 *2474:mprj_io_analog_pol[19] 0 -32 *475:16 *2474:mprj_io_analog_sel[19] 0 -33 *475:16 *2474:mprj_io_dm[58] 0 -34 *475:16 *2474:mprj_io_holdover[19] 0 -35 *475:16 *493:8 0 -36 *475:16 *1787:8 0 -37 *475:16 *1911:18 0 -38 *475:16 *1976:8 0.00133027 -39 *475:16 *2030:15 0.000678081 -40 *475:16 *2057:14 0 -41 *475:16 *2057:29 0 -42 *475:16 *2138:10 0 -43 *475:16 *2165:28 0 -44 *475:16 *2296:13 0 -45 *475:16 *2314:19 0 -46 *475:16 *2339:15 0 -47 *475:31 *485:41 0 -48 *475:31 *496:38 0.0243403 -49 *475:34 *1551:26 0.00120224 -50 *475:34 *1554:24 0.00132631 -51 *475:34 *2222:33 0.00133075 -52 *475:37 *2459:resetn 0.000318615 -53 *475:37 *476:28 1.48618e-05 -54 *475:37 *478:32 1.04758e-05 -55 *475:37 *493:51 0.0318714 -56 *475:37 *493:55 0.000236666 -57 *475:37 *494:26 0.0334809 -58 *475:37 *1825:16 0.000819002 -59 *475:37 *1826:23 0.00030076 -60 *475:37 *1864:14 0.000495239 -61 *2458:serial_load *2458:serial_load_out 7.68345e-05 -62 *2459:serial_clock *2459:serial_load 0.0182494 -63 *2466:serial_load *475:15 2.56241e-05 -64 *105:27 *475:34 0.00255922 -65 *107:84 *475:31 4.3116e-06 -66 *108:36 *475:28 0.0116764 -67 *108:39 *475:31 0.0401067 -68 *108:42 *475:34 0.399189 -69 *108:47 *475:37 4.22519e-05 -70 *109:60 *475:31 0.00210751 -71 *113:20 *475:31 0.0152391 -72 *472:23 *475:34 0.00180173 -73 *474:42 *475:16 0.00274791 -*RES -1 *2466:serial_load_out *475:15 26.5683 -2 *475:15 *475:16 251.814 -3 *475:16 *2467:serial_load 10.6185 -4 *475:16 *475:27 4.5 -5 *475:27 *475:28 124.955 -6 *475:28 *475:30 4.5 -7 *475:30 *475:31 1711.22 -8 *475:31 *475:33 3.36879 -9 *475:33 *475:34 507.906 -10 *475:34 *475:36 0.376635 -11 *475:36 *475:37 67.1468 -12 *475:37 *475:40 1.19142 -13 *475:40 *2459:serial_load 39.3525 -14 *475:40 *2458:serial_load_out 0.942825 -*END - -*D_NET *476 1.36218 -*CONN -*I *2468:serial_load I *D gpio_control_block -*I *2459:serial_load_out O *D gpio_control_block -*I *2460:serial_load I *D gpio_control_block -*I *2467:serial_load_out O *D gpio_control_block -*CAP -1 *2468:serial_load 0.00312194 -2 *2459:serial_load_out 6.46553e-05 -3 *2460:serial_load 0 -4 *2467:serial_load_out 0.000729129 -5 *476:40 0.00605725 -6 *476:39 0.00686402 -7 *476:34 0.00740093 -8 *476:33 0.00659416 -9 *476:31 0.000734818 -10 *476:28 0.00589088 -11 *476:27 0.00522071 -12 *476:25 0.0217321 -13 *476:24 0.0217321 -14 *476:22 0.00843431 -15 *476:20 0.0121875 -16 *476:8 0.0184763 -17 *476:7 0.0185742 -18 *2459:serial_load_out *2352:10 4.70618e-05 -19 *2468:serial_load *477:7 5.35899e-05 -20 *2468:serial_load *522:14 0.00128917 -21 *476:7 *521:7 0 -22 *476:7 *2341:12 2.12195e-05 -23 *476:8 *493:22 0 -24 *476:8 *493:29 0 -25 *476:8 *494:8 0.0210921 -26 *476:8 *521:8 0 -27 *476:20 *494:8 0.000135815 -28 *476:20 *522:14 0 -29 *476:22 *2474:mprj_io_analog_sel[22] 0.00019411 -30 *476:22 *2474:mprj_io_dm[68] 0.000223271 -31 *476:22 *477:14 0.00186582 -32 *476:22 *494:8 0.000574175 -33 *476:22 *494:20 0.0625991 -34 *476:22 *522:14 0 -35 *476:22 *523:8 0.000110809 -36 *476:22 *1844:18 0.000342008 -37 *476:22 *1845:16 0.000522092 -38 *476:22 *1919:10 0.000258066 -39 *476:22 *1929:8 0.000313476 -40 *476:22 *1953:8 0.000946932 -41 *476:22 *1979:10 0.000281066 -42 *476:22 *1979:14 0.000427103 -43 *476:22 *1982:8 0.000681341 -44 *476:25 *493:48 0.000193559 -45 *476:25 *494:23 0.414659 -46 *476:28 *477:32 0.0525856 -47 *476:28 *478:32 2.97235e-05 -48 *476:28 *494:26 0.0515845 -49 *476:31 *2352:10 2.5204e-05 -50 *476:34 *2352:10 1.5714e-05 -51 *476:40 *494:42 0.00209796 -52 *2446:serial_load_out *476:22 0 -53 *2459:serial_clock *476:28 0 -54 *2459:serial_load *2459:serial_load_out 3.71128e-05 -55 *2459:serial_load *476:28 0 -56 *2459:serial_load *476:31 0.000129337 -57 *2467:serial_load *476:7 0.000204503 -58 *109:103 *476:34 0.0191414 -59 *109:109 *476:40 0.0036637 -60 *111:45 *476:25 0.0475258 -61 *473:31 *476:25 0.0517972 -62 *474:53 *476:20 6.21462e-05 -63 *474:54 *476:20 0.000702084 -64 *474:54 *476:22 0.0678278 -65 *474:57 *476:25 0.414093 -66 *475:37 *476:28 1.48618e-05 -*RES -1 *2467:serial_load_out *476:7 5.80317 -2 *476:7 *476:8 705.892 -3 *476:8 *476:20 24.2201 -4 *476:20 *476:22 135.043 -5 *476:22 *476:24 0.376635 -6 *476:24 *476:25 523.855 -7 *476:25 *476:27 0.376635 -8 *476:27 *476:28 104.524 -9 *476:28 *476:31 2.14755 -10 *476:31 *476:33 3.36879 -11 *476:33 *476:34 305.589 -12 *476:34 *476:39 30.2437 -13 *476:39 *476:40 231.259 -14 *476:40 *2460:serial_load 3.36879 -15 *476:31 *2459:serial_load_out 0.292658 -16 *476:20 *2468:serial_load 24.6179 -*END - -*D_NET *477 0.949003 -*CONN -*I *2460:serial_load_out O *D gpio_control_block -*I *2449:serial_load I *D gpio_control_block -*I *2469:serial_load I *D gpio_control_block -*I *2468:serial_load_out O *D gpio_control_block -*CAP -1 *2460:serial_load_out 9.02885e-05 -2 *2449:serial_load 6.28555e-05 -3 *2469:serial_load 0.000162232 -4 *2468:serial_load_out 0.000412654 -5 *477:46 0.00320433 -6 *477:44 0.00399152 -7 *477:35 0.00157168 -8 *477:32 0.0166963 -9 *477:31 0.016065 -10 *477:29 0.0804088 -11 *477:28 0.0804088 -12 *477:26 0.0184559 -13 *477:25 0.0184559 -14 *477:17 0.000474365 -15 *477:14 0.00365627 -16 *477:13 0.00360371 -17 *477:8 0.00250453 -18 *477:7 0.00265761 -19 *2449:serial_load *2449:serial_load_out 4.891e-05 -20 *2449:serial_load *478:35 1.08428e-05 -21 *2449:serial_load *510:13 4.31122e-05 -22 *2449:serial_load *2099:15 1.61139e-05 -23 *2460:serial_load_out *2460:user_gpio_out 0 -24 *2460:serial_load_out *509:15 0 -25 *2460:serial_load_out *2353:10 3.74656e-05 -26 *2469:serial_load *478:10 0.000208248 -27 *2469:serial_load *523:7 0.000208248 -28 *477:7 *2468:serial_data_in 0 -29 *477:7 *522:14 0 -30 *477:7 *2342:13 8.18368e-05 -31 *477:8 *494:20 0.000204442 -32 *477:8 *523:8 0 -33 *477:8 *1790:8 0.00154711 -34 *477:8 *1817:8 6.80434e-05 -35 *477:8 *2087:8 0 -36 *477:8 *2141:8 0 -37 *477:8 *2168:8 0.000590812 -38 *477:14 *523:8 0.00269001 -39 *477:14 *1844:18 0.00224201 -40 *477:14 *1919:12 0.000115351 -41 *477:14 *1952:8 0.00239469 -42 *477:14 *1979:14 0.00275934 -43 *477:14 *1979:18 0.00389012 -44 *477:17 *478:10 1.98647e-05 -45 *477:17 *523:7 0.000123505 -46 *477:26 *2474:mprj_io_vtrip_sel[24] 0 -47 *477:26 *2474:mprj_io_out[22] 0.00015305 -48 *477:26 *2474:mprj_io_ib_mode_sel[23] 0 -49 *477:26 *2474:mprj_io_oeb[23] 0 -50 *477:26 *2474:mprj_io_out[23] 0.000356237 -51 *477:26 *2474:mprj_io_vtrip_sel[23] 0.00209855 -52 *477:26 *478:10 0.0172116 -53 *477:26 *486:44 0 -54 *477:26 *494:20 0 -55 *477:26 *495:44 0 -56 *477:26 *496:17 0 -57 *477:26 *1791:19 0.000411592 -58 *477:26 *1793:14 0.00067925 -59 *477:26 *1846:8 0.000981609 -60 *477:26 *1923:8 0.00066067 -61 *477:26 *1927:15 0.000140228 -62 *477:26 *1954:16 0.00070011 -63 *477:26 *1955:8 0.000229405 -64 *477:26 *2007:9 0.000246961 -65 *477:26 *2062:8 0.000879339 -66 *477:26 *2142:8 0.000295704 -67 *477:26 *2142:14 0.000313439 -68 *477:26 *2299:21 0.000169016 -69 *477:26 *2301:11 0.000437268 -70 *477:26 *2317:12 0.000139306 -71 *477:29 *2473:io_in_3v3[0] 0 -72 *477:29 *492:25 0.0540683 -73 *477:29 *494:23 0.414617 -74 *477:29 *2020:24 0.000726008 -75 *477:29 *2374:9 0 -76 *477:32 *478:32 0.0900709 -77 *477:32 *1798:14 0.00100548 -78 *477:32 *1800:14 0.000876323 -79 *477:32 *1801:14 0.000263647 -80 *477:32 *1827:20 0.000409501 -81 *477:32 *1828:14 0.000320798 -82 *477:32 *1861:16 0.000963396 -83 *477:32 *1864:20 0.000539902 -84 *477:32 *1873:14 0.000303582 -85 *477:32 *1990:8 0 -86 *477:32 *2069:14 0.000888379 -87 *477:32 *2151:16 0.000875832 -88 *477:35 *2098:13 2.93105e-05 -89 *477:35 *2179:13 0.000184544 -90 *477:44 *2460:user_gpio_out 9.51799e-07 -91 *477:44 *495:87 0.000632845 -92 *477:44 *1963:8 0.000965381 -93 *477:44 *1990:8 0.00017403 -94 *477:44 *2098:13 3.52699e-05 -95 *477:44 *2125:8 0.000681442 -96 *477:46 *495:87 0.0134748 -97 *477:46 *510:13 8.10016e-06 -98 *477:46 *1876:13 0.000558552 -99 *477:46 *1963:8 0.00468359 -100 *477:46 *1990:8 0.00179192 -101 *477:46 *2180:13 0.000122412 -102 *2446:serial_load_out *477:26 0.00893909 -103 *2468:serial_load *477:7 5.35899e-05 -104 *112:14 *477:26 0 -105 *476:22 *477:14 0.00186582 -106 *476:28 *477:32 0.0525856 -*RES -1 *2468:serial_load_out *477:7 4.8088 -2 *477:7 *477:8 77.2003 -3 *477:8 *477:13 13.6056 -4 *477:13 *477:14 189.734 -5 *477:14 *477:17 4.3748 -6 *477:17 *2469:serial_load 1.0958 -7 *477:17 *477:25 0.376635 -8 *477:25 *477:26 90.3505 -9 *477:26 *477:28 0.376635 -10 *477:28 *477:29 524.62 -11 *477:29 *477:31 0.376635 -12 *477:31 *477:32 178.479 -13 *477:32 *477:35 2.26228 -14 *477:35 *477:44 36.978 -15 *477:44 *477:46 230.27 -16 *477:46 *2449:serial_load 3.73793 -17 *477:35 *2460:serial_load_out 0.330903 -*END - -*D_NET *478 1.25494 -*CONN -*I *2449:serial_load_out O *D gpio_control_block -*I *2450:serial_load I *D gpio_control_block -*I *2470:serial_load I *D gpio_control_block -*I *2469:serial_load_out O *D gpio_control_block -*CAP -1 *2449:serial_load_out 9.59728e-05 -2 *2450:serial_load 0.00670638 -3 *2470:serial_load 0.000805579 -4 *2469:serial_load_out 0.0051344 -5 *478:49 0.00810219 -6 *478:35 0.0024573 -7 *478:32 0.0354848 -8 *478:31 0.0345193 -9 *478:29 0.0684617 -10 *478:28 0.0684617 -11 *478:26 0.00484564 -12 *478:25 0.00928243 -13 *478:10 0.0103768 -14 *2449:serial_load_out *2449:user_gpio_oeb 6.78021e-06 -15 *2449:serial_load_out *2449:user_gpio_out 0 -16 *2449:serial_load_out *2099:15 0 -17 *2450:serial_load *2450:serial_data_in 0 -18 *2450:serial_load *2450:serial_load_out 1.75765e-05 -19 *2450:serial_load *2474:mprj_io_analog_sel[7] 8.99001e-06 -20 *2450:serial_load *511:13 4.65656e-05 -21 *2450:serial_load *1830:13 0 -22 *2450:serial_load *1965:8 0 -23 *2450:serial_load *1990:8 0 -24 *2450:serial_load *2126:16 0 -25 *2470:serial_load *2470:serial_data_in 0 -26 *2470:serial_load *479:15 4.46752e-05 -27 *2470:serial_load *496:20 0.000355876 -28 *2470:serial_load *524:15 2.12195e-05 -29 *478:10 *2469:user_gpio_out 0 -30 *478:10 *494:20 0.00167858 -31 *478:10 *495:44 0 -32 *478:10 *1818:16 0.00060648 -33 *478:10 *1921:8 0.000710132 -34 *478:10 *1922:33 0.000130189 -35 *478:10 *2115:8 0.000989926 -36 *478:10 *2343:12 2.12195e-05 -37 *478:26 *479:31 4.0855e-05 -38 *478:26 *493:45 0.00997597 -39 *478:26 *1574:19 0.00491749 -40 *478:29 *493:48 0.399582 -41 *478:29 *497:34 0.00238228 -42 *478:32 *492:34 0.0023888 -43 *478:32 *505:14 0.00188046 -44 *478:32 *506:14 0.0025703 -45 *478:32 *1565:20 0.0011378 -46 *478:32 *1799:14 0.000904028 -47 *478:32 *1827:14 0.000535945 -48 *478:32 *1828:14 0.0024402 -49 *478:32 *1855:14 0.000245504 -50 *478:32 *1862:16 0.000991102 -51 *478:32 *1865:14 0.00120624 -52 *478:32 *1867:14 0.000942381 -53 *478:32 *1868:14 0.00107658 -54 *478:32 *1873:14 0.0021823 -55 *478:32 *1876:14 0.00013735 -56 *478:32 *1990:8 0 -57 *478:32 *2014:18 0.000230029 -58 *478:32 *2015:11 0.00147391 -59 *478:32 *2068:16 0.00101385 -60 *478:32 *2070:28 0.000345966 -61 *478:32 *2098:14 0.00566643 -62 *478:32 *2099:16 0.000477461 -63 *478:32 *2149:14 0.0013108 -64 *478:32 *2152:14 0.00254347 -65 *478:32 *2179:14 5.58573e-06 -66 *478:35 *2099:15 0 -67 *478:49 *1963:8 0 -68 *478:49 *1990:8 0.000209508 -69 *2449:serial_load *2449:serial_load_out 4.891e-05 -70 *2449:serial_load *478:35 1.08428e-05 -71 *2450:serial_clock *2450:serial_load 0.00467644 -72 *2450:serial_clock *478:49 0.000207156 -73 *2469:serial_load *478:10 0.000208248 -74 *107:90 *478:32 0.0016504 -75 *107:107 *478:32 0.00232784 -76 *108:42 *478:29 0.398827 -77 *111:42 *478:26 0.0247716 -78 *112:33 *478:29 0.00279601 -79 *474:57 *478:29 4.42985e-05 -80 *474:60 *478:32 0.00163931 -81 *474:75 *478:32 0.00220247 -82 *475:37 *478:32 1.04758e-05 -83 *476:28 *478:32 2.97235e-05 -84 *477:17 *478:10 1.98647e-05 -85 *477:26 *478:10 0.0172116 -86 *477:32 *478:32 0.0900709 -*RES -1 *2469:serial_load_out *478:10 36.8009 -2 *478:10 *2470:serial_load 21.4953 -3 *478:10 *478:25 19.0609 -4 *478:25 *478:26 410.44 -5 *478:26 *478:28 3.36879 -6 *478:28 *478:29 508.671 -7 *478:29 *478:31 0.376635 -8 *478:31 *478:32 216.085 -9 *478:32 *478:35 2.41526 -10 *478:35 *478:49 22.5369 -11 *478:49 *2450:serial_load 32.4301 -12 *478:35 *2449:serial_load_out 0.437324 -*END - -*D_NET *479 1.11307 -*CONN -*I *2450:serial_load_out O *D gpio_control_block -*I *2451:serial_load I *D gpio_control_block -*I *2446:serial_load I *D gpio_control_block -*I *2470:serial_load_out O *D gpio_control_block -*CAP -1 *2450:serial_load_out 0.00115902 -2 *2451:serial_load 0.000105233 -3 *2446:serial_load 0.00145422 -4 *2470:serial_load_out 0.00113254 -5 *479:52 0.000625176 -6 *479:43 0.00301953 -7 *479:42 0.00249959 -8 *479:40 0.00130472 -9 *479:37 0.0150498 -10 *479:36 0.014904 -11 *479:34 0.0263638 -12 *479:33 0.0263638 -13 *479:31 0.00139242 -14 *479:30 0.00139242 -15 *479:28 0.00320445 -16 *479:27 0.00320445 -17 *479:16 0.00466226 -18 *479:15 0.00434057 -19 *2446:serial_load *2446:serial_data_in 0 -20 *2446:serial_load *497:16 0 -21 *2446:serial_load *513:13 5.02212e-05 -22 *2446:serial_load *1560:29 0.000513945 -23 *2450:serial_load_out *511:13 0 -24 *2450:serial_load_out *1879:8 0 -25 *2450:serial_load_out *2355:12 1.29141e-05 -26 *2450:serial_load_out *2382:13 0.00132642 -27 *2451:serial_load *2452:serial_data_in 1.09423e-05 -28 *479:15 *496:20 1.66771e-05 -29 *479:15 *524:15 0.000656296 -30 *479:15 *2344:15 1.29018e-05 -31 *479:16 *497:16 0 -32 *479:16 *1560:29 0.0121348 -33 *479:16 *2371:16 0.0119264 -34 *479:28 *2399:13 0.000437454 -35 *479:31 *495:50 0.00846577 -36 *479:31 *1553:33 0.000281071 -37 *479:34 *1551:26 0.000160376 -38 *479:34 *1554:24 0.000154498 -39 *479:37 *497:37 1.2693e-05 -40 *479:37 *497:43 0 -41 *479:37 *2356:16 2.55661e-06 -42 *479:40 *2382:13 0.000207277 -43 *479:43 *486:61 0.000365677 -44 *479:43 *497:43 0.0158299 -45 *479:52 *2451:serial_data_in 2.1051e-05 -46 *479:52 *2451:user_gpio_oeb 7.77309e-06 -47 *479:52 *2330:10 0.000184601 -48 *479:52 *2330:11 0.00304351 -49 *479:52 *2357:13 0.00309016 -50 *2446:serial_load_out *2446:serial_load 0.0002035 -51 *2450:serial_load *2450:serial_load_out 1.75765e-05 -52 *2470:serial_load *479:15 4.46752e-05 -53 *101:37 *479:37 0.115362 -54 *108:42 *479:34 0.00238228 -55 *109:40 *479:16 0.00189414 -56 *109:61 *479:34 0.335471 -57 *110:88 *479:31 0.00848641 -58 *111:42 *479:31 8.6297e-06 -59 *112:30 *479:31 8.02838e-05 -60 *112:33 *479:34 0.347696 -61 *112:36 *479:37 0.114277 -62 *112:42 *479:43 0.0158545 -63 *468:13 *479:52 0.000151667 -64 *472:23 *479:34 0 -65 *478:26 *479:31 4.0855e-05 -*RES -1 *2470:serial_load_out *479:15 42.0948 -2 *479:15 *479:16 256.797 -3 *479:16 *2446:serial_load 15.6779 -4 *479:16 *479:27 4.5 -5 *479:27 *479:28 91.6784 -6 *479:28 *479:30 4.5 -7 *479:30 *479:31 141.357 -8 *479:31 *479:33 4.5 -9 *479:33 *479:34 3666.65 -10 *479:34 *479:36 4.5 -11 *479:36 *479:37 1843.06 -12 *479:37 *479:40 9.66022 -13 *479:40 *479:42 4.5 -14 *479:42 *479:43 270.5 -15 *479:43 *479:52 49.8184 -16 *479:52 *2451:serial_load 0.251087 -17 *479:40 *2450:serial_load_out 43.0278 -*END - -*D_NET *480 1.29759 -*CONN -*I *2444:serial_load I *D gpio_control_block -*I *2461:serial_load I *D gpio_control_block -*I *2471:serial_load O *D housekeeping -*CAP -1 *2444:serial_load 0.000589892 -2 *2461:serial_load 0.00143624 -3 *2471:serial_load 0.0013112 -4 *480:28 0.054002 -5 *480:27 0.0525657 -6 *480:25 0.00111818 -7 *480:17 0.0848404 -8 *480:16 0.0837222 -9 *480:14 0.00785559 -10 *480:13 0.00785559 -11 *480:11 0.000882544 -12 *480:8 0.00180842 -13 *480:7 0.00282697 -14 *2444:serial_load *2444:serial_data_in 0 -15 *2444:serial_load *499:15 0.000413016 -16 *2461:serial_load *2461:serial_data_in 0 -17 *2461:serial_load *2334:13 0.0115385 -18 *480:8 *498:8 0.000321904 -19 *480:8 *512:8 0.00954449 -20 *480:8 *1544:14 0.0003032 -21 *480:8 *1564:20 0 -22 *480:14 *485:50 0.00202783 -23 *480:14 *498:14 0.0157911 -24 *480:14 *499:16 0.0169637 -25 *480:14 *1823:19 0.000990376 -26 *480:14 *1823:20 0.00124392 -27 *480:14 *1935:14 0.000393517 -28 *480:14 *1935:23 0.00113596 -29 *480:14 *2012:11 0.000776534 -30 *480:17 *1574:10 0.0440279 -31 *480:17 *1607:31 0.0011778 -32 *480:17 *1607:35 0.00485587 -33 *480:17 *1609:33 0.00134687 -34 *480:17 *1609:37 0.00507928 -35 *480:17 *1611:33 0.000319727 -36 *480:17 *1611:35 0.0051791 -37 *480:17 *1716:27 0.00476053 -38 *480:17 *1718:25 0.00619868 -39 *480:17 *1722:25 0.00586024 -40 *480:17 *1724:27 0.000895693 -41 *480:17 *1738:31 0.000416377 -42 *480:17 *1740:29 0.000337843 -43 *480:17 *2183:37 0.000664751 -44 *480:25 *2478:la_input[3] 0.000489405 -45 *480:25 *485:41 0.0004231 -46 *480:25 *911:10 0 -47 *480:25 *1553:27 0 -48 *480:25 *1574:18 0.000414208 -49 *480:28 *493:45 0.10616 -50 *480:28 *498:22 0.00101546 -51 *480:28 *911:9 0.000234966 -52 *480:28 *1550:31 0.222937 -53 *480:28 *1574:19 0.010244 -54 *2444:serial_load_out *2444:serial_load 3.84497e-05 -55 *2461:serial_clock *2461:serial_load 0.0131675 -56 *99:10 *480:17 0.000984253 -57 *100:74 *480:25 0 -58 *100:77 *480:17 0.0863597 -59 *100:96 *2444:serial_load 0 -60 *113:8 *480:8 0.00990286 -61 *113:20 *480:25 0.000222955 -62 *467:12 *2461:serial_load 5.35899e-05 -63 *467:41 *480:25 8.51012e-06 -64 *467:42 *480:17 0.401551 -*RES -1 *2471:serial_load *480:7 8.25085 -2 *480:7 *480:8 168.556 -3 *480:8 *480:11 11.324 -4 *480:11 *480:13 4.5 -5 *480:13 *480:14 518.613 -6 *480:14 *480:16 3.36879 -7 *480:16 *480:17 507.486 -8 *480:17 *480:25 45.563 -9 *480:25 *480:27 4.5 -10 *480:27 *480:28 3620.55 -11 *480:28 *2461:serial_load 37.4138 -12 *480:11 *2444:serial_load 25.2705 -*END - -*D_NET *481 0.187429 -*CONN -*I *2474:gpio_mode0_core I *D chip_io_alt -*I *2478:gpio_mode0_pad O *D mgmt_core_wrapper -*CAP -1 *2474:gpio_mode0_core 0.00141133 -2 *2478:gpio_mode0_pad 0.000999138 -3 *481:25 0.00195643 -4 *481:20 0.00199905 -5 *481:19 0.00208351 -6 *481:15 0.0023617 -7 *481:10 0.0410584 -8 *481:9 0.0403254 -9 *2474:gpio_mode0_core *2474:gpio_mode1_core 0.000325899 -10 *481:10 *482:10 0.0517386 -11 *481:25 *2474:gpio_mode1_core 0 -12 *80:16 *2474:gpio_mode0_core 0 -13 *465:15 *2474:gpio_mode0_core 0.00048572 -14 *465:15 *481:25 0.00123957 -15 *465:16 *481:20 0.00669929 -16 *466:10 *481:10 0.0347446 -*RES -1 *2478:gpio_mode0_pad *481:9 32.383 -2 *481:9 *481:10 1331.22 -3 *481:10 *481:15 48.3824 -4 *481:15 *481:19 21.4887 -5 *481:19 *481:20 70.0488 -6 *481:20 *481:25 30.3488 -7 *481:25 *2474:gpio_mode0_core 45.6406 -*END - -*D_NET *482 0.109711 -*CONN -*I *2474:gpio_mode1_core I *D chip_io_alt -*I *2478:gpio_mode1_pad O *D mgmt_core_wrapper -*CAP -1 *2474:gpio_mode1_core 0.00116373 -2 *2478:gpio_mode1_pad 0.00104428 -3 *482:16 0.00203578 -4 *482:13 0.00257087 -5 *482:10 0.0111478 -6 *482:9 0.0104933 -7 *482:10 *483:10 0.0163159 -8 *2474:gpio_mode0_core *2474:gpio_mode1_core 0.000325899 -9 *75:46 *482:16 0.00107171 -10 *78:16 *2474:gpio_mode1_core 0 -11 *80:16 *482:16 0.00399081 -12 *89:28 *2474:gpio_mode1_core 0.000863521 -13 *466:10 *482:10 0.00694851 -14 *481:10 *482:10 0.0517386 -15 *481:25 *2474:gpio_mode1_core 0 -*RES -1 *2478:gpio_mode1_pad *482:9 33.0913 -2 *482:9 *482:10 565.31 -3 *482:10 *482:13 47.442 -4 *482:13 *482:16 46.2641 -5 *482:16 *2474:gpio_mode1_core 20.264 -*END - -*D_NET *483 0.0408278 -*CONN -*I *2474:gpio_out_core I *D chip_io_alt -*I *2478:gpio_out_pad O *D mgmt_core_wrapper -*CAP -1 *2474:gpio_out_core 0.00152649 -2 *2478:gpio_out_pad 0.00102968 -3 *483:10 0.00929777 -4 *483:9 0.00880095 -5 *466:10 *483:10 0.00385697 -6 *482:10 *483:10 0.0163159 -*RES -1 *2478:gpio_out_pad *483:9 32.6761 -2 *483:9 *483:10 289.672 -3 *483:10 *2474:gpio_out_core 43.4116 -*END - -*D_NET *484 0.0111172 -*CONN -*I *2474:gpio_outenb_core I *D chip_io_alt -*I *2478:gpio_outenb_pad O *D mgmt_core_wrapper -*CAP -1 *2474:gpio_outenb_core 0.000231632 -2 *2478:gpio_outenb_pad 9.24304e-05 -3 *484:10 0.00288697 -4 *484:9 0.00265534 -5 *484:7 0.00231718 -6 *484:5 0.00240961 -7 *484:10 *2219:11 0.000524076 -*RES -1 *2478:gpio_outenb_pad *484:5 2.05183 -2 *484:5 *484:7 61.0054 -3 *484:7 *484:9 4.5 -4 *484:9 *484:10 80.5863 -5 *484:10 *2474:gpio_outenb_core 10.9486 -*END - -*D_NET *485 1.44427 -*CONN -*I *2445:resetn I *D gpio_control_block -*I *2444:resetn_out O *D gpio_control_block -*I *2462:resetn I *D gpio_control_block -*I *2461:resetn_out O *D gpio_control_block -*CAP -1 *2445:resetn 9.45324e-05 -2 *2444:resetn_out 0.000370513 -3 *2462:resetn 0.000804024 -4 *2461:resetn_out 0.000700969 -5 *485:85 2.68684e-05 -6 *485:65 0.00197719 -7 *485:63 0.00163245 -8 *485:61 0.00250552 -9 *485:60 0.0029184 -10 *485:53 0.0024079 -11 *485:52 0.00196925 -12 *485:50 0.00673909 -13 *485:44 0.0300216 -14 *485:43 0.0233771 -15 *485:41 0.0457594 -16 *485:40 0.0457594 -17 *485:38 0.00256296 -18 *485:26 0.00339478 -19 *485:23 0.00385393 -20 *485:22 0.0039179 -21 *485:17 0.000814148 -22 *485:16 0.000722371 -23 *485:14 0.00812833 -24 *485:13 0.00812833 -25 *485:11 0.00298841 -26 *485:10 0.0063528 -27 *485:7 0.00403849 -28 *2444:resetn_out *2444:resetn 2.12195e-05 -29 *2444:resetn_out *2155:13 0 -30 *2445:resetn *2445:resetn_out 4.31122e-05 -31 *2445:resetn *2085:7 0 -32 *2445:resetn *2166:13 3.84497e-05 -33 *2462:resetn *489:7 0.000267264 -34 *2462:resetn *516:8 0 -35 *2462:resetn *2161:7 0.000271938 -36 *485:14 *1894:11 7.56549e-05 -37 *485:17 *1836:14 0 -38 *485:17 *2052:8 0.00363982 -39 *485:17 *2079:8 0.00454127 -40 *485:17 *2106:17 1.14755e-05 -41 *485:17 *2106:21 0.00113432 -42 *485:23 *516:8 0 -43 *485:23 *2025:9 0 -44 *485:23 *2106:21 0.000544925 -45 *485:23 *2160:8 0 -46 *485:23 *2326:13 0.00032133 -47 *485:41 *2478:la_input[3] 0 -48 *485:41 *490:16 0.160104 -49 *485:41 *491:45 1.56527e-05 -50 *485:41 *1178:7 0.00385396 -51 *485:41 *1553:27 0 -52 *485:44 *498:17 0.401562 -53 *485:44 *1713:25 0.000782018 -54 *485:44 *1720:24 0.00579314 -55 *485:44 *2193:11 0.0158453 -56 *485:50 *498:14 0.00215031 -57 *485:50 *1565:20 0.00215031 -58 *485:50 *1823:19 0.000327945 -59 *485:50 *1823:20 0.000513186 -60 *485:50 *1924:14 0.000892143 -61 *485:50 *1935:14 0.000459689 -62 *485:50 *2012:11 0.00087705 -63 *485:50 *2085:7 0 -64 *485:53 *2445:pad_gpio_in 0.000134703 -65 *485:53 *2474:mprj_io_oeb[1] 0 -66 *485:53 *1544:13 4.89469e-06 -67 *485:53 *1788:13 4.3116e-06 -68 *485:53 *1815:13 9.20603e-05 -69 *485:53 *1842:13 8.35615e-06 -70 *485:53 *1891:13 0.000130139 -71 *485:53 *1902:13 5.88867e-05 -72 *485:53 *1913:13 6.19102e-05 -73 *485:53 *1950:13 3.93117e-06 -74 *485:53 *1977:8 0.00285572 -75 *485:53 *2058:13 5.88867e-05 -76 *485:53 *2085:8 0.000289922 -77 *485:53 *2112:13 6.19102e-05 -78 *485:53 *2139:13 8.67462e-05 -79 *485:53 *2166:13 1.38204e-05 -80 *485:60 *2474:mprj_io_vtrip_sel[1] 0 -81 *485:60 *1977:19 5.481e-05 -82 *485:61 *1842:18 0.00157562 -83 *485:61 *2004:11 0 -84 *2444:serial_clock *2444:resetn_out 4.31122e-05 -85 *2444:serial_clock *485:65 0 -86 *2444:serial_clock_out *2444:resetn_out 0 -87 *2445:serial_clock *2445:resetn 0 -88 *2445:serial_clock *485:50 0 -89 *100:13 *485:7 0.00130834 -90 *100:13 *485:10 0.0143405 -91 *100:71 *485:26 0.000220183 -92 *100:71 *485:38 0.0110621 -93 *100:74 *485:41 0 -94 *100:84 *485:53 0 -95 *100:90 *485:61 0.00363386 -96 *100:96 *485:65 0.000347035 -97 *106:34 *485:41 8.6297e-06 -98 *108:39 *485:41 0 -99 *113:17 *485:44 0.400485 -100 *467:33 *485:41 0.0232972 -101 *467:41 *485:41 0.000349904 -102 *467:41 *485:44 0 -103 *467:42 *485:44 0.000102622 -104 *467:48 *485:50 0.0169073 -105 *467:55 *485:61 0.00178881 -106 *467:55 *485:65 0.00318611 -107 *471:15 *485:26 9.90116e-05 -108 *471:15 *485:38 8.90486e-05 -109 *471:16 *2462:resetn 0 -110 *473:28 *485:41 0.140845 -111 *475:31 *485:41 0 -112 *480:14 *485:50 0.00202783 -113 *480:25 *485:41 0.0004231 -*RES -1 *2461:resetn_out *485:7 33.4847 -2 *485:7 *485:10 23.8415 -3 *485:10 *485:11 84.6748 -4 *485:11 *485:13 4.5 -5 *485:13 *485:14 226.447 -6 *485:14 *485:16 4.5 -7 *485:16 *485:17 77.4079 -8 *485:17 *485:22 10.8326 -9 *485:22 *485:23 115.196 -10 *485:23 *485:26 6.88721 -11 *485:26 *2462:resetn 25.8058 -12 *485:26 *485:38 118.854 -13 *485:38 *485:40 4.5 -14 *485:40 *485:41 3395.9 -15 *485:41 *485:43 3.36879 -16 *485:43 *485:44 507.83 -17 *485:44 *485:50 38.5047 -18 *485:50 *485:52 3.36879 -19 *485:52 *485:53 80.9375 -20 *485:53 *485:60 20.7431 -21 *485:60 *485:61 117.895 -22 *485:61 *485:63 0.732798 -23 *485:63 *485:65 67.5884 -24 *485:65 *2444:resetn_out 8.35777 -25 *485:50 *2445:resetn 0.445638 -26 *2461:resetn_out *485:85 0.0631875 -*END - -*D_NET *486 0.977288 -*CONN -*I *2452:resetn I *D gpio_control_block -*I *2446:resetn_out O *D gpio_control_block -*I *2447:resetn I *D gpio_control_block -*I *2451:resetn_out O *D gpio_control_block -*CAP -1 *2452:resetn 0.000423946 -2 *2446:resetn_out 0.00116496 -3 *2447:resetn 0.00173369 -4 *2451:resetn_out 0.000373174 -5 *486:66 0.00135782 -6 *486:61 0.0103514 -7 *486:60 0.00941754 -8 *486:55 1.65112e-05 -9 *486:50 0.00593917 -10 *486:45 0.00746063 -11 *486:44 0.0103601 -12 *486:29 0.0096058 -13 *486:21 0.0820296 -14 *486:20 0.0818476 -15 *486:18 0.0173602 -16 *486:17 0.0175509 -17 *486:13 0.00104149 -18 *486:12 0.00122402 -19 *2447:resetn *2447:resetn_out 5.56976e-05 -20 *2447:resetn *2172:7 6.59948e-05 -21 *2452:resetn *2049:13 0.000115266 -22 *2452:resetn *2076:13 0.000178041 -23 *486:12 *2451:resetn 6.25838e-06 -24 *486:12 *497:49 0.000335926 -25 *486:13 *497:49 0.0032092 -26 *486:13 *2048:13 0.00095438 -27 *486:21 *812:8 0 -28 *486:21 *815:8 0.00282239 -29 *486:21 *1007:14 0 -30 *486:21 *1012:14 0 -31 *486:21 *1074:8 0 -32 *486:29 *2036:7 5.94052e-06 -33 *486:44 *2474:mprj_io_holdover[24] 0.000321121 -34 *486:44 *2474:mprj_io_out[24] 0.000184273 -35 *486:44 *2474:mprj_io_vtrip_sel[24] 0.00647548 -36 *486:44 *1927:15 0.000376332 -37 *486:44 *2009:11 0.000223362 -38 *486:44 *2036:7 0.000345713 -39 *486:61 *487:18 0 -40 *486:61 *487:46 2.95757e-05 -41 *486:61 *497:43 1.44611e-05 -42 *486:66 *487:13 0.0032946 -43 *486:66 *487:17 6.08467e-05 -44 *486:66 *2049:13 0 -45 *2446:gpio_defaults[0] *486:45 0 -46 *2446:serial_clock_out *2446:resetn_out 0.00140059 -47 *2446:serial_load_out *486:44 0 -48 *2447:serial_clock *2447:resetn 0.00186801 -49 *2447:serial_clock *486:44 1.83572e-05 -50 *2448:serial_load *2447:resetn 0.000425575 -51 *2448:serial_load *486:44 5.86491e-06 -52 *2451:serial_clock *486:12 8.42618e-05 -53 *2452:serial_clock *2452:resetn 0 -54 *101:22 *2452:resetn 0 -55 *101:36 *486:13 0 -56 *101:36 *486:17 0 -57 *101:37 *486:18 0.136092 -58 *101:37 *486:61 0 -59 *101:40 *486:21 0.358501 -60 *101:48 *486:29 0.000380888 -61 *101:63 *486:44 0.0231092 -62 *101:64 *486:45 0.00624046 -63 *102:13 *2452:resetn 0 -64 *468:18 *486:18 0.136093 -65 *468:18 *486:61 0 -66 *468:21 *486:21 0.034295 -67 *468:49 *486:61 0 -68 *469:28 *486:44 5.57527e-05 -69 *469:42 *486:29 1.98294e-05 -70 *477:26 *486:44 0 -71 *479:43 *486:61 0.000365677 -*RES -1 *2451:resetn_out *486:12 16.8917 -2 *486:12 *486:13 36.7726 -3 *486:13 *486:17 9.10562 -4 *486:17 *486:18 2174.85 -5 *486:18 *486:20 4.5 -6 *486:20 *486:21 3780.35 -7 *486:21 *486:29 10.0016 -8 *486:29 *2447:resetn 9.78798 -9 *486:29 *486:44 49.4822 -10 *486:44 *486:45 113.95 -11 *486:45 *486:50 24.9921 -12 *486:50 *2446:resetn_out 44.2345 -13 *2446:resetn_out *486:55 0.0631875 -14 *486:13 *486:60 4.5 -15 *486:60 *486:61 270.5 -16 *486:61 *486:66 45.218 -17 *486:66 *2452:resetn 14.4679 -*END - -*D_NET *487 0.972916 -*CONN -*I *2453:resetn I *D gpio_control_block -*I *2447:resetn_out O *D gpio_control_block -*I *2448:resetn I *D gpio_control_block -*I *2452:resetn_out O *D gpio_control_block -*CAP -1 *2453:resetn 0.000473828 -2 *2447:resetn_out 0.000986394 -3 *2448:resetn 0.00219024 -4 *2452:resetn_out 0.000570117 -5 *487:46 0.00681506 -6 *487:45 0.00634123 -7 *487:36 0.00436446 -8 *487:35 0.00337807 -9 *487:25 0.00234012 -10 *487:21 0.0741266 -11 *487:20 0.0739768 -12 *487:18 0.0291902 -13 *487:17 0.0294271 -14 *487:13 0.00162962 -15 *487:12 0.00196279 -16 *2447:resetn_out *2145:7 0 -17 *2447:resetn_out *2172:7 0 -18 *2448:resetn *2448:resetn_out 3.7591e-06 -19 *2453:resetn *488:11 0.00319704 -20 *2453:resetn *2050:13 1.92172e-05 -21 *2453:resetn *2131:10 6.75696e-05 -22 *2453:resetn *2158:10 3.74656e-05 -23 *487:12 *2130:10 0.000318589 -24 *487:13 *2452:pad_gpio_in 7.49541e-05 -25 *487:13 *1968:13 0.000574696 -26 *487:18 *2356:16 0 -27 *487:21 *1573:10 0.022849 -28 *487:21 *1574:18 0.000599849 -29 *487:21 *1577:16 0.000260374 -30 *487:25 *1573:10 5.04351e-05 -31 *487:36 *1557:27 0.00323471 -32 *487:36 *1575:19 0.00658658 -33 *2447:resetn *2447:resetn_out 5.56976e-05 -34 *2447:serial_clock_out *2447:resetn_out 0 -35 *2448:serial_clock_out *2448:resetn 0 -36 *101:37 *487:18 1.27831e-06 -37 *102:18 *487:18 0.170906 -38 *102:21 *487:21 0.35549 -39 *102:21 *487:25 0.000751257 -40 *102:54 *487:46 0.0168493 -41 *102:60 *2453:resetn 0.00302181 -42 *103:15 *2453:resetn 6.11359e-06 -43 *468:18 *487:18 0.137263 -44 *468:49 *487:46 0.00036177 -45 *469:18 *487:46 0 -46 *469:57 *487:46 9.22013e-06 -47 *471:24 *487:36 0.0091684 -48 *486:61 *487:18 0 -49 *486:61 *487:46 2.95757e-05 -50 *486:66 *487:13 0.0032946 -51 *486:66 *487:17 6.08467e-05 -*RES -1 *2452:resetn_out *487:12 21.8747 -2 *487:12 *487:13 52.3015 -3 *487:13 *487:17 10.7694 -4 *487:17 *487:18 2731.7 -5 *487:18 *487:20 4.5 -6 *487:20 *487:21 3760.38 -7 *487:21 *487:25 12.4332 -8 *487:25 *2448:resetn 48.8763 -9 *487:21 *487:35 4.5 -10 *487:35 *487:36 222.954 -11 *487:36 *2447:resetn_out 6.79754 -12 *487:13 *487:45 4.5 -13 *487:45 *487:46 287.526 -14 *487:46 *2453:resetn 48.3483 -*END - -*D_NET *488 0.925343 -*CONN -*I *2454:resetn I *D gpio_control_block -*I *2448:resetn_out O *D gpio_control_block -*I *2453:resetn_out O *D gpio_control_block -*CAP -1 *2454:resetn 0.00135148 -2 *2448:resetn_out 0.00055689 -3 *2453:resetn_out 0.000800226 -4 *488:52 0.0250142 -5 *488:51 0.0238023 -6 *488:35 0.0751574 -7 *488:34 0.0746005 -8 *488:32 0.00300652 -9 *488:31 0.00300652 -10 *488:29 0.00243325 -11 *488:28 0.00243325 -12 *488:26 0.0065851 -13 *488:25 0.0065851 -14 *488:23 0.00360299 -15 *488:22 0.00360299 -16 *488:20 0.0643103 -17 *488:19 0.0643103 -18 *488:17 0.0043575 -19 *488:16 0.00474864 -20 *488:11 0.00105176 -21 *2448:resetn_out *2448:serial_data_in 0.000258162 -22 *2454:resetn *2132:13 0 -23 *488:11 *2131:10 1.2366e-05 -24 *488:11 *2158:10 0 -25 *488:32 *2471:wb_dat_i[1] 0.000728314 -26 *488:32 *1735:13 0 -27 *488:35 *525:11 0 -28 *2448:resetn *2448:resetn_out 3.7591e-06 -29 *2448:serial_clock_out *2448:resetn_out 2.44479e-06 -30 *2448:serial_load_out *2448:resetn_out 8.10016e-06 -31 *2453:resetn *488:11 0.00319704 -32 *2453:serial_clock *488:11 4.2387e-05 -33 *2454:serial_clock *2454:resetn 0 -34 *102:60 *488:11 0.000197406 -35 *103:15 *488:11 0.000845972 -36 *103:19 *488:11 0.00043038 -37 *103:20 *488:16 0 -38 *103:38 *488:20 0.157626 -39 *103:59 *488:35 0.322046 -40 *103:72 *488:52 8.01542e-05 -41 *469:22 *488:20 3.26582e-06 -42 *469:57 *488:16 0 -43 *469:57 *488:52 0.000554108 -44 *470:34 *488:52 0.0679899 -*RES -1 *2453:resetn_out *488:11 48.2195 -2 *488:11 *488:16 15.815 -3 *488:16 *488:17 120.518 -4 *488:17 *488:19 4.5 -5 *488:19 *488:20 2789 -6 *488:20 *488:22 4.5 -7 *488:22 *488:23 99.9974 -8 *488:23 *488:25 4.5 -9 *488:25 *488:26 185.789 -10 *488:26 *488:28 4.5 -11 *488:28 *488:29 67.8304 -12 *488:29 *488:31 4.5 -13 *488:31 *488:32 76.1621 -14 *488:32 *488:34 4.5 -15 *488:34 *488:35 3500.83 -16 *488:35 *2448:resetn_out 23.4226 -17 *488:16 *488:51 7.99641 -18 *488:51 *488:52 1096.85 -19 *488:52 *2454:resetn 46.9632 -*END - -*D_NET *489 1.25746 -*CONN -*I *2455:resetn I *D gpio_control_block -*I *2445:resetn_out O *D gpio_control_block -*I *2463:resetn I *D gpio_control_block -*I *2462:resetn_out O *D gpio_control_block -*CAP -1 *2455:resetn 0.000176974 -2 *2445:resetn_out 0.000522251 -3 *2463:resetn 0.000467769 -4 *2462:resetn_out 0.000512538 -5 *489:49 0.00333431 -6 *489:47 0.00283784 -7 *489:45 0.000980431 -8 *489:44 0.00176753 -9 *489:35 0.00160841 -10 *489:34 0.000795535 -11 *489:32 0.02735 -12 *489:31 0.027173 -13 *489:29 0.0252628 -14 *489:28 0.0252628 -15 *489:26 0.00443273 -16 *489:25 0.00443273 -17 *489:14 0.00535592 -18 *489:13 0.00528369 -19 *489:8 0.00156644 -20 *489:7 0.00168343 -21 *2445:resetn_out *2445:serial_data_in 0 -22 *2455:resetn *2455:resetn_out 4.31122e-05 -23 *2455:resetn *2174:13 3.84497e-05 -24 *2463:resetn *490:7 0.000210918 -25 *2463:resetn *2162:7 0.000210918 -26 *489:7 *2462:serial_data_in 0 -27 *489:7 *2161:7 0 -28 *489:8 *517:20 0.00045517 -29 *489:8 *1899:8 1.87269e-05 -30 *489:8 *1945:8 0.00357093 -31 *489:8 *2080:14 0.00228543 -32 *489:8 *2161:8 0.00699644 -33 *489:13 *2474:mprj_io_analog_en[15] 5.04829e-06 -34 *489:13 *2474:mprj_io_inp_dis[15] 0 -35 *489:13 *2161:13 0 -36 *489:14 *2474:mprj_io_dm[45] 0 -37 *489:14 *2026:9 0.000473066 -38 *489:14 *2107:14 0 -39 *489:14 *2161:17 0 -40 *489:14 *2309:13 0 -41 *489:26 *2336:15 0.00141018 -42 *489:32 *1562:19 0.000135103 -43 *489:32 *1571:10 0.342348 -44 *489:32 *1572:10 4.4379e-05 -45 *489:35 *2455:mgmt_gpio_out 0.000100924 -46 *489:35 *503:26 0.000175656 -47 *489:35 *2147:8 0.00466969 -48 *489:45 *503:20 0.00455234 -49 *489:45 *1935:24 0 -50 *2445:resetn *2445:resetn_out 4.31122e-05 -51 *2445:serial_clock *2445:resetn_out 4.31122e-05 -52 *2455:serial_clock *2455:resetn 0.000196651 -53 *2462:resetn *489:7 0.000267264 -54 *100:80 *2445:resetn_out 0 -55 *100:84 *2445:resetn_out 0 -56 *104:34 *489:29 0.194165 -57 *104:37 *2455:resetn 4.66492e-05 -58 *104:37 *489:32 0.349441 -59 *104:40 *2455:resetn 0 -60 *104:40 *489:35 0.00501558 -61 *104:50 *489:45 0.00320921 -62 *104:56 *489:45 1.90395e-05 -63 *104:56 *489:49 0.0020682 -64 *467:33 *489:29 0.194161 -65 *467:53 *489:49 0.000235981 -66 *471:16 *489:14 0 -67 *471:23 *489:14 0 -*RES -1 *2462:resetn_out *489:7 5.49721 -2 *489:7 *489:8 117.064 -3 *489:8 *489:13 16.9332 -4 *489:13 *489:14 140.526 -5 *489:14 *2463:resetn 13.11 -6 *489:14 *489:25 4.5 -7 *489:25 *489:26 119.409 -8 *489:26 *489:28 4.5 -9 *489:28 *489:29 3108.75 -10 *489:29 *489:31 4.5 -11 *489:31 *489:32 3696.05 -12 *489:32 *489:34 4.5 -13 *489:34 *489:35 81.768 -14 *489:35 *489:44 8.62987 -15 *489:44 *489:45 74.0859 -16 *489:45 *489:47 0.732798 -17 *489:47 *489:49 105.437 -18 *489:49 *2445:resetn_out 25.0493 -19 *489:32 *2455:resetn 12.2359 -*END - -*D_NET *490 0.698623 -*CONN -*I *2464:resetn I *D gpio_control_block -*I *2456:resetn I *D gpio_control_block -*I *2455:resetn_out O *D gpio_control_block -*I *2463:resetn_out O *D gpio_control_block -*CAP -1 *2464:resetn 0.000637513 -2 *2456:resetn 0.000293262 -3 *2455:resetn_out 0.0107419 -4 *2463:resetn_out 0.000670727 -5 *490:25 0.0115893 -6 *490:19 0.133851 -7 *490:18 0.133297 -8 *490:16 0.0207306 -9 *490:15 0.0207306 -10 *490:13 0.00409986 -11 *490:12 0.00431089 -12 *490:8 0.00725115 -13 *490:7 0.00707334 -14 *2455:resetn_out *2455:serial_data_in 0 -15 *2455:resetn_out *2474:mprj_io_analog_en[3] 0.000106863 -16 *2455:resetn_out *2474:mprj_io_holdover[3] 0.000240961 -17 *2455:resetn_out *2474:mprj_io_oeb[3] 0.000113186 -18 *2455:resetn_out *2474:mprj_io_out[3] 0.000107678 -19 *2455:resetn_out *2474:mprj_io_slow_sel[3] 0.000102556 -20 *2455:resetn_out *504:8 0.00160609 -21 *2455:resetn_out *504:12 0.000974597 -22 *2455:resetn_out *1851:16 0.000404814 -23 *2455:resetn_out *1851:22 0.000266116 -24 *2455:resetn_out *1860:16 0.000386267 -25 *2455:resetn_out *1959:18 0.000391491 -26 *2455:resetn_out *1986:14 0.000539902 -27 *2455:resetn_out *2013:7 0.000482261 -28 *2455:resetn_out *2013:13 0.00080517 -29 *2455:resetn_out *2040:19 0.000141831 -30 *2455:resetn_out *2094:8 0.000273081 -31 *2455:resetn_out *2147:7 0 -32 *2456:resetn *2094:7 0 -33 *2456:resetn *2175:13 3.84497e-05 -34 *2464:resetn *2082:10 0 -35 *2464:resetn *2163:7 0.000286481 -36 *490:7 *2135:7 0 -37 *490:7 *2162:7 0 -38 *2455:resetn *2455:resetn_out 4.31122e-05 -39 *2455:serial_clock *2455:resetn_out 5.37048e-05 -40 *2455:serial_clock_out *2455:resetn_out 0 -41 *2456:serial_clock *2456:resetn 0 -42 *2463:resetn *490:7 0.000210918 -43 *2464:serial_clock *2464:resetn 0 -44 *105:7 *490:7 0 -45 *105:8 *490:8 0.00701861 -46 *105:12 *490:8 0.0045391 -47 *105:23 *2464:resetn 0 -48 *105:32 *2455:resetn_out 0.000315887 -49 *105:43 *2455:resetn_out 0.000272364 -50 *105:52 *2455:resetn_out 0.00016984 -51 *105:54 *2455:resetn_out 0.000629915 -52 *105:60 *2455:resetn_out 0.000614381 -53 *106:13 *490:8 0 -54 *106:13 *490:12 0 -55 *467:33 *490:16 0.160109 -56 *468:21 *490:19 0 -57 *471:24 *490:8 0 -58 *471:24 *490:12 0 -59 *472:32 *2455:resetn_out 0.00031116 -60 *472:38 *2455:resetn_out 0.0010111 -61 *472:44 *2455:resetn_out 0.000674611 -62 *485:41 *490:16 0.160104 -*RES -1 *2463:resetn_out *490:7 5.95615 -2 *490:7 *490:8 266.763 -3 *490:8 *490:12 10.2769 -4 *490:12 *490:13 109.426 -5 *490:13 *490:15 4.5 -6 *490:15 *490:16 2562.48 -7 *490:16 *490:18 3.36879 -8 *490:18 *490:19 508.365 -9 *490:19 *490:25 2.6859 -10 *490:25 *2455:resetn_out 39.3525 -11 *490:25 *2456:resetn 0.942825 -12 *490:8 *2464:resetn 5.95615 -*END - -*D_NET *491 1.24267 -*CONN -*I *2456:resetn_out O *D gpio_control_block -*I *2457:resetn I *D gpio_control_block -*I *2465:resetn I *D gpio_control_block -*I *2464:resetn_out O *D gpio_control_block -*CAP -1 *2456:resetn_out 8.86388e-05 -2 *2457:resetn 0.00120379 -3 *2465:resetn 0.000620568 -4 *2464:resetn_out 0.00584431 -5 *491:71 0.00185956 -6 *491:70 0.00308463 -7 *491:51 0.00286059 -8 *491:50 0.0016568 -9 *491:48 0.0239761 -10 *491:47 0.0226624 -11 *491:45 0.0213579 -12 *491:44 0.0213579 -13 *491:42 0.00441558 -14 *491:41 0.00441558 -15 *491:28 0.00332314 -16 *491:27 0.00270257 -17 *491:25 0.000637349 -18 *491:17 0.00489074 -19 *491:16 0.0042534 -20 *491:14 0.00298062 -21 *491:13 0.00882492 -22 *2457:resetn *2457:resetn_out 1.29141e-05 -23 *2457:resetn *492:31 0 -24 *2457:resetn *2176:13 0.000155558 -25 *2465:resetn *492:9 0.00151334 -26 *2465:resetn *519:10 2.71975e-05 -27 *2465:resetn *520:16 0.000215786 -28 *2465:resetn *2164:9 0.000132991 -29 *491:17 *1839:33 0.0195892 -30 *491:25 *1904:13 0.000244445 -31 *491:25 *1906:8 0.0015941 -32 *491:25 *1947:13 1.61631e-05 -33 *491:25 *2001:13 0 -34 *491:25 *2136:8 0.0016265 -35 *491:25 *2294:14 2.57111e-05 -36 *491:28 *519:10 0.000160387 -37 *491:28 *1904:16 0.000375885 -38 *491:28 *1905:8 0.00146064 -39 *491:28 *1947:23 0.00192077 -40 *491:28 *2163:14 1.2819e-05 -41 *491:28 *2163:23 0.000383673 -42 *491:48 *2473:la_data_in[57] 0.000164024 -43 *491:48 *493:48 0.051813 -44 *491:48 *496:41 0.351063 -45 *491:48 *497:34 0.346955 -46 *491:51 *492:28 0.0117947 -47 *491:51 *1537:20 4.11944e-05 -48 *491:70 *496:41 0.000497846 -49 *106:14 *491:28 0.0098849 -50 *106:34 *491:45 0.139358 -51 *106:37 *491:48 1.00981e-05 -52 *106:40 *491:51 0.0118845 -53 *106:59 *491:70 0.00088455 -54 *106:60 *491:71 0.0045918 -55 *107:84 *491:45 0.123933 -56 *107:87 *491:48 4.03336e-05 -57 *107:87 *491:70 9.80747e-05 -58 *108:39 *491:45 1.47875e-05 -59 *113:20 *491:45 0.0167407 -60 *472:23 *491:48 0 -61 *472:23 *491:70 0 -62 *474:14 *2465:resetn 0.000397726 -63 *485:41 *491:45 1.56527e-05 -*RES -1 *2464:resetn_out *491:13 26.4136 -2 *491:13 *491:14 84.2596 -3 *491:14 *491:16 4.5 -4 *491:16 *491:17 204.818 -5 *491:17 *491:25 45.5076 -6 *491:25 *491:27 4.5 -7 *491:27 *491:28 166.272 -8 *491:28 *2465:resetn 19.5692 -9 *491:28 *491:41 4.5 -10 *491:41 *491:42 116.636 -11 *491:42 *491:44 4.5 -12 *491:44 *491:45 2230.49 -13 *491:45 *491:47 4.5 -14 *491:47 *491:48 3669.98 -15 *491:48 *491:50 4.5 -16 *491:50 *491:51 191.602 -17 *491:51 *2457:resetn 39.7633 -18 *491:48 *491:70 49.0371 -19 *491:70 *491:71 78.446 -20 *491:71 *2456:resetn_out 3.708 -*END - -*D_NET *492 0.896982 -*CONN -*I *2457:resetn_out O *D gpio_control_block -*I *2458:resetn I *D gpio_control_block -*I *2466:resetn I *D gpio_control_block -*I *2465:resetn_out O *D gpio_control_block -*CAP -1 *2457:resetn_out 0.000774602 -2 *2458:resetn 0.000719496 -3 *2466:resetn 0.000491457 -4 *2465:resetn_out 0.000451265 -5 *492:34 0.00296828 -6 *492:33 0.00224879 -7 *492:31 0.00123772 -8 *492:28 0.001979 -9 *492:27 0.00151589 -10 *492:25 0.0789435 -11 *492:24 0.0789435 -12 *492:22 0.0315738 -13 *492:21 0.0319947 -14 *492:12 0.000912331 -15 *492:10 0.00650535 -16 *492:9 0.00695662 -17 *2458:resetn *2458:resetn_out 3.84497e-05 -18 *2458:resetn *2177:13 3.84497e-05 -19 *2466:resetn *493:7 0.000114713 -20 *2466:resetn *2165:13 0.000406155 -21 *2466:resetn *2339:15 0 -22 *492:9 *2465:serial_data_in 0 -23 *492:10 *2474:mprj_io_inp_dis[18] 0.000253824 -24 *492:10 *520:16 0.00188321 -25 *492:10 *521:14 0.000194127 -26 *492:10 *2083:10 0.008934 -27 *492:10 *2164:16 0.000332901 -28 *492:21 *2339:15 0 -29 *492:22 *1557:27 0.102505 -30 *492:22 *1558:29 0.0537323 -31 *492:25 *495:53 0.352078 -32 *492:25 *497:34 0 -33 *492:25 *2020:24 0.00303298 -34 *492:28 *1537:20 0.0119459 -35 *492:34 *505:14 0.000465807 -36 *492:34 *506:14 0.0164065 -37 *2457:resetn *2457:resetn_out 1.29141e-05 -38 *2457:resetn *492:31 0 -39 *2457:serial_clock *2457:resetn_out 1.29141e-05 -40 *2457:serial_clock *492:31 0 -41 *2465:resetn *492:9 0.00151334 -42 *2465:serial_clock *492:9 8.63825e-06 -43 *106:40 *492:28 7.61581e-05 -44 *107:90 *492:34 0.000311015 -45 *107:107 *492:34 0.0167972 -46 *472:20 *492:22 0.00941994 -47 *477:29 *492:25 0.0540683 -48 *478:32 *492:34 0.0023888 -49 *491:51 *492:28 0.0117947 -*RES -1 *2465:resetn_out *492:9 5.75661 -2 *492:9 *492:10 265.932 -3 *492:10 *492:12 3.36879 -4 *492:12 *2466:resetn 2.2814 -5 *492:12 *492:21 4.79549 -6 *492:21 *492:22 1948.33 -7 *492:22 *492:24 4.5 -8 *492:24 *492:25 3774.25 -9 *492:25 *492:27 4.5 -10 *492:27 *492:28 190.772 -11 *492:28 *492:31 12.9878 -12 *492:31 *492:33 4.5 -13 *492:33 *492:34 273.407 -14 *492:34 *2458:resetn 29.7705 -15 *492:31 *2457:resetn_out 26.3897 -*END - -*D_NET *493 1.2882 -*CONN -*I *2459:resetn I *D gpio_control_block -*I *2458:resetn_out O *D gpio_control_block -*I *2467:resetn I *D gpio_control_block -*I *2466:resetn_out O *D gpio_control_block -*CAP -1 *2459:resetn 0.00520189 -2 *2458:resetn_out 0.000442557 -3 *2467:resetn 0.000135285 -4 *2466:resetn_out 0.000127697 -5 *493:55 0.00592934 -6 *493:51 0.00441084 -7 *493:50 0.00412594 -8 *493:48 0.0255418 -9 *493:47 0.0255418 -10 *493:45 0.0325076 -11 *493:44 0.0325076 -12 *493:42 0.00406663 -13 *493:41 0.00406663 -14 *493:29 0.00160219 -15 *493:22 0.00394093 -16 *493:20 0.00263589 -17 *493:16 0.000955441 -18 *493:8 0.00330903 -19 *493:7 0.00264315 -20 *2458:resetn_out *2150:7 0 -21 *2459:resetn *2459:resetn_out 3.31632e-05 -22 *2459:resetn *494:26 0.00176011 -23 *2459:resetn *494:29 0.000166086 -24 *2459:resetn *495:64 0.00141797 -25 *2459:resetn *1870:14 0.000804732 -26 *2459:resetn *2043:13 0.000988992 -27 *2459:resetn *2070:14 0.000640931 -28 *2459:resetn *2097:7 0 -29 *2459:resetn *2124:14 0.000836744 -30 *2459:resetn *2178:13 3.84497e-05 -31 *2459:resetn *2178:14 0.000617283 -32 *493:7 *2466:serial_data_in 0 -33 *493:8 *1911:18 0.000645639 -34 *493:8 *1976:8 0.000860035 -35 *493:8 *2003:11 0 -36 *493:16 *521:14 9.71323e-06 -37 *493:16 *1841:8 0.00153368 -38 *493:16 *1976:13 0.000356844 -39 *493:16 *1976:16 0.00152574 -40 *493:20 *521:14 0.000700943 -41 *493:20 *1841:19 0.000700943 -42 *493:20 *1976:16 9.71323e-06 -43 *493:20 *2084:8 8.35615e-06 -44 *493:22 *521:8 0.00830535 -45 *493:22 *1841:19 9.71323e-06 -46 *493:22 *2084:8 0.00255743 -47 *493:22 *2165:28 0 -48 *493:29 *494:7 0.000393802 -49 *493:45 *1574:19 0.00488005 -50 *493:48 *2473:la_data_in[57] 0.000297298 -51 *493:48 *494:23 3.05102e-05 -52 *493:48 *496:41 0.0476344 -53 *493:51 *2474:mprj_io_dm[17] 0.000290304 -54 *493:51 *495:64 0.000425703 -55 *493:51 *1826:19 0.000382316 -56 *493:51 *1866:14 0.000530008 -57 *493:51 *1987:14 0.000642807 -58 *493:51 *2014:21 0.000425124 -59 *493:51 *2122:14 0.000691809 -60 *493:51 *2150:14 0.000911944 -61 *493:51 *2177:14 0.000880813 -62 *2458:resetn *2458:resetn_out 3.84497e-05 -63 *2458:serial_clock *2458:resetn_out 4.31122e-05 -64 *2458:serial_clock_out *2458:resetn_out 0 -65 *2459:serial_clock *2459:resetn 0.0185843 -66 *2466:resetn *493:7 0.000114713 -67 *108:42 *493:48 0.000239164 -68 *108:47 *493:51 0.0190094 -69 *108:49 *2459:resetn 0.00036201 -70 *108:49 *493:51 0.00405446 -71 *108:49 *493:55 0.000764356 -72 *109:10 *2467:resetn 0 -73 *110:98 *2459:resetn 0.00186827 -74 *110:98 *493:51 0.00102273 -75 *474:57 *493:48 0.399306 -76 *475:16 *493:8 0 -77 *475:37 *2459:resetn 0.000318615 -78 *475:37 *493:51 0.0318714 -79 *475:37 *493:55 0.000236666 -80 *476:8 *493:22 0 -81 *476:8 *493:29 0 -82 *476:25 *493:48 0.000193559 -83 *478:26 *493:45 0.00997597 -84 *478:29 *493:48 0.399582 -85 *480:28 *493:45 0.10616 -86 *491:48 *493:48 0.051813 -*RES -1 *2466:resetn_out *493:7 3.9674 -2 *493:7 *493:8 83.8443 -3 *493:8 *493:16 47.9584 -4 *493:16 *493:20 13.0927 -5 *493:20 *493:22 135.751 -6 *493:22 *493:29 49.2572 -7 *493:29 *2467:resetn 4.50187 -8 *493:22 *493:41 4.5 -9 *493:41 *493:42 109.426 -10 *493:42 *493:44 4.5 -11 *493:44 *493:45 1698.34 -12 *493:45 *493:47 3.36879 -13 *493:47 *493:48 509.283 -14 *493:48 *493:50 0.376635 -15 *493:50 *493:51 63.2605 -16 *493:51 *493:55 1.8961 -17 *493:55 *2458:resetn_out 1.62542 -18 *493:55 *2459:resetn 39.2818 -*END - -*D_NET *494 1.33506 -*CONN -*I *2459:resetn_out O *D gpio_control_block -*I *2460:resetn I *D gpio_control_block -*I *2468:resetn I *D gpio_control_block -*I *2467:resetn_out O *D gpio_control_block -*CAP -1 *2459:resetn_out 4.15864e-05 -2 *2460:resetn 2.68684e-05 -3 *2468:resetn 0.000429635 -4 *2467:resetn_out 0.000693501 -5 *494:46 2.68684e-05 -6 *494:42 0.00257989 -7 *494:41 0.00326483 -8 *494:36 0.0104434 -9 *494:34 0.00979467 -10 *494:29 0.000542794 -11 *494:26 0.00829964 -12 *494:25 0.00783461 -13 *494:23 0.0218912 -14 *494:22 0.0218912 -15 *494:20 0.019997 -16 *494:19 0.019997 -17 *494:11 0.000653477 -18 *494:8 0.0207237 -19 *494:7 0.0211933 -20 *2468:resetn *2468:user_gpio_oeb 0 -21 *2468:resetn *495:14 5.56976e-05 -22 *2468:resetn *2168:7 0.000307124 -23 *494:7 *2467:serial_data_in 0 -24 *494:7 *2140:7 0 -25 *494:7 *2167:19 0 -26 *494:8 *523:8 0 -27 *494:8 *1816:16 0 -28 *494:8 *1843:16 0 -29 *494:8 *1914:16 0 -30 *494:8 *2032:13 0 -31 *494:8 *2140:8 0 -32 *494:11 *2468:user_gpio_oeb 0 -33 *494:20 *495:14 0 -34 *494:20 *513:14 0.00304724 -35 *494:20 *514:8 0.000429551 -36 *494:20 *523:8 0.00303514 -37 *494:20 *1790:8 0.000667635 -38 *494:20 *1792:8 0.000729048 -39 *494:20 *1817:8 0.000776783 -40 *494:20 *1819:8 0.000804228 -41 *494:20 *1820:14 0.000520968 -42 *494:20 *1917:14 0.000443731 -43 *494:20 *1920:8 0.000831196 -44 *494:20 *1926:16 0.000737797 -45 *494:20 *1929:20 0.000182793 -46 *494:20 *1952:8 0.000639653 -47 *494:20 *1953:17 0.000158897 -48 *494:20 *1979:18 0.000595313 -49 *494:20 *1980:8 0.000550827 -50 *494:20 *1980:16 0.000812647 -51 *494:20 *2060:8 0.000964879 -52 *494:20 *2061:16 0.00092497 -53 *494:20 *2063:8 0.000642796 -54 *494:20 *2088:12 0.00157095 -55 *494:20 *2114:8 0.00111099 -56 *494:20 *2117:12 0.000649082 -57 *494:20 *2144:8 0.000496457 -58 *494:20 *2168:8 0.00143652 -59 *494:20 *2169:16 0.00109157 -60 *494:20 *2300:21 0.000202475 -61 *494:20 *2319:11 0.000495892 -62 *494:23 *495:53 0.0475258 -63 *494:26 *2016:19 0.000883061 -64 *494:36 *2459:user_gpio_oeb 7.22572e-05 -65 *494:36 *2459:user_gpio_out 8.21013e-05 -66 *494:36 *507:18 0 -67 *2446:serial_load_out *494:20 0.00084449 -68 *2459:resetn *2459:resetn_out 3.31632e-05 -69 *2459:resetn *494:26 0.00176011 -70 *2459:resetn *494:29 0.000166086 -71 *2459:serial_clock *2459:resetn_out 3.84497e-05 -72 *2459:serial_clock *494:26 0 -73 *2459:serial_clock *494:29 0.000148555 -74 *109:94 *494:36 6.75696e-05 -75 *109:103 *494:36 0.0260934 -76 *110:91 *494:23 0.0517972 -77 *110:98 *494:26 0.00364078 -78 *111:12 *494:20 0.000527202 -79 *111:16 *494:20 0.00229192 -80 *474:54 *494:8 0.000702874 -81 *475:37 *494:26 0.0334809 -82 *476:8 *494:8 0.0210921 -83 *476:20 *494:8 0.000135815 -84 *476:22 *494:8 0.000574175 -85 *476:22 *494:20 0.0625991 -86 *476:25 *494:23 0.414659 -87 *476:28 *494:26 0.0515845 -88 *476:40 *494:42 0.00209796 -89 *477:8 *494:20 0.000204442 -90 *477:26 *494:20 0 -91 *477:29 *494:23 0.414617 -92 *478:10 *494:20 0.00167858 -93 *493:29 *494:7 0.000393802 -94 *493:48 *494:23 3.05102e-05 -*RES -1 *2467:resetn_out *494:7 5.65019 -2 *494:7 *494:8 781.676 -3 *494:8 *494:11 3.83937 -4 *494:11 *2468:resetn 1.86071 -5 *494:11 *494:19 0.376635 -6 *494:19 *494:20 124.756 -7 *494:20 *494:22 0.376635 -8 *494:22 *494:23 524.161 -9 *494:23 *494:25 0.376635 -10 *494:25 *494:26 102.352 -11 *494:26 *494:29 2.07106 -12 *494:29 *494:34 4.3947 -13 *494:34 *494:36 438.763 -14 *494:36 *494:41 27.4707 -15 *494:41 *494:42 97.9629 -16 *494:42 *2460:resetn 3.36879 -17 *2460:resetn *494:46 0.0631875 -18 *494:29 *2459:resetn_out 0.216168 -*END - -*D_NET *495 1.14368 -*CONN -*I *2449:resetn I *D gpio_control_block -*I *2460:resetn_out O *D gpio_control_block -*I *2469:resetn I *D gpio_control_block -*I *2468:resetn_out O *D gpio_control_block -*CAP -1 *2449:resetn 7.7646e-05 -2 *2460:resetn_out 8.683e-05 -3 *2469:resetn 8.80772e-05 -4 *2468:resetn_out 0.00591734 -5 *495:87 0.00237734 -6 *495:85 0.00284189 -7 *495:70 0.0011903 -8 *495:64 0.0247702 -9 *495:62 0.0243538 -10 *495:56 0.00135961 -11 *495:55 0.00121474 -12 *495:53 0.0212505 -13 *495:52 0.0212505 -14 *495:50 0.00375801 -15 *495:49 0.00658659 -16 *495:44 0.00846692 -17 *495:43 0.00599563 -18 *495:30 0.00120566 -19 *495:29 0.00593264 -20 *495:24 0.00709093 -21 *495:23 0.00227587 -22 *495:21 0.00424135 -23 *495:20 0.00494675 -24 *495:14 0.00698002 -25 *2449:resetn *2449:resetn_out 0.000105107 -26 *2449:resetn *2180:13 3.18067e-05 -27 *2460:resetn_out *2179:13 0 -28 *495:14 *2468:serial_data_in 0 -29 *495:14 *2468:user_gpio_oeb 3.27616e-06 -30 *495:44 *496:17 0.00851853 -31 *495:44 *496:20 0.000100822 -32 *495:44 *1818:15 0.000311148 -33 *495:44 *1926:12 0.000615079 -34 *495:49 *2473:gpio_noesd[16] 0.000523689 -35 *495:50 *1553:33 0.00145675 -36 *495:53 *497:34 0 -37 *495:56 *2474:mprj_io_analog_pol[4] 0.000545108 -38 *495:56 *2474:mprj_io_dm[12] 9.69513e-05 -39 *495:56 *2474:mprj_io_slow_sel[4] 0.000658312 -40 *495:56 *2014:12 0.000280067 -41 *495:62 *2474:mprj_io_analog_pol[4] 2.1558e-06 -42 *495:62 *2474:mprj_io_analog_sel[4] 6.73609e-05 -43 *495:64 *2458:user_gpio_out 0 -44 *495:64 *2474:mprj_io_analog_en[5] 9.69513e-05 -45 *495:64 *2474:mprj_io_analog_pol[5] 0.000525313 -46 *495:64 *2474:mprj_io_dm[15] 0.000103111 -47 *495:64 *2474:mprj_io_dm[16] 0.000205402 -48 *495:64 *2474:mprj_io_slow_sel[5] 0 -49 *495:64 *507:10 0 -50 *495:64 *507:12 0.00458026 -51 *495:64 *507:18 0 -52 *495:64 *1852:14 0.00491521 -53 *495:64 *1853:17 0.00267971 -54 *495:64 *1854:14 0.000210876 -55 *495:64 *1863:14 0.00518213 -56 *495:64 *1870:14 0.00496369 -57 *495:64 *1871:14 0.000434634 -58 *495:64 *1873:13 0 -59 *495:64 *1960:14 0.000156062 -60 *495:64 *1961:14 1.2819e-05 -61 *495:64 *1962:16 0.00471551 -62 *495:64 *1963:8 0 -63 *495:64 *2015:10 0 -64 *495:64 *2016:13 0.00140631 -65 *495:64 *2017:13 0.00034574 -66 *495:64 *2042:11 0.00200821 -67 *495:64 *2095:8 0 -68 *495:64 *2096:14 0.000988862 -69 *495:64 *2123:14 0.00513585 -70 *495:64 *2150:8 0 -71 *495:64 *2151:22 1.28326e-05 -72 *495:70 *2125:8 0.000674071 -73 *495:85 *2460:serial_data_in 0.000143544 -74 *495:85 *2460:user_gpio_oeb 1.66771e-05 -75 *495:85 *2460:user_gpio_out 8.36854e-05 -76 *495:85 *509:15 0.000182455 -77 *495:85 *2125:8 0.00105467 -78 *495:85 *2353:10 9.3612e-05 -79 *495:87 *1802:13 0.000306656 -80 *495:87 *1875:13 0.0007339 -81 *495:87 *2125:8 0.000431804 -82 *495:87 *2180:13 0.000158426 -83 *2458:serial_load *495:64 0 -84 *2459:resetn *495:64 0.00141797 -85 *2460:serial_clock_out *2460:resetn_out 0 -86 *2468:resetn *495:14 5.56976e-05 -87 *108:47 *495:56 0.00049479 -88 *108:47 *495:64 0.000723518 -89 *108:49 *495:64 0.000760449 -90 *110:46 *495:14 0.00907174 -91 *110:46 *495:44 0 -92 *110:52 *495:20 0.000145708 -93 *110:53 *495:21 0.0143999 -94 *110:61 *495:21 0.000211758 -95 *110:62 *495:24 0.00640034 -96 *110:68 *495:30 0.00323575 -97 *110:82 *495:44 0.032386 -98 *110:87 *495:49 0.0130129 -99 *110:88 *495:50 0.00276002 -100 *110:91 *495:53 0.353557 -101 *110:96 *495:56 0.00219222 -102 *110:98 *495:56 0.00111783 -103 *110:98 *495:62 0.000292149 -104 *110:98 *495:64 0.0286027 -105 *110:119 *495:87 0.00911021 -106 *110:123 *495:87 0.00236284 -107 *111:42 *495:50 1.04352e-05 -108 *112:14 *495:44 0.0107411 -109 *112:30 *495:50 0.00787012 -110 *473:53 *495:53 1.41291e-05 -111 *477:26 *495:44 0 -112 *477:44 *495:87 0.000632845 -113 *477:46 *495:87 0.0134748 -114 *478:10 *495:44 0 -115 *479:31 *495:50 0.00846577 -116 *492:25 *495:53 0.352078 -117 *493:51 *495:64 0.000425703 -118 *494:20 *495:14 0 -119 *494:23 *495:53 0.0475258 -*RES -1 *2468:resetn_out *495:14 30.7864 -2 *495:14 *495:20 20.5417 -3 *495:20 *495:21 184.297 -4 *495:21 *495:23 4.5 -5 *495:23 *495:24 104.192 -6 *495:24 *495:29 25.0686 -7 *495:29 *495:30 51.6623 -8 *495:30 *2469:resetn 3.59493 -9 *495:14 *495:43 1.49738 -10 *495:43 *495:44 65.4323 -11 *495:44 *495:49 20.5084 -12 *495:49 *495:50 229.598 -13 *495:50 *495:52 4.5 -14 *495:52 *495:53 3698.82 -15 *495:53 *495:55 4.5 -16 *495:55 *495:56 72.6325 -17 *495:56 *495:62 6.20436 -18 *495:62 *495:64 1193.81 -19 *495:64 *495:70 26.4446 -20 *495:70 *2460:resetn_out 3.66144 -21 *495:70 *495:85 26.1853 -22 *495:85 *495:87 243.094 -23 *495:87 *2449:resetn 3.66144 -*END - -*D_NET *496 1.12112 -*CONN -*I *2450:resetn I *D gpio_control_block -*I *2449:resetn_out O *D gpio_control_block -*I *2470:resetn I *D gpio_control_block -*I *2469:resetn_out O *D gpio_control_block -*CAP -1 *2450:resetn 0.00220433 -2 *2449:resetn_out 0.00334409 -3 *2470:resetn 0.000832416 -4 *2469:resetn_out 0.00652975 -5 *496:44 0.0251871 -6 *496:43 0.0240474 -7 *496:41 0.0205107 -8 *496:40 0.0205107 -9 *496:38 0.00335519 -10 *496:37 0.00335519 -11 *496:35 0.00486895 -12 *496:20 0.00619701 -13 *496:17 0.0070254 -14 *2449:resetn_out *2153:15 0 -15 *2449:resetn_out *2180:13 0.000120971 -16 *2450:resetn *1803:13 4.38142e-05 -17 *2450:resetn *1829:13 0.016466 -18 *2450:resetn *1830:13 0.000997457 -19 *2450:resetn *1990:8 0 -20 *496:17 *1791:12 0.00041836 -21 *496:17 *1845:12 0.000207875 -22 *496:17 *1922:8 0.000467083 -23 *496:17 *2034:7 0.00043359 -24 *496:20 *2470:user_gpio_oeb 1.66771e-05 -25 *496:20 *2470:user_gpio_out 1.66771e-05 -26 *496:20 *524:15 9.09898e-05 -27 *496:20 *2344:15 1.66771e-05 -28 *496:35 *2470:serial_data_in 0.000246273 -29 *496:41 *2473:la_data_in[57] 0.000107951 -30 *496:44 *1567:20 0.0435134 -31 *496:44 *1568:20 2.05972e-05 -32 *496:44 *1855:14 0 -33 *496:44 *1868:14 0 -34 *496:44 *2152:14 0 -35 *2449:resetn *2449:resetn_out 0.000105107 -36 *2449:serial_clock *2449:resetn_out 1.75358e-05 -37 *2449:serial_clock *2450:resetn 7.40436e-06 -38 *2449:serial_clock_out *2449:resetn_out 0 -39 *2450:serial_clock *2450:resetn 0.0183829 -40 *2470:serial_clock *2470:resetn 0.00175162 -41 *2470:serial_clock *496:35 0.00137832 -42 *2470:serial_load *496:20 0.000355876 -43 *107:87 *496:41 0.35154 -44 *108:39 *496:38 0.0243437 -45 *111:48 *496:44 0.0970877 -46 *113:20 *496:38 0.00282599 -47 *475:31 *496:38 0.0243403 -48 *477:26 *496:17 0 -49 *479:15 *496:20 1.66771e-05 -50 *491:48 *496:41 0.351063 -51 *491:70 *496:41 0.000497846 -52 *493:48 *496:41 0.0476344 -53 *495:44 *496:17 0.00851853 -54 *495:44 *496:20 0.000100822 -*RES -1 *2469:resetn_out *496:17 37.3451 -2 *496:17 *496:20 21.0734 -3 *496:20 *2470:resetn 36.9103 -4 *496:20 *496:35 131.055 -5 *496:35 *496:37 4.5 -6 *496:37 *496:38 391.754 -7 *496:38 *496:40 4.5 -8 *496:40 *496:41 3673.86 -9 *496:41 *496:43 4.5 -10 *496:43 *496:44 1551.76 -11 *496:44 *2449:resetn_out 6.55809 -12 *2449:resetn_out *2450:resetn 38.285 -*END - -*D_NET *497 1.04084 -*CONN -*I *2450:resetn_out O *D gpio_control_block -*I *2451:resetn I *D gpio_control_block -*I *2446:resetn I *D gpio_control_block -*I *2470:resetn_out O *D gpio_control_block -*CAP -1 *2450:resetn_out 0.0010968 -2 *2451:resetn 0.000149744 -3 *2446:resetn 0.00162034 -4 *2470:resetn_out 0.000101059 -5 *497:49 0.000654059 -6 *497:43 0.0064083 -7 *497:42 0.00590399 -8 *497:40 0.00117889 -9 *497:37 0.0391707 -10 *497:36 0.0390886 -11 *497:34 0.0247602 -12 *497:33 0.0247602 -13 *497:31 0.00284882 -14 *497:30 0.00284882 -15 *497:28 0.00326104 -16 *497:27 0.00326104 -17 *497:16 0.00671007 -18 *497:15 0.00508973 -19 *497:13 0.0032543 -20 *497:12 0.0032543 -21 *497:10 0.00122144 -22 *497:9 0.0013225 -23 *2446:resetn *2474:mprj_io_vtrip_sel[24] 5.04815e-05 -24 *2446:resetn *1560:29 0 -25 *2446:resetn *2144:7 0 -26 *2450:resetn_out *2181:7 6.19346e-06 -27 *2451:resetn *2156:17 4.46752e-05 -28 *497:10 *2143:10 0.00376968 -29 *497:10 *2170:10 0.00385617 -30 *497:16 *1560:29 0 -31 *497:16 *2371:16 0.000353784 -32 *497:16 *2398:16 0.00486939 -33 *497:28 *2345:13 0.00154377 -34 *497:31 *1553:33 0.000269598 -35 *497:34 *2473:la_data_in[57] 0.000154432 -36 *497:34 *1551:26 0 -37 *497:37 *2356:16 0.00189096 -38 *497:37 *2367:16 0 -39 *497:49 *1879:32 0 -40 *2446:serial_clock *2446:resetn 0 -41 *2446:serial_load *497:16 0 -42 *2450:serial_clock_out *2450:resetn_out 0.00316997 -43 *2451:serial_clock *497:49 0.000317191 -44 *101:36 *497:49 2.8182e-06 -45 *101:37 *497:43 0 -46 *106:37 *497:34 1.00937e-05 -47 *109:46 *2446:resetn 0 -48 *109:46 *497:16 0 -49 *110:91 *497:34 0 -50 *111:45 *497:34 0 -51 *112:26 *2446:resetn 8.08111e-06 -52 *112:26 *497:16 0.000165063 -53 *112:30 *497:31 0.00755556 -54 *112:33 *497:34 0.347836 -55 *112:36 *497:37 0.114256 -56 *112:39 *2450:resetn_out 0.000102003 -57 *112:39 *497:40 0.00033061 -58 *112:42 *497:43 0.000502815 -59 *112:47 *497:49 0.00306063 -60 *472:23 *497:34 0 -61 *473:31 *497:34 3.62662e-06 -62 *478:29 *497:34 0.00238228 -63 *479:16 *497:16 0 -64 *479:37 *497:37 1.2693e-05 -65 *479:37 *497:43 0 -66 *479:43 *497:43 0.0158299 -67 *486:12 *2451:resetn 6.25838e-06 -68 *486:12 *497:49 0.000335926 -69 *486:13 *497:49 0.0032092 -70 *486:61 *497:43 1.44611e-05 -71 *491:48 *497:34 0.346955 -72 *492:25 *497:34 0 -73 *495:53 *497:34 0 -*RES -1 *2470:resetn_out *497:9 3.708 -2 *497:9 *497:10 81.3528 -3 *497:10 *497:12 4.5 -4 *497:12 *497:13 82.8047 -5 *497:13 *497:15 4.5 -6 *497:15 *497:16 178.314 -7 *497:16 *2446:resetn 13.4923 -8 *497:16 *497:27 4.5 -9 *497:27 *497:28 87.7962 -10 *497:28 *497:30 4.5 -11 *497:30 *497:31 123.086 -12 *497:31 *497:33 4.5 -13 *497:33 *497:34 3669.43 -14 *497:34 *497:36 4.5 -15 *497:36 *497:37 1825.62 -16 *497:37 *497:40 7.99641 -17 *497:40 *497:42 4.5 -18 *497:42 *497:43 269.67 -19 *497:43 *497:49 49.8857 -20 *497:49 *2451:resetn 3.708 -21 *497:40 *2450:resetn_out 45.2434 -*END - -*D_NET *498 1.48311 -*CONN -*I *2444:resetn I *D gpio_control_block -*I *2461:resetn I *D gpio_control_block -*I *2471:serial_resetn O *D housekeeping -*CAP -1 *2444:resetn 0.00076689 -2 *2461:resetn 0.00503397 -3 *2471:serial_resetn 0.00134077 -4 *498:22 0.0379931 -5 *498:20 0.0329912 -6 *498:17 0.0238954 -7 *498:16 0.0238633 -8 *498:14 0.00661475 -9 *498:13 0.00661475 -10 *498:11 0.000968991 -11 *498:8 0.00276737 -12 *498:7 0.00390603 -13 *2444:resetn *2155:13 9.52305e-06 -14 *2461:resetn *2461:pad_gpio_in 0.000139329 -15 *2461:resetn *1971:7 9.37015e-05 -16 *2461:resetn *2052:7 0.000732038 -17 *2461:resetn *2079:7 0 -18 *2461:resetn *2133:8 0 -19 *2461:resetn *2160:7 5.02212e-05 -20 *498:8 *512:8 0.00900261 -21 *498:8 *1533:16 0.00472831 -22 *498:8 *1555:20 0 -23 *498:8 *1564:20 0.000196785 -24 *498:14 *499:16 2.54199e-05 -25 *498:14 *1555:20 0 -26 *498:14 *1565:20 0.0320744 -27 *498:17 *1577:17 0.0159501 -28 *498:17 *2194:11 0.0146638 -29 *498:22 *1550:29 0.000804144 -30 *498:22 *1550:31 0.222975 -31 *2444:resetn_out *2444:resetn 2.12195e-05 -32 *2444:serial_clock *2444:resetn 0 -33 *2444:serial_clock *498:11 0 -34 *2461:serial_clock *2461:resetn 2.7413e-07 -35 *100:74 *498:22 0.212157 -36 *100:77 *498:17 0.000180782 -37 *113:8 *498:8 0.000206811 -38 *467:33 *498:22 0 -39 *467:41 *498:17 0 -40 *467:42 *498:17 0.401502 -41 *480:8 *498:8 0.000321904 -42 *480:14 *498:14 0.0157911 -43 *480:28 *498:22 0.00101546 -44 *485:44 *498:17 0.401562 -45 *485:50 *498:14 0.00215031 -*RES -1 *2471:serial_resetn *498:7 8.40384 -2 *498:7 *498:8 164.818 -3 *498:8 *498:11 9.10562 -4 *498:11 *498:13 4.5 -5 *498:13 *498:14 533.978 -6 *498:14 *498:16 3.36879 -7 *498:16 *498:17 508.021 -8 *498:17 *498:20 4.10158 -9 *498:20 *498:22 3631.14 -10 *498:22 *2461:resetn 40.8888 -11 *498:11 *2444:resetn 27.4989 -*END - -*D_NET *499 0.0356063 -*CONN -*I *2445:serial_data_in I *D gpio_control_block -*I *2444:serial_data_out O *D gpio_control_block -*CAP -1 *2445:serial_data_in 0.000671544 -2 *2444:serial_data_out 0.000595432 -3 *499:16 0.00474138 -4 *499:15 0.00466527 -5 *2445:serial_data_in *503:13 3.84497e-05 -6 *499:15 *2444:serial_data_in 9.52305e-06 -7 *499:15 *2329:13 0 -8 *499:16 *1902:20 0.00555344 -9 *499:16 *2139:14 0.00183558 -10 *2444:serial_load *499:15 0.000413016 -11 *2445:resetn_out *2445:serial_data_in 0 -12 *2445:serial_clock *2445:serial_data_in 0 -13 *2445:serial_clock_out *2445:serial_data_in 9.35003e-05 -14 *100:80 *2445:serial_data_in 0 -15 *100:96 *499:15 0 -16 *480:14 *499:16 0.0169637 -17 *498:14 *499:16 2.54199e-05 -*RES -1 *2444:serial_data_out *499:15 30.335 -2 *499:15 *499:16 272.161 -3 *499:16 *2445:serial_data_in 28.7377 -*END - -*D_NET *500 0.023148 -*CONN -*I *2452:serial_data_in I *D gpio_control_block -*I *2451:serial_data_out O *D gpio_control_block -*CAP -1 *2452:serial_data_in 0.00516966 -2 *2451:serial_data_out 0.00516966 -3 *2452:serial_data_in *1779:13 0.00296635 -4 *2452:serial_data_in *1832:8 0.00499525 -5 *2452:serial_data_in *1965:8 0 -6 *2452:serial_data_in *1967:20 0.00483617 -7 *2451:serial_load *2452:serial_data_in 1.09423e-05 -*RES -1 *2451:serial_data_out *2452:serial_data_in 38.3416 -*END - -*D_NET *501 0.0317544 -*CONN -*I *2453:serial_data_in I *D gpio_control_block -*I *2452:serial_data_out O *D gpio_control_block -*CAP -1 *2453:serial_data_in 0.000849081 -2 *2452:serial_data_out 0.000877584 -3 *501:14 0.00891659 -4 *501:13 0.00894509 -5 *2453:serial_data_in *502:13 3.27908e-05 -6 *2453:serial_data_in *1942:11 4.89469e-06 -7 *2453:serial_data_in *2131:10 1.66771e-05 -8 *501:13 *2076:14 0 -9 *501:14 *1778:16 0.00736799 -10 *501:14 *1834:14 0 -11 *501:14 *1881:14 0 -12 *501:14 *1884:14 0 -13 *501:14 *1941:8 0.0014791 -14 *501:14 *1969:13 0.000500505 -15 *501:14 *2048:20 0 -16 *501:14 *2075:8 0.00268445 -17 *2452:serial_clock *501:13 0 -18 *2452:serial_load *501:13 1.9775e-05 -19 *103:15 *2453:serial_data_in 3.27908e-05 -20 *469:12 *501:13 2.71025e-05 -*RES -1 *2452:serial_data_out *501:13 29.7705 -2 *501:13 *501:14 272.992 -3 *501:14 *2453:serial_data_in 29.7705 -*END - -*D_NET *502 0.0858659 -*CONN -*I *2454:serial_data_in I *D gpio_control_block -*I *2453:serial_data_out O *D gpio_control_block -*CAP -1 *2454:serial_data_in 0.00051471 -2 *2453:serial_data_out 0.00078632 -3 *502:22 0.0316007 -4 *502:20 0.0311315 -5 *502:18 0.00302643 -6 *502:16 0.00396528 -7 *502:13 0.0017707 -8 *2454:serial_data_in *2454:user_gpio_oeb 1.66771e-05 -9 *2454:serial_data_in *2333:11 0.000654439 -10 *502:13 *1942:11 4.88112e-06 -11 *502:13 *2131:10 1.66626e-05 -12 *502:16 *1834:14 0.000527146 -13 *502:16 *1885:20 0.000636594 -14 *502:16 *1969:13 0.000585884 -15 *502:18 *1885:20 0.000986812 -16 *502:18 *1941:8 0.000424884 -17 *502:18 *1942:22 0.00174278 -18 *502:18 *1942:26 0.000939007 -19 *502:18 *1969:13 0.000710384 -20 *502:22 *1781:14 0 -21 *502:22 *1834:14 0.00481959 -22 *502:22 *1890:14 0 -23 *502:22 *1942:26 1.07248e-05 -24 *502:22 *1942:33 0.000835872 -25 *502:22 *2104:14 0 -26 *502:22 *2132:14 0 -27 *2453:serial_data_in *502:13 3.27908e-05 -28 *2453:serial_load *502:13 0.000108398 -29 *2454:serial_clock *2454:serial_data_in 0 -30 *2454:serial_load *2454:serial_data_in 1.67063e-05 -*RES -1 *2453:serial_data_out *502:13 29.2159 -2 *502:13 *502:16 37.1895 -3 *502:16 *502:18 118.151 -4 *502:18 *502:20 1.29461 -5 *502:20 *502:22 946.47 -6 *502:22 *2454:serial_data_in 28.2596 -*END - -*D_NET *503 0.0398386 -*CONN -*I *2455:serial_data_in I *D gpio_control_block -*I *2445:serial_data_out O *D gpio_control_block -*CAP -1 *2455:serial_data_in 0.000194138 -2 *2445:serial_data_out 0.000209209 -3 *503:26 0.00118231 -4 *503:25 0.00109069 -5 *503:20 0.000867293 -6 *503:19 0.000868064 -7 *503:14 0.000974112 -8 *503:13 0.00108003 -9 *2455:serial_data_in *504:7 0.000128271 -10 *503:13 *2340:11 0 -11 *503:13 *2367:21 3.62662e-06 -12 *503:13 *2394:27 9.91731e-05 -13 *503:14 *2445:user_gpio_out 0 -14 *503:20 *1796:14 0.00366386 -15 *503:20 *1985:14 0.000510121 -16 *503:25 *1796:13 0.000211492 -17 *503:26 *2147:8 0.00464232 -18 *2445:serial_clock_out *503:13 8.99353e-05 -19 *2445:serial_data_in *503:13 3.84497e-05 -20 *2445:serial_load *503:13 3.84497e-05 -21 *2455:resetn_out *2455:serial_data_in 0 -22 *2455:serial_clock *503:26 0 -23 *2455:serial_clock_out *2455:serial_data_in 8.88774e-05 -24 *100:80 *503:13 0 -25 *100:80 *503:14 0.00620413 -26 *100:80 *503:20 2.79471e-05 -27 *104:50 *503:20 1.3813e-05 -28 *104:56 *503:20 0.00135285 -29 *105:60 *503:26 0 -30 *471:40 *503:26 0.00555187 -31 *471:46 *503:14 0.0059796 -32 *489:35 *503:26 0.000175656 -33 *489:45 *503:20 0.00455234 -*RES -1 *2445:serial_data_out *503:13 18.9543 -2 *503:13 *503:14 99.4163 -3 *503:14 *503:19 10.8326 -4 *503:19 *503:20 82.3909 -5 *503:20 *503:25 11.9418 -6 *503:25 *503:26 90.0731 -7 *503:26 *2455:serial_data_in 4.12039 -*END - -*D_NET *504 0.0313013 -*CONN -*I *2456:serial_data_in I *D gpio_control_block -*I *2455:serial_data_out O *D gpio_control_block -*CAP -1 *2456:serial_data_in 0.000549952 -2 *2455:serial_data_out 0.000294317 -3 *504:12 0.00294758 -4 *504:10 0.00242396 -5 *504:8 0.00322966 -6 *504:7 0.00349764 -7 *2456:serial_data_in *505:13 3.84497e-05 -8 *504:8 *2013:7 0.000185169 -9 *504:12 *1986:14 0.00352546 -10 *504:12 *2013:7 0.00365762 -11 *504:12 *2013:13 0.000487144 -12 *504:12 *2040:19 0.00150769 -13 *504:12 *2121:14 0 -14 *2455:resetn_out *504:8 0.00160609 -15 *2455:resetn_out *504:12 0.000974597 -16 *2455:serial_data_in *504:7 0.000128271 -17 *2455:serial_load *504:7 9.67643e-05 -18 *2456:serial_clock *2456:serial_data_in 0 -19 *105:30 *2456:serial_data_in 8.52258e-05 -20 *105:52 *504:12 0.00109374 -21 *105:54 *504:8 0.000686636 -22 *105:54 *504:12 0.00173287 -23 *471:36 *504:8 0 -24 *471:40 *504:8 5.27687e-05 -25 *472:30 *2456:serial_data_in 0 -26 *472:32 *2456:serial_data_in 1.07248e-05 -27 *472:32 *504:12 0.00248328 -28 *472:38 *504:8 5.68225e-06 -29 *472:44 *504:8 0 -*RES -1 *2455:serial_data_out *504:7 4.42635 -2 *504:7 *504:8 97.169 -3 *504:8 *504:10 0.732798 -4 *504:10 *504:12 168.715 -5 *504:12 *2456:serial_data_in 26.5143 -*END - -*D_NET *505 0.0319198 -*CONN -*I *2457:serial_data_in I *D gpio_control_block -*I *2456:serial_data_out O *D gpio_control_block -*CAP -1 *2457:serial_data_in 0.000752447 -2 *2456:serial_data_out 0.000675949 -3 *505:14 0.0063232 -4 *505:13 0.0062467 -5 *2457:serial_data_in *506:13 5.17837e-06 -6 *505:14 *1565:20 0 -7 *505:14 *1798:14 0 -8 *505:14 *1862:16 8.92568e-06 -9 *505:14 *2014:18 0.0014693 -10 *505:14 *2149:14 0.00815295 -11 *2456:serial_data_in *505:13 3.84497e-05 -12 *2456:serial_load *505:13 3.84497e-05 -13 *2457:serial_clock_out *2457:serial_data_in 1.29141e-05 -14 *2457:serial_load *2457:serial_data_in 0 -15 *105:30 *505:13 4.88112e-06 -16 *107:90 *505:14 0.00584242 -17 *474:60 *505:14 1.81081e-06 -18 *478:32 *505:14 0.00188046 -19 *492:34 *505:14 0.000465807 -*RES -1 *2456:serial_data_out *505:13 29.2159 -2 *505:13 *505:14 271.331 -3 *505:14 *2457:serial_data_in 30.335 -*END - -*D_NET *506 0.0396335 -*CONN -*I *2458:serial_data_in I *D gpio_control_block -*I *2457:serial_data_out O *D gpio_control_block -*CAP -1 *2458:serial_data_in 0.000463533 -2 *2457:serial_data_out 0.000587778 -3 *506:14 0.0044924 -4 *506:13 0.00461664 -5 *2458:serial_data_in *2458:user_gpio_out 8.11463e-06 -6 *2458:serial_data_in *507:10 3.84497e-05 -7 *2458:serial_data_in *2351:11 2.42138e-05 -8 *2458:serial_data_in *2405:13 0.00140694 -9 *506:14 *1865:14 0.0075018 -10 *506:14 *2015:11 0.000812353 -11 *506:14 *2069:14 0 -12 *2457:serial_data_in *506:13 5.17837e-06 -13 *2457:serial_load *506:13 0.00040907 -14 *2457:serial_load_out *506:13 0 -15 *2458:serial_clock_out *2458:serial_data_in 4.31122e-05 -16 *107:107 *506:14 0.000148843 -17 *474:75 *506:14 9.81824e-05 -18 *478:32 *506:14 0.0025703 -19 *492:34 *506:14 0.0164065 -*RES -1 *2457:serial_data_out *506:13 29.2159 -2 *506:13 *506:14 271.746 -3 *506:14 *2458:serial_data_in 29.6311 -*END - -*D_NET *507 0.0318463 -*CONN -*I *2459:serial_data_in I *D gpio_control_block -*I *2458:serial_data_out O *D gpio_control_block -*CAP -1 *2459:serial_data_in 0.000238778 -2 *2458:serial_data_out 0.00117648 -3 *507:18 0.00425166 -4 *507:17 0.00411617 -5 *507:12 0.00150911 -6 *507:10 0.0025823 -7 *507:10 *2458:user_gpio_out 0.000771902 -8 *507:12 *2016:13 0.000749438 -9 *507:18 *2474:mprj_io_analog_en[6] 9.4338e-05 -10 *507:18 *2474:mprj_io_analog_pol[6] 8.1645e-05 -11 *507:18 *2474:mprj_io_analog_sel[6] 0.000223156 -12 *507:18 *2474:mprj_io_dm[18] 0.000145018 -13 *507:18 *2474:mprj_io_dm[19] 0.00019711 -14 *507:18 *2474:mprj_io_dm[20] 0.00019711 -15 *507:18 *2474:mprj_io_holdover[6] 0.000171064 -16 *507:18 *2474:mprj_io_ib_mode_sel[6] 0.00019711 -17 *507:18 *2474:mprj_io_inp_dis[6] 0.00019711 -18 *507:18 *2474:mprj_io_oeb[6] 0 -19 *507:18 *2474:mprj_io_out[6] 0.000223156 -20 *507:18 *2474:mprj_io_vtrip_sel[6] 0.000133144 -21 *507:18 *1854:14 0.000116798 -22 *507:18 *1962:16 5.66868e-06 -23 *507:18 *1989:14 0.00347336 -24 *507:18 *2043:12 0.000109929 -25 *507:18 *2097:8 0 -26 *507:18 *2151:22 0.0043545 -27 *507:18 *2178:13 0 -28 *2458:serial_clock_out *507:10 0 -29 *2458:serial_data_in *507:10 3.84497e-05 -30 *2458:serial_load *507:10 8.85901e-05 -31 *2459:serial_clock *2459:serial_data_in 0 -32 *2459:serial_clock *507:12 0.000733756 -33 *2459:serial_clock_out *2459:serial_data_in 3.27908e-05 -34 *2459:serial_load *2459:serial_data_in 0 -35 *2459:serial_load *507:10 0.000146476 -36 *2459:serial_load *507:18 0.000909965 -37 *109:94 *507:18 0 -38 *109:103 *507:18 0 -39 *494:36 *507:18 0 -40 *495:64 *507:10 0 -41 *495:64 *507:12 0.00458026 -42 *495:64 *507:18 0 -*RES -1 *2458:serial_data_out *507:10 38.5735 -2 *507:10 *507:12 73.0233 -3 *507:12 *507:17 10.8326 -4 *507:17 *507:18 170.632 -5 *507:18 *2459:serial_data_in 4.19688 -*END - -*D_NET *508 0.0388669 -*CONN -*I *2460:serial_data_in I *D gpio_control_block -*I *2459:serial_data_out O *D gpio_control_block -*CAP -1 *2460:serial_data_in 0.000618013 -2 *2459:serial_data_out 9.89961e-05 -3 *508:10 0.0192252 -4 *508:9 0.0187062 -5 *2460:serial_data_in *509:15 3.74656e-05 -6 *2460:serial_clock_out *2460:serial_data_in 3.74656e-05 -7 *495:85 *2460:serial_data_in 0.000143544 -*RES -1 *2459:serial_data_out *508:9 3.708 -2 *508:9 *508:10 528.164 -3 *508:10 *2460:serial_data_in 28.8355 -*END - -*D_NET *509 0.0217681 -*CONN -*I *2449:serial_data_in I *D gpio_control_block -*I *2460:serial_data_out O *D gpio_control_block -*CAP -1 *2449:serial_data_in 9.74501e-05 -2 *2460:serial_data_out 0.00060071 -3 *509:16 0.00869264 -4 *509:15 0.0091959 -5 *2449:serial_data_in *2099:15 1.29018e-05 -6 *2449:serial_data_in *2126:15 1.29018e-05 -7 *509:16 *1829:13 0.000601249 -8 *509:16 *1856:10 0.00233223 -9 *2450:serial_clock *2449:serial_data_in 2.15141e-06 -10 *2460:serial_data_in *509:15 3.74656e-05 -11 *2460:serial_load_out *509:15 0 -12 *495:85 *509:15 0.000182455 -*RES -1 *2460:serial_data_out *509:15 28.6961 -2 *509:15 *509:16 257.835 -3 *509:16 *2449:serial_data_in 3.65147 -*END - -*D_NET *510 0.0360069 -*CONN -*I *2450:serial_data_in I *D gpio_control_block -*I *2449:serial_data_out O *D gpio_control_block -*CAP -1 *2450:serial_data_in 0.00089026 -2 *2449:serial_data_out 0.000828821 -3 *510:14 0.0063853 -4 *510:13 0.00632387 -5 *2450:serial_data_in *511:13 5.17837e-06 -6 *2450:serial_data_in *1879:8 0 -7 *510:13 *2099:15 9.93625e-05 -8 *510:14 *1828:23 0.00173846 -9 *510:14 *1828:28 0.00213783 -10 *510:14 *1855:14 3.67391e-05 -11 *510:14 *1874:21 0.000593099 -12 *510:14 *1876:14 0.00125535 -13 *510:14 *1991:16 0.00340367 -14 *510:14 *2018:19 0.0053783 -15 *510:14 *2018:27 0.000326993 -16 *510:14 *2099:16 0.00090114 -17 *510:14 *2152:14 0.00563371 -18 *2449:serial_load *510:13 4.31122e-05 -19 *2450:serial_clock_out *2450:serial_data_in 1.75765e-05 -20 *2450:serial_load *2450:serial_data_in 0 -21 *477:46 *510:13 8.10016e-06 -*RES -1 *2449:serial_data_out *510:13 29.2159 -2 *510:13 *510:14 270.915 -3 *510:14 *2450:serial_data_in 30.335 -*END - -*D_NET *511 0.0287932 -*CONN -*I *2451:serial_data_in I *D gpio_control_block -*I *2450:serial_data_out O *D gpio_control_block -*CAP -1 *2451:serial_data_in 0.000539984 -2 *2450:serial_data_out 0.000902795 -3 *511:14 0.00862168 -4 *511:13 0.00898449 -5 *2451:serial_data_in *2129:13 0.000557425 -6 *2451:serial_data_in *2330:10 1.5714e-05 -7 *2451:serial_data_in *2330:11 0.00142299 -8 *511:13 *1879:8 0 -9 *511:14 *1778:16 0.00269555 -10 *511:14 *1802:20 0.0027723 -11 *511:14 *1875:20 1.78514e-05 -12 *511:14 *1876:14 0 -13 *511:14 *1991:16 0.0015744 -14 *511:14 *2073:37 0.000240993 -15 *511:14 *2075:8 0.000311341 -16 *511:14 *2127:14 0 -17 *2450:serial_clock_out *511:13 0 -18 *2450:serial_data_in *511:13 5.17837e-06 -19 *2450:serial_load *511:13 4.65656e-05 -20 *2450:serial_load_out *511:13 0 -21 *101:7 *2451:serial_data_in 4.80459e-05 -22 *101:10 *2451:serial_data_in 1.48603e-05 -23 *479:52 *2451:serial_data_in 2.1051e-05 -*RES -1 *2450:serial_data_out *511:13 29.7705 -2 *511:13 *511:14 273.407 -3 *511:14 *2451:serial_data_in 29.7076 -*END - -*D_NET *512 0.025911 -*CONN -*I *2444:serial_data_in I *D gpio_control_block -*I *2471:serial_data_1 O *D housekeeping -*CAP -1 *2444:serial_data_in 0.00095291 -2 *2471:serial_data_1 0.00134376 -3 *512:8 0.00231187 -4 *512:7 0.00270272 -5 *512:8 *1564:20 0 -6 *2444:serial_clock_out *2444:serial_data_in 4.31122e-05 -7 *2444:serial_load *2444:serial_data_in 0 -8 *100:96 *2444:serial_data_in 0 -9 *480:8 *512:8 0.00954449 -10 *498:8 *512:8 0.00900261 -11 *499:15 *2444:serial_data_in 9.52305e-06 -*RES -1 *2471:serial_data_1 *512:7 8.32734 -2 *512:7 *512:8 152.776 -3 *512:8 *2444:serial_data_in 35.8711 -*END - -*D_NET *513 0.0307479 -*CONN -*I *2470:serial_data_in I *D gpio_control_block -*I *2446:serial_data_out O *D gpio_control_block -*CAP -1 *2470:serial_data_in 0.000459005 -2 *2446:serial_data_out 0.000748094 -3 *513:14 0.00637024 -4 *513:13 0.00665933 -5 *2470:serial_data_in *524:15 9.52305e-06 -6 *513:13 *2446:serial_data_in 5.19526e-05 -7 *513:13 *2446:user_gpio_oeb 0.000119658 -8 *513:13 *2345:13 0 -9 *513:13 *2399:13 0.00039806 -10 *513:14 *2474:mprj_io_holdover[23] 0 -11 *513:14 *1792:8 0.00502203 -12 *513:14 *1819:8 4.61488e-05 -13 *513:14 *1923:8 0.00539719 -14 *513:14 *1926:16 0.000873795 -15 *513:14 *1954:16 0.000468185 -16 *513:14 *2062:8 0.000667497 -17 *2446:serial_load *513:13 5.02212e-05 -18 *2470:serial_clock *2470:serial_data_in 0.000113456 -19 *2470:serial_load *2470:serial_data_in 0 -20 *494:20 *513:14 0.00304724 -21 *496:35 *2470:serial_data_in 0.000246273 -*RES -1 *2446:serial_data_out *513:13 34.2642 -2 *513:13 *513:14 249.945 -3 *513:14 *2470:serial_data_in 4.96178 -*END - -*D_NET *514 0.0285031 -*CONN -*I *2446:serial_data_in I *D gpio_control_block -*I *2447:serial_data_out O *D gpio_control_block -*CAP -1 *2446:serial_data_in 0.000450826 -2 *2447:serial_data_out 0.000471165 -3 *514:14 0.00266211 -4 *514:13 0.00243776 -5 *514:8 0.00496938 -6 *514:7 0.00521406 -7 *2446:serial_data_in *2345:13 1.31158e-05 -8 *514:7 *2447:serial_data_in 0.000106706 -9 *514:8 *1847:16 0 -10 *514:8 *1929:20 0.00307228 -11 *514:8 *2063:8 1.87125e-05 -12 *514:8 *2090:8 0 -13 *514:8 *2117:12 0.00336386 -14 *514:8 *2144:8 0.00148817 -15 *514:13 *1955:13 5.04829e-06 -16 *514:14 *1793:14 0 -17 *514:14 *1928:8 0.0028444 -18 *514:14 *1955:8 0 -19 *514:14 *2090:8 0.000487628 -20 *514:14 *2144:8 0 -21 *514:14 *2301:11 0 -22 *514:14 *2345:13 0 -23 *2446:serial_clock *2446:serial_data_in 0 -24 *2446:serial_load *2446:serial_data_in 0 -25 *2446:serial_load_out *514:14 0.000244213 -26 *2447:serial_clock_out *514:7 0 -27 *2447:serial_load *514:7 0.000172143 -28 *112:26 *2446:serial_data_in 0 -29 *494:20 *514:8 0.000429551 -30 *513:13 *2446:serial_data_in 5.19526e-05 -*RES -1 *2447:serial_data_out *514:7 5.26774 -2 *514:7 *514:8 181.013 -3 *514:8 *514:13 13.051 -4 *514:13 *514:14 80.9375 -5 *514:14 *2446:serial_data_in 4.73231 -*END - -*D_NET *515 0.0275535 -*CONN -*I *2447:serial_data_in I *D gpio_control_block -*I *2448:serial_data_out O *D gpio_control_block -*CAP -1 *2447:serial_data_in 0.000150961 -2 *2448:serial_data_out 0.000162358 -3 *515:12 0.00195835 -4 *515:10 0.00183317 -5 *515:8 0.00530079 -6 *515:7 0.00543738 -7 *515:7 *2448:serial_data_in 1.53622e-05 -8 *515:8 *2474:mprj_io_analog_pol[25] 0.000908956 -9 *515:8 *2474:mprj_io_analog_sel[25] 0.001109 -10 *515:8 *2474:mprj_io_dm[76] 0.00136946 -11 *515:8 *2474:mprj_io_ib_mode_sel[25] 9.39647e-05 -12 *515:8 *2474:mprj_io_oeb[25] 0 -13 *515:8 *2474:mprj_io_out[25] 0.000661137 -14 *515:8 *2474:mprj_io_vtrip_sel[25] 4.76719e-05 -15 *515:8 *1821:16 0 -16 *515:8 *1848:16 0 -17 *515:8 *1930:12 0 -18 *515:8 *1931:8 0 -19 *515:8 *2010:9 0.00173918 -20 *515:8 *2037:7 0.000812949 -21 *515:8 *2401:16 0 -22 *515:12 *2447:mgmt_gpio_oeb 0 -23 *515:12 *1562:12 0 -24 *515:12 *1794:15 0 -25 *515:12 *1931:8 0 -26 *515:12 *2172:8 0.0033645 -27 *2447:serial_clock_out *2447:serial_data_in 0.000106706 -28 *2448:serial_clock_out *515:7 0 -29 *2448:serial_clock_out *515:8 0.000388329 -30 *2448:serial_load *515:7 0.000138605 -31 *2448:serial_load *515:8 0.00158975 -32 *2448:serial_load *515:12 0.000258215 -33 *2448:serial_load_out *515:8 0 -34 *514:7 *2447:serial_data_in 0.000106706 -*RES -1 *2448:serial_data_out *515:7 4.12039 -2 *515:7 *515:8 192.848 -3 *515:8 *515:10 0.732798 -4 *515:10 *515:12 68.2723 -5 *515:12 *2447:serial_data_in 4.04389 -*END - -*D_NET *516 0.0301727 -*CONN -*I *2461:serial_data_in I *D gpio_control_block -*I *2462:serial_data_out O *D gpio_control_block -*CAP -1 *2461:serial_data_in 0.000471568 -2 *2462:serial_data_out 0.000433056 -3 *516:8 0.00585166 -4 *516:7 0.00581315 -5 *2461:serial_data_in *2334:13 0.000139498 -6 *516:7 *2462:serial_data_in 0.000352176 -7 *516:8 *1809:14 0.00554117 -8 *516:8 *1971:8 0.00934598 -9 *516:8 *2160:8 0.0021262 -10 *2461:serial_clock *2461:serial_data_in 0 -11 *2461:serial_load *2461:serial_data_in 0 -12 *2462:resetn *516:8 0 -13 *471:15 *516:7 6.19346e-06 -14 *471:16 *516:8 9.20633e-05 -15 *485:23 *516:8 0 -*RES -1 *2462:serial_data_out *516:7 5.19125 -2 *516:7 *516:8 261.78 -3 *516:8 *2461:serial_data_in 5.19125 -*END - -*D_NET *517 0.0305787 -*CONN -*I *2462:serial_data_in I *D gpio_control_block -*I *2463:serial_data_out O *D gpio_control_block -*CAP -1 *2462:serial_data_in 0.000411881 -2 *2463:serial_data_out 0.000866203 -3 *517:20 0.00207803 -4 *517:18 0.00169988 -5 *517:16 0.0024 -6 *517:15 0.00323247 -7 *517:15 *2463:serial_data_in 9.52305e-06 -8 *517:15 *2336:15 0 -9 *517:16 *2474:mprj_io_analog_sel[15] 0.000182084 -10 *517:16 *1972:26 0 -11 *517:16 *2080:14 0.000598039 -12 *517:20 *2474:mprj_io_analog_sel[15] 0.000466976 -13 *517:20 *1810:16 1.87269e-05 -14 *517:20 *1837:16 0.00265651 -15 *517:20 *1899:8 0.00660067 -16 *517:20 *1945:8 6.80434e-05 -17 *517:20 *2080:8 0.00439156 -18 *517:20 *2080:14 0.000266226 -19 *2463:serial_load *517:15 1.53622e-05 -20 *104:30 *517:15 0.000423878 -21 *471:16 *517:16 0.00303265 -22 *471:16 *517:20 0.000352663 -23 *489:7 *2462:serial_data_in 0 -24 *489:8 *517:20 0.00045517 -25 *516:7 *2462:serial_data_in 0.000352176 -*RES -1 *2463:serial_data_out *517:15 35.8643 -2 *517:15 *517:16 103.117 -3 *517:16 *517:18 0.732798 -4 *517:18 *517:20 146.999 -5 *517:20 *2462:serial_data_in 5.26774 -*END - -*D_NET *518 0.0295039 -*CONN -*I *2463:serial_data_in I *D gpio_control_block -*I *2464:serial_data_out O *D gpio_control_block -*CAP -1 *2463:serial_data_in 0.00038153 -2 *2464:serial_data_out 0.000299516 -3 *518:8 0.00628365 -4 *518:7 0.00620164 -5 *518:7 *2464:serial_data_in 0.000261617 -6 *518:8 *1784:8 0.00493371 -7 *518:8 *1903:16 0.00043935 -8 *518:8 *1946:8 0.000313919 -9 *518:8 *1973:16 0.00284277 -10 *518:8 *2054:8 2.01595e-05 -11 *518:8 *2081:8 0.00523405 -12 *518:8 *2081:20 0.000321049 -13 *518:8 *2108:16 0 -14 *518:8 *2135:8 0 -15 *518:8 *2162:8 0 -16 *518:8 *2328:19 0.0010388 -17 *518:8 *2336:15 3.5534e-06 -18 *2463:serial_load *2463:serial_data_in 0 -19 *2464:serial_load *518:7 0.000373077 -20 *105:7 *2463:serial_data_in 0.000317363 -21 *105:12 *518:8 0 -22 *106:13 *518:7 0 -23 *471:23 *2463:serial_data_in 0.000216058 -24 *471:23 *518:8 1.25836e-05 -25 *472:7 *2463:serial_data_in 0 -26 *472:8 *518:8 0 -27 *517:15 *2463:serial_data_in 9.52305e-06 -*RES -1 *2464:serial_data_out *518:7 5.26774 -2 *518:7 *518:8 261.78 -3 *518:8 *2463:serial_data_in 5.26774 -*END - -*D_NET *519 0.0304708 -*CONN -*I *2464:serial_data_in I *D gpio_control_block -*I *2465:serial_data_out O *D gpio_control_block -*CAP -1 *2464:serial_data_in 0.000200894 -2 *2465:serial_data_out 0.000659948 -3 *519:22 0.00236496 -4 *519:21 0.00254069 -5 *519:10 0.00345736 -6 *519:9 0.00374068 -7 *519:9 *2465:serial_data_in 0 -8 *519:10 *2082:12 0.00564597 -9 *519:10 *2109:18 0.00442689 -10 *519:21 *1839:36 1.87269e-05 -11 *519:21 *1905:8 0.000649613 -12 *519:21 *2055:13 5.04829e-06 -13 *519:21 *2109:8 0.000159821 -14 *519:21 *2163:14 0.000449909 -15 *519:21 *2294:14 0.000251655 -16 *519:22 *1785:16 0.00155346 -17 *519:22 *2163:8 0.00125734 -18 *2465:resetn *519:10 2.71975e-05 -19 *2465:serial_load *519:9 2.7185e-05 -20 *106:13 *2464:serial_data_in 0.000261617 -21 *106:13 *519:22 0 -22 *106:14 *519:10 0.00211279 -23 *473:8 *519:22 0 -24 *474:13 *519:9 0.000237017 -25 *491:28 *519:10 0.000160387 -26 *518:7 *2464:serial_data_in 0.000261617 -*RES -1 *2465:serial_data_out *519:9 5.7167 -2 *519:9 *519:10 169.802 -3 *519:10 *519:21 34.4359 -4 *519:21 *519:22 80.107 -5 *519:22 *2464:serial_data_in 4.73231 -*END - -*D_NET *520 0.0299864 -*CONN -*I *2465:serial_data_in I *D gpio_control_block -*I *2466:serial_data_out O *D gpio_control_block -*CAP -1 *2465:serial_data_in 0.000645512 -2 *2466:serial_data_out 0.000975702 -3 *520:16 0.00507213 -4 *520:15 0.00540232 -5 *520:15 *2466:serial_data_in 9.52305e-06 -6 *520:15 *2466:user_gpio_oeb 0.000195457 -7 *520:15 *2466:user_gpio_out 8.79706e-05 -8 *520:15 *2339:15 0 -9 *520:16 *2474:mprj_io_inp_dis[18] 0.000253824 -10 *520:16 *1840:13 0.00088682 -11 *520:16 *2056:10 0.000370009 -12 *520:16 *2083:10 0.00186098 -13 *520:16 *2110:16 0.00573938 -14 *520:16 *2164:16 0.00579147 -15 *2465:resetn *520:16 0.000215786 -16 *2465:serial_clock *2465:serial_data_in 1.29018e-05 -17 *2466:serial_load *520:15 0.000479552 -18 *474:14 *520:16 0 -19 *474:41 *520:15 1.93857e-05 -20 *475:15 *520:15 8.44986e-05 -21 *492:9 *2465:serial_data_in 0 -22 *492:10 *520:16 0.00188321 -23 *519:9 *2465:serial_data_in 0 -*RES -1 *2466:serial_data_out *520:15 22.5082 -2 *520:15 *520:16 249.322 -3 *520:16 *2465:serial_data_in 5.64021 -*END - -*D_NET *521 0.0342523 -*CONN -*I *2466:serial_data_in I *D gpio_control_block -*I *2467:serial_data_out O *D gpio_control_block -*CAP -1 *2466:serial_data_in 0.000546413 -2 *2467:serial_data_out 0.000455043 -3 *521:14 0.00210966 -4 *521:13 0.00162007 -5 *521:8 0.00261517 -6 *521:7 0.00301339 -7 *2466:serial_data_in *2466:user_gpio_oeb 0.000799259 -8 *2466:serial_data_in *2339:15 0 -9 *521:7 *2467:serial_data_in 0.000177738 -10 *521:8 *1841:19 0.000204856 -11 *521:8 *1976:16 0 -12 *521:8 *1976:21 0.000929799 -13 *521:14 *1841:8 1.1246e-05 -14 *521:14 *1910:8 0.00559807 -15 *521:14 *2084:8 0.000593035 -16 *521:14 *2111:8 0.00593399 -17 *521:14 *2138:10 0 -18 *521:14 *2165:13 0.00022036 -19 *2467:serial_load *521:7 0.000204503 -20 *475:15 *2466:serial_data_in 0 -21 *476:7 *521:7 0 -22 *476:8 *521:8 0 -23 *492:10 *521:14 0.000194127 -24 *493:7 *2466:serial_data_in 0 -25 *493:16 *521:14 9.71323e-06 -26 *493:20 *521:14 0.000700943 -27 *493:22 *521:8 0.00830535 -28 *520:15 *2466:serial_data_in 9.52305e-06 -*RES -1 *2467:serial_data_out *521:7 5.42072 -2 *521:7 *521:8 133.259 -3 *521:8 *521:13 10.3986 -4 *521:13 *521:14 127.446 -5 *521:14 *2466:serial_data_in 5.42072 -*END - -*D_NET *522 0.0756689 -*CONN -*I *2467:serial_data_in I *D gpio_control_block -*I *2468:serial_data_out O *D gpio_control_block -*CAP -1 *2467:serial_data_in 0.000203789 -2 *2468:serial_data_out 0.00318749 -3 *522:32 0.0184315 -4 *522:31 0.0203108 -5 *522:14 0.00527058 -6 *522:14 *2468:serial_data_in 0.000146232 -7 *522:32 *2474:mprj_io_ib_mode_sel[20] 0.000355114 -8 *522:32 *2474:mprj_io_oeb[20] 0.000182532 -9 *522:32 *2474:mprj_io_vtrip_sel[20] 0.00028775 -10 *522:32 *2059:14 0.00575901 -11 *522:32 *2086:13 0.00202352 -12 *522:32 *2113:16 0.00119378 -13 *522:32 *2167:19 0 -14 *2468:serial_load *522:14 0.00128917 -15 *474:42 *522:32 0.00637025 -16 *474:48 *522:14 0.000673094 -17 *474:48 *522:31 0.00119336 -18 *474:48 *522:32 0.00861327 -19 *474:54 *522:14 0 -20 *476:20 *522:14 0 -21 *476:22 *522:14 0 -22 *477:7 *522:14 0 -23 *494:7 *2467:serial_data_in 0 -24 *521:7 *2467:serial_data_in 0.000177738 -*RES -1 *2468:serial_data_out *522:14 46.7251 -2 *522:14 *522:31 47.4727 -3 *522:31 *522:32 84.1781 -4 *522:32 *2467:serial_data_in 1.31946 -*END - -*D_NET *523 0.034617 -*CONN -*I *2468:serial_data_in I *D gpio_control_block -*I *2469:serial_data_out O *D gpio_control_block -*CAP -1 *2468:serial_data_in 0.000375321 -2 *2469:serial_data_out 0.000364658 -3 *523:8 0.00497414 -4 *523:7 0.00496348 -5 *2468:serial_data_in *2468:user_gpio_oeb 3.45837e-05 -6 *2468:serial_data_in *2342:13 0.000274219 -7 *523:7 *2469:serial_data_in 0.000312496 -8 *523:8 *1952:8 0.0048553 -9 *523:8 *1979:10 6.80434e-05 -10 *523:8 *1979:18 0.00389371 -11 *523:8 *2060:8 0.00707465 -12 *523:8 *2114:8 0.000678167 -13 *523:8 *2168:8 0.000434278 -14 *2469:serial_load *523:7 0.000208248 -15 *111:10 *523:7 0 -16 *476:22 *523:8 0.000110809 -17 *477:7 *2468:serial_data_in 0 -18 *477:8 *523:8 0 -19 *477:14 *523:8 0.00269001 -20 *477:17 *523:7 0.000123505 -21 *494:8 *523:8 0 -22 *494:20 *523:8 0.00303514 -23 *495:14 *2468:serial_data_in 0 -24 *522:14 *2468:serial_data_in 0.000146232 -*RES -1 *2469:serial_data_out *523:7 5.26774 -2 *523:7 *523:8 261.78 -3 *523:8 *2468:serial_data_in 5.26774 -*END - -*D_NET *524 0.028717 -*CONN -*I *2469:serial_data_in I *D gpio_control_block -*I *2470:serial_data_out O *D gpio_control_block -*CAP -1 *2469:serial_data_in 0.000304335 -2 *2470:serial_data_out 0.000499857 -3 *524:22 0.00127863 -4 *524:16 0.00716787 -5 *524:15 0.00669343 -6 *524:16 *2474:mprj_io_analog_sel[22] 1.23804e-05 -7 *524:16 *2474:mprj_io_dm[68] 0.00145196 -8 *524:16 *1845:16 0.00343217 -9 *524:16 *1953:8 0.00120872 -10 *524:16 *1980:16 0.000459535 -11 *524:22 *2061:14 3.3461e-05 -12 *524:22 *2088:10 0.000272107 -13 *524:22 *2088:12 0.000582247 -14 *524:22 *2169:15 0.00140225 -15 *2470:serial_clock *524:15 0 -16 *2470:serial_data_in *524:15 9.52305e-06 -17 *2470:serial_load *524:15 2.12195e-05 -18 *111:10 *2469:serial_data_in 0.000312496 -19 *111:10 *524:22 0 -20 *111:16 *524:16 0 -21 *111:24 *524:16 0.000279887 -22 *474:54 *524:16 0.00223508 -23 *479:15 *524:15 0.000656296 -24 *496:20 *524:15 9.09898e-05 -25 *523:7 *2469:serial_data_in 0.000312496 -*RES -1 *2470:serial_data_out *524:15 29.917 -2 *524:15 *524:16 222.746 -3 *524:16 *524:22 48.5867 -4 *524:22 *2469:serial_data_in 5.11476 -*END - -*D_NET *525 0.339965 -*CONN -*I *2448:serial_data_in I *D gpio_control_block -*I *2471:serial_data_2 O *D housekeeping -*CAP -1 *2448:serial_data_in 0.00017468 -2 *2471:serial_data_2 0.000148978 -3 *525:11 0.135831 -4 *525:10 0.135656 -5 *525:8 0.0128694 -6 *525:7 0.0130184 -7 *525:8 *2471:mgmt_gpio_in[10] 0.000153775 -8 *525:8 *2471:mgmt_gpio_in[4] 0.00063009 -9 *525:8 *2471:mgmt_gpio_in[6] 0.00063009 -10 *525:8 *2471:mgmt_gpio_in[7] 0.000604703 -11 *525:8 *2471:mgmt_gpio_in[8] 0.00063009 -12 *525:8 *2471:mgmt_gpio_in[9] 0.00063009 -13 *525:8 *2020:11 0.00225124 -14 *525:8 *2020:15 0.00193015 -15 *525:8 *2031:11 0.00646948 -16 *525:8 *2031:15 1.3813e-05 -17 *525:8 *2222:12 0 -18 *525:8 *2222:24 0.0134757 -19 *525:8 *2394:24 0.0139445 -20 *2448:resetn_out *2448:serial_data_in 0.000258162 -21 *2448:serial_clock_out *2448:serial_data_in 9.52305e-06 -22 *2478:flash_io3_di *525:11 0.000620249 -23 *98:11 *525:11 0 -24 *488:35 *525:11 0 -25 *515:7 *2448:serial_data_in 1.53622e-05 -*RES -1 *2471:serial_data_2 *525:7 3.89091 -2 *525:7 *525:8 646.096 -3 *525:8 *525:10 4.5 -4 *525:10 *525:11 3770.36 -5 *525:11 *2448:serial_data_in 12.2108 -*END - -*D_NET *526 0.0391101 -*CONN -*I *2478:hk_ack_i I *D mgmt_core_wrapper -*I *2471:wb_ack_o O *D housekeeping -*CAP -1 *2478:hk_ack_i 0.00127925 -2 *2471:wb_ack_o 0.00172397 -3 *526:14 0.00308265 -4 *526:13 0.00180341 -5 *526:11 0.00070238 -6 *526:10 0.00242635 -7 *526:10 *2471:spi_enabled 0.000124349 -8 *526:10 *2471:wb_stb_i 0.000205961 -9 *526:11 *559:11 0.0104483 -10 *526:11 *2230:11 0.0102446 -11 *526:14 *527:14 0 -12 *526:14 *553:14 0 -13 *526:14 *557:18 0 -14 *526:14 *559:8 0.00519031 -15 *526:14 *604:14 0.00161238 -16 *526:14 *2272:8 0.000266226 -*RES -1 *2471:wb_ack_o *526:10 22.5382 -2 *526:10 *526:11 109.98 -3 *526:11 *526:13 4.5 -4 *526:13 *526:14 93.3951 -5 *526:14 *2478:hk_ack_i 7.79191 -*END - -*D_NET *527 0.031927 -*CONN -*I *2478:hk_dat_i[0] I *D mgmt_core_wrapper -*I *2471:wb_dat_o[0] O *D housekeeping -*CAP -1 *2478:hk_dat_i[0] 0.00114163 -2 *2471:wb_dat_o[0] 0.00158801 -3 *527:14 0.00283127 -4 *527:13 0.00168964 -5 *527:11 0.00238336 -6 *527:10 0.00397137 -7 *527:10 *2471:wb_stb_i 0 -8 *527:10 *538:10 0.000275937 -9 *527:11 *538:11 0.0108159 -10 *527:14 *553:14 0.00336824 -11 *527:14 *555:14 0 -12 *527:14 *603:14 0.000222466 -13 *527:14 *604:14 0 -14 *527:14 *2275:8 0.00363921 -15 *526:14 *527:14 0 -*RES -1 *2471:wb_dat_o[0] *527:10 18.3092 -2 *527:10 *527:11 113.308 -3 *527:11 *527:13 4.5 -4 *527:13 *527:14 91.7341 -5 *527:14 *2478:hk_dat_i[0] 7.40946 -*END - -*D_NET *528 0.0256062 -*CONN -*I *2478:hk_dat_i[10] I *D mgmt_core_wrapper -*I *2471:wb_dat_o[10] O *D housekeeping -*CAP -1 *2478:hk_dat_i[10] 0.00171621 -2 *2471:wb_dat_o[10] 0.00114615 -3 *528:11 0.00430812 -4 *528:10 0.00259191 -5 *528:8 0.0018772 -6 *528:7 0.00302335 -7 *2478:hk_dat_i[10] *529:14 0.000171694 -8 *2478:hk_dat_i[10] *553:14 0 -9 *2478:hk_dat_i[10] *557:18 0.000447468 -10 *528:7 *529:10 0 -11 *528:7 *558:10 0 -12 *528:8 *530:8 0 -13 *528:11 *549:11 0.000120742 -14 *528:11 *552:11 1.41291e-05 -15 *528:11 *553:11 0.0101892 -*RES -1 *2471:wb_dat_o[10] *528:7 7.71542 -2 *528:7 *528:8 51.0394 -3 *528:8 *528:10 4.5 -4 *528:10 *528:11 117.745 -5 *528:11 *2478:hk_dat_i[10] 27.3573 -*END - -*D_NET *529 0.0254509 -*CONN -*I *2478:hk_dat_i[11] I *D mgmt_core_wrapper -*I *2471:wb_dat_o[11] O *D housekeeping -*CAP -1 *2478:hk_dat_i[11] 0.00121853 -2 *2471:wb_dat_o[11] 0.00155159 -3 *529:14 0.00324395 -4 *529:13 0.00202542 -5 *529:11 0.00231345 -6 *529:10 0.00386503 -7 *529:10 *530:7 0 -8 *529:10 *534:8 0.000358763 -9 *529:10 *535:10 0.000168224 -10 *529:11 *534:11 0.0105101 -11 *529:11 *535:11 2.41483e-05 -12 *529:14 *2478:hk_dat_i[14] 0 -13 *529:14 *531:14 0 -14 *529:14 *553:14 0 -15 *529:14 *557:16 0 -16 *529:14 *557:18 0 -17 *2478:hk_dat_i[10] *529:14 0.000171694 -18 *528:7 *529:10 0 -*RES -1 *2471:wb_dat_o[11] *529:10 19.1397 -2 *529:10 *529:11 110.535 -3 *529:11 *529:13 4.5 -4 *529:13 *529:14 56.8529 -5 *529:14 *2478:hk_dat_i[11] 7.79191 -*END - -*D_NET *530 0.0258724 -*CONN -*I *2478:hk_dat_i[12] I *D mgmt_core_wrapper -*I *2471:wb_dat_o[12] O *D housekeeping -*CAP -1 *2478:hk_dat_i[12] 0.00105473 -2 *2471:wb_dat_o[12] 0.00134602 -3 *530:11 0.00368519 -4 *530:10 0.00263046 -5 *530:8 0.0015999 -6 *530:7 0.00294593 -7 *2478:hk_dat_i[12] *554:14 0.000841182 -8 *2478:hk_dat_i[12] *558:14 0.000281894 -9 *530:7 *531:10 0 -10 *530:8 *532:10 0 -11 *530:8 *535:10 0.000274185 -12 *530:8 *555:10 0 -13 *530:8 *556:10 0 -14 *530:8 *557:10 0 -15 *530:11 *554:11 0.0110856 -16 *530:11 *555:11 1.41291e-05 -17 *530:11 *556:11 0.00011318 -18 *528:8 *530:8 0 -19 *529:10 *530:7 0 -*RES -1 *2471:wb_dat_o[12] *530:7 8.48033 -2 *530:7 *530:8 46.4716 -3 *530:8 *530:10 4.5 -4 *530:10 *530:11 122.182 -5 *530:11 *2478:hk_dat_i[12] 24.7348 -*END - -*D_NET *531 0.0249488 -*CONN -*I *2478:hk_dat_i[13] I *D mgmt_core_wrapper -*I *2471:wb_dat_o[13] O *D housekeeping -*CAP -1 *2478:hk_dat_i[13] 0.00110901 -2 *2471:wb_dat_o[13] 0.00149889 -3 *531:14 0.00315257 -4 *531:13 0.00204356 -5 *531:11 0.00241941 -6 *531:10 0.0039183 -7 *531:10 *532:7 0 -8 *531:10 *534:8 7.22572e-05 -9 *531:10 *536:10 6.75696e-05 -10 *531:11 *536:11 0.0106672 -11 *531:14 *2478:hk_dat_i[15] 0 -12 *531:14 *2478:hk_dat_i[18] 0 -13 *531:14 *555:14 0 -14 *529:14 *531:14 0 -15 *530:7 *531:10 0 -*RES -1 *2471:wb_dat_o[13] *531:10 14.5719 -2 *531:10 *531:11 113.863 -3 *531:11 *531:13 4.5 -4 *531:13 *531:14 55.6072 -5 *531:14 *2478:hk_dat_i[13] 7.33297 -*END - -*D_NET *532 0.033975 -*CONN -*I *2478:hk_dat_i[14] I *D mgmt_core_wrapper -*I *2471:wb_dat_o[14] O *D housekeeping -*CAP -1 *2478:hk_dat_i[14] 0.00154659 -2 *2471:wb_dat_o[14] 0.00152881 -3 *532:11 0.00218075 -4 *532:10 0.00121646 -5 *532:7 0.00211111 -6 *2478:hk_dat_i[14] *557:16 0.000739665 -7 *532:7 *533:7 0 -8 *532:10 *533:8 0.00261251 -9 *532:10 *534:8 0.00156296 -10 *532:10 *557:10 0.000122352 -11 *532:11 *533:11 0.0101979 -12 *532:11 *557:11 5.99527e-05 -13 *532:11 *558:11 0.0100959 -14 *529:14 *2478:hk_dat_i[14] 0 -15 *530:8 *532:10 0 -16 *531:10 *532:7 0 -*RES -1 *2471:wb_dat_o[14] *532:7 9.01576 -2 *532:7 *532:10 46.8191 -3 *532:10 *532:11 107.207 -4 *532:11 *2478:hk_dat_i[14] 24.6035 -*END - -*D_NET *533 0.0258579 -*CONN -*I *2478:hk_dat_i[15] I *D mgmt_core_wrapper -*I *2471:wb_dat_o[15] O *D housekeeping -*CAP -1 *2478:hk_dat_i[15] 0.00105005 -2 *2471:wb_dat_o[15] 0.00155858 -3 *533:11 0.00350519 -4 *533:10 0.00245514 -5 *533:8 0.00104021 -6 *533:7 0.00259879 -7 *2478:hk_dat_i[15] *558:14 0 -8 *533:7 *534:8 0 -9 *533:8 *534:8 0.000172802 -10 *533:8 *558:10 0.000302927 -11 *533:11 *558:11 0.000363739 -12 *531:14 *2478:hk_dat_i[15] 0 -13 *532:7 *533:7 0 -14 *532:10 *533:8 0.00261251 -15 *532:11 *533:11 0.0101979 -*RES -1 *2471:wb_dat_o[15] *533:7 9.09225 -2 *533:7 *533:8 48.5479 -3 *533:8 *533:10 4.5 -4 *533:10 *533:11 115.526 -5 *533:11 *2478:hk_dat_i[15] 13.8289 -*END - -*D_NET *534 0.0324843 -*CONN -*I *2478:hk_dat_i[16] I *D mgmt_core_wrapper -*I *2471:wb_dat_o[16] O *D housekeeping -*CAP -1 *2478:hk_dat_i[16] 0.00110455 -2 *2471:wb_dat_o[16] 0.00207443 -3 *534:11 0.0022337 -4 *534:10 0.00112915 -5 *534:8 0.00207443 -6 *534:8 *535:7 0 -7 *534:8 *535:10 0.00023581 -8 *534:8 *536:10 0.000435472 -9 *534:8 *537:10 0 -10 *534:8 *539:10 0.000275937 -11 *534:11 *2478:hk_dat_i[17] 0.000101365 -12 *534:11 *535:11 0.0101426 -13 *529:10 *534:8 0.000358763 -14 *529:11 *534:11 0.0105101 -15 *531:10 *534:8 7.22572e-05 -16 *532:10 *534:8 0.00156296 -17 *533:7 *534:8 0 -18 *533:8 *534:8 0.000172802 -*RES -1 *2471:wb_dat_o[16] *534:8 46.6906 -2 *534:8 *534:10 4.5 -3 *534:10 *534:11 121.627 -4 *534:11 *2478:hk_dat_i[16] 20.615 -*END - -*D_NET *535 0.0247627 -*CONN -*I *2478:hk_dat_i[17] I *D mgmt_core_wrapper -*I *2471:wb_dat_o[17] O *D housekeeping -*CAP -1 *2478:hk_dat_i[17] 0.00144172 -2 *2471:wb_dat_o[17] 0.00140598 -3 *535:11 0.00371013 -4 *535:10 0.00324549 -5 *535:7 0.00238306 -6 *535:7 *536:10 0 -7 *535:10 *536:10 0.00163001 -8 *529:10 *535:10 0.000168224 -9 *529:11 *535:11 2.41483e-05 -10 *530:8 *535:10 0.000274185 -11 *534:8 *535:7 0 -12 *534:8 *535:10 0.00023581 -13 *534:11 *2478:hk_dat_i[17] 0.000101365 -14 *534:11 *535:11 0.0101426 -*RES -1 *2471:wb_dat_o[17] *535:7 8.7098 -2 *535:7 *535:10 48.4801 -3 *535:10 *535:11 107.762 -4 *535:11 *2478:hk_dat_i[17] 13.206 -*END - -*D_NET *536 0.0252616 -*CONN -*I *2478:hk_dat_i[18] I *D mgmt_core_wrapper -*I *2471:wb_dat_o[18] O *D housekeeping -*CAP -1 *2478:hk_dat_i[18] 0.001452 -2 *2471:wb_dat_o[18] 0.00189148 -3 *536:11 0.00381209 -4 *536:10 0.00425158 -5 *536:10 *537:10 0 -6 *536:10 *539:10 0.00105424 -7 *531:10 *536:10 6.75696e-05 -8 *531:11 *536:11 0.0106672 -9 *531:14 *2478:hk_dat_i[18] 0 -10 *534:8 *536:10 0.000435472 -11 *535:7 *536:10 0 -12 *535:10 *536:10 0.00163001 -*RES -1 *2471:wb_dat_o[18] *536:10 46.4698 -2 *536:10 *536:11 112.199 -3 *536:11 *2478:hk_dat_i[18] 20.8226 -*END - -*D_NET *537 0.0230512 -*CONN -*I *2478:hk_dat_i[19] I *D mgmt_core_wrapper -*I *2471:wb_dat_o[19] O *D housekeeping -*CAP -1 *2478:hk_dat_i[19] 0.00154349 -2 *2471:wb_dat_o[19] 0.00251478 -3 *537:11 0.00385341 -4 *537:10 0.0048247 -5 *2478:hk_dat_i[19] *2478:hk_dat_i[20] 0 -6 *537:10 *539:10 0 -7 *537:10 *540:10 0 -8 *537:11 *539:11 0.0103148 -9 *534:8 *537:10 0 -10 *536:10 *537:10 0 -*RES -1 *2471:wb_dat_o[19] *537:10 36.5911 -2 *537:10 *537:11 109.98 -3 *537:11 *2478:hk_dat_i[19] 27.6852 -*END - -*D_NET *538 0.0281619 -*CONN -*I *2478:hk_dat_i[1] I *D mgmt_core_wrapper -*I *2471:wb_dat_o[1] O *D housekeeping -*CAP -1 *2478:hk_dat_i[1] 0.000815998 -2 *2471:wb_dat_o[1] 0.0017475 -3 *538:14 0.00309816 -4 *538:13 0.00228216 -5 *538:11 0.00267853 -6 *538:10 0.00442603 -7 *538:10 *549:10 0 -8 *538:14 *554:14 0.00137052 -9 *538:14 *556:14 0.000377919 -10 *538:14 *558:14 0.000273238 -11 *538:14 *2224:8 0 -12 *538:14 *2228:8 0 -13 *527:10 *538:10 0.000275937 -14 *527:11 *538:11 0.0108159 -*RES -1 *2471:wb_dat_o[1] *538:10 23.631 -2 *538:10 *538:11 120.518 -3 *538:11 *538:13 4.5 -4 *538:13 *538:14 83.0138 -5 *538:14 *2478:hk_dat_i[1] 6.49158 -*END - -*D_NET *539 0.0244677 -*CONN -*I *2478:hk_dat_i[20] I *D mgmt_core_wrapper -*I *2471:wb_dat_o[20] O *D housekeeping -*CAP -1 *2478:hk_dat_i[20] 0.00129902 -2 *2471:wb_dat_o[20] 0.00190267 -3 *539:11 0.00375885 -4 *539:10 0.00436249 -5 *539:10 *540:10 0.000762639 -6 *539:10 *541:10 0.000737002 -7 *2478:hk_dat_i[19] *2478:hk_dat_i[20] 0 -8 *534:8 *539:10 0.000275937 -9 *536:10 *539:10 0.00105424 -10 *537:10 *539:10 0 -11 *537:11 *539:11 0.0103148 -*RES -1 *2471:wb_dat_o[20] *539:10 42.809 -2 *539:10 *539:11 113.863 -3 *539:11 *2478:hk_dat_i[20] 18.4404 -*END - -*D_NET *540 0.0238638 -*CONN -*I *2478:hk_dat_i[21] I *D mgmt_core_wrapper -*I *2471:wb_dat_o[21] O *D housekeeping -*CAP -1 *2478:hk_dat_i[21] 0.00138352 -2 *2471:wb_dat_o[21] 0.00193321 -3 *540:11 0.00382558 -4 *540:10 0.00437527 -5 *2478:hk_dat_i[21] *2478:hk_dat_i[22] 0.000275934 -6 *540:10 *541:10 0.000139296 -7 *540:10 *542:10 6.75696e-05 -8 *540:10 *543:10 0 -9 *540:11 *541:11 0.0111008 -10 *537:10 *540:10 0 -11 *539:10 *540:10 0.000762639 -*RES -1 *2471:wb_dat_o[21] *540:10 31.6737 -2 *540:10 *540:11 116.636 -3 *540:11 *2478:hk_dat_i[21] 25.8712 -*END - -*D_NET *541 0.023848 -*CONN -*I *2478:hk_dat_i[22] I *D mgmt_core_wrapper -*I *2471:wb_dat_o[22] O *D housekeeping -*CAP -1 *2478:hk_dat_i[22] 0.00107795 -2 *2471:wb_dat_o[22] 0.00200563 -3 *541:11 0.00355126 -4 *541:10 0.00447894 -5 *541:10 *542:10 0.00048123 -6 *2478:hk_dat_i[21] *2478:hk_dat_i[22] 0.000275934 -7 *539:10 *541:10 0.000737002 -8 *540:10 *541:10 0.000139296 -9 *540:11 *541:11 0.0111008 -*RES -1 *2471:wb_dat_o[22] *541:10 37.7495 -2 *541:10 *541:11 117.19 -3 *541:11 *2478:hk_dat_i[22] 16.3969 -*END - -*D_NET *542 0.022792 -*CONN -*I *2478:hk_dat_i[23] I *D mgmt_core_wrapper -*I *2471:wb_dat_o[23] O *D housekeeping -*CAP -1 *2478:hk_dat_i[23] 0.00132249 -2 *2471:wb_dat_o[23] 0.00191914 -3 *542:11 0.00394097 -4 *542:10 0.00453761 -5 *2478:hk_dat_i[23] *2478:hk_dat_i[24] 0 -6 *542:10 *543:10 0 -7 *542:11 *543:11 0.010523 -8 *540:10 *542:10 6.75696e-05 -9 *541:10 *542:10 0.00048123 -*RES -1 *2471:wb_dat_o[23] *542:10 27.0295 -2 *542:10 *542:11 118.854 -3 *542:11 *2478:hk_dat_i[23] 23.5655 -*END - -*D_NET *543 0.0221854 -*CONN -*I *2478:hk_dat_i[24] I *D mgmt_core_wrapper -*I *2471:wb_dat_o[24] O *D housekeeping -*CAP -1 *2478:hk_dat_i[24] 0.00115251 -2 *2471:wb_dat_o[24] 0.00240327 -3 *543:11 0.00342794 -4 *543:10 0.0046787 -5 *543:10 *544:10 0 -6 *2478:hk_dat_i[23] *2478:hk_dat_i[24] 0 -7 *540:10 *543:10 0 -8 *542:10 *543:10 0 -9 *542:11 *543:11 0.010523 -*RES -1 *2471:wb_dat_o[24] *543:10 34.7772 -2 *543:10 *543:11 109.98 -3 *543:11 *2478:hk_dat_i[24] 14.5501 -*END - -*D_NET *544 0.0227292 -*CONN -*I *2478:hk_dat_i[25] I *D mgmt_core_wrapper -*I *2471:wb_dat_o[25] O *D housekeeping -*CAP -1 *2478:hk_dat_i[25] 0.00118412 -2 *2471:wb_dat_o[25] 0.0013158 -3 *544:11 0.00414129 -4 *544:10 0.00427296 -5 *544:10 *545:10 0.000559368 -6 *544:11 *2478:hk_dat_i[26] 0.00015065 -7 *544:11 *545:11 0.011105 -8 *543:10 *544:10 0 -*RES -1 *2471:wb_dat_o[25] *544:10 21.5001 -2 *544:10 *544:11 131.055 -3 *544:11 *2478:hk_dat_i[25] 21.5985 -*END - -*D_NET *545 0.022546 -*CONN -*I *2478:hk_dat_i[26] I *D mgmt_core_wrapper -*I *2471:wb_dat_o[26] O *D housekeeping -*CAP -1 *2478:hk_dat_i[26] 0.00139706 -2 *2471:wb_dat_o[26] 0.00156036 -3 *545:11 0.00380515 -4 *545:10 0.00396846 -5 *545:10 *546:10 0 -6 *544:10 *545:10 0.000559368 -7 *544:11 *2478:hk_dat_i[26] 0.00015065 -8 *544:11 *545:11 0.011105 -*RES -1 *2471:wb_dat_o[26] *545:10 27.8054 -2 *545:10 *545:11 116.081 -3 *545:11 *2478:hk_dat_i[26] 14.867 -*END - -*D_NET *546 0.0144686 -*CONN -*I *2478:hk_dat_i[27] I *D mgmt_core_wrapper -*I *2471:wb_dat_o[27] O *D housekeeping -*CAP -1 *2478:hk_dat_i[27] 0.00111003 -2 *2471:wb_dat_o[27] 0.00162075 -3 *546:11 0.00548101 -4 *546:10 0.00599173 -5 *546:10 *547:10 0 -6 *546:11 *2478:hk_dat_i[28] 0.000265069 -7 *545:10 *546:10 0 -*RES -1 *2471:wb_dat_o[27] *546:10 17.8939 -2 *546:10 *546:11 122.182 -3 *546:11 *2478:hk_dat_i[27] 20.615 -*END - -*D_NET *547 0.0210656 -*CONN -*I *2478:hk_dat_i[28] I *D mgmt_core_wrapper -*I *2471:wb_dat_o[28] O *D housekeeping -*CAP -1 *2478:hk_dat_i[28] 0.00140142 -2 *2471:wb_dat_o[28] 0.00155683 -3 *547:11 0.00386981 -4 *547:10 0.00402521 -5 *547:10 *548:10 0 -6 *547:11 *548:11 0.00994725 -7 *546:10 *547:10 0 -8 *546:11 *2478:hk_dat_i[28] 0.000265069 -*RES -1 *2471:wb_dat_o[28] *547:10 16.2329 -2 *547:10 *547:11 112.753 -3 *547:11 *2478:hk_dat_i[28] 20.2544 -*END - -*D_NET *548 0.020703 -*CONN -*I *2478:hk_dat_i[29] I *D mgmt_core_wrapper -*I *2471:wb_dat_o[29] O *D housekeeping -*CAP -1 *2478:hk_dat_i[29] 0.00138121 -2 *2471:wb_dat_o[29] 0.00185213 -3 *548:11 0.00352575 -4 *548:10 0.00399668 -5 *548:10 *550:10 0 -6 *547:10 *548:10 0 -7 *547:11 *548:11 0.00994725 -*RES -1 *2471:wb_dat_o[29] *548:10 22.0136 -2 *548:10 *548:11 103.88 -3 *548:11 *2478:hk_dat_i[29] 13.6212 -*END - -*D_NET *549 0.0394913 -*CONN -*I *2478:hk_dat_i[2] I *D mgmt_core_wrapper -*I *2471:wb_dat_o[2] O *D housekeeping -*CAP -1 *2478:hk_dat_i[2] 0.00142642 -2 *2471:wb_dat_o[2] 0.00157981 -3 *549:14 0.00241064 -4 *549:13 0.000984227 -5 *549:11 0.000627554 -6 *549:10 0.00220737 -7 *549:10 *552:10 0 -8 *549:11 *552:11 0.0101979 -9 *549:11 *553:11 0.00998549 -10 *549:14 *552:14 0.00503334 -11 *549:14 *557:18 0.00099579 -12 *549:14 *2229:8 0.000399583 -13 *549:14 *2230:8 0.00352242 -14 *528:11 *549:11 0.000120742 -15 *538:10 *549:10 0 -*RES -1 *2471:wb_dat_o[2] *549:10 13.7414 -2 *549:10 *549:11 107.207 -3 *549:11 *549:13 4.5 -4 *549:13 *549:14 90.4883 -5 *549:14 *2478:hk_dat_i[2] 8.25085 -*END - -*D_NET *550 0.0208948 -*CONN -*I *2478:hk_dat_i[30] I *D mgmt_core_wrapper -*I *2471:wb_dat_o[30] O *D housekeeping -*CAP -1 *2478:hk_dat_i[30] 0.00155012 -2 *2471:wb_dat_o[30] 0.00153218 -3 *550:11 0.00380821 -4 *550:10 0.00379026 -5 *2478:hk_dat_i[30] *2478:hk_dat_i[31] 3.31733e-05 -6 *550:10 *551:10 2.95757e-05 -7 *550:11 *551:11 0.0101513 -8 *548:10 *550:10 0 -*RES -1 *2471:wb_dat_o[30] *550:10 15.8176 -2 *550:10 *550:11 107.207 -3 *550:11 *2478:hk_dat_i[30] 20.1888 -*END - -*D_NET *551 0.0206544 -*CONN -*I *2478:hk_dat_i[31] I *D mgmt_core_wrapper -*I *2471:wb_dat_o[31] O *D housekeeping -*CAP -1 *2478:hk_dat_i[31] 0.00139926 -2 *2471:wb_dat_o[31] 0.00162536 -3 *551:11 0.00359483 -4 *551:10 0.00382092 -5 *2471:spimemio_flash_clk *551:10 0 -6 *2478:hk_dat_i[30] *2478:hk_dat_i[31] 3.31733e-05 -7 *550:10 *551:10 2.95757e-05 -8 *550:11 *551:11 0.0101513 -*RES -1 *2471:wb_dat_o[31] *551:10 17.5551 -2 *551:10 *551:11 106.098 -3 *551:11 *2478:hk_dat_i[31] 15.2822 -*END - -*D_NET *552 0.0296126 -*CONN -*I *2478:hk_dat_i[3] I *D mgmt_core_wrapper -*I *2471:wb_dat_o[3] O *D housekeeping -*CAP -1 *2478:hk_dat_i[3] 0.00144422 -2 *2471:wb_dat_o[3] 0.00164609 -3 *552:14 0.00330131 -4 *552:13 0.0018571 -5 *552:11 0.00223173 -6 *552:10 0.00387782 -7 *552:10 *553:10 0 -8 *552:14 *557:18 8.92568e-06 -9 *528:11 *552:11 1.41291e-05 -10 *549:10 *552:10 0 -11 *549:11 *552:11 0.0101979 -12 *549:14 *552:14 0.00503334 -*RES -1 *2471:wb_dat_o[3] *552:10 19.0632 -2 *552:10 *552:11 107.207 -3 *552:11 *552:13 4.5 -4 *552:13 *552:14 81.768 -5 *552:14 *2478:hk_dat_i[3] 8.32734 -*END - -*D_NET *553 0.0352269 -*CONN -*I *2478:hk_dat_i[4] I *D mgmt_core_wrapper -*I *2471:wb_dat_o[4] O *D housekeeping -*CAP -1 *2478:hk_dat_i[4] 0.00116372 -2 *2471:wb_dat_o[4] 0.0020536 -3 *553:14 0.00299916 -4 *553:13 0.00183544 -5 *553:11 0.00078918 -6 *553:10 0.00284278 -7 *553:10 *554:10 0 -8 *553:14 *555:14 0 -9 *553:14 *557:18 0 -10 *2478:hk_dat_i[10] *553:14 0 -11 *526:14 *553:14 0 -12 *527:14 *553:14 0.00336824 -13 *528:11 *553:11 0.0101892 -14 *529:14 *553:14 0 -15 *549:11 *553:11 0.00998549 -16 *552:10 *553:10 0 -*RES -1 *2471:wb_dat_o[4] *553:10 26.9967 -2 *553:10 *553:11 109.98 -3 *553:11 *553:13 4.5 -4 *553:13 *553:14 70.9715 -5 *553:14 *2478:hk_dat_i[4] 7.48595 -*END - -*D_NET *554 0.0360722 -*CONN -*I *2478:hk_dat_i[5] I *D mgmt_core_wrapper -*I *2471:wb_dat_o[5] O *D housekeeping -*CAP -1 *2478:hk_dat_i[5] 0.000878901 -2 *2471:wb_dat_o[5] 0.00174461 -3 *554:14 0.00238214 -4 *554:13 0.00150323 -5 *554:11 0.000682848 -6 *554:10 0.00242745 -7 *554:10 *555:10 0 -8 *554:10 *556:10 0 -9 *554:11 *556:11 0.011075 -10 *554:14 *555:14 0.00208066 -11 *554:14 *558:14 0 -12 *554:14 *2224:8 0 -13 *2478:hk_dat_i[12] *554:14 0.000841182 -14 *530:11 *554:11 0.0110856 -15 *538:14 *554:14 0.00137052 -16 *553:10 *554:10 0 -*RES -1 *2471:wb_dat_o[5] *554:10 15.7848 -2 *554:10 *554:11 116.081 -3 *554:11 *554:13 4.5 -4 *554:13 *554:14 78.8613 -5 *554:14 *2478:hk_dat_i[5] 6.64456 -*END - -*D_NET *555 0.027623 -*CONN -*I *2478:hk_dat_i[6] I *D mgmt_core_wrapper -*I *2471:wb_dat_o[6] O *D housekeeping -*CAP -1 *2478:hk_dat_i[6] 0.000921034 -2 *2471:wb_dat_o[6] 0.0016569 -3 *555:14 0.00275385 -4 *555:13 0.00183282 -5 *555:11 0.00248383 -6 *555:10 0.00414073 -7 *555:10 *556:10 0.00048123 -8 *555:11 *556:11 0.0111876 -9 *555:14 *558:14 0 -10 *555:14 *2224:8 7.01502e-05 -11 *555:14 *2227:14 0 -12 *555:14 *2275:8 0 -13 *527:14 *555:14 0 -14 *530:8 *555:10 0 -15 *530:11 *555:11 1.41291e-05 -16 *531:14 *555:14 0 -17 *553:14 *555:14 0 -18 *554:10 *555:10 0 -19 *554:14 *555:14 0.00208066 -*RES -1 *2471:wb_dat_o[6] *555:10 21.2159 -2 *555:10 *555:11 117.745 -3 *555:11 *555:13 4.5 -4 *555:13 *555:14 70.5562 -5 *555:14 *2478:hk_dat_i[6] 6.79754 -*END - -*D_NET *556 0.0358336 -*CONN -*I *2478:hk_dat_i[7] I *D mgmt_core_wrapper -*I *2471:wb_dat_o[7] O *D housekeeping -*CAP -1 *2478:hk_dat_i[7] 0.000756037 -2 *2471:wb_dat_o[7] 0.00191511 -3 *556:14 0.00222528 -4 *556:13 0.00146924 -5 *556:11 0.0008384 -6 *556:10 0.00275351 -7 *556:10 *557:10 0 -8 *556:14 *558:14 0.00264111 -9 *530:8 *556:10 0 -10 *530:11 *556:11 0.00011318 -11 *538:14 *556:14 0.000377919 -12 *554:10 *556:10 0 -13 *554:11 *556:11 0.011075 -14 *555:10 *556:10 0.00048123 -15 *555:11 *556:11 0.0111876 -*RES -1 *2471:wb_dat_o[7] *556:10 27.5212 -2 *556:10 *556:11 121.072 -3 *556:11 *556:13 4.5 -4 *556:13 *556:14 61.0054 -5 *556:14 *2478:hk_dat_i[7] 6.26211 -*END - -*D_NET *557 0.0267268 -*CONN -*I *2478:hk_dat_i[8] I *D mgmt_core_wrapper -*I *2471:wb_dat_o[8] O *D housekeeping -*CAP -1 *2478:hk_dat_i[8] 0.00136212 -2 *2471:wb_dat_o[8] 0.00157712 -3 *557:18 0.00270275 -4 *557:16 0.00188209 -5 *557:11 0.00282574 -6 *557:10 0.00386139 -7 *557:10 *558:10 0 -8 *557:11 *558:11 0.0100451 -9 *557:18 *559:8 9.34618e-06 -10 *557:18 *2230:8 8.70315e-05 -11 *2478:hk_dat_i[10] *557:18 0.000447468 -12 *2478:hk_dat_i[14] *557:16 0.000739665 -13 *526:14 *557:18 0 -14 *529:14 *557:16 0 -15 *529:14 *557:18 0 -16 *530:8 *557:10 0 -17 *532:10 *557:10 0.000122352 -18 *532:11 *557:11 5.99527e-05 -19 *549:14 *557:18 0.00099579 -20 *552:14 *557:18 8.92568e-06 -21 *553:14 *557:18 0 -22 *556:10 *557:10 0 -*RES -1 *2471:wb_dat_o[8] *557:10 17.4787 -2 *557:10 *557:11 107.762 -3 *557:11 *557:16 22.6856 -4 *557:16 *557:18 51.1982 -5 *557:18 *2478:hk_dat_i[8] 8.09787 -*END - -*D_NET *558 0.0340074 -*CONN -*I *2478:hk_dat_i[9] I *D mgmt_core_wrapper -*I *2471:wb_dat_o[9] O *D housekeeping -*CAP -1 *2478:hk_dat_i[9] 0.000788568 -2 *2471:wb_dat_o[9] 0.00188055 -3 *558:14 0.00214045 -4 *558:13 0.00135188 -5 *558:11 0.00098075 -6 *558:10 0.0028613 -7 *2478:hk_dat_i[12] *558:14 0.000281894 -8 *2478:hk_dat_i[15] *558:14 0 -9 *528:7 *558:10 0 -10 *532:11 *558:11 0.0100959 -11 *533:8 *558:10 0.000302927 -12 *533:11 *558:11 0.000363739 -13 *538:14 *558:14 0.000273238 -14 *554:14 *558:14 0 -15 *555:14 *558:14 0 -16 *556:14 *558:14 0.00264111 -17 *557:10 *558:10 0 -18 *557:11 *558:11 0.0100451 -*RES -1 *2471:wb_dat_o[9] *558:10 24.0899 -2 *558:10 *558:11 118.299 -3 *558:11 *558:13 4.5 -4 *558:13 *558:14 58.9292 -5 *558:14 *2478:hk_dat_i[9] 6.3386 -*END - -*D_NET *559 0.0319987 -*CONN -*I *2471:wb_stb_i I *D housekeeping -*I *2478:hk_stb_o O *D mgmt_core_wrapper -*CAP -1 *2471:wb_stb_i 0.00190088 -2 *2478:hk_stb_o 0.00129179 -3 *559:11 0.00423772 -4 *559:10 0.00233685 -5 *559:8 0.00143109 -6 *559:7 0.00272288 -7 *2471:wb_stb_i *2471:spi_enabled 0.000119662 -8 *559:8 *2230:8 0 -9 *559:8 *2272:8 0.00207973 -10 *559:11 *2230:11 2.41483e-05 -11 *526:10 *2471:wb_stb_i 0.000205961 -12 *526:11 *559:11 0.0104483 -13 *526:14 *559:8 0.00519031 -14 *527:10 *2471:wb_stb_i 0 -15 *557:18 *559:8 9.34618e-06 -*RES -1 *2478:hk_stb_o *559:7 7.8684 -2 *559:7 *559:8 83.8443 -3 *559:8 *559:10 4.5 -4 *559:10 *559:11 110.535 -5 *559:11 *2471:wb_stb_i 28.614 -*END - -*D_NET *560 0.0623247 -*CONN -*I *2478:sram_ro_addr[0] I *D mgmt_core_wrapper -*I *2471:sram_ro_addr[0] O *D housekeeping -*CAP -1 *2478:sram_ro_addr[0] 0.00125866 -2 *2471:sram_ro_addr[0] 0.00127864 -3 *560:14 0.00375029 -4 *560:13 0.00249163 -5 *560:11 0.000719053 -6 *560:10 0.000719053 -7 *560:8 0.000857321 -8 *560:7 0.00213597 -9 *560:7 *561:7 0 -10 *560:7 *569:10 0 -11 *560:8 *562:8 0.00645653 -12 *560:8 *563:8 0.00650393 -13 *560:8 *565:8 2.04806e-05 -14 *560:11 *561:11 0.0106414 -15 *560:11 *562:11 4.0752e-05 -16 *560:11 *563:11 0.0107412 -17 *560:11 *570:11 1.65872e-05 -18 *560:14 *561:14 0.00505051 -19 *560:14 *562:14 0.00018896 -20 *560:14 *563:14 0.00935736 -21 *560:14 *564:14 9.22013e-06 -22 *560:14 *571:8 8.71534e-05 -*RES -1 *2471:sram_ro_addr[0] *560:7 8.17437 -2 *560:7 *560:8 104.192 -3 *560:8 *560:10 4.5 -4 *560:10 *560:11 113.308 -5 *560:11 *560:13 4.5 -6 *560:13 *560:14 177.691 -7 *560:14 *2478:sram_ro_addr[0] 8.09787 -*END - -*D_NET *561 0.0588453 -*CONN -*I *2478:sram_ro_addr[1] I *D mgmt_core_wrapper -*I *2471:sram_ro_addr[1] O *D housekeeping -*CAP -1 *2478:sram_ro_addr[1] 0.0012083 -2 *2471:sram_ro_addr[1] 0.00136891 -3 *561:14 0.00466475 -4 *561:13 0.00345645 -5 *561:11 0.000751558 -6 *561:10 0.000751558 -7 *561:8 0.000916239 -8 *561:7 0.00228515 -9 *561:7 *562:7 0 -10 *561:8 *564:8 0.00684722 -11 *561:8 *565:8 0.00688953 -12 *561:8 *570:14 8.62321e-06 -13 *561:11 *562:11 0.0104908 -14 *561:11 *564:11 1.65872e-05 -15 *561:11 *570:11 1.58551e-05 -16 *561:14 *566:14 0 -17 *561:14 *568:14 0 -18 *561:14 *569:14 0 -19 *561:14 *571:8 0.000109602 -20 *561:14 *592:8 0.000383211 -21 *561:14 *596:8 0.000549646 -22 *561:14 *598:8 0.00243941 -23 *560:7 *561:7 0 -24 *560:11 *561:11 0.0106414 -25 *560:14 *561:14 0.00505051 -*RES -1 *2471:sram_ro_addr[1] *561:7 8.40384 -2 *561:7 *561:8 110.42 -3 *561:8 *561:10 4.5 -4 *561:10 *561:11 112.753 -5 *561:11 *561:13 4.5 -6 *561:13 *561:14 168.971 -7 *561:14 *2478:sram_ro_addr[1] 7.94489 -*END - -*D_NET *562 0.0602448 -*CONN -*I *2478:sram_ro_addr[2] I *D mgmt_core_wrapper -*I *2471:sram_ro_addr[2] O *D housekeeping -*CAP -1 *2478:sram_ro_addr[2] 0.00134371 -2 *2471:sram_ro_addr[2] 0.0012986 -3 *562:14 0.00494627 -4 *562:13 0.00360256 -5 *562:11 0.000709047 -6 *562:10 0.000709047 -7 *562:8 0.000997022 -8 *562:7 0.00229563 -9 *562:7 *563:7 0 -10 *562:8 *563:8 0.000367776 -11 *562:8 *565:8 0.00723015 -12 *562:11 *564:11 0.0104419 -13 *562:14 *563:14 0.000271132 -14 *562:14 *564:14 0.00885496 -15 *560:8 *562:8 0.00645653 -16 *560:11 *562:11 4.0752e-05 -17 *560:14 *562:14 0.00018896 -18 *561:7 *562:7 0 -19 *561:11 *562:11 0.0104908 -*RES -1 *2471:sram_ro_addr[2] *562:7 8.25085 -2 *562:7 *562:8 115.819 -3 *562:8 *562:10 4.5 -4 *562:10 *562:11 111.09 -5 *562:11 *562:13 4.5 -6 *562:13 *562:14 160.251 -7 *562:14 *2478:sram_ro_addr[2] 8.32734 -*END - -*D_NET *563 0.0613909 -*CONN -*I *2478:sram_ro_addr[3] I *D mgmt_core_wrapper -*I *2471:sram_ro_addr[3] O *D housekeeping -*CAP -1 *2478:sram_ro_addr[3] 0.00129335 -2 *2471:sram_ro_addr[3] 0.00124609 -3 *563:14 0.00255447 -4 *563:13 0.00126112 -5 *563:11 0.000740185 -6 *563:10 0.000740185 -7 *563:8 0.00280479 -8 *563:7 0.00405088 -9 *563:7 *564:7 0 -10 *563:8 *565:8 0.000147122 -11 *563:11 *565:11 9.16621e-05 -12 *563:11 *570:11 0.0104312 -13 *563:14 *564:14 0.0087885 -14 *560:8 *563:8 0.00650393 -15 *560:11 *563:11 0.0107412 -16 *560:14 *563:14 0.00935736 -17 *562:7 *563:7 0 -18 *562:8 *563:8 0.000367776 -19 *562:14 *563:14 0.000271132 -*RES -1 *2471:sram_ro_addr[3] *563:7 8.09787 -2 *563:7 *563:8 123.709 -3 *563:8 *563:10 4.5 -4 *563:10 *563:11 113.308 -5 *563:11 *563:13 4.5 -6 *563:13 *563:14 149.869 -7 *563:14 *2478:sram_ro_addr[3] 8.17437 -*END - -*D_NET *564 0.0646921 -*CONN -*I *2478:sram_ro_addr[4] I *D mgmt_core_wrapper -*I *2471:sram_ro_addr[4] O *D housekeeping -*CAP -1 *2478:sram_ro_addr[4] 0.00133632 -2 *2471:sram_ro_addr[4] 0.00136374 -3 *564:14 0.00253047 -4 *564:13 0.00119415 -5 *564:11 0.00081634 -6 *564:10 0.00081634 -7 *564:8 0.00110496 -8 *564:7 0.0024687 -9 *564:7 *565:7 0 -10 *564:8 *565:8 0.000553593 -11 *564:8 *567:8 0.0079672 -12 *564:8 *570:14 7.77309e-06 -13 *564:11 *567:11 0.00955197 -14 *564:14 *571:8 2.21765e-05 -15 *560:14 *564:14 9.22013e-06 -16 *561:8 *564:8 0.00684722 -17 *561:11 *564:11 1.65872e-05 -18 *562:11 *564:11 0.0104419 -19 *562:14 *564:14 0.00885496 -20 *563:7 *564:7 0 -21 *563:14 *564:14 0.0087885 -*RES -1 *2471:sram_ro_addr[4] *564:7 8.48033 -2 *564:7 *564:8 127.861 -3 *564:8 *564:10 4.5 -4 *564:10 *564:11 109.98 -5 *564:11 *564:13 4.5 -6 *564:13 *564:14 142.395 -7 *564:14 *2478:sram_ro_addr[4] 8.25085 -*END - -*D_NET *565 0.0563617 -*CONN -*I *2478:sram_ro_addr[5] I *D mgmt_core_wrapper -*I *2471:sram_ro_addr[5] O *D housekeeping -*CAP -1 *2478:sram_ro_addr[5] 0.000986281 -2 *2471:sram_ro_addr[5] 0.00130605 -3 *565:14 0.00264581 -4 *565:13 0.00165953 -5 *565:11 0.00267315 -6 *565:10 0.00267315 -7 *565:8 0.00148733 -8 *565:7 0.00279338 -9 *565:7 *566:10 0 -10 *565:8 *567:8 0.000142811 -11 *565:11 *570:11 0.0110885 -12 *565:14 *569:14 0.00803739 -13 *565:14 *570:8 0.0057695 -14 *565:14 *595:10 0.00016622 -15 *560:8 *565:8 2.04806e-05 -16 *561:8 *565:8 0.00688953 -17 *562:8 *565:8 0.00723015 -18 *563:8 *565:8 0.000147122 -19 *563:11 *565:11 9.16621e-05 -20 *564:7 *565:7 0 -21 *564:8 *565:8 0.000553593 -*RES -1 *2471:sram_ro_addr[5] *565:7 8.32734 -2 *565:7 *565:8 136.997 -3 *565:8 *565:10 4.5 -4 *565:10 *565:11 119.409 -5 *565:11 *565:13 4.5 -6 *565:13 *565:14 129.937 -7 *565:14 *2478:sram_ro_addr[5] 7.1035 -*END - -*D_NET *566 0.0492173 -*CONN -*I *2478:sram_ro_addr[6] I *D mgmt_core_wrapper -*I *2471:sram_ro_addr[6] O *D housekeeping -*CAP -1 *2478:sram_ro_addr[6] 0.00106097 -2 *2471:sram_ro_addr[6] 0.001913 -3 *566:14 0.00357553 -4 *566:13 0.00251455 -5 *566:11 0.00423515 -6 *566:10 0.00614815 -7 *566:10 *567:7 0 -8 *566:10 *570:14 0.000339599 -9 *566:14 *568:14 0.0142383 -10 *566:14 *569:14 0.00109585 -11 *566:14 *581:8 0.0130881 -12 *566:14 *595:10 0.00100807 -13 *561:14 *566:14 0 -14 *565:7 *566:10 0 -*RES -1 *2471:sram_ro_addr[6] *566:10 29.521 -2 *566:10 *566:11 113.863 -3 *566:11 *566:13 4.5 -4 *566:13 *566:14 247.454 -5 *566:14 *2478:sram_ro_addr[6] 7.33297 -*END - -*D_NET *567 0.0501462 -*CONN -*I *2478:sram_ro_addr[7] I *D mgmt_core_wrapper -*I *2471:sram_ro_addr[7] O *D housekeeping -*CAP -1 *2478:sram_ro_addr[7] 0.00182245 -2 *2471:sram_ro_addr[7] 0.00136601 -3 *567:14 0.00511695 -4 *567:13 0.0032945 -5 *567:11 0.00209694 -6 *567:10 0.00209694 -7 *567:8 0.00138993 -8 *567:7 0.00275594 -9 *567:7 *568:10 0 -10 *567:8 *570:14 0.00915755 -11 *567:14 *601:14 0.000726815 -12 *82:13 *567:14 0.00266023 -13 *564:8 *567:8 0.0079672 -14 *564:11 *567:11 0.00955197 -15 *565:8 *567:8 0.000142811 -16 *566:10 *567:7 0 -*RES -1 *2471:sram_ro_addr[7] *567:7 8.55682 -2 *567:7 *567:8 146.547 -3 *567:8 *567:10 4.5 -4 *567:10 *567:11 99.9974 -5 *567:11 *567:13 4.5 -6 *567:13 *567:14 112.704 -7 *567:14 *2478:sram_ro_addr[7] 42.2747 -*END - -*D_NET *568 0.0474709 -*CONN -*I *2478:sram_ro_clk I *D mgmt_core_wrapper -*I *2471:sram_ro_clk O *D housekeeping -*CAP -1 *2478:sram_ro_clk 0.0010935 -2 *2471:sram_ro_clk 0.00202149 -3 *568:14 0.00408418 -4 *568:13 0.00299068 -5 *568:11 0.00406548 -6 *568:10 0.00608698 -7 *568:10 *2471:sram_ro_data[0] 0 -8 *568:10 *570:14 0 -9 *568:14 *581:8 0.000520414 -10 *568:14 *592:8 0 -11 *568:14 *595:10 0.00111871 -12 *568:14 *597:8 0.000537358 -13 *568:14 *599:8 0.0107138 -14 *561:14 *568:14 0 -15 *566:14 *568:14 0.0142383 -16 *567:7 *568:10 0 -*RES -1 *2471:sram_ro_clk *568:10 25.4886 -2 *568:10 *568:11 109.426 -3 *568:11 *568:13 4.5 -4 *568:13 *568:14 246.208 -5 *568:14 *2478:sram_ro_clk 7.40946 -*END - -*D_NET *569 0.0476735 -*CONN -*I *2478:sram_ro_csb I *D mgmt_core_wrapper -*I *2471:sram_ro_csb O *D housekeeping -*CAP -1 *2478:sram_ro_csb 0.000995883 -2 *2471:sram_ro_csb 0.00174498 -3 *569:14 0.00584147 -4 *569:13 0.00484558 -5 *569:11 0.00429767 -6 *569:10 0.00604265 -7 *569:10 *570:14 0.000134308 -8 *569:14 *570:8 8.03699e-06 -9 *569:14 *576:8 0.00292338 -10 *569:14 *581:8 0.0109799 -11 *569:14 *595:10 0.000726473 -12 *560:7 *569:10 0 -13 *561:14 *569:14 0 -14 *565:14 *569:14 0.00803739 -15 *566:14 *569:14 0.00109585 -*RES -1 *2471:sram_ro_csb *569:10 19.9702 -2 *569:10 *569:11 114.972 -3 *569:11 *569:13 4.5 -4 *569:13 *569:14 278.598 -5 *569:14 *2478:sram_ro_csb 7.17999 -*END - -*D_NET *570 0.0553598 -*CONN -*I *2471:sram_ro_data[0] I *D housekeeping -*I *2478:sram_ro_data[0] O *D mgmt_core_wrapper -*CAP -1 *2471:sram_ro_data[0] 0.001386 -2 *2478:sram_ro_data[0] 0.000966293 -3 *570:14 0.00495166 -4 *570:13 0.00356567 -5 *570:11 0.000967578 -6 *570:10 0.000967578 -7 *570:8 0.00213536 -8 *570:7 0.00310166 -9 *2471:sram_ro_data[0] *2471:sram_ro_data[1] 0 -10 *570:8 *595:10 0.000317773 -11 *570:14 *2471:sram_ro_data[1] 2.2746e-05 -12 *560:11 *570:11 1.65872e-05 -13 *561:8 *570:14 8.62321e-06 -14 *561:11 *570:11 1.58551e-05 -15 *563:11 *570:11 0.0104312 -16 *564:8 *570:14 7.77309e-06 -17 *565:11 *570:11 0.0110885 -18 *565:14 *570:8 0.0057695 -19 *566:10 *570:14 0.000339599 -20 *567:8 *570:14 0.00915755 -21 *568:10 *2471:sram_ro_data[0] 0 -22 *568:10 *570:14 0 -23 *569:10 *570:14 0.000134308 -24 *569:14 *570:8 8.03699e-06 -*RES -1 *2478:sram_ro_data[0] *570:7 7.02701 -2 *570:7 *570:8 93.8104 -3 *570:8 *570:10 4.5 -4 *570:10 *570:11 117.745 -5 *570:11 *570:13 4.5 -6 *570:13 *570:14 161.496 -7 *570:14 *2471:sram_ro_data[0] 8.63331 -*END - -*D_NET *571 0.056855 -*CONN -*I *2471:sram_ro_data[10] I *D housekeeping -*I *2478:sram_ro_data[10] O *D mgmt_core_wrapper -*CAP -1 *2471:sram_ro_data[10] 0.00182856 -2 *2478:sram_ro_data[10] 0.00142709 -3 *571:11 0.00268466 -4 *571:10 0.000856105 -5 *571:8 0.00208832 -6 *571:7 0.00351541 -7 *2471:sram_ro_data[10] *2471:sram_ro_data[11] 0 -8 *2471:sram_ro_data[10] *2471:sram_ro_data[8] 4.69495e-06 -9 *2471:sram_ro_data[10] *2471:sram_ro_data[9] 0.000351464 -10 *571:8 *572:8 0.00018896 -11 *571:8 *573:8 0.0120402 -12 *571:8 *575:8 0.0108612 -13 *571:8 *598:8 0.00105246 -14 *571:11 *600:11 0.0103314 -15 *571:11 *601:17 0.00940553 -16 *560:14 *571:8 8.71534e-05 -17 *561:14 *571:8 0.000109602 -18 *564:14 *571:8 2.21765e-05 -*RES -1 *2478:sram_ro_data[10] *571:7 8.02138 -2 *571:7 *571:8 211.742 -3 *571:8 *571:10 4.5 -4 *571:10 *571:11 109.426 -5 *571:11 *2471:sram_ro_data[10] 26.1225 -*END - -*D_NET *572 0.0496321 -*CONN -*I *2471:sram_ro_data[11] I *D housekeeping -*I *2478:sram_ro_data[11] O *D mgmt_core_wrapper -*CAP -1 *2471:sram_ro_data[11] 0.00148082 -2 *2478:sram_ro_data[11] 0.00145024 -3 *572:11 0.00371593 -4 *572:10 0.00223511 -5 *572:8 0.00257556 -6 *572:7 0.0040258 -7 *2471:sram_ro_data[11] *2471:sram_ro_data[12] 7.22572e-05 -8 *2471:sram_ro_data[11] *2471:sram_ro_data[13] 6.75696e-05 -9 *572:8 *573:8 0.000280352 -10 *572:8 *574:8 0.0125195 -11 *572:8 *575:8 0 -12 *572:8 *577:8 0.010798 -13 *572:11 *573:11 0.0101979 -14 *572:11 *574:11 2.41483e-05 -15 *2471:sram_ro_data[10] *2471:sram_ro_data[11] 0 -16 *571:8 *572:8 0.00018896 -*RES -1 *2478:sram_ro_data[11] *572:7 8.25085 -2 *572:7 *572:8 220.047 -3 *572:8 *572:10 4.5 -4 *572:10 *572:11 107.207 -5 *572:11 *2471:sram_ro_data[11] 14.5719 -*END - -*D_NET *573 0.0573437 -*CONN -*I *2471:sram_ro_data[12] I *D housekeeping -*I *2478:sram_ro_data[12] O *D mgmt_core_wrapper -*CAP -1 *2471:sram_ro_data[12] 0.00170614 -2 *2478:sram_ro_data[12] 0.00141026 -3 *573:11 0.00235311 -4 *573:10 0.000646965 -5 *573:8 0.00186399 -6 *573:7 0.00327426 -7 *2471:sram_ro_data[12] *2471:sram_ro_data[13] 0.000205961 -8 *573:8 *574:8 0.0125231 -9 *573:8 *575:8 0.000520414 -10 *573:11 *574:11 0.0102488 -11 *2471:sram_ro_data[11] *2471:sram_ro_data[12] 7.22572e-05 -12 *571:8 *573:8 0.0120402 -13 *572:8 *573:8 0.000280352 -14 *572:11 *573:11 0.0101979 -*RES -1 *2478:sram_ro_data[12] *573:7 8.09787 -2 *573:7 *573:8 210.496 -3 *573:8 *573:10 4.5 -4 *573:10 *573:11 107.762 -5 *573:11 *2471:sram_ro_data[12] 21.7077 -*END - -*D_NET *574 0.0501643 -*CONN -*I *2471:sram_ro_data[13] I *D housekeeping -*I *2478:sram_ro_data[13] O *D mgmt_core_wrapper -*CAP -1 *2471:sram_ro_data[13] 0.00188305 -2 *2478:sram_ro_data[13] 0.00145323 -3 *574:11 0.00415452 -4 *574:10 0.00227148 -5 *574:8 0.00167991 -6 *574:7 0.00313315 -7 *2471:sram_ro_data[13] *2471:sram_ro_data[14] 0 -8 *2471:sram_ro_data[11] *2471:sram_ro_data[13] 6.75696e-05 -9 *2471:sram_ro_data[12] *2471:sram_ro_data[13] 0.000205961 -10 *572:8 *574:8 0.0125195 -11 *572:11 *574:11 2.41483e-05 -12 *573:8 *574:8 0.0125231 -13 *573:11 *574:11 0.0102488 -*RES -1 *2478:sram_ro_data[13] *574:7 8.17437 -2 *574:7 *574:8 200.945 -3 *574:8 *574:10 4.5 -4 *574:10 *574:11 108.316 -5 *574:11 *2471:sram_ro_data[13] 27.7835 -*END - -*D_NET *575 0.0564003 -*CONN -*I *2471:sram_ro_data[14] I *D housekeeping -*I *2478:sram_ro_data[14] O *D mgmt_core_wrapper -*CAP -1 *2471:sram_ro_data[14] 0.00162501 -2 *2478:sram_ro_data[14] 0.00135775 -3 *575:11 0.00228962 -4 *575:10 0.000664604 -5 *575:8 0.00191989 -6 *575:7 0.00327763 -7 *2471:sram_ro_data[14] *2471:sram_ro_data[15] 7.77309e-06 -8 *2471:sram_ro_data[14] *2471:sram_ro_data[16] 0.000223845 -9 *575:8 *577:8 0 -10 *575:8 *594:8 0.00055148 -11 *575:8 *598:8 0.0098183 -12 *575:11 *576:11 0.010355 -13 *575:11 *577:11 0.0100982 -14 *2471:sram_ro_data[13] *2471:sram_ro_data[14] 0 -15 *69:8 *575:8 0.00198572 -16 *71:8 *575:8 0.000843849 -17 *571:8 *575:8 0.0108612 -18 *572:8 *575:8 0 -19 *573:8 *575:8 0.000520414 -*RES -1 *2478:sram_ro_data[14] *575:7 7.94489 -2 *575:7 *575:8 208.005 -3 *575:8 *575:10 4.5 -4 *575:10 *575:11 108.316 -5 *575:11 *2471:sram_ro_data[14] 17.6316 -*END - -*D_NET *576 0.0492264 -*CONN -*I *2471:sram_ro_data[15] I *D housekeeping -*I *2478:sram_ro_data[15] O *D mgmt_core_wrapper -*CAP -1 *2471:sram_ro_data[15] 0.00169961 -2 *2478:sram_ro_data[15] 0.000986281 -3 *576:11 0.0043147 -4 *576:10 0.00261509 -5 *576:8 0.00203102 -6 *576:7 0.0030173 -7 *2471:sram_ro_data[15] *2471:sram_ro_data[16] 0.000585414 -8 *576:8 *578:8 0.000184359 -9 *576:8 *579:8 0.0106463 -10 *576:8 *581:8 0.000543693 -11 *576:8 *584:8 0.00831413 -12 *576:8 *595:10 0.000978169 -13 *576:11 *577:11 2.41483e-05 -14 *2471:sram_ro_data[14] *2471:sram_ro_data[15] 7.77309e-06 -15 *569:14 *576:8 0.00292338 -16 *575:11 *576:11 0.010355 -*RES -1 *2478:sram_ro_data[15] *576:7 7.1035 -2 *576:7 *576:8 198.454 -3 *576:8 *576:10 4.5 -4 *576:10 *576:11 115.526 -5 *576:11 *2471:sram_ro_data[15] 23.7074 -*END - -*D_NET *577 0.0418686 -*CONN -*I *2471:sram_ro_data[16] I *D housekeeping -*I *2478:sram_ro_data[16] O *D mgmt_core_wrapper -*CAP -1 *2471:sram_ro_data[16] 0.00188048 -2 *2478:sram_ro_data[16] 0.00153308 -3 *577:11 0.00408289 -4 *577:10 0.00220241 -5 *577:8 0.00445356 -6 *577:7 0.00598664 -7 *2471:sram_ro_data[16] *2471:sram_ro_data[17] 0 -8 *2471:sram_ro_data[14] *2471:sram_ro_data[16] 0.000223845 -9 *2471:sram_ro_data[15] *2471:sram_ro_data[16] 0.000585414 -10 *71:8 *577:8 0 -11 *572:8 *577:8 0.010798 -12 *575:8 *577:8 0 -13 *575:11 *577:11 0.0100982 -14 *576:11 *577:11 2.41483e-05 -*RES -1 *2478:sram_ro_data[16] *577:7 8.32734 -2 *577:7 *577:8 190.149 -3 *577:8 *577:10 4.5 -4 *577:10 *577:11 106.098 -5 *577:11 *2471:sram_ro_data[16] 29.5975 -*END - -*D_NET *578 0.0489302 -*CONN -*I *2471:sram_ro_data[17] I *D housekeeping -*I *2478:sram_ro_data[17] O *D mgmt_core_wrapper -*CAP -1 *2471:sram_ro_data[17] 0.00158472 -2 *2478:sram_ro_data[17] 0.000915934 -3 *578:11 0.00417664 -4 *578:10 0.00259191 -5 *578:8 0.00205556 -6 *578:7 0.00297149 -7 *2471:sram_ro_data[17] *2471:sram_ro_data[18] 0.000332717 -8 *578:8 *579:8 0.000567649 -9 *578:8 *580:8 0.0111257 -10 *578:8 *582:8 0.0105047 -11 *578:8 *595:10 0.000694564 -12 *578:11 *579:11 0.0109831 -13 *2471:sram_ro_data[16] *2471:sram_ro_data[17] 0 -14 *78:10 *578:7 0.000241106 -15 *576:8 *578:8 0.000184359 -*RES -1 *2478:sram_ro_data[17] *578:7 6.87403 -2 *578:7 *578:8 197.208 -3 *578:8 *578:10 4.5 -4 *578:10 *578:11 117.19 -5 *578:11 *2471:sram_ro_data[17] 19.1397 -*END - -*D_NET *579 0.0485902 -*CONN -*I *2471:sram_ro_data[18] I *D housekeeping -*I *2478:sram_ro_data[18] O *D mgmt_core_wrapper -*CAP -1 *2471:sram_ro_data[18] 0.00183037 -2 *2478:sram_ro_data[18] 0.000978837 -3 *579:11 0.00432851 -4 *579:10 0.00249814 -5 *579:8 0.00174349 -6 *579:7 0.00272232 -7 *2471:sram_ro_data[18] *2471:sram_ro_data[19] 0 -8 *579:8 *582:8 0.0105293 -9 *579:8 *584:8 0.000508484 -10 *579:8 *595:10 0.000920971 -11 *2471:sram_ro_data[17] *2471:sram_ro_data[18] 0.000332717 -12 *576:8 *579:8 0.0106463 -13 *578:8 *579:8 0.000567649 -14 *578:11 *579:11 0.0109831 -*RES -1 *2478:sram_ro_data[18] *579:7 7.02701 -2 *579:7 *579:8 188.488 -3 *579:8 *579:10 4.5 -4 *579:10 *579:11 115.526 -5 *579:11 *2471:sram_ro_data[18] 25.4449 -*END - -*D_NET *580 0.0483932 -*CONN -*I *2471:sram_ro_data[19] I *D housekeeping -*I *2478:sram_ro_data[19] O *D mgmt_core_wrapper -*CAP -1 *2471:sram_ro_data[19] 0.00149171 -2 *2478:sram_ro_data[19] 0.000895947 -3 *580:11 0.00407307 -4 *580:10 0.00258136 -5 *580:8 0.0020045 -6 *580:7 0.00290044 -7 *2471:sram_ro_data[19] *2471:sram_ro_data[20] 4.11147e-05 -8 *2471:sram_ro_data[19] *2471:sram_ro_data[21] 1.66771e-05 -9 *580:8 *582:8 0.000500711 -10 *580:8 *583:8 0.0109799 -11 *580:8 *595:10 0.000694564 -12 *580:11 *582:11 0.0110275 -13 *580:11 *583:11 5.99691e-05 -14 *2471:sram_ro_data[18] *2471:sram_ro_data[19] 0 -15 *578:8 *580:8 0.0111257 -*RES -1 *2478:sram_ro_data[19] *580:7 6.79754 -2 *580:7 *580:8 195.962 -3 *580:8 *580:10 4.5 -4 *580:10 *580:11 117.745 -5 *580:11 *2471:sram_ro_data[19] 14.5719 -*END - -*D_NET *581 0.0561254 -*CONN -*I *2471:sram_ro_data[1] I *D housekeeping -*I *2478:sram_ro_data[1] O *D mgmt_core_wrapper -*CAP -1 *2471:sram_ro_data[1] 0.00160819 -2 *2478:sram_ro_data[1] 0.00105356 -3 *581:11 0.00418077 -4 *581:10 0.00257258 -5 *581:8 0.00229806 -6 *581:7 0.00335162 -7 *2471:sram_ro_data[1] *2471:sram_ro_data[2] 0.000410855 -8 *581:8 *584:8 0.00311078 -9 *581:8 *589:8 0.000197796 -10 *581:8 *595:10 0.00137177 -11 *581:8 *599:8 0.00029239 -12 *581:11 *592:11 0.0105222 -13 *2471:sram_ro_data[0] *2471:sram_ro_data[1] 0 -14 *566:14 *581:8 0.0130881 -15 *568:14 *581:8 0.000520414 -16 *569:14 *581:8 0.0109799 -17 *570:14 *2471:sram_ro_data[1] 2.2746e-05 -18 *576:8 *581:8 0.000543693 -*RES -1 *2478:sram_ro_data[1] *581:7 7.25648 -2 *581:7 *581:8 244.962 -3 *581:8 *581:10 4.5 -4 *581:10 *581:11 114.417 -5 *581:11 *2471:sram_ro_data[1] 20.3854 -*END - -*D_NET *582 0.0552248 -*CONN -*I *2471:sram_ro_data[20] I *D housekeeping -*I *2478:sram_ro_data[20] O *D mgmt_core_wrapper -*CAP -1 *2471:sram_ro_data[20] 0.00168581 -2 *2478:sram_ro_data[20] 0.000971448 -3 *582:11 0.00243897 -4 *582:10 0.000753163 -5 *582:8 0.00175434 -6 *582:7 0.00272579 -7 *2471:sram_ro_data[20] *2471:sram_ro_data[21] 0.000198188 -8 *582:8 *583:8 8.92568e-06 -9 *582:8 *584:8 0.000343271 -10 *582:8 *595:10 0.000718201 -11 *582:11 *583:11 0.0110233 -12 *2471:sram_ro_data[19] *2471:sram_ro_data[20] 4.11147e-05 -13 *578:8 *582:8 0.0105047 -14 *579:8 *582:8 0.0105293 -15 *580:8 *582:8 0.000500711 -16 *580:11 *582:11 0.0110275 -*RES -1 *2478:sram_ro_data[20] *582:7 6.95052 -2 *582:7 *582:8 186.412 -3 *582:8 *582:10 4.5 -4 *582:10 *582:11 116.081 -5 *582:11 *2471:sram_ro_data[20] 20.8772 -*END - -*D_NET *583 0.0464545 -*CONN -*I *2471:sram_ro_data[21] I *D housekeeping -*I *2478:sram_ro_data[21] O *D mgmt_core_wrapper -*CAP -1 *2471:sram_ro_data[21] 0.0018324 -2 *2478:sram_ro_data[21] 0.00087596 -3 *583:11 0.00447123 -4 *583:10 0.00263883 -5 *583:8 0.00178582 -6 *583:7 0.00266178 -7 *2471:sram_ro_data[21] *2471:sram_ro_data[22] 0 -8 *583:8 *584:8 0 -9 *583:8 *585:8 0.00990152 -10 *2471:sram_ro_data[19] *2471:sram_ro_data[21] 1.66771e-05 -11 *2471:sram_ro_data[20] *2471:sram_ro_data[21] 0.000198188 -12 *580:8 *583:8 0.0109799 -13 *580:11 *583:11 5.99691e-05 -14 *582:8 *583:8 8.92568e-06 -15 *582:11 *583:11 0.0110233 -*RES -1 *2478:sram_ro_data[21] *583:7 6.72105 -2 *583:7 *583:8 177.691 -3 *583:8 *583:10 4.5 -4 *583:10 *583:11 118.854 -5 *583:11 *2471:sram_ro_data[21] 26.1225 -*END - -*D_NET *584 0.0454655 -*CONN -*I *2471:sram_ro_data[22] I *D housekeeping -*I *2478:sram_ro_data[22] O *D mgmt_core_wrapper -*CAP -1 *2471:sram_ro_data[22] 0.00148907 -2 *2478:sram_ro_data[22] 0.00108273 -3 *584:11 0.00400243 -4 *584:10 0.00251336 -5 *584:8 0.00218376 -6 *584:7 0.00326649 -7 *2471:sram_ro_data[22] *2471:sram_ro_data[23] 0.000176441 -8 *2471:sram_ro_data[22] *2471:sram_ro_data[24] 0.000171753 -9 *584:8 *585:8 0 -10 *584:8 *587:8 0 -11 *584:8 *589:8 0.00129362 -12 *584:8 *595:10 0.000460046 -13 *584:11 *585:11 0.0108639 -14 *584:11 *586:11 2.41483e-05 -15 *2471:sram_ro_data[21] *2471:sram_ro_data[22] 0 -16 *68:14 *584:8 0.00566104 -17 *576:8 *584:8 0.00831413 -18 *579:8 *584:8 0.000508484 -19 *581:8 *584:8 0.00311078 -20 *582:8 *584:8 0.000343271 -21 *583:8 *584:8 0 -*RES -1 *2478:sram_ro_data[22] *584:7 7.17999 -2 *584:7 *584:8 185.166 -3 *584:8 *584:10 4.5 -4 *584:10 *584:11 114.972 -5 *584:11 *2471:sram_ro_data[22] 16.2329 -*END - -*D_NET *585 0.0529656 -*CONN -*I *2471:sram_ro_data[23] I *D housekeeping -*I *2478:sram_ro_data[23] O *D mgmt_core_wrapper -*CAP -1 *2471:sram_ro_data[23] 0.00172106 -2 *2478:sram_ro_data[23] 0.000866358 -3 *585:11 0.00255484 -4 *585:10 0.000833783 -5 *585:8 0.00190991 -6 *585:7 0.00277627 -7 *2471:sram_ro_data[23] *2471:sram_ro_data[24] 0.000180575 -8 *585:8 *586:8 0.00018896 -9 *585:8 *587:8 0.00975845 -10 *585:11 *586:11 0.0112335 -11 *2471:sram_ro_data[22] *2471:sram_ro_data[23] 0.000176441 -12 *583:8 *585:8 0.00990152 -13 *584:8 *585:8 0 -14 *584:11 *585:11 0.0108639 -*RES -1 *2478:sram_ro_data[23] *585:7 6.64456 -2 *585:7 *585:8 175.615 -3 *585:8 *585:10 4.5 -4 *585:10 *585:11 118.299 -5 *585:11 *2471:sram_ro_data[23] 22.5382 -*END - -*D_NET *586 0.0441384 -*CONN -*I *2471:sram_ro_data[24] I *D housekeeping -*I *2478:sram_ro_data[24] O *D mgmt_core_wrapper -*CAP -1 *2471:sram_ro_data[24] 0.00192718 -2 *2478:sram_ro_data[24] 0.000796011 -3 *586:11 0.00463911 -4 *586:10 0.00271193 -5 *586:8 0.00204492 -6 *586:7 0.00284093 -7 *2471:sram_ro_data[24] *2471:sram_ro_data[25] 0 -8 *586:8 *587:8 0.000296518 -9 *586:8 *588:8 0.00910878 -10 *586:8 *590:8 0.00797412 -11 *2471:sram_ro_data[22] *2471:sram_ro_data[24] 0.000171753 -12 *2471:sram_ro_data[23] *2471:sram_ro_data[24] 0.000180575 -13 *584:11 *586:11 2.41483e-05 -14 *585:8 *586:8 0.00018896 -15 *585:11 *586:11 0.0112335 -*RES -1 *2478:sram_ro_data[24] *586:7 6.41509 -2 *586:7 *586:8 166.064 -3 *586:8 *586:10 4.5 -4 *586:10 *586:11 121.072 -5 *586:11 *2471:sram_ro_data[24] 29.4445 -*END - -*D_NET *587 0.0454651 -*CONN -*I *2471:sram_ro_data[25] I *D housekeeping -*I *2478:sram_ro_data[25] O *D mgmt_core_wrapper -*CAP -1 *2471:sram_ro_data[25] 0.00157316 -2 *2478:sram_ro_data[25] 0.000858914 -3 *587:11 0.00420006 -4 *587:10 0.00262691 -5 *587:8 0.00178588 -6 *587:7 0.00264479 -7 *2471:sram_ro_data[25] *2471:sram_ro_data[26] 0.000280625 -8 *587:8 *588:8 0.0101597 -9 *587:8 *2270:8 0 -10 *587:11 *588:11 0.0112801 -11 *2471:sram_ro_data[24] *2471:sram_ro_data[25] 0 -12 *584:8 *587:8 0 -13 *585:8 *587:8 0.00975845 -14 *586:8 *587:8 0.000296518 -*RES -1 *2478:sram_ro_data[25] *587:7 6.56807 -2 *587:7 *587:8 173.954 -3 *587:8 *587:10 4.5 -4 *587:10 *587:11 119.409 -5 *587:11 *2471:sram_ro_data[25] 18.3092 -*END - -*D_NET *588 0.044908 -*CONN -*I *2471:sram_ro_data[26] I *D housekeeping -*I *2478:sram_ro_data[26] O *D mgmt_core_wrapper -*CAP -1 *2471:sram_ro_data[26] 0.00181254 -2 *2478:sram_ro_data[26] 0.000828542 -3 *588:11 0.00443944 -4 *588:10 0.0026269 -5 *588:8 0.00151301 -6 *588:7 0.00234155 -7 *2471:sram_ro_data[26] *2471:sram_ro_data[27] 0 -8 *588:8 *590:8 0.000516877 -9 *588:8 *2270:8 0 -10 *2471:sram_ro_data[25] *2471:sram_ro_data[26] 0.000280625 -11 *586:8 *588:8 0.00910878 -12 *587:8 *588:8 0.0101597 -13 *587:11 *588:11 0.0112801 -*RES -1 *2478:sram_ro_data[26] *588:7 6.49158 -2 *588:7 *588:8 164.403 -3 *588:8 *588:10 4.5 -4 *588:10 *588:11 119.409 -5 *588:11 *2471:sram_ro_data[26] 24.6144 -*END - -*D_NET *589 0.0438564 -*CONN -*I *2471:sram_ro_data[27] I *D housekeeping -*I *2478:sram_ro_data[27] O *D mgmt_core_wrapper -*CAP -1 *2471:sram_ro_data[27] 0.00146596 -2 *2478:sram_ro_data[27] 0.00122299 -3 *589:11 0.00394694 -4 *589:10 0.00248098 -5 *589:8 0.00184478 -6 *589:7 0.00306777 -7 *2471:sram_ro_data[27] *2471:sram_ro_data[28] 1.9101e-05 -8 *2471:sram_ro_data[27] *2471:sram_ro_data[29] 1.5714e-05 -9 *589:8 *593:8 0.0089279 -10 *589:8 *599:8 0.000816604 -11 *589:11 *591:11 0.0108151 -12 *2471:sram_ro_data[26] *2471:sram_ro_data[27] 0 -13 *68:14 *589:8 0.00774116 -14 *581:8 *589:8 0.000197796 -15 *584:8 *589:8 0.00129362 -*RES -1 *2478:sram_ro_data[27] *589:7 7.33297 -2 *589:7 *589:8 172.293 -3 *589:8 *589:10 4.5 -4 *589:10 *589:11 113.863 -5 *589:11 *2471:sram_ro_data[27] 13.7414 -*END - -*D_NET *590 0.0397968 -*CONN -*I *2471:sram_ro_data[28] I *D housekeeping -*I *2478:sram_ro_data[28] O *D mgmt_core_wrapper -*CAP -1 *2471:sram_ro_data[28] 0.00169916 -2 *2478:sram_ro_data[28] 0.000786409 -3 *590:11 0.00435925 -4 *590:10 0.00266009 -5 *590:8 0.00241544 -6 *590:7 0.00320185 -7 *2471:sram_ro_data[28] *2471:sram_ro_data[29] 0.000194296 -8 *590:8 *591:8 0.00454788 -9 *590:8 *2270:8 0 -10 *590:8 *2271:14 0 -11 *590:11 *591:11 0.0114223 -12 *2471:sram_ro_data[27] *2471:sram_ro_data[28] 1.9101e-05 -13 *586:8 *590:8 0.00797412 -14 *588:8 *590:8 0.000516877 -*RES -1 *2478:sram_ro_data[28] *590:7 6.3386 -2 *590:7 *590:8 162.327 -3 *590:8 *590:10 4.5 -4 *590:10 *590:11 120.518 -5 *590:11 *2471:sram_ro_data[28] 20.8772 -*END - -*D_NET *591 0.0422486 -*CONN -*I *2471:sram_ro_data[29] I *D housekeeping -*I *2478:sram_ro_data[29] O *D mgmt_core_wrapper -*CAP -1 *2471:sram_ro_data[29] 0.00183705 -2 *2478:sram_ro_data[29] 0.00073605 -3 *591:11 0.0028525 -4 *591:10 0.00101545 -5 *591:8 0.00403813 -6 *591:7 0.00477418 -7 *2471:sram_ro_data[29] *2471:sram_ro_data[30] 0 -8 *2471:sram_ro_data[27] *2471:sram_ro_data[29] 1.5714e-05 -9 *2471:sram_ro_data[28] *2471:sram_ro_data[29] 0.000194296 -10 *589:11 *591:11 0.0108151 -11 *590:8 *591:8 0.00454788 -12 *590:11 *591:11 0.0114223 -*RES -1 *2478:sram_ro_data[29] *591:7 6.18562 -2 *591:7 *591:8 153.607 -3 *591:8 *591:10 4.5 -4 *591:10 *591:11 122.736 -5 *591:11 *2471:sram_ro_data[29] 26.1225 -*END - -*D_NET *592 0.0545277 -*CONN -*I *2471:sram_ro_data[2] I *D housekeeping -*I *2478:sram_ro_data[2] O *D mgmt_core_wrapper -*CAP -1 *2471:sram_ro_data[2] 0.00152318 -2 *2478:sram_ro_data[2] 0.00121119 -3 *592:11 0.00389621 -4 *592:10 0.00237302 -5 *592:8 0.00257131 -6 *592:7 0.0037825 -7 *2471:sram_ro_data[2] *2471:sram_ro_data[3] 0.000835188 -8 *592:8 *595:10 0.00148256 -9 *592:8 *596:8 0.0135104 -10 *592:8 *597:8 0.00084199 -11 *592:8 *600:8 0.0111838 -12 *2471:sram_ro_data[1] *2471:sram_ro_data[2] 0.000410855 -13 *561:14 *592:8 0.000383211 -14 *568:14 *592:8 0 -15 *581:11 *592:11 0.0105222 -*RES -1 *2478:sram_ro_data[2] *592:7 7.71542 -2 *592:7 *592:8 235.411 -3 *592:8 *592:10 4.5 -4 *592:10 *592:11 110.535 -5 *592:11 *2471:sram_ro_data[2] 26.6907 -*END - -*D_NET *593 0.0429303 -*CONN -*I *2471:sram_ro_data[30] I *D housekeeping -*I *2478:sram_ro_data[30] O *D mgmt_core_wrapper -*CAP -1 *2471:sram_ro_data[30] 0.00159099 -2 *2478:sram_ro_data[30] 0.0012468 -3 *593:11 0.004042 -4 *593:10 0.00245101 -5 *593:8 0.00177402 -6 *593:7 0.00302081 -7 *2471:sram_ro_data[30] *2471:sram_ro_data[31] 0.000119662 -8 *593:7 *2471:sram_ro_data[3] 0 -9 *593:8 *594:8 0 -10 *593:8 *599:8 0.000585452 -11 *593:8 *600:8 0.000355947 -12 *2471:sram_ro_data[29] *2471:sram_ro_data[30] 0 -13 *68:10 *2471:sram_ro_data[30] 7.77309e-06 -14 *68:11 *593:11 0.0107111 -15 *68:14 *593:8 0.000486913 -16 *70:8 *593:8 0.00761 -17 *589:8 *593:8 0.0089279 -*RES -1 *2478:sram_ro_data[30] *593:7 7.40946 -2 *593:7 *593:8 161.081 -3 *593:8 *593:10 4.5 -4 *593:10 *593:11 112.753 -5 *593:11 *2471:sram_ro_data[30] 16.3094 -*END - -*D_NET *594 0.0413917 -*CONN -*I *2471:sram_ro_data[31] I *D housekeeping -*I *2478:sram_ro_data[31] O *D mgmt_core_wrapper -*CAP -1 *2471:sram_ro_data[31] 0.00161999 -2 *2478:sram_ro_data[31] 0.00137724 -3 *594:11 0.00398314 -4 *594:10 0.00236316 -5 *594:8 0.00198 -6 *594:7 0.00335723 -7 *594:8 *598:8 4.0143e-05 -8 *594:8 *600:8 0.000507437 -9 *594:8 *604:14 0.00597261 -10 *594:8 *2274:8 0.000266226 -11 *2471:sram_ro_data[30] *2471:sram_ro_data[31] 0.000119662 -12 *68:10 *2471:sram_ro_data[31] 0.000476542 -13 *68:11 *594:11 0.0105287 -14 *69:8 *594:8 0.0082482 -15 *70:8 *594:8 0 -16 *575:8 *594:8 0.00055148 -17 *593:8 *594:8 0 -*RES -1 *2478:sram_ro_data[31] *594:7 7.79191 -2 *594:7 *594:8 152.776 -3 *594:8 *594:10 4.5 -4 *594:10 *594:11 110.535 -5 *594:11 *2471:sram_ro_data[31] 21.2159 -*END - -*D_NET *595 0.0591792 -*CONN -*I *2471:sram_ro_data[3] I *D housekeeping -*I *2478:sram_ro_data[3] O *D mgmt_core_wrapper -*CAP -1 *2471:sram_ro_data[3] 0.00553816 -2 *2478:sram_ro_data[3] 0.0132008 -3 *595:10 0.0187389 -4 *2471:sram_ro_data[3] *596:11 0.00148867 -5 *2471:sram_ro_data[3] *597:11 0.00297733 -6 *595:10 *596:8 0.00161591 -7 *595:10 *597:8 0.00146267 -8 *595:10 *599:8 0.00135401 -9 *595:10 *600:8 0.00130945 -10 *2471:sram_ro_data[2] *2471:sram_ro_data[3] 0.000835188 -11 *565:14 *595:10 0.00016622 -12 *566:14 *595:10 0.00100807 -13 *568:14 *595:10 0.00111871 -14 *569:14 *595:10 0.000726473 -15 *570:8 *595:10 0.000317773 -16 *576:8 *595:10 0.000978169 -17 *578:8 *595:10 0.000694564 -18 *579:8 *595:10 0.000920971 -19 *580:8 *595:10 0.000694564 -20 *581:8 *595:10 0.00137177 -21 *582:8 *595:10 0.000718201 -22 *584:8 *595:10 0.000460046 -23 *592:8 *595:10 0.00148256 -24 *593:7 *2471:sram_ro_data[3] 0 -*RES -1 *2478:sram_ro_data[3] *595:10 38.0299 -2 *595:10 *2471:sram_ro_data[3] 21.6783 -*END - -*D_NET *596 0.0577625 -*CONN -*I *2471:sram_ro_data[4] I *D housekeeping -*I *2478:sram_ro_data[4] O *D mgmt_core_wrapper -*CAP -1 *2471:sram_ro_data[4] 0.00165315 -2 *2478:sram_ro_data[4] 0.00131012 -3 *596:11 0.00403884 -4 *596:10 0.00238569 -5 *596:8 0.00211389 -6 *596:7 0.00342401 -7 *2471:sram_ro_data[4] *2471:sram_ro_data[5] 0.00056452 -8 *596:8 *598:8 0.0133986 -9 *596:8 *600:8 0.000497294 -10 *596:11 *597:11 0.0104714 -11 *2471:sram_ro_data[3] *596:11 0.00148867 -12 *89:16 *596:7 0.000740377 -13 *561:14 *596:8 0.000549646 -14 *592:8 *596:8 0.0135104 -15 *595:10 *596:8 0.00161591 -*RES -1 *2478:sram_ro_data[4] *596:7 7.79191 -2 *596:7 *596:8 233.75 -3 *596:8 *596:10 4.5 -4 *596:10 *596:11 110.535 -5 *596:11 *2471:sram_ro_data[4] 22.4617 -*END - -*D_NET *597 0.0571822 -*CONN -*I *2471:sram_ro_data[5] I *D housekeeping -*I *2478:sram_ro_data[5] O *D mgmt_core_wrapper -*CAP -1 *2471:sram_ro_data[5] 0.00192528 -2 *2478:sram_ro_data[5] 0.00121788 -3 *597:11 0.00436198 -4 *597:10 0.0024367 -5 *597:8 0.00207983 -6 *597:7 0.00329771 -7 *2471:sram_ro_data[5] *2471:sram_ro_data[6] 0 -8 *597:8 *599:8 0.0128142 -9 *597:8 *600:8 0.0121934 -10 *2471:sram_ro_data[3] *597:11 0.00297733 -11 *2471:sram_ro_data[4] *2471:sram_ro_data[5] 0.00056452 -12 *568:14 *597:8 0.000537358 -13 *592:8 *597:8 0.00084199 -14 *595:10 *597:8 0.00146267 -15 *596:11 *597:11 0.0104714 -*RES -1 *2478:sram_ro_data[5] *597:7 7.56244 -2 *597:7 *597:8 224.2 -3 *597:8 *597:10 4.5 -4 *597:10 *597:11 111.644 -5 *597:11 *2471:sram_ro_data[5] 28.767 -*END - -*D_NET *598 0.0527002 -*CONN -*I *2471:sram_ro_data[6] I *D housekeeping -*I *2478:sram_ro_data[6] O *D mgmt_core_wrapper -*CAP -1 *2471:sram_ro_data[6] 0.00155511 -2 *2478:sram_ro_data[6] 0.00132511 -3 *598:11 0.00389697 -4 *598:10 0.00234186 -5 *598:8 0.00220216 -6 *598:7 0.00352727 -7 *2471:sram_ro_data[6] *2471:sram_ro_data[7] 0.000275937 -8 *598:8 *600:8 0.000344865 -9 *598:11 *599:11 0.010482 -10 *2471:sram_ro_data[5] *2471:sram_ro_data[6] 0 -11 *561:14 *598:8 0.00243941 -12 *571:8 *598:8 0.00105246 -13 *575:8 *598:8 0.0098183 -14 *594:8 *598:8 4.0143e-05 -15 *596:8 *598:8 0.0133986 -*RES -1 *2478:sram_ro_data[6] *598:7 7.8684 -2 *598:7 *598:8 232.505 -3 *598:8 *598:10 4.5 -4 *598:10 *598:11 109.98 -5 *598:11 *2471:sram_ro_data[6] 17.8939 -*END - -*D_NET *599 0.0529994 -*CONN -*I *2471:sram_ro_data[7] I *D housekeeping -*I *2478:sram_ro_data[7] O *D mgmt_core_wrapper -*CAP -1 *2471:sram_ro_data[7] 0.00176451 -2 *2478:sram_ro_data[7] 0.00118751 -3 *599:11 0.00426315 -4 *599:10 0.00249865 -5 *599:8 0.00212893 -6 *599:7 0.00331644 -7 *2471:sram_ro_data[7] *2471:sram_ro_data[8] 0 -8 *599:8 *600:8 0.000505754 -9 *2471:sram_ro_data[6] *2471:sram_ro_data[7] 0.000275937 -10 *568:14 *599:8 0.0107138 -11 *581:8 *599:8 0.00029239 -12 *589:8 *599:8 0.000816604 -13 *593:8 *599:8 0.000585452 -14 *595:10 *599:8 0.00135401 -15 *597:8 *599:8 0.0128142 -16 *598:11 *599:11 0.010482 -*RES -1 *2478:sram_ro_data[7] *599:7 7.48595 -2 *599:7 *599:8 222.954 -3 *599:8 *599:10 4.5 -4 *599:10 *599:11 113.308 -5 *599:11 *2471:sram_ro_data[7] 24.0462 -*END - -*D_NET *600 0.0522524 -*CONN -*I *2471:sram_ro_data[8] I *D housekeeping -*I *2478:sram_ro_data[8] O *D mgmt_core_wrapper -*CAP -1 *2471:sram_ro_data[8] 0.00153251 -2 *2478:sram_ro_data[8] 0.00126304 -3 *600:11 0.00395635 -4 *600:10 0.00242384 -5 *600:8 0.0022898 -6 *600:7 0.00355284 -7 *2471:sram_ro_data[8] *2471:sram_ro_data[9] 0 -8 *2471:sram_ro_data[10] *2471:sram_ro_data[8] 4.69495e-06 -9 *2471:sram_ro_data[7] *2471:sram_ro_data[8] 0 -10 *571:11 *600:11 0.0103314 -11 *592:8 *600:8 0.0111838 -12 *593:8 *600:8 0.000355947 -13 *594:8 *600:8 0.000507437 -14 *595:10 *600:8 0.00130945 -15 *596:8 *600:8 0.000497294 -16 *597:8 *600:8 0.0121934 -17 *598:8 *600:8 0.000344865 -18 *599:8 *600:8 0.000505754 -*RES -1 *2478:sram_ro_data[8] *600:7 7.63893 -2 *600:7 *600:8 229.598 -3 *600:8 *600:10 4.5 -4 *600:10 *600:11 111.09 -5 *600:11 *2471:sram_ro_data[8] 14.2331 -*END - -*D_NET *601 0.0369286 -*CONN -*I *2471:sram_ro_data[9] I *D housekeeping -*I *2478:sram_ro_data[9] O *D mgmt_core_wrapper -*CAP -1 *2471:sram_ro_data[9] 0.00159224 -2 *2478:sram_ro_data[9] 0.00182536 -3 *601:17 0.00363524 -4 *601:16 0.002043 -5 *601:14 0.00776181 -6 *601:13 0.00958716 -7 *2471:sram_ro_data[10] *2471:sram_ro_data[9] 0.000351464 -8 *2471:sram_ro_data[8] *2471:sram_ro_data[9] 0 -9 *567:14 *601:14 0.000726815 -10 *571:11 *601:17 0.00940553 -*RES -1 *2478:sram_ro_data[9] *601:13 40.0591 -2 *601:13 *601:14 221.085 -3 *601:14 *601:16 4.5 -4 *601:16 *601:17 98.3336 -5 *601:17 *2471:sram_ro_data[9] 19.1397 -*END - -*D_NET *602 0.0343516 -*CONN -*I *2478:irq[3] I *D mgmt_core_wrapper -*I *2471:irq[0] O *D housekeeping -*CAP -1 *2478:irq[3] 0.00152698 -2 *2471:irq[0] 0.00181899 -3 *602:14 0.00334013 -4 *602:13 0.00181315 -5 *602:11 0.00221685 -6 *602:10 0.00403584 -7 *602:10 *2471:trap 0.000384809 -8 *602:10 *603:10 0 -9 *602:11 *2274:11 0.0101979 -10 *602:14 *2229:8 0.00461661 -11 *602:14 *2230:8 0.00230153 -12 *602:14 *2273:8 0.00190982 -13 *71:8 *602:14 0.00018896 -*RES -1 *2471:irq[0] *602:10 25.4449 -2 *602:10 *602:11 106.653 -3 *602:11 *602:13 4.5 -4 *602:13 *602:14 111.251 -5 *602:14 *2478:irq[3] 8.25085 -*END - -*D_NET *603 0.0391706 -*CONN -*I *2478:irq[4] I *D mgmt_core_wrapper -*I *2471:irq[1] O *D housekeeping -*CAP -1 *2478:irq[4] 0.0013156 -2 *2471:irq[1] 0.00149775 -3 *603:14 0.00280101 -4 *603:13 0.00148541 -5 *603:11 0.00241143 -6 *603:10 0.00390918 -7 *603:10 *2471:spi_sdoenb 6.75696e-05 -8 *603:10 *604:10 9.32067e-05 -9 *603:11 *604:11 0.0105416 -10 *603:11 *2273:11 1.92172e-05 -11 *603:14 *604:14 0.000508826 -12 *603:14 *2274:8 0.00739229 -13 *603:14 *2275:8 0.00054535 -14 *70:8 *603:14 0.0063597 -15 *527:14 *603:14 0.000222466 -16 *602:10 *603:10 0 -*RES -1 *2471:irq[1] *603:10 15.4024 -2 *603:10 *603:11 112.199 -3 *603:11 *603:13 4.5 -4 *603:13 *603:14 136.997 -5 *603:14 *2478:irq[4] 7.56244 -*END - -*D_NET *604 0.0484233 -*CONN -*I *2478:irq[5] I *D mgmt_core_wrapper -*I *2471:irq[2] O *D housekeeping -*CAP -1 *2478:irq[5] 0.0013598 -2 *2471:irq[2] 0.0016829 -3 *604:14 0.00287857 -4 *604:13 0.00151877 -5 *604:11 0.000713068 -6 *604:10 0.00239597 -7 *604:10 *2471:spi_sdoenb 0.000172802 -8 *604:11 *2273:11 0.0102977 -9 *604:14 *2272:8 0.000158505 -10 *604:14 *2274:8 0.0080138 -11 *69:8 *604:14 0.000502815 -12 *526:14 *604:14 0.00161238 -13 *527:14 *604:14 0 -14 *594:8 *604:14 0.00597261 -15 *603:10 *604:10 9.32067e-05 -16 *603:11 *604:11 0.0105416 -17 *603:14 *604:14 0.000508826 -*RES -1 *2471:irq[2] *604:10 20.8772 -2 *604:10 *604:11 110.535 -3 *604:11 *604:13 4.5 -4 *604:13 *604:14 147.378 -5 *604:14 *2478:irq[5] 7.71542 -*END - -*D_NET *605 0.172336 -*CONN -*I *2478:la_input[0] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[0] O *D mgmt_protect -*CAP -1 *2478:la_input[0] 0.00105545 -2 *2472:la_data_in_mprj[0] 0.00143485 -3 *605:14 0.00820083 -4 *605:13 0.00870263 -5 *605:8 0.00275282 -6 *605:7 0.00263042 -7 *2478:la_input[0] *1117:7 0 -8 *2478:la_input[0] *1245:9 0 -9 *2478:la_input[0] *1552:27 0.000410798 -10 *2478:la_input[0] *1556:27 8.92568e-06 -11 *605:7 *2472:la_data_out_mprj[0] 0.000455073 -12 *605:8 *652:8 0.00535648 -13 *605:8 *896:10 0.000622721 -14 *605:8 *921:10 0 -15 *605:8 *1176:10 0 -16 *605:14 *677:14 0 -17 *605:14 *911:10 0.0690763 -18 *605:14 *933:10 0.065305 -19 *605:14 *1245:10 0.00295416 -20 *605:14 *2401:13 0.00336945 -*RES -1 *2472:la_data_in_mprj[0] *605:7 37.1828 -2 *605:7 *605:8 56.1838 -3 *605:8 *605:13 34.0861 -4 *605:13 *605:14 780.496 -5 *605:14 *2478:la_input[0] 36.4134 -*END - -*D_NET *606 0.0729689 -*CONN -*I *2478:la_input[100] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[100] O *D mgmt_protect -*CAP -1 *2478:la_input[100] 0.0012076 -2 *2472:la_data_in_mprj[100] 0.000628775 -3 *606:14 0.00166339 -4 *606:11 0.00206287 -5 *606:8 0.00429798 -6 *606:7 0.00331969 -7 *2478:la_input[100] *608:11 3.62682e-05 -8 *2478:la_input[100] *1118:9 0 -9 *2478:la_input[100] *1246:9 0 -10 *606:7 *2472:la_data_out_mprj[100] 0.000384624 -11 *606:7 *2472:la_oenb_mprj[99] 0 -12 *606:7 *1118:13 0 -13 *606:8 *728:8 0.0231329 -14 *606:8 *729:8 0.0249845 -15 *606:8 *730:8 0.000233938 -16 *606:8 *865:16 0.000656515 -17 *606:8 *1671:8 0.000618181 -18 *606:8 *2191:16 0.00033964 -19 *606:11 *2472:mprj_adr_o_core[4] 0.00191532 -20 *606:11 *1242:9 6.90376e-05 -21 *606:14 *1606:10 0.00420899 -22 *606:14 *1607:16 0 -23 *606:14 *1609:19 0.00304707 -24 *606:14 *1676:8 0.000161493 -*RES -1 *2472:la_data_in_mprj[100] *606:7 25.1405 -2 *606:7 *606:8 284.126 -3 *606:8 *606:11 45.781 -4 *606:11 *606:14 49.0371 -5 *606:14 *2478:la_input[100] 32.2608 -*END - -*D_NET *607 0.0716707 -*CONN -*I *2478:la_input[101] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[101] O *D mgmt_protect -*CAP -1 *2478:la_input[101] 0.00155995 -2 *2472:la_data_in_mprj[101] 0.000637874 -3 *607:14 0.00283506 -4 *607:13 0.00272642 -5 *607:8 0.00386974 -6 *607:7 0.00305631 -7 *2478:la_input[101] *1119:7 0 -8 *2478:la_input[101] *1247:9 0 -9 *2478:la_input[101] *1654:7 0 -10 *607:7 *2472:la_data_out_mprj[101] 0 -11 *607:7 *2472:la_oenb_mprj[100] 0 -12 *607:8 *608:8 0.000396844 -13 *607:8 *609:8 0.000329628 -14 *607:8 *610:8 6.75302e-05 -15 *607:8 *724:8 0.000143231 -16 *607:8 *866:16 0.0212002 -17 *607:8 *867:20 0.0203805 -18 *607:8 *1359:16 0.00263889 -19 *607:13 *2472:mprj_adr_o_core[1] 0 -20 *607:13 *2472:mprj_adr_o_core[2] 0 -21 *607:13 *2472:mprj_dat_o_core[1] 0.000677005 -22 *607:13 *2472:mprj_sel_o_core[1] 0.000699164 -23 *607:13 *1241:9 7.09666e-06 -24 *607:14 *609:14 0.00804223 -25 *607:14 *610:14 0.000228796 -26 *607:14 *862:10 0.00180637 -27 *607:14 *986:12 0.000212637 -28 *607:14 *1121:10 2.493e-05 -29 *607:14 *1714:16 0.000130331 -*RES -1 *2472:la_data_in_mprj[101] *607:7 21.4032 -2 *607:7 *607:8 254.732 -3 *607:8 *607:13 48.2047 -4 *607:13 *607:14 85.5777 -5 *607:14 *2478:la_input[101] 38.0744 -*END - -*D_NET *608 0.0737293 -*CONN -*I *2478:la_input[102] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[102] O *D mgmt_protect -*CAP -1 *2478:la_input[102] 0.00106541 -2 *2472:la_data_in_mprj[102] 0.000540007 -3 *608:14 0.00172004 -4 *608:11 0.00255258 -5 *608:10 0.00189795 -6 *608:8 0.00308613 -7 *608:7 0.00362614 -8 *2478:la_input[102] *2472:mprj_dat_o_core[16] 0 -9 *2478:la_input[102] *1120:9 0 -10 *2478:la_input[102] *1248:9 0 -11 *608:7 *2472:la_data_out_mprj[102] 0.00035459 -12 *608:7 *2472:la_oenb_mprj[101] 0 -13 *608:8 *610:8 0.0272842 -14 *608:8 *724:8 0.0138903 -15 *608:8 *730:8 1.5006e-05 -16 *608:8 *863:16 0.00319215 -17 *608:8 *1649:8 0.0070112 -18 *608:8 *2191:16 0.000159331 -19 *608:11 *2472:mprj_adr_o_core[11] 0.000173895 -20 *608:11 *1118:9 0 -21 *608:11 *1650:5 0 -22 *608:11 *1714:19 0.00192147 -23 *608:14 *613:8 0.000613464 -24 *608:14 *1251:10 0.00397947 -25 *608:14 *1656:8 4.62974e-05 -26 *608:14 *1720:10 0.000166542 -27 *2478:la_input[100] *608:11 3.62682e-05 -28 *607:8 *608:8 0.000396844 -*RES -1 *2472:la_data_in_mprj[102] *608:7 22.649 -2 *608:7 *608:8 309.638 -3 *608:8 *608:10 4.5 -4 *608:10 *608:11 47.0945 -5 *608:11 *608:14 46.8187 -6 *608:14 *2478:la_input[102] 28.9388 -*END - -*D_NET *609 0.0654342 -*CONN -*I *2478:la_input[103] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[103] O *D mgmt_protect -*CAP -1 *2478:la_input[103] 0.00153805 -2 *2472:la_data_in_mprj[103] 0.000601842 -3 *609:14 0.00294772 -4 *609:13 0.00297519 -5 *609:8 0.00699728 -6 *609:7 0.00603361 -7 *2478:la_input[103] *1121:9 0 -8 *2478:la_input[103] *1249:9 0 -9 *609:7 *2472:la_data_out_mprj[103] 0 -10 *609:7 *2472:la_oenb_mprj[102] 0 -11 *609:8 *630:8 0 -12 *609:8 *632:8 0 -13 *609:8 *635:8 5.13878e-05 -14 *609:8 *867:20 0.0195588 -15 *609:8 *1145:10 0 -16 *609:8 *1359:16 0.00104186 -17 *609:8 *1579:8 0.000133137 -18 *609:8 *1581:24 0.000115028 -19 *609:13 *2472:mprj_adr_o_core[1] 0 -20 *609:13 *2472:mprj_dat_o_core[0] 0.000642613 -21 *609:13 *2472:mprj_sel_o_core[0] 0.000138841 -22 *609:13 *730:11 0.000592538 -23 *609:14 *610:14 0.000989836 -24 *609:14 *862:10 4.91225e-06 -25 *609:14 *863:10 0.0100281 -26 *609:14 *1241:10 0.000791462 -27 *609:14 *1370:12 0.000212637 -28 *609:14 *1652:8 0.00120288 -29 *609:14 *1714:16 0.000464675 -30 *607:8 *609:8 0.000329628 -31 *607:14 *609:14 0.00804223 -*RES -1 *2472:la_data_in_mprj[103] *609:7 20.5727 -2 *609:7 *609:8 228.665 -3 *609:8 *609:13 49.4505 -4 *609:13 *609:14 135.492 -5 *609:14 *2478:la_input[103] 37.6591 -*END - -*D_NET *610 0.0767443 -*CONN -*I *2478:la_input[104] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[104] O *D mgmt_protect -*CAP -1 *2478:la_input[104] 0.00153937 -2 *2472:la_data_in_mprj[104] 0.00054024 -3 *610:14 0.00308912 -4 *610:13 0.00299844 -5 *610:8 0.00450686 -6 *610:7 0.00359842 -7 *2478:la_input[104] *1250:7 0 -8 *610:7 *2472:la_data_out_mprj[104] 0.000335368 -9 *610:7 *2472:la_oenb_mprj[103] 0 -10 *610:7 *1359:13 0 -11 *610:8 *866:16 0.0241284 -12 *610:8 *1581:24 0 -13 *610:8 *1612:36 0.00254977 -14 *610:8 *1649:8 0.000647488 -15 *610:13 *2472:mprj_adr_o_core[13] 0.00032249 -16 *610:13 *2472:mprj_dat_o_core[12] 0 -17 *610:13 *2472:mprj_dat_o_core[13] 0 -18 *610:13 *865:15 0.00167282 -19 *610:14 *1121:10 0.000986034 -20 *610:14 *1122:12 0.000352103 -21 *610:14 *1652:8 7.68065e-05 -22 *610:14 *1652:12 0 -23 *610:14 *1727:24 0.000284653 -24 *610:14 *1744:10 0.000545583 -25 *607:8 *610:8 6.75302e-05 -26 *607:14 *610:14 0.000228796 -27 *608:8 *610:8 0.0272842 -28 *609:14 *610:14 0.000989836 -*RES -1 *2472:la_data_in_mprj[104] *610:7 22.2337 -2 *610:7 *610:8 308.528 -3 *610:8 *610:13 46.5437 -4 *610:13 *610:14 67.8304 -5 *610:14 *2478:la_input[104] 37.7812 -*END - -*D_NET *611 0.0851568 -*CONN -*I *2478:la_input[105] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[105] O *D mgmt_protect -*CAP -1 *2478:la_input[105] 0.00182565 -2 *2472:la_data_in_mprj[105] 0.00100468 -3 *611:16 0.00222672 -4 *611:13 0.00187608 -5 *611:8 0.0046937 -6 *611:7 0.00422336 -7 *2478:la_input[105] *1123:13 0 -8 *2478:la_input[105] *1251:9 0 -9 *2478:la_input[105] *1667:7 0.000240764 -10 *2478:la_input[105] *1731:27 8.86708e-05 -11 *611:7 *2472:la_data_out_mprj[105] 0 -12 *611:7 *2472:la_iena_mprj[105] 0 -13 *611:7 *2472:la_oenb_mprj[104] 0 -14 *611:8 *723:8 0.000614281 -15 *611:8 *1250:10 0.034322 -16 *611:8 *1252:10 0.0329268 -17 *611:13 *2472:mprj_adr_o_core[23] 0 -18 *611:13 *1250:7 0 -19 *611:13 *1664:7 0 -20 *611:16 *867:10 0.000311854 -21 *611:16 *1658:8 0.000802325 -*RES -1 *2472:la_data_in_mprj[105] *611:7 28.4625 -2 *611:7 *611:8 367.871 -3 *611:8 *611:13 34.9166 -4 *611:13 *611:16 24.0799 -5 *611:16 *2478:la_input[105] 39.8031 -*END - -*D_NET *612 0.0627319 -*CONN -*I *2478:la_input[106] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[106] O *D mgmt_protect -*CAP -1 *2478:la_input[106] 0.000515937 -2 *2472:la_data_in_mprj[106] 0.00305108 -3 *612:8 0.00953773 -4 *612:7 0.00902179 -5 *612:5 0.00305108 -6 *2478:la_input[106] *1124:9 0 -7 *2478:la_input[106] *1252:7 0 -8 *612:5 *2472:la_data_out_mprj[106] 0.000421916 -9 *612:5 *2472:la_iena_mprj[106] 0 -10 *612:5 *2472:la_oenb_mprj[105] 0 -11 *612:5 *973:9 0 -12 *612:5 *1357:9 0 -13 *612:5 *1363:15 0 -14 *612:5 *1368:15 0.000397835 -15 *612:8 *614:8 0.0360134 -16 *470:19 *612:8 0.000721049 -*RES -1 *2472:la_data_in_mprj[106] *612:5 75.869 -2 *612:5 *612:7 4.5 -3 *612:7 *612:8 398.374 -4 *612:8 *2478:la_input[106] 18.1423 -*END - -*D_NET *613 0.071408 -*CONN -*I *2478:la_input[107] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[107] O *D mgmt_protect -*CAP -1 *2478:la_input[107] 0.000980703 -2 *2472:la_data_in_mprj[107] 0.00272204 -3 *613:8 0.00755669 -4 *613:7 0.00657599 -5 *613:5 0.00272204 -6 *2478:la_input[107] *1125:9 0 -7 *613:5 *2472:la_data_out_mprj[107] 0 -8 *613:5 *2472:la_iena_mprj[106] 0 -9 *613:5 *2472:la_oenb_mprj[106] 0 -10 *613:5 *973:9 0 -11 *613:5 *1230:9 0.000129352 -12 *613:5 *1367:15 0.000464812 -13 *613:8 *615:8 0.000366369 -14 *613:8 *617:8 0.0362827 -15 *613:8 *730:16 0.000598459 -16 *613:8 *1243:16 0.00024397 -17 *613:8 *1251:10 0.000639917 -18 *613:8 *1363:10 0.00132561 -19 *613:8 *1656:8 0.0101859 -20 *608:14 *613:8 0.000613464 -*RES -1 *2472:la_data_in_mprj[107] *613:5 66.7335 -2 *613:5 *613:7 4.5 -3 *613:7 *613:8 410.575 -4 *613:8 *2478:la_input[107] 27.2778 -*END - -*D_NET *614 0.0911014 -*CONN -*I *2478:la_input[108] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[108] O *D mgmt_protect -*CAP -1 *2478:la_input[108] 0.000530542 -2 *2472:la_data_in_mprj[108] 0.00291026 -3 *614:8 0.00415701 -4 *614:7 0.00362646 -5 *614:5 0.00291026 -6 *2478:la_input[108] *1126:9 0 -7 *2478:la_input[108] *1254:7 0 -8 *614:5 *2472:la_data_out_mprj[108] 0.000723931 -9 *614:5 *2472:la_iena_mprj[107] 0 -10 *614:5 *2472:la_iena_mprj[108] 0 -11 *614:5 *2472:la_oenb_mprj[107] 0 -12 *614:5 *2478:la_input[87] 0 -13 *614:5 *1358:9 0.000388524 -14 *470:19 *614:8 0.039841 -15 *612:8 *614:8 0.0360134 -*RES -1 *2472:la_data_in_mprj[108] *614:5 75.4538 -2 *614:5 *614:7 4.5 -3 *614:7 *614:8 421.668 -4 *614:8 *2478:la_input[108] 18.5575 -*END - -*D_NET *615 0.0955924 -*CONN -*I *2478:la_input[109] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[109] O *D mgmt_protect -*CAP -1 *2478:la_input[109] 0.000907626 -2 *2472:la_data_in_mprj[109] 0.00256659 -3 *615:8 0.00437386 -4 *615:7 0.00346623 -5 *615:5 0.00256659 -6 *2478:la_input[109] *1127:9 0 -7 *2478:la_input[109] *1255:7 0 -8 *615:5 *2472:la_data_out_mprj[109] 0 -9 *615:5 *2472:la_iena_mprj[108] 0 -10 *615:5 *2472:la_oenb_mprj[108] 0 -11 *615:5 *974:9 0 -12 *615:5 *1231:9 0 -13 *615:5 *1369:15 0.00184111 -14 *615:8 *617:8 0.040146 -15 *615:8 *618:8 0.039358 -16 *615:8 *1552:24 0 -17 *613:8 *615:8 0.000366369 -*RES -1 *2472:la_data_in_mprj[109] *615:5 67.564 -2 *615:5 *615:7 4.5 -3 *615:7 *615:8 434.423 -4 *615:8 *2478:la_input[109] 25.8855 -*END - -*D_NET *616 0.111544 -*CONN -*I *2478:la_input[10] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[10] O *D mgmt_protect -*CAP -1 *2478:la_input[10] 0.00158059 -2 *2472:la_data_in_mprj[10] 0.00211688 -3 *616:14 0.0123751 -4 *616:13 0.0151679 -5 *616:7 0.0064903 -6 *2478:la_input[10] *1128:7 0 -7 *2478:la_input[10] *1256:7 0 -8 *616:7 *2472:la_data_out_mprj[10] 0.000565095 -9 *616:7 *2472:la_oenb_mprj[9] 0 -10 *616:7 *668:13 0 -11 *616:13 *627:10 0.022285 -12 *616:13 *638:8 0.000283528 -13 *616:13 *663:8 0.00108613 -14 *616:13 *665:8 0.00103175 -15 *616:13 *667:8 0.000260662 -16 *616:13 *1151:10 0.00406948 -17 *616:13 *1301:7 7.17667e-05 -18 *616:14 *636:22 0.00780192 -19 *616:14 *677:14 0 -20 *616:14 *1149:10 0.00307947 -21 *616:14 *1155:10 0 -22 *616:14 *1159:10 0 -23 *616:14 *1161:10 0.000179601 -24 *616:14 *1163:10 0.000443728 -25 *616:14 *1165:10 0.00041958 -26 *616:14 *1233:10 0.000228912 -27 *616:14 *1267:10 0 -28 *616:14 *1287:10 0.000190613 -29 *616:14 *1289:10 0.000738221 -30 *616:14 *1291:10 0.0174567 -31 *616:14 *1293:10 0.0136208 -*RES -1 *2472:la_data_in_mprj[10] *616:7 49.9625 -2 *616:7 *616:13 41.2429 -3 *616:13 *616:14 514.286 -4 *616:14 *2478:la_input[10] 42.6421 -*END - -*D_NET *617 0.0948911 -*CONN -*I *2478:la_input[110] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[110] O *D mgmt_protect -*CAP -1 *2478:la_input[110] 0.000945585 -2 *2472:la_data_in_mprj[110] 0.00257235 -3 *617:8 0.00440961 -4 *617:7 0.00346402 -5 *617:5 0.00257235 -6 *2478:la_input[110] *1129:9 0 -7 *2478:la_input[110] *1257:9 0 -8 *617:5 *2472:la_data_out_mprj[110] 0.000559246 -9 *617:5 *2472:la_iena_mprj[109] 0 -10 *617:5 *2472:la_iena_mprj[110] 0 -11 *617:5 *2472:la_oenb_mprj[109] 0 -12 *617:5 *2478:la_input[88] 0.000549425 -13 *617:5 *1359:9 0 -14 *617:8 *618:8 0.00083916 -15 *617:8 *1656:8 0.00255066 -16 *613:8 *617:8 0.0362827 -17 *615:8 *617:8 0.040146 -*RES -1 *2472:la_data_in_mprj[110] *617:5 67.1487 -2 *617:5 *617:7 4.5 -3 *617:7 *617:8 445.515 -4 *617:8 *2478:la_input[110] 26.8626 -*END - -*D_NET *618 0.0983833 -*CONN -*I *2478:la_input[111] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[111] O *D mgmt_protect -*CAP -1 *2478:la_input[111] 0.000909563 -2 *2472:la_data_in_mprj[111] 0.00294899 -3 *618:8 0.00460112 -4 *618:7 0.00369156 -5 *618:5 0.00294899 -6 *2478:la_input[111] *1130:7 0 -7 *2478:la_input[111] *1258:7 0 -8 *618:5 *2472:la_data_out_mprj[111] 0 -9 *618:5 *2472:la_iena_mprj[110] 0 -10 *618:5 *2472:la_iena_mprj[111] 0 -11 *618:5 *2472:la_oenb_mprj[110] 0 -12 *618:5 *975:9 0.000447014 -13 *618:5 *1247:15 0 -14 *618:5 *1359:9 0 -15 *618:8 *619:8 0.0422998 -16 *618:8 *1552:24 0 -17 *618:8 *1656:8 0.000339015 -18 *615:8 *618:8 0.039358 -19 *617:8 *618:8 0.00083916 -*RES -1 *2472:la_data_in_mprj[111] *618:5 67.9792 -2 *618:5 *618:7 4.5 -3 *618:7 *618:8 457.162 -4 *618:8 *2478:la_input[111] 26.032 -*END - -*D_NET *619 0.101517 -*CONN -*I *2478:la_input[112] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[112] O *D mgmt_protect -*CAP -1 *2478:la_input[112] 0.000888127 -2 *2472:la_data_in_mprj[112] 0.00284501 -3 *619:8 0.00468372 -4 *619:7 0.00379559 -5 *619:5 0.00284501 -6 *2478:la_input[112] *1131:7 0 -7 *2478:la_input[112] *1259:9 0 -8 *619:5 *2472:la_data_out_mprj[112] 0.000572208 -9 *619:5 *2472:la_iena_mprj[111] 0 -10 *619:5 *2472:la_oenb_mprj[111] 0 -11 *619:5 *2478:la_input[89] 0 -12 *619:5 *1232:9 0 -13 *619:8 *620:8 0.0434618 -14 *619:8 *1552:24 0 -15 *619:8 *1656:8 0.000125334 -16 *618:8 *619:8 0.0422998 -*RES -1 *2472:la_data_in_mprj[112] *619:5 68.3945 -2 *619:5 *619:7 4.5 -3 *619:7 *619:8 468.809 -4 *619:8 *2478:la_input[112] 25.6168 -*END - -*D_NET *620 0.103236 -*CONN -*I *2478:la_input[113] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[113] O *D mgmt_protect -*CAP -1 *2478:la_input[113] 0.000796572 -2 *2472:la_data_in_mprj[113] 0.00303951 -3 *620:8 0.00481118 -4 *620:7 0.00401461 -5 *620:5 0.00303951 -6 *2478:la_input[113] *1260:7 0 -7 *620:5 *2472:la_data_out_mprj[113] 0 -8 *620:5 *2472:la_iena_mprj[112] 0 -9 *620:5 *2472:la_iena_mprj[113] 0 -10 *620:5 *2472:la_oenb_mprj[112] 0 -11 *620:5 *976:9 0 -12 *620:5 *1360:9 0.000315176 -13 *620:8 *621:10 0.000648604 -14 *620:8 *1262:10 0.0431094 -15 *620:8 *1552:24 0 -16 *620:8 *1656:8 0 -17 *619:8 *620:8 0.0434618 -*RES -1 *2472:la_data_in_mprj[113] *620:5 68.8097 -2 *620:5 *620:7 4.5 -3 *620:7 *620:8 482.119 -4 *620:8 *2478:la_input[113] 23.5161 -*END - -*D_NET *621 0.106469 -*CONN -*I *2478:la_input[114] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[114] O *D mgmt_protect -*CAP -1 *2478:la_input[114] 0.000823818 -2 *2472:la_data_in_mprj[114] 4.25268e-05 -3 *621:10 0.00466364 -4 *621:9 0.00383982 -5 *621:7 0.00256827 -6 *621:5 0.00261079 -7 *2478:la_input[114] *1133:7 0 -8 *2478:la_input[114] *1261:7 0 -9 *621:7 *2472:la_data_out_mprj[114] 0.00220578 -10 *621:7 *2472:la_iena_mprj[113] 0 -11 *621:7 *2472:la_oenb_mprj[113] 0 -12 *621:7 *1234:9 0.00021575 -13 *621:10 *1262:10 0.0448934 -14 *621:10 *1264:10 0.043428 -15 *621:10 *1552:24 0.000528711 -16 *620:8 *621:10 0.000648604 -*RES -1 *2472:la_data_in_mprj[114] *621:5 1.20912 -2 *621:5 *621:7 69.7257 -3 *621:7 *621:9 4.5 -4 *621:9 *621:10 490.993 -5 *621:10 *2478:la_input[114] 24.371 -*END - -*D_NET *622 0.0984133 -*CONN -*I *2478:la_input[115] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[115] O *D mgmt_protect -*CAP -1 *2478:la_input[115] 8.30061e-05 -2 *2472:la_data_in_mprj[115] 0.000178231 -3 *622:11 0.00436426 -4 *622:10 0.00428126 -5 *622:8 0.00523021 -6 *622:7 0.00540845 -7 *622:7 *2472:la_data_out_mprj[115] 0 -8 *622:7 *2472:la_iena_mprj[114] 0 -9 *622:7 *2472:la_oenb_mprj[114] 0 -10 *622:8 *1254:10 0.0341595 -11 *622:8 *1255:10 0.0360433 -12 *622:8 *1258:10 0.00175528 -13 *622:8 *1260:10 0.00102524 -14 *622:8 *1261:10 0.00525072 -15 *622:8 *1558:26 0.000633819 -16 *622:11 *1134:7 0 -17 *622:11 *1262:9 0 -*RES -1 *2472:la_data_in_mprj[115] *622:7 8.94564 -2 *622:7 *622:8 503.749 -3 *622:8 *622:10 4.5 -4 *622:10 *622:11 83.0138 -5 *622:11 *2478:la_input[115] 2.05183 -*END - -*D_NET *623 0.112084 -*CONN -*I *2478:la_input[116] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[116] O *D mgmt_protect -*CAP -1 *2478:la_input[116] 8.30061e-05 -2 *2472:la_data_in_mprj[116] 0.000219083 -3 *623:11 0.00414851 -4 *623:10 0.00406551 -5 *623:8 0.00454974 -6 *623:7 0.00476882 -7 *623:7 *2472:la_data_out_mprj[116] 0.000126215 -8 *623:7 *1262:13 0.000478162 -9 *623:8 *624:8 0.0475339 -10 *623:8 *625:8 0.000189342 -11 *623:8 *626:8 0.000269776 -12 *623:8 *1266:10 0.0453169 -13 *623:11 *1135:7 0 -14 *471:27 *623:8 0.000334676 -*RES -1 *2472:la_data_in_mprj[116] *623:7 13.0982 -2 *623:7 *623:8 515.396 -3 *623:8 *623:10 4.5 -4 *623:10 *623:11 78.8613 -5 *623:11 *2478:la_input[116] 2.05183 -*END - -*D_NET *624 0.115457 -*CONN -*I *2478:la_input[117] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[117] O *D mgmt_protect -*CAP -1 *2478:la_input[117] 8.30061e-05 -2 *2472:la_data_in_mprj[117] 0.000372171 -3 *624:11 0.00414089 -4 *624:10 0.00405789 -5 *624:8 0.00425799 -6 *624:7 0.00463016 -7 *624:7 *2472:la_data_out_mprj[117] 0 -8 *624:7 *2472:la_oenb_mprj[116] 0 -9 *624:8 *1266:10 0.000885943 -10 *624:11 *1136:9 0 -11 *624:11 *1264:9 0 -12 *624:11 *1604:29 0 -13 *471:27 *624:8 0.0494946 -14 *623:8 *624:8 0.0475339 -*RES -1 *2472:la_data_in_mprj[117] *624:7 12.6829 -2 *624:7 *624:8 527.597 -3 *624:8 *624:10 4.5 -4 *624:10 *624:11 79.2765 -5 *624:11 *2478:la_input[117] 2.05183 -*END - -*D_NET *625 0.116756 -*CONN -*I *2478:la_input[118] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[118] O *D mgmt_protect -*CAP -1 *2478:la_input[118] 8.30061e-05 -2 *2472:la_data_in_mprj[118] 0.000383329 -3 *625:11 0.0040548 -4 *625:10 0.0039718 -5 *625:8 0.004599 -6 *625:7 0.00498233 -7 *625:7 *2472:la_data_out_mprj[118] 0.000143764 -8 *625:7 *2472:la_oenb_mprj[117] 0 -9 *625:8 *626:8 0.0498095 -10 *625:8 *628:8 0.000334676 -11 *625:8 *629:8 0.0482041 -12 *625:11 *1137:7 0 -13 *625:11 *1265:9 0 -14 *623:8 *625:8 0.000189342 -*RES -1 *2472:la_data_in_mprj[118] *625:7 14.3439 -2 *625:7 *625:8 538.689 -3 *625:8 *625:10 4.5 -4 *625:10 *625:11 77.6155 -5 *625:11 *2478:la_input[118] 2.05183 -*END - -*D_NET *626 0.11974 -*CONN -*I *2478:la_input[119] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[119] O *D mgmt_protect -*CAP -1 *2478:la_input[119] 8.30061e-05 -2 *2472:la_data_in_mprj[119] 0.000429639 -3 *626:11 0.00403372 -4 *626:10 0.00395072 -5 *626:8 0.00434893 -6 *626:7 0.00477857 -7 *626:7 *2472:la_data_out_mprj[119] 0 -8 *626:7 *2472:la_oenb_mprj[118] 0 -9 *626:8 *629:8 0.000885943 -10 *626:8 *1266:10 0.0511501 -11 *626:11 *1138:7 0 -12 *626:11 *1266:7 0 -13 *626:11 *1608:29 0 -14 *626:11 *1610:29 0 -15 *623:8 *626:8 0.000269776 -16 *625:8 *626:8 0.0498095 -*RES -1 *2472:la_data_in_mprj[119] *626:7 13.9287 -2 *626:7 *626:8 550.89 -3 *626:8 *626:10 4.5 -4 *626:10 *626:11 78.0308 -5 *626:11 *2478:la_input[119] 2.05183 -*END - -*D_NET *627 0.112335 -*CONN -*I *2478:la_input[11] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[11] O *D mgmt_protect -*CAP -1 *2478:la_input[11] 0.00116602 -2 *2472:la_data_in_mprj[11] 0.00175449 -3 *627:14 0.0133439 -4 *627:13 0.0129521 -5 *627:10 0.00469079 -6 *627:7 0.00567106 -7 *2478:la_input[11] *1139:9 0 -8 *2478:la_input[11] *1267:9 0 -9 *627:7 *2472:la_data_out_mprj[11] 0.00214562 -10 *627:7 *2472:la_oenb_mprj[10] 0 -11 *627:7 *2478:la_input[46] 0 -12 *627:7 *637:11 0 -13 *627:10 *636:16 0.000222357 -14 *627:10 *645:8 0.0312542 -15 *627:10 *662:8 0.00148438 -16 *627:10 *664:8 0.0011814 -17 *627:10 *1151:10 0.00107588 -18 *627:13 *1170:9 5.48015e-06 -19 *627:14 *909:10 0 -20 *627:14 *911:10 0.0102571 -21 *627:14 *913:10 0 -22 *627:14 *1153:10 0.00284556 -23 *616:13 *627:10 0.022285 -*RES -1 *2472:la_data_in_mprj[11] *627:7 49.132 -2 *627:7 *627:10 43.1936 -3 *627:10 *627:13 18.1667 -4 *627:13 *627:14 419.449 -5 *627:14 *2478:la_input[11] 34.7523 -*END - -*D_NET *628 0.122419 -*CONN -*I *2478:la_input[120] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[120] O *D mgmt_protect -*CAP -1 *2478:la_input[120] 8.30061e-05 -2 *2472:la_data_in_mprj[120] 0.000430162 -3 *628:11 0.00395794 -4 *628:10 0.00387493 -5 *628:8 0.00444123 -6 *628:7 0.00487139 -7 *628:7 *2472:la_data_out_mprj[120] 0.000147773 -8 *628:7 *2472:la_iena_mprj[119] 0 -9 *628:7 *2472:la_oenb_mprj[119] 0 -10 *628:8 *629:8 0.0520658 -11 *628:8 *1140:10 0.052002 -12 *628:8 *1142:10 0.00020979 -13 *628:11 *1140:7 0 -14 *628:11 *1268:7 0 -15 *625:8 *628:8 0.000334676 -*RES -1 *2472:la_data_in_mprj[120] *628:7 15.1744 -2 *628:7 *628:8 561.982 -3 *628:8 *628:10 4.5 -4 *628:10 *628:11 76.785 -5 *628:11 *2478:la_input[120] 2.05183 -*END - -*D_NET *629 0.120907 -*CONN -*I *2478:la_input[121] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[121] O *D mgmt_protect -*CAP -1 *2478:la_input[121] 8.30061e-05 -2 *2472:la_data_in_mprj[121] 0.000479352 -3 *629:11 0.00395073 -4 *629:10 0.00386772 -5 *629:8 0.0051269 -6 *629:7 0.00560625 -7 *629:7 *2472:la_data_out_mprj[121] 0 -8 *629:7 *2472:la_oenb_mprj[120] 0 -9 *629:8 *1142:10 0.00051659 -10 *629:8 *1266:10 0.000120974 -11 *629:11 *1141:9 0 -12 *629:11 *1269:7 0 -13 *629:11 *1649:13 0 -14 *471:27 *629:8 0 -15 *625:8 *629:8 0.0482041 -16 *626:8 *629:8 0.000885943 -17 *628:8 *629:8 0.0520658 -*RES -1 *2472:la_data_in_mprj[121] *629:7 14.7592 -2 *629:7 *629:8 574.184 -3 *629:8 *629:10 4.5 -4 *629:10 *629:11 77.2003 -5 *629:11 *2478:la_input[121] 2.05183 -*END - -*D_NET *630 0.12546 -*CONN -*I *2478:la_input[122] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[122] O *D mgmt_protect -*CAP -1 *2478:la_input[122] 1.28869e-05 -2 *2472:la_data_in_mprj[122] 0.000489902 -3 *630:11 0.00378985 -4 *630:10 0.00377697 -5 *630:8 0.00493273 -6 *630:7 0.00542263 -7 *630:7 *2472:la_data_out_mprj[122] 0.000160708 -8 *630:7 *2472:la_oenb_mprj[121] 0 -9 *630:8 *632:8 0.000189342 -10 *630:8 *633:8 0.000512773 -11 *630:8 *1140:10 0.000156579 -12 *630:8 *1142:10 0.0542625 -13 *630:8 *1146:10 0.0517527 -14 *630:11 *1270:9 0 -15 *630:11 *1651:13 0 -16 *471:27 *630:8 0 -17 *609:8 *630:8 0 -*RES -1 *2472:la_data_in_mprj[122] *630:7 16.4202 -2 *630:7 *630:8 587.494 -3 *630:8 *630:10 4.5 -4 *630:10 *630:11 75.5393 -5 *630:11 *2478:la_input[122] 0.366399 -*END - -*D_NET *631 0.121621 -*CONN -*I *2478:la_input[123] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[123] O *D mgmt_protect -*CAP -1 *2478:la_input[123] 0.000780946 -2 *2472:la_data_in_mprj[123] 0.0032059 -3 *631:8 0.00689132 -4 *631:7 0.00611037 -5 *631:5 0.0032059 -6 *2478:la_input[123] *1143:9 0 -7 *2478:la_input[123] *1271:7 0 -8 *631:5 *2472:la_data_out_mprj[123] 0 -9 *631:5 *2472:la_oenb_mprj[122] 0 -10 *631:5 *981:9 0.000347135 -11 *631:5 *1121:15 0 -12 *631:5 *1238:9 0 -13 *631:8 *1264:10 0.0454268 -14 *631:8 *1272:10 0.055023 -15 *631:8 *1552:24 0.00062937 -16 *631:8 *1656:8 0 -*RES -1 *2472:la_data_in_mprj[123] *631:5 70.4707 -2 *631:5 *631:7 4.5 -3 *631:7 *631:8 597.477 -4 *631:8 *2478:la_input[123] 23.5405 -*END - -*D_NET *632 0.131467 -*CONN -*I *2478:la_input[124] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[124] O *D mgmt_protect -*CAP -1 *2478:la_input[124] 8.30061e-05 -2 *2472:la_data_in_mprj[124] 0.000539931 -3 *632:11 0.00360762 -4 *632:10 0.00352461 -5 *632:8 0.00475416 -6 *632:7 0.00529409 -7 *632:7 *2472:la_data_out_mprj[124] 0.000178257 -8 *632:7 *2472:la_oenb_mprj[123] 0 -9 *632:8 *633:8 0.0566572 -10 *632:8 *1145:10 0.0562411 -11 *632:11 *1144:7 0 -12 *632:11 *1272:9 0 -13 *632:11 *1655:17 0.000397377 -14 *609:8 *632:8 0 -15 *630:8 *632:8 0.000189342 -*RES -1 *2472:la_data_in_mprj[124] *632:7 17.6659 -2 *632:7 *632:8 609.124 -3 *632:8 *632:10 4.5 -4 *632:10 *632:11 74.2935 -5 *632:11 *2478:la_input[124] 2.05183 -*END - -*D_NET *633 0.13341 -*CONN -*I *2478:la_input[125] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[125] O *D mgmt_protect -*CAP -1 *2478:la_input[125] 8.30061e-05 -2 *2472:la_data_in_mprj[125] 0.000601129 -3 *633:11 0.00360101 -4 *633:10 0.003518 -5 *633:8 0.00464904 -6 *633:7 0.00525017 -7 *633:7 *2472:la_data_out_mprj[125] 0 -8 *633:7 *2472:la_oenb_mprj[124] 0 -9 *633:8 *635:8 0.000120974 -10 *633:8 *1145:10 0.000644459 -11 *633:8 *1146:10 0.0573798 -12 *633:11 *1145:7 0 -13 *633:11 *1273:7 0 -14 *633:11 *1657:13 0.000392227 -15 *630:8 *633:8 0.000512773 -16 *632:8 *633:8 0.0566572 -*RES -1 *2472:la_data_in_mprj[125] *633:7 17.2507 -2 *633:7 *633:8 620.77 -3 *633:8 *633:10 4.5 -4 *633:10 *633:11 74.7088 -5 *633:11 *2478:la_input[125] 2.05183 -*END - -*D_NET *634 0.131066 -*CONN -*I *2478:la_input[126] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[126] O *D mgmt_protect -*CAP -1 *2478:la_input[126] 0.00102823 -2 *2472:la_data_in_mprj[126] 0.00251748 -3 *634:8 0.00652349 -4 *634:7 0.00549526 -5 *634:5 0.00251748 -6 *2478:la_input[126] *1146:7 0 -7 *2478:la_input[126] *1274:7 0 -8 *634:5 *2472:la_data_out_mprj[126] 0.000368364 -9 *634:5 *2472:la_oenb_mprj[125] 0 -10 *634:5 *2478:la_input[95] 0 -11 *634:5 *864:15 0.00133537 -12 *634:5 *1239:9 0.000148202 -13 *634:8 *1270:10 0.0526084 -14 *634:8 *1367:10 0 -15 *634:8 *1369:10 0 -16 *634:8 *1371:12 0.000102215 -17 *634:8 *1592:24 0.0565657 -18 *634:8 *1722:10 0.00185525 -*RES -1 *2472:la_data_in_mprj[126] *634:5 63.8267 -2 *634:5 *634:7 4.5 -3 *634:7 *634:8 632.417 -4 *634:8 *2478:la_input[126] 30.1846 -*END - -*D_NET *635 0.140455 -*CONN -*I *2478:la_input[127] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[127] O *D mgmt_protect -*CAP -1 *2478:la_input[127] 3.626e-05 -2 *2472:la_data_in_mprj[127] 0.000672278 -3 *635:11 0.00319233 -4 *635:10 0.00315607 -5 *635:8 0.00530789 -6 *635:7 0.00598017 -7 *635:7 *2472:la_data_out_mprj[127] 0 -8 *635:7 *2472:la_oenb_mprj[126] 0 -9 *635:8 *1145:10 0.0564793 -10 *635:8 *1146:10 0.000276534 -11 *635:8 *1579:8 0.060097 -12 *635:8 *1741:24 0.00352548 -13 *635:11 *1275:7 0 -14 *635:11 *2191:15 0.00155927 -15 *609:8 *635:8 5.13878e-05 -16 *633:8 *635:8 0.000120974 -*RES -1 *2472:la_data_in_mprj[127] *635:7 18.4964 -2 *635:7 *635:8 645.173 -3 *635:8 *635:10 4.5 -4 *635:10 *635:11 73.463 -5 *635:11 *2478:la_input[127] 0.928211 -*END - -*D_NET *636 0.0799745 -*CONN -*I *2478:la_input[12] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[12] O *D mgmt_protect -*CAP -1 *2478:la_input[12] 0.0016077 -2 *2472:la_data_in_mprj[12] 0.00204202 -3 *636:22 0.010679 -4 *636:21 0.00918202 -5 *636:16 0.00506438 -6 *636:14 0.00503277 -7 *636:12 0.00303454 -8 *636:10 0.00297609 -9 *636:8 0.00137057 -10 *636:7 0.00134994 -11 *636:5 0.00204202 -12 *2478:la_input[12] *1148:7 0 -13 *636:5 *2472:la_data_out_mprj[12] 0.000388577 -14 *636:5 *2472:la_oenb_mprj[11] 0 -15 *636:5 *929:7 0.000462439 -16 *636:5 *1184:15 0.000158189 -17 *636:8 *668:14 0.00387062 -18 *636:8 *909:16 0.00061052 -19 *636:8 *915:16 0.000110701 -20 *636:8 *1151:10 0.000738553 -21 *636:8 *1296:10 0.0114002 -22 *636:12 *661:8 0 -23 *636:12 *662:8 0 -24 *636:12 *663:8 0 -25 *636:12 *665:8 0 -26 *636:12 *667:8 2.41916e-05 -27 *636:12 *909:16 0.000236373 -28 *636:12 *1151:10 0.000706329 -29 *636:12 *1296:10 0.00443635 -30 *636:12 *1305:13 0.00211272 -31 *636:16 *645:8 0.00014667 -32 *636:16 *654:8 0 -33 *636:16 *657:8 0 -34 *636:16 *659:8 0 -35 *636:16 *1165:10 0 -36 *636:16 *1168:10 0 -37 *636:16 *1296:10 0 -38 *636:21 *908:7 6.68802e-05 -39 *636:22 *1277:10 0.00209983 -40 *616:14 *636:22 0.00780192 -41 *627:10 *636:16 0.000222357 -*RES -1 *2472:la_data_in_mprj[12] *636:5 49.2929 -2 *636:5 *636:7 4.5 -3 *636:7 *636:8 121.072 -4 *636:8 *636:10 0.578717 -5 *636:10 *636:12 126.896 -6 *636:12 *636:14 2.21841 -7 *636:14 *636:16 132.996 -8 *636:16 *636:21 12.493 -9 *636:21 *636:22 316.847 -10 *636:22 *2478:la_input[12] 42.7643 -*END - -*D_NET *637 0.149969 -*CONN -*I *2478:la_input[13] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[13] O *D mgmt_protect -*CAP -1 *2478:la_input[13] 8.29983e-05 -2 *2472:la_data_in_mprj[13] 0.00341276 -3 *637:21 0.0021528 -4 *637:20 0.0020698 -5 *637:18 0.00394142 -6 *637:16 0.00396445 -7 *637:14 0.000823991 -8 *637:13 0.000800957 -9 *637:11 0.00341276 -10 *637:11 *2472:la_data_out_mprj[10] 0.000364941 -11 *637:11 *2472:la_data_out_mprj[13] 0 -12 *637:11 *2472:la_iena_mprj[10] 2.27175e-05 -13 *637:11 *2472:la_oenb_mprj[12] 0 -14 *637:11 *1256:10 0.000386033 -15 *637:14 *640:8 0.0079971 -16 *637:14 *710:8 0.000118134 -17 *637:14 *892:10 0.00107631 -18 *637:14 *892:14 0.00551661 -19 *637:18 *638:20 0.0528396 -20 *637:18 *640:8 1.41853e-05 -21 *637:18 *688:8 0.00613545 -22 *637:18 *892:10 0.0548361 -23 *637:21 *1149:9 0 -24 *637:21 *1277:9 0 -25 *627:7 *637:11 0 -*RES -1 *2472:la_data_in_mprj[13] *637:11 48.5909 -2 *637:11 *637:13 4.5 -3 *637:13 *637:14 85.5777 -4 *637:14 *637:16 0.578717 -5 *637:16 *637:18 576.402 -6 *637:18 *637:20 4.5 -7 *637:20 *637:21 48.5479 -8 *637:21 *2478:la_input[13] 2.05183 -*END - -*D_NET *638 0.150708 -*CONN -*I *2478:la_input[14] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[14] O *D mgmt_protect -*CAP -1 *2478:la_input[14] 8.29983e-05 -2 *2472:la_data_in_mprj[14] 0.00181575 -3 *638:23 0.00218612 -4 *638:22 0.00210312 -5 *638:20 0.00397398 -6 *638:19 0.00406581 -7 *638:16 0.00069475 -8 *638:8 0.00130612 -9 *638:7 0.000703201 -10 *638:5 0.00181575 -11 *638:5 *2472:la_data_out_mprj[14] 0.000401477 -12 *638:5 *2472:la_oenb_mprj[13] 0 -13 *638:5 *1314:7 0.00109711 -14 *638:8 *665:8 0.00839686 -15 *638:8 *667:8 0.00840527 -16 *638:16 *2478:la_input[43] 0 -17 *638:16 *883:10 0.00265596 -18 *638:16 *892:10 0.00254977 -19 *638:16 *892:14 3.58044e-05 -20 *638:16 *1182:7 0.000324719 -21 *638:19 *2472:la_data_out_mprj[0] 1.54479e-05 -22 *638:19 *872:15 7.09666e-06 -23 *638:19 *1308:15 8.72256e-06 -24 *638:20 *640:8 0.0490014 -25 *638:20 *688:8 0.00551756 -26 *638:20 *895:10 0.00041958 -27 *638:23 *1150:7 0 -28 *638:23 *1278:7 0 -29 *616:13 *638:8 0.000283528 -30 *637:18 *638:20 0.0528396 -*RES -1 *2472:la_data_in_mprj[14] *638:5 46.8014 -2 *638:5 *638:7 4.5 -3 *638:7 *638:8 89.46 -4 *638:8 *638:16 49.1838 -5 *638:16 *638:19 5.91674 -6 *638:19 *638:20 555.327 -7 *638:20 *638:22 4.5 -8 *638:22 *638:23 48.9631 -9 *638:23 *2478:la_input[14] 2.05183 -*END - -*D_NET *639 0.167253 -*CONN -*I *2478:la_input[15] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[15] O *D mgmt_protect -*CAP -1 *2478:la_input[15] 8.29983e-05 -2 *2472:la_data_in_mprj[15] 0.001577 -3 *639:11 0.00240232 -4 *639:10 0.00231933 -5 *639:8 0.00681015 -6 *639:7 0.00838716 -7 *639:7 *2472:la_data_out_mprj[15] 0 -8 *639:7 *2472:la_oenb_mprj[14] 0 -9 *639:8 *651:8 0.00350483 -10 *639:8 *653:8 0.00322218 -11 *639:8 *893:10 0.0701477 -12 *639:8 *894:10 0.000351128 -13 *639:8 *896:10 0.0684485 -14 *639:8 *897:10 0 -15 *639:8 *1150:10 0 -16 *639:8 *1152:10 0 -17 *639:11 *1151:9 0 -18 *639:11 *1279:9 0 -*RES -1 *2472:la_data_in_mprj[15] *639:7 37.505 -2 *639:7 *639:8 91.2262 -3 *639:8 *639:10 3.36879 -4 *639:10 *639:11 53.3233 -5 *639:11 *2478:la_input[15] 2.05183 -*END - -*D_NET *640 0.142802 -*CONN -*I *2478:la_input[16] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[16] O *D mgmt_protect -*CAP -1 *2478:la_input[16] 8.29983e-05 -2 *2472:la_data_in_mprj[16] 0.00154447 -3 *640:11 0.00223388 -4 *640:10 0.00215088 -5 *640:8 0.00463341 -6 *640:7 0.00617788 -7 *640:7 *2472:la_data_out_mprj[16] 0.000505105 -8 *640:7 *2472:la_oenb_mprj[15] 0 -9 *640:8 *641:8 0.000269759 -10 *640:8 *673:8 0.000204688 -11 *640:8 *674:8 0.000471507 -12 *640:8 *675:8 2.65831e-05 -13 *640:8 *710:8 0.00582041 -14 *640:8 *892:14 0.000665158 -15 *640:8 *895:10 0.0610027 -16 *640:11 *1152:7 0 -17 *640:11 *1280:7 0 -18 *637:14 *640:8 0.0079971 -19 *637:18 *640:8 1.41853e-05 -20 *638:20 *640:8 0.0490014 -*RES -1 *2472:la_data_in_mprj[16] *640:7 42.5811 -2 *640:7 *640:8 649.61 -3 *640:8 *640:10 4.5 -4 *640:10 *640:11 49.3784 -5 *640:11 *2478:la_input[16] 2.05183 -*END - -*D_NET *641 0.140928 -*CONN -*I *2478:la_input[17] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[17] O *D mgmt_protect -*CAP -1 *2478:la_input[17] 8.29983e-05 -2 *2472:la_data_in_mprj[17] 0.00158961 -3 *641:11 0.00230029 -4 *641:10 0.00221729 -5 *641:8 0.00463736 -6 *641:7 0.00622697 -7 *641:7 *2472:la_data_out_mprj[17] 0 -8 *641:7 *2472:la_iena_mprj[16] 0 -9 *641:7 *2472:la_oenb_mprj[16] 0 -10 *641:7 *925:15 8.62625e-06 -11 *641:7 *931:7 0.000292263 -12 *641:8 *642:8 0.0585555 -13 *641:8 *675:8 0.000151406 -14 *641:8 *721:8 0.00548963 -15 *641:8 *895:10 0.059106 -16 *641:11 *1153:9 0 -17 *641:11 *1281:9 0 -18 *640:8 *641:8 0.000269759 -*RES -1 *2472:la_data_in_mprj[17] *641:7 41.7506 -2 *641:7 *641:8 637.963 -3 *641:8 *641:10 4.5 -4 *641:10 *641:11 50.2089 -5 *641:11 *2478:la_input[17] 2.05183 -*END - -*D_NET *642 0.136378 -*CONN -*I *2478:la_input[18] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[18] O *D mgmt_protect -*CAP -1 *2478:la_input[18] 8.29983e-05 -2 *2472:la_data_in_mprj[18] 0.00145635 -3 *642:11 0.00223829 -4 *642:10 0.00215529 -5 *642:8 0.00475286 -6 *642:7 0.00620921 -7 *642:7 *2472:la_data_out_mprj[18] 0.000543865 -8 *642:7 *1188:7 0 -9 *642:7 *1281:13 0 -10 *642:8 *646:8 0.0536037 -11 *642:8 *675:8 1.75155e-06 -12 *642:8 *721:8 0.00439521 -13 *642:8 *899:10 0.00128892 -14 *642:8 *925:16 0.000776327 -15 *642:11 *677:13 0.000317956 -16 *642:11 *1282:7 0 -17 *641:8 *642:8 0.0585555 -*RES -1 *2472:la_data_in_mprj[18] *642:7 41.3353 -2 *642:7 *642:8 626.316 -3 *642:8 *642:10 4.5 -4 *642:10 *642:11 50.6241 -5 *642:11 *2478:la_input[18] 2.05183 -*END - -*D_NET *643 0.156177 -*CONN -*I *2478:la_input[19] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[19] O *D mgmt_protect -*CAP -1 *2478:la_input[19] 0.00193382 -2 *2472:la_data_in_mprj[19] 0.00174399 -3 *643:8 0.00798834 -4 *643:7 0.0077985 -5 *2478:la_input[19] *1155:9 0 -6 *2478:la_input[19] *1283:9 0 -7 *643:7 *2472:la_data_out_mprj[19] 0 -8 *643:7 *2472:la_iena_mprj[19] 0 -9 *643:7 *2472:la_oenb_mprj[18] 0 -10 *643:7 *1316:7 0.00115441 -11 *643:8 *645:8 0.064374 -12 *643:8 *657:8 0.00284564 -13 *643:8 *660:8 0.00248882 -14 *643:8 *898:10 0.0658491 -15 *643:8 *1151:10 0 -*RES -1 *2472:la_data_in_mprj[19] *643:7 47.471 -2 *643:7 *643:8 84.7245 -3 *643:8 *2478:la_input[19] 48.7778 -*END - -*D_NET *644 0.196057 -*CONN -*I *2478:la_input[1] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[1] O *D mgmt_protect -*CAP -1 *2478:la_input[1] 8.29983e-05 -2 *2472:la_data_in_mprj[1] 0.00208332 -3 *644:11 0.0014906 -4 *644:8 0.0155009 -5 *644:7 0.0161767 -6 *644:7 *2472:la_data_out_mprj[1] 0 -7 *644:7 *2472:la_oenb_mprj[0] 0 -8 *644:7 *966:15 0.00037536 -9 *644:8 *655:8 0.0068933 -10 *644:8 *861:10 0.0108374 -11 *644:8 *897:10 0.053281 -12 *644:8 *900:10 0.0884201 -13 *644:8 *1151:10 0 -14 *644:11 *1156:7 0 -15 *644:11 *1284:7 0 -16 *644:11 *1562:16 0.000915109 -*RES -1 *2472:la_data_in_mprj[1] *644:7 44.9795 -2 *644:7 *644:8 113.791 -3 *644:8 *644:11 49.2175 -4 *644:11 *2478:la_input[1] 2.05183 -*END - -*D_NET *645 0.135612 -*CONN -*I *2478:la_input[20] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[20] O *D mgmt_protect -*CAP -1 *2478:la_input[20] 0.00192266 -2 *2472:la_data_in_mprj[20] 0.00151935 -3 *645:8 0.0146984 -4 *645:7 0.0142951 -5 *2478:la_input[20] *1157:9 0 -6 *2478:la_input[20] *1285:9 0 -7 *645:7 *2472:la_data_out_mprj[20] 0.000643436 -8 *645:7 *2472:la_oenb_mprj[19] 0 -9 *645:7 *1190:7 0.00115462 -10 *645:8 *659:8 0.00239925 -11 *645:8 *661:8 0.00227617 -12 *645:8 *662:8 0.000291404 -13 *645:8 *663:8 0.000241979 -14 *645:8 *664:8 0.000267904 -15 *645:8 *665:8 0.000126544 -16 *645:8 *1151:10 0 -17 *627:10 *645:8 0.0312542 -18 *636:16 *645:8 0.00014667 -19 *643:8 *645:8 0.064374 -*RES -1 *2472:la_data_in_mprj[20] *645:7 48.3015 -2 *645:7 *645:8 83.1947 -3 *645:8 *2478:la_input[20] 47.9473 -*END - -*D_NET *646 0.132325 -*CONN -*I *2478:la_input[21] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[21] O *D mgmt_protect -*CAP -1 *2478:la_input[21] 5.96252e-05 -2 *2472:la_data_in_mprj[21] 0.00117934 -3 *646:11 0.00241573 -4 *646:10 0.0023561 -5 *646:8 0.00421521 -6 *646:7 0.00539455 -7 *646:7 *2472:la_oenb_mprj[20] 0 -8 *646:7 *902:13 0.00200392 -9 *646:8 *647:8 0.000594244 -10 *646:8 *732:8 0.00478076 -11 *646:8 *894:10 0.000101365 -12 *646:8 *899:10 0.0545847 -13 *646:8 *925:16 0.00103534 -14 *646:11 *1158:7 0 -15 *646:11 *1286:7 0 -16 *642:8 *646:8 0.0536037 -*RES -1 *2472:la_data_in_mprj[21] *646:7 40.9201 -2 *646:7 *646:8 591.376 -3 *646:8 *646:10 4.5 -4 *646:10 *646:11 51.0394 -5 *646:11 *2478:la_input[21] 1.49002 -*END - -*D_NET *647 0.128127 -*CONN -*I *2478:la_input[22] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[22] O *D mgmt_protect -*CAP -1 *2478:la_input[22] 8.29983e-05 -2 *2472:la_data_in_mprj[22] 0.00130596 -3 *647:11 0.00251151 -4 *647:10 0.00242851 -5 *647:8 0.00448385 -6 *647:7 0.00578981 -7 *647:7 *2472:la_data_out_mprj[22] 0.000534661 -8 *647:7 *2472:la_iena_mprj[21] 0 -9 *647:7 *2472:la_oenb_mprj[21] 0 -10 *647:7 *934:7 0.000261499 -11 *647:8 *648:8 0.0528825 -12 *647:8 *894:10 0.00447358 -13 *647:8 *899:10 0.0526284 -14 *647:8 *925:16 0.00014932 -15 *647:11 *1159:9 0 -16 *647:11 *1287:9 0 -17 *646:8 *647:8 0.000594244 -*RES -1 *2472:la_data_in_mprj[22] *647:7 40.0896 -2 *647:7 *647:8 579.73 -3 *647:8 *647:10 4.5 -4 *647:10 *647:11 51.8699 -5 *647:11 *2478:la_input[22] 2.05183 -*END - -*D_NET *648 0.125734 -*CONN -*I *2478:la_input[23] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[23] O *D mgmt_protect -*CAP -1 *2478:la_input[23] 8.29983e-05 -2 *2472:la_data_in_mprj[23] 0.00159928 -3 *648:11 0.00255083 -4 *648:10 0.00246783 -5 *648:8 0.0044121 -6 *648:7 0.00601138 -7 *648:7 *2472:la_data_out_mprj[23] 0 -8 *648:7 *2472:la_oenb_mprj[22] 0 -9 *648:8 *649:8 0.0517544 -10 *648:8 *894:10 0.00392454 -11 *648:8 *925:16 4.77564e-05 -12 *648:11 *1160:7 0 -13 *648:11 *1288:7 0 -14 *647:8 *648:8 0.0528825 -*RES -1 *2472:la_data_in_mprj[23] *648:7 39.6743 -2 *648:7 *648:8 567.528 -3 *648:8 *648:10 4.5 -4 *648:10 *648:11 52.2851 -5 *648:11 *2478:la_input[23] 2.05183 -*END - -*D_NET *649 0.124577 -*CONN -*I *2478:la_input[24] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[24] O *D mgmt_protect -*CAP -1 *2478:la_input[24] 8.29983e-05 -2 *2472:la_data_in_mprj[24] 0.00111214 -3 *649:11 0.00259598 -4 *649:10 0.00251298 -5 *649:8 0.00439564 -6 *649:7 0.00550778 -7 *649:7 *2472:la_iena_mprj[23] 0 -8 *649:7 *2472:la_oenb_mprj[23] 0 -9 *649:7 *905:13 0.00192257 -10 *649:7 *1319:7 0 -11 *649:8 *650:8 0.0506262 -12 *649:8 *893:10 0.00405615 -13 *649:8 *925:16 0 -14 *649:8 *925:20 1.01703e-05 -15 *649:11 *1161:9 0 -16 *649:11 *1289:9 0 -17 *648:8 *649:8 0.0517544 -*RES -1 *2472:la_data_in_mprj[24] *649:7 39.2591 -2 *649:7 *649:8 556.436 -3 *649:8 *649:10 4.5 -4 *649:10 *649:11 52.7004 -5 *649:11 *2478:la_input[24] 2.05183 -*END - -*D_NET *650 0.120722 -*CONN -*I *2478:la_input[25] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[25] O *D mgmt_protect -*CAP -1 *2478:la_input[25] 8.29983e-05 -2 *2472:la_data_in_mprj[25] 0.00149631 -3 *650:11 0.0026353 -4 *650:10 0.0025523 -5 *650:8 0.00435689 -6 *650:7 0.0058532 -7 *650:7 *2472:la_data_out_mprj[25] 0 -8 *650:7 *2472:la_oenb_mprj[24] 0 -9 *650:7 *1192:7 0.000146694 -10 *650:8 *651:8 0.0494385 -11 *650:8 *893:10 0.00353353 -12 *650:8 *925:20 0 -13 *650:11 *1162:7 0 -14 *650:11 *1290:7 0 -15 *649:8 *650:8 0.0506262 -*RES -1 *2472:la_data_in_mprj[25] *650:7 38.8438 -2 *650:7 *650:8 544.235 -3 *650:8 *650:10 4.5 -4 *650:10 *650:11 53.1156 -5 *650:11 *2478:la_input[25] 2.05183 -*END - -*D_NET *651 0.11777 -*CONN -*I *2478:la_input[26] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[26] O *D mgmt_protect -*CAP -1 *2478:la_input[26] 8.29983e-05 -2 *2472:la_data_in_mprj[26] 0.00114261 -3 *651:11 0.00268045 -4 *651:10 0.00259745 -5 *651:8 0.00435149 -6 *651:7 0.0054941 -7 *651:7 *2472:la_data_out_mprj[26] 0.000523125 -8 *651:7 *2472:la_oenb_mprj[25] 0 -9 *651:7 *1320:7 0.000665846 -10 *651:8 *652:8 0.000870853 -11 *651:8 *653:8 0.0464178 -12 *651:8 *925:20 0 -13 *651:11 *1163:9 0 -14 *651:11 *1291:9 0 -15 *639:8 *651:8 0.00350483 -16 *650:8 *651:8 0.0494385 -*RES -1 *2472:la_data_in_mprj[26] *651:7 38.4285 -2 *651:7 *651:8 532.588 -3 *651:8 *651:10 4.5 -4 *651:10 *651:11 53.5309 -5 *651:11 *2478:la_input[26] 2.05183 -*END - -*D_NET *652 0.0856327 -*CONN -*I *2478:la_input[27] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[27] O *D mgmt_protect -*CAP -1 *2478:la_input[27] 8.29983e-05 -2 *2472:la_data_in_mprj[27] 0.00149 -3 *652:11 0.00273896 -4 *652:10 0.00265596 -5 *652:8 0.0101659 -6 *652:7 0.0116559 -7 *652:7 *2472:la_data_out_mprj[27] 0 -8 *652:7 *2472:la_iena_mprj[27] 0 -9 *652:7 *2472:la_oenb_mprj[26] 0 -10 *652:7 *681:11 0 -11 *652:8 *653:8 0.0471929 -12 *652:8 *896:10 0.000800634 -13 *652:8 *921:10 0 -14 *652:8 *924:16 0 -15 *652:8 *966:16 0.000746177 -16 *652:8 *1176:10 0 -17 *652:8 *1267:18 0.00185279 -18 *652:8 *1308:16 2.31462e-05 -19 *652:11 *1164:7 0 -20 *652:11 *1292:7 0 -21 *605:8 *652:8 0.00535648 -22 *651:8 *652:8 0.000870853 -*RES -1 *2472:la_data_in_mprj[27] *652:7 37.598 -2 *652:7 *652:8 520.942 -3 *652:8 *652:10 4.5 -4 *652:10 *652:11 54.3614 -5 *652:11 *2478:la_input[27] 2.05183 -*END - -*D_NET *653 0.113657 -*CONN -*I *2478:la_input[28] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[28] O *D mgmt_protect -*CAP -1 *2478:la_input[28] 8.29983e-05 -2 *2472:la_data_in_mprj[28] 0.00127008 -3 *653:11 0.00272963 -4 *653:10 0.00264663 -5 *653:8 0.00414485 -6 *653:7 0.00541493 -7 *653:7 *2472:la_data_out_mprj[28] 0.000534618 -8 *653:7 *2472:la_oenb_mprj[27] 0 -9 *653:7 *681:11 0 -10 *653:8 *923:16 0 -11 *653:8 *924:16 0 -12 *653:8 *925:20 0 -13 *653:11 *1165:9 0 -14 *653:11 *1293:9 0 -15 *639:8 *653:8 0.00322218 -16 *651:8 *653:8 0.0464178 -17 *652:8 *653:8 0.0471929 -*RES -1 *2472:la_data_in_mprj[28] *653:7 38.0133 -2 *653:7 *653:8 509.295 -3 *653:8 *653:10 4.5 -4 *653:10 *653:11 53.9461 -5 *653:11 *2478:la_input[28] 2.05183 -*END - -*D_NET *654 0.108365 -*CONN -*I *2478:la_input[29] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[29] O *D mgmt_protect -*CAP -1 *2478:la_input[29] 8.29983e-05 -2 *2472:la_data_in_mprj[29] 0.00178947 -3 *654:11 0.0021596 -4 *654:8 0.00639287 -5 *654:7 0.00610574 -6 *654:7 *2472:la_data_out_mprj[29] 0 -7 *654:7 *2472:la_oenb_mprj[28] 0 -8 *654:7 *1304:15 0.00057315 -9 *654:8 *656:8 0.0450355 -10 *654:8 *657:8 0.000878398 -11 *654:8 *658:8 0.0411822 -12 *654:8 *677:8 0.000870853 -13 *654:8 *898:10 0.00329458 -14 *654:11 *1166:7 0 -15 *654:11 *1294:7 0 -16 *636:16 *654:8 0 -*RES -1 *2472:la_data_in_mprj[29] *654:7 47.1488 -2 *654:7 *654:8 497.648 -3 *654:8 *654:11 49.3106 -4 *654:11 *2478:la_input[29] 2.05183 -*END - -*D_NET *655 0.175196 -*CONN -*I *2478:la_input[2] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[2] O *D mgmt_protect -*CAP -1 *2478:la_input[2] 0.00184581 -2 *2472:la_data_in_mprj[2] 0.00190722 -3 *655:10 0.00184581 -4 *655:8 0.00649213 -5 *655:7 0.00839935 -6 *2478:la_input[2] *1295:9 0 -7 *2478:la_input[2] *2374:10 0 -8 *2478:la_input[2] *2401:10 0 -9 *655:7 *2472:la_data_out_mprj[2] 0.000520322 -10 *655:7 *2472:la_oenb_mprj[1] 0 -11 *655:7 *669:15 8.62625e-06 -12 *655:8 *666:8 0.000398957 -13 *655:8 *677:8 1.67988e-05 -14 *655:8 *699:8 0.0696327 -15 *655:8 *861:10 0.075809 -16 *655:8 *872:16 0.00142653 -17 *644:8 *655:8 0.0068933 -*RES -1 *2472:la_data_in_mprj[2] *655:7 45.4878 -2 *655:7 *655:8 813.218 -3 *655:8 *655:10 4.5 -4 *655:10 *2478:la_input[2] 48.5234 -*END - -*D_NET *656 0.110261 -*CONN -*I *2478:la_input[30] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[30] O *D mgmt_protect -*CAP -1 *2478:la_input[30] 8.29983e-05 -2 *2472:la_data_in_mprj[30] 0.00156395 -3 *656:11 0.00219785 -4 *656:8 0.00564956 -5 *656:7 0.00509866 -6 *656:7 *2472:la_data_out_mprj[30] 0.0003988 -7 *656:7 *2472:la_oenb_mprj[29] 0 -8 *656:7 *682:11 0.000441347 -9 *656:8 *658:8 0.000386373 -10 *656:8 *670:10 0.0208203 -11 *656:8 *677:8 0.0249642 -12 *656:8 *872:16 3.83172e-05 -13 *656:8 *897:10 0.00358358 -14 *656:11 *1168:9 0 -15 *656:11 *1296:9 0 -16 *654:8 *656:8 0.0450355 -*RES -1 *2472:la_data_in_mprj[30] *656:7 46.7336 -2 *656:7 *656:8 486.002 -3 *656:8 *656:11 49.7258 -4 *656:11 *2478:la_input[30] 2.05183 -*END - -*D_NET *657 0.102482 -*CONN -*I *2478:la_input[31] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[31] O *D mgmt_protect -*CAP -1 *2478:la_input[31] 8.29983e-05 -2 *2472:la_data_in_mprj[31] 0.00171845 -3 *657:11 0.0021377 -4 *657:8 0.00639719 -5 *657:7 0.00606093 -6 *657:7 *2472:la_data_out_mprj[31] 0 -7 *657:7 *2472:la_oenb_mprj[30] 0 -8 *657:7 *928:23 0.000295476 -9 *657:7 *932:21 0.000283365 -10 *657:8 *658:8 0.042805 -11 *657:8 *659:8 0.00070936 -12 *657:8 *660:8 0.0382677 -13 *657:11 *1169:7 0 -14 *657:11 *1297:7 0 -15 *636:16 *657:8 0 -16 *643:8 *657:8 0.00284564 -17 *654:8 *657:8 0.000878398 -*RES -1 *2472:la_data_in_mprj[31] *657:7 47.9793 -2 *657:7 *657:8 474.355 -3 *657:8 *657:11 48.4801 -4 *657:11 *2478:la_input[31] 2.05183 -*END - -*D_NET *658 0.103706 -*CONN -*I *2478:la_input[32] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[32] O *D mgmt_protect -*CAP -1 *2478:la_input[32] 8.29983e-05 -2 *2472:la_data_in_mprj[32] 0.00175433 -3 *658:11 0.00218498 -4 *658:8 0.00569243 -5 *658:7 0.00534478 -6 *658:7 *2472:la_data_out_mprj[32] 0.000429377 -7 *658:7 *2472:la_iena_mprj[31] 0 -8 *658:7 *2472:la_oenb_mprj[31] 0 -9 *658:7 *930:19 0 -10 *658:7 *1170:13 0 -11 *658:8 *660:8 0.000363723 -12 *658:8 *670:10 0.000384441 -13 *658:8 *898:10 0.00309526 -14 *658:11 *1170:9 0 -15 *658:11 *1298:9 0 -16 *654:8 *658:8 0.0411822 -17 *656:8 *658:8 0.000386373 -18 *657:8 *658:8 0.042805 -*RES -1 *2472:la_data_in_mprj[32] *658:7 47.5641 -2 *658:7 *658:8 462.708 -3 *658:8 *658:11 48.8953 -4 *658:11 *2478:la_input[32] 2.05183 -*END - -*D_NET *659 0.0984432 -*CONN -*I *2478:la_input[33] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[33] O *D mgmt_protect -*CAP -1 *2478:la_input[33] 0.000965545 -2 *2472:la_data_in_mprj[33] 0.00197888 -3 *659:16 0.00249044 -4 *659:8 0.00527387 -5 *659:7 0.00572785 -6 *2478:la_input[33] *1171:7 0 -7 *2478:la_input[33] *1299:7 0 -8 *659:7 *2472:la_data_out_mprj[33] 0 -9 *659:7 *2472:la_oenb_mprj[32] 0 -10 *659:8 *660:8 0.0398776 -11 *659:8 *661:8 0.038773 -12 *659:16 *907:10 6.41427e-05 -13 *659:16 *909:10 0 -14 *659:16 *915:9 0.000183244 -15 *659:16 *1172:7 0 -16 *659:16 *1299:7 0 -17 *636:16 *659:8 0 -18 *645:8 *659:8 0.00239925 -19 *657:8 *659:8 0.00070936 -*RES -1 *2472:la_data_in_mprj[33] *659:7 48.8099 -2 *659:7 *659:8 439.969 -3 *659:8 *659:16 46.3856 -4 *659:16 *2478:la_input[33] 23.1931 -*END - -*D_NET *660 0.097528 -*CONN -*I *2478:la_input[34] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[34] O *D mgmt_protect -*CAP -1 *2478:la_input[34] 0.000965545 -2 *2472:la_data_in_mprj[34] 0.00179063 -3 *660:16 0.0024501 -4 *660:8 0.00504417 -5 *660:7 0.00535025 -6 *2478:la_input[34] *1172:7 0 -7 *2478:la_input[34] *1300:9 0 -8 *660:7 *2472:la_data_out_mprj[34] 0.000445147 -9 *660:7 *2472:la_oenb_mprj[33] 0 -10 *660:7 *938:13 0 -11 *660:7 *939:11 0 -12 *660:8 *661:8 0.0003486 -13 *660:8 *670:10 9.10502e-05 -14 *660:16 *907:10 4.45957e-05 -15 *660:16 *915:10 0 -16 *660:16 *916:7 0 -17 *660:16 *1300:9 0 -18 *643:8 *660:8 0.00248882 -19 *657:8 *660:8 0.0382677 -20 *658:8 *660:8 0.000363723 -21 *659:8 *660:8 0.0398776 -*RES -1 *2472:la_data_in_mprj[34] *660:7 48.3946 -2 *660:7 *660:8 432.205 -3 *660:8 *660:16 43.4732 -4 *660:16 *2478:la_input[34] 23.1931 -*END - -*D_NET *661 0.0947283 -*CONN -*I *2478:la_input[35] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[35] O *D mgmt_protect -*CAP -1 *2478:la_input[35] 0.00206287 -2 *2472:la_data_in_mprj[35] 0.00179996 -3 *661:8 0.00600377 -4 *661:7 0.00574086 -5 *2478:la_input[35] *1173:9 0 -6 *661:7 *2472:la_data_out_mprj[35] 0 -7 *661:7 *2472:la_oenb_mprj[34] 0 -8 *661:7 *684:11 0.000569046 -9 *661:8 *662:8 0.037154 -10 *661:8 *670:10 0 -11 *636:12 *661:8 0 -12 *645:8 *661:8 0.00227617 -13 *659:8 *661:8 0.038773 -14 *660:8 *661:8 0.0003486 -*RES -1 *2472:la_data_in_mprj[35] *661:7 49.2251 -2 *661:7 *661:8 428.877 -3 *661:8 *2478:la_input[35] 47.6007 -*END - -*D_NET *662 0.0921201 -*CONN -*I *2478:la_input[36] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[36] O *D mgmt_protect -*CAP -1 *2478:la_input[36] 0.000967956 -2 *2472:la_data_in_mprj[36] 0.00145803 -3 *662:16 0.00248843 -4 *662:8 0.00497079 -5 *662:7 0.00490835 -6 *2478:la_input[36] *1302:9 0 -7 *662:7 *2472:la_data_out_mprj[36] 0.000458095 -8 *662:7 *2472:la_oenb_mprj[35] 0 -9 *662:7 *940:7 0.00151161 -10 *662:8 *663:8 0.00085425 -11 *662:8 *664:8 0.0352694 -12 *662:8 *670:10 0 -13 *662:16 *907:10 7.50226e-05 -14 *662:16 *917:10 0 -15 *662:16 *918:7 0.000228381 -16 *662:16 *1175:9 0 -17 *627:10 *662:8 0.00148438 -18 *636:12 *662:8 0 -19 *645:8 *662:8 0.000291404 -20 *661:8 *662:8 0.037154 -*RES -1 *2472:la_data_in_mprj[36] *662:7 49.6404 -2 *662:7 *662:8 403.92 -3 *662:8 *662:16 47.2189 -4 *662:16 *2478:la_input[36] 23.1931 -*END - -*D_NET *663 0.0883287 -*CONN -*I *2478:la_input[37] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[37] O *D mgmt_protect -*CAP -1 *2478:la_input[37] 0.00209961 -2 *2472:la_data_in_mprj[37] 0.00189613 -3 *663:8 0.00590263 -4 *663:7 0.00380302 -5 *663:5 0.00189613 -6 *2478:la_input[37] *1175:9 0 -7 *2478:la_input[37] *1303:10 0 -8 *663:5 *2472:la_data_out_mprj[37] 0 -9 *663:5 *2472:la_oenb_mprj[36] 0 -10 *663:5 *685:11 0.000373035 -11 *663:8 *664:8 0.0360618 -12 *663:8 *665:8 0.034114 -13 *616:13 *663:8 0.00108613 -14 *636:12 *663:8 0 -15 *645:8 *663:8 0.000241979 -16 *662:8 *663:8 0.00085425 -*RES -1 *2472:la_data_in_mprj[37] *663:5 45.9709 -2 *663:5 *663:7 4.5 -3 *663:7 *663:8 403.92 -4 *663:8 *2478:la_input[37] 48.0404 -*END - -*D_NET *664 0.0885058 -*CONN -*I *2478:la_input[38] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[38] O *D mgmt_protect -*CAP -1 *2478:la_input[38] 0.00213879 -2 *2472:la_data_in_mprj[38] 0.00158527 -3 *664:8 0.00555188 -4 *664:7 0.00341309 -5 *664:5 0.00158527 -6 *2478:la_input[38] *1176:7 0 -7 *2478:la_input[38] *1303:15 0 -8 *2478:la_input[38] *1304:9 0 -9 *664:5 *2472:la_data_out_mprj[38] 0.00070986 -10 *664:5 *2472:la_oenb_mprj[37] 0 -11 *664:5 *1185:15 0.000392459 -12 *664:8 *665:8 0.000348617 -13 *664:8 *670:10 0 -14 *627:10 *664:8 0.0011814 -15 *645:8 *664:8 0.000267904 -16 *662:8 *664:8 0.0352694 -17 *663:8 *664:8 0.0360618 -*RES -1 *2472:la_data_in_mprj[38] *664:5 45.5556 -2 *664:5 *664:7 4.5 -3 *664:7 *664:8 392.828 -4 *664:8 *2478:la_input[38] 48.4557 -*END - -*D_NET *665 0.0806904 -*CONN -*I *2478:la_input[39] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[39] O *D mgmt_protect -*CAP -1 *2478:la_input[39] 0.00210344 -2 *2472:la_data_in_mprj[39] 0.00207639 -3 *665:8 0.00582544 -4 *665:7 0.00372199 -5 *665:5 0.00207639 -6 *2478:la_input[39] *1177:9 0 -7 *2478:la_input[39] *1305:9 0 -8 *665:5 *2472:la_data_out_mprj[39] 0 -9 *665:5 *2472:la_oenb_mprj[38] 0 -10 *665:5 *941:7 0 -11 *665:5 *1177:17 0 -12 *665:8 *667:8 0.00361491 -13 *665:8 *920:16 0.0172541 -14 *616:13 *665:8 0.00103175 -15 *636:12 *665:8 0 -16 *638:8 *665:8 0.00839686 -17 *645:8 *665:8 0.000126544 -18 *663:8 *665:8 0.034114 -19 *664:8 *665:8 0.000348617 -*RES -1 *2472:la_data_in_mprj[39] *665:5 46.3861 -2 *665:5 *665:7 4.5 -3 *665:7 *665:8 380.627 -4 *665:8 *2478:la_input[39] 47.6252 -*END - -*D_NET *666 0.207298 -*CONN -*I *2478:la_input[3] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[3] O *D mgmt_protect -*CAP -1 *2478:la_input[3] 0.00100769 -2 *2472:la_data_in_mprj[3] 0.00206783 -3 *666:10 0.00100769 -4 *666:8 0.0079496 -5 *666:7 0.0100174 -6 *2478:la_input[3] *1553:27 0.00285028 -7 *666:7 *2472:la_data_out_mprj[3] 0 -8 *666:7 *2472:la_oenb_mprj[2] 0 -9 *666:7 *1182:7 0 -10 *666:8 *688:8 0.0826391 -11 *666:8 *872:10 0.00675766 -12 *666:8 *892:10 0.00564065 -13 *666:8 *897:10 0.000383642 -14 *666:8 *900:10 0.085656 -15 *113:20 *2478:la_input[3] 0.000431618 -16 *480:25 *2478:la_input[3] 0.000489405 -17 *485:41 *2478:la_input[3] 0 -18 *655:8 *666:8 0.000398957 -*RES -1 *2472:la_data_in_mprj[3] *666:7 43.3185 -2 *666:7 *666:8 110.808 -3 *666:8 *666:10 3.36879 -4 *666:10 *2478:la_input[3] 47.8761 -*END - -*D_NET *667 0.0745627 -*CONN -*I *2478:la_input[40] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[40] O *D mgmt_protect -*CAP -1 *2478:la_input[40] 0.00203548 -2 *2472:la_data_in_mprj[40] 0.00188574 -3 *667:8 0.00566692 -4 *667:7 0.00363144 -5 *667:5 0.00188574 -6 *2478:la_input[40] *909:15 0.000107579 -7 *2478:la_input[40] *1179:9 0 -8 *2478:la_input[40] *1307:9 0 -9 *667:5 *2472:la_data_out_mprj[40] 0.000571133 -10 *667:5 *2472:la_iena_mprj[40] 0 -11 *667:5 *2472:la_oenb_mprj[39] 0 -12 *667:5 *686:11 0 -13 *667:8 *672:8 0.0250891 -14 *667:8 *909:16 0.00412627 -15 *667:8 *920:16 0.0172583 -16 *616:13 *667:8 0.000260662 -17 *636:12 *667:8 2.41916e-05 -18 *638:8 *667:8 0.00840527 -19 *665:8 *667:8 0.00361491 -*RES -1 *2472:la_data_in_mprj[40] *667:5 47.2166 -2 *667:5 *667:7 4.5 -3 *667:7 *667:8 368.98 -4 *667:8 *2478:la_input[40] 46.7947 -*END - -*D_NET *668 0.0777365 -*CONN -*I *2478:la_input[41] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[41] O *D mgmt_protect -*CAP -1 *2478:la_input[41] 0.00203567 -2 *2472:la_data_in_mprj[41] 0.00189914 -3 *668:14 0.0030215 -4 *668:13 0.00141857 -5 *668:8 0.00278349 -6 *668:7 0.00424989 -7 *2478:la_input[41] *1308:12 0 -8 *668:7 *2472:la_data_out_mprj[41] 0 -9 *668:7 *942:7 0 -10 *668:7 *1307:23 0 -11 *668:8 *669:8 0.0245906 -12 *668:8 *670:10 0.0245948 -13 *668:8 *897:10 0.000241979 -14 *668:13 *2472:la_data_out_mprj[10] 8.62625e-06 -15 *668:14 *909:16 0.0087686 -16 *668:14 *1151:10 0.000253087 -17 *616:7 *668:13 0 -18 *636:8 *668:14 0.00387062 -*RES -1 *2472:la_data_in_mprj[41] *668:7 45.9031 -2 *668:7 *668:8 264.16 -3 *668:8 *668:13 16.2303 -4 *668:13 *668:14 93.3422 -5 *668:14 *2478:la_input[41] 45.5489 -*END - -*D_NET *669 0.0788729 -*CONN -*I *2478:la_input[42] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[42] O *D mgmt_protect -*CAP -1 *2478:la_input[42] 0.000104397 -2 *2472:la_data_in_mprj[42] 0.00148734 -3 *669:15 0.00248498 -4 *669:10 0.00238059 -5 *669:8 0.00303472 -6 *669:7 0.00452207 -7 *669:7 *2472:la_data_out_mprj[42] 0.000589004 -8 *669:7 *2472:la_iena_mprj[41] 0 -9 *669:7 *2472:la_oenb_mprj[41] 0 -10 *669:7 *687:11 0.000379451 -11 *669:8 *670:10 0.000392997 -12 *669:8 *671:8 0.0285494 -13 *669:8 *699:8 0.00317413 -14 *669:8 *872:16 0.00655097 -15 *669:8 *883:10 0.000127366 -16 *669:8 *897:10 0.000244978 -17 *669:15 *2472:la_data_out_mprj[2] 0.000251333 -18 *669:15 *924:15 0 -19 *669:15 *1181:12 0 -20 *669:15 *1309:12 0 -21 *655:7 *669:15 8.62625e-06 -22 *668:8 *669:8 0.0245906 -*RES -1 *2472:la_data_in_mprj[42] *669:7 45.4878 -2 *669:7 *669:8 343.468 -3 *669:8 *669:10 4.5 -4 *669:10 *669:15 48.499 -5 *669:15 *2478:la_input[42] 2.61365 -*END - -*D_NET *670 0.070405 -*CONN -*I *2478:la_input[43] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[43] O *D mgmt_protect -*CAP -1 *2478:la_input[43] 0.00222069 -2 *2472:la_data_in_mprj[43] 0.00163307 -3 *670:12 0.00222069 -4 *670:10 0.00450507 -5 *670:9 0.00613814 -6 *2478:la_input[43] *2472:la_data_out_mprj[4] 0.000690049 -7 *2478:la_input[43] *677:7 3.20069e-06 -8 *2478:la_input[43] *1182:7 0 -9 *2478:la_input[43] *1310:7 0 -10 *670:9 *2472:la_data_out_mprj[43] 0 -11 *670:9 *2472:la_oenb_mprj[42] 0 -12 *670:9 *943:7 0 -13 *670:9 *1195:15 0.000864827 -14 *670:9 *1196:15 0.000256938 -15 *670:10 *671:8 0.000159304 -16 *670:10 *872:16 0.00490087 -17 *670:10 *897:10 0.000528566 -18 *638:16 *2478:la_input[43] 0 -19 *656:8 *670:10 0.0208203 -20 *658:8 *670:10 0.000384441 -21 *660:8 *670:10 9.10502e-05 -22 *661:8 *670:10 0 -23 *662:8 *670:10 0 -24 *664:8 *670:10 0 -25 *668:8 *670:10 0.0245948 -26 *669:8 *670:10 0.000392997 -*RES -1 *2472:la_data_in_mprj[43] *670:9 48.1748 -2 *670:9 *670:10 332.376 -3 *670:10 *670:12 4.5 -4 *670:12 *2478:la_input[43] 47.6929 -*END - -*D_NET *671 0.0735311 -*CONN -*I *2478:la_input[44] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[44] O *D mgmt_protect -*CAP -1 *2478:la_input[44] 0.00217435 -2 *2472:la_data_in_mprj[44] 0.00160979 -3 *671:10 0.00217435 -4 *671:8 0.00305589 -5 *671:7 0.00466568 -6 *2478:la_input[44] *2472:la_data_out_mprj[6] 0.000145026 -7 *2478:la_input[44] *2472:la_iena_mprj[6] 0.000579101 -8 *2478:la_input[44] *1139:18 4.3116e-06 -9 *2478:la_input[44] *1183:9 0 -10 *2478:la_input[44] *1267:15 1.98891e-05 -11 *671:7 *2472:la_data_out_mprj[44] 0.000367669 -12 *671:7 *2472:la_iena_mprj[44] 0 -13 *671:7 *2472:la_oenb_mprj[43] 0 -14 *671:7 *1322:15 0.000150958 -15 *671:7 *1323:15 0 -16 *671:8 *673:8 0.000233938 -17 *671:8 *883:10 0.00376477 -18 *671:8 *892:14 2.50864e-05 -19 *671:8 *897:10 0.000118462 -20 *671:8 *1184:16 0.0257332 -21 *669:8 *671:8 0.0285494 -22 *670:10 *671:8 0.000159304 -*RES -1 *2472:la_data_in_mprj[44] *671:7 45.0726 -2 *671:7 *671:8 323.503 -3 *671:8 *671:10 4.5 -4 *671:10 *2478:la_input[44] 47.8151 -*END - -*D_NET *672 0.0614302 -*CONN -*I *2478:la_input[45] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[45] O *D mgmt_protect -*CAP -1 *2478:la_input[45] 0.00192654 -2 *2472:la_data_in_mprj[45] 0.00176973 -3 *672:8 0.00674221 -4 *672:7 0.00481567 -5 *672:5 0.00176973 -6 *2478:la_input[45] *2472:la_data_out_mprj[9] 0 -7 *2478:la_input[45] *2472:la_oenb_mprj[8] 0.000173926 -8 *2478:la_input[45] *1184:9 0 -9 *2478:la_input[45] *1312:9 0 -10 *672:5 *2472:la_data_out_mprj[45] 0 -11 *672:5 *2472:la_oenb_mprj[44] 0 -12 *672:5 *1199:15 0.00136581 -13 *672:8 *909:16 0.0156177 -14 *672:8 *915:16 0.00178054 -15 *672:8 *917:16 0.000266271 -16 *672:8 *1151:10 0.000112976 -17 *672:8 *1196:10 0 -18 *672:8 *1323:10 0 -19 *667:8 *672:8 0.0250891 -*RES -1 *2472:la_data_in_mprj[45] *672:5 47.6319 -2 *672:5 *672:7 4.5 -3 *672:7 *672:8 310.747 -4 *672:8 *2478:la_input[45] 46.3794 -*END - -*D_NET *673 0.0680631 -*CONN -*I *2478:la_input[46] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[46] O *D mgmt_protect -*CAP -1 *2478:la_input[46] 0.00244383 -2 *2472:la_data_in_mprj[46] 0.0015987 -3 *673:10 0.00244383 -4 *673:8 0.00289138 -5 *673:7 0.00449008 -6 *2478:la_input[46] *2472:la_data_out_mprj[11] 9.35753e-06 -7 *2478:la_input[46] *915:15 0 -8 *2478:la_input[46] *1184:15 0 -9 *2478:la_input[46] *1185:9 0 -10 *2478:la_input[46] *1313:9 0 -11 *673:7 *2472:la_data_out_mprj[46] 0.000370225 -12 *673:7 *2472:la_oenb_mprj[45] 0 -13 *673:7 *1318:15 0.000124484 -14 *673:7 *1327:15 0 -15 *673:8 *674:8 0.0259004 -16 *673:8 *892:14 0.00034957 -17 *673:8 *897:10 0.00011251 -18 *673:8 *1184:16 0.0268901 -19 *627:7 *2478:la_input[46] 0 -20 *640:8 *673:8 0.000204688 -21 *671:8 *673:8 0.000233938 -*RES -1 *2472:la_data_in_mprj[46] *673:7 44.2421 -2 *673:7 *673:8 299.1 -3 *673:8 *673:10 4.5 -4 *673:10 *2478:la_input[46] 49.7692 -*END - -*D_NET *674 0.0659774 -*CONN -*I *2478:la_input[47] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[47] O *D mgmt_protect -*CAP -1 *2478:la_input[47] 8.29983e-05 -2 *2472:la_data_in_mprj[47] 0.00157354 -3 *674:11 0.00230467 -4 *674:10 0.00222167 -5 *674:8 0.00291829 -6 *674:7 0.00449182 -7 *674:7 *2472:la_data_out_mprj[47] 0 -8 *674:7 *1313:13 0 -9 *674:7 *1315:18 0.000625622 -10 *674:7 *1329:15 0.000215788 -11 *674:8 *675:8 0.0247722 -12 *674:11 *2472:la_iena_mprj[13] 0.000206324 -13 *674:11 *2472:la_oenb_mprj[13] 0.000192538 -14 *674:11 *1186:7 0 -15 *674:11 *1314:7 0 -16 *640:8 *674:8 0.000471507 -17 *673:8 *674:8 0.0259004 -*RES -1 *2472:la_data_in_mprj[47] *674:7 43.8268 -2 *674:7 *674:8 286.899 -3 *674:8 *674:10 4.5 -4 *674:10 *674:11 48.1326 -5 *674:11 *2478:la_input[47] 2.05183 -*END - -*D_NET *675 0.0613414 -*CONN -*I *2478:la_input[48] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[48] O *D mgmt_protect -*CAP -1 *2478:la_input[48] 8.29983e-05 -2 *2472:la_data_in_mprj[48] 0.0016217 -3 *675:11 0.00220985 -4 *675:10 0.00212685 -5 *675:8 0.00307772 -6 *675:7 0.00469943 -7 *675:7 *2472:la_data_out_mprj[48] 0.000373236 -8 *675:7 *2472:la_oenb_mprj[47] 0 -9 *675:8 *676:8 0.000452929 -10 *675:8 *678:8 0.000840642 -11 *675:8 *679:8 0.019922 -12 *675:8 *925:16 0.000159221 -13 *675:11 *2472:la_oenb_mprj[15] 0.000103038 -14 *675:11 *917:15 0.000719833 -15 *675:11 *1187:9 0 -16 *675:11 *1315:9 0 -17 *640:8 *675:8 2.65831e-05 -18 *641:8 *675:8 0.000151406 -19 *642:8 *675:8 1.75155e-06 -20 *674:8 *675:8 0.0247722 -*RES -1 *2472:la_data_in_mprj[48] *675:7 43.4116 -2 *675:7 *675:8 275.807 -3 *675:8 *675:10 4.5 -4 *675:10 *675:11 48.5479 -5 *675:11 *2478:la_input[48] 2.05183 -*END - -*D_NET *676 0.0604006 -*CONN -*I *2478:la_input[49] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[49] O *D mgmt_protect -*CAP -1 *2478:la_input[49] 8.29983e-05 -2 *2472:la_data_in_mprj[49] 0.00167664 -3 *676:11 0.00240975 -4 *676:10 0.00232675 -5 *676:8 0.00259639 -6 *676:7 0.00427303 -7 *676:7 *2472:la_data_out_mprj[49] 0 -8 *676:7 *2472:la_oenb_mprj[48] 0 -9 *676:8 *678:8 0.0226427 -10 *676:8 *680:8 0.0188519 -11 *676:8 *925:16 0.0044495 -12 *676:8 *925:20 0.000519766 -13 *676:11 *2472:la_data_out_mprj[18] 0.000118323 -14 *676:11 *1188:7 0 -15 *676:11 *1316:7 0 -16 *675:8 *676:8 0.000452929 -*RES -1 *2472:la_data_in_mprj[49] *676:7 42.1658 -2 *676:7 *676:8 263.605 -3 *676:8 *676:10 4.5 -4 *676:10 *676:11 49.7936 -5 *676:11 *2478:la_input[49] 2.05183 -*END - -*D_NET *677 0.134649 -*CONN -*I *2478:la_input[4] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[4] O *D mgmt_protect -*CAP -1 *2478:la_input[4] 0.00139592 -2 *2472:la_data_in_mprj[4] 0.00191241 -3 *677:14 0.00946457 -4 *677:13 0.00849507 -5 *677:8 0.00703917 -6 *677:7 0.00852516 -7 *2478:la_input[4] *1189:9 0 -8 *2478:la_input[4] *1317:9 0 -9 *677:7 *2472:la_data_out_mprj[4] 0.000541451 -10 *677:7 *2472:la_oenb_mprj[3] 0 -11 *677:7 *1182:7 0 -12 *677:8 *861:10 0.0449551 -13 *677:8 *872:16 0.00303416 -14 *677:8 *897:10 0.00720221 -15 *677:13 *1282:7 0 -16 *677:14 *933:10 0 -17 *677:14 *955:10 0 -18 *677:14 *977:10 0.00110908 -19 *677:14 *1139:10 0.000427125 -20 *677:14 *1189:10 0.00125989 -21 *677:14 *1267:10 0.013081 -22 *677:14 *1361:10 3.40535e-05 -23 *2478:la_input[43] *677:7 3.20069e-06 -24 *605:14 *677:14 0 -25 *616:14 *677:14 0 -26 *642:11 *677:13 0.000317956 -27 *654:8 *677:8 0.000870853 -28 *655:8 *677:8 1.67988e-05 -29 *656:8 *677:8 0.0249642 -*RES -1 *2472:la_data_in_mprj[4] *677:7 46.3183 -2 *677:7 *677:8 504.858 -3 *677:8 *677:13 21.6286 -4 *677:13 *677:14 285.235 -5 *677:14 *2478:la_input[4] 39.7354 -*END - -*D_NET *678 0.0589467 -*CONN -*I *2478:la_input[50] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[50] O *D mgmt_protect -*CAP -1 *2478:la_input[50] 8.29983e-05 -2 *2472:la_data_in_mprj[50] 0.00150218 -3 *678:11 0.00195798 -4 *678:10 0.00187498 -5 *678:8 0.00235471 -6 *678:7 0.00385689 -7 *678:7 *2472:la_data_out_mprj[50] 0.000380675 -8 *678:7 *2472:la_iena_mprj[50] 0 -9 *678:7 *2472:la_oenb_mprj[49] 0 -10 *678:8 *679:8 0.0215661 -11 *678:8 *680:8 0.000381442 -12 *678:11 *2472:la_data_out_mprj[20] 0 -13 *678:11 *2472:la_iena_mprj[20] 0.000260423 -14 *678:11 *2472:la_oenb_mprj[20] 0.00124505 -15 *678:11 *902:13 0 -16 *678:11 *1318:9 0 -17 *675:8 *678:8 0.000840642 -18 *676:8 *678:8 0.0226427 -*RES -1 *2472:la_data_in_mprj[50] *678:7 42.5811 -2 *678:7 *678:8 252.513 -3 *678:8 *678:10 4.5 -4 *678:10 *678:11 49.3784 -5 *678:11 *2478:la_input[50] 2.05183 -*END - -*D_NET *679 0.0549208 -*CONN -*I *2478:la_input[51] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[51] O *D mgmt_protect -*CAP -1 *2478:la_input[51] 8.29983e-05 -2 *2472:la_data_in_mprj[51] 0.00164592 -3 *679:11 0.0021836 -4 *679:10 0.0021006 -5 *679:8 0.00259722 -6 *679:7 0.00424314 -7 *679:7 *2472:la_data_out_mprj[51] 0 -8 *679:7 *2472:la_iena_mprj[51] 0 -9 *679:7 *2472:la_oenb_mprj[50] 0 -10 *679:8 *680:8 0.000207893 -11 *679:11 *2472:la_data_out_mprj[23] 0.000371341 -12 *679:11 *2472:la_oenb_mprj[22] 0 -13 *679:11 *1191:9 0 -14 *679:11 *1319:7 0 -15 *675:8 *679:8 0.019922 -16 *678:8 *679:8 0.0215661 -*RES -1 *2472:la_data_in_mprj[51] *679:7 42.9963 -2 *679:7 *679:8 240.312 -3 *679:8 *679:10 4.5 -4 *679:10 *679:11 48.9631 -5 *679:11 *2478:la_input[51] 2.05183 -*END - -*D_NET *680 0.0483372 -*CONN -*I *2478:la_input[52] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[52] O *D mgmt_protect -*CAP -1 *2478:la_input[52] 8.29983e-05 -2 *2472:la_data_in_mprj[52] 0.00143476 -3 *680:11 0.00214404 -4 *680:10 0.00206104 -5 *680:8 0.00346997 -6 *680:7 0.00490473 -7 *680:7 *2472:la_data_out_mprj[52] 0.000336725 -8 *680:7 *2472:la_iena_mprj[51] 0 -9 *680:7 *2472:la_iena_mprj[52] 0 -10 *680:7 *2472:la_oenb_mprj[51] 0 -11 *680:8 *925:20 0.0134539 -12 *680:8 *926:26 0.000356178 -13 *680:11 *2472:la_data_out_mprj[25] 0.000651606 -14 *680:11 *1192:7 0 -15 *680:11 *1320:7 0 -16 *676:8 *680:8 0.0188519 -17 *678:8 *680:8 0.000381442 -18 *679:8 *680:8 0.000207893 -*RES -1 *2472:la_data_in_mprj[52] *680:7 41.7506 -2 *680:7 *680:8 229.22 -3 *680:8 *680:10 4.5 -4 *680:10 *680:11 50.2089 -5 *680:11 *2478:la_input[52] 2.05183 -*END - -*D_NET *681 0.051067 -*CONN -*I *2478:la_input[53] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[53] O *D mgmt_protect -*CAP -1 *2478:la_input[53] 3.62521e-05 -2 *2472:la_data_in_mprj[53] 0.000854545 -3 *681:11 0.00314389 -4 *681:10 0.00310763 -5 *681:8 0.00220531 -6 *681:7 0.00305985 -7 *681:7 *2472:la_data_out_mprj[53] 0 -8 *681:7 *2472:la_iena_mprj[53] 0 -9 *681:7 *2472:la_oenb_mprj[52] 0 -10 *681:8 *682:8 5.2472e-05 -11 *681:8 *934:10 0.0179958 -12 *681:8 *1192:10 0.0198137 -13 *681:8 *1198:16 0.000582801 -14 *681:8 *1316:12 0.000118134 -15 *681:8 *1320:10 9.65932e-05 -16 *681:11 *2472:la_data_out_mprj[28] 0 -17 *681:11 *923:15 0 -18 *681:11 *926:25 0 -19 *681:11 *1193:9 0 -20 *652:7 *681:11 0 -21 *653:7 *681:11 0 -*RES -1 *2472:la_data_in_mprj[53] *681:7 25.971 -2 *681:7 *681:8 218.128 -3 *681:8 *681:10 4.5 -4 *681:10 *681:11 65.9885 -5 *681:11 *2478:la_input[53] 0.928211 -*END - -*D_NET *682 0.0483186 -*CONN -*I *2478:la_input[54] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[54] O *D mgmt_protect -*CAP -1 *2478:la_input[54] 8.29983e-05 -2 *2472:la_data_in_mprj[54] 0.000652057 -3 *682:11 0.00299147 -4 *682:10 0.00290848 -5 *682:8 0.00242234 -6 *682:7 0.0030744 -7 *682:7 *2472:la_data_out_mprj[54] 0.000332413 -8 *682:7 *2472:la_iena_mprj[54] 0 -9 *682:7 *2472:la_oenb_mprj[53] 0 -10 *682:8 *683:8 0.0171784 -11 *682:8 *1169:10 0 -12 *682:8 *1198:16 0 -13 *682:8 *1297:10 0 -14 *682:8 *1320:10 0.0179829 -15 *682:11 *2472:la_data_out_mprj[30] 0.000199327 -16 *682:11 *2472:la_iena_mprj[30] 0 -17 *682:11 *1179:15 0 -18 *682:11 *1194:9 0 -19 *682:11 *1322:9 0 -20 *656:7 *682:11 0.000441347 -21 *681:8 *682:8 5.2472e-05 -*RES -1 *2472:la_data_in_mprj[54] *682:7 24.7252 -2 *682:7 *682:8 205.372 -3 *682:8 *682:10 4.5 -4 *682:10 *682:11 67.2342 -5 *682:11 *2478:la_input[54] 2.05183 -*END - -*D_NET *683 0.0464953 -*CONN -*I *2478:la_input[55] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[55] O *D mgmt_protect -*CAP -1 *2478:la_input[55] 8.29983e-05 -2 *2472:la_data_in_mprj[55] 0.000762974 -3 *683:11 0.00298636 -4 *683:10 0.00290337 -5 *683:8 0.00209164 -6 *683:7 0.00285462 -7 *683:7 *2472:la_data_out_mprj[55] 0 -8 *683:7 *2472:la_oenb_mprj[54] 0 -9 *683:8 *937:16 4.97617e-05 -10 *683:8 *939:14 0.017098 -11 *683:8 *1198:16 0 -12 *683:11 *2472:la_oenb_mprj[32] 0 -13 *683:11 *1170:13 0.000487207 -14 *683:11 *1195:9 0 -15 *683:11 *1323:9 0 -16 *682:8 *683:8 0.0171784 -*RES -1 *2472:la_data_in_mprj[55] *683:7 24.31 -2 *683:7 *683:8 193.725 -3 *683:8 *683:10 4.5 -4 *683:10 *683:11 67.6495 -5 *683:11 *2478:la_input[55] 2.05183 -*END - -*D_NET *684 0.0422836 -*CONN -*I *2478:la_input[56] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[56] O *D mgmt_protect -*CAP -1 *2478:la_input[56] 8.29983e-05 -2 *2472:la_data_in_mprj[56] 0.000546757 -3 *684:11 0.00269761 -4 *684:10 0.00261461 -5 *684:8 0.00205994 -6 *684:7 0.0026067 -7 *684:7 *2472:la_data_out_mprj[56] 0.000328102 -8 *684:7 *2472:la_iena_mprj[56] 0 -9 *684:7 *2472:la_oenb_mprj[55] 0 -10 *684:8 *685:8 0.0150553 -11 *684:8 *935:16 0.0130758 -12 *684:8 *936:16 0.000339591 -13 *684:8 *937:16 0.000786331 -14 *684:8 *938:16 0.000394687 -15 *684:8 *940:10 0.000242106 -16 *684:11 *2472:la_data_out_mprj[35] 0 -17 *684:11 *2472:la_oenb_mprj[34] 0.000884009 -18 *684:11 *938:13 0 -19 *684:11 *1173:25 0 -20 *684:11 *1196:9 0 -21 *684:11 *1324:9 0 -22 *661:7 *684:11 0.000569046 -*RES -1 *2472:la_data_in_mprj[56] *684:7 22.649 -2 *684:7 *684:8 182.079 -3 *684:8 *684:10 4.5 -4 *684:10 *684:11 69.3105 -5 *684:11 *2478:la_input[56] 2.05183 -*END - -*D_NET *685 0.04144 -*CONN -*I *2478:la_input[57] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[57] O *D mgmt_protect -*CAP -1 *2478:la_input[57] 8.29983e-05 -2 *2472:la_data_in_mprj[57] 0.000691874 -3 *685:11 0.00242038 -4 *685:10 0.00233738 -5 *685:8 0.00196234 -6 *685:7 0.00265421 -7 *685:7 *2472:la_data_out_mprj[57] 0 -8 *685:7 *2472:la_oenb_mprj[56] 0 -9 *685:8 *937:16 0.0128319 -10 *685:8 *939:14 0.000698398 -11 *685:8 *940:10 1.08799e-05 -12 *685:8 *1198:16 0 -13 *685:11 *2472:la_data_out_mprj[37] 0.00232141 -14 *685:11 *2472:la_oenb_mprj[36] 0 -15 *685:11 *1197:9 0 -16 *685:11 *1325:9 0 -17 *663:5 *685:11 0.000373035 -18 *684:8 *685:8 0.0150553 -*RES -1 *2472:la_data_in_mprj[57] *685:7 23.0642 -2 *685:7 *685:8 170.432 -3 *685:8 *685:10 4.5 -4 *685:10 *685:11 68.8952 -5 *685:11 *2478:la_input[57] 2.05183 -*END - -*D_NET *686 0.0394872 -*CONN -*I *2478:la_input[58] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[58] O *D mgmt_protect -*CAP -1 *2478:la_input[58] 8.29983e-05 -2 *2472:la_data_in_mprj[58] 0.000742585 -3 *686:11 0.00223438 -4 *686:10 0.00215138 -5 *686:8 0.00148287 -6 *686:7 0.00222546 -7 *686:7 *2472:la_data_out_mprj[58] 0.000483545 -8 *686:7 *2472:la_oenb_mprj[57] 0 -9 *686:8 *942:10 0.0139676 -10 *686:8 *943:10 0.01205 -11 *686:8 *1182:14 0.000459395 -12 *686:8 *1193:16 0 -13 *686:8 *1308:22 0.00176605 -14 *686:11 *2472:la_oenb_mprj[39] 8.08916e-05 -15 *686:11 *1177:17 0 -16 *686:11 *1193:15 4.87343e-05 -17 *686:11 *1194:15 0.00171137 -18 *686:11 *1198:9 0 -19 *686:11 *1326:9 0 -20 *667:5 *686:11 0 -*RES -1 *2472:la_data_in_mprj[58] *686:7 29.293 -2 *686:7 *686:8 158.785 -3 *686:8 *686:10 4.5 -4 *686:10 *686:11 62.6664 -5 *686:11 *2478:la_input[58] 2.05183 -*END - -*D_NET *687 0.0340939 -*CONN -*I *2478:la_input[59] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[59] O *D mgmt_protect -*CAP -1 *2478:la_input[59] 8.29983e-05 -2 *2472:la_data_in_mprj[59] 0.000967466 -3 *687:11 0.00248173 -4 *687:10 0.00239873 -5 *687:8 0.001611 -6 *687:7 0.00257846 -7 *687:7 *2472:la_data_out_mprj[59] 0 -8 *687:7 *2472:la_oenb_mprj[58] 0 -9 *687:8 *942:10 0.0131903 -10 *687:8 *943:10 0.000159317 -11 *687:8 *1193:16 0.000100757 -12 *687:8 *1195:16 4.41269e-05 -13 *687:8 *1196:16 0.00123909 -14 *687:8 *1197:22 0.00886047 -15 *687:8 *1326:16 0 -16 *687:11 *2472:la_data_out_mprj[41] 0 -17 *687:11 *2472:la_iena_mprj[41] 0 -18 *687:11 *1199:9 0 -19 *687:11 *1327:9 0 -20 *669:7 *687:11 0.000379451 -*RES -1 *2472:la_data_in_mprj[59] *687:7 30.1235 -2 *687:7 *687:8 147.139 -3 *687:8 *687:10 4.5 -4 *687:10 *687:11 61.8359 -5 *687:11 *2478:la_input[59] 2.05183 -*END - -*D_NET *688 0.198559 -*CONN -*I *2478:la_input[5] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[5] O *D mgmt_protect -*CAP -1 *2478:la_input[5] 8.29983e-05 -2 *2472:la_data_in_mprj[5] 0.0020116 -3 *688:11 0.00199414 -4 *688:10 0.00191114 -5 *688:8 0.00786456 -6 *688:7 0.00987617 -7 *688:7 *2472:la_data_out_mprj[5] 0 -8 *688:7 *2472:la_oenb_mprj[4] 0 -9 *688:8 *710:8 0.0800768 -10 *688:8 *892:10 0.000133887 -11 *688:8 *897:10 0.000315225 -12 *688:11 *1200:7 0 -13 *688:11 *1328:7 0 -14 *637:18 *688:8 0.00613545 -15 *638:20 *688:8 0.00551756 -16 *666:8 *688:8 0.0826391 -*RES -1 *2472:la_data_in_mprj[5] *688:7 42.488 -2 *688:7 *688:8 107.289 -3 *688:8 *688:10 3.36879 -4 *688:10 *688:11 48.3402 -5 *688:11 *2478:la_input[5] 2.05183 -*END - -*D_NET *689 0.0270566 -*CONN -*I *2478:la_input[60] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[60] O *D mgmt_protect -*CAP -1 *2478:la_input[60] 0.00112029 -2 *2472:la_data_in_mprj[60] 0.00186776 -3 *689:8 0.00320786 -4 *689:7 0.00208757 -5 *689:5 0.00186776 -6 *2478:la_input[60] *1198:15 0 -7 *2478:la_input[60] *1201:9 0 -8 *2478:la_input[60] *1329:9 0 -9 *689:5 *2472:la_data_out_mprj[60] 0.0011176 -10 *689:5 *2472:la_oenb_mprj[59] 0 -11 *689:5 *2478:la_input[67] 0 -12 *689:8 *2478:la_input[66] 0.00133965 -13 *689:8 *692:8 0.000120974 -14 *689:8 *1197:10 0 -15 *689:8 *1201:10 0 -16 *689:8 *1202:10 0.0114161 -17 *689:8 *1326:10 0.00049599 -18 *689:8 *1329:12 6.05863e-05 -19 *689:8 *1332:10 0.00235447 -*RES -1 *2472:la_data_in_mprj[60] *689:5 61.3352 -2 *689:5 *689:7 4.5 -3 *689:7 *689:8 135.492 -4 *689:8 *2478:la_input[60] 32.6761 -*END - -*D_NET *690 0.0262607 -*CONN -*I *2478:la_input[61] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[61] O *D mgmt_protect -*CAP -1 *2478:la_input[61] 0.00117661 -2 *2472:la_data_in_mprj[61] 0.00215684 -3 *690:8 0.00331798 -4 *690:7 0.00214137 -5 *690:5 0.00215684 -6 *2478:la_input[61] *1202:9 0 -7 *2478:la_input[61] *1326:15 0.000279872 -8 *2478:la_input[61] *1327:15 0 -9 *2478:la_input[61] *1330:9 0 -10 *690:5 *2472:la_data_out_mprj[61] 0 -11 *690:5 *2472:la_iena_mprj[60] 0 -12 *690:5 *2472:la_iena_mprj[61] 0 -13 *690:5 *2472:la_oenb_mprj[60] 0 -14 *690:5 *2478:la_input[67] 0 -15 *690:8 *1201:10 0.0112932 -16 *690:8 *1202:10 0 -17 *690:8 *1205:10 0 -18 *690:8 *1333:10 0.000180711 -19 *690:8 *1334:10 0.00355727 -*RES -1 *2472:la_data_in_mprj[61] *690:5 58.0132 -2 *690:5 *690:7 4.5 -3 *690:7 *690:8 123.291 -4 *690:8 *2478:la_input[61] 35.9981 -*END - -*D_NET *691 0.0263273 -*CONN -*I *2478:la_input[62] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[62] O *D mgmt_protect -*CAP -1 *2478:la_input[62] 0.00129554 -2 *2472:la_data_in_mprj[62] 0.00160002 -3 *691:8 0.00233801 -4 *691:7 0.00104247 -5 *691:5 0.00160002 -6 *2478:la_input[62] *1203:9 0 -7 *2478:la_input[62] *1331:9 0 -8 *691:5 *2472:la_data_out_mprj[62] 0.00111329 -9 *691:5 *2472:la_iena_mprj[62] 0 -10 *691:5 *2472:la_oenb_mprj[61] 0 -11 *691:8 *694:12 0.00486563 -12 *691:8 *1201:10 0.00113384 -13 *691:8 *1203:10 0.0106775 -14 *691:8 *1333:10 0.000661063 -*RES -1 *2472:la_data_in_mprj[62] *691:5 56.3522 -2 *691:5 *691:7 4.5 -3 *691:7 *691:8 112.199 -4 *691:8 *2478:la_input[62] 37.6591 -*END - -*D_NET *692 0.0261399 -*CONN -*I *2478:la_input[63] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[63] O *D mgmt_protect -*CAP -1 *2478:la_input[63] 0.00104917 -2 *2472:la_data_in_mprj[63] 0.0023321 -3 *692:8 0.00189602 -4 *692:7 0.000846845 -5 *692:5 0.0023321 -6 *2478:la_input[63] *1204:9 0 -7 *2478:la_input[63] *1332:9 0 -8 *692:5 *2472:la_data_out_mprj[63] 0 -9 *692:5 *2472:la_iena_mprj[62] 0 -10 *692:5 *2472:la_oenb_mprj[62] 0 -11 *692:5 *1209:9 0 -12 *692:8 *948:10 0.00854448 -13 *692:8 *1332:10 0.00901823 -14 *689:8 *692:8 0.000120974 -*RES -1 *2472:la_data_in_mprj[63] *692:5 62.5809 -2 *692:5 *692:7 4.5 -3 *692:7 *692:8 99.9974 -4 *692:8 *2478:la_input[63] 31.4303 -*END - -*D_NET *693 0.0213472 -*CONN -*I *2478:la_input[64] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[64] O *D mgmt_protect -*CAP -1 *2478:la_input[64] 0.00100536 -2 *2472:la_data_in_mprj[64] 0.00184299 -3 *693:8 0.00238223 -4 *693:7 0.00137687 -5 *693:5 0.00184299 -6 *2478:la_input[64] *1205:9 0 -7 *2478:la_input[64] *1333:9 0 -8 *693:5 *2472:la_data_out_mprj[64] 0.00128143 -9 *693:5 *2472:la_oenb_mprj[63] 0 -10 *693:5 *1337:9 0 -11 *693:8 *948:10 0.000395432 -12 *693:8 *949:10 0.00753767 -13 *693:8 *951:12 0.00359749 -14 *693:8 *1335:13 8.47539e-05 -*RES -1 *2472:la_data_in_mprj[64] *693:5 63.8267 -2 *693:5 *693:7 4.5 -3 *693:7 *693:8 88.9054 -4 *693:8 *2478:la_input[64] 30.1846 -*END - -*D_NET *694 0.0203019 -*CONN -*I *2478:la_input[65] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[65] O *D mgmt_protect -*CAP -1 *2478:la_input[65] 0.00132874 -2 *2472:la_data_in_mprj[65] 0.00201996 -3 *694:12 0.00169372 -4 *694:10 0.000921928 -5 *694:5 0.00257691 -6 *2478:la_input[65] *1206:9 0 -7 *2478:la_input[65] *1334:9 0 -8 *694:5 *2472:la_data_out_mprj[65] 0 -9 *694:5 *2472:la_oenb_mprj[64] 0 -10 *694:10 *1204:10 0.000108945 -11 *694:10 *1206:10 0 -12 *694:10 *1331:10 4.66876e-05 -13 *694:10 *1333:10 0.000235491 -14 *694:10 *1337:12 0.00104188 -15 *694:12 *1203:10 0.000169038 -16 *694:12 *1331:10 2.52287e-06 -17 *694:12 *1333:10 0.00529049 -18 *691:8 *694:12 0.00486563 -*RES -1 *2472:la_data_in_mprj[65] *694:5 55.9369 -2 *694:5 *694:10 26.7324 -3 *694:10 *694:12 55.6292 -4 *694:12 *2478:la_input[65] 37.2439 -*END - -*D_NET *695 0.0187923 -*CONN -*I *2478:la_input[66] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[66] O *D mgmt_protect -*CAP -1 *2478:la_input[66] 0.00121944 -2 *2472:la_data_in_mprj[66] 0.00170223 -3 *695:8 0.00167927 -4 *695:7 0.000459829 -5 *695:5 0.00170223 -6 *2478:la_input[66] *1202:10 1.67988e-05 -7 *2478:la_input[66] *1207:9 0 -8 *2478:la_input[66] *1332:10 0.00139276 -9 *2478:la_input[66] *1335:9 0 -10 *695:5 *2472:la_data_out_mprj[66] 0.00126906 -11 *695:5 *2472:la_oenb_mprj[65] 0 -12 *695:8 *1202:10 0.00117808 -13 *695:8 *1205:10 0.00105799 -14 *695:8 *1208:10 0.00473362 -15 *695:8 *1209:10 9.24467e-05 -16 *695:8 *1210:12 0.000910579 -17 *695:8 *1332:10 3.83172e-05 -18 *689:8 *2478:la_input[66] 0.00133965 -*RES -1 *2472:la_data_in_mprj[66] *695:5 61.3352 -2 *695:5 *695:7 4.5 -3 *695:7 *695:8 51.1923 -4 *695:8 *2478:la_input[66] 47.428 -*END - -*D_NET *696 0.0113514 -*CONN -*I *2478:la_input[67] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[67] O *D mgmt_protect -*CAP -1 *2478:la_input[67] 0.00153806 -2 *2472:la_data_in_mprj[67] 0.00178726 -3 *696:8 0.00379158 -4 *696:7 0.00225352 -5 *696:5 0.00178726 -6 *2478:la_input[67] *2472:la_iena_mprj[60] 0.000193765 -7 *2478:la_input[67] *1208:9 0 -8 *696:5 *2472:la_data_out_mprj[67] 0 -9 *696:5 *2472:la_oenb_mprj[66] 0 -10 *696:8 *1206:10 0 -11 *689:5 *2478:la_input[67] 0 -12 *690:5 *2478:la_input[67] 0 -*RES -1 *2472:la_data_in_mprj[67] *696:5 49.7081 -2 *696:5 *696:7 4.5 -3 *696:7 *696:8 55.0746 -4 *696:8 *2478:la_input[67] 42.6177 -*END - -*D_NET *697 0.0146365 -*CONN -*I *2478:la_input[68] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[68] O *D mgmt_protect -*CAP -1 *2478:la_input[68] 0.000996632 -2 *2472:la_data_in_mprj[68] 0.0016216 -3 *697:16 0.00120401 -4 *697:13 0.000764503 -5 *697:5 0.00217872 -6 *2478:la_input[68] *1209:9 0 -7 *2478:la_input[68] *1337:9 0 -8 *697:5 *2472:la_data_out_mprj[68] 0.00125177 -9 *697:5 *2472:la_oenb_mprj[67] 0 -10 *697:13 *2472:la_data_out_mprj[66] 0 -11 *697:13 *2472:la_oenb_mprj[66] 0 -12 *697:13 *954:9 1.77537e-06 -13 *697:13 *1207:10 5.04829e-06 -14 *697:13 *1209:10 0.00126077 -15 *697:13 *1337:12 0 -16 *697:16 *951:12 0.000749025 -17 *697:16 *951:16 1.41689e-05 -18 *697:16 *1335:18 0.00190029 -19 *697:16 *1336:10 0.00268822 -*RES -1 *2472:la_data_in_mprj[68] *697:5 59.6742 -2 *697:5 *697:13 32.1333 -3 *697:13 *697:16 32.9536 -4 *697:16 *2478:la_input[68] 24.8541 -*END - -*D_NET *698 0.0126227 -*CONN -*I *2478:la_input[69] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[69] O *D mgmt_protect -*CAP -1 *2478:la_input[69] 0.000953759 -2 *2472:la_data_in_mprj[69] 0.00189359 -3 *698:10 0.00144022 -4 *698:5 0.00238005 -5 *2478:la_input[69] *1210:9 0 -6 *2478:la_input[69] *1338:9 0 -7 *698:5 *2472:la_data_out_mprj[69] 0 -8 *698:5 *2472:la_iena_mprj[68] 0 -9 *698:5 *2472:la_oenb_mprj[68] 0 -10 *698:5 *956:7 0.00224262 -11 *698:5 *1340:10 0 -12 *698:10 *952:10 0.00142877 -13 *698:10 *954:12 0.000438797 -14 *698:10 *1336:10 0.00184493 -*RES -1 *2472:la_data_in_mprj[69] *698:5 65.4877 -2 *698:5 *698:10 39.1174 -3 *698:10 *2478:la_input[69] 24.0236 -*END - -*D_NET *699 0.163498 -*CONN -*I *2478:la_input[6] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[6] O *D mgmt_protect -*CAP -1 *2478:la_input[6] 0.00194479 -2 *2472:la_data_in_mprj[6] 0.00182386 -3 *699:10 0.00194479 -4 *699:8 0.00638598 -5 *699:7 0.00820984 -6 *2478:la_input[6] *1211:9 0 -7 *2478:la_input[6] *1339:9 0 -8 *699:7 *2472:la_data_out_mprj[6] 0.000539293 -9 *699:7 *2472:la_iena_mprj[5] 0 -10 *699:7 *2472:la_oenb_mprj[5] 0 -11 *699:8 *710:8 0.000317418 -12 *699:8 *872:10 0.000861794 -13 *699:8 *872:16 1.41689e-05 -14 *699:8 *883:10 0.0622378 -15 *699:8 *900:10 0.0064111 -16 *655:8 *699:8 0.0696327 -17 *669:8 *699:8 0.00317413 -*RES -1 *2472:la_data_in_mprj[6] *699:7 45.0726 -2 *699:7 *699:8 766.631 -3 *699:8 *699:10 4.5 -4 *699:10 *2478:la_input[6] 48.9387 -*END - -*D_NET *700 0.00996327 -*CONN -*I *2478:la_input[70] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[70] O *D mgmt_protect -*CAP -1 *2478:la_input[70] 0.00103915 -2 *2472:la_data_in_mprj[70] 0.00201707 -3 *700:10 0.00123049 -4 *700:5 0.00220841 -5 *2478:la_input[70] *2472:la_oenb_mprj[67] 5.54078e-05 -6 *2478:la_input[70] *1212:9 0 -7 *2478:la_input[70] *1340:10 0 -8 *700:5 *2472:la_data_out_mprj[70] 0.000604798 -9 *700:5 *2472:la_iena_mprj[70] 0 -10 *700:5 *2472:la_oenb_mprj[69] 0 -11 *700:5 *2478:la_input[71] 1.77537e-06 -12 *700:10 *953:16 0.00027329 -13 *700:10 *954:12 1.49927e-05 -14 *700:10 *1212:12 0.00173451 -15 *700:10 *1213:10 0.00033061 -16 *700:10 *1340:10 0.000452771 -*RES -1 *2472:la_data_in_mprj[70] *700:5 62.9962 -2 *700:5 *700:10 27.4707 -3 *700:10 *2478:la_input[70] 26.5151 -*END - -*D_NET *701 0.007914 -*CONN -*I *2478:la_input[71] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[71] O *D mgmt_protect -*CAP -1 *2478:la_input[71] 0.00118312 -2 *2472:la_data_in_mprj[71] 0.0018442 -3 *701:5 0.00302732 -4 *2478:la_input[71] *1212:12 0.000224395 -5 *2478:la_input[71] *1213:10 0.000636352 -6 *2478:la_input[71] *1341:7 0 -7 *701:5 *2472:la_data_out_mprj[71] 0 -8 *701:5 *2472:la_oenb_mprj[70] 0 -9 *701:5 *1341:7 0.00099685 -10 *700:5 *2478:la_input[71] 1.77537e-06 -*RES -1 *2472:la_data_in_mprj[71] *701:5 62.9962 -2 *701:5 *2478:la_input[71] 42.3391 -*END - -*D_NET *702 0.00769864 -*CONN -*I *2478:la_input[72] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[72] O *D mgmt_protect -*CAP -1 *2478:la_input[72] 3.626e-05 -2 *2472:la_data_in_mprj[72] 0.000913551 -3 *702:11 0.00177655 -4 *702:9 0.00265385 -5 *702:9 *2472:la_data_out_mprj[72] 0.00165986 -6 *702:9 *2472:la_iena_mprj[71] 0 -7 *702:9 *2472:la_iena_mprj[72] 0 -8 *702:9 *2472:la_oenb_mprj[71] 0 -9 *702:11 *2472:la_iena_mprj[72] 0.000658569 -10 *702:11 *2472:la_oenb_mprj[72] 0 -11 *702:11 *1214:12 0 -*RES -1 *2472:la_data_in_mprj[72] *702:9 35.3819 -2 *702:9 *702:11 54.6667 -3 *702:11 *2478:la_input[72] 0.928211 -*END - -*D_NET *703 0.00817763 -*CONN -*I *2478:la_input[73] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[73] O *D mgmt_protect -*CAP -1 *2478:la_input[73] 8.30061e-05 -2 *2472:la_data_in_mprj[73] 0.00097702 -3 *703:11 0.00262028 -4 *703:10 0.0035143 -5 *703:10 *2472:la_data_out_mprj[73] 0.000180727 -6 *703:10 *2472:la_oenb_mprj[72] 0 -7 *703:10 *2472:la_oenb_mprj[73] 0.000579046 -8 *703:11 *2472:la_data_out_mprj[74] 0 -9 *703:11 *704:7 0 -10 *703:11 *705:7 0 -11 *703:11 *1215:7 0 -12 *703:11 *1216:13 0.000223258 -13 *703:11 *1343:7 0 -*RES -1 *2472:la_data_in_mprj[73] *703:10 37.9974 -2 *703:10 *703:11 71.3867 -3 *703:11 *2478:la_input[73] 2.05183 -*END - -*D_NET *704 0.0103159 -*CONN -*I *2478:la_input[74] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[74] O *D mgmt_protect -*CAP -1 *2478:la_input[74] 8.30061e-05 -2 *2472:la_data_in_mprj[74] 0.00088765 -3 *704:11 0.00219344 -4 *704:10 0.00262186 -5 *704:7 0.00139908 -6 *704:7 *2472:la_data_out_mprj[74] 0.000585287 -7 *704:7 *2472:la_iena_mprj[73] 0 -8 *704:7 *2472:la_oenb_mprj[73] 0 -9 *704:10 *705:10 0.00151339 -10 *704:10 *960:16 0.000115035 -11 *704:10 *1217:12 1.08524e-05 -12 *704:10 *1345:12 0.000897691 -13 *704:11 *960:16 8.62625e-06 -14 *704:11 *1216:7 0 -15 *704:11 *1344:7 0 -16 *703:11 *704:7 0 -*RES -1 *2472:la_data_in_mprj[74] *704:7 35.1065 -2 *704:7 *704:10 29.0714 -3 *704:10 *704:11 56.8529 -4 *704:11 *2478:la_input[74] 2.05183 -*END - -*D_NET *705 0.0136768 -*CONN -*I *2478:la_input[75] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[75] O *D mgmt_protect -*CAP -1 *2478:la_input[75] 8.30061e-05 -2 *2472:la_data_in_mprj[75] 0.000994894 -3 *705:11 0.00220685 -4 *705:10 0.00245043 -5 *705:7 0.00132148 -6 *705:7 *2472:la_data_out_mprj[75] 0 -7 *705:7 *2472:la_oenb_mprj[74] 0 -8 *705:7 *1216:13 0 -9 *705:7 *1343:7 0.000402434 -10 *705:10 *960:16 0.00100354 -11 *705:10 *961:10 0.00325949 -12 *705:10 *1345:12 0.00044131 -13 *705:11 *1217:7 0 -14 *703:11 *705:7 0 -15 *704:10 *705:10 0.00151339 -*RES -1 *2472:la_data_in_mprj[75] *705:7 34.6913 -2 *705:7 *705:10 41.2726 -3 *705:10 *705:11 57.2682 -4 *705:11 *2478:la_input[75] 2.05183 -*END - -*D_NET *706 0.0132947 -*CONN -*I *2478:la_input[76] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[76] O *D mgmt_protect -*CAP -1 *2478:la_input[76] 8.30061e-05 -2 *2472:la_data_in_mprj[76] 0.000911888 -3 *706:11 0.00222242 -4 *706:10 0.00213941 -5 *706:8 0.000863643 -6 *706:7 0.00177553 -7 *706:7 *2472:la_data_out_mprj[76] 0.000424927 -8 *706:7 *2472:la_iena_mprj[76] 0 -9 *706:7 *2472:la_oenb_mprj[75] 0 -10 *706:7 *1216:7 0 -11 *706:8 *707:8 0.000168238 -12 *706:8 *711:8 0.000339355 -13 *706:8 *960:16 0.000738369 -14 *706:8 *961:10 0.00359748 -15 *706:8 *1218:12 3.04269e-05 -16 *706:11 *1218:7 0 -17 *706:11 *1346:7 0 -*RES -1 *2472:la_data_in_mprj[76] *706:7 33.8608 -2 *706:7 *706:8 47.8647 -3 *706:8 *706:10 4.5 -4 *706:10 *706:11 58.0987 -5 *706:11 *2478:la_input[76] 2.05183 -*END - -*D_NET *707 0.0162367 -*CONN -*I *2478:la_input[77] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[77] O *D mgmt_protect -*CAP -1 *2478:la_input[77] 8.30061e-05 -2 *2472:la_data_in_mprj[77] 0.00101561 -3 *707:11 0.00232713 -4 *707:10 0.00224412 -5 *707:8 0.000799955 -6 *707:7 0.00181556 -7 *707:7 *2472:la_data_out_mprj[77] 0 -8 *707:7 *2472:la_iena_mprj[76] 0 -9 *707:7 *2472:la_oenb_mprj[76] 0 -10 *707:7 *1344:7 0 -11 *707:8 *711:8 0.000743683 -12 *707:8 *713:8 0.00156231 -13 *707:8 *963:10 0.00547712 -14 *707:8 *1346:10 0 -15 *707:11 *2472:la_data_out_mprj[84] 0 -16 *707:11 *1219:7 0 -17 *707:11 *1347:7 0 -18 *706:8 *707:8 0.000168238 -*RES -1 *2472:la_data_in_mprj[77] *707:7 31.7845 -2 *707:7 *707:8 60.066 -3 *707:8 *707:10 4.5 -4 *707:10 *707:11 60.1749 -5 *707:11 *2478:la_input[77] 2.05183 -*END - -*D_NET *708 0.0223757 -*CONN -*I *2478:la_input[78] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[78] O *D mgmt_protect -*CAP -1 *2478:la_input[78] 8.30061e-05 -2 *2472:la_data_in_mprj[78] 0.000479125 -3 *708:11 0.00190314 -4 *708:10 0.00182013 -5 *708:8 0.000888038 -6 *708:7 0.00136716 -7 *708:7 *2472:la_data_out_mprj[78] 0.000328102 -8 *708:7 *2472:la_oenb_mprj[77] 0 -9 *708:8 *1219:10 0 -10 *708:8 *1220:10 0.00587929 -11 *708:8 *1348:10 0.00602151 -12 *708:11 *2472:la_data_out_mprj[86] 0.00273113 -13 *708:11 *2472:la_iena_mprj[86] 0.000875045 -14 *708:11 *1220:7 0 -15 *708:11 *1348:7 0 -*RES -1 *2472:la_data_in_mprj[78] *708:7 20.988 -2 *708:7 *708:8 71.1581 -3 *708:8 *708:10 4.5 -4 *708:10 *708:11 70.9715 -5 *708:11 *2478:la_input[78] 2.05183 -*END - -*D_NET *709 0.0228045 -*CONN -*I *2478:la_input[79] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[79] O *D mgmt_protect -*CAP -1 *2478:la_input[79] 8.30061e-05 -2 *2472:la_data_in_mprj[79] 0.000712347 -3 *709:11 0.00250335 -4 *709:10 0.00242035 -5 *709:8 0.000974774 -6 *709:7 0.00168712 -7 *709:7 *2472:la_data_out_mprj[79] 0 -8 *709:7 *2472:la_oenb_mprj[78] 0 -9 *709:8 *967:10 0.00673697 -10 *709:8 *1219:10 0.000248172 -11 *709:8 *1221:10 0.000250542 -12 *709:8 *1348:10 7.06933e-05 -13 *709:8 *1351:10 0.00632081 -14 *709:11 *2472:la_iena_mprj[88] 0.000796373 -15 *709:11 *720:7 0 -16 *709:11 *1221:7 0 -17 *709:11 *1349:7 0 -*RES -1 *2472:la_data_in_mprj[79] *709:7 23.0642 -2 *709:7 *709:8 83.3593 -3 *709:8 *709:10 4.5 -4 *709:10 *709:11 68.8952 -5 *709:11 *2478:la_input[79] 2.05183 -*END - -*D_NET *710 0.194365 -*CONN -*I *2478:la_input[7] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[7] O *D mgmt_protect -*CAP -1 *2478:la_input[7] 8.29983e-05 -2 *2472:la_data_in_mprj[7] 0.00195054 -3 *710:11 0.00206791 -4 *710:10 0.00198491 -5 *710:8 0.00734413 -6 *710:7 0.00929467 -7 *710:7 *2472:la_data_out_mprj[7] 0 -8 *710:7 *2472:la_oenb_mprj[6] 0 -9 *710:8 *721:8 0.0797605 -10 *710:8 *892:14 0.000108607 -11 *710:8 *895:10 0.00522793 -12 *710:8 *897:10 0.000209909 -13 *710:11 *1222:7 0 -14 *710:11 *1350:7 0 -15 *637:14 *710:8 0.000118134 -16 *640:8 *710:8 0.00582041 -17 *688:8 *710:8 0.0800768 -18 *699:8 *710:8 0.000317418 -*RES -1 *2472:la_data_in_mprj[7] *710:7 41.6575 -2 *710:7 *710:8 104.077 -3 *710:8 *710:10 3.36879 -4 *710:10 *710:11 49.1707 -5 *710:11 *2478:la_input[7] 2.05183 -*END - -*D_NET *711 0.0209002 -*CONN -*I *2478:la_input[80] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[80] O *D mgmt_protect -*CAP -1 *2478:la_input[80] 8.30061e-05 -2 *2472:la_data_in_mprj[80] 0.000977178 -3 *711:11 0.00241982 -4 *711:10 0.00233682 -5 *711:8 0.00138307 -6 *711:7 0.00236025 -7 *711:7 *2472:la_data_out_mprj[80] 0.000348619 -8 *711:7 *2472:la_iena_mprj[80] 0 -9 *711:7 *2472:la_oenb_mprj[79] 0 -10 *711:8 *713:8 0.00714893 -11 *711:8 *1353:10 0.00275944 -12 *711:11 *2472:la_data_out_mprj[91] 0 -13 *711:11 *2472:la_iena_mprj[90] 0 -14 *711:11 *2472:la_iena_mprj[91] 0 -15 *711:11 *1223:7 0 -16 *711:11 *1351:7 0 -17 *706:8 *711:8 0.000339355 -18 *707:8 *711:8 0.000743683 -*RES -1 *2472:la_data_in_mprj[80] *711:7 32.615 -2 *711:7 *711:8 94.4514 -3 *711:8 *711:10 4.5 -4 *711:10 *711:11 59.3444 -5 *711:11 *2478:la_input[80] 2.05183 -*END - -*D_NET *712 0.0282999 -*CONN -*I *2478:la_input[81] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[81] O *D mgmt_protect -*CAP -1 *2478:la_input[81] 1.28869e-05 -2 *2472:la_data_in_mprj[81] 0.000780061 -3 *712:11 0.00232342 -4 *712:10 0.00231054 -5 *712:8 0.00120178 -6 *712:7 0.00198184 -7 *712:7 *2472:la_data_out_mprj[81] 0 -8 *712:7 *2472:la_iena_mprj[80] 0 -9 *712:7 *2472:la_oenb_mprj[80] 0 -10 *712:8 *968:10 0.0014812 -11 *712:8 *1219:10 0.0019318 -12 *712:8 *1351:10 0.00027732 -13 *712:8 *1352:10 0.00938895 -14 *712:8 *1358:20 0.0046198 -15 *712:11 *2472:la_data_out_mprj[93] 0 -16 *712:11 *2472:la_iena_mprj[93] 0.00190271 -17 *712:11 *2472:la_oenb_mprj[93] 8.75713e-05 -18 *712:11 *1352:7 0 -*RES -1 *2472:la_data_in_mprj[81] *712:7 24.31 -2 *712:7 *712:8 108.316 -3 *712:8 *712:10 4.5 -4 *712:10 *712:11 67.6495 -5 *712:11 *2478:la_input[81] 0.366399 -*END - -*D_NET *713 0.0238791 -*CONN -*I *2478:la_input[82] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[82] O *D mgmt_protect -*CAP -1 *2478:la_input[82] 8.30061e-05 -2 *2472:la_data_in_mprj[82] 0.000957244 -3 *713:11 0.00250462 -4 *713:10 0.00242161 -5 *713:8 0.00235899 -6 *713:7 0.00331623 -7 *713:7 *2472:la_data_out_mprj[82] 0.000382795 -8 *713:7 *2472:la_iena_mprj[82] 0 -9 *713:7 *2472:la_oenb_mprj[81] 0 -10 *713:7 *1346:7 0.000108413 -11 *713:8 *722:8 3.32499e-05 -12 *713:8 *963:10 0.000396946 -13 *713:8 *964:10 0.000334651 -14 *713:8 *965:10 9.39152e-05 -15 *713:8 *1353:10 0.000909351 -16 *713:8 *1360:16 0 -17 *713:8 *1362:16 0.000143231 -18 *713:8 *1363:16 0.00038227 -19 *713:8 *1365:16 0.000683081 -20 *713:11 *2472:la_data_out_mprj[95] 0 -21 *713:11 *2472:la_data_out_mprj[96] 0 -22 *713:11 *2472:la_iena_mprj[95] 0 -23 *713:11 *2472:la_oenb_mprj[95] 5.82975e-05 -24 *713:11 *1225:7 0 -25 *713:11 *1353:7 0 -26 *707:8 *713:8 0.00156231 -27 *711:8 *713:8 0.00714893 -*RES -1 *2472:la_data_in_mprj[82] *713:7 32.1998 -2 *713:7 *713:8 118.299 -3 *713:8 *713:10 4.5 -4 *713:10 *713:11 59.7597 -5 *713:11 *2478:la_input[82] 2.05183 -*END - -*D_NET *714 0.0340351 -*CONN -*I *2478:la_input[83] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[83] O *D mgmt_protect -*CAP -1 *2478:la_input[83] 8.30061e-05 -2 *2472:la_data_in_mprj[83] 0.000634451 -3 *714:11 0.00250739 -4 *714:10 0.00242438 -5 *714:8 0.00140403 -6 *714:7 0.00203848 -7 *714:7 *2472:la_data_out_mprj[83] 0 -8 *714:7 *2472:la_oenb_mprj[82] 0.00135413 -9 *714:8 *715:8 0.0111726 -10 *714:8 *969:10 9.66259e-05 -11 *714:8 *1226:10 0.0111366 -12 *714:8 *1349:10 0.00014932 -13 *714:8 *1354:10 0.000194684 -14 *714:11 *2472:la_data_out_mprj[98] 0.000839472 -15 *714:11 *2472:la_iena_mprj[98] 0 -16 *714:11 *2472:la_oenb_mprj[97] 0 -17 *714:11 *1226:7 0 -18 *714:11 *1241:13 0 -19 *714:11 *1354:7 0 -*RES -1 *2472:la_data_in_mprj[83] *714:7 27.632 -2 *714:7 *714:8 129.946 -3 *714:8 *714:10 4.5 -4 *714:10 *714:11 64.3275 -5 *714:11 *2478:la_input[83] 2.05183 -*END - -*D_NET *715 0.0350352 -*CONN -*I *2478:la_input[84] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[84] O *D mgmt_protect -*CAP -1 *2478:la_input[84] 8.30061e-05 -2 *2472:la_data_in_mprj[84] 0.000801117 -3 *715:11 0.00257086 -4 *715:10 0.00248786 -5 *715:8 0.0016124 -6 *715:7 0.00241352 -7 *715:7 *2472:la_data_out_mprj[84] 0.00040604 -8 *715:7 *2472:la_iena_mprj[83] 0 -9 *715:7 *2472:la_oenb_mprj[83] 0 -10 *715:7 *1219:7 0 -11 *715:8 *731:8 0.000212979 -12 *715:8 *1227:10 0.0122492 -13 *715:8 *1349:10 9.27159e-05 -14 *715:8 *1354:10 0.000108598 -15 *715:8 *1357:16 0.00020979 -16 *715:8 *1358:16 1.40453e-05 -17 *715:11 *1118:13 0.000600483 -18 *715:11 *1227:7 0 -19 *714:8 *715:8 0.0111726 -*RES -1 *2472:la_data_in_mprj[84] *715:7 28.0472 -2 *715:7 *715:8 141.593 -3 *715:8 *715:10 4.5 -4 *715:10 *715:11 63.9122 -5 *715:11 *2478:la_input[84] 2.05183 -*END - -*D_NET *716 0.0298025 -*CONN -*I *2478:la_input[85] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[85] O *D mgmt_protect -*CAP -1 *2478:la_input[85] 0.00195309 -2 *2472:la_data_in_mprj[85] 0.00148598 -3 *716:10 0.00195309 -4 *716:8 0.00404831 -5 *716:7 0.00553429 -6 *2478:la_input[85] *2472:la_oenb_mprj[102] 0.00108477 -7 *2478:la_input[85] *1228:9 0 -8 *2478:la_input[85] *1356:9 0 -9 *716:7 *2472:la_data_out_mprj[85] 0 -10 *716:7 *2472:la_oenb_mprj[84] 0 -11 *716:7 *963:7 0.000372142 -12 *716:8 *717:8 0.0133709 -*RES -1 *2472:la_data_in_mprj[85] *716:7 44.2421 -2 *716:7 *716:8 153.239 -3 *716:8 *716:10 4.5 -4 *716:10 *2478:la_input[85] 49.7692 -*END - -*D_NET *717 0.0403345 -*CONN -*I *2478:la_input[86] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[86] O *D mgmt_protect -*CAP -1 *2478:la_input[86] 0.00209891 -2 *2472:la_data_in_mprj[86] 0.00115008 -3 *717:10 0.00209891 -4 *717:8 0.00181771 -5 *717:7 0.00296779 -6 *2478:la_input[86] *2472:la_iena_mprj[105] 8.8538e-05 -7 *2478:la_input[86] *1357:9 0 -8 *2478:la_input[86] *1360:15 0 -9 *2478:la_input[86] *1362:15 0 -10 *2478:la_input[86] *1363:15 0 -11 *717:7 *2472:la_data_out_mprj[86] 0.000721622 -12 *717:7 *2472:la_oenb_mprj[85] 0 -13 *717:7 *1220:7 0.000402864 -14 *717:8 *718:8 0.000203471 -15 *717:8 *719:8 0.0138811 -16 *717:8 *1121:16 0.00153273 -17 *716:8 *717:8 0.0133709 -*RES -1 *2472:la_data_in_mprj[86] *717:7 44.6573 -2 *717:7 *717:8 166.55 -3 *717:8 *717:10 4.5 -4 *717:10 *2478:la_input[86] 47.6685 -*END - -*D_NET *718 0.0324007 -*CONN -*I *2478:la_input[87] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[87] O *D mgmt_protect -*CAP -1 *2478:la_input[87] 0.0020995 -2 *2472:la_data_in_mprj[87] 0.0014497 -3 *718:10 0.0020995 -4 *718:8 0.00446766 -5 *718:7 0.00591736 -6 *2478:la_input[87] *2472:la_iena_mprj[107] 0.000253826 -7 *2478:la_input[87] *1230:9 0 -8 *2478:la_input[87] *1358:9 0 -9 *2478:la_input[87] *1365:15 0 -10 *718:7 *2472:la_data_out_mprj[87] 0 -11 *718:7 *2472:la_oenb_mprj[86] 0 -12 *718:7 *1348:7 0.000627745 -13 *718:8 *719:8 0.000332046 -14 *718:8 *720:8 0.0148556 -15 *718:8 *1123:14 9.43344e-05 -16 *614:5 *2478:la_input[87] 0 -17 *717:8 *718:8 0.000203471 -*RES -1 *2472:la_data_in_mprj[87] *718:7 45.9031 -2 *718:7 *718:8 177.087 -3 *718:8 *718:10 4.5 -4 *718:10 *2478:la_input[87] 47.5464 -*END - -*D_NET *719 0.0449176 -*CONN -*I *2478:la_input[88] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[88] O *D mgmt_protect -*CAP -1 *2478:la_input[88] 0.00205136 -2 *2472:la_data_in_mprj[88] 0.00118275 -3 *719:10 0.00205136 -4 *719:8 0.0018734 -5 *719:7 0.00305615 -6 *2478:la_input[88] *2472:la_iena_mprj[109] 0 -7 *2478:la_input[88] *1231:9 0 -8 *2478:la_input[88] *1359:9 0 -9 *719:7 *2472:la_data_out_mprj[88] 0.000348489 -10 *719:7 *2472:la_iena_mprj[87] 0 -11 *719:7 *2472:la_oenb_mprj[87] 0 -12 *719:7 *964:7 0 -13 *719:7 *1221:7 0.00131893 -14 *719:8 *720:8 0.0167182 -15 *719:8 *1121:16 0.00155451 -16 *617:5 *2478:la_input[88] 0.000549425 -17 *717:8 *719:8 0.0138811 -18 *718:8 *719:8 0.000332046 -*RES -1 *2472:la_data_in_mprj[88] *719:7 45.0726 -2 *719:7 *719:8 188.179 -3 *719:8 *719:10 4.5 -4 *719:10 *2478:la_input[88] 48.9387 -*END - -*D_NET *720 0.0471918 -*CONN -*I *2478:la_input[89] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[89] O *D mgmt_protect -*CAP -1 *2478:la_input[89] 0.00224055 -2 *2472:la_data_in_mprj[89] 0.00165116 -3 *720:10 0.00224055 -4 *720:8 0.00203949 -5 *720:7 0.00369064 -6 *2478:la_input[89] *1232:9 0 -7 *2478:la_input[89] *1360:9 0 -8 *720:7 *2472:la_iena_mprj[88] 0 -9 *720:7 *2472:la_oenb_mprj[88] 0 -10 *720:7 *976:13 0 -11 *720:7 *1349:7 0 -12 *720:8 *870:16 0.00327618 -13 *720:8 *1121:16 0.000479461 -14 *720:8 *1123:14 0 -15 *619:5 *2478:la_input[89] 0 -16 *709:11 *720:7 0 -17 *718:8 *720:8 0.0148556 -18 *719:8 *720:8 0.0167182 -*RES -1 *2472:la_data_in_mprj[89] *720:7 45.4878 -2 *720:7 *720:8 200.381 -3 *720:8 *720:10 4.5 -4 *720:10 *2478:la_input[89] 48.5234 -*END - -*D_NET *721 0.190228 -*CONN -*I *2478:la_input[8] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[8] O *D mgmt_protect -*CAP -1 *2478:la_input[8] 8.29983e-05 -2 *2472:la_data_in_mprj[8] 0.00171813 -3 *721:11 0.00211791 -4 *721:10 0.00203491 -5 *721:8 0.00700723 -6 *721:7 0.00872536 -7 *721:7 *2472:la_data_out_mprj[8] 0.000387405 -8 *721:7 *2472:la_iena_mprj[8] 0 -9 *721:7 *2472:la_oenb_mprj[7] 0 -10 *721:7 *927:7 0 -11 *721:7 *1308:21 0 -12 *721:8 *732:8 0.0785088 -13 *721:8 *897:10 0 -14 *721:11 *1233:9 0 -15 *721:11 *1361:9 0 -16 *641:8 *721:8 0.00548963 -17 *642:8 *721:8 0.00439521 -18 *710:8 *721:8 0.0797605 -*RES -1 *2472:la_data_in_mprj[8] *721:7 40.827 -2 *721:7 *721:8 102.547 -3 *721:8 *721:10 3.36879 -4 *721:10 *721:11 50.0013 -5 *721:11 *2478:la_input[8] 2.05183 -*END - -*D_NET *722 0.0459761 -*CONN -*I *2478:la_input[90] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[90] O *D mgmt_protect -*CAP -1 *2478:la_input[90] 1.28869e-05 -2 *2472:la_data_in_mprj[90] 0.00086679 -3 *722:11 0.00278449 -4 *722:10 0.00277161 -5 *722:8 0.00282523 -6 *722:7 0.00369202 -7 *722:7 *2472:la_data_out_mprj[90] 0.000446095 -8 *722:7 *2472:la_iena_mprj[89] 0 -9 *722:7 *2472:la_oenb_mprj[89] 0 -10 *722:7 *965:7 1.77537e-06 -11 *722:8 *723:8 0.000402073 -12 *722:8 *864:16 0 -13 *722:8 *868:24 0.00695434 -14 *722:8 *1257:16 0.000820031 -15 *722:8 *1360:16 0.0120208 -16 *722:8 *1362:16 0.0119275 -17 *722:8 *1363:16 1.65872e-05 -18 *722:8 *1365:16 0.000316073 -19 *722:8 *1369:16 8.46377e-05 -20 *722:11 *2472:la_data_out_mprj[114] 0 -21 *722:11 *2472:la_data_out_mprj[115] 0 -22 *722:11 *2472:la_iena_mprj[114] 0 -23 *722:11 *1362:9 0 -24 *713:8 *722:8 3.32499e-05 -*RES -1 *2472:la_data_in_mprj[90] *722:7 30.1235 -2 *722:7 *722:8 213.691 -3 *722:8 *722:10 4.5 -4 *722:10 *722:11 61.8359 -5 *722:11 *2478:la_input[90] 0.366399 -*END - -*D_NET *723 0.0509852 -*CONN -*I *2478:la_input[91] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[91] O *D mgmt_protect -*CAP -1 *2478:la_input[91] 8.30061e-05 -2 *2472:la_data_in_mprj[91] 0.00101093 -3 *723:11 0.00291274 -4 *723:10 0.00282974 -5 *723:8 0.00223164 -6 *723:7 0.00324257 -7 *723:7 *2472:la_data_out_mprj[91] 0 -8 *723:7 *2472:la_oenb_mprj[90] 0 -9 *723:8 *868:24 0.00866402 -10 *723:8 *1119:10 0 -11 *723:8 *1120:16 0.000328922 -12 *723:8 *1250:10 5.65165e-05 -13 *723:8 *1252:10 0.0082498 -14 *723:8 *1357:16 0.00910478 -15 *723:8 *1360:16 0.0112542 -16 *723:11 *2472:la_data_out_mprj[117] 0 -17 *723:11 *2472:la_iena_mprj[116] 0 -18 *723:11 *2472:la_oenb_mprj[116] 0 -19 *723:11 *1235:9 0 -20 *723:11 *1363:9 0 -21 *611:8 *723:8 0.000614281 -22 *722:8 *723:8 0.000402073 -*RES -1 *2472:la_data_in_mprj[91] *723:7 29.293 -2 *723:7 *723:8 223.674 -3 *723:8 *723:10 4.5 -4 *723:10 *723:11 62.6664 -5 *723:11 *2478:la_input[91] 2.05183 -*END - -*D_NET *724 0.0471403 -*CONN -*I *2478:la_input[92] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[92] O *D mgmt_protect -*CAP -1 *2478:la_input[92] 8.30061e-05 -2 *2472:la_data_in_mprj[92] 0.000559547 -3 *724:11 0.00290733 -4 *724:10 0.00282432 -5 *724:8 0.00369504 -6 *724:7 0.00425459 -7 *724:7 *2472:la_data_out_mprj[92] 0.000358915 -8 *724:7 *2472:la_oenb_mprj[91] 0 -9 *724:8 *728:8 0.000266298 -10 *724:8 *730:8 0.000426855 -11 *724:8 *862:16 0.000366352 -12 *724:8 *863:16 0.0145105 -13 *724:8 *967:10 0.000213725 -14 *724:8 *1224:10 9.44554e-05 -15 *724:8 *1352:10 0.000658933 -16 *724:8 *1358:16 0 -17 *724:8 *1358:20 0.000264175 -18 *724:8 *1359:16 0.00038789 -19 *724:11 *2472:la_data_out_mprj[119] 0.000975783 -20 *724:11 *2472:la_iena_mprj[119] 0.000259105 -21 *724:11 *1236:9 0 -22 *724:11 *1364:9 0 -23 *607:8 *724:8 0.000143231 -24 *608:8 *724:8 0.0138903 -*RES -1 *2472:la_data_in_mprj[92] *724:7 23.0642 -2 *724:7 *724:8 234.766 -3 *724:8 *724:10 4.5 -4 *724:10 *724:11 68.8952 -5 *724:11 *2478:la_input[92] 2.05183 -*END - -*D_NET *725 0.0560656 -*CONN -*I *2478:la_input[93] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[93] O *D mgmt_protect -*CAP -1 *2478:la_input[93] 0.00156814 -2 *2472:la_data_in_mprj[93] 0.00178015 -3 *725:8 0.00389463 -4 *725:7 0.00232648 -5 *725:5 0.00178015 -6 *2478:la_input[93] *1237:9 0 -7 *2478:la_input[93] *1251:15 0.000710928 -8 *2478:la_input[93] *1365:9 0 -9 *725:5 *2472:la_data_out_mprj[93] 0 -10 *725:5 *2472:la_oenb_mprj[92] 0 -11 *725:5 *1224:7 0.000594313 -12 *725:8 *726:8 0.000156579 -13 *725:8 *980:10 2.68003e-05 -14 *725:8 *1236:10 0.0208913 -15 *725:8 *1237:10 9.66124e-05 -16 *725:8 *1238:10 0.0220259 -17 *725:8 *1364:10 0.00021369 -*RES -1 *2472:la_data_in_mprj[93] *725:5 50.9539 -2 *725:5 *725:7 4.5 -3 *725:7 *725:8 246.967 -4 *725:8 *2478:la_input[93] 43.0574 -*END - -*D_NET *726 0.0598289 -*CONN -*I *2478:la_input[94] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[94] O *D mgmt_protect -*CAP -1 *2478:la_input[94] 0.00171474 -2 *2472:la_data_in_mprj[94] 0.00122134 -3 *726:8 0.00385789 -4 *726:7 0.00214315 -5 *726:5 0.00122134 -6 *2478:la_input[94] *1121:15 0 -7 *2478:la_input[94] *1238:9 0 -8 *2478:la_input[94] *1366:9 0 -9 *726:5 *2472:la_data_out_mprj[94] 0.000953098 -10 *726:5 *2472:la_oenb_mprj[93] 0 -11 *726:5 *1352:7 0.00190121 -12 *726:8 *985:12 0.000257169 -13 *726:8 *1237:10 0.0219239 -14 *726:8 *1238:10 0.0234447 -15 *726:8 *1239:10 0.00103384 -16 *725:8 *726:8 0.000156579 -*RES -1 *2472:la_data_in_mprj[94] *726:5 51.7844 -2 *726:5 *726:7 4.5 -3 *726:7 *726:8 258.059 -4 *726:8 *2478:la_input[94] 42.2269 -*END - -*D_NET *727 0.0628924 -*CONN -*I *2478:la_input[95] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[95] O *D mgmt_protect -*CAP -1 *2478:la_input[95] 0.00156702 -2 *2472:la_data_in_mprj[95] 0.00199519 -3 *727:8 0.00380342 -4 *727:7 0.0022364 -5 *727:5 0.00199519 -6 *2478:la_input[95] *1239:9 0 -7 *2478:la_input[95] *1367:9 0 -8 *727:5 *2472:la_data_out_mprj[95] 0 -9 *727:5 *2472:la_oenb_mprj[94] 0 -10 *727:5 *968:7 0 -11 *727:5 *1225:7 0.000592335 -12 *727:8 *970:10 0 -13 *727:8 *982:10 0.0244833 -14 *727:8 *983:16 5.07452e-05 -15 *727:8 *984:10 0.0244962 -16 *727:8 *985:12 0.00123647 -17 *727:8 *1121:10 0.000436184 -18 *634:5 *2478:la_input[95] 0 -*RES -1 *2472:la_data_in_mprj[95] *727:5 54.6912 -2 *727:5 *727:7 4.5 -3 *727:7 *727:8 270.815 -4 *727:8 *2478:la_input[95] 38.7583 -*END - -*D_NET *728 0.0645816 -*CONN -*I *2478:la_input[96] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[96] O *D mgmt_protect -*CAP -1 *2478:la_input[96] 8.30061e-05 -2 *2472:la_data_in_mprj[96] 0.00032194 -3 *728:11 0.00275642 -4 *728:10 0.00267341 -5 *728:8 0.0027005 -6 *728:7 0.00302244 -7 *728:7 *2472:la_data_out_mprj[96] 0.000399232 -8 *728:7 *2472:la_oenb_mprj[95] 0.00122938 -9 *728:8 *729:8 0.00105459 -10 *728:8 *730:8 0.0247319 -11 *728:8 *1358:16 0.000193373 -12 *728:11 *2472:mprj_we_o_core 0.00201616 -13 *728:11 *730:11 0 -14 *728:11 *1240:9 0 -15 *728:11 *1368:9 0 -16 *606:8 *728:8 0.0231329 -17 *724:8 *728:8 0.000266298 -*RES -1 *2472:la_data_in_mprj[96] *728:7 24.7252 -2 *728:7 *728:8 281.907 -3 *728:8 *728:10 4.5 -4 *728:10 *728:11 67.2342 -5 *728:11 *2478:la_input[96] 2.05183 -*END - -*D_NET *729 0.0665094 -*CONN -*I *2478:la_input[97] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[97] O *D mgmt_protect -*CAP -1 *2478:la_input[97] 8.30061e-05 -2 *2472:la_data_in_mprj[97] 0.000797537 -3 *729:11 0.00297989 -4 *729:10 0.00289689 -5 *729:8 0.00261968 -6 *729:7 0.00341722 -7 *729:7 *2472:la_data_out_mprj[97] 0 -8 *729:7 *2472:la_oenb_mprj[96] 0 -9 *729:8 *731:8 0.000450369 -10 *729:8 *865:16 0.0223765 -11 *729:8 *1358:16 0.00401097 -12 *729:11 *2472:mprj_adr_o_core[2] 0.000838174 -13 *729:11 *2472:mprj_dat_o_core[2] 0 -14 *729:11 *1241:9 0 -15 *729:11 *1369:9 0 -16 *606:8 *729:8 0.0249845 -17 *728:8 *729:8 0.00105459 -*RES -1 *2472:la_data_in_mprj[97] *729:7 25.5557 -2 *729:7 *729:8 293.554 -3 *729:8 *729:10 4.5 -4 *729:10 *729:11 66.4037 -5 *729:11 *2478:la_input[97] 2.05183 -*END - -*D_NET *730 0.0671442 -*CONN -*I *2478:la_input[98] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[98] O *D mgmt_protect -*CAP -1 *2478:la_input[98] 0.00105277 -2 *2472:la_data_in_mprj[98] 0.000583497 -3 *730:16 0.00159817 -4 *730:11 0.00234763 -5 *730:8 0.0042783 -6 *730:7 0.00305957 -7 *2478:la_input[98] *1242:9 0 -8 *730:7 *2472:la_data_out_mprj[98] 0.000390306 -9 *730:7 *2472:la_oenb_mprj[97] 0 -10 *730:8 *862:16 0.0219013 -11 *730:8 *863:16 0.000307881 -12 *730:8 *2191:16 0.000802118 -13 *730:11 *2472:mprj_dat_o_core[0] 0.000448313 -14 *730:11 *984:9 0 -15 *730:11 *1368:9 0.00046086 -16 *730:16 *1251:10 0.00331486 -17 *606:8 *730:8 0.000233938 -18 *608:8 *730:8 1.5006e-05 -19 *609:13 *730:11 0.000592538 -20 *613:8 *730:16 0.000598459 -21 *724:8 *730:8 0.000426855 -22 *728:8 *730:8 0.0247319 -23 *728:11 *730:11 0 -*RES -1 *2472:la_data_in_mprj[98] *730:7 24.31 -2 *730:7 *730:8 270.261 -3 *730:8 *730:11 49.9335 -4 *730:11 *730:16 44.1088 -5 *730:16 *2478:la_input[98] 24.4388 -*END - -*D_NET *731 0.0719394 -*CONN -*I *2478:la_input[99] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[99] O *D mgmt_protect -*CAP -1 *2478:la_input[99] 8.30061e-05 -2 *2472:la_data_in_mprj[99] 0.000847231 -3 *731:11 0.00270584 -4 *731:10 0.00262284 -5 *731:8 0.00300456 -6 *731:7 0.00385179 -7 *731:7 *2472:la_data_out_mprj[99] 0 -8 *731:7 *2472:la_oenb_mprj[98] 0 -9 *731:8 *865:16 0.0261415 -10 *731:8 *1119:10 0.027539 -11 *731:8 *1357:16 0 -12 *731:8 *1358:16 0.00240557 -13 *731:11 *2472:mprj_adr_o_core[8] 0.000418286 -14 *731:11 *2472:mprj_dat_o_core[7] 0.00165642 -15 *731:11 *1243:9 0 -16 *731:11 *1371:9 0 -17 *731:11 *1678:5 0 -18 *715:8 *731:8 0.000212979 -19 *729:8 *731:8 0.000450369 -*RES -1 *2472:la_data_in_mprj[99] *731:7 26.3862 -2 *731:7 *731:8 316.847 -3 *731:8 *731:10 4.5 -4 *731:10 *731:11 65.5732 -5 *731:11 *2478:la_input[99] 2.05183 -*END - -*D_NET *732 0.179978 -*CONN -*I *2478:la_input[9] I *D mgmt_core_wrapper -*I *2472:la_data_in_mprj[9] O *D mgmt_protect -*CAP -1 *2478:la_input[9] 8.29983e-05 -2 *2472:la_data_in_mprj[9] 0.00181013 -3 *732:11 0.00217229 -4 *732:10 0.00208929 -5 *732:8 0.0081031 -6 *732:7 0.00991323 -7 *732:7 *2472:la_data_out_mprj[9] 0 -8 *732:7 *2472:la_oenb_mprj[8] 0 -9 *732:8 *893:10 0.000852124 -10 *732:8 *894:10 0.067458 -11 *732:8 *897:10 0 -12 *732:8 *899:10 0.00420694 -13 *732:11 *1372:7 0 -14 *646:8 *732:8 0.00478076 -15 *721:8 *732:8 0.0785088 -*RES -1 *2472:la_data_in_mprj[9] *732:7 39.9965 -2 *732:7 *732:8 100.864 -3 *732:8 *732:10 3.36879 -4 *732:10 *732:11 50.8318 -5 *732:11 *2478:la_input[9] 2.05183 -*END - -*D_NET *733 0.0624824 -*CONN -*I *2473:la_data_in[0] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[0] O *D mgmt_protect -*CAP -1 *2473:la_data_in[0] 0.00215197 -2 *2472:la_data_in_core[0] 0.00107082 -3 *733:20 0.00282776 -4 *733:14 0.00243108 -5 *733:13 0.00296639 -6 *733:7 0.00228191 -7 *2473:la_data_in[0] *1645:9 0 -8 *733:7 *2472:la_data_out_core[0] 0 -9 *733:7 *794:11 0 -10 *733:7 *1373:7 0 -11 *733:7 *1769:7 0 -12 *733:13 *1373:8 0.00284978 -13 *733:13 *1633:7 0 -14 *733:13 *1766:8 0 -15 *733:14 *783:8 0.000318072 -16 *733:14 *1039:12 0.0198614 -17 *733:14 *1691:8 7.02172e-06 -18 *733:14 *1769:8 0.0206364 -19 *733:20 *1616:8 0.00012309 -20 *733:20 *1633:14 0.000877072 -21 *733:20 *1691:8 0.00398786 -22 *733:20 *1769:8 9.17756e-05 -*RES -1 *2472:la_data_in_core[0] *733:7 33.4455 -2 *733:7 *733:13 49.6697 -3 *733:13 *733:14 219.792 -4 *733:14 *733:20 48.2172 -5 *733:20 *2473:la_data_in[0] 45.9709 -*END - -*D_NET *734 0.223832 -*CONN -*I *2473:la_data_in[100] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[100] O *D mgmt_protect -*CAP -1 *2473:la_data_in[100] 0.0028871 -2 *2472:la_data_in_core[100] 0.000878096 -3 *734:10 0.0028871 -4 *734:8 0.00710371 -5 *734:7 0.00798181 -6 *734:7 *2472:la_data_out_core[100] 0 -7 *734:7 *1374:7 0 -8 *734:7 *1499:7 0 -9 *734:8 *858:8 0.0950108 -10 *734:8 *990:8 0.0988812 -11 *734:8 *1001:8 0.00328194 -12 *734:8 *1009:14 0.00472134 -13 *734:8 *1017:14 0.000198862 -*RES -1 *2472:la_data_in_core[100] *734:7 24.31 -2 *734:7 *734:8 1040.61 -3 *734:8 *734:10 4.5 -4 *734:10 *2473:la_data_in[100] 64.242 -*END - -*D_NET *735 0.228864 -*CONN -*I *2473:la_data_in[101] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[101] O *D mgmt_protect -*CAP -1 *2473:la_data_in[101] 0.00290817 -2 *2472:la_data_in_core[101] 0.000848886 -3 *735:10 0.00290817 -4 *735:8 0.00684187 -5 *735:7 0.00769076 -6 *735:7 *991:11 0 -7 *735:7 *1374:7 0 -8 *735:8 *736:8 8.90486e-05 -9 *735:8 *990:8 0.0990534 -10 *735:8 *992:8 0.099385 -11 *735:8 *1001:8 0.00344709 -12 *735:8 *1017:14 0.00569167 -*RES -1 *2472:la_data_in_core[101] *735:7 23.4795 -2 *735:7 *735:8 1053.92 -3 *735:8 *735:10 4.5 -4 *735:10 *2473:la_data_in[101] 65.0725 -*END - -*D_NET *736 0.234415 -*CONN -*I *2473:la_data_in[102] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[102] O *D mgmt_protect -*CAP -1 *2473:la_data_in[102] 0.00292922 -2 *2472:la_data_in_core[102] 0.000782501 -3 *736:10 0.00292922 -4 *736:8 0.00667624 -5 *736:7 0.00745874 -6 *736:7 *2472:la_data_out_core[102] 0 -7 *736:7 *2473:la_data_in[52] 8.07629e-05 -8 *736:7 *1375:5 0 -9 *736:8 *737:8 0.100932 -10 *736:8 *809:8 0 -11 *736:8 *992:8 0.101458 -12 *736:8 *1001:8 0.0110792 -13 *735:8 *736:8 8.90486e-05 -*RES -1 *2472:la_data_in_core[102] *736:7 22.649 -2 *736:7 *736:8 1067.23 -3 *736:8 *736:10 4.5 -4 *736:10 *2473:la_data_in[102] 65.903 -*END - -*D_NET *737 0.237148 -*CONN -*I *2473:la_data_in[103] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[103] O *D mgmt_protect -*CAP -1 *2473:la_data_in[103] 0.00293211 -2 *2472:la_data_in_core[103] 0.00079824 -3 *737:10 0.00293211 -4 *737:8 0.0069626 -5 *737:7 0.00776083 -6 *737:7 *993:11 0 -7 *737:7 *1376:7 0 -8 *737:8 *738:8 0.102166 -9 *737:8 *809:8 0 -10 *737:8 *992:8 0.000313928 -11 *737:8 *1001:8 0.0123504 -12 *736:8 *737:8 0.100932 -*RES -1 *2472:la_data_in_core[103] *737:7 22.2337 -2 *737:7 *737:8 1080.54 -3 *737:8 *737:10 4.5 -4 *737:10 *2473:la_data_in[103] 66.3182 -*END - -*D_NET *738 0.239322 -*CONN -*I *2473:la_data_in[104] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[104] O *D mgmt_protect -*CAP -1 *2473:la_data_in[104] 0.00293621 -2 *2472:la_data_in_core[104] 0.000783634 -3 *738:10 0.00293621 -4 *738:8 0.00703082 -5 *738:7 0.00781446 -6 *738:7 *2472:la_data_out_core[104] 0 -7 *738:7 *2473:la_data_in[53] 0 -8 *738:7 *1377:5 0 -9 *738:8 *809:8 0 -10 *738:8 *994:8 0.104018 -11 *738:8 *1003:8 0.0116368 -12 *737:8 *738:8 0.102166 -*RES -1 *2472:la_data_in_core[104] *738:7 21.8185 -2 *738:7 *738:8 1093.85 -3 *738:8 *738:10 4.5 -4 *738:10 *2473:la_data_in[104] 66.7335 -*END - -*D_NET *739 0.242278 -*CONN -*I *2473:la_data_in[105] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[105] O *D mgmt_protect -*CAP -1 *2473:la_data_in[105] 0.00296625 -2 *2472:la_data_in_core[105] 0.000747593 -3 *739:10 0.00296625 -4 *739:8 0.00708629 -5 *739:7 0.00783389 -6 *739:7 *995:11 0 -7 *739:7 *1378:7 0 -8 *739:8 *740:8 0.000113197 -9 *739:8 *748:8 0.011912 -10 *739:8 *810:8 0 -11 *739:8 *994:8 0.10419 -12 *739:8 *996:8 0.104462 -*RES -1 *2472:la_data_in_core[105] *739:7 20.988 -2 *739:7 *739:8 1107.16 -3 *739:8 *739:10 4.5 -4 *739:10 *2473:la_data_in[105] 67.564 -*END - -*D_NET *740 0.246191 -*CONN -*I *2473:la_data_in[106] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[106] O *D mgmt_protect -*CAP -1 *2473:la_data_in[106] 0.00299284 -2 *2472:la_data_in_core[106] 0.000718383 -3 *740:10 0.00299284 -4 *740:8 0.00691279 -5 *740:7 0.00763117 -6 *740:7 *2472:la_data_out_core[106] 0 -7 *740:7 *1379:5 0 -8 *740:8 *741:8 0.106062 -9 *740:8 *749:8 0.0121944 -10 *740:8 *810:8 4.41346e-05 -11 *740:8 *996:8 0.106529 -12 *739:8 *740:8 0.000113197 -*RES -1 *2472:la_data_in_core[106] *740:7 20.1574 -2 *740:7 *740:8 1120.47 -3 *740:8 *740:10 4.5 -4 *740:10 *2473:la_data_in[106] 68.3945 -*END - -*D_NET *741 0.249044 -*CONN -*I *2473:la_data_in[107] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[107] O *D mgmt_protect -*CAP -1 *2473:la_data_in[107] 0.00299351 -2 *2472:la_data_in_core[107] 0.000686986 -3 *741:10 0.00299351 -4 *741:8 0.00721645 -5 *741:7 0.00790344 -6 *741:7 *2472:la_data_out_core[107] 0 -7 *741:7 *2473:la_data_in[54] 4.90673e-05 -8 *741:7 *1380:7 0 -9 *741:8 *742:8 0.000113197 -10 *741:8 *749:8 0.0135713 -11 *741:8 *810:8 1.53125e-05 -12 *741:8 *811:8 4.08456e-05 -13 *741:8 *996:8 0.000313928 -14 *741:8 *998:8 0.107084 -15 *740:8 *741:8 0.106062 -*RES -1 *2472:la_data_in_core[107] *741:7 19.7422 -2 *741:7 *741:8 1134.33 -3 *741:8 *741:10 4.5 -4 *741:10 *2473:la_data_in[107] 68.8097 -*END - -*D_NET *742 0.25326 -*CONN -*I *2473:la_data_in[108] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[108] O *D mgmt_protect -*CAP -1 *2473:la_data_in[108] 0.00302492 -2 *2472:la_data_in_core[108] 0.000667736 -3 *742:10 0.00302492 -4 *742:8 0.00699474 -5 *742:7 0.00766248 -6 *742:7 *2472:la_data_out_core[108] 0 -7 *742:7 *1381:9 0 -8 *742:8 *743:8 0.108624 -9 *742:8 *750:8 0.0138706 -10 *742:8 *811:8 0.000179244 -11 *742:8 *998:8 0.109098 -12 *741:8 *742:8 0.000113197 -*RES -1 *2472:la_data_in_core[108] *742:7 18.9117 -2 *742:7 *742:8 1147.09 -3 *742:8 *742:10 4.5 -4 *742:10 *2473:la_data_in[108] 69.6402 -*END - -*D_NET *743 0.253872 -*CONN -*I *2473:la_data_in[109] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[109] O *D mgmt_protect -*CAP -1 *2473:la_data_in[109] 0.00302909 -2 *2472:la_data_in_core[109] 0.000653131 -3 *743:10 0.00302909 -4 *743:8 0.0072672 -5 *743:7 0.00792033 -6 *743:7 *2472:la_data_out_core[109] 0 -7 *743:7 *1382:7 0 -8 *743:8 *745:8 0.109912 -9 *743:8 *751:8 0.0128389 -10 *743:8 *811:8 0.00025559 -11 *743:8 *813:8 2.90905e-05 -12 *743:8 *998:8 0.000313928 -13 *742:8 *743:8 0.108624 -*RES -1 *2472:la_data_in_core[109] *743:7 18.4964 -2 *743:7 *743:8 1160.95 -3 *743:8 *743:10 4.5 -4 *743:10 *2473:la_data_in[109] 70.0555 -*END - -*D_NET *744 0.0370457 -*CONN -*I *2473:la_data_in[10] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[10] O *D mgmt_protect -*CAP -1 *2473:la_data_in[10] 0.00137063 -2 *2472:la_data_in_core[10] 0.00176041 -3 *744:8 0.00253779 -4 *744:7 0.00116715 -5 *744:5 0.00176041 -6 *744:5 *2472:la_data_out_core[10] 0 -7 *744:5 *1025:7 7.66121e-05 -8 *744:5 *1500:7 0 -9 *744:8 *755:8 0.0130715 -10 *744:8 *766:8 0.00419436 -11 *744:8 *766:14 9.42669e-05 -12 *744:8 *805:8 0.0105674 -13 *744:8 *1000:8 0.000131218 -14 *744:8 *1384:8 0.000313928 -*RES -1 *2472:la_data_in_core[10] *744:5 48.0471 -2 *744:5 *744:7 4.5 -3 *744:7 *744:8 158.785 -4 *744:8 *2473:la_data_in[10] 40.5048 -*END - -*D_NET *745 0.257862 -*CONN -*I *2473:la_data_in[110] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[110] O *D mgmt_protect -*CAP -1 *2473:la_data_in[110] 0.00303321 -2 *2472:la_data_in_core[110] 0.000549181 -3 *745:10 0.00303321 -4 *745:8 0.00742256 -5 *745:7 0.00797174 -6 *745:7 *2472:la_data_out_core[110] 0 -7 *745:7 *1383:9 0.000195317 -8 *745:8 *746:8 0.111199 -9 *745:8 *751:8 0.0143669 -10 *745:8 *813:8 0.000179244 -11 *743:8 *745:8 0.109912 -*RES -1 *2472:la_data_in_core[110] *745:7 18.0812 -2 *745:7 *745:8 1173.71 -3 *745:8 *745:10 4.5 -4 *745:10 *2473:la_data_in[110] 70.4707 -*END - -*D_NET *746 0.259735 -*CONN -*I *2473:la_data_in[111] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[111] O *D mgmt_protect -*CAP -1 *2473:la_data_in[111] 0.00303511 -2 *2472:la_data_in_core[111] 0.00061711 -3 *746:10 0.00303511 -4 *746:8 0.00745727 -5 *746:7 0.00807438 -6 *746:7 *2472:la_data_out_core[111] 0 -7 *746:7 *1385:7 0 -8 *746:8 *747:8 0.112487 -9 *746:8 *813:8 0.000339591 -10 *469:25 *746:8 0.0134906 -11 *745:8 *746:8 0.111199 -*RES -1 *2472:la_data_in_core[111] *746:7 17.6659 -2 *746:7 *746:8 1187.58 -3 *746:8 *746:10 4.5 -4 *746:10 *2473:la_data_in[111] 70.886 -*END - -*D_NET *747 0.264261 -*CONN -*I *2473:la_data_in[112] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[112] O *D mgmt_protect -*CAP -1 *2473:la_data_in[112] 0.00303927 -2 *2472:la_data_in_core[112] 0.000500804 -3 *747:10 0.00303927 -4 *747:8 0.00747774 -5 *747:7 0.00797854 -6 *747:7 *2472:la_data_out_core[112] 0 -7 *747:7 *1386:9 0.000285554 -8 *747:8 *813:8 0.00171517 -9 *747:8 *854:8 1.41689e-05 -10 *747:8 *1005:8 0.112751 -11 *469:25 *747:8 0.0149734 -12 *746:8 *747:8 0.112487 -*RES -1 *2472:la_data_in_core[112] *747:7 17.2507 -2 *747:7 *747:8 1200.33 -3 *747:8 *747:10 4.5 -4 *747:10 *2473:la_data_in[112] 71.3012 -*END - -*D_NET *748 0.312892 -*CONN -*I *2473:la_data_in[113] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[113] O *D mgmt_protect -*CAP -1 *2473:la_data_in[113] 0.00287298 -2 *2472:la_data_in_core[113] 0.000763705 -3 *748:10 0.00287298 -4 *748:8 0.00903581 -5 *748:7 0.00979951 -6 *748:7 *1004:17 0 -7 *748:7 *1387:5 0 -8 *748:8 *749:8 0.131454 -9 *748:8 *996:8 0.0132641 -10 *748:8 *1003:8 0.13076 -11 *469:25 *748:8 0.000157133 -12 *739:8 *748:8 0.011912 -*RES -1 *2472:la_data_in_core[113] *748:7 19.2339 -2 *748:7 *748:8 167.487 -3 *748:8 *748:10 3.36879 -4 *748:10 *2473:la_data_in[113] 68.1869 -*END - -*D_NET *749 0.315466 -*CONN -*I *2473:la_data_in[114] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[114] O *D mgmt_protect -*CAP -1 *2473:la_data_in[114] 0.00288361 -2 *2472:la_data_in_core[114] 0.000734985 -3 *749:10 0.00288361 -4 *749:8 0.0089419 -5 *749:7 0.00967689 -6 *749:7 *2472:la_data_out_core[114] 0 -7 *749:7 *813:11 0 -8 *749:7 *1388:7 0 -9 *749:8 *750:8 0.132935 -10 *469:25 *749:8 0.000190972 -11 *740:8 *749:8 0.0121944 -12 *741:8 *749:8 0.0135713 -13 *748:8 *749:8 0.131454 -*RES -1 *2472:la_data_in_core[114] *749:7 18.4034 -2 *749:7 *749:8 169.246 -3 *749:8 *749:10 3.36879 -4 *749:10 *2473:la_data_in[114] 69.0174 -*END - -*D_NET *750 0.319349 -*CONN -*I *2473:la_data_in[115] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[115] O *D mgmt_protect -*CAP -1 *2473:la_data_in[115] 0.00290601 -2 *2472:la_data_in_core[115] 0.00067796 -3 *750:10 0.00290601 -4 *750:8 0.00892436 -5 *750:7 0.00960232 -6 *750:7 *2472:la_data_out_core[115] 0 -7 *750:7 *1389:7 0 -8 *750:8 *751:8 0.13441 -9 *750:8 *998:8 0.012752 -10 *469:25 *750:8 0.000364076 -11 *742:8 *750:8 0.0138706 -12 *749:8 *750:8 0.132935 -*RES -1 *2472:la_data_in_core[115] *750:7 17.5729 -2 *750:7 *750:8 171.158 -3 *750:8 *750:10 3.36879 -4 *750:10 *2473:la_data_in[115] 69.8479 -*END - -*D_NET *751 0.323113 -*CONN -*I *2473:la_data_in[116] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[116] O *D mgmt_protect -*CAP -1 *2473:la_data_in[116] 0.00291805 -2 *2472:la_data_in_core[116] 0.000624307 -3 *751:10 0.00291805 -4 *751:8 0.00882727 -5 *751:7 0.00945157 -6 *751:7 *2472:la_data_out_core[116] 0 -7 *751:7 *814:16 2.99929e-05 -8 *751:7 *1390:5 0 -9 *751:7 *1391:5 0 -10 *469:25 *751:8 0.136728 -11 *743:8 *751:8 0.0128389 -12 *745:8 *751:8 0.0143669 -13 *750:8 *751:8 0.13441 -*RES -1 *2472:la_data_in_core[116] *751:7 16.7423 -2 *751:7 *751:8 172.918 -3 *751:8 *751:10 3.36879 -4 *751:10 *2473:la_data_in[116] 70.6784 -*END - -*D_NET *752 0.306596 -*CONN -*I *2473:la_data_in[117] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[117] O *D mgmt_protect -*CAP -1 *2473:la_data_in[117] 0.00102821 -2 *2472:la_data_in_core[117] 0.00323926 -3 *752:8 0.0171224 -4 *752:7 0.0160942 -5 *752:5 0.00323926 -6 *752:5 *2472:la_data_out_core[117] 0 -7 *752:5 *2473:la_oenb[57] 0.00050637 -8 *752:5 *1391:5 0 -9 *752:8 *754:8 0 -10 *752:8 *756:8 0 -11 *752:8 *758:8 0.000318524 -12 *752:8 *760:8 0.000425458 -13 *752:8 *762:8 0.129679 -14 *752:8 *835:10 0.000448922 -15 *752:8 *836:8 0.000209275 -16 *752:8 *837:8 0.000593739 -17 *752:8 *1006:8 0.13365 -18 *752:8 *1008:8 0 -19 *752:8 *1013:8 4.12367e-05 -*RES -1 *2472:la_data_in_core[117] *752:5 61.5428 -2 *752:5 *752:7 3.36879 -3 *752:7 *752:8 174.83 -4 *752:8 *2473:la_data_in[117] 25.8779 -*END - -*D_NET *753 0.217284 -*CONN -*I *2473:la_data_in[118] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[118] O *D mgmt_protect -*CAP -1 *2473:la_data_in[118] 0.00057528 -2 *2472:la_data_in_core[118] 4.25268e-05 -3 *753:10 0.035563 -4 *753:9 0.0349877 -5 *753:7 0.00376689 -6 *753:5 0.00380941 -7 *753:7 *2472:la_data_out_core[118] 0.000226575 -8 *753:7 *2473:la_data_in[58] 0 -9 *753:7 *1070:5 0 -10 *753:7 *1392:7 0 -11 *753:7 *1393:10 0 -12 *753:7 *1458:13 0.000116915 -13 *753:10 *842:10 0.000299281 -14 *753:10 *1008:8 0.137235 -15 *753:10 *1010:8 0.00066078 -*RES -1 *2472:la_data_in_core[118] *753:5 1.20912 -2 *753:5 *753:7 70.7639 -3 *753:7 *753:9 3.36879 -4 *753:9 *753:10 176.513 -5 *753:10 *2473:la_data_in[118] 16.7423 -*END - -*D_NET *754 0.325449 -*CONN -*I *2473:la_data_in[119] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[119] O *D mgmt_protect -*CAP -1 *2473:la_data_in[119] 0.00067194 -2 *2472:la_data_in_core[119] 0.00377192 -3 *754:8 0.0180771 -4 *754:7 0.0174052 -5 *754:5 0.00377192 -6 *754:5 *2472:la_data_out_core[119] 0 -7 *754:5 *1070:5 0 -8 *754:5 *1393:10 0 -9 *754:8 *756:8 0.000345464 -10 *754:8 *757:8 0.138669 -11 *754:8 *853:10 0.0010644 -12 *754:8 *855:8 0.00145706 -13 *754:8 *1008:8 0.000121359 -14 *754:8 *1010:8 0.140093 -15 *752:8 *754:8 0 -*RES -1 *2472:la_data_in_core[119] *754:5 68.1869 -2 *754:5 *754:7 3.36879 -3 *754:7 *754:8 178.501 -4 *754:8 *2473:la_data_in[119] 19.2339 -*END - -*D_NET *755 0.0352754 -*CONN -*I *2473:la_data_in[11] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[11] O *D mgmt_protect -*CAP -1 *2473:la_data_in[11] 0.00136967 -2 *2472:la_data_in_core[11] 0.00181085 -3 *755:8 0.00231494 -4 *755:7 0.000945266 -5 *755:5 0.00181085 -6 *755:5 *2472:la_data_out_core[11] 0 -7 *755:5 *2473:la_oenb[17] 0 -8 *755:5 *1384:5 0 -9 *755:8 *766:8 0.000307881 -10 *755:8 *1011:8 0.000105636 -11 *755:8 *1384:8 0.0135388 -12 *744:8 *755:8 0.0130715 -*RES -1 *2472:la_data_in_core[11] *755:5 48.4624 -2 *755:5 *755:7 4.5 -3 *755:7 *755:8 144.92 -4 *755:8 *2473:la_data_in[11] 40.0896 -*END - -*D_NET *756 0.332417 -*CONN -*I *2473:la_data_in[120] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[120] O *D mgmt_protect -*CAP -1 *2473:la_data_in[120] 0.000730361 -2 *2472:la_data_in_core[120] 0.00358246 -3 *756:8 0.0195893 -4 *756:7 0.018859 -5 *756:5 0.00358246 -6 *756:5 *2472:la_data_out_core[120] 0 -7 *756:5 *2473:la_oenb[58] 0 -8 *756:5 *815:11 0 -9 *756:5 *1393:13 0.000247277 -10 *756:5 *1394:7 0 -11 *756:8 *757:8 0.141036 -12 *756:8 *991:8 0.00178849 -13 *756:8 *993:8 0.00214228 -14 *756:8 *1013:8 0.140515 -15 *752:8 *756:8 0 -16 *754:8 *756:8 0.000345464 -*RES -1 *2472:la_data_in_core[120] *756:5 66.5258 -2 *756:5 *756:7 3.36879 -3 *756:7 *756:8 180.337 -4 *756:8 *2473:la_data_in[120] 20.8949 -*END - -*D_NET *757 0.329496 -*CONN -*I *2473:la_data_in[121] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[121] O *D mgmt_protect -*CAP -1 *2473:la_data_in[121] 0.000692122 -2 *2472:la_data_in_core[121] 0.00374413 -3 *757:8 0.0189161 -4 *757:7 0.018224 -5 *757:5 0.00374413 -6 *757:5 *815:11 0 -7 *757:5 *1013:11 0 -8 *757:5 *1071:7 0 -9 *757:5 *1075:13 0 -10 *757:5 *1396:7 0 -11 *757:8 *857:8 0.00142645 -12 *757:8 *859:8 0.00174848 -13 *757:8 *1010:8 0.000333928 -14 *757:8 *1013:8 0.000961946 -15 *754:8 *757:8 0.138669 -16 *756:8 *757:8 0.141036 -*RES -1 *2472:la_data_in_core[121] *757:5 67.3563 -2 *757:5 *757:7 3.36879 -3 *757:7 *757:8 182.173 -4 *757:8 *2473:la_data_in[121] 20.0644 -*END - -*D_NET *758 0.341661 -*CONN -*I *2473:la_data_in[122] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[122] O *D mgmt_protect -*CAP -1 *2473:la_data_in[122] 0.000781349 -2 *2472:la_data_in_core[122] 0.00338687 -3 *758:8 0.0211224 -4 *758:7 0.0203411 -5 *758:5 0.00338687 -6 *758:5 *2472:la_data_out_core[122] 0 -7 *758:5 *2473:la_oenb[59] 0.000584078 -8 *758:5 *1013:11 0 -9 *758:5 *1397:7 0 -10 *758:5 *1398:7 0 -11 *758:8 *760:8 0.143068 -12 *758:8 *999:8 0.00251258 -13 *758:8 *1002:8 0.00292201 -14 *758:8 *1013:8 0.143237 -15 *752:8 *758:8 0.000318524 -*RES -1 *2472:la_data_in_core[122] *758:5 64.8648 -2 *758:5 *758:7 3.36879 -3 *758:7 *758:8 184.009 -4 *758:8 *2473:la_data_in[122] 22.5559 -*END - -*D_NET *759 0.259972 -*CONN -*I *2473:la_data_in[123] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[123] O *D mgmt_protect -*CAP -1 *2473:la_data_in[123] 0.000786426 -2 *2472:la_data_in_core[123] 0.00169799 -3 *759:14 0.0137528 -4 *759:13 0.0148254 -5 *759:10 0.00355701 -6 *759:10 *2472:la_data_out_core[123] 0 -7 *759:10 *1396:8 0.000361243 -8 *759:10 *1398:7 0 -9 *759:10 *1475:8 0.000842351 -10 *759:13 *2473:la_oenb[60] 0 -11 *759:13 *760:5 0.000567275 -12 *759:13 *1400:7 0 -13 *759:14 *760:8 0.00393904 -14 *759:14 *1002:8 0.103379 -15 *759:14 *1004:8 0.107432 -16 *759:14 *1015:8 0.00883228 -*RES -1 *2472:la_data_in_core[123] *759:10 47.4032 -2 *759:10 *759:13 39.9674 -3 *759:13 *759:14 1337.87 -4 *759:14 *2473:la_data_in[123] 23.8947 -*END - -*D_NET *760 0.349176 -*CONN -*I *2473:la_data_in[124] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[124] O *D mgmt_protect -*CAP -1 *2473:la_data_in[124] 0.000808963 -2 *2472:la_data_in_core[124] 0.00339266 -3 *760:8 0.0227525 -4 *760:7 0.0219435 -5 *760:5 0.00339266 -6 *760:5 *2472:la_data_out_core[123] 0 -7 *760:5 *2472:la_data_out_core[124] 0 -8 *760:5 *1399:5 0 -9 *760:5 *1400:7 0 -10 *760:8 *762:8 0.000121359 -11 *760:8 *1004:8 0.00310315 -12 *760:8 *1018:8 0.145661 -13 *752:8 *760:8 0.000425458 -14 *758:8 *760:8 0.143068 -15 *759:13 *760:5 0.000567275 -16 *759:14 *760:8 0.00393904 -*RES -1 *2472:la_data_in_core[124] *760:5 64.0343 -2 *760:5 *760:7 3.36879 -3 *760:7 *760:8 187.68 -4 *760:8 *2473:la_data_in[124] 23.3864 -*END - -*D_NET *761 0.27472 -*CONN -*I *2473:la_data_in[125] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[125] O *D mgmt_protect -*CAP -1 *2473:la_data_in[125] 0.000834337 -2 *2472:la_data_in_core[125] 0.00314146 -3 *761:18 0.0093776 -4 *761:17 0.0089267 -5 *761:12 0.00196598 -6 *761:10 0.00160318 -7 *761:8 0.00149126 -8 *761:7 0.00147063 -9 *761:5 0.00314146 -10 *761:5 *2472:la_data_out_core[125] 0 -11 *761:5 *2473:la_oenb[60] 9.1098e-05 -12 *761:5 *1400:7 0 -13 *761:5 *1401:5 0 -14 *761:8 *820:8 0.00205052 -15 *761:8 *822:8 0.000733044 -16 *761:8 *1093:8 0.00135638 -17 *761:8 *1109:8 0.000282057 -18 *761:12 *822:8 0.00056729 -19 *761:12 *823:8 0.000371382 -20 *761:12 *824:8 0.000137013 -21 *761:12 *825:10 0 -22 *761:12 *1093:8 0.000367918 -23 *761:17 *2473:la_data_in[67] 0 -24 *761:17 *1080:7 0 -25 *761:18 *763:12 0.11756 -26 *761:18 *1015:8 0.114181 -27 *761:18 *1018:8 0.00506946 -*RES -1 *2472:la_data_in_core[125] *761:5 55.9369 -2 *761:5 *761:7 4.5 -3 *761:7 *761:8 78.0906 -4 *761:8 *761:10 0.578717 -5 *761:10 *761:12 58.1249 -6 *761:12 *761:17 16.2303 -7 *761:17 *761:18 1237.49 -8 *761:18 *2473:la_data_in[125] 25.1405 -*END - -*D_NET *762 0.346287 -*CONN -*I *2473:la_data_in[126] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[126] O *D mgmt_protect -*CAP -1 *2473:la_data_in[126] 0.000884418 -2 *2472:la_data_in_core[126] 0.00355393 -3 *762:8 0.0246956 -4 *762:7 0.0238112 -5 *762:5 0.00355393 -6 *762:5 *2472:la_data_out_core[126] 0 -7 *762:5 *1401:5 0 -8 *762:8 *763:12 0.00967383 -9 *762:8 *1018:8 0.150314 -10 *752:8 *762:8 0.129679 -11 *760:8 *762:8 0.000121359 -*RES -1 *2472:la_data_in_core[126] *762:5 62.3733 -2 *762:5 *762:7 3.36879 -3 *762:7 *762:8 191.352 -4 *762:8 *2473:la_data_in[126] 25.0474 -*END - -*D_NET *763 0.227607 -*CONN -*I *2473:la_data_in[127] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[127] O *D mgmt_protect -*CAP -1 *2473:la_data_in[127] 0.000844847 -2 *2472:la_data_in_core[127] 0.00361929 -3 *763:12 0.0259975 -4 *763:10 0.0251757 -5 *763:8 0.000563113 -6 *763:7 0.000540079 -7 *763:5 0.00361929 -8 *763:5 *2472:la_data_out_core[127] 0 -9 *763:5 *2473:la_oenb[61] 0 -10 *763:5 *1074:5 0 -11 *763:5 *1402:7 0 -12 *763:5 *1403:7 0 -13 *763:8 *819:10 0.00101035 -14 *763:8 *836:8 0.00217356 -15 *763:8 *1015:8 0.00587544 -16 *763:12 *836:8 0.0276026 -17 *763:12 *837:8 0.000897615 -18 *763:12 *1015:8 0.00245316 -19 *761:18 *763:12 0.11756 -20 *762:8 *763:12 0.00967383 -*RES -1 *2472:la_data_in_core[127] *763:5 63.4115 -2 *763:5 *763:7 4.5 -3 *763:7 *763:8 62.0071 -4 *763:8 *763:10 0.578717 -5 *763:10 *763:12 1338.15 -6 *763:12 *2473:la_data_in[127] 25.5557 -*END - -*D_NET *764 0.0314366 -*CONN -*I *2473:la_data_in[12] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[12] O *D mgmt_protect -*CAP -1 *2473:la_data_in[12] 0.00130442 -2 *2472:la_data_in_core[12] 0.0018771 -3 *764:8 0.0023003 -4 *764:7 0.00099588 -5 *764:5 0.0018771 -6 *764:5 *2472:la_data_out_core[12] 0 -7 *764:5 *1395:5 0 -8 *764:8 *765:10 0.010503 -9 *764:8 *766:8 0 -10 *764:8 *1000:8 0.0113189 -11 *764:8 *1011:8 0.000322987 -12 *764:8 *1395:8 0.000936869 -*RES -1 *2472:la_data_in_core[12] *764:5 50.1234 -2 *764:5 *764:7 4.5 -3 *764:7 *764:8 132.164 -4 *764:8 *2473:la_data_in[12] 38.4285 -*END - -*D_NET *765 0.0304463 -*CONN -*I *2473:la_data_in[13] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[13] O *D mgmt_protect -*CAP -1 *2473:la_data_in[13] 0.00130348 -2 *2472:la_data_in_core[13] 8.20467e-05 -3 *765:10 0.00229518 -4 *765:9 0.000991705 -5 *765:7 0.00137885 -6 *765:5 0.00146089 -7 *765:7 *2473:la_oenb[18] 0.000362171 -8 *765:7 *1021:11 0.00130407 -9 *765:7 *1404:5 0 -10 *765:10 *766:8 0 -11 *765:10 *1395:8 0.0102397 -12 *765:10 *1405:8 0.000525216 -13 *764:8 *765:10 0.010503 -*RES -1 *2472:la_data_in_core[13] *765:5 2.33274 -2 *765:5 *765:7 50.6241 -3 *765:7 *765:9 4.5 -4 *765:9 *765:10 120.518 -5 *765:10 *2473:la_data_in[13] 38.0133 -*END - -*D_NET *766 0.0228675 -*CONN -*I *2473:la_data_in[14] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[14] O *D mgmt_protect -*CAP -1 *2473:la_data_in[14] 0.00150324 -2 *2472:la_data_in_core[14] 0.0017756 -3 *766:14 0.00215749 -4 *766:8 0.00168974 -5 *766:7 0.0010355 -6 *766:5 0.0017756 -7 *2473:la_data_in[14] *794:11 0 -8 *766:5 *2472:la_data_out_core[14] 0 -9 *766:5 *2473:la_data_in[19] 4.84644e-05 -10 *766:5 *1405:5 0 -11 *766:8 *1011:8 4.4379e-05 -12 *766:8 *1023:10 0.000129927 -13 *766:8 *1023:12 0.00666787 -14 *766:8 *1405:8 0 -15 *766:14 *767:14 0.000301469 -16 *766:14 *772:8 2.65667e-05 -17 *766:14 *805:8 0.00107663 -18 *766:14 *1023:10 3.8519e-05 -19 *766:14 *1412:8 0 -20 *744:8 *766:8 0.00419436 -21 *744:8 *766:14 9.42669e-05 -22 *755:8 *766:8 0.000307881 -23 *764:8 *766:8 0 -24 *765:10 *766:8 0 -*RES -1 *2472:la_data_in_core[14] *766:5 47.6319 -2 *766:5 *766:7 4.5 -3 *766:7 *766:8 76.4268 -4 *766:8 *766:14 34.7741 -5 *766:14 *2473:la_data_in[14] 37.2506 -*END - -*D_NET *767 0.0203558 -*CONN -*I *2473:la_data_in[15] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[15] O *D mgmt_protect -*CAP -1 *2473:la_data_in[15] 0.00155292 -2 *2472:la_data_in_core[15] 0.0017457 -3 *767:14 0.00190193 -4 *767:8 0.00123282 -5 *767:7 0.000883813 -6 *767:5 0.0017457 -7 *2473:la_data_in[15] *805:5 0 -8 *767:5 *2472:la_data_out_core[15] 0 -9 *767:5 *1406:5 0 -10 *767:8 *1023:12 0.00318695 -11 *767:8 *1407:8 0.00722852 -12 *767:14 *1023:10 0.000552044 -13 *767:14 *1023:12 2.39581e-05 -14 *766:14 *767:14 0.000301469 -*RES -1 *2472:la_data_in_core[15] *767:5 46.3861 -2 *767:5 *767:7 4.5 -3 *767:7 *767:8 77.8133 -4 *767:8 *767:14 19.1126 -5 *767:14 *2473:la_data_in[15] 38.0811 -*END - -*D_NET *768 0.0130015 -*CONN -*I *2473:la_data_in[16] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[16] O *D mgmt_protect -*CAP -1 *2473:la_data_in[16] 0.00172723 -2 *2472:la_data_in_core[16] 0.00150215 -3 *768:8 0.00423779 -4 *768:7 0.0040127 -5 *768:7 *2472:la_data_out_core[16] 0 -6 *768:7 *1407:5 0 -7 *768:8 *1024:8 0 -8 *768:8 *1026:8 0 -9 *768:8 *1408:8 0.00152165 -10 *768:8 *1500:8 0 -*RES -1 *2472:la_data_in_core[16] *768:7 45.9031 -2 *768:7 *768:8 78.9225 -3 *768:8 *2473:la_data_in[16] 47.1488 -*END - -*D_NET *769 0.0185911 -*CONN -*I *2473:la_data_in[17] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[17] O *D mgmt_protect -*CAP -1 *2473:la_data_in[17] 0.00160264 -2 *2472:la_data_in_core[17] 0.00167116 -3 *769:8 0.00245012 -4 *769:7 0.00251864 -5 *769:7 *2472:la_data_out_core[17] 0 -6 *769:7 *1408:7 0 -7 *769:8 *773:10 9.18559e-06 -8 *769:8 *1024:8 0.00558864 -9 *769:8 *1025:8 3.90666e-05 -10 *769:8 *1408:8 0 -11 *769:8 *1409:8 0.0047116 -*RES -1 *2472:la_data_in_core[17] *769:7 49.6404 -2 *769:7 *769:8 65.0574 -3 *769:8 *2473:la_data_in[17] 43.4116 -*END - -*D_NET *770 0.0157605 -*CONN -*I *2473:la_data_in[18] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[18] O *D mgmt_protect -*CAP -1 *2473:la_data_in[18] 0.00153721 -2 *2472:la_data_in_core[18] 0.00157517 -3 *770:8 0.00217982 -4 *770:7 0.00221778 -5 *2473:la_data_in[18] *2472:la_data_out_core[11] 9.31064e-05 -6 *2473:la_data_in[18] *1395:5 0.000182519 -7 *770:7 *2472:la_data_out_core[18] 0 -8 *770:7 *1409:7 0 -9 *770:7 *1410:7 0 -10 *770:8 *771:10 0.00288131 -11 *770:8 *1025:8 0.00447607 -12 *770:8 *1409:8 0.000326185 -13 *770:8 *1410:10 0.000291294 -*RES -1 *2472:la_data_in_core[18] *770:7 47.9793 -2 *770:7 *770:8 52.3015 -3 *770:8 *2473:la_data_in[18] 45.0726 -*END - -*D_NET *771 0.0136046 -*CONN -*I *2473:la_data_in[19] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[19] O *D mgmt_protect -*CAP -1 *2473:la_data_in[19] 0.00147722 -2 *2472:la_data_in_core[19] 0.00158297 -3 *771:10 0.00192264 -4 *771:7 0.00202839 -5 *2473:la_data_in[19] *2472:la_data_out_core[14] 0.000243117 -6 *2473:la_data_in[19] *1405:5 0 -7 *771:7 *2472:la_data_out_core[19] 0 -8 *771:7 *1410:7 0 -9 *771:10 *1410:10 0.00340818 -10 *771:10 *1413:10 1.22938e-05 -11 *766:5 *2473:la_data_in[19] 4.84644e-05 -12 *770:8 *771:10 0.00288131 -*RES -1 *2472:la_data_in_core[19] *771:7 48.3946 -2 *771:7 *771:10 42.9364 -3 *771:10 *2473:la_data_in[19] 40.1573 -*END - -*D_NET *772 0.0447756 -*CONN -*I *2473:la_data_in[1] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[1] O *D mgmt_protect -*CAP -1 *2473:la_data_in[1] 0.0016862 -2 *2472:la_data_in_core[1] 0.00183008 -3 *772:8 0.00597189 -4 *772:7 0.00428569 -5 *772:5 0.00183008 -6 *2473:la_data_in[1] *2192:7 0 -7 *772:5 *2472:la_data_out_core[1] 0 -8 *772:5 *794:11 0 -9 *772:5 *1373:7 0 -10 *772:5 *1412:7 0 -11 *772:8 *794:14 0.00294144 -12 *772:8 *805:8 6.54746e-05 -13 *772:8 *1412:8 0 -14 *772:8 *1627:10 0.0176802 -15 *772:8 *1635:8 0.00219959 -16 *772:8 *1696:8 0.000540322 -17 *772:8 *1706:8 0.000549381 -18 *772:8 *1708:8 9.36702e-05 -19 *772:8 *1759:8 0.00470981 -20 *772:8 *1761:8 0.000262266 -21 *772:8 *1763:8 0.000102959 -22 *766:14 *772:8 2.65667e-05 -*RES -1 *2472:la_data_in_core[1] *772:5 45.9709 -2 *772:5 *772:7 4.5 -3 *772:7 *772:8 278.58 -4 *772:8 *2473:la_data_in[1] 42.5811 -*END - -*D_NET *773 0.00835705 -*CONN -*I *2473:la_data_in[20] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[20] O *D mgmt_protect -*CAP -1 *2473:la_data_in[20] 0.00142809 -2 *2472:la_data_in_core[20] 0.00169882 -3 *773:10 0.00222917 -4 *773:5 0.0024999 -5 *773:5 *2472:la_data_out_core[20] 0 -6 *773:5 *1411:5 0 -7 *773:10 *1409:8 3.40535e-05 -8 *773:10 *1410:10 0 -9 *773:10 *1413:10 0.000457836 -10 *769:8 *773:10 9.18559e-06 -*RES -1 *2472:la_data_in_core[20] *773:5 46.8014 -2 *773:5 *773:10 34.126 -3 *773:10 *2473:la_data_in[20] 37.2506 -*END - -*D_NET *774 0.00743092 -*CONN -*I *2473:la_data_in[21] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[21] O *D mgmt_protect -*CAP -1 *2473:la_data_in[21] 0.00146258 -2 *2472:la_data_in_core[21] 0.00136389 -3 *774:10 0.00194462 -4 *774:7 0.00184593 -5 *2473:la_data_in[21] *2472:la_data_out_core[19] 0.000330393 -6 *2473:la_data_in[21] *1411:5 0.000483512 -7 *774:7 *2472:la_data_out_core[21] 0 -8 *774:7 *1413:5 0 -*RES -1 *2472:la_data_in_core[21] *774:7 42.1658 -2 *774:7 *774:10 16.3155 -3 *774:10 *2473:la_data_in[21] 46.3861 -*END - -*D_NET *775 0.00640433 -*CONN -*I *2473:la_data_in[22] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[22] O *D mgmt_protect -*CAP -1 *2473:la_data_in[22] 0.000112537 -2 *2472:la_data_in_core[22] 0.00308963 -3 *775:5 0.00320216 -4 *775:5 *2472:la_data_out_core[22] 0 -5 *775:5 *1414:5 0 -*RES -1 *2472:la_data_in_core[22] *775:5 83.9664 -2 *775:5 *2473:la_data_in[22] 1.20912 -*END - -*D_NET *776 0.00752009 -*CONN -*I *2473:la_data_in[23] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[23] O *D mgmt_protect -*CAP -1 *2473:la_data_in[23] 0.0019518 -2 *2472:la_data_in_core[23] 0.00166174 -3 *776:10 0.0019518 -4 *776:8 0.00166174 -5 *2473:la_data_in[23] *2472:la_data_out_core[24] 0 -6 *2473:la_data_in[23] *778:7 0.000129716 -7 *2473:la_data_in[23] *1417:7 0.000163304 -8 *776:8 *2472:la_data_out_core[23] 0 -9 *776:8 *1415:7 0 -*RES -1 *2472:la_data_in_core[23] *776:8 48.4493 -2 *776:8 *776:10 4.5 -3 *776:10 *2473:la_data_in[23] 54.6912 -*END - -*D_NET *777 0.0113092 -*CONN -*I *2473:la_data_in[24] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[24] O *D mgmt_protect -*CAP -1 *2473:la_data_in[24] 0.00175037 -2 *2472:la_data_in_core[24] 0.00136069 -3 *777:10 0.00205435 -4 *777:7 0.00166466 -5 *2473:la_data_in[24] *781:7 0 -6 *777:7 *2472:la_data_out_core[24] 0 -7 *777:7 *2473:la_oenb[22] 8.62625e-06 -8 *777:7 *1416:7 0 -9 *777:10 *1032:10 6.44576e-05 -10 *777:10 *1033:10 0.00238891 -11 *777:10 *1416:10 0.00201716 -*RES -1 *2472:la_data_in_core[24] *777:7 41.7506 -2 *777:7 *777:10 32.399 -3 *777:10 *2473:la_data_in[24] 46.8014 -*END - -*D_NET *778 0.0128138 -*CONN -*I *2473:la_data_in[25] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[25] O *D mgmt_protect -*CAP -1 *2473:la_data_in[25] 0.00183609 -2 *2472:la_data_in_core[25] 0.00124441 -3 *778:10 0.00232759 -4 *778:7 0.00173591 -5 *778:7 *2472:la_data_out_core[25] 0 -6 *778:7 *1417:7 0 -7 *778:10 *781:8 1.75155e-06 -8 *778:10 *1032:10 0.000543039 -9 *778:10 *1033:10 0.000530719 -10 *778:10 *1034:8 0.00348482 -11 *778:10 *1037:14 3.42853e-05 -12 *778:10 *1038:14 0.000645042 -13 *778:10 *1417:10 0.000185642 -14 *778:10 *1421:10 0.000114773 -15 *2473:la_data_in[23] *778:7 0.000129716 -*RES -1 *2472:la_data_in_core[25] *778:7 40.0896 -2 *778:7 *778:10 45.7095 -3 *778:10 *2473:la_data_in[25] 48.4624 -*END - -*D_NET *779 0.0138226 -*CONN -*I *2473:la_data_in[26] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[26] O *D mgmt_protect -*CAP -1 *2473:la_data_in[26] 0.00216473 -2 *2472:la_data_in_core[26] 0.000967137 -3 *779:10 0.00216473 -4 *779:8 0.00128828 -5 *779:7 0.00225542 -6 *2473:la_data_in[26] *2472:la_data_out_core[32] 0 -7 *2473:la_data_in[26] *2472:la_data_out_core[33] 0 -8 *2473:la_data_in[26] *787:7 8.50881e-05 -9 *2473:la_data_in[26] *1426:7 0.000112532 -10 *779:7 *2472:la_data_out_core[26] 0 -11 *779:7 *1418:7 0 -12 *779:8 *1418:8 0.00449543 -13 *779:8 *1419:8 0.000289241 -14 *779:8 *1426:8 0 -*RES -1 *2472:la_data_in_core[26] *779:7 30.954 -2 *779:7 *779:8 55.0746 -3 *779:8 *779:10 4.5 -4 *779:10 *2473:la_data_in[26] 57.5979 -*END - -*D_NET *780 0.0167427 -*CONN -*I *2473:la_data_in[27] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[27] O *D mgmt_protect -*CAP -1 *2473:la_data_in[27] 0.00183808 -2 *2472:la_data_in_core[27] 0.000879506 -3 *780:10 0.00183808 -4 *780:8 0.00132603 -5 *780:7 0.00220554 -6 *2473:la_data_in[27] *2472:la_data_out_core[35] 0.00133273 -7 *2473:la_data_in[27] *789:7 0.000631259 -8 *2473:la_data_in[27] *790:5 0 -9 *2473:la_data_in[27] *1429:5 8.92568e-06 -10 *780:7 *2472:la_data_out_core[27] 0 -11 *780:7 *1419:7 0 -12 *780:7 *1420:7 0 -13 *780:8 *1036:8 5.04054e-06 -14 *780:8 *1419:8 0.00567179 -15 *780:8 *1420:8 0.00100572 -*RES -1 *2472:la_data_in_core[27] *780:7 28.4625 -2 *780:7 *780:8 67.8304 -3 *780:8 *780:10 4.5 -4 *780:10 *2473:la_data_in[27] 60.0894 -*END - -*D_NET *781 0.0154853 -*CONN -*I *2473:la_data_in[28] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[28] O *D mgmt_protect -*CAP -1 *2473:la_data_in[28] 0.00170466 -2 *2472:la_data_in_core[28] 0.0014389 -3 *781:10 0.00170466 -4 *781:8 0.00210793 -5 *781:7 0.00354683 -6 *2473:la_data_in[28] *2472:la_data_out_core[37] 0 -7 *2473:la_data_in[28] *2472:la_data_out_core[38] 0.000133726 -8 *2473:la_data_in[28] *791:13 0 -9 *2473:la_data_in[28] *792:7 0.000178851 -10 *2473:la_data_in[28] *1431:7 0 -11 *2473:la_data_in[28] *1432:5 0 -12 *781:7 *2472:la_data_out_core[28] 0 -13 *781:7 *1033:5 0 -14 *781:7 *1420:7 0 -15 *781:8 *1033:10 0.000118245 -16 *781:8 *1037:8 0.00216729 -17 *781:8 *1037:14 0.00238247 -18 *2473:la_data_in[24] *781:7 0 -19 *778:10 *781:8 1.75155e-06 -*RES -1 *2472:la_data_in_core[28] *781:7 42.1658 -2 *781:7 *781:8 81.6955 -3 *781:8 *781:10 4.5 -4 *781:10 *2473:la_data_in[28] 46.3861 -*END - -*D_NET *782 0.0256683 -*CONN -*I *2473:la_data_in[29] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[29] O *D mgmt_protect -*CAP -1 *2473:la_data_in[29] 0.0017199 -2 *2472:la_data_in_core[29] 0.00124857 -3 *782:16 0.0017199 -4 *782:14 0.000664636 -5 *782:13 0.00103562 -6 *782:7 0.00161956 -7 *2473:la_data_in[29] *1052:11 0 -8 *2473:la_data_in[29] *1435:5 0.00105786 -9 *782:7 *2472:la_data_out_core[29] 0 -10 *782:7 *1421:7 0 -11 *782:13 *784:8 6.44576e-05 -12 *782:13 *1034:5 8.62625e-06 -13 *782:13 *1034:8 1.7672e-05 -14 *782:13 *1035:14 0.00157297 -15 *782:13 *1040:10 0.000113197 -16 *782:13 *1417:10 2.93863e-05 -17 *782:13 *1422:8 4.4379e-05 -18 *782:13 *1424:8 0.000326398 -19 *782:14 *1038:8 0.00706051 -20 *782:14 *1038:14 9.16785e-05 -21 *782:14 *1422:8 0.00727297 -*RES -1 *2472:la_data_in_core[29] *782:7 37.598 -2 *782:7 *782:13 28.0541 -3 *782:13 *782:14 77.8133 -4 *782:14 *782:16 4.5 -5 *782:16 *2473:la_data_in[29] 48.8776 -*END - -*D_NET *783 0.0480957 -*CONN -*I *2473:la_data_in[2] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[2] O *D mgmt_protect -*CAP -1 *2473:la_data_in[2] 0.00200104 -2 *2472:la_data_in_core[2] 0.00153649 -3 *783:10 0.00200104 -4 *783:8 0.00297264 -5 *783:7 0.00450913 -6 *2473:la_data_in[2] *1635:5 7.08059e-05 -7 *2473:la_data_in[2] *1767:7 0 -8 *2473:la_data_in[2] *2188:5 0.000157739 -9 *783:7 *2472:la_data_out_core[2] 0 -10 *783:7 *1412:7 0 -11 *783:8 *1039:12 0.0242219 -12 *783:8 *1116:8 0.000167272 -13 *783:8 *1478:8 3.94365e-05 -14 *783:8 *1489:8 0.000223991 -15 *783:8 *1500:8 0.00427329 -16 *783:8 *1622:8 0.0031296 -17 *783:8 *1622:12 7.68538e-06 -18 *783:8 *1691:8 0.00246556 -19 *733:14 *783:8 0.000318072 -*RES -1 *2472:la_data_in_core[2] *783:7 42.9963 -2 *783:7 *783:8 265.269 -3 *783:8 *783:10 4.5 -4 *783:10 *2473:la_data_in[2] 45.5556 -*END - -*D_NET *784 0.0280935 -*CONN -*I *2473:la_data_in[30] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[30] O *D mgmt_protect -*CAP -1 *2473:la_data_in[30] 0.00202328 -2 *2472:la_data_in_core[30] 0.0012992 -3 *784:10 0.00202328 -4 *784:8 0.00101155 -5 *784:7 0.00231076 -6 *2473:la_data_in[30] *798:7 0 -7 *2473:la_data_in[30] *799:7 0 -8 *2473:la_data_in[30] *1040:7 0 -9 *2473:la_data_in[30] *1438:7 0 -10 *784:7 *2472:la_data_out_core[30] 0 -11 *784:7 *1422:7 0 -12 *784:8 *797:8 0 -13 *784:8 *798:8 0 -14 *784:8 *1040:10 0.00988967 -15 *784:8 *1422:8 0.00947126 -16 *782:13 *784:8 6.44576e-05 -*RES -1 *2472:la_data_in_core[30] *784:7 38.8438 -2 *784:7 *784:8 108.316 -3 *784:8 *784:10 4.5 -4 *784:10 *2473:la_data_in[30] 49.7081 -*END - -*D_NET *785 0.0306266 -*CONN -*I *2473:la_data_in[31] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[31] O *D mgmt_protect -*CAP -1 *2473:la_data_in[31] 0.00215772 -2 *2472:la_data_in_core[31] 0.00119793 -3 *785:10 0.00215772 -4 *785:8 0.00113977 -5 *785:7 0.0023377 -6 *2473:la_data_in[31] *2472:la_data_out_core[45] 0 -7 *2473:la_data_in[31] *2472:la_data_out_core[46] 0 -8 *2473:la_data_in[31] *1440:7 0 -9 *785:7 *2472:la_data_out_core[31] 0 -10 *785:7 *1424:7 0 -11 *785:8 *786:8 0.000113197 -12 *785:8 *1035:10 3.59302e-05 -13 *785:8 *1035:14 5.07314e-05 -14 *785:8 *1041:8 0.0110812 -15 *785:8 *1042:8 0.0103548 -*RES -1 *2472:la_data_in_core[31] *785:7 36.3523 -2 *785:7 *785:8 121.072 -3 *785:8 *785:10 4.5 -4 *785:10 *2473:la_data_in[31] 52.1996 -*END - -*D_NET *786 0.0343717 -*CONN -*I *2473:la_data_in[32] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[32] O *D mgmt_protect -*CAP -1 *2473:la_data_in[32] 0.00195988 -2 *2472:la_data_in_core[32] 0.00110627 -3 *786:10 0.00195988 -4 *786:8 0.00120856 -5 *786:7 0.00231483 -6 *2473:la_data_in[32] *2472:la_data_out_core[48] 0 -7 *2473:la_data_in[32] *2472:la_data_out_core[49] 0 -8 *2473:la_data_in[32] *1443:5 0.00109013 -9 *786:7 *2472:la_data_out_core[32] 0 -10 *786:7 *2473:la_oenb[25] 0.000267602 -11 *786:7 *1425:7 0 -12 *786:8 *787:8 0.0118626 -13 *786:8 *1042:8 0.012383 -14 *786:8 *1426:8 0.000105652 -15 *785:8 *786:8 0.000113197 -*RES -1 *2472:la_data_in_core[32] *786:7 35.5218 -2 *786:7 *786:8 134.937 -3 *786:8 *786:10 4.5 -4 *786:10 *2473:la_data_in[32] 53.0301 -*END - -*D_NET *787 0.0354554 -*CONN -*I *2473:la_data_in[33] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[33] O *D mgmt_protect -*CAP -1 *2473:la_data_in[33] 0.00222667 -2 *2472:la_data_in_core[33] 0.00111507 -3 *787:10 0.00222667 -4 *787:8 0.00143916 -5 *787:7 0.00255424 -6 *2473:la_data_in[33] *2472:la_data_out_core[51] 0.000137372 -7 *2473:la_data_in[33] *1446:5 0 -8 *787:7 *2472:la_data_out_core[33] 0 -9 *787:7 *1426:7 0 -10 *787:8 *788:8 0.000282235 -11 *787:8 *1042:8 0.000306383 -12 *787:8 *1060:8 0 -13 *787:8 *1426:8 0.0132199 -14 *787:8 *1444:8 0 -15 *2473:la_data_in[26] *787:7 8.50881e-05 -16 *786:8 *787:8 0.0118626 -*RES -1 *2472:la_data_in_core[33] *787:7 35.1065 -2 *787:7 *787:8 147.693 -3 *787:8 *787:10 4.5 -4 *787:10 *2473:la_data_in[33] 53.4454 -*END - -*D_NET *788 0.0376625 -*CONN -*I *2473:la_data_in[34] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[34] O *D mgmt_protect -*CAP -1 *2473:la_data_in[34] 0.00229327 -2 *2472:la_data_in_core[34] 0.00111709 -3 *788:10 0.00229327 -4 *788:8 0.00163906 -5 *788:7 0.00275614 -6 *2473:la_data_in[34] *2472:la_data_out_core[53] 0 -7 *2473:la_data_in[34] *1437:13 0 -8 *788:7 *2472:la_data_out_core[33] 0 -9 *788:7 *2472:la_data_out_core[34] 0 -10 *788:7 *1427:7 0 -11 *788:8 *796:8 0.000306383 -12 *788:8 *1063:8 0 -13 *788:8 *1065:8 0 -14 *788:8 *1426:8 0.012487 -15 *788:8 *1427:8 0.014488 -16 *788:8 *1444:8 0 -17 *787:8 *788:8 0.000282235 -*RES -1 *2472:la_data_in_core[34] *788:7 34.276 -2 *788:7 *788:8 161.558 -3 *788:8 *788:10 4.5 -4 *788:10 *2473:la_data_in[34] 54.2759 -*END - -*D_NET *789 0.0397966 -*CONN -*I *2473:la_data_in[35] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[35] O *D mgmt_protect -*CAP -1 *2473:la_data_in[35] 0.00147088 -2 *2472:la_data_in_core[35] 8.20467e-05 -3 *789:10 0.00300131 -4 *789:9 0.00153043 -5 *789:7 0.00147694 -6 *789:5 0.00155899 -7 *2473:la_data_in[35] *1452:7 8.62625e-06 -8 *789:7 *2472:la_data_out_core[35] 0.00048253 -9 *789:7 *1428:7 0 -10 *789:10 *790:8 0.000315426 -11 *789:10 *1044:8 0 -12 *789:10 *1045:8 2.20702e-05 -13 *789:10 *1429:8 0.00134929 -14 *789:10 *1430:10 0.0149773 -15 *789:10 *1433:8 0.0128895 -16 *2473:la_data_in[27] *789:7 0.000631259 -*RES -1 *2472:la_data_in_core[35] *789:5 2.33274 -2 *789:5 *789:7 48.1326 -3 *789:7 *789:9 4.5 -4 *789:9 *789:10 172.096 -5 *789:10 *2473:la_data_in[35] 40.5048 -*END - -*D_NET *790 0.038728 -*CONN -*I *2473:la_data_in[36] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[36] O *D mgmt_protect -*CAP -1 *2473:la_data_in[36] 0.00142801 -2 *2472:la_data_in_core[36] 0.00193225 -3 *790:8 0.0033195 -4 *790:7 0.00189149 -5 *790:5 0.00193225 -6 *2473:la_data_in[36] *1450:13 8.62625e-06 -7 *790:5 *1036:5 0 -8 *790:5 *1046:11 0 -9 *790:5 *1429:5 0 -10 *790:8 *1046:8 0.000762044 -11 *790:8 *1051:8 0.00346376 -12 *790:8 *1430:10 0.0170334 -13 *790:8 *1446:8 0.00664129 -14 *2473:la_data_in[27] *790:5 0 -15 *789:10 *790:8 0.000315426 -*RES -1 *2472:la_data_in_core[36] *790:5 48.8776 -2 *790:5 *790:7 4.5 -3 *790:7 *790:8 188.179 -4 *790:8 *2473:la_data_in[36] 39.6743 -*END - -*D_NET *791 0.0460783 -*CONN -*I *2473:la_data_in[37] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[37] O *D mgmt_protect -*CAP -1 *2473:la_data_in[37] 0.00157245 -2 *2472:la_data_in_core[37] 0.000275961 -3 *791:14 0.00318686 -4 *791:13 0.00251812 -5 *791:9 0.00117967 -6 *2473:la_data_in[37] *819:7 0 -7 *791:9 *2472:la_data_out_core[37] 7.1812e-05 -8 *791:9 *1430:7 0 -9 *791:13 *2472:la_data_out_core[37] 0.00252743 -10 *791:13 *2473:la_oenb[27] 0.000421307 -11 *791:13 *1430:7 0 -12 *791:14 *793:20 0.00177227 -13 *791:14 *1044:8 7.92757e-06 -14 *791:14 *1045:8 0.0152937 -15 *791:14 *1047:8 4.89898e-06 -16 *791:14 *1047:12 0.0135476 -17 *791:14 *1071:8 0.00204671 -18 *791:14 *1429:8 0.000313928 -19 *791:14 *1433:8 3.59302e-05 -20 *791:14 *1454:8 0.000233938 -21 *791:14 *1457:10 0.00106767 -22 *2473:la_data_in[28] *791:13 0 -*RES -1 *2472:la_data_in_core[37] *791:9 7.73102 -2 *791:9 *791:13 47.0389 -3 *791:13 *791:14 198.162 -4 *791:14 *2473:la_data_in[37] 42.1658 -*END - -*D_NET *792 0.0445727 -*CONN -*I *2473:la_data_in[38] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[38] O *D mgmt_protect -*CAP -1 *2473:la_data_in[38] 0.00175756 -2 *2472:la_data_in_core[38] 0.00165639 -3 *792:12 0.00345246 -4 *792:10 0.00173015 -5 *792:8 0.00122871 -6 *792:7 0.00284986 -7 *2473:la_data_in[38] *821:11 0 -8 *2473:la_data_in[38] *822:5 0 -9 *792:7 *2472:la_data_out_core[38] 0 -10 *792:7 *1431:7 0 -11 *792:8 *1428:8 0.010852 -12 *792:8 *1428:14 0.000562618 -13 *792:8 *1431:8 0.0130166 -14 *792:12 *1048:8 0.000344505 -15 *792:12 *1054:12 0.00639663 -16 *792:12 *1076:8 0 -17 *792:12 *1428:14 0.000536581 -18 *792:12 *1431:8 9.82896e-06 -19 *792:12 *1447:14 0 -20 *2473:la_data_in[28] *792:7 0.000178851 -*RES -1 *2472:la_data_in_core[38] *792:7 48.3946 -2 *792:7 *792:8 138.265 -3 *792:8 *792:10 0.988641 -4 *792:10 *792:12 76.7041 -5 *792:12 *2473:la_data_in[38] 45.4878 -*END - -*D_NET *793 0.0514997 -*CONN -*I *2473:la_data_in[39] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[39] O *D mgmt_protect -*CAP -1 *2473:la_data_in[39] 0.0016563 -2 *2472:la_data_in_core[39] 0.00167279 -3 *793:20 0.00248336 -4 *793:18 0.000977063 -5 *793:8 0.00113914 -6 *793:7 0.000989132 -7 *793:5 0.00167279 -8 *2473:la_data_in[39] *824:5 0 -9 *793:5 *2472:la_data_out_core[39] 0 -10 *793:5 *1037:5 0.000523544 -11 *793:5 *1432:5 0 -12 *793:8 *1044:8 0.0120886 -13 *793:8 *1047:12 0.0123477 -14 *793:8 *1048:14 9.16621e-05 -15 *793:8 *1431:8 1.19856e-05 -16 *793:18 *2473:la_oenb[34] 0 -17 *793:18 *1047:10 3.30786e-05 -18 *793:20 *1439:14 0.00905776 -19 *793:20 *1457:10 0.00135332 -20 *793:20 *1457:12 7.45396e-05 -21 *793:20 *1459:8 0.00355462 -22 *791:14 *793:20 0.00177227 -*RES -1 *2472:la_data_in_core[39] *793:5 45.5556 -2 *793:5 *793:7 4.5 -3 *793:7 *793:8 131.055 -4 *793:8 *793:18 11.6306 -5 *793:18 *793:20 96.1152 -6 *793:20 *2473:la_data_in[39] 42.9963 -*END - -*D_NET *794 0.0485333 -*CONN -*I *2473:la_data_in[3] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[3] O *D mgmt_protect -*CAP -1 *2473:la_data_in[3] 0.00153202 -2 *2472:la_data_in_core[3] 0.000947149 -3 *794:14 0.00394954 -4 *794:13 0.00241752 -5 *794:11 0.00174242 -6 *794:7 0.00268957 -7 *2473:la_data_in[3] *2190:5 0.000143931 -8 *794:7 *2472:la_data_out_core[3] 0 -9 *794:7 *1423:7 0 -10 *794:11 *849:8 0 -11 *794:11 *1028:8 0 -12 *794:11 *1373:7 7.75059e-05 -13 *794:11 *1373:8 0 -14 *794:14 *805:8 0.019861 -15 *794:14 *1708:8 0.00122278 -16 *794:14 *1710:8 0.000353776 -17 *794:14 *1759:8 0.0106547 -18 *2473:la_data_in[14] *794:11 0 -19 *733:7 *794:11 0 -20 *772:5 *794:11 0 -21 *772:8 *794:14 0.00294144 -*RES -1 *2472:la_data_in_core[3] *794:7 30.1235 -2 *794:7 *794:11 46.9532 -3 *794:11 *794:13 4.5 -4 *794:13 *794:14 231.438 -5 *794:14 *2473:la_data_in[3] 41.3353 -*END - -*D_NET *795 0.0473564 -*CONN -*I *2473:la_data_in[40] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[40] O *D mgmt_protect -*CAP -1 *2473:la_data_in[40] 0.00248631 -2 *2472:la_data_in_core[40] 0.00104016 -3 *795:10 0.00248631 -4 *795:8 0.00378109 -5 *795:7 0.00482125 -6 *2473:la_data_in[40] *2472:la_data_out_core[69] 0 -7 *2473:la_data_in[40] *828:7 0 -8 *795:7 *2472:la_data_out_core[40] 0 -9 *795:7 *1433:5 0 -10 *795:8 *796:8 0.0219261 -11 *795:8 *1054:18 0.00980994 -12 *795:8 *1081:8 0 -13 *795:8 *1427:8 0.000339591 -14 *795:8 *1437:8 0.000128915 -15 *795:8 *1448:8 0.00053673 -16 *795:8 *1464:8 0 -17 *795:8 *1465:10 0 -18 *795:8 *1466:8 0 -*RES -1 *2472:la_data_in_core[40] *795:7 33.0303 -2 *795:7 *795:8 241.421 -3 *795:8 *795:10 4.5 -4 *795:10 *2473:la_data_in[40] 55.5217 -*END - -*D_NET *796 0.0536407 -*CONN -*I *2473:la_data_in[41] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[41] O *D mgmt_protect -*CAP -1 *2473:la_data_in[41] 0.0023118 -2 *2472:la_data_in_core[41] 0.00106159 -3 *796:10 0.0023118 -4 *796:8 0.00308623 -5 *796:7 0.00414782 -6 *2473:la_data_in[41] *830:5 0 -7 *2473:la_data_in[41] *831:5 0 -8 *2473:la_data_in[41] *1470:9 0.00070507 -9 *796:7 *1052:11 0 -10 *796:7 *1435:5 0 -11 *796:8 *1065:8 0 -12 *796:8 *1067:10 0 -13 *796:8 *1069:12 0 -14 *796:8 *1070:14 0.000167409 -15 *796:8 *1075:14 0.00776607 -16 *796:8 *1084:8 0 -17 *796:8 *1086:8 0 -18 *796:8 *1427:8 0.00922354 -19 *796:8 *1453:8 3.40535e-05 -20 *796:8 *1455:8 0.000366401 -21 *796:8 *1458:8 0.000226377 -22 *796:8 *1466:8 0 -23 *796:8 *1469:8 0 -24 *788:8 *796:8 0.000306383 -25 *795:8 *796:8 0.0219261 -*RES -1 *2472:la_data_in_core[41] *796:7 33.4455 -2 *796:7 *796:8 254.732 -3 *796:8 *796:10 4.5 -4 *796:10 *2473:la_data_in[41] 55.1064 -*END - -*D_NET *797 0.0547136 -*CONN -*I *2473:la_data_in[42] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[42] O *D mgmt_protect -*CAP -1 *2473:la_data_in[42] 0.0018512 -2 *2472:la_data_in_core[42] 0.0014639 -3 *797:10 0.0018512 -4 *797:8 0.00369548 -5 *797:7 0.00515938 -6 *2473:la_data_in[42] *2472:la_data_out_core[75] 0.000284582 -7 *2473:la_data_in[42] *833:5 0.000312202 -8 *797:7 *2472:la_data_out_core[42] 0 -9 *797:7 *1436:5 0 -10 *797:8 *798:8 0.0244795 -11 *797:8 *1422:8 0 -12 *797:8 *1437:14 0.0156161 -13 *784:8 *797:8 0 -*RES -1 *2472:la_data_in_core[42] *797:7 42.1658 -2 *797:7 *797:8 268.042 -3 *797:8 *797:10 4.5 -4 *797:10 *2473:la_data_in[42] 46.3861 -*END - -*D_NET *798 0.0634456 -*CONN -*I *2473:la_data_in[43] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[43] O *D mgmt_protect -*CAP -1 *2473:la_data_in[43] 0.00174923 -2 *2472:la_data_in_core[43] 0.0014517 -3 *798:10 0.00174923 -4 *798:8 0.00227033 -5 *798:7 0.00372203 -6 *2473:la_data_in[43] *2472:la_data_out_core[77] 0 -7 *2473:la_data_in[43] *836:5 0.00136668 -8 *798:7 *2472:la_data_out_core[42] 0 -9 *798:7 *2472:la_data_out_core[43] 0 -10 *798:7 *2473:la_oenb[29] 0 -11 *798:7 *1437:7 0 -12 *798:7 *1438:7 0 -13 *798:8 *799:8 0.0257878 -14 *798:8 *1040:10 0 -15 *798:8 *1064:8 0.000167258 -16 *798:8 *1437:14 0.000612767 -17 *798:8 *1438:8 8.90486e-05 -18 *2473:la_data_in[30] *798:7 0 -19 *784:8 *798:8 0 -20 *797:8 *798:8 0.0244795 -*RES -1 *2472:la_data_in_core[43] *798:7 41.7506 -2 *798:7 *798:8 281.353 -3 *798:8 *798:10 4.5 -4 *798:10 *2473:la_data_in[43] 46.8014 -*END - -*D_NET *799 0.0662457 -*CONN -*I *2473:la_data_in[44] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[44] O *D mgmt_protect -*CAP -1 *2473:la_data_in[44] 0.00207625 -2 *2472:la_data_in_core[44] 0.00141235 -3 *799:14 0.00232626 -4 *799:8 0.00237039 -5 *799:7 0.00353273 -6 *2473:la_data_in[44] *840:5 0 -7 *2473:la_data_in[44] *1479:7 0 -8 *799:7 *2472:la_data_out_core[44] 0 -9 *799:7 *1040:7 8.22264e-05 -10 *799:7 *1438:7 0 -11 *799:8 *1064:8 0.000101869 -12 *799:8 *1438:8 0.0263656 -13 *799:14 *1056:14 1.75155e-06 -14 *799:14 *1064:8 0.00142007 -15 *799:14 *1438:8 0.000119063 -16 *799:14 *1477:10 0.000649254 -17 *2473:la_data_in[30] *799:7 0 -18 *798:8 *799:8 0.0257878 -*RES -1 *2472:la_data_in_core[44] *799:7 41.3353 -2 *799:7 *799:8 279.689 -3 *799:8 *799:14 21.0417 -4 *799:14 *2473:la_data_in[44] 45.9709 -*END - -*D_NET *800 0.0505619 -*CONN -*I *2473:la_data_in[45] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[45] O *D mgmt_protect -*CAP -1 *2473:la_data_in[45] 0.00287193 -2 *2472:la_data_in_core[45] 0.000645823 -3 *800:10 0.00287193 -4 *800:8 0.00738672 -5 *800:7 0.00803254 -6 *2473:la_data_in[45] *2472:la_data_out_core[83] 0.000596408 -7 *2473:la_data_in[45] *842:7 0 -8 *800:7 *2472:la_data_out_core[45] 0 -9 *800:7 *1439:7 0 -10 *800:8 *801:8 0.0281566 -11 *800:8 *1447:8 0 -*RES -1 *2472:la_data_in_core[45] *800:7 21.8185 -2 *800:7 *800:8 307.974 -3 *800:8 *800:10 4.5 -4 *800:10 *2473:la_data_in[45] 66.7335 -*END - -*D_NET *801 0.0711708 -*CONN -*I *2473:la_data_in[46] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[46] O *D mgmt_protect -*CAP -1 *2473:la_data_in[46] 0.0030867 -2 *2472:la_data_in_core[46] 0.000631218 -3 *801:10 0.0030867 -4 *801:8 0.00304416 -5 *801:7 0.00367537 -6 *2473:la_data_in[46] *2472:la_data_out_core[85] 0 -7 *2473:la_data_in[46] *2472:la_data_out_core[86] 0 -8 *2473:la_data_in[46] *1484:7 0.000144245 -9 *801:7 *2472:la_data_out_core[46] 0 -10 *801:7 *1440:7 0 -11 *801:8 *802:8 0.0293458 -12 *800:8 *801:8 0.0281566 -*RES -1 *2472:la_data_in_core[46] *801:7 21.4032 -2 *801:7 *801:8 321.284 -3 *801:8 *801:10 4.5 -4 *801:10 *2473:la_data_in[46] 67.1487 -*END - -*D_NET *802 0.0740897 -*CONN -*I *2473:la_data_in[47] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[47] O *D mgmt_protect -*CAP -1 *2473:la_data_in[47] 0.00294335 -2 *2472:la_data_in_core[47] 0.000616613 -3 *802:10 0.00294335 -4 *802:8 0.00315704 -5 *802:7 0.00377365 -6 *2473:la_data_in[47] *2472:la_data_out_core[88] 0.00016851 -7 *2473:la_data_in[47] *848:7 0 -8 *2473:la_data_in[47] *1487:7 0.000546668 -9 *802:7 *2472:la_data_out_core[47] 0 -10 *802:7 *1441:5 0 -11 *802:8 *803:8 0.0305946 -12 *801:8 *802:8 0.0293458 -*RES -1 *2472:la_data_in_core[47] *802:7 20.988 -2 *802:7 *802:8 334.595 -3 *802:8 *802:10 4.5 -4 *802:10 *2473:la_data_in[47] 67.564 -*END - -*D_NET *803 0.0781373 -*CONN -*I *2473:la_data_in[48] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[48] O *D mgmt_protect -*CAP -1 *2473:la_data_in[48] 0.00256471 -2 *2472:la_data_in_core[48] 0.000602008 -3 *803:10 0.00256471 -4 *803:8 0.0032548 -5 *803:7 0.00385681 -6 *2473:la_data_in[48] *851:7 0.00280314 -7 *2473:la_data_in[48] *1490:7 0 -8 *803:7 *2472:la_data_out_core[48] 0 -9 *803:7 *1442:7 0 -10 *803:8 *804:8 0.0318965 -11 *802:8 *803:8 0.0305946 -*RES -1 *2472:la_data_in_core[48] *803:7 20.5727 -2 *803:7 *803:8 347.905 -3 *803:8 *803:10 4.5 -4 *803:10 *2473:la_data_in[48] 67.9792 -*END - -*D_NET *804 0.0796996 -*CONN -*I *2473:la_data_in[49] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[49] O *D mgmt_protect -*CAP -1 *2473:la_data_in[49] 0.00318712 -2 *2472:la_data_in_core[49] 0.000587403 -3 *804:10 0.00318712 -4 *804:8 0.0033807 -5 *804:7 0.0039681 -6 *2473:la_data_in[49] *2472:la_data_out_core[94] 0 -7 *2473:la_data_in[49] *853:7 0 -8 *2473:la_data_in[49] *1109:11 0 -9 *2473:la_data_in[49] *1493:7 0.000413324 -10 *804:7 *2472:la_data_out_core[49] 0 -11 *804:7 *1443:5 0 -12 *804:8 *806:8 0.0330793 -13 *803:8 *804:8 0.0318965 -*RES -1 *2472:la_data_in_core[49] *804:7 20.1574 -2 *804:7 *804:8 361.216 -3 *804:8 *804:10 4.5 -4 *804:10 *2473:la_data_in[49] 68.3945 -*END - -*D_NET *805 0.0469001 -*CONN -*I *2473:la_data_in[4] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[4] O *D mgmt_protect -*CAP -1 *2473:la_data_in[4] 0.00151889 -2 *2472:la_data_in_core[4] 0.00184995 -3 *805:8 0.0044234 -4 *805:7 0.00290451 -5 *805:5 0.00184995 -6 *2473:la_data_in[4] *1641:5 0 -7 *805:5 *2472:la_data_out_core[4] 0 -8 *805:5 *1023:10 0 -9 *805:5 *1434:7 0 -10 *805:8 *1617:8 0.00199376 -11 *805:8 *1621:10 0 -12 *805:8 *1686:8 6.45664e-05 -13 *805:8 *1688:8 0 -14 *805:8 *1710:8 0.000538827 -15 *805:8 *1749:8 0.000114786 -16 *805:8 *1751:8 7.09348e-05 -17 *805:8 *1753:8 0 -18 *805:8 *1755:8 0 -19 *2473:la_data_in[15] *805:5 0 -20 *744:8 *805:8 0.0105674 -21 *766:14 *805:8 0.00107663 -22 *772:8 *805:8 6.54746e-05 -23 *794:14 *805:8 0.019861 -*RES -1 *2472:la_data_in_core[4] *805:5 47.6319 -2 *805:5 *805:7 4.5 -3 *805:7 *805:8 238.648 -4 *805:8 *2473:la_data_in[4] 40.9201 -*END - -*D_NET *806 0.0826264 -*CONN -*I *2473:la_data_in[50] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[50] O *D mgmt_protect -*CAP -1 *2473:la_data_in[50] 0.0030336 -2 *2472:la_data_in_core[50] 0.000572797 -3 *806:10 0.0030336 -4 *806:8 0.00349346 -5 *806:7 0.00406626 -6 *2473:la_data_in[50] *2472:la_data_out_core[96] 0.000248649 -7 *2473:la_data_in[50] *857:5 0 -8 *2473:la_data_in[50] *1496:5 0.000724021 -9 *806:7 *2472:la_data_out_core[50] 0 -10 *806:7 *1444:7 0 -11 *806:8 *807:8 0.0343748 -12 *804:8 *806:8 0.0330793 -*RES -1 *2472:la_data_in_core[50] *806:7 19.7422 -2 *806:7 *806:8 374.526 -3 *806:8 *806:10 4.5 -4 *806:10 *2473:la_data_in[50] 68.8097 -*END - -*D_NET *807 0.0860475 -*CONN -*I *2473:la_data_in[51] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[51] O *D mgmt_protect -*CAP -1 *2473:la_data_in[51] 0.00276887 -2 *2472:la_data_in_core[51] 0.000558192 -3 *807:10 0.00276887 -4 *807:8 0.00361769 -5 *807:7 0.00417588 -6 *2473:la_data_in[51] *859:5 0.00104653 -7 *2473:la_data_in[51] *1115:11 0 -8 *2473:la_data_in[51] *1449:17 0 -9 *2473:la_data_in[51] *1494:13 0.00117916 -10 *2473:la_data_in[51] *1498:17 0 -11 *807:7 *2472:la_data_out_core[51] 0 -12 *807:7 *1446:5 0 -13 *807:8 *808:8 0.0355576 -14 *806:8 *807:8 0.0343748 -*RES -1 *2472:la_data_in_core[51] *807:7 19.3269 -2 *807:7 *807:8 387.837 -3 *807:8 *807:10 4.5 -4 *807:10 *2473:la_data_in[51] 69.225 -*END - -*D_NET *808 0.0882702 -*CONN -*I *2473:la_data_in[52] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[52] O *D mgmt_protect -*CAP -1 *2473:la_data_in[52] 0.00316309 -2 *2472:la_data_in_core[52] 0.000543587 -3 *808:10 0.00316309 -4 *808:8 0.00374034 -5 *808:7 0.00428393 -6 *2473:la_data_in[52] *2472:la_data_out_core[102] 0 -7 *2473:la_data_in[52] *991:11 0.000205164 -8 *2473:la_data_in[52] *1375:5 0.000686056 -9 *808:7 *2472:la_data_out_core[52] 0 -10 *808:7 *1447:7 0 -11 *808:8 *809:8 0.0368466 -12 *736:7 *2473:la_data_in[52] 8.07629e-05 -13 *807:8 *808:8 0.0355576 -*RES -1 *2472:la_data_in_core[52] *808:7 18.9117 -2 *808:7 *808:8 401.147 -3 *808:8 *808:10 4.5 -4 *808:10 *2473:la_data_in[52] 69.6402 -*END - -*D_NET *809 0.0909098 -*CONN -*I *2473:la_data_in[53] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[53] O *D mgmt_protect -*CAP -1 *2473:la_data_in[53] 0.00346755 -2 *2472:la_data_in_core[53] 0.000528982 -3 *809:10 0.00346755 -4 *809:8 0.00386299 -5 *809:7 0.00439197 -6 *2473:la_data_in[53] *2472:la_data_out_core[104] 8.71463e-05 -7 *2473:la_data_in[53] *1378:7 0.000227718 -8 *809:7 *2472:la_data_out_core[53] 0 -9 *809:7 *1448:7 0 -10 *809:8 *810:8 0.0380294 -11 *809:8 *994:8 0 -12 *736:8 *809:8 0 -13 *737:8 *809:8 0 -14 *738:7 *2473:la_data_in[53] 0 -15 *738:8 *809:8 0 -16 *808:8 *809:8 0.0368466 -*RES -1 *2472:la_data_in_core[53] *809:7 18.4964 -2 *809:7 *809:8 414.458 -3 *809:8 *809:10 4.5 -4 *809:10 *2473:la_data_in[53] 70.0555 -*END - -*D_NET *810 0.0937655 -*CONN -*I *2473:la_data_in[54] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[54] O *D mgmt_protect -*CAP -1 *2473:la_data_in[54] 0.00340354 -2 *2472:la_data_in_core[54] 0.000514377 -3 *810:10 0.00340354 -4 *810:8 0.00395911 -5 *810:7 0.00447349 -6 *2473:la_data_in[54] *2472:la_data_out_core[107] 0.000555213 -7 *2473:la_data_in[54] *1380:7 0 -8 *810:7 *2472:la_data_out_core[54] 0 -9 *810:7 *1449:7 0 -10 *810:8 *811:8 0.0393184 -11 *810:8 *994:8 0 -12 *739:8 *810:8 0 -13 *740:8 *810:8 4.41346e-05 -14 *741:7 *2473:la_data_in[54] 4.90673e-05 -15 *741:8 *810:8 1.53125e-05 -16 *809:8 *810:8 0.0380294 -*RES -1 *2472:la_data_in_core[54] *810:7 18.0812 -2 *810:7 *810:8 427.768 -3 *810:8 *810:10 4.5 -4 *810:10 *2473:la_data_in[54] 70.4707 -*END - -*D_NET *811 0.076849 -*CONN -*I *2473:la_data_in[55] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[55] O *D mgmt_protect -*CAP -1 *2473:la_data_in[55] 0.00336367 -2 *2472:la_data_in_core[55] 0.000499772 -3 *811:10 0.00336367 -4 *811:8 0.00530789 -5 *811:7 0.00580766 -6 *2473:la_data_in[55] *2472:la_data_out_core[109] 0 -7 *2473:la_data_in[55] *1067:7 0 -8 *2473:la_data_in[55] *1383:9 0.00128379 -9 *811:7 *2472:la_data_out_core[55] 0 -10 *811:7 *1450:7 0 -11 *811:8 *813:8 0.0174285 -12 *741:8 *811:8 4.08456e-05 -13 *742:8 *811:8 0.000179244 -14 *743:8 *811:8 0.00025559 -15 *810:8 *811:8 0.0393184 -*RES -1 *2472:la_data_in_core[55] *811:7 17.6659 -2 *811:7 *811:8 441.079 -3 *811:8 *811:10 4.5 -4 *811:10 *2473:la_data_in[55] 70.886 -*END - -*D_NET *812 0.0976107 -*CONN -*I *2473:la_data_in[56] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[56] O *D mgmt_protect -*CAP -1 *2473:la_data_in[56] 0.00381121 -2 *2472:la_data_in_core[56] 0.000242468 -3 *812:10 0.00381121 -4 *812:8 0.00475951 -5 *812:7 0.00500198 -6 *2473:la_data_in[56] *2472:la_data_out_core[112] 0.000530985 -7 *2473:la_data_in[56] *1386:9 0 -8 *2473:la_data_in[56] *1387:5 0.000404197 -9 *812:7 *2472:la_data_out_core[56] 0 -10 *812:7 *1451:7 0 -11 *812:8 *814:8 0.000429692 -12 *812:8 *815:8 0.0401921 -13 *812:8 *1074:16 0.0384273 -14 *486:21 *812:8 0 -*RES -1 *2472:la_data_in_core[56] *812:7 10.6067 -2 *812:7 *812:8 454.389 -3 *812:8 *812:10 4.5 -4 *812:10 *2473:la_data_in[56] 77.9453 -*END - -*D_NET *813 0.066313 -*CONN -*I *2473:la_data_in[57] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[57] O *D mgmt_protect -*CAP -1 *2473:la_data_in[57] 0.000371404 -2 *2472:la_data_in_core[57] 0.000472006 -3 *813:11 0.00374455 -4 *813:10 0.00337314 -5 *813:8 0.00941203 -6 *813:7 0.00988404 -7 *813:7 *2472:la_data_out_core[57] 0 -8 *813:7 *1452:7 0 -9 *813:8 *832:8 0 -10 *813:8 *834:8 0 -11 *813:8 *839:8 0 -12 *813:8 *841:8 0 -13 *813:8 *843:8 0 -14 *813:8 *845:8 9.18958e-05 -15 *813:8 *847:8 0.00025618 -16 *813:8 *850:8 0.000372603 -17 *813:8 *852:8 0.000655 -18 *813:8 *854:8 0.0152855 -19 *813:11 *2472:la_data_out_core[114] 7.09666e-06 -20 *813:11 *2473:la_oenb[56] 0.00187058 -21 *813:11 *1381:15 0 -22 *813:11 *1388:7 0 -23 *813:11 *1389:7 0 -24 *112:33 *2473:la_data_in[57] 0.000101638 -25 *491:48 *2473:la_data_in[57] 0.000164024 -26 *493:48 *2473:la_data_in[57] 0.000297298 -27 *496:41 *2473:la_data_in[57] 0.000107951 -28 *497:34 *2473:la_data_in[57] 0.000154432 -29 *743:8 *813:8 2.90905e-05 -30 *745:8 *813:8 0.000179244 -31 *746:8 *813:8 0.000339591 -32 *747:8 *813:8 0.00171517 -33 *749:7 *813:11 0 -34 *811:8 *813:8 0.0174285 -*RES -1 *2472:la_data_in_core[57] *813:7 16.8354 -2 *813:7 *813:8 461.599 -3 *813:8 *813:10 4.5 -4 *813:10 *813:11 71.802 -5 *813:11 *2473:la_data_in[57] 6.26542 -*END - -*D_NET *814 0.0965181 -*CONN -*I *2473:la_data_in[58] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[58] O *D mgmt_protect -*CAP -1 *2473:la_data_in[58] 0.00389811 -2 *2472:la_data_in_core[58] 0.0003077 -3 *814:16 0.00406933 -4 *814:8 0.00663568 -5 *814:7 0.00677216 -6 *2473:la_data_in[58] *2472:la_data_out_core[117] 0 -7 *2473:la_data_in[58] *1392:7 0.00118109 -8 *2473:la_data_in[58] *1458:13 0 -9 *814:7 *2472:la_data_out_core[58] 0 -10 *814:7 *1453:7 0 -11 *814:8 *832:8 0.031691 -12 *814:8 *1074:16 0.000580091 -13 *814:8 *1077:8 0.000291294 -14 *814:8 *1078:8 0.0382149 -15 *814:16 *2472:la_data_out_core[116] 1.47102e-05 -16 *814:16 *1074:14 0.00120541 -17 *814:16 *1077:8 0.00119699 -18 *751:7 *814:16 2.99929e-05 -19 *753:7 *2473:la_data_in[58] 0 -20 *812:8 *814:8 0.000429692 -*RES -1 *2472:la_data_in_core[58] *814:7 12.2677 -2 *814:7 *814:8 468.254 -3 *814:8 *814:16 27.8414 -4 *814:16 *2473:la_data_in[58] 77.53 -*END - -*D_NET *815 0.0780131 -*CONN -*I *2473:la_data_in[59] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[59] O *D mgmt_protect -*CAP -1 *2473:la_data_in[59] 5.44382e-05 -2 *2472:la_data_in_core[59] 0.000227863 -3 *815:11 0.00436645 -4 *815:10 0.00431201 -5 *815:8 0.0108015 -6 *815:7 0.0110294 -7 *815:7 *2472:la_data_out_core[59] 0 -8 *815:7 *1454:5 0 -9 *815:8 *1074:8 0.000811595 -10 *815:8 *1074:14 0.00283866 -11 *815:8 *1074:16 0.000523547 -12 *815:11 *2472:la_data_out_core[120] 3.31882e-05 -13 *815:11 *1396:7 0 -14 *486:21 *815:8 0.00282239 -15 *756:5 *815:11 0 -16 *757:5 *815:11 0 -17 *812:8 *815:8 0.0401921 -*RES -1 *2472:la_data_in_core[59] *815:7 10.1914 -2 *815:7 *815:8 493.766 -3 *815:8 *815:10 4.5 -4 *815:10 *815:11 78.446 -5 *815:11 *2473:la_data_in[59] 0.647305 -*END - -*D_NET *816 0.0525565 -*CONN -*I *2473:la_data_in[5] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[5] O *D mgmt_protect -*CAP -1 *2473:la_data_in[5] 0.000170594 -2 *2472:la_data_in_core[5] 0.00116623 -3 *816:11 0.00233158 -4 *816:10 0.00216099 -5 *816:8 0.0017899 -6 *816:7 0.00295613 -7 *816:7 *2472:la_data_out_core[5] 0 -8 *816:7 *1445:7 0 -9 *816:8 *1061:8 0.0205416 -10 *816:8 *1072:8 0.0205481 -11 *816:8 *1445:8 0.000221463 -12 *816:11 *2472:mprj_dat_i_user[9] 0.00064738 -13 *816:11 *1072:5 0 -14 *816:11 *1644:7 2.25812e-05 -15 *816:11 *1759:13 0 -16 *816:11 *1775:7 0 -*RES -1 *2472:la_data_in_core[5] *816:7 36.3523 -2 *816:7 *816:8 223.674 -3 *816:8 *816:10 4.5 -4 *816:10 *816:11 52.2851 -5 *816:11 *2473:la_data_in[5] 1.77093 -*END - -*D_NET *817 0.0851696 -*CONN -*I *2473:la_data_in[60] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[60] O *D mgmt_protect -*CAP -1 *2473:la_data_in[60] 0.00103037 -2 *2472:la_data_in_core[60] 4.25268e-05 -3 *817:18 0.00210966 -4 *817:16 0.00109992 -5 *817:14 0.00195404 -6 *817:12 0.00195404 -7 *817:10 0.00251707 -8 *817:9 0.00249644 -9 *817:7 0.00236437 -10 *817:5 0.0024069 -11 *817:7 *1046:7 0.000812097 -12 *817:7 *1073:17 0 -13 *817:7 *1447:13 8.83765e-05 -14 *817:7 *1448:13 0.00051899 -15 *817:7 *1455:7 0 -16 *817:10 *818:8 0.0231415 -17 *817:10 *1073:14 0.0105174 -18 *817:14 *818:8 0.00770504 -19 *817:14 *999:8 0.000694957 -20 *817:14 *1073:14 0.0146589 -21 *817:18 *818:8 0.00681775 -22 *817:18 *999:8 0.000968322 -23 *817:18 *1002:8 7.92757e-06 -24 *817:18 *1004:8 0.001263 -*RES -1 *2472:la_data_in_core[60] *817:5 1.20912 -2 *817:5 *817:7 63.9122 -3 *817:7 *817:9 4.5 -4 *817:9 *817:10 251.127 -5 *817:10 *817:12 0.578717 -6 *817:12 *817:14 183.188 -7 *817:14 *817:16 0.578717 -8 *817:16 *817:18 72.5446 -9 *817:18 *2473:la_data_in[60] 24.7252 -*END - -*D_NET *818 0.104293 -*CONN -*I *2473:la_data_in[61] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[61] O *D mgmt_protect -*CAP -1 *2473:la_data_in[61] 0.00106483 -2 *2472:la_data_in_core[61] 0.00261857 -3 *818:8 0.0053861 -4 *818:7 0.00432127 -5 *818:5 0.00261857 -6 *818:5 *2472:la_data_out_core[61] 0 -7 *818:5 *2473:la_oenb[36] 0.000386365 -8 *818:5 *1073:17 0 -9 *818:5 *1457:5 0 -10 *818:5 *1458:7 0 -11 *818:8 *819:10 0.0482987 -12 *818:8 *1004:8 8.66138e-05 -13 *818:8 *1015:8 0.00184718 -14 *817:10 *818:8 0.0231415 -15 *817:14 *818:8 0.00770504 -16 *817:18 *818:8 0.00681775 -*RES -1 *2472:la_data_in_core[61] *818:5 63.4115 -2 *818:5 *818:7 4.5 -3 *818:7 *818:8 521.496 -4 *818:8 *2473:la_data_in[61] 25.1405 -*END - -*D_NET *819 0.108462 -*CONN -*I *2473:la_data_in[62] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[62] O *D mgmt_protect -*CAP -1 *2473:la_data_in[62] 0.00109622 -2 *2472:la_data_in_core[62] 8.20467e-05 -3 *819:10 0.00665851 -4 *819:9 0.00556229 -5 *819:7 0.00234938 -6 *819:5 0.00243143 -7 *819:7 *2472:la_data_out_core[62] 0.00169922 -8 *819:7 *1047:7 0 -9 *819:7 *1458:7 0 -10 *819:7 *1459:5 0 -11 *819:10 *825:10 0 -12 *819:10 *826:8 0 -13 *819:10 *828:10 0 -14 *819:10 *829:8 0 -15 *819:10 *830:8 0 -16 *819:10 *831:8 9.85339e-05 -17 *819:10 *833:8 0.00027844 -18 *819:10 *835:10 0.000171289 -19 *819:10 *836:8 0.0382921 -20 *819:10 *1015:8 0.000433749 -21 *2473:la_data_in[37] *819:7 0 -22 *763:8 *819:10 0.00101035 -23 *818:8 *819:10 0.0482987 -*RES -1 *2472:la_data_in_core[62] *819:5 2.33274 -2 *819:5 *819:7 63.0817 -3 *819:7 *819:9 4.5 -4 *819:9 *819:10 532.034 -5 *819:10 *2473:la_data_in[62] 25.5557 -*END - -*D_NET *820 0.0897629 -*CONN -*I *2473:la_data_in[63] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[63] O *D mgmt_protect -*CAP -1 *2473:la_data_in[63] 0.00144613 -2 *2472:la_data_in_core[63] 0.0024356 -3 *820:8 0.0122326 -4 *820:7 0.0107865 -5 *820:5 0.0024356 -6 *820:5 *2472:la_data_out_core[63] 0 -7 *820:5 *1047:7 0.000261642 -8 *820:5 *1459:5 0 -9 *820:8 *821:14 0.000202245 -10 *820:8 *822:8 0.0501965 -11 *820:8 *1002:14 0.00757942 -12 *820:8 *1004:14 2.18041e-06 -13 *820:8 *1049:8 0 -14 *820:8 *1053:8 0 -15 *820:8 *1091:8 0 -16 *820:8 *1093:8 0 -17 *820:8 *1109:8 0.000133943 -18 *820:8 *1432:8 0 -19 *820:8 *1435:8 0 -20 *761:8 *820:8 0.00205052 -*RES -1 *2472:la_data_in_core[63] *820:5 56.7674 -2 *820:5 *820:7 4.5 -3 *820:7 *820:8 548.117 -4 *820:8 *2473:la_data_in[63] 31.7845 -*END - -*D_NET *821 0.120864 -*CONN -*I *2473:la_data_in[64] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[64] O *D mgmt_protect -*CAP -1 *2473:la_data_in[64] 0.00140325 -2 *2472:la_data_in_core[64] 0.000247842 -3 *821:14 0.00568441 -4 *821:13 0.00428116 -5 *821:11 0.00215676 -6 *821:9 0.0024046 -7 *821:9 *2472:la_data_out_core[64] 0.000105145 -8 *821:9 *1460:7 0 -9 *821:11 *2472:la_data_out_core[64] 0.000178538 -10 *821:11 *2473:la_oenb[37] 0 -11 *821:11 *822:5 0 -12 *821:11 *1068:13 0.000588523 -13 *821:11 *1460:7 0 -14 *821:11 *1461:7 0 -15 *821:14 *822:8 0.0521995 -16 *821:14 *823:8 0.0514116 -17 *2473:la_data_in[38] *821:11 0 -18 *820:8 *821:14 0.000202245 -*RES -1 *2472:la_data_in_core[64] *821:9 7.16921 -2 *821:9 *821:11 53.7507 -3 *821:11 *821:13 4.5 -4 *821:13 *821:14 558.1 -5 *821:14 *2473:la_data_in[64] 30.954 -*END - -*D_NET *822 0.121573 -*CONN -*I *2473:la_data_in[65] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[65] O *D mgmt_protect -*CAP -1 *2473:la_data_in[65] 0.00141784 -2 *2472:la_data_in_core[65] 0.00256369 -3 *822:8 0.00584696 -4 *822:7 0.00442912 -5 *822:5 0.00256369 -6 *822:5 *2472:la_data_out_core[65] 0 -7 *822:5 *1048:7 0 -8 *822:5 *1066:13 0 -9 *822:5 *1461:7 0 -10 *822:5 *1462:7 0 -11 *822:8 *823:8 0.000921763 -12 *822:8 *1109:8 0.000133943 -13 *2473:la_data_in[38] *822:5 0 -14 *761:8 *822:8 0.000733044 -15 *761:12 *822:8 0.00056729 -16 *820:8 *822:8 0.0501965 -17 *821:11 *822:5 0 -18 *821:14 *822:8 0.0521995 -*RES -1 *2472:la_data_in_core[65] *822:5 57.1827 -2 *822:5 *822:7 4.5 -3 *822:7 *822:8 574.738 -4 *822:8 *2473:la_data_in[65] 31.3693 -*END - -*D_NET *823 0.124684 -*CONN -*I *2473:la_data_in[66] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[66] O *D mgmt_protect -*CAP -1 *2473:la_data_in[66] 0.00138182 -2 *2472:la_data_in_core[66] 0.00250005 -3 *823:8 0.00596153 -4 *823:7 0.00457971 -5 *823:5 0.00250005 -6 *823:5 *2472:la_data_out_core[66] 0 -7 *823:5 *2473:la_oenb[38] 0 -8 *823:5 *1048:7 0 -9 *823:5 *1064:13 0.000324473 -10 *823:5 *1066:13 0 -11 *823:5 *1462:7 0 -12 *823:5 *1463:7 0 -13 *823:8 *824:8 0.0547316 -14 *761:12 *823:8 0.000371382 -15 *821:14 *823:8 0.0514116 -16 *822:8 *823:8 0.000921763 -*RES -1 *2472:la_data_in_core[66] *823:5 58.0132 -2 *823:5 *823:7 4.5 -3 *823:7 *823:8 587.494 -4 *823:8 *2473:la_data_in[66] 30.5388 -*END - -*D_NET *824 0.128315 -*CONN -*I *2473:la_data_in[67] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[67] O *D mgmt_protect -*CAP -1 *2473:la_data_in[67] 0.00136038 -2 *2472:la_data_in_core[67] 0.0026417 -3 *824:8 0.00611758 -4 *824:7 0.0047572 -5 *824:5 0.0026417 -6 *824:5 *2472:la_data_out_core[67] 0 -7 *824:5 *2473:la_oenb[38] 0 -8 *824:5 *1463:7 0 -9 *824:5 *1464:7 0 -10 *824:8 *825:10 0.0557937 -11 *824:8 *1006:8 0.000134497 -12 *2473:la_data_in[39] *824:5 0 -13 *761:12 *824:8 0.000137013 -14 *761:17 *2473:la_data_in[67] 0 -15 *823:8 *824:8 0.0547316 -*RES -1 *2472:la_data_in_core[67] *824:5 58.4284 -2 *824:5 *824:7 4.5 -3 *824:7 *824:8 601.359 -4 *824:8 *2473:la_data_in[67] 30.1235 -*END - -*D_NET *825 0.131772 -*CONN -*I *2473:la_data_in[68] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[68] O *D mgmt_protect -*CAP -1 *2473:la_data_in[68] 0.00134722 -2 *2472:la_data_in_core[68] 8.20467e-05 -3 *825:10 0.00615006 -4 *825:9 0.00480283 -5 *825:7 0.00228997 -6 *825:5 0.00237202 -7 *825:7 *2472:la_data_out_core[68] 0.00140249 -8 *825:7 *826:5 0 -9 *825:7 *1049:7 0.000129499 -10 *825:7 *1464:7 0 -11 *825:7 *1465:10 0 -12 *825:10 *826:8 0.0572614 -13 *825:10 *1006:8 0.000141029 -14 *761:12 *825:10 0 -15 *819:10 *825:10 0 -16 *824:8 *825:10 0.0557937 -*RES -1 *2472:la_data_in_core[68] *825:5 2.33274 -2 *825:5 *825:7 58.9292 -3 *825:7 *825:9 4.5 -4 *825:9 *825:10 611.897 -5 *825:10 *2473:la_data_in[68] 29.7083 -*END - -*D_NET *826 0.134233 -*CONN -*I *2473:la_data_in[69] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[69] O *D mgmt_protect -*CAP -1 *2473:la_data_in[69] 0.00132579 -2 *2472:la_data_in_core[69] 0.00236729 -3 *826:8 0.0063207 -4 *826:7 0.00499491 -5 *826:5 0.00236729 -6 *826:5 *2472:la_data_out_core[69] 0 -7 *826:5 *2473:la_oenb[39] 0.000630902 -8 *826:5 *1465:10 0 -9 *826:5 *1465:13 0.000490751 -10 *826:8 *828:10 0.0583235 -11 *826:8 *1006:8 0.00015065 -12 *819:10 *826:8 0 -13 *825:7 *826:5 0 -14 *825:10 *826:8 0.0572614 -*RES -1 *2472:la_data_in_core[69] *826:5 59.2589 -2 *826:5 *826:7 4.5 -3 *826:7 *826:8 627.98 -4 *826:8 *2473:la_data_in[69] 29.293 -*END - -*D_NET *827 0.0480845 -*CONN -*I *2473:la_data_in[6] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[6] O *D mgmt_protect -*CAP -1 *2473:la_data_in[6] 0.00207152 -2 *2472:la_data_in_core[6] 0.00124849 -3 *827:10 0.00207152 -4 *827:8 0.00174688 -5 *827:7 0.00299537 -6 *2473:la_data_in[6] *2472:mprj_dat_i_user[12] 0 -7 *2473:la_data_in[6] *1616:7 0 -8 *2473:la_data_in[6] *1747:7 0.000437695 -9 *827:7 *2472:la_data_out_core[6] 0 -10 *827:7 *1456:7 0 -11 *827:8 *838:8 0.000306383 -12 *827:8 *1094:8 0.0173521 -13 *827:8 *1456:8 0.000590133 -14 *827:8 *1467:8 0.0186498 -15 *827:8 *1691:14 0.000301715 -16 *827:8 *1748:8 0.000312946 -*RES -1 *2472:la_data_in_core[6] *827:7 38.4285 -2 *827:7 *827:8 212.027 -3 *827:8 *827:10 4.5 -4 *827:10 *2473:la_data_in[6] 50.1234 -*END - -*D_NET *828 0.137423 -*CONN -*I *2473:la_data_in[70] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[70] O *D mgmt_protect -*CAP -1 *2473:la_data_in[70] 0.00130435 -2 *2472:la_data_in_core[70] 8.20467e-05 -3 *828:10 0.00627189 -4 *828:9 0.00496753 -5 *828:7 0.00238275 -6 *828:5 0.0024648 -7 *828:7 *2472:la_data_out_core[70] 0.00144949 -8 *828:7 *1051:7 0 -9 *828:7 *1466:7 0 -10 *828:7 *1468:7 0 -11 *828:10 *829:8 0.0598041 -12 *828:10 *1006:8 0.00037278 -13 *2473:la_data_in[40] *828:7 0 -14 *819:10 *828:10 0 -15 *826:8 *828:10 0.0583235 -*RES -1 *2472:la_data_in_core[70] *828:5 2.33274 -2 *828:5 *828:7 59.7597 -3 *828:7 *828:9 4.5 -4 *828:9 *828:10 638.518 -5 *828:10 *2473:la_data_in[70] 28.8777 -*END - -*D_NET *829 0.141061 -*CONN -*I *2473:la_data_in[71] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[71] O *D mgmt_protect -*CAP -1 *2473:la_data_in[71] 0.00128292 -2 *2472:la_data_in_core[71] 0.00200366 -3 *829:8 0.00639723 -4 *829:7 0.00511431 -5 *829:5 0.00200366 -6 *829:5 *2472:la_data_out_core[71] 0 -7 *829:5 *1051:7 0.000232269 -8 *829:5 *1468:7 0.00273745 -9 *829:8 *830:8 0.0610722 -10 *829:8 *1006:8 0.000412718 -11 *819:10 *829:8 0 -12 *828:10 *829:8 0.0598041 -*RES -1 *2472:la_data_in_core[71] *829:5 60.0894 -2 *829:5 *829:7 4.5 -3 *829:7 *829:8 654.601 -4 *829:8 *2473:la_data_in[71] 28.4625 -*END - -*D_NET *830 0.142323 -*CONN -*I *2473:la_data_in[72] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[72] O *D mgmt_protect -*CAP -1 *2473:la_data_in[72] 0.00125979 -2 *2472:la_data_in_core[72] 0.00277521 -3 *830:8 0.00645546 -4 *830:7 0.00519568 -5 *830:5 0.00277521 -6 *830:5 *2472:la_data_out_core[71] 0 -7 *830:5 *2472:la_data_out_core[72] 0 -8 *830:5 *2473:la_oenb[40] 0 -9 *830:5 *1469:7 0 -10 *830:5 *1470:9 0 -11 *830:8 *831:8 0.0623404 -12 *830:8 *1006:8 0.000448922 -13 *2473:la_data_in[41] *830:5 0 -14 *819:10 *830:8 0 -15 *829:8 *830:8 0.0610722 -*RES -1 *2472:la_data_in_core[72] *830:5 60.5047 -2 *830:5 *830:7 4.5 -3 *830:7 *830:8 667.911 -4 *830:8 *2473:la_data_in[72] 28.0472 -*END - -*D_NET *831 0.144461 -*CONN -*I *2473:la_data_in[73] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[73] O *D mgmt_protect -*CAP -1 *2473:la_data_in[73] 0.00123252 -2 *2472:la_data_in_core[73] 0.00280547 -3 *831:8 0.00656871 -4 *831:7 0.00533618 -5 *831:5 0.00280547 -6 *831:5 *2472:la_data_out_core[73] 0 -7 *831:5 *1052:7 0 -8 *831:5 *1470:9 0 -9 *831:8 *833:8 0.0628248 -10 *831:8 *1006:8 0.000448922 -11 *2473:la_data_in[41] *831:5 0 -12 *819:10 *831:8 9.85339e-05 -13 *830:8 *831:8 0.0623404 -*RES -1 *2472:la_data_in_core[73] *831:5 60.9199 -2 *831:5 *831:7 4.5 -3 *831:7 *831:8 680.667 -4 *831:8 *2473:la_data_in[73] 27.632 -*END - -*D_NET *832 0.149193 -*CONN -*I *2473:la_data_in[74] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[74] O *D mgmt_protect -*CAP -1 *2473:la_data_in[74] 0.00414198 -2 *2472:la_data_in_core[74] 0.00035647 -3 *832:10 0.00414198 -4 *832:8 0.00548327 -5 *832:7 0.00583974 -6 *832:7 *2472:la_data_out_core[74] 0 -7 *832:7 *1471:7 0 -8 *832:8 *834:8 0.0639076 -9 *832:8 *1007:14 0.0335707 -10 *832:8 *1078:8 5.9852e-05 -11 *813:8 *832:8 0 -12 *814:8 *832:8 0.031691 -*RES -1 *2472:la_data_in_core[74] *832:7 12.6829 -2 *832:7 *832:8 694.532 -3 *832:8 *832:10 4.5 -4 *832:10 *2473:la_data_in[74] 75.869 -*END - -*D_NET *833 0.148516 -*CONN -*I *2473:la_data_in[75] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[75] O *D mgmt_protect -*CAP -1 *2473:la_data_in[75] 0.00120526 -2 *2472:la_data_in_core[75] 0.002711 -3 *833:8 0.00697893 -4 *833:7 0.00577367 -5 *833:5 0.002711 -6 *833:5 *2472:la_data_out_core[75] 0 -7 *833:5 *2473:la_oenb[41] 0 -8 *833:5 *1472:7 0 -9 *833:8 *835:10 0.065308 -10 *833:8 *1006:8 0.000412718 -11 *2473:la_data_in[42] *833:5 0.000312202 -12 *819:10 *833:8 0.00027844 -13 *831:8 *833:8 0.0628248 -*RES -1 *2472:la_data_in_core[75] *833:5 61.3352 -2 *833:5 *833:7 4.5 -3 *833:7 *833:8 707.288 -4 *833:8 *2473:la_data_in[75] 27.2167 -*END - -*D_NET *834 0.151485 -*CONN -*I *2473:la_data_in[76] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[76] O *D mgmt_protect -*CAP -1 *2473:la_data_in[76] 0.00407633 -2 *2472:la_data_in_core[76] 0.000377906 -3 *834:10 0.00407633 -4 *834:8 0.00591092 -5 *834:7 0.00628883 -6 *834:7 *2472:la_data_out_core[76] 0 -7 *834:7 *1473:7 0 -8 *834:8 *839:8 0.0649875 -9 *834:8 *1007:14 0.00185942 -10 *813:8 *834:8 0 -11 *832:8 *834:8 0.0639076 -*RES -1 *2472:la_data_in_core[76] *834:7 13.0982 -2 *834:7 *834:8 721.153 -3 *834:8 *834:10 4.5 -4 *834:10 *2473:la_data_in[76] 75.4538 -*END - -*D_NET *835 0.154828 -*CONN -*I *2473:la_data_in[77] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[77] O *D mgmt_protect -*CAP -1 *2473:la_data_in[77] 0.001178 -2 *2472:la_data_in_core[77] 2.27669e-05 -3 *835:10 0.00703819 -4 *835:9 0.00586019 -5 *835:7 0.00226038 -6 *835:5 0.00228315 -7 *835:7 *2472:la_data_out_core[77] 0.000733893 -8 *835:7 *2473:la_oenb[42] 0.00127266 -9 *835:7 *1474:9 0 -10 *835:10 *836:8 0.000347135 -11 *835:10 *837:8 0.0679038 -12 *752:8 *835:10 0.000448922 -13 *819:10 *835:10 0.000171289 -14 *833:8 *835:10 0.065308 -*RES -1 *2472:la_data_in_core[77] *835:5 0.647305 -2 *835:5 *835:7 61.8359 -3 *835:7 *835:9 4.5 -4 *835:9 *835:10 733.355 -5 *835:10 *2473:la_data_in[77] 26.8015 -*END - -*D_NET *836 0.158082 -*CONN -*I *2473:la_data_in[78] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[78] O *D mgmt_protect -*CAP -1 *2473:la_data_in[78] 0.00112348 -2 *2472:la_data_in_core[78] 0.00258863 -3 *836:8 0.00647657 -4 *836:7 0.00535309 -5 *836:5 0.00258863 -6 *836:5 *2472:la_data_out_core[77] 0 -7 *836:5 *2472:la_data_out_core[78] 0 -8 *836:5 *1475:7 0 -9 *836:8 *837:8 0.0699599 -10 *2473:la_data_in[43] *836:5 0.00136668 -11 *752:8 *836:8 0.000209275 -12 *763:8 *836:8 0.00217356 -13 *763:12 *836:8 0.0276026 -14 *819:10 *836:8 0.0382921 -15 *835:10 *836:8 0.000347135 -*RES -1 *2472:la_data_in_core[78] *836:5 62.5809 -2 *836:5 *836:7 4.5 -3 *836:7 *836:8 747.774 -4 *836:8 *2473:la_data_in[78] 25.971 -*END - -*D_NET *837 0.159763 -*CONN -*I *2473:la_data_in[79] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[79] O *D mgmt_protect -*CAP -1 *2473:la_data_in[79] 0.00114246 -2 *2472:la_data_in_core[79] 0.00246133 -3 *837:8 0.00712999 -4 *837:7 0.00598753 -5 *837:5 0.00246133 -6 *837:5 *2472:la_data_out_core[79] 0 -7 *837:5 *2473:la_oenb[43] 0 -8 *837:5 *1054:7 0.000248404 -9 *837:5 *1476:7 0.000977067 -10 *752:8 *837:8 0.000593739 -11 *763:12 *837:8 0.000897615 -12 *835:10 *837:8 0.0679038 -13 *836:8 *837:8 0.0699599 -*RES -1 *2472:la_data_in_core[79] *837:5 62.1657 -2 *837:5 *837:7 4.5 -3 *837:7 *837:8 760.53 -4 *837:8 *2473:la_data_in[79] 26.3862 -*END - -*D_NET *838 0.0467053 -*CONN -*I *2473:la_data_in[7] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[7] O *D mgmt_protect -*CAP -1 *2473:la_data_in[7] 0.00201718 -2 *2472:la_data_in_core[7] 0.0012787 -3 *838:10 0.00201718 -4 *838:8 0.0014545 -5 *838:7 0.00273319 -6 *2473:la_data_in[7] *1619:5 0 -7 *2473:la_data_in[7] *1686:11 0.000188291 -8 *838:7 *2472:la_data_out_core[6] 0 -9 *838:7 *2472:la_data_out_core[7] 0 -10 *838:7 *1467:7 0 -11 *838:8 *860:8 0.0147145 -12 *838:8 *1083:8 0.000103234 -13 *838:8 *1094:8 0.0180849 -14 *838:8 *1691:14 0.00380725 -15 *827:8 *838:8 0.000306383 -*RES -1 *2472:la_data_in_core[7] *838:7 39.2591 -2 *838:7 *838:8 198.717 -3 *838:8 *838:10 4.5 -4 *838:10 *2473:la_data_in[7] 49.2929 -*END - -*D_NET *839 0.160196 -*CONN -*I *2473:la_data_in[80] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[80] O *D mgmt_protect -*CAP -1 *2473:la_data_in[80] 0.00396991 -2 *2472:la_data_in_core[80] 0.000399342 -3 *839:10 0.00396991 -4 *839:8 0.00632441 -5 *839:7 0.00672375 -6 *839:7 *2472:la_data_out_core[80] 0 -7 *839:7 *1477:9 0 -8 *839:8 *841:8 0.0716517 -9 *839:8 *1007:14 0.0021697 -10 *813:8 *839:8 0 -11 *834:8 *839:8 0.0649875 -*RES -1 *2472:la_data_in_core[80] *839:7 13.5134 -2 *839:7 *839:8 774.395 -3 *839:8 *839:10 4.5 -4 *839:10 *2473:la_data_in[80] 75.0385 -*END - -*D_NET *840 0.12368 -*CONN -*I *2473:la_data_in[81] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[81] O *D mgmt_protect -*CAP -1 *2473:la_data_in[81] 0.000552744 -2 *2472:la_data_in_core[81] 0.0027219 -3 *840:8 0.0164208 -4 *840:7 0.015868 -5 *840:5 0.0027219 -6 *840:5 *2472:la_data_out_core[81] 0 -7 *840:5 *1055:5 0 -8 *840:5 *1056:11 0.000901806 -9 *840:5 *1479:7 0.000979934 -10 *840:8 *842:10 0.0732882 -11 *840:8 *1073:8 0.0102246 -12 *2473:la_data_in[44] *840:5 0 -*RES -1 *2472:la_data_in_core[81] *840:5 71.3012 -2 *840:5 *840:7 4.5 -3 *840:7 *840:8 787.151 -4 *840:8 *2473:la_data_in[81] 17.2507 -*END - -*D_NET *841 0.167755 -*CONN -*I *2473:la_data_in[82] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[82] O *D mgmt_protect -*CAP -1 *2473:la_data_in[82] 0.0039091 -2 *2472:la_data_in_core[82] 0.000420779 -3 *841:10 0.0039091 -4 *841:8 0.00621676 -5 *841:7 0.00663754 -6 *841:7 *2472:la_data_out_core[82] 0 -7 *841:7 *1480:7 0 -8 *841:8 *843:8 0.0742331 -9 *841:8 *1007:14 0.000777216 -10 *813:8 *841:8 0 -11 *839:8 *841:8 0.0716517 -*RES -1 *2472:la_data_in_core[82] *841:7 13.9287 -2 *841:7 *841:8 801.016 -3 *841:8 *841:10 4.5 -4 *841:10 *2473:la_data_in[82] 74.6233 -*END - -*D_NET *842 0.171314 -*CONN -*I *2473:la_data_in[83] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[83] O *D mgmt_protect -*CAP -1 *2473:la_data_in[83] 0.000571443 -2 *2472:la_data_in_core[83] 6.22868e-05 -3 *842:10 0.00694844 -4 *842:9 0.006377 -5 *842:7 0.00261091 -6 *842:5 0.0026732 -7 *842:7 *2472:la_data_out_core[83] 0 -8 *842:7 *2473:la_oenb[44] 0 -9 *842:7 *1481:7 0.00281809 -10 *842:10 *844:10 0.0756651 -11 *2473:la_data_in[45] *842:7 0 -12 *753:10 *842:10 0.000299281 -13 *840:8 *842:10 0.0732882 -*RES -1 *2472:la_data_in_core[83] *842:5 1.77093 -2 *842:5 *842:7 70.9715 -3 *842:7 *842:9 4.5 -4 *842:9 *842:10 815.991 -5 *842:10 *2473:la_data_in[83] 17.6659 -*END - -*D_NET *843 0.172925 -*CONN -*I *2473:la_data_in[84] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[84] O *D mgmt_protect -*CAP -1 *2473:la_data_in[84] 0.00384339 -2 *2472:la_data_in_core[84] 0.000442215 -3 *843:10 0.00384339 -4 *843:8 0.00651351 -5 *843:7 0.00695573 -6 *843:7 *2472:la_data_out_core[84] 0 -7 *843:7 *1482:7 0 -8 *843:8 *845:8 0.0768145 -9 *843:8 *1007:14 0.00027925 -10 *813:8 *843:8 0 -11 *841:8 *843:8 0.0742331 -*RES -1 *2472:la_data_in_core[84] *843:7 14.3439 -2 *843:7 *843:8 827.637 -3 *843:8 *843:10 4.5 -4 *843:10 *2473:la_data_in[84] 74.208 -*END - -*D_NET *844 0.176284 -*CONN -*I *2473:la_data_in[85] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[85] O *D mgmt_protect -*CAP -1 *2473:la_data_in[85] 0.000590507 -2 *2472:la_data_in_core[85] 2.27669e-05 -3 *844:10 0.0070704 -4 *844:9 0.00647989 -5 *844:7 0.00257237 -6 *844:5 0.00259514 -7 *844:7 *2472:la_data_out_core[85] 0 -8 *844:7 *2473:la_oenb[45] 0.00190798 -9 *844:7 *1483:9 0.000783419 -10 *844:10 *846:8 0.0782416 -11 *844:10 *1008:8 0.000354801 -12 *842:10 *844:10 0.0756651 -*RES -1 *2472:la_data_in_core[85] *844:5 0.647305 -2 *844:5 *844:7 70.5562 -3 *844:7 *844:9 4.5 -4 *844:9 *844:10 840.393 -5 *844:10 *2473:la_data_in[85] 18.0812 -*END - -*D_NET *845 0.183058 -*CONN -*I *2473:la_data_in[86] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[86] O *D mgmt_protect -*CAP -1 *2473:la_data_in[86] 0.00377859 -2 *2472:la_data_in_core[86] 0.000463651 -3 *845:10 0.00377859 -4 *845:8 0.00670104 -5 *845:7 0.00716469 -6 *845:7 *2472:la_data_out_core[86] 0 -7 *845:7 *1484:7 0 -8 *845:8 *847:8 0.0793959 -9 *845:8 *1007:14 0 -10 *469:25 *845:8 0.00486911 -11 *813:8 *845:8 9.18958e-05 -12 *843:8 *845:8 0.0768145 -*RES -1 *2472:la_data_in_core[86] *845:7 14.7592 -2 *845:7 *845:8 854.258 -3 *845:8 *845:10 4.5 -4 *845:10 *2473:la_data_in[86] 73.7928 -*END - -*D_NET *846 0.180874 -*CONN -*I *2473:la_data_in[87] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[87] O *D mgmt_protect -*CAP -1 *2473:la_data_in[87] 0.000609627 -2 *2472:la_data_in_core[87] 0.00333416 -3 *846:8 0.0072844 -4 *846:7 0.00667477 -5 *846:5 0.00333416 -6 *846:5 *2472:la_data_out_core[87] 0 -7 *846:5 *2473:la_oenb[46] 0 -8 *846:5 *1057:7 0 -9 *846:5 *1485:7 0 -10 *846:8 *848:10 0.0807183 -11 *846:8 *1008:8 0.000677329 -12 *844:10 *846:8 0.0782416 -*RES -1 *2472:la_data_in_core[87] *846:5 70.0555 -2 *846:5 *846:7 4.5 -3 *846:7 *846:8 867.569 -4 *846:8 *2473:la_data_in[87] 18.4964 -*END - -*D_NET *847 0.189346 -*CONN -*I *2473:la_data_in[88] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[88] O *D mgmt_protect -*CAP -1 *2473:la_data_in[88] 0.00372178 -2 *2472:la_data_in_core[88] 0.000485087 -3 *847:10 0.00372178 -4 *847:8 0.00675507 -5 *847:7 0.00724016 -6 *847:7 *2472:la_data_out_core[88] 0 -7 *847:7 *1486:7 0 -8 *847:8 *850:8 0.0819708 -9 *847:8 *1007:14 0 -10 *469:25 *847:8 0.00579944 -11 *813:8 *847:8 0.00025618 -12 *845:8 *847:8 0.0793959 -*RES -1 *2472:la_data_in_core[88] *847:7 15.1744 -2 *847:7 *847:8 880.879 -3 *847:8 *847:10 4.5 -4 *847:10 *2473:la_data_in[88] 73.3775 -*END - -*D_NET *848 0.186249 -*CONN -*I *2473:la_data_in[89] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[89] O *D mgmt_protect -*CAP -1 *2473:la_data_in[89] 0.000628746 -2 *2472:la_data_in_core[89] 2.27669e-05 -3 *848:10 0.00749391 -4 *848:9 0.00686517 -5 *848:7 0.00335283 -6 *848:5 0.0033756 -7 *848:7 *2472:la_data_out_core[89] 0 -8 *848:7 *1058:5 0 -9 *848:7 *1487:7 0 -10 *848:10 *851:10 0.0830888 -11 *848:10 *1010:8 0.000702361 -12 *2473:la_data_in[47] *848:7 0 -13 *846:8 *848:10 0.0807183 -*RES -1 *2472:la_data_in_core[89] *848:5 0.647305 -2 *848:5 *848:7 69.7257 -3 *848:7 *848:9 4.5 -4 *848:9 *848:10 893.635 -5 *848:10 *2473:la_data_in[89] 18.9117 -*END - -*D_NET *849 0.0315572 -*CONN -*I *2473:la_data_in[8] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[8] O *D mgmt_protect -*CAP -1 *2473:la_data_in[8] 0.00238238 -2 *2472:la_data_in_core[8] 0.000823496 -3 *849:10 0.00238238 -4 *849:8 0.00516613 -5 *849:7 0.00598963 -6 *2473:la_data_in[8] *2472:mprj_dat_i_user[17] 0 -7 *2473:la_data_in[8] *1621:7 0.0014842 -8 *2473:la_data_in[8] *1753:5 0 -9 *849:7 *2472:la_data_out_core[8] 0 -10 *849:7 *1478:7 0 -11 *849:8 *989:8 0.0115076 -12 *849:8 *1765:8 0.00182139 -13 *794:11 *849:8 0 -*RES -1 *2472:la_data_in_core[8] *849:7 26.8015 -2 *849:7 *849:8 185.406 -3 *849:8 *849:10 4.5 -4 *849:10 *2473:la_data_in[8] 61.7504 -*END - -*D_NET *850 0.195713 -*CONN -*I *2473:la_data_in[90] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[90] O *D mgmt_protect -*CAP -1 *2473:la_data_in[90] 0.00365698 -2 *2472:la_data_in_core[90] 0.000506524 -3 *850:10 0.00365698 -4 *850:8 0.00683441 -5 *850:7 0.00734093 -6 *850:7 *2472:la_data_out_core[90] 0 -7 *850:7 *1488:7 0 -8 *850:8 *852:8 0.0845522 -9 *469:25 *850:8 0.00682183 -10 *813:8 *850:8 0.000372603 -11 *847:8 *850:8 0.0819708 -*RES -1 *2472:la_data_in_core[90] *850:7 15.5897 -2 *850:7 *850:8 907.5 -3 *850:8 *850:10 4.5 -4 *850:10 *2473:la_data_in[90] 72.9623 -*END - -*D_NET *851 0.194852 -*CONN -*I *2473:la_data_in[91] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[91] O *D mgmt_protect -*CAP -1 *2473:la_data_in[91] 0.000647866 -2 *2472:la_data_in_core[91] 8.20467e-05 -3 *851:10 0.00762733 -4 *851:9 0.00697947 -5 *851:7 0.00199526 -6 *851:5 0.00207731 -7 *851:7 *2472:la_data_out_core[91] 0.00276308 -8 *851:7 *1490:7 0 -9 *851:10 *853:10 0.0857248 -10 *851:10 *1010:8 0.00106325 -11 *2473:la_data_in[48] *851:7 0.00280314 -12 *848:10 *851:10 0.0830888 -*RES -1 *2472:la_data_in_core[91] *851:5 2.33274 -2 *851:5 *851:7 69.3105 -3 *851:7 *851:9 4.5 -4 *851:9 *851:10 918.592 -5 *851:10 *2473:la_data_in[91] 19.3269 -*END - -*D_NET *852 0.20222 -*CONN -*I *2473:la_data_in[92] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[92] O *D mgmt_protect -*CAP -1 *2473:la_data_in[92] 0.00359297 -2 *2472:la_data_in_core[92] 0.00052796 -3 *852:10 0.00359297 -4 *852:8 0.00686814 -5 *852:7 0.0073961 -6 *852:7 *2472:la_data_out_core[92] 0 -7 *852:7 *1491:7 0 -8 *852:8 *854:8 0.0871336 -9 *469:25 *852:8 0.007901 -10 *813:8 *852:8 0.000655 -11 *850:8 *852:8 0.0845522 -*RES -1 *2472:la_data_in_core[92] *852:7 16.0049 -2 *852:7 *852:8 934.121 -3 *852:8 *852:10 4.5 -4 *852:10 *2473:la_data_in[92] 72.547 -*END - -*D_NET *853 0.200269 -*CONN -*I *2473:la_data_in[93] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[93] O *D mgmt_protect -*CAP -1 *2473:la_data_in[93] 0.000666985 -2 *2472:la_data_in_core[93] 4.25268e-05 -3 *853:10 0.00782966 -4 *853:9 0.00716267 -5 *853:7 0.00189931 -6 *853:5 0.00194184 -7 *853:5 *1492:9 0 -8 *853:7 *2473:la_oenb[48] 0.000255256 -9 *853:7 *1109:11 0.0028024 -10 *853:7 *1492:9 7.1812e-05 -11 *853:7 *1492:11 0.00245299 -12 *853:10 *855:8 0.0883544 -13 *853:10 *1073:14 0 -14 *2473:la_data_in[49] *853:7 0 -15 *754:8 *853:10 0.0010644 -16 *851:10 *853:10 0.0857248 -*RES -1 *2472:la_data_in_core[93] *853:5 1.20912 -2 *853:5 *853:7 68.8952 -3 *853:7 *853:9 4.5 -4 *853:9 *853:10 946.323 -5 *853:10 *2473:la_data_in[93] 19.7422 -*END - -*D_NET *854 0.209724 -*CONN -*I *2473:la_data_in[94] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[94] O *D mgmt_protect -*CAP -1 *2473:la_data_in[94] 0.00352773 -2 *2472:la_data_in_core[94] 0.000549396 -3 *854:10 0.00352773 -4 *854:8 0.00693721 -5 *854:7 0.0074866 -6 *854:7 *2472:la_data_out_core[94] 0 -7 *854:7 *1493:7 0 -8 *854:8 *1005:8 0.0759493 -9 *469:25 *854:8 0.0093123 -10 *747:8 *854:8 1.41689e-05 -11 *813:8 *854:8 0.0152855 -12 *852:8 *854:8 0.0871336 -*RES -1 *2472:la_data_in_core[94] *854:7 16.4202 -2 *854:7 *854:8 961.297 -3 *854:8 *854:10 4.5 -4 *854:10 *2473:la_data_in[94] 72.1318 -*END - -*D_NET *855 0.203585 -*CONN -*I *2473:la_data_in[95] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[95] O *D mgmt_protect -*CAP -1 *2473:la_data_in[95] 0.000686105 -2 *2472:la_data_in_core[95] 0.00339768 -3 *855:8 0.00804671 -4 *855:7 0.0073606 -5 *855:5 0.00339768 -6 *855:5 *2472:la_data_out_core[94] 0 -7 *855:5 *2472:la_data_out_core[95] 0 -8 *855:5 *2473:la_oenb[49] 0 -9 *855:5 *1060:5 0 -10 *855:5 *1494:10 0 -11 *855:8 *857:8 0.0908843 -12 *855:8 *1073:14 0 -13 *754:8 *855:8 0.00145706 -14 *853:10 *855:8 0.0883544 -*RES -1 *2472:la_data_in_core[95] *855:5 68.3945 -2 *855:5 *855:7 4.5 -3 *855:7 *855:8 974.053 -4 *855:8 *2473:la_data_in[95] 20.1574 -*END - -*D_NET *856 0.151461 -*CONN -*I *2473:la_data_in[96] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[96] O *D mgmt_protect -*CAP -1 *2473:la_data_in[96] 0.0029524 -2 *2472:la_data_in_core[96] 0.000920969 -3 *856:10 0.0029524 -4 *856:8 0.0202517 -5 *856:7 0.0211726 -6 *856:7 *2472:la_data_out_core[96] 0 -7 *856:7 *1495:7 0 -8 *856:8 *858:8 0.092423 -9 *856:8 *1001:8 0.00244324 -10 *856:8 *1009:14 0.000765341 -11 *856:8 *1014:16 0.00334801 -12 *856:8 *1017:14 0.000586436 -13 *856:8 *1070:8 0.000351226 -14 *856:8 *1079:8 0 -15 *856:8 *1081:8 0 -16 *856:8 *1393:10 0.000842351 -17 *856:8 *1449:12 0.000703115 -18 *856:8 *1451:12 0.000394741 -19 *856:8 *1458:14 0.00108523 -20 *856:8 *1460:14 0 -21 *856:8 *1460:18 0.000268186 -22 *856:8 *1462:8 0 -23 *856:8 *1464:8 0 -*RES -1 *2472:la_data_in_core[96] *856:7 25.1405 -2 *856:7 *856:8 987.918 -3 *856:8 *856:10 4.5 -4 *856:10 *2473:la_data_in[96] 63.4115 -*END - -*D_NET *857 0.209015 -*CONN -*I *2473:la_data_in[97] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[97] O *D mgmt_protect -*CAP -1 *2473:la_data_in[97] 0.000705225 -2 *2472:la_data_in_core[97] 0.00340843 -3 *857:8 0.00823681 -4 *857:7 0.00753159 -5 *857:5 0.00340843 -6 *857:5 *1062:5 0 -7 *857:5 *1113:11 0 -8 *857:5 *1496:5 0 -9 *857:8 *859:8 0.0934141 -10 *857:8 *1073:14 0 -11 *2473:la_data_in[50] *857:5 0 -12 *757:8 *857:8 0.00142645 -13 *855:8 *857:8 0.0908843 -*RES -1 *2472:la_data_in_core[97] *857:5 67.9792 -2 *857:5 *857:7 4.5 -3 *857:7 *857:8 1000.67 -4 *857:8 *2473:la_data_in[97] 20.5727 -*END - -*D_NET *858 0.217553 -*CONN -*I *2473:la_data_in[98] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[98] O *D mgmt_protect -*CAP -1 *2473:la_data_in[98] 0.00292111 -2 *2472:la_data_in_core[98] 0.000899533 -3 *858:10 0.00292111 -4 *858:8 0.00722157 -5 *858:7 0.0081211 -6 *858:7 *2472:la_data_out_core[98] 0 -7 *858:7 *1497:7 0 -8 *858:8 *1001:8 0.00285582 -9 *858:8 *1009:14 0.000830646 -10 *858:8 *1014:16 0.00373348 -11 *858:8 *1017:14 0.000614913 -12 *734:8 *858:8 0.0950108 -13 *856:8 *858:8 0.092423 -*RES -1 *2472:la_data_in_core[98] *858:7 24.7252 -2 *858:7 *858:8 1013.98 -3 *858:8 *858:10 4.5 -4 *858:10 *2473:la_data_in[98] 63.8267 -*END - -*D_NET *859 0.214803 -*CONN -*I *2473:la_data_in[99] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[99] O *D mgmt_protect -*CAP -1 *2473:la_data_in[99] 0.000724344 -2 *2472:la_data_in_core[99] 0.00305591 -3 *859:8 0.00850792 -4 *859:7 0.00778357 -5 *859:5 0.00305591 -6 *859:5 *2472:la_data_out_core[98] 0 -7 *859:5 *1115:11 0 -8 *859:5 *1498:13 0 -9 *859:8 *991:8 0.0954659 -10 *859:8 *1073:14 0 -11 *2473:la_data_in[51] *859:5 0.00104653 -12 *757:8 *859:8 0.00174848 -13 *857:8 *859:8 0.0934141 -*RES -1 *2472:la_data_in_core[99] *859:5 67.564 -2 *859:5 *859:7 4.5 -3 *859:7 *859:8 1027.29 -4 *859:8 *2473:la_data_in[99] 20.988 -*END - -*D_NET *860 0.0403879 -*CONN -*I *2473:la_data_in[9] I *D user_analog_project_wrapper -*I *2472:la_data_in_core[9] O *D mgmt_protect -*CAP -1 *2473:la_data_in[9] 0.00156978 -2 *2472:la_data_in_core[9] 0.00127626 -3 *860:10 0.00156978 -4 *860:8 0.00150623 -5 *860:7 0.00278249 -6 *2473:la_data_in[9] *1625:7 0.000964996 -7 *2473:la_data_in[9] *1755:5 0.000916502 -8 *860:7 *2472:la_data_out_core[9] 0 -9 *860:7 *1489:7 0 -10 *860:8 *1083:8 0.0142387 -11 *860:8 *1094:8 0.000113197 -12 *860:8 *1105:8 0.000685211 -13 *860:8 *1116:8 1.59204e-05 -14 *860:8 *1489:8 3.42853e-05 -15 *838:8 *860:8 0.0147145 -*RES -1 *2472:la_data_in_core[9] *860:7 39.6743 -2 *860:7 *860:8 171.541 -3 *860:8 *860:10 4.5 -4 *860:10 *2473:la_data_in[9] 48.8776 -*END - -*D_NET *861 0.162303 -*CONN -*I *2472:la_data_out_mprj[0] I *D mgmt_protect -*I *2478:la_output[0] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[0] 0.00197154 -2 *2478:la_output[0] 0.00177641 -3 *861:10 0.0133011 -4 *861:9 0.0113296 -5 *861:7 0.00177641 -6 *2472:la_data_out_mprj[0] *2472:la_iena_mprj[0] 0 -7 *2472:la_data_out_mprj[0] *2472:la_oenb_mprj[0] 0 -8 *2472:la_data_out_mprj[0] *872:15 7.60356e-05 -9 *2472:la_data_out_mprj[0] *1308:15 0 -10 *861:7 *1156:7 0 -11 *861:7 *1245:9 0 -12 *605:7 *2472:la_data_out_mprj[0] 0.000455073 -13 *638:19 *2472:la_data_out_mprj[0] 1.54479e-05 -14 *644:8 *861:10 0.0108374 -15 *655:8 *861:10 0.075809 -16 *677:8 *861:10 0.0449551 -*RES -1 *2478:la_output[0] *861:7 47.5464 -2 *861:7 *861:9 4.5 -3 *861:9 *861:10 828.747 -4 *861:10 *2472:la_data_out_mprj[0] 45.9031 -*END - -*D_NET *862 0.0681 -*CONN -*I *2472:la_data_out_mprj[100] I *D mgmt_protect -*I *2478:la_output[100] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[100] 0.000571307 -2 *2478:la_output[100] 0.00157804 -3 *862:16 0.00255958 -4 *862:15 0.00342209 -5 *862:10 0.00308481 -6 *862:9 0.00322904 -7 *2472:la_data_out_mprj[100] *1118:13 0 -8 *862:9 *2472:mprj_dat_o_core[12] 4.67024e-05 -9 *862:9 *865:15 0 -10 *862:9 *1119:7 0 -11 *862:9 *1246:9 0 -12 *862:9 *1652:5 4.18029e-05 -13 *862:10 *984:10 0.00205091 -14 *862:10 *985:12 0.00020476 -15 *862:10 *1121:10 0.00426871 -16 *862:10 *1241:10 0.000114773 -17 *862:10 *1742:30 0.000252021 -18 *862:10 *2186:24 0.000209972 -19 *862:15 *2472:la_data_out_mprj[127] 0.000276424 -20 *862:15 *2472:la_iena_mprj[127] 0.000661124 -21 *862:16 *863:16 0.0210644 -22 *606:7 *2472:la_data_out_mprj[100] 0.000384624 -23 *607:14 *862:10 0.00180637 -24 *609:14 *862:10 4.91225e-06 -25 *724:8 *862:16 0.000366352 -26 *730:8 *862:16 0.0219013 -*RES -1 *2478:la_output[100] *862:9 39.3201 -2 *862:9 *862:10 102.77 -3 *862:10 *862:15 44.4674 -4 *862:15 *862:16 234.212 -5 *862:16 *2472:la_data_out_mprj[100] 23.8947 -*END - -*D_NET *863 0.0732308 -*CONN -*I *2472:la_data_out_mprj[101] I *D mgmt_protect -*I *2478:la_output[101] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[101] 0.000723537 -2 *2478:la_output[101] 0.00144693 -3 *863:16 0.0029451 -4 *863:15 0.00386239 -5 *863:10 0.00288837 -6 *863:9 0.00269447 -7 *2472:la_data_out_mprj[101] *2472:la_iena_mprj[101] 0 -8 *2472:la_data_out_mprj[101] *2472:la_oenb_mprj[100] 0 -9 *863:9 *1120:9 0 -10 *863:9 *1656:5 0 -11 *863:10 *987:12 0.00020273 -12 *863:10 *1241:10 5.49209e-05 -13 *863:10 *1242:10 0.00369876 -14 *863:10 *1243:10 0.000816494 -15 *863:10 *1247:10 0.000820045 -16 *863:10 *1368:10 0.000838111 -17 *863:10 *1652:8 0.00219313 -18 *863:10 *1714:16 0.000141764 -19 *863:10 *1716:10 0.000101365 -20 *863:15 *2472:mprj_cyc_o_core 0.000626404 -21 *863:15 *2472:mprj_stb_o_core 1.44467e-05 -22 *863:15 *2472:mprj_we_o_core 0 -23 *863:15 *1240:9 5.88009e-05 -24 *607:7 *2472:la_data_out_mprj[101] 0 -25 *608:8 *863:16 0.00319215 -26 *609:14 *863:10 0.0100281 -27 *724:8 *863:16 0.0145105 -28 *730:8 *863:16 0.000307881 -29 *862:16 *863:16 0.0210644 -*RES -1 *2478:la_output[101] *863:9 35.5584 -2 *863:9 *863:10 117.19 -3 *863:10 *863:15 46.9589 -4 *863:15 *863:16 233.102 -5 *863:16 *2472:la_data_out_mprj[101] 23.4795 -*END - -*D_NET *864 0.05078 -*CONN -*I *2472:la_data_out_mprj[102] I *D mgmt_protect -*I *2478:la_output[102] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[102] 0.00100731 -2 *2478:la_output[102] 0.00156363 -3 *864:16 0.00543351 -4 *864:15 0.0051529 -5 *864:10 0.00469848 -6 *864:9 0.00553541 -7 *2472:la_data_out_mprj[102] *2472:la_iena_mprj[102] 0 -8 *2472:la_data_out_mprj[102] *2472:la_oenb_mprj[101] 0 -9 *2472:la_data_out_mprj[102] *2472:la_oenb_mprj[102] 0 -10 *2472:la_data_out_mprj[102] *1357:15 5.41467e-05 -11 *2472:la_data_out_mprj[102] *1358:15 0 -12 *864:9 *2472:mprj_dat_o_core[18] 0.000592024 -13 *864:9 *1121:9 0 -14 *864:9 *1248:9 0 -15 *864:10 *985:12 0.00011282 -16 *864:10 *1120:15 0 -17 *864:10 *1121:10 0.00615173 -18 *864:10 *1122:12 0.000691691 -19 *864:10 *1658:8 0 -20 *864:10 *1738:10 0.000101365 -21 *864:10 *1740:12 0.000511918 -22 *864:15 *2472:la_data_out_mprj[126] 0.000288213 -23 *864:16 *1136:16 0.000245858 -24 *864:16 *1257:16 0.000276368 -25 *864:16 *1259:16 0.00140664 -26 *864:16 *1263:16 0.00755784 -27 *864:16 *1265:16 0.000713488 -28 *864:16 *1268:16 0.00433832 -29 *864:16 *1367:16 0.000250133 -30 *864:16 *1369:16 0.00240627 -31 *608:7 *2472:la_data_out_mprj[102] 0.00035459 -32 *634:5 *864:15 0.00133537 -33 *722:8 *864:16 0 -*RES -1 *2478:la_output[102] *864:9 40.9811 -2 *864:9 *864:10 154.903 -3 *864:10 *864:15 33.6709 -4 *864:15 *864:16 205.372 -5 *864:16 *2472:la_data_out_mprj[102] 33.0303 -*END - -*D_NET *865 0.0803157 -*CONN -*I *2472:la_data_out_mprj[103] I *D mgmt_protect -*I *2478:la_output[103] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[103] 0.000865806 -2 *2478:la_output[103] 0.00144969 -3 *865:16 0.00390634 -4 *865:15 0.00410288 -5 *865:10 0.00160941 -6 *865:9 0.00199676 -7 *2472:la_data_out_mprj[103] *2472:la_iena_mprj[103] 0 -8 *2472:la_data_out_mprj[103] *2472:la_oenb_mprj[102] 0 -9 *865:9 *1122:9 0 -10 *865:9 *1249:9 0 -11 *865:9 *1607:15 3.479e-05 -12 *865:10 *1247:10 0.000995201 -13 *865:10 *1607:16 0.0019047 -14 *865:10 *1652:8 0.00528779 -15 *865:10 *1718:10 0.000267904 -16 *865:15 *2472:mprj_adr_o_core[14] 0 -17 *865:15 *1119:7 0.00173403 -18 *865:16 *1119:10 0.00144738 -19 *865:16 *1671:8 2.50997e-05 -20 *865:16 *1675:8 0.000294016 -21 *865:16 *1677:8 0.000525232 -22 *865:16 *1679:8 0.00302127 -23 *606:8 *865:16 0.000656515 -24 *609:7 *2472:la_data_out_mprj[103] 0 -25 *610:13 *865:15 0.00167282 -26 *729:8 *865:16 0.0223765 -27 *731:8 *865:16 0.0261415 -28 *862:9 *865:15 0 -*RES -1 *2478:la_output[103] *865:9 36.4134 -2 *865:9 *865:10 56.1838 -3 *865:10 *865:15 45.2979 -4 *865:15 *865:16 315.738 -5 *865:16 *2472:la_data_out_mprj[103] 25.971 -*END - -*D_NET *866 0.0844795 -*CONN -*I *2472:la_data_out_mprj[104] I *D mgmt_protect -*I *2478:la_output[104] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[104] 0.000512646 -2 *2478:la_output[104] 0.00200276 -3 *866:16 0.00307926 -4 *866:15 0.00363134 -5 *866:10 0.00214597 -6 *866:9 0.00308401 -7 *2472:la_data_out_mprj[104] *2472:la_iena_mprj[104] 0 -8 *2472:la_data_out_mprj[104] *1359:13 5.05252e-05 -9 *866:9 *1136:15 8.62625e-06 -10 *866:9 *1250:7 0 -11 *866:9 *1666:5 8.06219e-05 -12 *866:10 *868:14 0.000404686 -13 *866:10 *868:18 0.00914234 -14 *866:10 *888:10 0.000203074 -15 *866:10 *1124:10 0.00100215 -16 *866:10 *1129:10 0.00167496 -17 *866:10 *1249:10 0.00944613 -18 *866:15 *2472:mprj_adr_o_core[6] 0.000309256 -19 *866:15 *2472:mprj_dat_o_core[5] 0.0014697 -20 *866:16 *867:20 0.000567482 -21 *866:16 *1581:24 0 -22 *607:8 *866:16 0.0212002 -23 *610:7 *2472:la_data_out_mprj[104] 0.000335368 -24 *610:8 *866:16 0.0241284 -*RES -1 *2478:la_output[104] *866:9 47.2099 -2 *866:9 *866:10 124.955 -3 *866:10 *866:15 38.6539 -4 *866:15 *866:16 258.614 -5 *866:16 *2472:la_data_out_mprj[104] 21.8185 -*END - -*D_NET *867 0.0758457 -*CONN -*I *2472:la_data_out_mprj[105] I *D mgmt_protect -*I *2478:la_output[105] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[105] 0.000623268 -2 *2478:la_output[105] 0.00185625 -3 *867:20 0.00289002 -4 *867:19 0.00334987 -5 *867:14 0.0026513 -6 *867:12 0.00158881 -7 *867:10 0.00219558 -8 *867:9 0.0040312 -9 *2472:la_data_out_mprj[105] *2472:la_iena_mprj[105] 0 -10 *867:9 *2472:mprj_adr_o_core[28] 8.5712e-05 -11 *867:9 *1124:9 0 -12 *867:9 *1251:9 0 -13 *867:9 *1669:5 0.00039899 -14 *867:10 *868:14 0.00453562 -15 *867:10 *868:18 0.00199208 -16 *867:10 *1120:15 0.000229186 -17 *867:10 *1647:10 0.000101537 -18 *867:10 *1658:8 0 -19 *867:10 *1659:8 0 -20 *867:14 *868:18 0.00622836 -21 *867:19 *2472:mprj_dat_o_core[3] 0.000343352 -22 *867:19 *1674:5 0.00157513 -23 *867:20 *1581:24 0.000350848 -24 *607:8 *867:20 0.0203805 -25 *609:8 *867:20 0.0195588 -26 *611:7 *2472:la_data_out_mprj[105] 0 -27 *611:16 *867:10 0.000311854 -28 *866:16 *867:20 0.000567482 -*RES -1 *2478:la_output[105] *867:9 45.9642 -2 *867:9 *867:10 96.3925 -3 *867:10 *867:12 0.578717 -4 *867:12 *867:14 66.4439 -5 *867:14 *867:19 40.3149 -6 *867:19 *867:20 232.548 -7 *867:20 *2472:la_data_out_mprj[105] 20.988 -*END - -*D_NET *868 0.0737216 -*CONN -*I *2472:la_data_out_mprj[106] I *D mgmt_protect -*I *2478:la_output[106] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[106] 0.000888853 -2 *2478:la_output[106] 0.00206858 -3 *868:24 0.00383844 -4 *868:23 0.0039773 -5 *868:18 0.00258408 -6 *868:16 0.001577 -7 *868:14 0.000813155 -8 *868:12 0.0028611 -9 *2472:la_data_out_mprj[106] *2472:la_iena_mprj[106] 0 -10 *868:12 *2472:mprj_dat_o_core[30] 0 -11 *868:12 *1125:9 0 -12 *868:12 *1129:10 8.29362e-06 -13 *868:12 *1252:7 0 -14 *868:12 *1257:10 7.32024e-06 -15 *868:14 *1129:10 0.00549653 -16 *868:14 *1257:10 0.000269426 -17 *868:14 *1659:8 0 -18 *868:18 *1249:10 0.00136247 -19 *868:23 *2472:mprj_adr_o_core[1] 0 -20 *868:23 *2472:mprj_dat_o_core[1] 0.000408405 -21 *868:24 *1252:10 0.00468174 -22 *868:24 *1257:16 0.000953041 -23 *868:24 *1269:16 0.000810095 -24 *868:24 *1273:16 0.000698398 -25 *868:24 *1275:20 0.00207401 -26 *612:5 *2472:la_data_out_mprj[106] 0.000421916 -27 *722:8 *868:24 0.00695434 -28 *723:8 *868:24 0.00866402 -29 *866:10 *868:14 0.000404686 -30 *866:10 *868:18 0.00914234 -31 *867:10 *868:14 0.00453562 -32 *867:10 *868:18 0.00199208 -33 *867:14 *868:18 0.00622836 -*RES -1 *2478:la_output[106] *868:12 46.989 -2 *868:12 *868:14 68.6623 -3 *868:14 *868:16 0.578717 -4 *868:16 *868:18 131.055 -5 *868:18 *868:23 31.1794 -6 *868:23 *868:24 207.591 -7 *868:24 *2472:la_data_out_mprj[106] 29.7083 -*END - -*D_NET *869 0.0941292 -*CONN -*I *2472:la_data_out_mprj[107] I *D mgmt_protect -*I *2478:la_output[107] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[107] 0.00140351 -2 *2478:la_output[107] 0.00216684 -3 *869:10 0.00467935 -4 *869:9 0.00544268 -5 *2472:la_data_out_mprj[107] *2472:la_iena_mprj[107] 0 -6 *2472:la_data_out_mprj[107] *1365:15 0.00110887 -7 *2472:la_data_out_mprj[107] *1367:15 0.000888202 -8 *869:9 *1126:9 0 -9 *869:9 *1253:7 0 -10 *869:10 *888:10 0.0013625 -11 *869:10 *1123:14 0.000163429 -12 *869:10 *1124:10 8.90486e-05 -13 *869:10 *1125:10 0.0377856 -14 *869:10 *1126:10 0.000371267 -15 *869:10 *1127:10 0.0375902 -16 *869:10 *1143:10 0.000279817 -17 *869:10 *1259:10 0.00079792 -18 *613:5 *2472:la_data_out_mprj[107] 0 -*RES -1 *2478:la_output[107] *869:9 48.8709 -2 *869:9 *869:10 418.34 -3 *869:10 *2472:la_data_out_mprj[107] 49.6404 -*END - -*D_NET *870 0.0934568 -*CONN -*I *2472:la_data_out_mprj[108] I *D mgmt_protect -*I *2478:la_output[108] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[108] 0.00139999 -2 *2478:la_output[108] 0.00141303 -3 *870:16 0.00524932 -4 *870:15 0.00403584 -5 *870:7 0.00159954 -6 *2472:la_data_out_mprj[108] *2472:la_iena_mprj[108] 0 -7 *870:7 *1577:8 0.000849418 -8 *870:7 *1578:12 0.00231348 -9 *870:7 *2194:8 0 -10 *870:15 *1130:14 0.00073191 -11 *870:15 *1147:10 0.000736108 -12 *870:15 *1265:15 2.05972e-05 -13 *870:15 *1597:29 7.09666e-06 -14 *870:16 *874:10 0.0373543 -15 *870:16 *879:14 0.000830101 -16 *870:16 *890:10 0.000235438 -17 *870:16 *1121:16 0.000580087 -18 *870:16 *1123:14 0.000111438 -19 *870:16 *1129:16 0.000111068 -20 *870:16 *1137:16 0.0314684 -21 *870:16 *1605:24 0.000409538 -22 *614:5 *2472:la_data_out_mprj[108] 0.000723931 -23 *720:8 *870:16 0.00327618 -*RES -1 *2478:la_output[108] *870:7 46.4472 -2 *870:7 *870:15 23.2652 -3 *870:15 *870:16 422.777 -4 *870:16 *2472:la_data_out_mprj[108] 45.9031 -*END - -*D_NET *871 0.0991049 -*CONN -*I *2472:la_data_out_mprj[109] I *D mgmt_protect -*I *2478:la_output[109] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[109] 0.00167658 -2 *2478:la_output[109] 0.00222667 -3 *871:10 0.00501123 -4 *871:9 0.00333465 -5 *871:7 0.00222667 -6 *2472:la_data_out_mprj[109] *2472:la_iena_mprj[109] 0 -7 *2472:la_data_out_mprj[109] *1369:15 0.000316275 -8 *871:7 *1255:7 0 -9 *871:10 *889:10 0.00159877 -10 *871:10 *1123:14 0.032718 -11 *871:10 *1126:10 0.0382613 -12 *871:10 *1127:10 0.00037641 -13 *871:10 *1130:14 5.51483e-06 -14 *871:10 *1147:10 0.00884108 -15 *871:10 *1265:10 0.00251177 -16 *615:5 *2472:la_data_out_mprj[109] 0 -*RES -1 *2478:la_output[109] *871:7 45.6167 -2 *871:7 *871:9 4.5 -3 *871:9 *871:10 441.633 -4 *871:10 *2472:la_data_out_mprj[109] 48.3946 -*END - -*D_NET *872 0.156195 -*CONN -*I *2472:la_data_out_mprj[10] I *D mgmt_protect -*I *2478:la_output[10] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[10] 0.00163649 -2 *2478:la_output[10] 0.00204683 -3 *872:16 0.00233808 -4 *872:15 0.000796014 -5 *872:10 0.00468323 -6 *872:9 0.0045888 -7 *872:7 0.00204683 -8 *2472:la_data_out_mprj[10] *2472:la_iena_mprj[10] 0 -9 *872:7 *1139:9 0 -10 *872:7 *1256:7 0 -11 *872:10 *883:10 0.0576273 -12 *872:10 *892:10 0.0556816 -13 *872:16 *897:10 0.000143882 -14 *2472:la_data_out_mprj[0] *872:15 7.60356e-05 -15 *616:7 *2472:la_data_out_mprj[10] 0.000565095 -16 *637:11 *2472:la_data_out_mprj[10] 0.000364941 -17 *638:19 *872:15 7.09666e-06 -18 *655:8 *872:16 0.00142653 -19 *656:8 *872:16 3.83172e-05 -20 *666:8 *872:10 0.00675766 -21 *668:13 *2472:la_data_out_mprj[10] 8.62625e-06 -22 *669:8 *872:16 0.00655097 -23 *670:10 *872:16 0.00490087 -24 *677:8 *872:16 0.00303416 -25 *699:8 *872:10 0.000861794 -26 *699:8 *872:16 1.41689e-05 -*RES -1 *2478:la_output[10] *872:7 49.7692 -2 *872:7 *872:9 4.5 -3 *872:9 *872:10 625.762 -4 *872:10 *872:15 10.832 -5 *872:15 *872:16 85.5777 -6 *872:16 *2472:la_data_out_mprj[10] 45.9031 -*END - -*D_NET *873 0.0753114 -*CONN -*I *2472:la_data_out_mprj[110] I *D mgmt_protect -*I *2478:la_output[110] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[110] 0.00115634 -2 *2478:la_output[110] 1.28869e-05 -3 *873:10 0.00926447 -4 *873:9 0.00810813 -5 *873:7 0.00281427 -6 *873:5 0.00282715 -7 *2472:la_data_out_mprj[110] *2472:la_iena_mprj[110] 0 -8 *873:7 *1130:7 0 -9 *873:7 *1132:15 2.51488e-05 -10 *873:10 *875:10 5.24855e-05 -11 *873:10 *1131:10 0.0409782 -12 *873:10 *1136:16 0 -13 *873:10 *1141:16 0 -14 *873:10 *1147:16 0.0042523 -15 *873:10 *1582:16 0.000897843 -16 *873:10 *1598:24 0.000594511 -17 *873:10 *1598:28 0.00376841 -18 *617:5 *2472:la_data_out_mprj[110] 0.000559246 -*RES -1 *2478:la_output[110] *873:5 0.366399 -2 *873:5 *873:7 54.3614 -3 *873:7 *873:9 4.5 -4 *873:9 *873:10 456.053 -5 *873:10 *2472:la_data_out_mprj[110] 37.598 -*END - -*D_NET *874 0.102609 -*CONN -*I *2472:la_data_out_mprj[111] I *D mgmt_protect -*I *2478:la_output[111] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[111] 0.00173945 -2 *2478:la_output[111] 0.00232741 -3 *874:10 0.00530406 -4 *874:9 0.00356461 -5 *874:7 0.00232741 -6 *2472:la_data_out_mprj[111] *2472:la_iena_mprj[111] 0 -7 *874:7 *1131:7 0 -8 *874:7 *1258:7 0 -9 *874:10 *890:10 0.00161466 -10 *874:10 *1129:16 5.65165e-05 -11 *874:10 *1130:12 0.000111708 -12 *874:10 *1130:14 0.000735234 -13 *874:10 *1132:16 0.0404023 -14 *874:10 *1137:16 1.41853e-05 -15 *874:10 *1269:10 0.000636915 -16 *874:10 *1597:24 0.00642016 -17 *618:5 *2472:la_data_out_mprj[111] 0 -18 *870:16 *874:10 0.0373543 -*RES -1 *2478:la_output[111] *874:7 47.6929 -2 *874:7 *874:9 4.5 -3 *874:9 *874:10 464.927 -4 *874:10 *2472:la_data_out_mprj[111] 46.3183 -*END - -*D_NET *875 0.104947 -*CONN -*I *2472:la_data_out_mprj[112] I *D mgmt_protect -*I *2478:la_output[112] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[112] 0.00121501 -2 *2478:la_output[112] 8.30061e-05 -3 *875:10 0.00527436 -4 *875:9 0.00405934 -5 *875:7 0.00271559 -6 *875:5 0.00279859 -7 *2472:la_data_out_mprj[112] *2472:la_iena_mprj[112] 0 -8 *875:7 *1132:7 0 -9 *875:7 *1259:9 0 -10 *875:10 *876:10 0.0440031 -11 *875:10 *877:10 0 -12 *875:10 *1131:10 0.000282235 -13 *875:10 *1133:10 0.000366369 -14 *875:10 *1134:10 0.0421852 -15 *875:10 *1584:24 0.00133953 -16 *619:5 *2472:la_data_out_mprj[112] 0.000572208 -17 *873:10 *875:10 5.24855e-05 -*RES -1 *2478:la_output[112] *875:5 2.05183 -2 *875:5 *875:7 53.1156 -3 *875:7 *875:9 4.5 -4 *875:9 *875:10 477.128 -5 *875:10 *2472:la_data_out_mprj[112] 38.8438 -*END - -*D_NET *876 0.107933 -*CONN -*I *2472:la_data_out_mprj[113] I *D mgmt_protect -*I *2478:la_output[113] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[113] 0.00145514 -2 *2478:la_output[113] 8.30061e-05 -3 *876:10 0.00542399 -4 *876:9 0.00396885 -5 *876:7 0.0027341 -6 *876:5 0.0028171 -7 *2472:la_data_out_mprj[113] *2472:la_iena_mprj[113] 0 -8 *876:7 *1133:7 0 -9 *876:7 *1137:15 1.24189e-05 -10 *876:7 *1260:7 0 -11 *876:10 *1131:10 0.042565 -12 *876:10 *1134:10 0.000473003 -13 *876:10 *1271:10 0.000724449 -14 *876:10 *1273:10 0.000954996 -15 *876:10 *1274:10 0.000904135 -16 *876:10 *1583:24 0.00181421 -17 *876:10 *1598:24 0 -18 *620:5 *2472:la_data_out_mprj[113] 0 -19 *875:10 *876:10 0.0440031 -*RES -1 *2478:la_output[113] *876:5 2.05183 -2 *876:5 *876:7 53.5309 -3 *876:7 *876:9 4.5 -4 *876:9 *876:10 488.775 -5 *876:10 *2472:la_data_out_mprj[113] 38.4285 -*END - -*D_NET *877 0.106225 -*CONN -*I *2472:la_data_out_mprj[114] I *D mgmt_protect -*I *2478:la_output[114] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[114] 0.00112109 -2 *2478:la_output[114] 8.30061e-05 -3 *877:10 0.00569852 -4 *877:9 0.00457742 -5 *877:7 0.00242687 -6 *877:5 0.00250988 -7 *2472:la_data_out_mprj[114] *2472:la_iena_mprj[114] 0 -8 *877:7 *1134:7 0 -9 *877:7 *1261:7 0 -10 *877:10 *878:16 0 -11 *877:10 *880:14 0 -12 *877:10 *881:14 0.000189695 -13 *877:10 *884:14 0.0422668 -14 *877:10 *886:10 0.0406227 -15 *877:10 *1121:16 0.000120718 -16 *877:10 *1133:10 0 -17 *877:10 *1138:20 0.00015542 -18 *877:10 *1251:16 0.00271912 -19 *877:10 *1595:24 0.0015278 -20 *621:7 *2472:la_data_out_mprj[114] 0.00220578 -21 *722:11 *2472:la_data_out_mprj[114] 0 -22 *875:10 *877:10 0 -*RES -1 *2478:la_output[114] *877:5 2.05183 -2 *877:5 *877:7 48.9631 -3 *877:7 *877:9 4.5 -4 *877:9 *877:10 500.421 -5 *877:10 *2472:la_data_out_mprj[114] 42.9963 -*END - -*D_NET *878 0.112249 -*CONN -*I *2472:la_data_out_mprj[115] I *D mgmt_protect -*I *2478:la_output[115] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[115] 0.00155017 -2 *2478:la_output[115] 0.00224503 -3 *878:16 0.0052187 -4 *878:15 0.00407048 -5 *878:10 0.000965124 -6 *878:9 0.00056318 -7 *878:7 0.00224503 -8 *2472:la_data_out_mprj[115] *2472:la_iena_mprj[115] 0 -9 *878:7 *1135:7 0 -10 *878:7 *1262:9 0 -11 *878:10 *890:10 0.000677329 -12 *878:10 *1137:10 0.00400945 -13 *878:10 *1269:10 0.00718301 -14 *878:10 *1597:24 0.00142889 -15 *878:15 *1131:7 9.04208e-05 -16 *878:16 *880:14 0.0394687 -17 *878:16 *1133:10 0.0410764 -18 *878:16 *1585:24 0.00145702 -19 *622:7 *2472:la_data_out_mprj[115] 0 -20 *722:11 *2472:la_data_out_mprj[115] 0 -21 *877:10 *878:16 0 -*RES -1 *2478:la_output[115] *878:7 46.7159 -2 *878:7 *878:9 4.5 -3 *878:9 *878:10 75.5949 -4 *878:10 *878:15 15.815 -5 *878:15 *878:16 437.196 -6 *878:16 *2472:la_data_out_mprj[115] 40.0896 -*END - -*D_NET *879 0.115219 -*CONN -*I *2472:la_data_out_mprj[116] I *D mgmt_protect -*I *2478:la_output[116] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[116] 0.00170948 -2 *2478:la_output[116] 0.00233431 -3 *879:14 0.00526791 -4 *879:12 0.00357712 -5 *879:10 0.000383364 -6 *879:9 0.00036467 -7 *879:7 0.00233431 -8 *2472:la_data_out_mprj[116] *2472:la_iena_mprj[116] 0 -9 *2472:la_data_out_mprj[116] *1262:13 0 -10 *879:7 *1136:9 0 -11 *879:7 *1263:9 0 -12 *879:10 *1135:12 0.00338505 -13 *879:10 *1135:14 1.67988e-05 -14 *879:10 *1597:16 0.00485917 -15 *879:10 *1598:18 0.000513755 -16 *879:10 *1602:24 0.000277488 -17 *879:10 *1605:24 0.000195504 -18 *879:12 *1135:12 9.95922e-06 -19 *879:12 *1597:22 9.95922e-06 -20 *879:14 *890:10 0.000203074 -21 *879:14 *1121:16 9.16785e-05 -22 *879:14 *1135:14 0.0443422 -23 *879:14 *1137:16 0.0417171 -24 *879:14 *1597:16 1.67988e-05 -25 *879:14 *1597:22 0.000906101 -26 *879:14 *1597:24 1.41853e-05 -27 *879:14 *1600:24 0.00173269 -28 *623:7 *2472:la_data_out_mprj[116] 0.000126215 -29 *870:16 *879:14 0.000830101 -*RES -1 *2478:la_output[116] *879:7 48.5234 -2 *879:7 *879:9 4.5 -3 *879:9 *879:10 51.1923 -4 *879:10 *879:12 0.578717 -5 *879:12 *879:14 472.691 -6 *879:14 *2472:la_data_out_mprj[116] 45.0726 -*END - -*D_NET *880 0.115484 -*CONN -*I *2472:la_data_out_mprj[117] I *D mgmt_protect -*I *2478:la_output[117] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[117] 0.00159211 -2 *2478:la_output[117] 8.30061e-05 -3 *880:14 0.00507098 -4 *880:12 0.0035019 -5 *880:10 0.000862172 -6 *880:9 0.000839138 -7 *880:7 0.00258331 -8 *880:5 0.00266632 -9 *2472:la_data_out_mprj[117] *2472:la_iena_mprj[117] 0 -10 *2472:la_data_out_mprj[117] *2472:la_oenb_mprj[116] 0 -11 *2472:la_data_out_mprj[117] *2472:la_oenb_mprj[117] 0 -12 *880:7 *1137:7 0 -13 *880:7 *1264:9 0 -14 *880:10 *882:14 0.00465318 -15 *880:10 *882:18 0.00245579 -16 *880:10 *1133:10 0.00294008 -17 *880:10 *1134:10 1.41853e-05 -18 *880:10 *1275:14 0.00717656 -19 *880:10 *1585:24 0.000910857 -20 *880:14 *881:14 0.000373913 -21 *880:14 *882:18 0.0386691 -22 *880:14 *1133:10 0.000364336 -23 *880:14 *1586:24 0.00125879 -24 *624:7 *2472:la_data_out_mprj[117] 0 -25 *723:11 *2472:la_data_out_mprj[117] 0 -26 *877:10 *880:14 0 -27 *878:16 *880:14 0.0394687 -*RES -1 *2478:la_output[117] *880:5 2.05183 -2 *880:5 *880:7 51.8699 -3 *880:7 *880:9 4.5 -4 *880:9 *880:10 106.93 -5 *880:10 *880:12 0.578717 -6 *880:12 *880:14 428.6 -7 *880:14 *2472:la_data_out_mprj[117] 40.5048 -*END - -*D_NET *881 0.121064 -*CONN -*I *2472:la_data_out_mprj[118] I *D mgmt_protect -*I *2478:la_output[118] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[118] 0.00157744 -2 *2478:la_output[118] 8.30061e-05 -3 *881:14 0.00531517 -4 *881:12 0.00375713 -5 *881:10 0.00046581 -6 *881:9 0.000446411 -7 *881:7 0.00251306 -8 *881:5 0.00259607 -9 *2472:la_data_out_mprj[118] *2472:la_iena_mprj[118] 0 -10 *2472:la_data_out_mprj[118] *2472:la_oenb_mprj[117] 0 -11 *881:7 *1265:9 0 -12 *881:10 *882:14 0.00649905 -13 *881:10 *885:10 0.00639284 -14 *881:10 *885:14 9.82896e-06 -15 *881:10 *1138:20 1.92172e-05 -16 *881:10 *1586:24 0.000690326 -17 *881:12 *882:16 9.95922e-06 -18 *881:12 *885:12 4.31603e-06 -19 *881:14 *882:14 1.67988e-05 -20 *881:14 *882:18 0.044178 -21 *881:14 *1138:20 0.0440273 -22 *881:14 *1586:24 0.000118134 -23 *881:14 *1588:24 0.0016364 -24 *625:7 *2472:la_data_out_mprj[118] 0.000143764 -25 *877:10 *881:14 0.000189695 -26 *880:14 *881:14 0.000373913 -*RES -1 *2478:la_output[118] *881:5 2.05183 -2 *881:5 *881:7 51.0394 -3 *881:7 *881:9 4.5 -4 *881:9 *881:10 68.385 -5 *881:10 *881:12 0.578717 -6 *881:12 *881:14 478.792 -7 *881:14 *2472:la_data_out_mprj[118] 41.3353 -*END - -*D_NET *882 0.121146 -*CONN -*I *2472:la_data_out_mprj[119] I *D mgmt_protect -*I *2478:la_output[119] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[119] 0.00140193 -2 *2478:la_output[119] 8.30061e-05 -3 *882:18 0.00510708 -4 *882:16 0.00372601 -5 *882:14 0.000558118 -6 *882:12 0.000676048 -7 *882:7 0.00264901 -8 *882:5 0.00259323 -9 *2472:la_data_out_mprj[119] *2472:la_iena_mprj[119] 0 -10 *2472:la_data_out_mprj[119] *2472:la_oenb_mprj[118] 0 -11 *882:7 *1140:7 0 -12 *882:7 *1266:7 0 -13 *882:12 *885:10 0.00142652 -14 *882:12 *1275:14 9.82896e-06 -15 *882:12 *1608:24 0.00137341 -16 *882:14 *885:10 0.00023152 -17 *882:14 *1275:14 0.00110066 -18 *882:14 *1586:24 0.000719048 -19 *882:18 *1586:24 0.00203317 -20 *626:7 *2472:la_data_out_mprj[119] 0 -21 *724:11 *2472:la_data_out_mprj[119] 0.000975783 -22 *880:10 *882:14 0.00465318 -23 *880:10 *882:18 0.00245579 -24 *880:14 *882:18 0.0386691 -25 *881:10 *882:14 0.00649905 -26 *881:12 *882:16 9.95922e-06 -27 *881:14 *882:14 1.67988e-05 -28 *881:14 *882:18 0.044178 -*RES -1 *2478:la_output[119] *882:5 2.05183 -2 *882:5 *882:7 51.0394 -3 *882:7 *882:12 20.2218 -4 *882:12 *882:14 74.4857 -5 *882:14 *882:16 0.578717 -6 *882:16 *882:18 469.363 -7 *882:18 *2472:la_data_out_mprj[119] 40.9201 -*END - -*D_NET *883 0.15312 -*CONN -*I *2472:la_data_out_mprj[11] I *D mgmt_protect -*I *2478:la_output[11] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[11] 0.0014588 -2 *2478:la_output[11] 0.00205392 -3 *883:10 0.00651724 -4 *883:9 0.00505844 -5 *883:7 0.00205392 -6 *2472:la_data_out_mprj[11] *2472:la_iena_mprj[11] 0 -7 *883:7 *1148:7 0 -8 *883:7 *1267:9 0 -9 *883:10 *892:10 1.41853e-05 -10 *883:10 *892:14 0.00150102 -11 *883:10 *900:10 0.00589407 -12 *2478:la_input[46] *2472:la_data_out_mprj[11] 9.35753e-06 -13 *627:7 *2472:la_data_out_mprj[11] 0.00214562 -14 *638:16 *883:10 0.00265596 -15 *669:8 *883:10 0.000127366 -16 *671:8 *883:10 0.00376477 -17 *699:8 *883:10 0.0622378 -18 *872:10 *883:10 0.0576273 -*RES -1 *2478:la_output[11] *883:7 49.3539 -2 *883:7 *883:9 4.5 -3 *883:9 *883:10 698.415 -4 *883:10 *2472:la_data_out_mprj[11] 46.5138 -*END - -*D_NET *884 0.121321 -*CONN -*I *2472:la_data_out_mprj[120] I *D mgmt_protect -*I *2478:la_output[120] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[120] 0.00166377 -2 *2478:la_output[120] 5.96331e-05 -3 *884:14 0.00566984 -4 *884:12 0.00402911 -5 *884:10 0.000526111 -6 *884:9 0.000503077 -7 *884:7 0.00242692 -8 *884:5 0.00248655 -9 *2472:la_data_out_mprj[120] *2472:la_iena_mprj[120] 0 -10 *2472:la_data_out_mprj[120] *2472:la_oenb_mprj[120] 0 -11 *884:7 *1141:9 0 -12 *884:7 *1612:29 3.60933e-06 -13 *884:10 *885:10 0.00029642 -14 *884:10 *1138:10 0.00161884 -15 *884:10 *1589:16 0.00211887 -16 *884:10 *1591:28 0.000238938 -17 *884:10 *1610:24 0.0026351 -18 *884:10 *1612:24 0.000167076 -19 *884:14 *885:10 9.82896e-06 -20 *884:14 *885:14 0.0427232 -21 *884:14 *886:10 0.000159075 -22 *884:14 *1138:10 0.00240578 -23 *884:14 *1138:20 0.000381458 -24 *884:14 *1589:16 0.00635887 -25 *884:14 *1591:28 0.00242457 -26 *628:7 *2472:la_data_out_mprj[120] 0.000147773 -27 *877:10 *884:14 0.0422668 -*RES -1 *2478:la_output[120] *884:5 1.49002 -2 *884:5 *884:7 49.7936 -3 *884:7 *884:9 4.5 -4 *884:9 *884:10 52.0242 -5 *884:10 *884:12 0.578717 -6 *884:12 *884:14 519.555 -7 *884:14 *2472:la_data_out_mprj[120] 42.5811 -*END - -*D_NET *885 0.12704 -*CONN -*I *2472:la_data_out_mprj[121] I *D mgmt_protect -*I *2478:la_output[121] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[121] 0.00173147 -2 *2478:la_output[121] 8.30061e-05 -3 *885:14 0.00517088 -4 *885:12 0.00348285 -5 *885:10 0.00100623 -6 *885:9 0.000962783 -7 *885:7 0.00246269 -8 *885:5 0.0025457 -9 *2472:la_data_out_mprj[121] *2472:la_iena_mprj[121] 0 -10 *2472:la_data_out_mprj[121] *2472:la_oenb_mprj[120] 0 -11 *885:7 *1142:7 0 -12 *885:7 *1269:7 0 -13 *885:10 *1138:10 0.00696638 -14 *885:10 *1588:24 0.000781986 -15 *885:10 *1608:24 0.0015295 -16 *885:10 *1610:24 0.00448322 -17 *885:10 *1649:14 0.000436811 -18 *885:14 *1138:20 0.0426659 -19 *885:14 *1591:28 0.0016364 -20 *629:7 *2472:la_data_out_mprj[121] 0 -21 *881:10 *885:10 0.00639284 -22 *881:10 *885:14 9.82896e-06 -23 *881:12 *885:12 4.31603e-06 -24 *882:12 *885:10 0.00142652 -25 *882:14 *885:10 0.00023152 -26 *884:10 *885:10 0.00029642 -27 *884:14 *885:10 9.82896e-06 -28 *884:14 *885:14 0.0427232 -*RES -1 *2478:la_output[121] *885:5 2.05183 -2 *885:5 *885:7 50.6241 -3 *885:7 *885:9 4.5 -4 *885:9 *885:10 128.282 -5 *885:10 *885:12 0.988641 -6 *885:12 *885:14 453.835 -7 *885:14 *2472:la_data_out_mprj[121] 42.1658 -*END - -*D_NET *886 0.131783 -*CONN -*I *2472:la_data_out_mprj[122] I *D mgmt_protect -*I *2478:la_output[122] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[122] 0.00174789 -2 *2478:la_output[122] 8.30061e-05 -3 *886:10 0.00612712 -4 *886:9 0.00437923 -5 *886:7 0.0023274 -6 *886:5 0.0024104 -7 *2472:la_data_out_mprj[122] *2472:la_iena_mprj[122] 0 -8 *2472:la_data_out_mprj[122] *2472:la_oenb_mprj[121] 0 -9 *2472:la_data_out_mprj[122] *2472:la_oenb_mprj[122] 0 -10 *886:7 *1143:9 0 -11 *886:7 *1270:9 0 -12 *886:10 *887:10 0.0552174 -13 *886:10 *1121:16 0.000371284 -14 *886:10 *1589:16 0.0150653 -15 *886:10 *1595:24 0.00311094 -16 *630:7 *2472:la_data_out_mprj[122] 0.000160708 -17 *877:10 *886:10 0.0406227 -18 *884:14 *886:10 0.000159075 -*RES -1 *2478:la_output[122] *886:5 2.05183 -2 *886:5 *886:7 48.5479 -3 *886:7 *886:9 4.5 -4 *886:9 *886:10 594.149 -5 *886:10 *2472:la_data_out_mprj[122] 43.4116 -*END - -*D_NET *887 0.133026 -*CONN -*I *2472:la_data_out_mprj[123] I *D mgmt_protect -*I *2478:la_output[123] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[123] 0.00184313 -2 *2478:la_output[123] 8.30061e-05 -3 *887:10 0.00630661 -4 *887:9 0.00446348 -5 *887:7 0.00228408 -6 *887:5 0.00236709 -7 *2472:la_data_out_mprj[123] *2472:la_iena_mprj[123] 0 -8 *887:7 *1144:7 0 -9 *887:7 *1271:7 0 -10 *887:10 *1121:16 0.000330596 -11 *887:10 *1135:14 0.00029642 -12 *887:10 *1144:10 0.0561203 -13 *887:10 *1589:16 0.00085425 -14 *887:10 *1599:24 0.00286007 -15 *631:5 *2472:la_data_out_mprj[123] 0 -16 *886:10 *887:10 0.0552174 -*RES -1 *2478:la_output[123] *887:5 2.05183 -2 *887:5 *887:7 48.1326 -3 *887:7 *887:9 4.5 -4 *887:9 *887:10 605.241 -5 *887:10 *2472:la_data_out_mprj[123] 43.8268 -*END - -*D_NET *888 0.140037 -*CONN -*I *2472:la_data_out_mprj[124] I *D mgmt_protect -*I *2478:la_output[124] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[124] 0.0020777 -2 *2478:la_output[124] 0.00200099 -3 *888:10 0.0281778 -4 *888:9 0.0281011 -5 *2472:la_data_out_mprj[124] *2472:la_iena_mprj[124] 0 -6 *2472:la_data_out_mprj[124] *2472:la_oenb_mprj[123] 0 -7 *2472:la_data_out_mprj[124] *2472:la_oenb_mprj[124] 0 -8 *888:9 *1145:7 0 -9 *888:10 *889:10 0.0658165 -10 *888:10 *1124:10 0.000490499 -11 *888:10 *1125:10 0.000977475 -12 *888:10 *1126:10 0.00106437 -13 *888:10 *1127:10 0.00158577 -14 *888:10 *1129:10 0.000107595 -15 *888:10 *1136:10 0.000547189 -16 *888:10 *1141:10 0.00151249 -17 *888:10 *1143:10 0.0015042 -18 *888:10 *1249:10 0.000686944 -19 *888:10 *1259:10 0.000789243 -20 *888:10 *1263:10 0.00134678 -21 *888:10 *1265:10 0.00150607 -22 *888:10 *1647:10 0 -23 *632:7 *2472:la_data_out_mprj[124] 0.000178257 -24 *866:10 *888:10 0.000203074 -25 *869:10 *888:10 0.0013625 -*RES -1 *2478:la_output[124] *888:9 46.8237 -2 *888:9 *888:10 85.3364 -3 *888:10 *2472:la_data_out_mprj[124] 48.3015 -*END - -*D_NET *889 0.163307 -*CONN -*I *2472:la_data_out_mprj[125] I *D mgmt_protect -*I *2478:la_output[125] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[125] 0.00212188 -2 *2478:la_output[125] 0.00207695 -3 *889:10 0.0106426 -4 *889:9 0.0105976 -5 *2472:la_data_out_mprj[125] *2472:la_iena_mprj[125] 0 -6 *2472:la_data_out_mprj[125] *2472:la_oenb_mprj[124] 0 -7 *2472:la_data_out_mprj[125] *2472:la_oenb_mprj[125] 0 -8 *889:9 *1146:7 0 -9 *889:9 *1273:7 0 -10 *889:10 *890:10 0.000410988 -11 *889:10 *891:10 0.066005 -12 *889:10 *1123:14 0.000716813 -13 *889:10 *1132:10 0.000338665 -14 *889:10 *1147:10 0.00298101 -15 *889:10 *1647:10 0 -16 *633:7 *2472:la_data_out_mprj[125] 0 -17 *871:10 *889:10 0.00159877 -18 *888:10 *889:10 0.0658165 -*RES -1 *2478:la_output[125] *889:9 48.7778 -2 *889:9 *889:10 86.7133 -3 *889:10 *2472:la_data_out_mprj[125] 47.471 -*END - -*D_NET *890 0.157606 -*CONN -*I *2472:la_data_out_mprj[126] I *D mgmt_protect -*I *2478:la_output[126] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[126] 0.00178568 -2 *2478:la_output[126] 0.00214563 -3 *890:10 0.0159965 -4 *890:9 0.0142109 -5 *890:7 0.00214563 -6 *2472:la_data_out_mprj[126] *2472:la_iena_mprj[126] 0 -7 *2472:la_data_out_mprj[126] *2472:la_oenb_mprj[126] 0 -8 *890:7 *1147:7 0 -9 *890:7 *1274:7 0 -10 *890:10 *891:10 0.0682488 -11 *890:10 *1129:16 0.000114589 -12 *890:10 *1130:14 0.000124641 -13 *890:10 *1132:16 0.00192168 -14 *890:10 *1137:10 0.000572015 -15 *890:10 *1137:16 0.000216928 -16 *890:10 *1583:24 0 -17 *890:10 *1584:24 0 -18 *890:10 *1585:24 0 -19 *890:10 *1586:24 0 -20 *890:10 *1588:24 0 -21 *890:10 *1591:28 0.00024367 -22 *890:10 *1595:24 0.000499706 -23 *890:10 *1599:24 0.000145207 -24 *890:10 *1600:24 0.00115066 -25 *890:10 *1605:24 0.0442856 -26 *634:5 *2472:la_data_out_mprj[126] 0.000368364 -27 *864:15 *2472:la_data_out_mprj[126] 0.000288213 -28 *870:16 *890:10 0.000235438 -29 *874:10 *890:10 0.00161466 -30 *878:10 *890:10 0.000677329 -31 *879:14 *890:10 0.000203074 -32 *889:10 *890:10 0.000410988 -*RES -1 *2478:la_output[126] *890:7 47.0701 -2 *890:7 *890:9 3.36879 -3 *890:9 *890:10 88.396 -4 *890:10 *2472:la_data_out_mprj[126] 45.81 -*END - -*D_NET *891 0.166094 -*CONN -*I *2472:la_data_out_mprj[127] I *D mgmt_protect -*I *2478:la_output[127] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[127] 0.00200317 -2 *2478:la_output[127] 0.00210614 -3 *891:10 0.0104753 -4 *891:9 0.0105782 -5 *2472:la_data_out_mprj[127] *2472:la_iena_mprj[127] 0 -6 *2472:la_data_out_mprj[127] *2472:la_oenb_mprj[126] 0 -7 *891:9 *1275:7 0 -8 *891:10 *1129:16 0.000724555 -9 *891:10 *1130:14 0.00137573 -10 *891:10 *1147:10 0.000283528 -11 *891:10 *1268:10 0.0015988 -12 *891:10 *1269:10 0.00150354 -13 *891:10 *1605:24 0.000915019 -14 *891:10 *1647:10 0 -15 *635:7 *2472:la_data_out_mprj[127] 0 -16 *862:15 *2472:la_data_out_mprj[127] 0.000276424 -17 *889:10 *891:10 0.066005 -18 *890:10 *891:10 0.0682488 -*RES -1 *2478:la_output[127] *891:9 49.6083 -2 *891:9 *891:10 90.0023 -3 *891:10 *2472:la_data_out_mprj[127] 46.6405 -*END - -*D_NET *892 0.147199 -*CONN -*I *2472:la_data_out_mprj[12] I *D mgmt_protect -*I *2478:la_output[12] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[12] 0.00149753 -2 *2478:la_output[12] 8.29983e-05 -3 *892:14 0.00266698 -4 *892:12 0.00119249 -5 *892:10 0.00417789 -6 *892:9 0.00415485 -7 *892:7 0.00202934 -8 *892:5 0.00211234 -9 *2472:la_data_out_mprj[12] *2472:la_iena_mprj[12] 0 -10 *2472:la_data_out_mprj[12] *929:7 0.000761087 -11 *892:7 *1149:9 0 -12 *892:7 *1276:7 0 -13 *636:5 *2472:la_data_out_mprj[12] 0.000388577 -14 *637:14 *892:10 0.00107631 -15 *637:14 *892:14 0.00551661 -16 *637:18 *892:10 0.0548361 -17 *638:16 *892:10 0.00254977 -18 *638:16 *892:14 3.58044e-05 -19 *640:8 *892:14 0.000665158 -20 *666:8 *892:10 0.00564065 -21 *671:8 *892:14 2.50864e-05 -22 *673:8 *892:14 0.00034957 -23 *688:8 *892:10 0.000133887 -24 *710:8 *892:14 0.000108607 -25 *872:10 *892:10 0.0556816 -26 *883:10 *892:10 1.41853e-05 -27 *883:10 *892:14 0.00150102 -*RES -1 *2478:la_output[12] *892:5 2.05183 -2 *892:5 *892:7 48.1326 -3 *892:7 *892:9 4.5 -4 *892:9 *892:10 613.006 -5 *892:10 *892:12 0.578717 -6 *892:12 *892:14 75.0403 -7 *892:14 *2472:la_data_out_mprj[12] 43.4116 -*END - -*D_NET *893 0.171082 -*CONN -*I *2472:la_data_out_mprj[13] I *D mgmt_protect -*I *2478:la_output[13] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[13] 0.00163736 -2 *2478:la_output[13] 8.29983e-05 -3 *893:10 0.00820612 -4 *893:9 0.00656876 -5 *893:7 0.00225368 -6 *893:5 0.00233668 -7 *2472:la_data_out_mprj[13] *2472:la_iena_mprj[13] 0 -8 *2472:la_data_out_mprj[13] *2472:la_oenb_mprj[12] 0 -9 *2472:la_data_out_mprj[13] *1186:7 0 -10 *893:7 *1150:7 0 -11 *893:7 *1277:9 0 -12 *893:10 *894:10 0.0714069 -13 *893:10 *1150:10 0 -14 *893:10 *1276:10 0 -15 *637:11 *2472:la_data_out_mprj[13] 0 -16 *639:8 *893:10 0.0701477 -17 *649:8 *893:10 0.00405615 -18 *650:8 *893:10 0.00353353 -19 *732:8 *893:10 0.000852124 -*RES -1 *2478:la_output[13] *893:5 2.05183 -2 *893:5 *893:7 52.4928 -3 *893:7 *893:9 3.36879 -4 *893:9 *893:10 93.3679 -5 *893:10 *2472:la_data_out_mprj[13] 38.3355 -*END - -*D_NET *894 0.172115 -*CONN -*I *2472:la_data_out_mprj[14] I *D mgmt_protect -*I *2478:la_output[14] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[14] 0.00144269 -2 *2478:la_output[14] 1.28869e-05 -3 *894:10 0.0095996 -4 *894:9 0.00815691 -5 *894:7 0.0022299 -6 *894:5 0.00224278 -7 *2472:la_data_out_mprj[14] *2472:la_iena_mprj[14] 0 -8 *2472:la_data_out_mprj[14] *1314:7 0.000202496 -9 *894:7 *1278:7 0 -10 *894:10 *897:10 0 -11 *894:10 *899:10 0.000110257 -12 *638:5 *2472:la_data_out_mprj[14] 0.000401477 -13 *639:8 *894:10 0.000351128 -14 *646:8 *894:10 0.000101365 -15 *647:8 *894:10 0.00447358 -16 *648:8 *894:10 0.00392454 -17 *732:8 *894:10 0.067458 -18 *893:10 *894:10 0.0714069 -*RES -1 *2478:la_output[14] *894:5 0.366399 -2 *894:5 *894:7 51.6623 -3 *894:7 *894:9 3.36879 -4 *894:9 *894:10 91.9146 -5 *894:10 *2472:la_data_out_mprj[14] 39.166 -*END - -*D_NET *895 0.143378 -*CONN -*I *2472:la_data_out_mprj[15] I *D mgmt_protect -*I *2478:la_output[15] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[15] 0.00174637 -2 *2478:la_output[15] 8.29983e-05 -3 *895:10 0.00655507 -4 *895:9 0.0048087 -5 *895:7 0.00217278 -6 *895:5 0.00225578 -7 *2472:la_data_out_mprj[15] *2472:la_iena_mprj[15] 0 -8 *895:7 *1152:7 0 -9 *895:7 *1279:9 0 -10 *638:20 *895:10 0.00041958 -11 *639:7 *2472:la_data_out_mprj[15] 0 -12 *640:8 *895:10 0.0610027 -13 *641:8 *895:10 0.059106 -14 *710:8 *895:10 0.00522793 -*RES -1 *2478:la_output[15] *895:5 2.05183 -2 *895:5 *895:7 49.7936 -3 *895:7 *895:9 4.5 -4 *895:9 *895:10 652.937 -5 *895:10 *2472:la_data_out_mprj[15] 42.1658 -*END - -*D_NET *896 0.111948 -*CONN -*I *2472:la_data_out_mprj[16] I *D mgmt_protect -*I *2478:la_output[16] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[16] 0.0013002 -2 *2478:la_output[16] 8.29983e-05 -3 *896:10 0.0114378 -4 *896:9 0.0101376 -5 *896:7 0.0023814 -6 *896:5 0.0024644 -7 *2472:la_data_out_mprj[16] *2472:la_iena_mprj[16] 0 -8 *896:7 *1153:9 0 -9 *896:7 *1280:7 0 -10 *896:10 *1139:18 0.00187111 -11 *896:10 *1152:10 0.000326136 -12 *896:10 *1154:10 0.0115692 -13 *605:8 *896:10 0.000622721 -14 *639:8 *896:10 0.0684485 -15 *640:7 *2472:la_data_out_mprj[16] 0.000505105 -16 *652:8 *896:10 0.000800634 -*RES -1 *2478:la_output[16] *896:5 2.05183 -2 *896:5 *896:7 54.1538 -3 *896:7 *896:9 3.36879 -4 *896:9 *896:10 88.4725 -5 *896:10 *2472:la_data_out_mprj[16] 36.6745 -*END - -*D_NET *897 0.160704 -*CONN -*I *2472:la_data_out_mprj[17] I *D mgmt_protect -*I *2478:la_output[17] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[17] 0.00180585 -2 *2478:la_output[17] 0.00199225 -3 *897:10 0.0118065 -4 *897:9 0.0100006 -5 *897:7 0.00199225 -6 *2472:la_data_out_mprj[17] *2472:la_iena_mprj[17] 0 -7 *2472:la_data_out_mprj[17] *931:7 0.000400773 -8 *897:7 *1154:7 0 -9 *897:7 *1281:9 0 -10 *897:10 *898:10 0.0661244 -11 *897:10 *900:10 0.000102747 -12 *897:10 *1151:10 0 -13 *897:10 *1184:16 0.000112976 -14 *639:8 *897:10 0 -15 *641:7 *2472:la_data_out_mprj[17] 0 -16 *644:8 *897:10 0.053281 -17 *656:8 *897:10 0.00358358 -18 *666:8 *897:10 0.000383642 -19 *668:8 *897:10 0.000241979 -20 *669:8 *897:10 0.000244978 -21 *670:10 *897:10 0.000528566 -22 *671:8 *897:10 0.000118462 -23 *673:8 *897:10 0.00011251 -24 *677:8 *897:10 0.00720221 -25 *688:8 *897:10 0.000315225 -26 *710:8 *897:10 0.000209909 -27 *721:8 *897:10 0 -28 *732:8 *897:10 0 -29 *872:16 *897:10 0.000143882 -30 *894:10 *897:10 0 -*RES -1 *2478:la_output[17] *897:7 47.0701 -2 *897:7 *897:9 3.36879 -3 *897:9 *897:10 86.8662 -4 *897:10 *2472:la_data_out_mprj[17] 45.81 -*END - -*D_NET *898 0.15825 -*CONN -*I *2472:la_data_out_mprj[18] I *D mgmt_protect -*I *2478:la_output[18] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[18] 0.00173117 -2 *2478:la_output[18] 0.00195217 -3 *898:10 0.00765998 -4 *898:9 0.00788098 -5 *2472:la_data_out_mprj[18] *2472:la_iena_mprj[18] 0 -6 *2472:la_data_out_mprj[18] *1188:7 0 -7 *898:9 *1155:9 0 -8 *898:9 *1282:7 0 -9 *898:10 *1151:10 0 -10 *642:7 *2472:la_data_out_mprj[18] 0.000543865 -11 *643:8 *898:10 0.0658491 -12 *654:8 *898:10 0.00329458 -13 *658:8 *898:10 0.00309526 -14 *676:11 *2472:la_data_out_mprj[18] 0.000118323 -15 *897:10 *898:10 0.0661244 -*RES -1 *2478:la_output[18] *898:9 49.6083 -2 *898:9 *898:10 85.2599 -3 *898:10 *2472:la_data_out_mprj[18] 46.6405 -*END - -*D_NET *899 0.130864 -*CONN -*I *2472:la_data_out_mprj[19] I *D mgmt_protect -*I *2478:la_output[19] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[19] 0.00163729 -2 *2478:la_output[19] 8.29983e-05 -3 *899:10 0.00659554 -4 *899:9 0.00495824 -5 *899:7 0.00234407 -6 *899:5 0.00242707 -7 *2472:la_data_out_mprj[19] *2472:la_iena_mprj[19] 0 -8 *899:7 *1157:9 0 -9 *899:7 *1283:9 0 -10 *642:8 *899:10 0.00128892 -11 *643:7 *2472:la_data_out_mprj[19] 0 -12 *646:8 *899:10 0.0545847 -13 *647:8 *899:10 0.0526284 -14 *732:8 *899:10 0.00420694 -15 *894:10 *899:10 0.000110257 -*RES -1 *2478:la_output[19] *899:5 2.05183 -2 *899:5 *899:7 51.4546 -3 *899:7 *899:9 4.5 -4 *899:9 *899:10 606.351 -5 *899:10 *2472:la_data_out_mprj[19] 40.5048 -*END - -*D_NET *900 0.209594 -*CONN -*I *2472:la_data_out_mprj[1] I *D mgmt_protect -*I *2478:la_output[1] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[1] 0.00211347 -2 *2478:la_output[1] 0.00184622 -3 *900:10 0.0096765 -4 *900:9 0.00756304 -5 *900:7 0.00184622 -6 *2472:la_data_out_mprj[1] *2472:la_iena_mprj[1] 0 -7 *2472:la_data_out_mprj[1] *966:15 6.41995e-05 -8 *900:7 *1167:9 0 -9 *900:7 *2347:16 0 -10 *644:7 *2472:la_data_out_mprj[1] 0 -11 *644:8 *900:10 0.0884201 -12 *666:8 *900:10 0.085656 -13 *699:8 *900:10 0.0064111 -14 *883:10 *900:10 0.00589407 -15 *897:10 *900:10 0.000102747 -*RES -1 *2478:la_output[1] *900:7 48.7311 -2 *900:7 *900:9 3.36879 -3 *900:9 *900:10 112.643 -4 *900:10 *2472:la_data_out_mprj[1] 44.149 -*END - -*D_NET *901 0.127931 -*CONN -*I *2472:la_data_out_mprj[20] I *D mgmt_protect -*I *2478:la_output[20] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[20] 0.00311076 -2 *2478:la_output[20] 0.000759502 -3 *901:12 0.00311076 -4 *901:10 0.00455614 -5 *901:9 0.00531564 -6 *2472:la_data_out_mprj[20] *2472:la_iena_mprj[20] 0 -7 *2472:la_data_out_mprj[20] *1190:7 0 -8 *901:9 *1158:7 0 -9 *901:10 *902:10 0.0542457 -10 *901:10 *1552:24 0.0561891 -11 *645:7 *2472:la_data_out_mprj[20] 0.000643436 -12 *678:11 *2472:la_data_out_mprj[20] 0 -*RES -1 *2478:la_output[20] *901:9 23.1253 -2 *901:9 *901:10 594.704 -3 *901:10 *901:12 4.5 -4 *901:12 *2472:la_data_out_mprj[20] 70.886 -*END - -*D_NET *902 0.125937 -*CONN -*I *2472:la_data_out_mprj[21] I *D mgmt_protect -*I *2478:la_output[21] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[21] 6.22868e-05 -2 *2478:la_output[21] 0.000778517 -3 *902:13 0.00283679 -4 *902:12 0.00277451 -5 *902:10 0.00448229 -6 *902:9 0.00526081 -7 *902:9 *1159:9 0 -8 *902:9 *1286:7 0 -9 *902:10 *903:10 0.052984 -10 *902:10 *1552:24 0.000306383 -11 *902:13 *2472:la_iena_mprj[21] 0 -12 *902:13 *2472:la_oenb_mprj[20] 0 -13 *902:13 *1318:9 0.000201529 -14 *646:7 *902:13 0.00200392 -15 *678:11 *902:13 0 -16 *901:10 *902:10 0.0542457 -*RES -1 *2478:la_output[21] *902:9 23.5405 -2 *902:9 *902:10 581.393 -3 *902:10 *902:12 4.5 -4 *902:12 *902:13 70.5562 -5 *902:13 *2472:la_data_out_mprj[21] 1.77093 -*END - -*D_NET *903 0.124037 -*CONN -*I *2472:la_data_out_mprj[22] I *D mgmt_protect -*I *2478:la_output[22] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[22] 0.00237 -2 *2478:la_output[22] 0.000799953 -3 *903:12 0.00237 -4 *903:10 0.00444304 -5 *903:9 0.005243 -6 *2472:la_data_out_mprj[22] *934:7 0 -7 *2472:la_data_out_mprj[22] *1159:13 0.00290936 -8 *2472:la_data_out_mprj[22] *1191:9 0 -9 *903:9 *1160:7 0 -10 *903:9 *1287:9 0 -11 *903:10 *904:10 0.0521408 -12 *903:10 *1552:24 0.000241948 -13 *647:7 *2472:la_data_out_mprj[22] 0.000534661 -14 *902:10 *903:10 0.052984 -*RES -1 *2478:la_output[22] *903:9 23.9558 -2 *903:9 *903:10 570.856 -3 *903:10 *903:12 4.5 -4 *903:12 *2472:la_data_out_mprj[22] 70.0555 -*END - -*D_NET *904 0.120232 -*CONN -*I *2472:la_data_out_mprj[23] I *D mgmt_protect -*I *2478:la_output[23] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[23] 0.00311932 -2 *2478:la_output[23] 0.000774643 -3 *904:12 0.00311932 -4 *904:10 0.00442834 -5 *904:9 0.00520299 -6 *2472:la_data_out_mprj[23] *2472:la_iena_mprj[23] 0 -7 *2472:la_data_out_mprj[23] *2472:la_oenb_mprj[22] 0 -8 *2472:la_data_out_mprj[23] *1319:7 0 -9 *904:9 *1288:7 0 -10 *904:10 *905:10 0.0509257 -11 *904:10 *1552:24 0.00014932 -12 *648:7 *2472:la_data_out_mprj[23] 0 -13 *679:11 *2472:la_data_out_mprj[23] 0.000371341 -14 *903:10 *904:10 0.0521408 -*RES -1 *2478:la_output[23] *904:9 23.2474 -2 *904:9 *904:10 560.873 -3 *904:10 *904:12 4.5 -4 *904:12 *2472:la_data_out_mprj[23] 69.6402 -*END - -*D_NET *905 0.118634 -*CONN -*I *2472:la_data_out_mprj[24] I *D mgmt_protect -*I *2478:la_output[24] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[24] 4.25268e-05 -2 *2478:la_output[24] 0.000842826 -3 *905:13 0.00279014 -4 *905:12 0.00274761 -5 *905:10 0.00432208 -6 *905:9 0.00516491 -7 *905:9 *1162:7 0 -8 *905:9 *1289:9 0 -9 *905:10 *906:10 0.0497172 -10 *905:10 *1552:24 4.49334e-05 -11 *905:13 *2472:la_iena_mprj[24] 0 -12 *905:13 *935:9 0.000113953 -13 *905:13 *1319:7 0 -14 *649:7 *905:13 0.00192257 -15 *904:10 *905:10 0.0509257 -*RES -1 *2478:la_output[24] *905:9 24.7863 -2 *905:9 *905:10 546.453 -3 *905:10 *905:12 4.5 -4 *905:12 *905:13 69.3105 -5 *905:13 *2472:la_data_out_mprj[24] 1.20912 -*END - -*D_NET *906 0.115439 -*CONN -*I *2472:la_data_out_mprj[25] I *D mgmt_protect -*I *2478:la_output[25] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[25] 0.00298278 -2 *2478:la_output[25] 0.000864262 -3 *906:12 0.00298278 -4 *906:10 0.00433081 -5 *906:9 0.00519507 -6 *2472:la_data_out_mprj[25] *2472:la_iena_mprj[25] 0 -7 *2472:la_data_out_mprj[25] *1192:7 0 -8 *906:9 *1163:9 0 -9 *906:9 *1290:7 0 -10 *906:10 *907:10 0.0487146 -11 *906:10 *1552:24 0 -12 *650:7 *2472:la_data_out_mprj[25] 0 -13 *680:11 *2472:la_data_out_mprj[25] 0.000651606 -14 *905:10 *906:10 0.0497172 -*RES -1 *2478:la_output[25] *906:9 25.2015 -2 *906:9 *906:10 536.47 -3 *906:10 *906:12 4.5 -4 *906:12 *2472:la_data_out_mprj[25] 68.8097 -*END - -*D_NET *907 0.080989 -*CONN -*I *2472:la_data_out_mprj[26] I *D mgmt_protect -*I *2478:la_output[26] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[26] 0.00297223 -2 *2478:la_output[26] 0.000885698 -3 *907:12 0.00297223 -4 *907:10 0.0118224 -5 *907:9 0.0127081 -6 *2472:la_data_out_mprj[26] *2472:la_iena_mprj[26] 0 -7 *2472:la_data_out_mprj[26] *926:20 0 -8 *2472:la_data_out_mprj[26] *936:9 0 -9 *2472:la_data_out_mprj[26] *1320:7 0 -10 *907:9 *1164:7 0 -11 *907:9 *1291:9 0 -12 *907:10 *920:10 0 -13 *907:10 *925:10 0 -14 *907:10 *928:10 0 -15 *907:10 *928:16 1.73913e-05 -16 *907:10 *928:18 0 -17 *907:10 *1184:12 0.000189477 -18 *907:10 *1303:10 0 -19 *907:10 *1307:12 0 -20 *907:10 *1552:24 0 -21 *651:7 *2472:la_data_out_mprj[26] 0.000523125 -22 *659:16 *907:10 6.41427e-05 -23 *660:16 *907:10 4.45957e-05 -24 *662:16 *907:10 7.50226e-05 -25 *906:10 *907:10 0.0487146 -*RES -1 *2478:la_output[26] *907:9 25.6168 -2 *907:9 *907:10 524.269 -3 *907:10 *907:12 4.5 -4 *907:12 *2472:la_data_out_mprj[26] 68.3945 -*END - -*D_NET *908 0.113501 -*CONN -*I *2472:la_data_out_mprj[27] I *D mgmt_protect -*I *2478:la_output[27] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[27] 0.000711424 -2 *2478:la_output[27] 8.29983e-05 -3 *908:10 0.00490354 -4 *908:9 0.00419211 -5 *908:7 0.00342228 -6 *908:5 0.00350527 -7 *2472:la_data_out_mprj[27] *2472:la_iena_mprj[27] 0 -8 *908:7 *1165:9 0 -9 *908:7 *1292:7 0 -10 *908:10 *1128:10 0.00335543 -11 *908:10 *1164:10 0.000517688 -12 *908:10 *1166:10 0.000378828 -13 *908:10 *1290:10 0.0472339 -14 *908:10 *1294:10 0.0451305 -15 *636:21 *908:7 6.68802e-05 -16 *652:7 *2472:la_data_out_mprj[27] 0 -*RES -1 *2478:la_output[27] *908:5 2.05183 -2 *908:5 *908:7 69.3105 -3 *908:7 *908:9 4.5 -4 *908:9 *908:10 513.177 -5 *908:10 *2472:la_data_out_mprj[27] 22.649 -*END - -*D_NET *909 0.0883545 -*CONN -*I *2472:la_data_out_mprj[28] I *D mgmt_protect -*I *2478:la_output[28] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[28] 0.00197936 -2 *2478:la_output[28] 0.00110964 -3 *909:18 0.00197936 -4 *909:16 0.00255345 -5 *909:15 0.00343436 -6 *909:10 0.00538373 -7 *909:9 0.00561246 -8 *2472:la_data_out_mprj[28] *2472:la_iena_mprj[28] 0 -9 *909:9 *1166:7 0 -10 *909:9 *1293:9 0 -11 *909:10 *913:10 0.0164837 -12 *909:10 *915:10 0.00563354 -13 *909:10 *1283:10 0.000760262 -14 *909:15 *1305:13 0 -15 *909:16 *915:16 0.0133045 -16 *909:16 *1151:10 0.000118462 -17 *2478:la_input[40] *909:15 0.000107579 -18 *627:14 *909:10 0 -19 *636:8 *909:16 0.00061052 -20 *636:12 *909:16 0.000236373 -21 *653:7 *2472:la_data_out_mprj[28] 0.000534618 -22 *659:16 *909:10 0 -23 *667:8 *909:16 0.00412627 -24 *668:14 *909:16 0.0087686 -25 *672:8 *909:16 0.0156177 -26 *681:11 *2472:la_data_out_mprj[28] 0 -*RES -1 *2478:la_output[28] *909:9 31.4303 -2 *909:9 *909:10 235.321 -3 *909:10 *909:15 23.7048 -4 *909:15 *909:16 265.824 -5 *909:16 *909:18 4.5 -6 *909:18 *2472:la_data_out_mprj[28] 48.0471 -*END - -*D_NET *910 0.107469 -*CONN -*I *2472:la_data_out_mprj[29] I *D mgmt_protect -*I *2478:la_output[29] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[29] 0.000625679 -2 *2478:la_output[29] 8.29983e-05 -3 *910:10 0.00488904 -4 *910:9 0.00426336 -5 *910:7 0.00359034 -6 *910:5 0.00367334 -7 *2472:la_data_out_mprj[29] *2472:la_iena_mprj[29] 0 -8 *910:7 *1168:9 0 -9 *910:10 *1166:10 0.00107913 -10 *910:10 *1169:10 0.0437088 -11 *910:10 *1297:10 0.0426891 -12 *910:10 *1372:10 0.00286711 -13 *654:7 *2472:la_data_out_mprj[29] 0 -*RES -1 *2478:la_output[29] *910:5 2.05183 -2 *910:5 *910:7 70.9715 -3 *910:7 *910:9 4.5 -4 *910:9 *910:10 489.329 -5 *910:10 *2472:la_data_out_mprj[29] 20.988 -*END - -*D_NET *911 0.141618 -*CONN -*I *2472:la_data_out_mprj[2] I *D mgmt_protect -*I *2478:la_output[2] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[2] 0.00284936 -2 *2478:la_output[2] 0.000451774 -3 *911:12 0.00284936 -4 *911:10 0.0112278 -5 *911:9 0.0116796 -6 *2472:la_data_out_mprj[2] *2472:la_iena_mprj[2] 0 -7 *2472:la_data_out_mprj[2] *924:15 0 -8 *911:9 *1295:9 0 -9 *911:9 *1550:29 0.00151239 -10 *911:9 *1550:31 1.3813e-05 -11 *911:9 *1574:19 0.00161652 -12 *911:10 *913:10 0 -13 *911:10 *933:10 0.00107821 -14 *911:10 *1167:10 0.000277664 -15 *911:10 *1170:10 0.00041958 -16 *911:10 *1179:10 0.0046892 -17 *911:10 *1245:10 0.00349683 -18 *911:10 *1298:10 0.019116 -19 *480:25 *911:10 0 -20 *480:28 *911:9 0.000234966 -21 *605:14 *911:10 0.0690763 -22 *627:14 *911:10 0.0102571 -23 *655:7 *2472:la_data_out_mprj[2] 0.000520322 -24 *669:15 *2472:la_data_out_mprj[2] 0.000251333 -*RES -1 *2478:la_output[2] *911:9 35.9981 -2 *911:9 *911:10 804.899 -3 *911:10 *911:12 4.5 -4 *911:12 *2472:la_data_out_mprj[2] 58.0132 -*END - -*D_NET *912 0.105479 -*CONN -*I *2472:la_data_out_mprj[30] I *D mgmt_protect -*I *2478:la_output[30] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[30] 0.000832955 -2 *2478:la_output[30] 8.29983e-05 -3 *912:10 0.00509743 -4 *912:9 0.00426448 -5 *912:7 0.00308615 -6 *912:5 0.00316915 -7 *2472:la_data_out_mprj[30] *2472:la_iena_mprj[30] 0 -8 *912:7 *1169:7 0 -9 *912:7 *1296:9 0 -10 *912:10 *914:10 0.0412046 -11 *912:10 *922:10 0.000962914 -12 *912:10 *1117:10 0.0212292 -13 *912:10 *1156:10 0.00349207 -14 *912:10 *1182:12 0.00384522 -15 *912:10 *1182:14 1.41689e-05 -16 *912:10 *1186:12 0.000118134 -17 *912:10 *1200:16 4.52739e-05 -18 *912:10 *1308:22 0.0174359 -19 *656:7 *2472:la_data_out_mprj[30] 0.0003988 -20 *682:11 *2472:la_data_out_mprj[30] 0.000199327 -*RES -1 *2478:la_output[30] *912:5 2.05183 -2 *912:5 *912:7 62.6664 -3 *912:7 *912:9 4.5 -4 *912:9 *912:10 477.682 -5 *912:10 *2472:la_data_out_mprj[30] 29.293 -*END - -*D_NET *913 0.0902071 -*CONN -*I *2472:la_data_out_mprj[31] I *D mgmt_protect -*I *2478:la_output[31] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[31] 0.00161907 -2 *2478:la_output[31] 0.00113683 -3 *913:18 0.00161907 -4 *913:16 0.00142667 -5 *913:15 0.0020016 -6 *913:10 0.00495699 -7 *913:9 0.0055189 -8 *2472:la_data_out_mprj[31] *2472:la_iena_mprj[31] 0 -9 *2472:la_data_out_mprj[31] *930:19 0.000604059 -10 *2472:la_data_out_mprj[31] *932:21 0.00149277 -11 *913:9 *1170:9 0 -12 *913:9 *1297:7 0 -13 *913:10 *915:10 0.000582262 -14 *913:10 *919:10 0.0036655 -15 *913:10 *924:10 0.000653519 -16 *913:10 *1170:10 0 -17 *913:10 *1175:10 9.34933e-05 -18 *913:10 *1181:12 0.00116323 -19 *913:10 *1181:16 0.00776873 -20 *913:10 *1285:10 0.00152789 -21 *913:10 *1300:10 0 -22 *913:10 *1307:16 0.00771785 -23 *913:10 *1308:12 0.00019069 -24 *913:10 *1309:12 0.000164843 -25 *913:10 *1309:14 1.67988e-05 -26 *913:15 *929:7 0.000648461 -27 *913:16 *915:16 0.0153393 -28 *913:16 *917:16 0.0123615 -29 *913:16 *1151:10 0.000137341 -30 *913:16 *1296:10 0.0013161 -31 *627:14 *913:10 0 -32 *657:7 *2472:la_data_out_mprj[31] 0 -33 *909:10 *913:10 0.0164837 -34 *911:10 *913:10 0 -*RES -1 *2478:la_output[31] *913:9 31.8456 -2 *913:9 *913:10 302.428 -3 *913:10 *913:15 22.4591 -4 *913:15 *913:16 163.777 -5 *913:16 *913:18 4.5 -6 *913:18 *2472:la_data_out_mprj[31] 48.8776 -*END - -*D_NET *914 0.102784 -*CONN -*I *2472:la_data_out_mprj[32] I *D mgmt_protect -*I *2478:la_output[32] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[32] 0.000568125 -2 *2478:la_output[32] 5.96252e-05 -3 *914:10 0.00421222 -4 *914:9 0.0036441 -5 *914:7 0.00310876 -6 *914:5 0.00316839 -7 *2472:la_data_out_mprj[32] *1170:13 0.00143683 -8 *914:7 *1171:7 0 -9 *914:7 *1298:9 0 -10 *914:10 *1148:10 0.00242587 -11 *914:10 *1171:10 0.000451273 -12 *914:10 *1186:12 0.000118134 -13 *914:10 *1299:10 0.0412657 -14 *914:10 *1308:22 0.000690837 -15 *658:7 *2472:la_data_out_mprj[32] 0.000429377 -16 *912:10 *914:10 0.0412046 -*RES -1 *2478:la_output[32] *914:5 1.49002 -2 *914:5 *914:7 62.2512 -3 *914:7 *914:9 4.5 -4 *914:9 *914:10 454.944 -5 *914:10 *2472:la_data_out_mprj[32] 29.7083 -*END - -*D_NET *915 0.0873334 -*CONN -*I *2472:la_data_out_mprj[33] I *D mgmt_protect -*I *2478:la_output[33] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[33] 0.00177081 -2 *2478:la_output[33] 0.00103651 -3 *915:18 0.00177081 -4 *915:16 0.00171948 -5 *915:15 0.00248691 -6 *915:10 0.0036805 -7 *915:9 0.00394959 -8 *2472:la_data_out_mprj[33] *2472:la_iena_mprj[33] 0 -9 *2472:la_data_out_mprj[33] *2472:la_oenb_mprj[32] 0 -10 *2472:la_data_out_mprj[33] *936:13 0.00164051 -11 *915:9 *1172:7 0 -12 *915:9 *1299:7 0 -13 *915:10 *917:10 0.020545 -14 *915:10 *924:10 0.00158586 -15 *915:10 *1283:10 0.00126286 -16 *915:10 *1308:12 0.000436825 -17 *915:10 *1309:12 1.67988e-05 -18 *915:10 *1309:14 0.00742277 -19 *915:15 *1184:15 9.12633e-05 -20 *915:15 *1313:9 0.000108139 -21 *915:16 *917:16 0.000718419 -22 *915:16 *1151:10 0.000126544 -23 *915:16 *1296:10 2.97286e-05 -24 *2478:la_input[46] *915:15 0 -25 *636:8 *915:16 0.000110701 -26 *659:7 *2472:la_data_out_mprj[33] 0 -27 *659:16 *915:9 0.000183244 -28 *660:16 *915:10 0 -29 *672:8 *915:16 0.00178054 -30 *909:10 *915:10 0.00563354 -31 *909:16 *915:16 0.0133045 -32 *913:10 *915:10 0.000582262 -33 *913:16 *915:16 0.0153393 -*RES -1 *2478:la_output[33] *915:9 30.5998 -2 *915:9 *915:10 257.505 -3 *915:10 *915:15 24.1201 -4 *915:15 *915:16 185.406 -5 *915:16 *915:18 4.5 -6 *915:18 *2472:la_data_out_mprj[33] 48.4624 -*END - -*D_NET *916 0.0965372 -*CONN -*I *2472:la_data_out_mprj[34] I *D mgmt_protect -*I *2478:la_output[34] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[34] 0.000958128 -2 *2478:la_output[34] 8.29983e-05 -3 *916:10 0.00465958 -4 *916:9 0.00370146 -5 *916:7 0.00304892 -6 *916:5 0.00313192 -7 *2472:la_data_out_mprj[34] *2472:la_iena_mprj[34] 0 -8 *2472:la_data_out_mprj[34] *938:13 0.000185686 -9 *916:7 *1173:9 0 -10 *916:7 *1300:9 0 -11 *916:10 *1154:10 0.00023039 -12 *916:10 *1172:10 0.00105498 -13 *916:10 *1174:10 0.0381297 -14 *916:10 *1278:10 0.00192462 -15 *916:10 *1301:10 0.0389836 -16 *660:7 *2472:la_data_out_mprj[34] 0.000445147 -17 *660:16 *916:7 0 -*RES -1 *2478:la_output[34] *916:5 2.05183 -2 *916:5 *916:7 60.1749 -3 *916:7 *916:9 4.5 -4 *916:9 *916:10 431.096 -5 *916:10 *2472:la_data_out_mprj[34] 31.7845 -*END - -*D_NET *917 0.0844646 -*CONN -*I *2472:la_data_out_mprj[35] I *D mgmt_protect -*I *2478:la_output[35] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[35] 0.00221482 -2 *2478:la_output[35] 0.00105318 -3 *917:18 0.00221482 -4 *917:16 0.00194165 -5 *917:15 0.00247754 -6 *917:10 0.00316148 -7 *917:9 0.00367876 -8 *2472:la_data_out_mprj[35] *2472:la_iena_mprj[35] 0 -9 *2472:la_data_out_mprj[35] *1173:25 0 -10 *917:9 *1174:7 0 -11 *917:9 *1301:7 0 -12 *917:10 *920:10 0.00171001 -13 *917:10 *923:10 0.0143363 -14 *917:10 *1283:10 0.000948525 -15 *917:10 *1303:10 0.00174097 -16 *917:10 *1309:14 0.00150433 -17 *917:15 *2472:la_oenb_mprj[15] 0.000196083 -18 *917:15 *1187:9 0 -19 *917:16 *1195:10 0.000324724 -20 *917:16 *1196:10 0.000342009 -21 *917:16 *1296:10 0.0119517 -22 *917:16 *1302:10 5.6766e-05 -23 *661:7 *2472:la_data_out_mprj[35] 0 -24 *662:16 *917:10 0 -25 *672:8 *917:16 0.000266271 -26 *675:11 *917:15 0.000719833 -27 *684:11 *2472:la_data_out_mprj[35] 0 -28 *913:16 *917:16 0.0123615 -29 *915:10 *917:10 0.020545 -30 *915:16 *917:16 0.000718419 -*RES -1 *2478:la_output[35] *917:9 30.1846 -2 *917:9 *917:10 253.068 -3 *917:10 *917:15 23.7048 -4 *917:15 *917:16 166.55 -5 *917:16 *917:18 4.5 -6 *917:18 *2472:la_data_out_mprj[35] 49.2929 -*END - -*D_NET *918 0.0898438 -*CONN -*I *2472:la_data_out_mprj[36] I *D mgmt_protect -*I *2478:la_output[36] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[36] 0.00108417 -2 *2478:la_output[36] 8.29983e-05 -3 *918:10 0.00486816 -4 *918:9 0.00378398 -5 *918:7 0.00293156 -6 *918:5 0.00301456 -7 *2472:la_data_out_mprj[36] *2472:la_iena_mprj[36] 0 -8 *2472:la_data_out_mprj[36] *2472:la_oenb_mprj[36] 0 -9 *918:7 *1175:9 0 -10 *918:7 *1302:9 0 -11 *918:10 *1152:10 0.00124078 -12 *918:10 *1176:10 0.0357521 -13 *918:10 *1301:10 0.00144135 -14 *918:10 *1303:16 0.0349577 -15 *662:7 *2472:la_data_out_mprj[36] 0.000458095 -16 *662:16 *918:7 0.000228381 -*RES -1 *2478:la_output[36] *918:5 2.05183 -2 *918:5 *918:7 58.9292 -3 *918:7 *918:9 4.5 -4 *918:9 *918:10 407.248 -5 *918:10 *2472:la_data_out_mprj[36] 33.0303 -*END - -*D_NET *919 0.0855776 -*CONN -*I *2472:la_data_out_mprj[37] I *D mgmt_protect -*I *2478:la_output[37] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[37] 0.00199699 -2 *2478:la_output[37] 0.0012077 -3 *919:22 0.00199699 -4 *919:20 0.000482182 -5 *919:19 0.000681946 -6 *919:10 0.00301748 -7 *919:9 0.00402541 -8 *2472:la_data_out_mprj[37] *2472:la_iena_mprj[37] 0 -9 *2472:la_data_out_mprj[37] *1187:15 0.000508068 -10 *2472:la_data_out_mprj[37] *1325:9 0 -11 *919:9 *1176:7 0 -12 *919:9 *1303:10 0 -13 *919:10 *1157:10 0.0012995 -14 *919:10 *1175:10 0.000342605 -15 *919:10 *1177:10 0.000535391 -16 *919:10 *1181:12 0.00116323 -17 *919:10 *1304:10 0.0292736 -18 *919:10 *1307:16 0.0224405 -19 *919:19 *1322:9 1.9101e-05 -20 *919:20 *1177:14 0.00529574 -21 *919:20 *1307:20 0.00530418 -22 *663:5 *2472:la_data_out_mprj[37] 0 -23 *685:11 *2472:la_data_out_mprj[37] 0.00232141 -24 *913:10 *919:10 0.0036655 -*RES -1 *2478:la_output[37] *919:9 32.6761 -2 *919:9 *919:10 337.368 -3 *919:10 *919:19 12.1755 -4 *919:19 *919:20 56.7384 -5 *919:20 *919:22 4.5 -6 *919:22 *2472:la_data_out_mprj[37] 61.7504 -*END - -*D_NET *920 0.0814899 -*CONN -*I *2472:la_data_out_mprj[38] I *D mgmt_protect -*I *2478:la_output[38] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[38] 0.00168478 -2 *2478:la_output[38] 0.00102638 -3 *920:18 0.00168478 -4 *920:16 0.00158485 -5 *920:15 0.00251678 -6 *920:10 0.00316292 -7 *920:9 0.00325737 -8 *2472:la_data_out_mprj[38] *2472:la_iena_mprj[38] 0 -9 *2472:la_data_out_mprj[38] *941:7 0.000286776 -10 *2472:la_data_out_mprj[38] *1185:15 0 -11 *920:9 *1177:9 0 -12 *920:10 *923:10 0.0150096 -13 *920:10 *925:10 0.000498026 -14 *920:10 *926:10 0.00924286 -15 *920:10 *1283:10 0.000421804 -16 *920:10 *1307:12 0.00409858 -17 *920:15 *925:15 0 -18 *920:15 *1315:9 8.20611e-05 -19 *664:5 *2472:la_data_out_mprj[38] 0.00070986 -20 *665:8 *920:16 0.0172541 -21 *667:8 *920:16 0.0172583 -22 *907:10 *920:10 0 -23 *917:10 *920:10 0.00171001 -*RES -1 *2478:la_output[38] *920:9 29.3541 -2 *920:9 *920:10 199.271 -3 *920:10 *920:15 27.0268 -4 *920:15 *920:16 184.852 -5 *920:16 *920:18 4.5 -6 *920:18 *2472:la_data_out_mprj[38] 46.8014 -*END - -*D_NET *921 0.0817617 -*CONN -*I *2472:la_data_out_mprj[39] I *D mgmt_protect -*I *2478:la_output[39] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[39] 0.000963033 -2 *2478:la_output[39] 8.29983e-05 -3 *921:10 0.00498443 -4 *921:9 0.00402139 -5 *921:7 0.0030213 -6 *921:5 0.0031043 -7 *2472:la_data_out_mprj[39] *1177:17 0.00167515 -8 *921:7 *1179:9 0 -9 *921:7 *1305:9 0 -10 *921:10 *924:16 0.0293792 -11 *921:10 *1154:10 0.000275651 -12 *921:10 *1176:10 0.000431815 -13 *921:10 *1305:16 0.0331342 -14 *921:10 *1308:16 0.000688207 -15 *605:8 *921:10 0 -16 *652:8 *921:10 0 -17 *665:5 *2472:la_data_out_mprj[39] 0 -*RES -1 *2478:la_output[39] *921:5 2.05183 -2 *921:5 *921:7 57.2682 -3 *921:7 *921:9 4.5 -4 *921:9 *921:10 372.862 -5 *921:10 *2472:la_data_out_mprj[39] 34.6913 -*END - -*D_NET *922 0.174812 -*CONN -*I *2472:la_data_out_mprj[3] I *D mgmt_protect -*I *2478:la_output[3] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[3] 0.00110813 -2 *2478:la_output[3] 8.29983e-05 -3 *922:10 0.00631275 -4 *922:9 0.00520463 -5 *922:7 0.00243614 -6 *922:5 0.00251913 -7 *2472:la_data_out_mprj[3] *2472:la_iena_mprj[3] 0 -8 *922:7 *1189:9 0 -9 *922:7 *1306:7 0 -10 *922:10 *1117:10 0.0734873 -11 *922:10 *1178:10 0.00031861 -12 *922:10 *1200:10 0.0716134 -13 *922:10 *1200:16 0.000731606 -14 *922:10 *1284:10 0.0100346 -15 *666:7 *2472:la_data_out_mprj[3] 0 -16 *912:10 *922:10 0.000962914 -*RES -1 *2478:la_output[3] *922:5 2.05183 -2 *922:5 *922:7 63.4969 -3 *922:7 *922:9 4.5 -4 *922:9 *922:10 793.252 -5 *922:10 *2472:la_data_out_mprj[3] 28.4625 -*END - -*D_NET *923 0.0698852 -*CONN -*I *2472:la_data_out_mprj[40] I *D mgmt_protect -*I *2478:la_output[40] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[40] 0.00138057 -2 *2478:la_output[40] 0.00105464 -3 *923:16 0.00356712 -4 *923:15 0.00356401 -5 *923:10 0.00380996 -6 *923:9 0.00348715 -7 *2472:la_data_out_mprj[40] *2472:la_iena_mprj[40] 0 -8 *923:9 *1180:7 0 -9 *923:9 *1307:9 0 -10 *923:10 *926:10 0.00253276 -11 *923:10 *926:20 0.00217724 -12 *923:10 *932:10 0.00278364 -13 *923:10 *935:10 1.15389e-05 -14 *923:10 *936:10 0.000636366 -15 *923:10 *1283:10 0.000346617 -16 *923:10 *1309:14 0.000743184 -17 *923:15 *2472:la_oenb_mprj[26] 0 -18 *923:15 *932:16 5.56367e-05 -19 *923:15 *1193:9 0.000117032 -20 *923:16 *925:20 0.000178097 -21 *923:16 *926:26 0.00982019 -22 *923:16 *1181:26 0.00370249 -23 *923:16 *1304:16 0 -24 *653:8 *923:16 0 -25 *667:5 *2472:la_data_out_mprj[40] 0.000571133 -26 *681:11 *923:15 0 -27 *917:10 *923:10 0.0143363 -28 *920:10 *923:10 0.0150096 -*RES -1 *2478:la_output[40] *923:9 29.7693 -2 *923:9 *923:10 250.295 -3 *923:10 *923:15 37.4081 -4 *923:15 *923:16 110.535 -5 *923:16 *2472:la_data_out_mprj[40] 40.5048 -*END - -*D_NET *924 0.0679512 -*CONN -*I *2472:la_data_out_mprj[41] I *D mgmt_protect -*I *2478:la_output[41] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[41] 0.00141137 -2 *2478:la_output[41] 0.00129125 -3 *924:16 0.00720558 -4 *924:15 0.00732204 -5 *924:10 0.00281908 -6 *2472:la_data_out_mprj[41] *2472:la_iena_mprj[41] 0 -7 *924:10 *1181:12 0 -8 *924:10 *1308:12 0 -9 *924:10 *1308:15 7.09666e-06 -10 *924:10 *1309:12 0.000113968 -11 *924:15 *2472:la_iena_mprj[2] 0.00121598 -12 *924:15 *2472:la_oenb_mprj[2] 0.000216869 -13 *924:15 *1181:15 1.24189e-05 -14 *924:15 *1307:15 2.55661e-06 -15 *924:15 *1309:12 7.26959e-06 -16 *924:16 *928:24 0.00826566 -17 *924:16 *1154:10 0.000108607 -18 *924:16 *1191:18 0.000364342 -19 *924:16 *1191:20 0.000481047 -20 *924:16 *1267:18 0.000466074 -21 *924:16 *1304:16 0.000266261 -22 *924:16 *1305:16 3.07561e-05 -23 *924:16 *1308:16 0.0047245 -24 *2472:la_data_out_mprj[2] *924:15 0 -25 *652:8 *924:16 0 -26 *653:8 *924:16 0 -27 *668:7 *2472:la_data_out_mprj[41] 0 -28 *669:15 *924:15 0 -29 *687:11 *2472:la_data_out_mprj[41] 0 -30 *913:10 *924:10 0.000653519 -31 *915:10 *924:10 0.00158586 -32 *921:10 *924:16 0.0293792 -*RES -1 *2478:la_output[41] *924:10 47.822 -2 *924:10 *924:15 41.5607 -3 *924:15 *924:16 332.931 -4 *924:16 *2472:la_data_out_mprj[41] 35.1065 -*END - -*D_NET *925 0.0631168 -*CONN -*I *2472:la_data_out_mprj[42] I *D mgmt_protect -*I *2478:la_output[42] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[42] 0.0014022 -2 *2478:la_output[42] 0.00098015 -3 *925:20 0.00337567 -4 *925:18 0.00199411 -5 *925:16 0.00102299 -6 *925:15 0.00217156 -7 *925:10 0.00365928 -8 *925:9 0.00347022 -9 *2472:la_data_out_mprj[42] *2472:la_iena_mprj[42] 0 -10 *2472:la_data_out_mprj[42] *1195:15 0 -11 *925:9 *1182:7 0 -12 *925:9 *1309:12 0 -13 *925:10 *926:10 0.00171001 -14 *925:10 *928:10 0.00566601 -15 *925:10 *1184:12 0.00294309 -16 *925:15 *931:7 0.00142284 -17 *925:20 *926:26 0.0114236 -18 *641:7 *925:15 8.62625e-06 -19 *642:8 *925:16 0.000776327 -20 *646:8 *925:16 0.00103534 -21 *647:8 *925:16 0.00014932 -22 *648:8 *925:16 4.77564e-05 -23 *649:8 *925:16 0 -24 *649:8 *925:20 1.01703e-05 -25 *650:8 *925:20 0 -26 *651:8 *925:20 0 -27 *653:8 *925:20 0 -28 *669:7 *2472:la_data_out_mprj[42] 0.000589004 -29 *675:8 *925:16 0.000159221 -30 *676:8 *925:16 0.0044495 -31 *676:8 *925:20 0.000519766 -32 *680:8 *925:20 0.0134539 -33 *907:10 *925:10 0 -34 *920:10 *925:10 0.000498026 -35 *920:15 *925:15 0 -36 *923:16 *925:20 0.000178097 -*RES -1 *2478:la_output[42] *925:9 28.1083 -2 *925:9 *925:10 121.072 -3 *925:10 *925:15 37.8234 -4 *925:15 *925:16 58.6795 -5 *925:16 *925:18 0.578717 -6 *925:18 *925:20 157.954 -7 *925:20 *2472:la_data_out_mprj[42] 41.3353 -*END - -*D_NET *926 0.0679406 -*CONN -*I *2472:la_data_out_mprj[43] I *D mgmt_protect -*I *2478:la_output[43] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[43] 0.00152824 -2 *2478:la_output[43] 0.00101858 -3 *926:26 0.00297146 -4 *926:25 0.0029203 -5 *926:20 0.00197438 -6 *926:10 0.00193977 -7 *926:9 0.00246105 -8 *2472:la_data_out_mprj[43] *2472:la_iena_mprj[43] 0 -9 *2472:la_data_out_mprj[43] *943:7 0.000310166 -10 *926:9 *1183:9 0 -11 *926:10 *928:10 0.0111635 -12 *926:20 *928:16 4.42311e-05 -13 *926:20 *928:18 0.00109722 -14 *926:20 *932:16 0.000483488 -15 *926:20 *935:10 0.0018874 -16 *926:20 *936:9 7.14746e-05 -17 *926:25 *1173:15 0 -18 *926:25 *1321:9 0 -19 *926:26 *1181:26 0.000792362 -20 *926:26 *1309:24 1.41291e-05 -21 *2472:la_data_out_mprj[26] *926:20 0 -22 *670:9 *2472:la_data_out_mprj[43] 0 -23 *680:8 *926:26 0.000356178 -24 *681:11 *926:25 0 -25 *920:10 *926:10 0.00924286 -26 *923:10 *926:10 0.00253276 -27 *923:10 *926:20 0.00217724 -28 *923:16 *926:26 0.00982019 -29 *925:10 *926:10 0.00171001 -30 *925:20 *926:26 0.0114236 -*RES -1 *2478:la_output[43] *926:9 28.9388 -2 *926:9 *926:10 159.063 -3 *926:10 *926:20 45.9958 -4 *926:20 *926:25 38.6539 -5 *926:25 *926:26 132.164 -6 *926:26 *2472:la_data_out_mprj[43] 40.9201 -*END - -*D_NET *927 0.071325 -*CONN -*I *2472:la_data_out_mprj[44] I *D mgmt_protect -*I *2478:la_output[44] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[44] 0.000861112 -2 *2478:la_output[44] 8.29983e-05 -3 *927:10 0.00394419 -4 *927:9 0.00308308 -5 *927:7 0.00337535 -6 *927:5 0.00345835 -7 *2472:la_data_out_mprj[44] *2472:la_iena_mprj[44] 0 -8 *927:7 *2472:la_data_out_mprj[7] 0 -9 *927:7 *1184:9 0 -10 *927:7 *1311:9 0 -11 *927:10 *929:10 0.0255425 -12 *927:10 *931:10 0.0215779 -13 *927:10 *977:18 0.000183145 -14 *927:10 *1188:12 0.000108607 -15 *927:10 *1280:10 0.00724722 -16 *927:10 *1282:10 0.000127366 -17 *927:10 *1310:12 9.82896e-06 -18 *927:10 *1310:14 0.00135572 -19 *671:7 *2472:la_data_out_mprj[44] 0.000367669 -20 *721:7 *927:7 0 -*RES -1 *2478:la_output[44] *927:5 2.05183 -2 *927:5 *927:7 64.7427 -3 *927:7 *927:9 4.5 -4 *927:9 *927:10 314.074 -5 *927:10 *2472:la_data_out_mprj[44] 27.2167 -*END - -*D_NET *928 0.055063 -*CONN -*I *2472:la_data_out_mprj[45] I *D mgmt_protect -*I *2478:la_output[45] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[45] 0.00140642 -2 *2478:la_output[45] 0.00100841 -3 *928:24 0.00420568 -4 *928:23 0.00409508 -5 *928:18 0.00212162 -6 *928:16 0.000980622 -7 *928:10 0.00195442 -8 *928:9 0.002808 -9 *2472:la_data_out_mprj[45] *2472:la_iena_mprj[45] 0 -10 *2472:la_data_out_mprj[45] *1197:15 7.52542e-05 -11 *2472:la_data_out_mprj[45] *1199:15 3.82989e-05 -12 *928:9 *1185:9 0 -13 *928:9 *1312:9 0 -14 *928:18 *932:16 0.0010731 -15 *928:18 *935:10 0.0053747 -16 *928:23 *2472:la_oenb_mprj[30] 0.00042048 -17 *928:23 *932:21 0 -18 *928:23 *1179:15 0 -19 *928:23 *1322:9 0.000248358 -20 *928:24 *1191:20 0.000633643 -21 *928:24 *1304:16 0.00151568 -22 *928:24 *1309:24 0 -23 *928:24 *1321:16 0.000225363 -24 *928:24 *1322:16 0.000328385 -25 *657:7 *928:23 0.000295476 -26 *672:5 *2472:la_data_out_mprj[45] 0 -27 *907:10 *928:10 0 -28 *907:10 *928:16 1.73913e-05 -29 *907:10 *928:18 0 -30 *924:16 *928:24 0.00826566 -31 *925:10 *928:10 0.00566601 -32 *926:10 *928:10 0.0111635 -33 *926:20 *928:16 4.42311e-05 -34 *926:20 *928:18 0.00109722 -*RES -1 *2478:la_output[45] *928:9 28.5236 -2 *928:9 *928:10 118.577 -3 *928:10 *928:16 5.19639 -4 *928:16 *928:18 57.293 -5 *928:18 *928:23 43.6369 -6 *928:23 *928:24 123.845 -7 *928:24 *2472:la_data_out_mprj[45] 35.5218 -*END - -*D_NET *929 0.0682467 -*CONN -*I *2472:la_data_out_mprj[46] I *D mgmt_protect -*I *2478:la_output[46] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[46] 0.000886474 -2 *2478:la_output[46] 1.28869e-05 -3 *929:10 0.00380926 -4 *929:9 0.00292278 -5 *929:7 0.00273541 -6 *929:5 0.00274829 -7 *2472:la_data_out_mprj[46] *2472:la_iena_mprj[46] 0 -8 *2472:la_data_out_mprj[46] *1313:13 0 -9 *2472:la_data_out_mprj[46] *1327:15 2.1203e-06 -10 *929:7 *1184:15 0 -11 *929:7 *1313:9 0 -12 *929:10 *931:10 0.000151514 -13 *929:10 *943:10 0.000245158 -14 *929:10 *1188:12 0.000118134 -15 *929:10 *1198:16 0.00124365 -16 *929:10 *1199:16 0.000406926 -17 *929:10 *1310:14 0.0251794 -18 *2472:la_data_out_mprj[12] *929:7 0.000761087 -19 *636:5 *929:7 0.000462439 -20 *673:7 *2472:la_data_out_mprj[46] 0.000370225 -21 *913:15 *929:7 0.000648461 -22 *927:10 *929:10 0.0255425 -*RES -1 *2478:la_output[46] *929:5 0.366399 -2 *929:5 *929:7 64.3275 -3 *929:7 *929:9 4.5 -4 *929:9 *929:10 292.999 -5 *929:10 *2472:la_data_out_mprj[46] 27.632 -*END - -*D_NET *930 0.0605003 -*CONN -*I *2472:la_data_out_mprj[47] I *D mgmt_protect -*I *2478:la_output[47] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[47] 0.00460466 -2 *2478:la_output[47] 0.00118886 -3 *930:19 0.00646517 -4 *930:16 0.00232954 -5 *930:10 0.00134212 -6 *930:9 0.00206195 -7 *2472:la_data_out_mprj[47] *2472:la_data_out_mprj[49] 0.0144873 -8 *2472:la_data_out_mprj[47] *2472:la_iena_mprj[47] 0 -9 *2472:la_data_out_mprj[47] *2472:la_iena_mprj[48] 0.00363344 -10 *930:9 *1187:9 0 -11 *930:10 *1181:16 0.0103339 -12 *930:10 *1181:20 4.89898e-06 -13 *930:10 *1307:16 0.0103763 -14 *930:16 *937:12 0.000848219 -15 *930:16 *938:12 6.50727e-05 -16 *930:16 *1181:18 1.09551e-05 -17 *930:16 *1181:20 0.000264018 -18 *930:16 *1307:16 0.00107068 -19 *930:16 *1307:20 0.000629908 -20 *930:19 *932:21 0.000179263 -21 *930:19 *1195:9 0 -22 *2472:la_data_out_mprj[31] *930:19 0.000604059 -23 *658:7 *930:19 0 -24 *674:7 *2472:la_data_out_mprj[47] 0 -*RES -1 *2478:la_output[47] *930:9 31.8456 -2 *930:9 *930:10 110.535 -3 *930:10 *930:16 37.4145 -4 *930:16 *930:19 46.9336 -5 *930:19 *2472:la_data_out_mprj[47] 41.4223 -*END - -*D_NET *931 0.0627314 -*CONN -*I *2472:la_data_out_mprj[48] I *D mgmt_protect -*I *2478:la_output[48] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[48] 0.00081301 -2 *2478:la_output[48] 8.29983e-05 -3 *931:10 0.00346345 -4 *931:9 0.00265044 -5 *931:7 0.00257315 -6 *931:5 0.00265615 -7 *2472:la_data_out_mprj[48] *2472:la_iena_mprj[48] 0 -8 *2472:la_data_out_mprj[48] *2472:la_oenb_mprj[48] 0 -9 *931:7 *2472:la_iena_mprj[17] 0 -10 *931:7 *1188:7 0 -11 *931:7 *1315:9 0 -12 *931:10 *934:10 0.0209729 -13 *931:10 *1158:10 0.000947792 -14 *931:10 *1160:10 7.83176e-05 -15 *931:10 *1190:12 0.000118134 -16 *931:10 *1198:16 0.00285553 -17 *931:10 *1282:10 0.00130097 -18 *2472:la_data_out_mprj[17] *931:7 0.000400773 -19 *641:7 *931:7 0.000292263 -20 *675:7 *2472:la_data_out_mprj[48] 0.000373236 -21 *925:15 *931:7 0.00142284 -22 *927:10 *931:10 0.0215779 -23 *929:10 *931:10 0.000151514 -*RES -1 *2478:la_output[48] *931:5 2.05183 -2 *931:5 *931:7 65.158 -3 *931:7 *931:9 4.5 -4 *931:9 *931:10 267.488 -5 *931:10 *2472:la_data_out_mprj[48] 26.8015 -*END - -*D_NET *932 0.0613779 -*CONN -*I *2472:la_data_out_mprj[49] I *D mgmt_protect -*I *2478:la_output[49] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[49] 0.00416361 -2 *2478:la_output[49] 0.00109188 -3 *932:21 0.00585655 -4 *932:18 0.00169294 -5 *932:16 0.000957161 -6 *932:10 0.00167468 -7 *932:9 0.0018094 -8 *2472:la_data_out_mprj[49] *2472:la_iena_mprj[48] 0.00026726 -9 *2472:la_data_out_mprj[49] *2472:la_iena_mprj[49] 0 -10 *2472:la_data_out_mprj[49] *1319:12 0.0164967 -11 *932:9 *1190:7 0 -12 *932:9 *1316:7 0 -13 *932:10 *1309:14 0.00631775 -14 *932:16 *935:10 6.66012e-05 -15 *932:16 *1193:9 6.60196e-05 -16 *932:21 *938:9 7.87271e-05 -17 *932:21 *1322:9 0 -18 *2472:la_data_out_mprj[31] *932:21 0.00149277 -19 *2472:la_data_out_mprj[47] *2472:la_data_out_mprj[49] 0.0144873 -20 *657:7 *932:21 0.000283365 -21 *676:7 *2472:la_data_out_mprj[49] 0 -22 *923:10 *932:10 0.00278364 -23 *923:15 *932:16 5.56367e-05 -24 *926:20 *932:16 0.000483488 -25 *928:18 *932:16 0.0010731 -26 *928:23 *932:21 0 -27 *930:19 *932:21 0.000179263 -*RES -1 *2478:la_output[49] *932:9 30.5998 -2 *932:9 *932:10 67.2758 -3 *932:10 *932:16 45.5228 -4 *932:16 *932:18 4.5 -5 *932:18 *932:21 49.8404 -6 *932:21 *2472:la_data_out_mprj[49] 44.8535 -*END - -*D_NET *933 0.167951 -*CONN -*I *2472:la_data_out_mprj[4] I *D mgmt_protect -*I *2478:la_output[4] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[4] 0.00264205 -2 *2478:la_output[4] 0.00127242 -3 *933:12 0.00264205 -4 *933:10 0.00603138 -5 *933:9 0.0073038 -6 *2472:la_data_out_mprj[4] *2472:la_iena_mprj[4] 0 -7 *2472:la_data_out_mprj[4] *1310:7 0 -8 *933:9 *1200:7 0 -9 *933:9 *1317:9 0 -10 *933:10 *955:10 0.0701924 -11 *933:10 *1167:10 0.00393788 -12 *933:10 *1179:10 0.00631397 -13 *2478:la_input[43] *2472:la_data_out_mprj[4] 0.000690049 -14 *605:14 *933:10 0.065305 -15 *677:7 *2472:la_data_out_mprj[4] 0.000541451 -16 *677:14 *933:10 0 -17 *911:10 *933:10 0.00107821 -*RES -1 *2478:la_output[4] *933:9 36.8286 -2 *933:9 *933:10 781.605 -3 *933:10 *933:12 4.5 -4 *933:12 *2472:la_data_out_mprj[4] 57.1827 -*END - -*D_NET *934 0.0549683 -*CONN -*I *2472:la_data_out_mprj[50] I *D mgmt_protect -*I *2478:la_output[50] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[50] 0.000749153 -2 *2478:la_output[50] 8.29983e-05 -3 *934:10 0.00333929 -4 *934:9 0.00259014 -5 *934:7 0.00302436 -6 *934:5 0.00310736 -7 *2472:la_data_out_mprj[50] *2472:la_iena_mprj[50] 0 -8 *934:7 *1191:9 0 -9 *934:7 *1318:9 0 -10 *934:10 *1160:10 0.000560858 -11 *934:10 *1162:10 4.72904e-05 -12 *934:10 *1190:12 0.000108607 -13 *934:10 *1192:10 0.000994607 -14 *934:10 *1198:16 0.000718419 -15 *934:10 *1288:10 3.42853e-05 -16 *2472:la_data_out_mprj[22] *934:7 0 -17 *647:7 *934:7 0.000261499 -18 *678:7 *2472:la_data_out_mprj[50] 0.000380675 -19 *681:8 *934:10 0.0179958 -20 *931:10 *934:10 0.0209729 -*RES -1 *2478:la_output[50] *934:5 2.05183 -2 *934:5 *934:7 65.5732 -3 *934:7 *934:9 4.5 -4 *934:9 *934:10 243.64 -5 *934:10 *2472:la_data_out_mprj[50] 26.3862 -*END - -*D_NET *935 0.0550254 -*CONN -*I *2472:la_data_out_mprj[51] I *D mgmt_protect -*I *2478:la_output[51] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[51] 0.000683157 -2 *2478:la_output[51] 0.000963291 -3 *935:16 0.00224177 -4 *935:15 0.00155861 -5 *935:13 0.00128356 -6 *935:12 0.00128356 -7 *935:10 0.000954525 -8 *935:9 0.00191782 -9 *2472:la_data_out_mprj[51] *2472:la_iena_mprj[51] 0 -10 *935:9 *1192:7 0 -11 *935:9 *1319:7 0 -12 *935:10 *936:10 0.00543847 -13 *935:10 *1309:18 4.33655e-05 -14 *935:13 *2472:la_iena_mprj[33] 0.000393005 -15 *935:13 *936:13 6.02152e-05 -16 *935:13 *937:15 0.00234425 -17 *935:13 *939:11 0.000873537 -18 *935:16 *936:16 0.0141949 -19 *935:16 *937:16 0.000261323 -20 *679:7 *2472:la_data_out_mprj[51] 0 -21 *684:8 *935:16 0.0130758 -22 *905:13 *935:9 0.000113953 -23 *923:10 *935:10 1.15389e-05 -24 *926:20 *935:10 0.0018874 -25 *928:18 *935:10 0.0053747 -26 *932:16 *935:10 6.66012e-05 -*RES -1 *2478:la_output[51] *935:9 28.9388 -2 *935:9 *935:10 79.4771 -3 *935:10 *935:12 4.5 -4 *935:12 *935:13 47.5097 -5 *935:13 *935:15 4.5 -6 *935:15 *935:16 153.239 -7 *935:16 *2472:la_data_out_mprj[51] 22.2337 -*END - -*D_NET *936 0.0540413 -*CONN -*I *2472:la_data_out_mprj[52] I *D mgmt_protect -*I *2478:la_output[52] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[52] 0.000520676 -2 *2478:la_output[52] 0.000983065 -3 *936:16 0.00225369 -4 *936:15 0.00173301 -5 *936:13 0.00128549 -6 *936:12 0.00128549 -7 *936:10 0.000452577 -8 *936:9 0.00143564 -9 *2472:la_data_out_mprj[52] *2472:la_iena_mprj[52] 0 -10 *936:9 *1193:9 0 -11 *936:9 *1320:7 0 -12 *936:10 *1309:14 7.02172e-06 -13 *936:10 *1309:18 0.00476095 -14 *936:13 *2472:la_iena_mprj[33] 5.66868e-06 -15 *936:13 *2472:la_oenb_mprj[32] 0 -16 *936:13 *937:15 0.00234779 -17 *936:13 *1323:9 0 -18 *936:16 *937:16 3.99086e-06 -19 *936:16 *938:16 0.014248 -20 *2472:la_data_out_mprj[26] *936:9 0 -21 *2472:la_data_out_mprj[33] *936:13 0.00164051 -22 *680:7 *2472:la_data_out_mprj[52] 0.000336725 -23 *684:8 *936:16 0.000339591 -24 *923:10 *936:10 0.000636366 -25 *926:20 *936:9 7.14746e-05 -26 *935:10 *936:10 0.00543847 -27 *935:13 *936:13 6.02152e-05 -28 *935:16 *936:16 0.0141949 -*RES -1 *2478:la_output[52] *936:9 29.3541 -2 *936:9 *936:10 57.8476 -3 *936:10 *936:12 4.5 -4 *936:12 *936:13 47.5097 -5 *936:13 *936:15 4.5 -6 *936:15 *936:16 162.668 -7 *936:16 *2472:la_data_out_mprj[52] 21.8185 -*END - -*D_NET *937 0.0504266 -*CONN -*I *2472:la_data_out_mprj[53] I *D mgmt_protect -*I *2478:la_output[53] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[53] 0.000733783 -2 *2478:la_output[53] 0.00106092 -3 *937:16 0.0025508 -4 *937:15 0.00282532 -5 *937:12 0.0013679 -6 *937:9 0.00142051 -7 *2472:la_data_out_mprj[53] *2472:la_iena_mprj[53] 0 -8 *937:9 *1194:9 0 -9 *937:9 *1321:9 0 -10 *937:12 *938:12 0.0016814 -11 *937:12 *1181:20 0.00361193 -12 *937:16 *939:14 0.0157005 -13 *681:7 *2472:la_data_out_mprj[53] 0 -14 *683:8 *937:16 4.97617e-05 -15 *684:8 *937:16 0.000786331 -16 *685:8 *937:16 0.0128319 -17 *930:16 *937:12 0.000848219 -18 *935:13 *937:15 0.00234425 -19 *935:16 *937:16 0.000261323 -20 *936:13 *937:15 0.00234779 -21 *936:16 *937:16 3.99086e-06 -*RES -1 *2478:la_output[53] *937:9 30.5998 -2 *937:9 *937:12 42.9364 -3 *937:12 *937:15 49.103 -4 *937:15 *937:16 170.987 -5 *937:16 *2472:la_data_out_mprj[53] 23.4795 -*END - -*D_NET *938 0.0453455 -*CONN -*I *2472:la_data_out_mprj[54] I *D mgmt_protect -*I *2478:la_output[54] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[54] 0.000501101 -2 *2478:la_output[54] 0.00104416 -3 *938:16 0.00246207 -4 *938:15 0.00196097 -5 *938:13 0.00195026 -6 *938:12 0.00226745 -7 *938:9 0.00136135 -8 *2472:la_data_out_mprj[54] *2472:la_iena_mprj[54] 0 -9 *938:9 *1195:9 0 -10 *938:9 *1322:9 0 -11 *938:12 *1181:20 0.000339591 -12 *938:12 *1307:20 0.00104548 -13 *938:13 *2472:la_iena_mprj[34] 0.000166653 -14 *938:13 *2472:la_oenb_mprj[34] 0 -15 *938:13 *1196:9 0.000693825 -16 *938:16 *940:10 0.0145667 -17 *2472:la_data_out_mprj[34] *938:13 0.000185686 -18 *660:7 *938:13 0 -19 *682:7 *2472:la_data_out_mprj[54] 0.000332413 -20 *684:8 *938:16 0.000394687 -21 *684:11 *938:13 0 -22 *930:16 *938:12 6.50727e-05 -23 *932:21 *938:9 7.87271e-05 -24 *936:16 *938:16 0.014248 -25 *937:12 *938:12 0.0016814 -*RES -1 *2478:la_output[54] *938:9 31.0151 -2 *938:9 *938:12 30.7352 -3 *938:12 *938:13 46.264 -4 *938:13 *938:15 4.5 -5 *938:15 *938:16 170.987 -6 *938:16 *2472:la_data_out_mprj[54] 21.4032 -*END - -*D_NET *939 0.045334 -*CONN -*I *2472:la_data_out_mprj[55] I *D mgmt_protect -*I *2478:la_output[55] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[55] 0.000741538 -2 *2478:la_output[55] 0.000916095 -3 *939:14 0.0025608 -4 *939:13 0.00181926 -5 *939:11 0.0018307 -6 *939:9 0.00274679 -7 *2472:la_data_out_mprj[55] *2472:la_iena_mprj[55] 0 -8 *939:9 *1323:9 0 -9 *939:11 *2472:la_iena_mprj[33] 0.000302412 -10 *939:11 *2472:la_oenb_mprj[33] 4.59609e-05 -11 *939:11 *1323:9 0 -12 *939:14 *1198:16 0 -13 *660:7 *939:11 0 -14 *683:7 *2472:la_data_out_mprj[55] 0 -15 *683:8 *939:14 0.017098 -16 *685:8 *939:14 0.000698398 -17 *935:13 *939:11 0.000873537 -18 *937:16 *939:14 0.0157005 -*RES -1 *2478:la_output[55] *939:9 23.4251 -2 *939:9 *939:11 46.4716 -3 *939:11 *939:13 4.5 -4 *939:13 *939:14 186.516 -5 *939:14 *2472:la_data_out_mprj[55] 23.8947 -*END - -*D_NET *940 0.037057 -*CONN -*I *2472:la_data_out_mprj[56] I *D mgmt_protect -*I *2478:la_output[56] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[56] 0.000481526 -2 *2478:la_output[56] 5.96252e-05 -3 *940:10 0.0038593 -4 *940:9 0.00337777 -5 *940:7 0.00276439 -6 *940:5 0.00282402 -7 *2472:la_data_out_mprj[56] *2472:la_iena_mprj[56] 0 -8 *940:7 *2472:la_iena_mprj[35] 0 -9 *940:7 *2472:la_oenb_mprj[35] 0.000121802 -10 *940:7 *1173:25 0 -11 *940:7 *1197:9 0 -12 *940:7 *1309:23 0 -13 *940:7 *1324:9 0 -14 *940:10 *1185:16 0.00690921 -15 *662:7 *940:7 0.00151161 -16 *684:7 *2472:la_data_out_mprj[56] 0.000328102 -17 *684:8 *940:10 0.000242106 -18 *685:8 *940:10 1.08799e-05 -19 *938:16 *940:10 0.0145667 -*RES -1 *2478:la_output[56] *940:5 1.49002 -2 *940:5 *940:7 70.9715 -3 *940:7 *940:9 4.5 -4 *940:9 *940:10 174.314 -5 *940:10 *2472:la_data_out_mprj[56] 20.988 -*END - -*D_NET *941 0.0333894 -*CONN -*I *2472:la_data_out_mprj[57] I *D mgmt_protect -*I *2478:la_output[57] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[57] 0.00107805 -2 *2478:la_output[57] 8.29983e-05 -3 *941:14 0.00187031 -4 *941:12 0.000812888 -5 *941:10 0.00138146 -6 *941:9 0.00136083 -7 *941:7 0.00237147 -8 *941:5 0.00245446 -9 *2472:la_data_out_mprj[57] *2472:la_iena_mprj[57] 0 -10 *2472:la_data_out_mprj[57] *2472:la_oenb_mprj[56] 0 -11 *2472:la_data_out_mprj[57] *2472:la_oenb_mprj[57] 0 -12 *941:7 *2472:la_iena_mprj[38] 5.56367e-05 -13 *941:7 *2472:la_oenb_mprj[38] 0 -14 *941:7 *1185:15 0 -15 *941:7 *1198:9 0 -16 *941:7 *1325:9 0 -17 *941:10 *1176:10 2.16355e-05 -18 *941:10 *1180:10 0.000180714 -19 *941:10 *1180:16 0.000702331 -20 *941:10 *1193:16 0.00193805 -21 *941:10 *1194:16 0.000547129 -22 *941:10 *1197:21 0.00119477 -23 *941:10 *1308:22 0 -24 *941:10 *1323:16 0.00184646 -25 *941:14 *1193:16 0.00558111 -26 *941:14 *1195:16 0.000725963 -27 *941:14 *1196:16 0.000205749 -28 *941:14 *1197:22 0.000111055 -29 *941:14 *1323:16 1.41689e-05 -30 *941:14 *1326:16 0.00856535 -31 *2472:la_data_out_mprj[38] *941:7 0.000286776 -32 *665:5 *941:7 0 -33 *685:7 *2472:la_data_out_mprj[57] 0 -*RES -1 *2478:la_output[57] *941:5 2.05183 -2 *941:5 *941:7 59.3444 -3 *941:7 *941:9 4.5 -4 *941:9 *941:10 71.1581 -5 *941:10 *941:12 0.578717 -6 *941:12 *941:14 91.1238 -7 *941:14 *2472:la_data_out_mprj[57] 32.1998 -*END - -*D_NET *942 0.0373296 -*CONN -*I *2472:la_data_out_mprj[58] I *D mgmt_protect -*I *2478:la_output[58] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[58] 0.000760596 -2 *2478:la_output[58] 8.29983e-05 -3 *942:10 0.00209089 -4 *942:9 0.0013303 -5 *942:7 0.00229965 -6 *942:5 0.00238265 -7 *2472:la_data_out_mprj[58] *2472:la_iena_mprj[58] 0 -8 *2472:la_data_out_mprj[58] *2472:la_oenb_mprj[58] 0 -9 *942:7 *1199:9 0 -10 *942:7 *1307:23 0.000617068 -11 *942:7 *1326:9 0 -12 *942:10 *943:10 6.75138e-05 -13 *942:10 *1193:16 5.64284e-05 -14 *668:7 *942:7 0 -15 *686:7 *2472:la_data_out_mprj[58] 0.000483545 -16 *686:8 *942:10 0.0139676 -17 *687:8 *942:10 0.0131903 -*RES -1 *2478:la_output[58] *942:5 2.05183 -2 *942:5 *942:7 62.2512 -3 *942:7 *942:9 4.5 -4 *942:9 *942:10 150.466 -5 *942:10 *2472:la_data_out_mprj[58] 29.7083 -*END - -*D_NET *943 0.0344298 -*CONN -*I *2472:la_data_out_mprj[59] I *D mgmt_protect -*I *2478:la_output[59] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[59] 0.00091684 -2 *2478:la_output[59] 8.29983e-05 -3 *943:10 0.00218664 -4 *943:9 0.0012698 -5 *943:7 0.00225711 -6 *943:5 0.00234011 -7 *2472:la_data_out_mprj[59] *2472:la_iena_mprj[59] 0 -8 *943:7 *1195:15 0 -9 *943:7 *1196:15 0.000638245 -10 *943:7 *1201:9 0 -11 *943:7 *1327:9 0 -12 *943:10 *1182:14 0.000324166 -13 *943:10 *1199:16 0.0114852 -14 *943:10 *1310:14 9.65932e-05 -15 *2472:la_data_out_mprj[43] *943:7 0.000310166 -16 *670:9 *943:7 0 -17 *686:8 *943:10 0.01205 -18 *687:7 *2472:la_data_out_mprj[59] 0 -19 *687:8 *943:10 0.000159317 -20 *929:10 *943:10 0.000245158 -21 *942:10 *943:10 6.75138e-05 -*RES -1 *2478:la_output[59] *943:5 2.05183 -2 *943:5 *943:7 63.0817 -3 *943:7 *943:9 4.5 -4 *943:9 *943:10 138.82 -5 *943:10 *2472:la_data_out_mprj[59] 28.8777 -*END - -*D_NET *944 0.156107 -*CONN -*I *2472:la_data_out_mprj[5] I *D mgmt_protect -*I *2478:la_output[5] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[5] 0.00102872 -2 *2478:la_output[5] 8.29983e-05 -3 *944:10 0.00900991 -4 *944:9 0.0079812 -5 *944:7 0.00255175 -6 *944:5 0.00263475 -7 *2472:la_data_out_mprj[5] *2472:la_iena_mprj[5] 0 -8 *944:7 *1211:9 0 -9 *944:7 *1328:7 0 -10 *944:10 *966:10 0.0662309 -11 *944:10 *1178:10 0.00636505 -12 *944:10 *1200:10 0.00175438 -13 *944:10 *1200:16 0.00331837 -14 *944:10 *1280:10 0.0526241 -15 *944:10 *1328:10 0.00252463 -16 *688:7 *2472:la_data_out_mprj[5] 0 -*RES -1 *2478:la_output[5] *944:5 2.05183 -2 *944:5 *944:7 64.7427 -3 *944:7 *944:9 4.5 -4 *944:9 *944:10 769.959 -5 *944:10 *2472:la_data_out_mprj[5] 27.2167 -*END - -*D_NET *945 0.0278369 -*CONN -*I *2472:la_data_out_mprj[60] I *D mgmt_protect -*I *2478:la_output[60] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[60] 0.00148666 -2 *2478:la_output[60] 0.0014309 -3 *945:12 0.00148666 -4 *945:10 0.00162034 -5 *945:9 0.00305124 -6 *2472:la_data_out_mprj[60] *2472:la_iena_mprj[60] 0 -7 *945:9 *2472:la_oenb_mprj[45] 0 -8 *945:9 *1197:15 0 -9 *945:9 *1199:15 0 -10 *945:9 *1202:9 0 -11 *945:9 *1329:9 0 -12 *945:10 *946:10 0.000375299 -13 *945:10 *947:10 0.00827962 -14 *945:10 *1201:10 0 -15 *945:10 *1204:10 0.00780587 -16 *945:10 *1315:10 1.44742e-05 -17 *945:10 *1324:10 0.000237769 -18 *945:10 *1325:10 0.000401978 -19 *945:10 *1330:10 0.000108945 -20 *945:10 *1331:10 0.00041958 -21 *689:5 *2472:la_data_out_mprj[60] 0.0011176 -*RES -1 *2478:la_output[60] *945:9 40.1506 -2 *945:9 *945:10 127.173 -3 *945:10 *945:12 4.5 -4 *945:12 *2472:la_data_out_mprj[60] 53.8607 -*END - -*D_NET *946 0.029289 -*CONN -*I *2472:la_data_out_mprj[61] I *D mgmt_protect -*I *2478:la_output[61] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[61] 0.00201122 -2 *2478:la_output[61] 0.00134857 -3 *946:12 0.00201122 -4 *946:10 0.00080611 -5 *946:9 0.00215468 -6 *2472:la_data_out_mprj[61] *2472:la_iena_mprj[61] 0 -7 *946:9 *1203:9 0 -8 *946:9 *1329:15 0 -9 *946:10 *1330:10 0.0110068 -10 *946:10 *1331:10 0.00957509 -11 *690:5 *2472:la_data_out_mprj[61] 0 -12 *945:10 *946:10 0.000375299 -*RES -1 *2478:la_output[61] *946:9 38.9049 -2 *946:9 *946:10 115.526 -3 *946:10 *946:12 4.5 -4 *946:12 *2472:la_data_out_mprj[61] 55.1064 -*END - -*D_NET *947 0.0214542 -*CONN -*I *2472:la_data_out_mprj[62] I *D mgmt_protect -*I *2478:la_output[62] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[62] 0.00146709 -2 *2478:la_output[62] 0.00144115 -3 *947:12 0.00146709 -4 *947:10 0.00155402 -5 *947:9 0.00299516 -6 *2472:la_data_out_mprj[62] *2472:la_iena_mprj[62] 0 -7 *947:9 *1204:9 0 -8 *947:9 *1331:9 0 -9 *947:10 *1204:10 0.000695785 -10 *947:10 *1206:10 0.00244103 -11 *691:5 *2472:la_data_out_mprj[62] 0.00111329 -12 *945:10 *947:10 0.00827962 -*RES -1 *2478:la_output[62] *947:9 40.5659 -2 *947:9 *947:10 103.88 -3 *947:10 *947:12 4.5 -4 *947:12 *2472:la_data_out_mprj[62] 53.4454 -*END - -*D_NET *948 0.0242955 -*CONN -*I *2472:la_data_out_mprj[63] I *D mgmt_protect -*I *2478:la_output[63] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[63] 0.00234568 -2 *2478:la_output[63] 0.00103457 -3 *948:12 0.00234568 -4 *948:10 0.000796198 -5 *948:9 0.00183077 -6 *2472:la_data_out_mprj[63] *2472:la_iena_mprj[63] 0 -7 *2472:la_data_out_mprj[63] *2472:la_oenb_mprj[63] 0 -8 *2472:la_data_out_mprj[63] *1337:9 0 -9 *948:9 *1205:9 0 -10 *948:9 *1332:9 0 -11 *948:10 *949:10 0.00691104 -12 *948:10 *1332:10 9.16621e-05 -13 *692:5 *2472:la_data_out_mprj[63] 0 -14 *692:8 *948:10 0.00854448 -15 *693:8 *948:10 0.000395432 -*RES -1 *2478:la_output[63] *948:9 31.0151 -2 *948:9 *948:10 92.233 -3 *948:10 *948:12 4.5 -4 *948:12 *2472:la_data_out_mprj[63] 62.9962 -*END - -*D_NET *949 0.0228812 -*CONN -*I *2472:la_data_out_mprj[64] I *D mgmt_protect -*I *2478:la_output[64] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[64] 0.00180151 -2 *2478:la_output[64] 0.000980039 -3 *949:12 0.00180151 -4 *949:10 0.000611067 -5 *949:9 0.00159111 -6 *2472:la_data_out_mprj[64] *2472:la_iena_mprj[64] 0 -7 *2472:la_data_out_mprj[64] *953:12 5.92342e-05 -8 *949:9 *1333:9 0 -9 *949:10 *951:12 1.67988e-05 -10 *949:10 *951:16 0.000122378 -11 *949:10 *1208:10 6.05863e-05 -12 *949:10 *1332:10 0.000106845 -13 *693:5 *2472:la_data_out_mprj[64] 0.00128143 -14 *693:8 *949:10 0.00753767 -15 *948:10 *949:10 0.00691104 -*RES -1 *2478:la_output[64] *949:9 29.4762 -2 *949:9 *949:10 81.1409 -3 *949:10 *949:12 4.5 -4 *949:12 *2472:la_data_out_mprj[64] 63.4115 -*END - -*D_NET *950 0.0152883 -*CONN -*I *2472:la_data_out_mprj[65] I *D mgmt_protect -*I *2478:la_output[65] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[65] 0.00217768 -2 *2478:la_output[65] 0.00120602 -3 *950:12 0.00217768 -4 *950:10 0.000780503 -5 *950:9 0.00198652 -6 *2472:la_data_out_mprj[65] *2472:la_iena_mprj[65] 0 -7 *2472:la_data_out_mprj[65] *2472:la_oenb_mprj[64] 0 -8 *2472:la_data_out_mprj[65] *2472:la_oenb_mprj[65] 0 -9 *950:9 *1207:9 0 -10 *950:9 *1334:9 0 -11 *950:10 *1205:10 0.00194244 -12 *950:10 *1207:10 0.0026991 -13 *950:10 *1209:10 0.00219735 -14 *950:10 *1334:10 0.000120974 -15 *694:5 *2472:la_data_out_mprj[65] 0 -*RES -1 *2478:la_output[65] *950:9 34.3371 -2 *950:9 *950:10 68.9396 -3 *950:10 *950:12 4.5 -4 *950:12 *2472:la_data_out_mprj[65] 59.6742 -*END - -*D_NET *951 0.0167198 -*CONN -*I *2472:la_data_out_mprj[66] I *D mgmt_protect -*I *2478:la_output[66] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[66] 0.00179488 -2 *2478:la_output[66] 0.000999976 -3 *951:16 0.00194905 -4 *951:12 0.00057372 -5 *951:9 0.00141952 -6 *2472:la_data_out_mprj[66] *2472:la_iena_mprj[66] 0 -7 *2472:la_data_out_mprj[66] *2472:la_oenb_mprj[66] 0 -8 *2472:la_data_out_mprj[66] *1338:9 4.41474e-05 -9 *951:9 *1208:9 0 -10 *951:9 *1335:9 0 -11 *951:12 *1335:13 0.000362922 -12 *951:12 *1336:10 0.000661063 -13 *951:16 *953:12 4.5421e-05 -14 *951:16 *953:16 0.00140641 -15 *951:16 *1208:10 1.75155e-06 -16 *951:16 *1335:18 0.00169207 -17 *693:8 *951:12 0.00359749 -18 *695:5 *2472:la_data_out_mprj[66] 0.00126906 -19 *697:13 *2472:la_data_out_mprj[66] 0 -20 *697:16 *951:12 0.000749025 -21 *697:16 *951:16 1.41689e-05 -22 *949:10 *951:12 1.67988e-05 -23 *949:10 *951:16 0.000122378 -*RES -1 *2478:la_output[66] *951:9 29.7693 -2 *951:9 *951:12 39.0152 -3 *951:12 *951:16 22.9707 -4 *951:16 *2472:la_data_out_mprj[66] 63.8267 -*END - -*D_NET *952 0.0126583 -*CONN -*I *2472:la_data_out_mprj[67] I *D mgmt_protect -*I *2478:la_output[67] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[67] 0.00238443 -2 *2478:la_output[67] 0.000932333 -3 *952:12 0.00238443 -4 *952:10 0.00106029 -5 *952:9 0.00199262 -6 *2472:la_data_out_mprj[67] *2472:la_iena_mprj[67] 0 -7 *2472:la_data_out_mprj[67] *2472:la_oenb_mprj[66] 0 -8 *2472:la_data_out_mprj[67] *2472:la_oenb_mprj[67] 0 -9 *2472:la_data_out_mprj[67] *1212:9 0.000195139 -10 *952:9 *1209:9 0 -11 *952:9 *1336:9 0 -12 *952:10 *1335:13 0.00179828 -13 *952:10 *1336:10 0.000482046 -14 *696:5 *2472:la_data_out_mprj[67] 0 -15 *698:10 *952:10 0.00142877 -*RES -1 *2478:la_output[67] *952:9 28.1083 -2 *952:9 *952:10 45.6463 -3 *952:10 *952:12 4.5 -4 *952:12 *2472:la_data_out_mprj[67] 65.903 -*END - -*D_NET *953 0.0112725 -*CONN -*I *2472:la_data_out_mprj[68] I *D mgmt_protect -*I *2478:la_output[68] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[68] 0.00178957 -2 *2478:la_output[68] 0.00113049 -3 *953:16 0.00235261 -4 *953:12 0.00169353 -5 *2472:la_data_out_mprj[68] *2472:la_iena_mprj[68] 0 -6 *2472:la_data_out_mprj[68] *2472:la_oenb_mprj[67] 0 -7 *953:12 *1208:10 8.69538e-05 -8 *953:12 *1210:9 0 -9 *953:12 *1335:13 2.652e-05 -10 *953:12 *1337:9 0 -11 *953:16 *954:12 0.000300204 -12 *953:16 *1208:10 0.000236012 -13 *953:16 *1212:12 0.000202245 -14 *953:16 *1335:18 0.000180711 -15 *953:16 *1338:12 0.0002375 -16 *2472:la_data_out_mprj[64] *953:12 5.92342e-05 -17 *697:5 *2472:la_data_out_mprj[68] 0.00125177 -18 *700:10 *953:16 0.00027329 -19 *951:16 *953:12 4.5421e-05 -20 *951:16 *953:16 0.00140641 -*RES -1 *2478:la_output[68] *953:12 33.9671 -2 *953:12 *953:16 36.5585 -3 *953:16 *2472:la_data_out_mprj[68] 63.4115 -*END - -*D_NET *954 0.00962518 -*CONN -*I *2472:la_data_out_mprj[69] I *D mgmt_protect -*I *2478:la_output[69] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[69] 0.00204663 -2 *2478:la_output[69] 0.000998286 -3 *954:12 0.00242839 -4 *954:9 0.00138005 -5 *2472:la_data_out_mprj[69] *2472:la_iena_mprj[69] 0 -6 *2472:la_data_out_mprj[69] *2472:la_oenb_mprj[69] 0 -7 *2472:la_data_out_mprj[69] *956:7 0.000732828 -8 *2472:la_data_out_mprj[69] *1213:10 0 -9 *954:9 *1212:9 0 -10 *954:9 *1338:9 0 -11 *954:12 *1335:18 6.50727e-05 -12 *954:12 *1336:10 0.000897677 -13 *954:12 *1340:10 0.000320474 -14 *697:13 *954:9 1.77537e-06 -15 *698:5 *2472:la_data_out_mprj[69] 0 -16 *698:10 *954:12 0.000438797 -17 *700:10 *954:12 1.49927e-05 -18 *953:16 *954:12 0.000300204 -*RES -1 *2478:la_output[69] *954:9 29.3541 -2 *954:9 *954:12 26.8529 -3 *954:12 *2472:la_data_out_mprj[69] 64.6572 -*END - -*D_NET *955 0.163347 -*CONN -*I *2472:la_data_out_mprj[6] I *D mgmt_protect -*I *2478:la_output[6] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[6] 0.00270487 -2 *2478:la_output[6] 0.00129968 -3 *955:12 0.00270487 -4 *955:10 0.00575417 -5 *955:9 0.00705386 -6 *2472:la_data_out_mprj[6] *2472:la_iena_mprj[6] 0 -7 *955:9 *1222:7 0 -8 *955:9 *1339:9 0 -9 *955:10 *1167:10 0.0036203 -10 *955:10 *1179:10 0.000741053 -11 *955:10 *1279:10 0.000119292 -12 *955:10 *1361:10 0.068472 -13 *2478:la_input[44] *2472:la_data_out_mprj[6] 0.000145026 -14 *677:14 *955:10 0 -15 *699:7 *2472:la_data_out_mprj[6] 0.000539293 -16 *933:10 *955:10 0.0701924 -*RES -1 *2478:la_output[6] *955:9 37.2439 -2 *955:9 *955:10 758.312 -3 *955:10 *955:12 4.5 -4 *955:12 *2472:la_data_out_mprj[6] 56.7674 -*END - -*D_NET *956 0.0089816 -*CONN -*I *2472:la_data_out_mprj[70] I *D mgmt_protect -*I *2478:la_output[70] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[70] 0.00124149 -2 *2478:la_output[70] 8.29983e-05 -3 *956:7 0.00261768 -4 *956:5 0.00145918 -5 *2472:la_data_out_mprj[70] *2472:la_iena_mprj[70] 0 -6 *956:7 *1213:10 0 -7 *2472:la_data_out_mprj[69] *956:7 0.000732828 -8 *698:5 *956:7 0.00224262 -9 *700:5 *2472:la_data_out_mprj[70] 0.000604798 -*RES -1 *2478:la_output[70] *956:5 2.05183 -2 *956:5 *956:7 58.0987 -3 *956:7 *2472:la_data_out_mprj[70] 48.5124 -*END - -*D_NET *957 0.00644579 -*CONN -*I *2472:la_data_out_mprj[71] I *D mgmt_protect -*I *2478:la_output[71] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[71] 0.00321001 -2 *2478:la_output[71] 1.28869e-05 -3 *957:5 0.0032229 -4 *2472:la_data_out_mprj[71] *2472:la_iena_mprj[71] 0 -5 *2472:la_data_out_mprj[71] *1341:7 0 -6 *701:5 *2472:la_data_out_mprj[71] 0 -*RES -1 *2478:la_output[71] *957:5 0.366399 -2 *957:5 *2472:la_data_out_mprj[71] 87.2885 -*END - -*D_NET *958 0.00826497 -*CONN -*I *2472:la_data_out_mprj[72] I *D mgmt_protect -*I *2478:la_output[72] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[72] 0.000994915 -2 *2478:la_output[72] 8.30061e-05 -3 *958:7 0.00321955 -4 *958:5 0.00230764 -5 *2472:la_data_out_mprj[72] *2472:la_iena_mprj[72] 0 -6 *958:7 *2472:la_iena_mprj[73] 0 -7 *958:7 *2472:la_oenb_mprj[72] 0 -8 *958:7 *1215:7 0 -9 *702:9 *2472:la_data_out_mprj[72] 0.00165986 -*RES -1 *2478:la_output[72] *958:5 2.05183 -2 *958:5 *958:7 60.5902 -3 *958:7 *2472:la_data_out_mprj[72] 45.4663 -*END - -*D_NET *959 0.00981132 -*CONN -*I *2472:la_data_out_mprj[73] I *D mgmt_protect -*I *2478:la_output[73] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[73] 0.0012074 -2 *2478:la_output[73] 8.30061e-05 -3 *959:7 0.00349716 -4 *959:5 0.00237277 -5 *2472:la_data_out_mprj[73] *2472:la_iena_mprj[73] 0 -6 *2472:la_data_out_mprj[73] *2472:la_oenb_mprj[72] 0 -7 *2472:la_data_out_mprj[73] *2472:la_oenb_mprj[73] 0.00109499 -8 *2472:la_data_out_mprj[73] *2472:la_oenb_mprj[74] 0.000194701 -9 *959:7 *2472:la_iena_mprj[75] 0.000313321 -10 *959:7 *2472:la_oenb_mprj[75] 0.000867253 -11 *959:7 *1216:7 0 -12 *959:7 *1343:7 0 -13 *703:10 *2472:la_data_out_mprj[73] 0.000180727 -*RES -1 *2478:la_output[73] *959:5 2.05183 -2 *959:5 *959:7 70.5562 -3 *959:7 *2472:la_data_out_mprj[73] 47.1469 -*END - -*D_NET *960 0.0113871 -*CONN -*I *2472:la_data_out_mprj[74] I *D mgmt_protect -*I *2478:la_output[74] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[74] 0.000830244 -2 *2478:la_output[74] 5.96331e-05 -3 *960:16 0.00164557 -4 *960:7 0.00292898 -5 *960:5 0.00217329 -6 *2472:la_data_out_mprj[74] *1216:13 0 -7 *960:7 *1217:7 0 -8 *960:7 *1344:7 0 -9 *960:16 *961:10 0.000262215 -10 *960:16 *1216:13 7.48293e-05 -11 *960:16 *1345:12 0.00096144 -12 *703:11 *2472:la_data_out_mprj[74] 0 -13 *704:7 *2472:la_data_out_mprj[74] 0.000585287 -14 *704:10 *960:16 0.000115035 -15 *704:11 *960:16 8.62625e-06 -16 *705:10 *960:16 0.00100354 -17 *706:8 *960:16 0.000738369 -*RES -1 *2478:la_output[74] *960:5 1.49002 -2 *960:5 *960:7 56.8529 -3 *960:7 *960:16 48.9458 -4 *960:16 *2472:la_data_out_mprj[74] 33.4455 -*END - -*D_NET *961 0.0149573 -*CONN -*I *2472:la_data_out_mprj[75] I *D mgmt_protect -*I *2478:la_output[75] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[75] 0.000982172 -2 *2478:la_output[75] 8.30061e-05 -3 *961:10 0.00139893 -4 *961:7 0.00254839 -5 *961:5 0.00221463 -6 *2472:la_data_out_mprj[75] *2472:la_iena_mprj[75] 0 -7 *2472:la_data_out_mprj[75] *1343:7 0.000393199 -8 *961:7 *1218:7 0 -9 *961:7 *1345:7 0 -10 *961:10 *1218:12 5.44727e-05 -11 *961:10 *1345:12 0.000163362 -12 *705:7 *2472:la_data_out_mprj[75] 0 -13 *705:10 *961:10 0.00325949 -14 *706:8 *961:10 0.00359748 -15 *960:16 *961:10 0.000262215 -*RES -1 *2478:la_output[75] *961:5 2.05183 -2 *961:5 *961:7 57.6834 -3 *961:7 *961:10 49.0371 -4 *961:10 *2472:la_data_out_mprj[75] 34.276 -*END - -*D_NET *962 0.0137851 -*CONN -*I *2472:la_data_out_mprj[76] I *D mgmt_protect -*I *2478:la_output[76] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[76] 0.000606152 -2 *2478:la_output[76] 8.30061e-05 -3 *962:10 0.00178041 -4 *962:9 0.00117426 -5 *962:7 0.00245081 -6 *962:5 0.00253381 -7 *2472:la_data_out_mprj[76] *2472:la_iena_mprj[76] 0 -8 *962:7 *2472:la_data_out_mprj[83] 0 -9 *962:7 *2472:la_iena_mprj[82] 0 -10 *962:7 *2472:la_oenb_mprj[82] 0.000123893 -11 *962:7 *1219:7 0 -12 *962:7 *1346:7 0 -13 *962:10 *968:10 0.00121409 -14 *962:10 *1219:10 0.00135533 -15 *962:10 *1223:10 0.00184718 -16 *962:10 *1346:10 0.000191201 -17 *706:7 *2472:la_data_out_mprj[76] 0.000424927 -*RES -1 *2478:la_output[76] *962:5 2.05183 -2 *962:5 *962:7 66.4037 -3 *962:7 *962:9 4.5 -4 *962:9 *962:10 56.1838 -5 *962:10 *2472:la_data_out_mprj[76] 25.5557 -*END - -*D_NET *963 0.0200641 -*CONN -*I *2472:la_data_out_mprj[77] I *D mgmt_protect -*I *2478:la_output[77] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[77] 0.00090994 -2 *2478:la_output[77] 8.30061e-05 -3 *963:10 0.00152402 -4 *963:9 0.000614082 -5 *963:7 0.00178722 -6 *963:5 0.00187023 -7 *2472:la_data_out_mprj[77] *2472:la_iena_mprj[77] 0 -8 *2472:la_data_out_mprj[77] *1344:7 0.000220041 -9 *963:7 *2472:la_data_out_mprj[85] 0.00108437 -10 *963:7 *2472:la_oenb_mprj[84] 0 -11 *963:7 *1347:7 0 -12 *963:10 *964:10 0.000194701 -13 *963:10 *1346:10 0 -14 *963:10 *1347:10 0.00553023 -15 *707:7 *2472:la_data_out_mprj[77] 0 -16 *707:8 *963:10 0.00547712 -17 *713:8 *963:10 0.000396946 -18 *716:7 *963:7 0.000372142 -*RES -1 *2478:la_output[77] *963:5 2.05183 -2 *963:5 *963:7 60.5902 -3 *963:7 *963:9 4.5 -4 *963:9 *963:10 67.8304 -5 *963:10 *2472:la_data_out_mprj[77] 31.3693 -*END - -*D_NET *964 0.0214079 -*CONN -*I *2472:la_data_out_mprj[78] I *D mgmt_protect -*I *2478:la_output[78] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[78] 0.000841283 -2 *2478:la_output[78] 1.28869e-05 -3 *964:10 0.00187637 -4 *964:9 0.00103508 -5 *964:7 0.00236981 -6 *964:5 0.0023827 -7 *2472:la_data_out_mprj[78] *2472:la_iena_mprj[78] 0 -8 *2472:la_data_out_mprj[78] *2472:la_oenb_mprj[77] 0 -9 *2472:la_data_out_mprj[78] *2472:la_oenb_mprj[78] 0 -10 *964:7 *2472:la_iena_mprj[87] 0 -11 *964:7 *1221:7 0 -12 *964:10 *965:10 0.00687388 -13 *964:10 *1346:10 0 -14 *964:10 *1347:10 0.00515848 -15 *708:7 *2472:la_data_out_mprj[78] 0.000328102 -16 *713:8 *964:10 0.000334651 -17 *719:7 *964:7 0 -18 *963:10 *964:10 0.000194701 -*RES -1 *2478:la_output[78] *964:5 0.366399 -2 *964:5 *964:7 61.4207 -3 *964:7 *964:9 4.5 -4 *964:9 *964:10 82.2501 -5 *964:10 *2472:la_data_out_mprj[78] 30.5388 -*END - -*D_NET *965 0.0201849 -*CONN -*I *2472:la_data_out_mprj[79] I *D mgmt_protect -*I *2478:la_output[79] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[79] 0.000987879 -2 *2478:la_output[79] 8.30061e-05 -3 *965:10 0.0023547 -4 *965:9 0.00136682 -5 *965:7 0.00242365 -6 *965:5 0.00250666 -7 *2472:la_data_out_mprj[79] *2472:la_iena_mprj[79] 0 -8 *2472:la_data_out_mprj[79] *2472:la_oenb_mprj[79] 0 -9 *965:7 *2472:la_data_out_mprj[90] 0 -10 *965:7 *2472:la_iena_mprj[89] 0 -11 *965:7 *1223:7 0 -12 *965:7 *1349:7 0 -13 *965:10 *1346:10 0 -14 *965:10 *1349:10 0.00333337 -15 *965:10 *1357:16 9.41997e-05 -16 *965:10 *1360:16 6.50586e-05 -17 *709:7 *2472:la_data_out_mprj[79] 0 -18 *713:8 *965:10 9.39152e-05 -19 *722:7 *965:7 1.77537e-06 -20 *964:10 *965:10 0.00687388 -*RES -1 *2478:la_output[79] *965:5 2.05183 -2 *965:5 *965:7 61.8359 -3 *965:7 *965:9 4.5 -4 *965:9 *965:10 91.1238 -5 *965:10 *2472:la_data_out_mprj[79] 30.1235 -*END - -*D_NET *966 0.167351 -*CONN -*I *2472:la_data_out_mprj[7] I *D mgmt_protect -*I *2478:la_output[7] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[7] 0.00152746 -2 *2478:la_output[7] 8.29983e-05 -3 *966:16 0.00245813 -4 *966:15 0.00132745 -5 *966:10 0.00442284 -6 *966:9 0.00402606 -7 *966:7 0.00257726 -8 *966:5 0.00266026 -9 *2472:la_data_out_mprj[7] *2472:la_iena_mprj[7] 0 -10 *966:7 *1233:9 0 -11 *966:7 *1350:7 0 -12 *966:10 *1178:10 0.00940448 -13 *966:10 *1200:10 0.0662309 -14 *966:16 *1267:18 0.000245416 -15 *966:16 *1308:16 0.00497069 -16 *2472:la_data_out_mprj[1] *966:15 6.41995e-05 -17 *644:7 *966:15 0.00037536 -18 *652:8 *966:16 0.000746177 -19 *710:7 *2472:la_data_out_mprj[7] 0 -20 *927:7 *2472:la_data_out_mprj[7] 0 -21 *944:10 *966:10 0.0662309 -*RES -1 *2478:la_output[7] *966:5 2.05183 -2 *966:5 *966:7 64.3275 -3 *966:7 *966:9 4.5 -4 *966:9 *966:10 693.423 -5 *966:10 *966:15 17.476 -6 *966:15 *966:16 53.4107 -7 *966:16 *2472:la_data_out_mprj[7] 35.937 -*END - -*D_NET *967 0.0266609 -*CONN -*I *2472:la_data_out_mprj[80] I *D mgmt_protect -*I *2478:la_output[80] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[80] 0.000556073 -2 *2478:la_output[80] 5.96331e-05 -3 *967:10 0.00178311 -4 *967:9 0.00122703 -5 *967:7 0.00211622 -6 *967:5 0.00217585 -7 *2472:la_data_out_mprj[80] *2472:la_iena_mprj[80] 0 -8 *967:7 *2472:la_data_out_mprj[92] 0.002059 -9 *967:7 *2472:la_iena_mprj[92] 0.00057675 -10 *967:7 *1224:7 0 -11 *967:7 *1351:7 0 -12 *967:10 *1221:10 0.00627414 -13 *967:10 *1224:10 0.00144135 -14 *967:10 *1351:10 0.00102027 -15 *967:10 *1352:10 7.2143e-05 -16 *709:8 *967:10 0.00673697 -17 *711:7 *2472:la_data_out_mprj[80] 0.000348619 -18 *724:8 *967:10 0.000213725 -*RES -1 *2478:la_output[80] *967:5 1.49002 -2 *967:5 *967:7 69.3105 -3 *967:7 *967:9 4.5 -4 *967:9 *967:10 103.88 -5 *967:10 *2472:la_data_out_mprj[80] 22.649 -*END - -*D_NET *968 0.0251709 -*CONN -*I *2472:la_data_out_mprj[81] I *D mgmt_protect -*I *2478:la_output[81] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[81] 0.000826339 -2 *2478:la_output[81] 8.30061e-05 -3 *968:10 0.00261696 -4 *968:9 0.00179062 -5 *968:7 0.00211337 -6 *968:5 0.00219638 -7 *2472:la_data_out_mprj[81] *2472:la_iena_mprj[81] 0 -8 *2472:la_data_out_mprj[81] *2472:la_oenb_mprj[81] 0 -9 *968:7 *2472:la_data_out_mprj[94] 0 -10 *968:7 *2472:la_iena_mprj[94] 0.00180361 -11 *968:7 *2472:la_oenb_mprj[94] 0.000571834 -12 *968:7 *1225:7 0 -13 *968:7 *1352:7 0 -14 *968:10 *969:10 0.000768046 -15 *968:10 *1219:10 0.00172162 -16 *968:10 *1223:10 0.00260196 -17 *968:10 *1358:20 0.00538192 -18 *712:7 *2472:la_data_out_mprj[81] 0 -19 *712:8 *968:10 0.0014812 -20 *727:5 *968:7 0 -21 *962:10 *968:10 0.00121409 -*RES -1 *2478:la_output[81] *968:5 2.05183 -2 *968:5 *968:7 66.819 -3 *968:7 *968:9 4.5 -4 *968:9 *968:10 114.417 -5 *968:10 *2472:la_data_out_mprj[81] 25.1405 -*END - -*D_NET *969 0.0301687 -*CONN -*I *2472:la_data_out_mprj[82] I *D mgmt_protect -*I *2478:la_output[82] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[82] 0.000740972 -2 *2478:la_output[82] 8.30061e-05 -3 *969:10 0.00255265 -4 *969:9 0.00181168 -5 *969:7 0.0024664 -6 *969:5 0.00254941 -7 *2472:la_data_out_mprj[82] *2472:la_iena_mprj[82] 0 -8 *969:7 *2472:la_data_out_mprj[97] 0 -9 *969:7 *2472:la_oenb_mprj[96] 0.000681256 -10 *969:7 *1226:7 0 -11 *969:7 *1353:7 0 -12 *969:10 *1223:10 0.00651389 -13 *969:10 *1226:10 9.16785e-05 -14 *969:10 *1349:10 0 -15 *969:10 *1354:10 0.0104549 -16 *969:10 *1358:16 0.000785032 -17 *969:10 *1358:20 0.000190399 -18 *713:7 *2472:la_data_out_mprj[82] 0.000382795 -19 *714:8 *969:10 9.66259e-05 -20 *968:10 *969:10 0.000768046 -*RES -1 *2478:la_output[82] *969:5 2.05183 -2 *969:5 *969:7 65.5732 -3 *969:7 *969:9 4.5 -4 *969:9 *969:10 126.618 -5 *969:10 *2472:la_data_out_mprj[82] 26.3862 -*END - -*D_NET *970 0.0274966 -*CONN -*I *2472:la_data_out_mprj[83] I *D mgmt_protect -*I *2478:la_output[83] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[83] 0.00186873 -2 *2478:la_output[83] 0.00124256 -3 *970:12 0.00186873 -4 *970:10 0.00295492 -5 *970:9 0.00419748 -6 *2472:la_data_out_mprj[83] *2472:la_iena_mprj[83] 0 -7 *2472:la_data_out_mprj[83] *2472:la_oenb_mprj[82] 0 -8 *2472:la_data_out_mprj[83] *1219:7 0.00107187 -9 *970:9 *2472:la_iena_mprj[99] 0.000157445 -10 *970:9 *1227:7 0 -11 *970:10 *971:10 0.0122237 -12 *970:10 *981:10 0 -13 *970:10 *982:10 0 -14 *970:10 *983:16 0.00116215 -15 *970:10 *1242:10 0.000749011 -16 *714:7 *2472:la_data_out_mprj[83] 0 -17 *727:8 *970:10 0 -18 *962:7 *2472:la_data_out_mprj[83] 0 -*RES -1 *2478:la_output[83] *970:9 35.2897 -2 *970:9 *970:10 138.82 -3 *970:10 *970:12 4.5 -4 *970:12 *2472:la_data_out_mprj[83] 57.5979 -*END - -*D_NET *971 0.0334429 -*CONN -*I *2472:la_data_out_mprj[84] I *D mgmt_protect -*I *2478:la_output[84] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[84] 0.00209282 -2 *2478:la_output[84] 0.00131668 -3 *971:12 0.00209282 -4 *971:10 0.00199815 -5 *971:9 0.00331482 -6 *2472:la_data_out_mprj[84] *2472:la_iena_mprj[84] 0 -7 *2472:la_data_out_mprj[84] *2472:la_oenb_mprj[84] 0 -8 *2472:la_data_out_mprj[84] *1347:7 0 -9 *971:9 *2472:la_oenb_mprj[101] 0.00010835 -10 *971:9 *1228:9 0 -11 *971:9 *1355:9 0 -12 *971:10 *973:10 0 -13 *971:10 *974:10 0 -14 *971:10 *975:10 0.000169545 -15 *971:10 *976:10 0.000153129 -16 *971:10 *978:10 0.000455401 -17 *971:10 *1235:10 0.00821673 -18 *971:10 *1242:10 0.000792362 -19 *971:10 *1246:12 0.00010238 -20 *707:11 *2472:la_data_out_mprj[84] 0 -21 *715:7 *2472:la_data_out_mprj[84] 0.00040604 -22 *970:10 *971:10 0.0122237 -*RES -1 *2478:la_output[84] *971:9 35.9981 -2 *971:9 *971:10 149.912 -3 *971:10 *971:12 4.5 -4 *971:12 *2472:la_data_out_mprj[84] 58.0132 -*END - -*D_NET *972 0.0387956 -*CONN -*I *2472:la_data_out_mprj[85] I *D mgmt_protect -*I *2478:la_output[85] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[85] 0.00209716 -2 *2478:la_output[85] 0.00104969 -3 *972:12 0.00209716 -4 *972:10 0.00129945 -5 *972:9 0.00234913 -6 *2472:la_data_out_mprj[85] *2472:la_iena_mprj[85] 0 -7 *2472:la_data_out_mprj[85] *1220:7 0 -8 *972:9 *1229:9 0 -9 *972:9 *1356:9 0 -10 *972:10 *973:10 0.000135103 -11 *972:10 *1228:10 0.013567 -12 *972:10 *1229:10 0.0142532 -13 *972:10 *1355:10 0.000443728 -14 *972:10 *1356:10 0.00041958 -15 *716:7 *2472:la_data_out_mprj[85] 0 -16 *963:7 *2472:la_data_out_mprj[85] 0.00108437 -*RES -1 *2478:la_output[85] *972:9 30.5998 -2 *972:9 *972:10 161.558 -3 *972:10 *972:12 4.5 -4 *972:12 *2472:la_data_out_mprj[85] 63.4115 -*END - -*D_NET *973 0.0418737 -*CONN -*I *2472:la_data_out_mprj[86] I *D mgmt_protect -*I *2478:la_output[86] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[86] 0.00149021 -2 *2478:la_output[86] 0.00110953 -3 *973:12 0.00149021 -4 *973:10 0.00164897 -5 *973:9 0.00275849 -6 *2472:la_data_out_mprj[86] *2472:la_iena_mprj[86] 0 -7 *2472:la_data_out_mprj[86] *1220:7 0 -8 *973:9 *1357:9 0 -9 *973:9 *1368:15 0 -10 *973:10 *974:10 5.65165e-05 -11 *973:10 *1229:10 8.47539e-05 -12 *973:10 *1230:10 0.000347135 -13 *973:10 *1231:10 0.01451 -14 *973:10 *1247:16 2.35161e-05 -15 *973:10 *1356:10 0.0139388 -16 *973:10 *1360:10 0.000116943 -17 *973:10 *1362:10 0.000232907 -18 *973:10 *1371:12 0.000477891 -19 *612:5 *973:9 0 -20 *613:5 *973:9 0 -21 *708:11 *2472:la_data_out_mprj[86] 0.00273113 -22 *717:7 *2472:la_data_out_mprj[86] 0.000721622 -23 *971:10 *973:10 0 -24 *972:10 *973:10 0.000135103 -*RES -1 *2478:la_output[86] *973:9 31.8456 -2 *973:9 *973:10 173.205 -3 *973:10 *973:12 4.5 -4 *973:12 *2472:la_data_out_mprj[86] 62.1657 -*END - -*D_NET *974 0.043543 -*CONN -*I *2472:la_data_out_mprj[87] I *D mgmt_protect -*I *2478:la_output[87] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[87] 0.00236927 -2 *2478:la_output[87] 0.0011974 -3 *974:12 0.00236927 -4 *974:10 0.00164472 -5 *974:9 0.00284212 -6 *2472:la_data_out_mprj[87] *2472:la_iena_mprj[87] 0 -7 *2472:la_data_out_mprj[87] *1348:7 0 -8 *974:9 *1231:9 0 -9 *974:9 *1243:15 0 -10 *974:9 *1358:9 0 -11 *974:10 *975:10 0.000264018 -12 *974:10 *1230:10 0.0159075 -13 *974:10 *1231:10 1.41853e-05 -14 *974:10 *1232:10 0.000347135 -15 *974:10 *1234:10 0.0148029 -16 *974:10 *1367:10 0.0012501 -17 *974:10 *1371:12 0.000477891 -18 *615:5 *974:9 0 -19 *718:7 *2472:la_data_out_mprj[87] 0 -20 *971:10 *974:10 0 -21 *973:10 *974:10 5.65165e-05 -*RES -1 *2478:la_output[87] *974:9 33.0913 -2 *974:9 *974:10 184.852 -3 *974:10 *974:12 4.5 -4 *974:12 *2472:la_data_out_mprj[87] 60.9199 -*END - -*D_NET *975 0.046974 -*CONN -*I *2472:la_data_out_mprj[88] I *D mgmt_protect -*I *2478:la_output[88] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[88] 0.00179171 -2 *2478:la_output[88] 0.00116825 -3 *975:12 0.00179171 -4 *975:10 0.00169349 -5 *975:9 0.00286174 -6 *2472:la_data_out_mprj[88] *2472:la_iena_mprj[88] 0 -7 *2472:la_data_out_mprj[88] *1221:7 0.00115103 -8 *975:9 *1232:9 0 -9 *975:9 *1359:9 0 -10 *975:10 *976:10 0.0174806 -11 *975:10 *1232:10 0.0174362 -12 *975:10 *1248:10 0.000370172 -13 *618:5 *975:9 0.000447014 -14 *719:7 *2472:la_data_out_mprj[88] 0.000348489 -15 *971:10 *975:10 0.000169545 -16 *974:10 *975:10 0.000264018 -*RES -1 *2478:la_output[88] *975:9 34.3371 -2 *975:9 *975:10 196.498 -3 *975:10 *975:12 4.5 -4 *975:12 *2472:la_data_out_mprj[88] 59.6742 -*END - -*D_NET *976 0.0502961 -*CONN -*I *2472:la_data_out_mprj[89] I *D mgmt_protect -*I *2478:la_output[89] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[89] 6.22868e-05 -2 *2478:la_output[89] 0.00129385 -3 *976:13 0.00129147 -4 *976:12 0.00122919 -5 *976:10 0.00176155 -6 *976:9 0.00305541 -7 *976:9 *1234:9 0 -8 *976:9 *1360:9 0 -9 *976:10 *978:10 0.0188019 -10 *976:10 *1232:10 0.00020979 -11 *976:10 *1234:10 0.000370863 -12 *976:10 *1248:10 0.000354801 -13 *976:13 *2472:la_iena_mprj[89] 0.00354533 -14 *976:13 *1349:7 0.000685962 -15 *620:5 *976:9 0 -16 *720:7 *976:13 0 -17 *971:10 *976:10 0.000153129 -18 *975:10 *976:10 0.0174806 -*RES -1 *2478:la_output[89] *976:9 34.7523 -2 *976:9 *976:10 206.481 -3 *976:10 *976:12 4.5 -4 *976:12 *976:13 59.3444 -5 *976:13 *2472:la_data_out_mprj[89] 1.77093 -*END - -*D_NET *977 0.157922 -*CONN -*I *2472:la_data_out_mprj[8] I *D mgmt_protect -*I *2478:la_output[8] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[8] 0.000868648 -2 *2478:la_output[8] 0.0013761 -3 *977:18 0.00129642 -4 *977:15 0.00174522 -5 *977:10 0.00647741 -6 *977:9 0.00653607 -7 *2472:la_data_out_mprj[8] *2472:la_iena_mprj[8] 0 -8 *977:9 *1244:7 0 -9 *977:9 *1361:9 0 -10 *977:10 *988:10 0.0646847 -11 *977:10 *1139:10 0.0622698 -12 *977:10 *1317:10 0.00407177 -13 *977:10 *1361:10 0.00083916 -14 *977:15 *2472:la_iena_mprj[4] 0.00143699 -15 *977:15 *2472:la_oenb_mprj[4] 5.66868e-06 -16 *977:15 *1310:7 0.000492326 -17 *977:18 *1182:12 4.0752e-05 -18 *977:18 *1200:16 0.000795688 -19 *977:18 *1280:10 0.000436805 -20 *977:18 *1310:12 0.00286842 -21 *677:14 *977:10 0.00110908 -22 *721:7 *2472:la_data_out_mprj[8] 0.000387405 -23 *927:10 *977:18 0.000183145 -*RES -1 *2478:la_output[8] *977:9 38.4896 -2 *977:9 *977:10 702.852 -3 *977:10 *977:15 41.1454 -4 *977:15 *977:18 36.2812 -5 *977:18 *2472:la_data_out_mprj[8] 23.5472 -*END - -*D_NET *978 0.0510477 -*CONN -*I *2472:la_data_out_mprj[90] I *D mgmt_protect -*I *2478:la_output[90] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[90] 0.00181944 -2 *2478:la_output[90] 0.00123679 -3 *978:12 0.00181944 -4 *978:10 0.00192555 -5 *978:9 0.00316235 -6 *2472:la_data_out_mprj[90] *2472:la_iena_mprj[90] 0 -7 *2472:la_data_out_mprj[90] *1223:7 0.000708286 -8 *978:9 *1235:9 0 -9 *978:9 *1262:13 0.000159106 -10 *978:9 *1362:9 0 -11 *978:10 *1234:10 8.47539e-05 -12 *978:10 *1235:10 0.019658 -13 *978:10 *1246:12 0.000679362 -14 *978:10 *1369:10 9.12806e-05 -15 *722:7 *2472:la_data_out_mprj[90] 0.000446095 -16 *965:7 *2472:la_data_out_mprj[90] 0 -17 *971:10 *978:10 0.000455401 -18 *976:10 *978:10 0.0188019 -*RES -1 *2478:la_output[90] *978:9 35.1676 -2 *978:9 *978:10 219.792 -3 *978:10 *978:12 4.5 -4 *978:12 *2472:la_data_out_mprj[90] 58.8437 -*END - -*D_NET *979 0.0532666 -*CONN -*I *2472:la_data_out_mprj[91] I *D mgmt_protect -*I *2478:la_output[91] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[91] 0.00198512 -2 *2478:la_output[91] 0.00180053 -3 *979:12 0.00198512 -4 *979:10 0.00222622 -5 *979:9 0.00402676 -6 *2472:la_data_out_mprj[91] *2472:la_iena_mprj[91] 0 -7 *2472:la_data_out_mprj[91] *2472:la_oenb_mprj[90] 0 -8 *979:9 *2472:la_oenb_mprj[117] 0 -9 *979:9 *1363:9 0 -10 *979:10 *980:10 0 -11 *979:10 *1236:10 0.0207255 -12 *979:10 *1364:10 0.0205173 -13 *711:11 *2472:la_data_out_mprj[91] 0 -14 *723:7 *2472:la_data_out_mprj[91] 0 -*RES -1 *2478:la_output[91] *979:9 43.8879 -2 *979:9 *979:10 231.438 -3 *979:10 *979:12 4.5 -4 *979:12 *2472:la_data_out_mprj[91] 50.1234 -*END - -*D_NET *980 0.0558733 -*CONN -*I *2472:la_data_out_mprj[92] I *D mgmt_protect -*I *2478:la_output[92] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[92] 0.00147513 -2 *2478:la_output[92] 0.00160474 -3 *980:12 0.00147513 -4 *980:10 0.00228544 -5 *980:9 0.00389018 -6 *2472:la_data_out_mprj[92] *2472:la_iena_mprj[92] 0 -7 *2472:la_data_out_mprj[92] *2472:la_oenb_mprj[91] 0 -8 *2472:la_data_out_mprj[92] *1351:7 0 -9 *980:9 *1237:9 0 -10 *980:10 *981:10 0.000520318 -11 *980:10 *985:12 0.000124104 -12 *980:10 *1236:10 0 -13 *980:10 *1237:10 0.00068824 -14 *980:10 *1239:10 0.0203758 -15 *980:10 *1366:10 0.0209895 -16 *724:7 *2472:la_data_out_mprj[92] 0.000358915 -17 *725:8 *980:10 2.68003e-05 -18 *967:7 *2472:la_data_out_mprj[92] 0.002059 -19 *979:10 *980:10 0 -*RES -1 *2478:la_output[92] *980:9 39.8575 -2 *980:9 *980:10 244.749 -3 *980:10 *980:12 4.5 -4 *980:12 *2472:la_data_out_mprj[92] 53.0301 -*END - -*D_NET *981 0.0587385 -*CONN -*I *2472:la_data_out_mprj[93] I *D mgmt_protect -*I *2478:la_output[93] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[93] 0.00214147 -2 *2478:la_output[93] 0.00141838 -3 *981:12 0.00214147 -4 *981:10 0.00211786 -5 *981:9 0.00353624 -6 *2472:la_data_out_mprj[93] *2472:la_iena_mprj[93] 0 -7 *2472:la_data_out_mprj[93] *1224:7 0 -8 *981:9 *2472:la_oenb_mprj[122] 0.000207371 -9 *981:9 *1238:9 0 -10 *981:9 *1365:9 0 -11 *981:10 *982:10 0.0231814 -12 *981:10 *985:12 0.000359698 -13 *981:10 *1366:10 0.0227672 -14 *631:5 *981:9 0.000347135 -15 *712:11 *2472:la_data_out_mprj[93] 0 -16 *725:5 *2472:la_data_out_mprj[93] 0 -17 *970:10 *981:10 0 -18 *980:10 *981:10 0.000520318 -*RES -1 *2478:la_output[93] *981:9 40.1506 -2 *981:9 *981:10 254.732 -3 *981:10 *981:12 4.5 -4 *981:12 *2472:la_data_out_mprj[93] 53.8607 -*END - -*D_NET *982 0.0624201 -*CONN -*I *2472:la_data_out_mprj[94] I *D mgmt_protect -*I *2478:la_output[94] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[94] 0.00176969 -2 *2478:la_output[94] 0.00160667 -3 *982:12 0.00176969 -4 *982:10 0.00216396 -5 *982:9 0.00377063 -6 *2472:la_data_out_mprj[94] *2472:la_iena_mprj[94] 0 -7 *2472:la_data_out_mprj[94] *1352:7 0 -8 *982:9 *2472:la_oenb_mprj[124] 0 -9 *982:9 *1239:9 0 -10 *982:9 *1366:9 0 -11 *982:10 *985:12 0.00113676 -12 *982:10 *1121:10 0.00132029 -13 *982:10 *1366:10 0.000264727 -14 *726:5 *2472:la_data_out_mprj[94] 0.000953098 -15 *727:8 *982:10 0.0244833 -16 *968:7 *2472:la_data_out_mprj[94] 0 -17 *970:10 *982:10 0 -18 *981:10 *982:10 0.0231814 -*RES -1 *2478:la_output[94] *982:9 39.7354 -2 *982:9 *982:10 266.933 -3 *982:10 *982:12 4.5 -4 *982:12 *2472:la_data_out_mprj[94] 54.2759 -*END - -*D_NET *983 0.0608171 -*CONN -*I *2472:la_data_out_mprj[95] I *D mgmt_protect -*I *2478:la_output[95] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[95] 0.00205186 -2 *2478:la_output[95] 0.0014498 -3 *983:18 0.00205186 -4 *983:16 0.000857445 -5 *983:15 0.000950982 -6 *983:10 0.00169008 -7 *983:9 0.00304635 -8 *2472:la_data_out_mprj[95] *2472:la_iena_mprj[95] 0 -9 *2472:la_data_out_mprj[95] *1225:7 0.000654353 -10 *983:9 *1367:9 0 -11 *983:10 *987:12 0.00104263 -12 *983:10 *1241:10 0.000124736 -13 *983:10 *1242:10 0.020474 -14 *983:10 *1357:12 0.00272839 -15 *983:10 *1368:10 0.017454 -16 *983:16 *984:10 3.42853e-05 -17 *983:16 *987:12 0.000108607 -18 *983:16 *1240:10 0.000249889 -19 *983:16 *1241:10 0.00160718 -20 *983:16 *1242:10 0.00302774 -21 *713:11 *2472:la_data_out_mprj[95] 0 -22 *727:5 *2472:la_data_out_mprj[95] 0 -23 *727:8 *983:16 5.07452e-05 -24 *970:10 *983:16 0.00116215 -*RES -1 *2478:la_output[95] *983:9 37.2439 -2 *983:9 *983:10 217.019 -3 *983:10 *983:15 10.2946 -4 *983:15 *983:16 60.066 -5 *983:16 *983:18 4.5 -6 *983:18 *2472:la_data_out_mprj[95] 56.7674 -*END - -*D_NET *984 0.0671247 -*CONN -*I *2472:la_data_out_mprj[96] I *D mgmt_protect -*I *2478:la_output[96] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[96] 0.00181804 -2 *2478:la_output[96] 0.00145014 -3 *984:12 0.00181804 -4 *984:10 0.00230494 -5 *984:9 0.00375508 -6 *2472:la_data_out_mprj[96] *2472:la_iena_mprj[96] 0 -7 *2472:la_data_out_mprj[96] *2472:la_oenb_mprj[95] 0 -8 *2472:la_data_out_mprj[96] *1353:7 0.000704506 -9 *984:9 *2472:mprj_adr_o_core[1] 0.000428463 -10 *984:9 *1241:9 0 -11 *984:9 *1368:9 0 -12 *984:10 *986:12 0.00124066 -13 *984:10 *1121:10 0.000205493 -14 *984:10 *1240:10 0.0258769 -15 *984:10 *1241:10 0.00054182 -16 *713:11 *2472:la_data_out_mprj[96] 0 -17 *727:8 *984:10 0.0244962 -18 *728:7 *2472:la_data_out_mprj[96] 0.000399232 -19 *730:11 *984:9 0 -20 *862:10 *984:10 0.00205091 -21 *983:16 *984:10 3.42853e-05 -*RES -1 *2478:la_output[96] *984:9 38.9049 -2 *984:9 *984:10 290.226 -3 *984:10 *984:12 4.5 -4 *984:12 *2472:la_data_out_mprj[96] 55.1064 -*END - -*D_NET *985 0.0733089 -*CONN -*I *2472:la_data_out_mprj[97] I *D mgmt_protect -*I *2478:la_output[97] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[97] 0.00221809 -2 *2478:la_output[97] 0.00150795 -3 *985:12 0.0170814 -4 *985:9 0.0163713 -5 *2472:la_data_out_mprj[97] *2472:la_oenb_mprj[96] 0 -6 *2472:la_data_out_mprj[97] *1226:7 0 -7 *2472:la_data_out_mprj[97] *1241:13 0 -8 *985:9 *1242:9 0 -9 *985:9 *1674:5 8.05899e-05 -10 *985:12 *986:12 0.0317034 -11 *985:12 *1121:10 0.000135066 -12 *985:12 *1237:10 0.000117868 -13 *985:12 *1239:10 0.000118356 -14 *985:12 *1366:10 0.000235008 -15 *985:12 *1647:10 0 -16 *985:12 *1724:10 2.6242e-05 -17 *985:12 *2184:16 0.000281841 -18 *726:8 *985:12 0.000257169 -19 *727:8 *985:12 0.00123647 -20 *729:7 *2472:la_data_out_mprj[97] 0 -21 *862:10 *985:12 0.00020476 -22 *864:10 *985:12 0.00011282 -23 *969:7 *2472:la_data_out_mprj[97] 0 -24 *980:10 *985:12 0.000124104 -25 *981:10 *985:12 0.000359698 -26 *982:10 *985:12 0.00113676 -*RES -1 *2478:la_output[97] *985:9 36.8577 -2 *985:9 *985:12 45.1058 -3 *985:12 *2472:la_data_out_mprj[97] 54.8988 -*END - -*D_NET *986 0.0857448 -*CONN -*I *2472:la_data_out_mprj[98] I *D mgmt_protect -*I *2478:la_output[98] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[98] 0.00181958 -2 *2478:la_output[98] 0.00152974 -3 *986:12 0.00645806 -4 *986:9 0.00616822 -5 *2472:la_data_out_mprj[98] *2472:la_iena_mprj[98] 0 -6 *2472:la_data_out_mprj[98] *2472:la_oenb_mprj[97] 0 -7 *986:9 *2472:mprj_adr_o_core[6] 5.55772e-05 -8 *986:9 *1243:9 0 -9 *986:9 *1370:9 0 -10 *986:12 *987:12 0.000165657 -11 *986:12 *1240:10 0.001457 -12 *986:12 *1370:12 0.0329032 -13 *986:12 *1738:10 4.61116e-05 -14 *986:12 *2184:16 1.9628e-06 -15 *986:12 *2186:24 0.000753182 -16 *607:14 *986:12 0.000212637 -17 *714:11 *2472:la_data_out_mprj[98] 0.000839472 -18 *730:7 *2472:la_data_out_mprj[98] 0.000390306 -19 *984:10 *986:12 0.00124066 -20 *985:12 *986:12 0.0317034 -*RES -1 *2478:la_output[98] *986:9 37.1508 -2 *986:9 *986:12 46.6356 -3 *986:12 *2472:la_data_out_mprj[98] 55.7293 -*END - -*D_NET *987 0.0882195 -*CONN -*I *2472:la_data_out_mprj[99] I *D mgmt_protect -*I *2478:la_output[99] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[99] 0.00236762 -2 *2478:la_output[99] 0.00144142 -3 *987:12 0.0078024 -4 *987:9 0.0068762 -5 *2472:la_data_out_mprj[99] *2472:la_iena_mprj[99] 0 -6 *2472:la_data_out_mprj[99] *2472:la_oenb_mprj[98] 0 -7 *987:9 *1118:9 0 -8 *987:9 *1371:9 0 -9 *987:9 *1680:5 0.000117507 -10 *987:12 *1118:12 1.15279e-05 -11 *987:12 *1242:10 0.00145699 -12 *987:12 *1246:12 0.0336534 -13 *987:12 *1370:12 0.0324049 -14 *987:12 *1371:12 9.74681e-05 -15 *987:12 *1742:30 0.000293424 -16 *987:12 *2186:24 0.000177027 -17 *731:7 *2472:la_data_out_mprj[99] 0 -18 *863:10 *987:12 0.00020273 -19 *983:10 *987:12 0.00104263 -20 *983:16 *987:12 0.000108607 -21 *986:12 *987:12 0.000165657 -*RES -1 *2478:la_output[99] *987:9 35.4898 -2 *987:9 *987:12 48.2419 -3 *987:12 *2472:la_data_out_mprj[99] 57.3903 -*END - -*D_NET *988 0.156616 -*CONN -*I *2472:la_data_out_mprj[9] I *D mgmt_protect -*I *2478:la_output[9] O *D mgmt_core_wrapper -*CAP -1 *2472:la_data_out_mprj[9] 0.00286838 -2 *2478:la_output[9] 0.00135421 -3 *988:12 0.00286838 -4 *988:10 0.00519267 -5 *988:9 0.00654688 -6 *2472:la_data_out_mprj[9] *2472:la_iena_mprj[9] 0 -7 *2472:la_data_out_mprj[9] *2472:la_oenb_mprj[8] 0 -8 *988:9 *1128:7 0 -9 *988:9 *1372:7 0 -10 *988:10 *1139:10 0.000750112 -11 *988:10 *1155:10 0.000391594 -12 *988:10 *1295:10 0.0036203 -13 *988:10 *1311:10 0.000106845 -14 *988:10 *1339:10 0.000125085 -15 *988:10 *1361:10 0.0681067 -16 *2478:la_input[45] *2472:la_data_out_mprj[9] 0 -17 *732:7 *2472:la_data_out_mprj[9] 0 -18 *977:10 *988:10 0.0646847 -*RES -1 *2478:la_output[9] *988:9 38.0744 -2 *988:9 *988:10 723.372 -3 *988:10 *988:12 4.5 -4 *988:12 *2472:la_data_out_mprj[9] 55.9369 -*END - -*D_NET *989 0.0644775 -*CONN -*I *2472:la_data_out_core[0] I *D mgmt_protect -*I *2473:la_data_out[0] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[0] 0.000844933 -2 *2473:la_data_out[0] 0.00304826 -3 *989:8 0.00359659 -4 *989:7 0.00275166 -5 *989:5 0.00304826 -6 *2472:la_data_out_core[0] *1373:7 0 -7 *989:5 *1645:9 0.000158695 -8 *989:5 *2182:7 0 -9 *989:8 *1620:8 0.0126035 -10 *989:8 *1705:8 0.0260698 -11 *989:8 *1745:8 0.000848219 -12 *733:7 *2472:la_data_out_core[0] 0 -13 *849:8 *989:8 0.0115076 -*RES -1 *2473:la_data_out[0] *989:5 61.3352 -2 *989:5 *989:7 4.5 -3 *989:7 *989:8 286.899 -4 *989:8 *2472:la_data_out_core[0] 27.2167 -*END - -*D_NET *990 0.227557 -*CONN -*I *2472:la_data_out_core[100] I *D mgmt_protect -*I *2473:la_data_out[100] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[100] 0.000863491 -2 *2473:la_data_out[100] 0.00290171 -3 *990:8 0.00751116 -4 *990:7 0.00664767 -5 *990:5 0.00290171 -6 *2472:la_data_out_core[100] *1374:7 0 -7 *990:8 *1001:8 0.003445 -8 *990:8 *1009:14 0.0051355 -9 *990:8 *1017:14 0.00021583 -10 *734:7 *2472:la_data_out_core[100] 0 -11 *734:8 *990:8 0.0988812 -12 *735:8 *990:8 0.0990534 -*RES -1 *2473:la_data_out[100] *990:5 64.6572 -2 *990:5 *990:7 4.5 -3 *990:7 *990:8 1045.6 -4 *990:8 *2472:la_data_out_core[100] 23.8947 -*END - -*D_NET *991 0.221776 -*CONN -*I *2472:la_data_out_core[101] I *D mgmt_protect -*I *2473:la_data_out[101] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[101] 8.20467e-05 -2 *2473:la_data_out[101] 0.000743464 -3 *991:11 0.00277219 -4 *991:10 0.00269015 -5 *991:8 0.00800594 -6 *991:7 0.0087494 -7 *991:8 *993:8 0.0986862 -8 *991:8 *1073:14 0 -9 *991:11 *2473:la_oenb[51] 0 -10 *991:11 *1375:5 0.00258673 -11 *2473:la_data_in[52] *991:11 0.000205164 -12 *735:7 *991:11 0 -13 *756:8 *991:8 0.00178849 -14 *859:8 *991:8 0.0954659 -*RES -1 *2473:la_data_out[101] *991:7 21.4032 -2 *991:7 *991:8 1056.13 -3 *991:8 *991:10 4.5 -4 *991:10 *991:11 67.2342 -5 *991:11 *2472:la_data_out_core[101] 2.33274 -*END - -*D_NET *992 0.232868 -*CONN -*I *2472:la_data_out_core[102] I *D mgmt_protect -*I *2473:la_data_out[102] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[102] 0.000833276 -2 *2473:la_data_out[102] 0.00290778 -3 *992:8 0.00785414 -4 *992:7 0.00702087 -5 *992:5 0.00290778 -6 *2472:la_data_out_core[102] *1376:7 0 -7 *992:8 *1001:8 0.00399631 -8 *992:8 *1017:14 0.00619095 -9 *2473:la_data_in[52] *2472:la_data_out_core[102] 0 -10 *735:8 *992:8 0.099385 -11 *736:7 *2472:la_data_out_core[102] 0 -12 *736:8 *992:8 0.101458 -13 *737:8 *992:8 0.000313928 -*RES -1 *2473:la_data_out[102] *992:5 65.4877 -2 *992:5 *992:7 4.5 -3 *992:7 *992:8 1072.22 -4 *992:8 *2472:la_data_out_core[102] 23.0642 -*END - -*D_NET *993 0.228523 -*CONN -*I *2472:la_data_out_core[103] I *D mgmt_protect -*I *2473:la_data_out[103] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[103] 8.20467e-05 -2 *2473:la_data_out[103] 0.000762584 -3 *993:11 0.00264844 -4 *993:10 0.00256639 -5 *993:8 0.00799659 -6 *993:7 0.00875918 -7 *993:8 *995:8 0.101369 -8 *993:8 *1073:14 8.76492e-05 -9 *993:11 *2473:la_oenb[52] 0.000866262 -10 *993:11 *1377:5 0.00255694 -11 *737:7 *993:11 0 -12 *756:8 *993:8 0.00214228 -13 *991:8 *993:8 0.0986862 -*RES -1 *2473:la_data_out[103] *993:7 21.8185 -2 *993:7 *993:8 1082.76 -3 *993:8 *993:10 4.5 -4 *993:10 *993:11 66.819 -5 *993:11 *2472:la_data_out_core[103] 2.33274 -*END - -*D_NET *994 0.242141 -*CONN -*I *2472:la_data_out_core[104] I *D mgmt_protect -*I *2473:la_data_out[104] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[104] 0.000739531 -2 *2473:la_data_out[104] 0.00295533 -3 *994:8 0.00763587 -4 *994:7 0.00689634 -5 *994:5 0.00295533 -6 *2472:la_data_out_core[104] *1378:7 0 -7 *994:8 *1003:8 0.0126627 -8 *2473:la_data_in[53] *2472:la_data_out_core[104] 8.71463e-05 -9 *738:7 *2472:la_data_out_core[104] 0 -10 *738:8 *994:8 0.104018 -11 *739:8 *994:8 0.10419 -12 *809:8 *994:8 0 -13 *810:8 *994:8 0 -*RES -1 *2473:la_data_out[104] *994:5 67.1487 -2 *994:5 *994:7 4.5 -3 *994:7 *994:8 1098.84 -4 *994:8 *2472:la_data_out_core[104] 21.4032 -*END - -*D_NET *995 0.233293 -*CONN -*I *2472:la_data_out_core[105] I *D mgmt_protect -*I *2473:la_data_out[105] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[105] 2.27669e-05 -2 *2473:la_data_out[105] 0.000781703 -3 *995:11 0.00303272 -4 *995:10 0.00300995 -5 *995:8 0.00813256 -6 *995:7 0.00891427 -7 *995:8 *997:8 0.103945 -8 *995:8 *1013:8 0.00215053 -9 *995:8 *1073:14 0.000264355 -10 *995:11 *1065:5 0.0016699 -11 *995:11 *1379:5 0 -12 *739:7 *995:11 0 -13 *993:8 *995:8 0.101369 -*RES -1 *2473:la_data_out[105] *995:7 22.2337 -2 *995:7 *995:8 1111.04 -3 *995:8 *995:10 4.5 -4 *995:10 *995:11 66.4037 -5 *995:11 *2472:la_data_out_core[105] 0.647305 -*END - -*D_NET *996 0.246525 -*CONN -*I *2472:la_data_out_core[106] I *D mgmt_protect -*I *2473:la_data_out[106] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[106] 0.000738814 -2 *2473:la_data_out[106] 0.00296557 -3 *996:8 0.00801196 -4 *996:7 0.00727314 -5 *996:5 0.00296557 -6 *2472:la_data_out_core[106] *1379:5 0 -7 *2472:la_data_out_core[106] *1380:7 0 -8 *739:8 *996:8 0.104462 -9 *740:7 *2472:la_data_out_core[106] 0 -10 *740:8 *996:8 0.106529 -11 *741:8 *996:8 0.000313928 -12 *748:8 *996:8 0.0132641 -*RES -1 *2473:la_data_out[106] *996:5 67.9792 -2 *996:5 *996:7 4.5 -3 *996:7 *996:8 1124.9 -4 *996:8 *2472:la_data_out_core[106] 20.5727 -*END - -*D_NET *997 0.23855 -*CONN -*I *2472:la_data_out_core[107] I *D mgmt_protect -*I *2473:la_data_out[107] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[107] 0.00323188 -2 *2473:la_data_out[107] 0.000800823 -3 *997:10 0.00323188 -4 *997:8 0.00829079 -5 *997:7 0.00909162 -6 *2472:la_data_out_core[107] *1066:7 0 -7 *2472:la_data_out_core[107] *1381:9 0 -8 *2472:la_data_out_core[107] *1451:17 0 -9 *997:8 *999:8 0.106475 -10 *997:8 *1013:8 0.00253608 -11 *997:8 *1073:14 0.000391181 -12 *2473:la_data_in[54] *2472:la_data_out_core[107] 0.000555213 -13 *741:7 *2472:la_data_out_core[107] 0 -14 *995:8 *997:8 0.103945 -*RES -1 *2473:la_data_out[107] *997:7 22.649 -2 *997:7 *997:8 1138.22 -3 *997:8 *997:10 4.5 -4 *997:10 *2472:la_data_out_core[107] 65.903 -*END - -*D_NET *998 0.251392 -*CONN -*I *2472:la_data_out_core[108] I *D mgmt_protect -*I *2473:la_data_out[108] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[108] 0.000688168 -2 *2473:la_data_out[108] 0.00299766 -3 *998:8 0.00807442 -4 *998:7 0.00738625 -5 *998:5 0.00299766 -6 *2472:la_data_out_core[108] *1381:9 0 -7 *2472:la_data_out_core[108] *1382:7 0 -8 *741:8 *998:8 0.107084 -9 *742:7 *2472:la_data_out_core[108] 0 -10 *742:8 *998:8 0.109098 -11 *743:8 *998:8 0.000313928 -12 *750:8 *998:8 0.012752 -*RES -1 *2473:la_data_out[108] *998:5 69.225 -2 *998:5 *998:7 4.5 -3 *998:7 *998:8 1151.53 -4 *998:8 *2472:la_data_out_core[108] 19.3269 -*END - -*D_NET *999 0.239302 -*CONN -*I *2472:la_data_out_core[109] I *D mgmt_protect -*I *2473:la_data_out[109] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[109] 0.00347347 -2 *2473:la_data_out[109] 0.000819942 -3 *999:10 0.00347347 -4 *999:8 0.00908688 -5 *999:7 0.00990683 -6 *2472:la_data_out_core[109] *2473:la_oenb[54] 0 -7 *2472:la_data_out_core[109] *1382:7 0 -8 *2472:la_data_out_core[109] *1383:9 0 -9 *999:8 *1002:8 0.101474 -10 *999:8 *1073:14 0.000417084 -11 *2473:la_data_in[55] *2472:la_data_out_core[109] 0 -12 *743:7 *2472:la_data_out_core[109] 0 -13 *758:8 *999:8 0.00251258 -14 *817:14 *999:8 0.000694957 -15 *817:18 *999:8 0.000968322 -16 *997:8 *999:8 0.106475 -*RES -1 *2473:la_data_out[109] *999:7 23.0642 -2 *999:7 *999:8 1165.39 -3 *999:8 *999:10 4.5 -4 *999:10 *2472:la_data_out_core[109] 65.4877 -*END - -*D_NET *1000 0.0343257 -*CONN -*I *2472:la_data_out_core[10] I *D mgmt_protect -*I *2473:la_data_out[10] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[10] 0.00187276 -2 *2473:la_data_out[10] 0.00131221 -3 *1000:10 0.00187276 -4 *1000:8 0.00151756 -5 *1000:7 0.00282977 -6 *2472:la_data_out_core[10] *1384:5 0 -7 *1000:8 *1011:8 0.0126955 -8 *1000:8 *1384:8 0.000591248 -9 *1000:8 *1395:8 0.000183679 -10 *744:5 *2472:la_data_out_core[10] 0 -11 *744:8 *1000:8 0.000131218 -12 *764:8 *1000:8 0.0113189 -*RES -1 *2473:la_data_out[10] *1000:7 38.8438 -2 *1000:7 *1000:8 154.349 -3 *1000:8 *1000:10 4.5 -4 *1000:10 *2472:la_data_out_core[10] 49.7081 -*END - -*D_NET *1001 0.273492 -*CONN -*I *2472:la_data_out_core[110] I *D mgmt_protect -*I *2473:la_data_out[110] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[110] 0.000840267 -2 *2473:la_data_out[110] 0.00284591 -3 *1001:8 0.0222183 -4 *1001:7 0.021378 -5 *1001:5 0.00284591 -6 *2472:la_data_out_core[110] *1383:9 0 -7 *2472:la_data_out_core[110] *1385:7 0 -8 *1001:8 *1003:8 0.126623 -9 *1001:8 *1009:14 0.00244577 -10 *1001:8 *1017:14 0.0513959 -11 *1001:8 *1099:8 0 -12 *1001:8 *1484:8 0 -13 *469:25 *1001:8 0 -14 *734:8 *1001:8 0.00328194 -15 *735:8 *1001:8 0.00344709 -16 *736:8 *1001:8 0.0110792 -17 *737:8 *1001:8 0.0123504 -18 *745:7 *2472:la_data_out_core[110] 0 -19 *856:8 *1001:8 0.00244324 -20 *858:8 *1001:8 0.00285582 -21 *990:8 *1001:8 0.003445 -22 *992:8 *1001:8 0.00399631 -*RES -1 *2473:la_data_out[110] *1001:5 66.5258 -2 *1001:5 *1001:7 3.36879 -3 *1001:7 *1001:8 162.515 -4 *1001:8 *2472:la_data_out_core[110] 20.8949 -*END - -*D_NET *1002 0.245361 -*CONN -*I *2472:la_data_out_core[111] I *D mgmt_protect -*I *2473:la_data_out[111] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[111] 0.00302725 -2 *2473:la_data_out[111] 0.000839062 -3 *1002:16 0.00302725 -4 *1002:14 0.00139063 -5 *1002:13 0.00163596 -6 *1002:8 0.0082562 -7 *1002:7 0.00884994 -8 *2472:la_data_out_core[111] *2473:la_oenb[55] 5.33526e-05 -9 *2472:la_data_out_core[111] *1070:13 0 -10 *2472:la_data_out_core[111] *1385:7 0 -11 *2472:la_data_out_core[111] *1386:9 0 -12 *1002:8 *1004:8 0.000920664 -13 *1002:13 *1004:13 0.000395461 -14 *1002:13 *1013:11 0 -15 *1002:13 *1071:7 0.000447553 -16 *1002:14 *1004:14 0.00105635 -17 *1002:14 *1093:8 9.9943e-05 -18 *746:7 *2472:la_data_out_core[111] 0 -19 *758:8 *1002:8 0.00292201 -20 *759:14 *1002:8 0.103379 -21 *817:18 *1002:8 7.92757e-06 -22 *820:8 *1002:14 0.00757942 -23 *999:8 *1002:8 0.101474 -*RES -1 *2473:la_data_out[111] *1002:7 23.4795 -2 *1002:7 *1002:8 1111.59 -3 *1002:8 *1002:13 17.8913 -4 *1002:13 *1002:14 80.5863 -5 *1002:14 *1002:16 4.5 -6 *1002:16 *2472:la_data_out_core[111] 56.3522 -*END - -*D_NET *1003 0.308137 -*CONN -*I *2472:la_data_out_core[112] I *D mgmt_protect -*I *2473:la_data_out[112] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[112] 0.000672682 -2 *2473:la_data_out[112] 0.00285197 -3 *1003:8 0.0100814 -4 *1003:7 0.00940876 -5 *1003:5 0.00285197 -6 *2472:la_data_out_core[112] *1386:9 0 -7 *2472:la_data_out_core[112] *1387:5 0 -8 *2473:la_data_in[56] *2472:la_data_out_core[112] 0.000530985 -9 *469:25 *1003:8 5.62259e-05 -10 *738:8 *1003:8 0.0116368 -11 *747:7 *2472:la_data_out_core[112] 0 -12 *748:8 *1003:8 0.13076 -13 *994:8 *1003:8 0.0126627 -14 *1001:8 *1003:8 0.126623 -*RES -1 *2473:la_data_out[112] *1003:5 67.3563 -2 *1003:5 *1003:7 3.36879 -3 *1003:7 *1003:8 166.187 -4 *1003:8 *2472:la_data_out_core[112] 20.0644 -*END - -*D_NET *1004 0.254945 -*CONN -*I *2472:la_data_out_core[113] I *D mgmt_protect -*I *2473:la_data_out[113] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[113] 2.27669e-05 -2 *2473:la_data_out[113] 0.000885443 -3 *1004:17 0.00283834 -4 *1004:16 0.00281557 -5 *1004:14 0.00106919 -6 *1004:13 0.00139972 -7 *1004:8 0.00796638 -8 *1004:7 0.0085213 -9 *1004:8 *1015:8 0.10806 -10 *1004:13 *1013:11 0.000112424 -11 *1004:13 *1071:7 4.58666e-05 -12 *1004:14 *1093:8 0.00606286 -13 *1004:14 *1109:8 0.000101365 -14 *1004:17 *1068:7 0.000284233 -15 *1004:17 *1383:15 7.5909e-06 -16 *1004:17 *1386:15 0.000492649 -17 *1004:17 *1388:7 0 -18 *748:7 *1004:17 0 -19 *759:14 *1004:8 0.107432 -20 *760:8 *1004:8 0.00310315 -21 *817:18 *1004:8 0.001263 -22 *818:8 *1004:8 8.66138e-05 -23 *820:8 *1004:14 2.18041e-06 -24 *1002:8 *1004:8 0.000920664 -25 *1002:13 *1004:13 0.000395461 -26 *1002:14 *1004:14 0.00105635 -*RES -1 *2473:la_data_out[113] *1004:7 24.31 -2 *1004:7 *1004:8 1153.74 -3 *1004:8 *1004:13 18.7218 -4 *1004:13 *1004:14 64.5028 -5 *1004:14 *1004:16 4.5 -6 *1004:16 *1004:17 54.7766 -7 *1004:17 *2472:la_data_out_core[113] 0.647305 -*END - -*D_NET *1005 0.246825 -*CONN -*I *2472:la_data_out_core[114] I *D mgmt_protect -*I *2473:la_data_out[114] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[114] 0.000568287 -2 *2473:la_data_out[114] 0.00300453 -3 *1005:8 0.0151337 -4 *1005:7 0.0145654 -5 *1005:5 0.00300453 -6 *2472:la_data_out_core[114] *1389:7 0 -7 *469:25 *1005:8 0.0218418 -8 *747:8 *1005:8 0.112751 -9 *749:7 *2472:la_data_out_core[114] 0 -10 *813:11 *2472:la_data_out_core[114] 7.09666e-06 -11 *854:8 *1005:8 0.0759493 -*RES -1 *2473:la_data_out[114] *1005:5 71.7165 -2 *1005:5 *1005:7 4.5 -3 *1005:7 *1005:8 1231.39 -4 *1005:8 *2472:la_data_out_core[114] 16.8354 -*END - -*D_NET *1006 0.22635 -*CONN -*I *2472:la_data_out_core[115] I *D mgmt_protect -*I *2473:la_data_out[115] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[115] 0.00334718 -2 *2473:la_data_out[115] 0.00106644 -3 *1006:10 0.00334718 -4 *1006:8 0.0406753 -5 *1006:7 0.0417418 -6 *2472:la_data_out_core[115] *1069:5 0 -7 *2472:la_data_out_core[115] *1389:7 0 -8 *2472:la_data_out_core[115] *1390:5 0 -9 *1006:8 *1109:8 0 -10 *1006:8 *1111:8 0 -11 *1006:8 *1496:8 0 -12 *750:7 *2472:la_data_out_core[115] 0 -13 *752:8 *1006:8 0.13365 -14 *824:8 *1006:8 0.000134497 -15 *825:10 *1006:8 0.000141029 -16 *826:8 *1006:8 0.00015065 -17 *828:10 *1006:8 0.00037278 -18 *829:8 *1006:8 0.000412718 -19 *830:8 *1006:8 0.000448922 -20 *831:8 *1006:8 0.000448922 -21 *833:8 *1006:8 0.000412718 -*RES -1 *2473:la_data_out[115] *1006:7 26.7084 -2 *1006:7 *1006:8 171.77 -3 *1006:8 *1006:10 3.36879 -4 *1006:10 *2472:la_data_out_core[115] 60.7123 -*END - -*D_NET *1007 0.186097 -*CONN -*I *2472:la_data_out_core[116] I *D mgmt_protect -*I *2473:la_data_out[116] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[116] 0.000330091 -2 *2473:la_data_out[116] 0.00170929 -3 *1007:14 0.0112633 -4 *1007:13 0.0127856 -5 *1007:8 0.0154291 -6 *1007:7 0.0152861 -7 *2472:la_data_out_core[116] *1391:5 0 -8 *1007:8 *1403:8 0.00811257 -9 *1007:8 *1490:10 0.000868916 -10 *1007:8 *2414:8 0.0602489 -11 *1007:13 *2473:la_oenb[86] 6.82761e-05 -12 *1007:14 *1012:14 0.00350863 -13 *1007:14 *1019:14 0.00197403 -14 *1007:14 *1078:8 0.0158415 -15 *486:21 *1007:14 0 -16 *751:7 *2472:la_data_out_core[116] 0 -17 *814:16 *2472:la_data_out_core[116] 1.47102e-05 -18 *832:8 *1007:14 0.0335707 -19 *834:8 *1007:14 0.00185942 -20 *839:8 *1007:14 0.0021697 -21 *841:8 *1007:14 0.000777216 -22 *843:8 *1007:14 0.00027925 -23 *845:8 *1007:14 0 -24 *847:8 *1007:14 0 -*RES -1 *2473:la_data_out[116] *1007:7 42.9963 -2 *1007:7 *1007:8 632.971 -3 *1007:8 *1007:13 46.9589 -4 *1007:13 *1007:14 625.207 -5 *1007:14 *2472:la_data_out_core[116] 12.2677 -*END - -*D_NET *1008 0.316312 -*CONN -*I *2472:la_data_out_core[117] I *D mgmt_protect -*I *2473:la_data_out[117] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[117] 0.00384143 -2 *2473:la_data_out[117] 0.000613519 -3 *1008:10 0.00384143 -4 *1008:8 0.0163945 -5 *1008:7 0.017008 -6 *2472:la_data_out_core[117] *2473:la_oenb[57] 0 -7 *2472:la_data_out_core[117] *1392:7 0 -8 *1008:8 *1010:8 0.136224 -9 *2473:la_data_in[58] *2472:la_data_out_core[117] 0 -10 *752:5 *2472:la_data_out_core[117] 0 -11 *752:8 *1008:8 0 -12 *753:10 *1008:8 0.137235 -13 *754:8 *1008:8 0.000121359 -14 *844:10 *1008:8 0.000354801 -15 *846:8 *1008:8 0.000677329 -*RES -1 *2473:la_data_out[117] *1008:7 17.5729 -2 *1008:7 *1008:8 175.442 -3 *1008:8 *1008:10 3.36879 -4 *1008:10 *2472:la_data_out_core[117] 69.8479 -*END - -*D_NET *1009 0.199641 -*CONN -*I *2472:la_data_out_core[118] I *D mgmt_protect -*I *2473:la_data_out[118] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[118] 0.000909258 -2 *2473:la_data_out[118] 0.0012651 -3 *1009:14 0.00793986 -4 *1009:13 0.00896381 -5 *1009:8 0.0215442 -6 *1009:7 0.0208761 -7 *2472:la_data_out_core[118] *1393:10 0 -8 *1009:8 *1012:8 0.0282748 -9 *1009:8 *1102:8 0.0162424 -10 *1009:8 *1109:8 0.00135468 -11 *1009:8 *1111:8 0.000163504 -12 *1009:13 *1093:7 7.09666e-06 -13 *1009:14 *1014:16 0.0348141 -14 *1009:14 *1017:14 0.0431615 -15 *1009:14 *1099:8 0 -16 *734:8 *1009:14 0.00472134 -17 *753:7 *2472:la_data_out_core[118] 0.000226575 -18 *856:8 *1009:14 0.000765341 -19 *858:8 *1009:14 0.000830646 -20 *990:8 *1009:14 0.0051355 -21 *1001:8 *1009:14 0.00244577 -*RES -1 *2473:la_data_out[118] *1009:7 34.276 -2 *1009:7 *1009:8 833.183 -3 *1009:8 *1009:13 43.1286 -4 *1009:13 *1009:14 62.3129 -5 *1009:14 *2472:la_data_out_core[118] 22.5559 -*END - -*D_NET *1010 0.321391 -*CONN -*I *2472:la_data_out_core[119] I *D mgmt_protect -*I *2473:la_data_out[119] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[119] 0.00373023 -2 *2473:la_data_out[119] 0.000633701 -3 *1010:10 0.00373023 -4 *1010:8 0.0166854 -5 *1010:7 0.0173191 -6 *2472:la_data_out_core[119] *2473:la_oenb[58] 0.000215198 -7 *2472:la_data_out_core[119] *1393:13 0 -8 *2472:la_data_out_core[119] *1394:7 0 -9 *753:10 *1010:8 0.00066078 -10 *754:5 *2472:la_data_out_core[119] 0 -11 *754:8 *1010:8 0.140093 -12 *757:8 *1010:8 0.000333928 -13 *848:10 *1010:8 0.000702361 -14 *851:10 *1010:8 0.00106325 -15 *1008:8 *1010:8 0.136224 -*RES -1 *2473:la_data_out[119] *1010:7 18.4034 -2 *1010:7 *1010:8 179.113 -3 *1010:8 *1010:10 3.36879 -4 *1010:10 *2472:la_data_out_core[119] 69.0174 -*END - -*D_NET *1011 0.0343234 -*CONN -*I *2472:la_data_out_core[11] I *D mgmt_protect -*I *2473:la_data_out[11] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[11] 0.00180513 -2 *2473:la_data_out[11] 0.00133365 -3 *1011:10 0.00180513 -4 *1011:8 0.000939238 -5 *1011:7 0.00227289 -6 *2472:la_data_out_core[11] *2473:la_oenb[17] 0 -7 *2472:la_data_out_core[11] *1395:5 0 -8 *1011:8 *1384:8 0.0129057 -9 *2473:la_data_in[18] *2472:la_data_out_core[11] 9.31064e-05 -10 *755:5 *2472:la_data_out_core[11] 0 -11 *755:8 *1011:8 0.000105636 -12 *764:8 *1011:8 0.000322987 -13 *766:8 *1011:8 4.4379e-05 -14 *1000:8 *1011:8 0.0126955 -*RES -1 *2473:la_data_out[11] *1011:7 39.2591 -2 *1011:7 *1011:8 141.038 -3 *1011:8 *1011:10 4.5 -4 *1011:10 *2472:la_data_out_core[11] 49.2929 -*END - -*D_NET *1012 0.176785 -*CONN -*I *2472:la_data_out_core[120] I *D mgmt_protect -*I *2473:la_data_out[120] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[120] 0.000238051 -2 *2473:la_data_out[120] 0.00126721 -3 *1012:14 0.0103745 -4 *1012:13 0.0101365 -5 *1012:11 0.00263589 -6 *1012:10 0.00263589 -7 *1012:8 0.00937725 -8 *1012:7 0.0106445 -9 *2472:la_data_out_core[120] *1396:7 0 -10 *1012:8 *1017:8 0.0264833 -11 *1012:8 *1102:8 0.0189889 -12 *1012:8 *1107:8 0.0271052 -13 *1012:8 *1111:8 0.00185603 -14 *1012:14 *1019:14 0.0150622 -15 *1012:14 *1074:8 0.00467562 -16 *1012:14 *1077:8 0.000240563 -17 *468:21 *1012:14 0.00324704 -18 *486:21 *1012:14 0 -19 *756:5 *2472:la_data_out_core[120] 0 -20 *815:11 *2472:la_data_out_core[120] 3.31882e-05 -21 *1007:14 *1012:14 0.00350863 -22 *1009:8 *1012:8 0.0282748 -*RES -1 *2473:la_data_out[120] *1012:7 35.1065 -2 *1012:7 *1012:8 904.727 -3 *1012:8 *1012:10 4.5 -4 *1012:10 *1012:11 47.5097 -5 *1012:11 *1012:13 4.5 -6 *1012:13 *1012:14 406.693 -7 *1012:14 *2472:la_data_out_core[120] 10.6067 -*END - -*D_NET *1013 0.337844 -*CONN -*I *2472:la_data_out_core[121] I *D mgmt_protect -*I *2473:la_data_out[121] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[121] 8.20467e-05 -2 *2473:la_data_out[121] 0.000750542 -3 *1013:11 0.00316791 -4 *1013:10 0.00308586 -5 *1013:8 0.019266 -6 *1013:7 0.0200166 -7 *1013:11 *2473:la_oenb[59] 0 -8 *1013:11 *1071:7 0 -9 *1013:11 *1075:13 0 -10 *1013:11 *1397:7 0.00192124 -11 *752:8 *1013:8 4.12367e-05 -12 *756:8 *1013:8 0.140515 -13 *757:5 *1013:11 0 -14 *757:8 *1013:8 0.000961946 -15 *758:5 *1013:11 0 -16 *758:8 *1013:8 0.143237 -17 *995:8 *1013:8 0.00215053 -18 *997:8 *1013:8 0.00253608 -19 *1002:13 *1013:11 0 -20 *1004:13 *1013:11 0.000112424 -*RES -1 *2473:la_data_out[121] *1013:7 21.7254 -2 *1013:7 *1013:8 182.479 -3 *1013:8 *1013:10 3.36879 -4 *1013:10 *1013:11 65.7808 -5 *1013:11 *2472:la_data_out_core[121] 2.33274 -*END - -*D_NET *1014 0.231029 -*CONN -*I *2472:la_data_out_core[122] I *D mgmt_protect -*I *2473:la_data_out[122] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[122] 0.00105961 -2 *2473:la_data_out[122] 0.00131878 -3 *1014:16 0.00528293 -4 *1014:13 0.00597982 -5 *1014:8 0.0153774 -6 *1014:7 0.0149396 -7 *2472:la_data_out_core[122] *1398:7 0 -8 *1014:8 *1016:8 0.00554254 -9 *1014:8 *1017:8 0.014719 -10 *1014:8 *1375:8 0.0548721 -11 *1014:8 *1377:8 0.00182431 -12 *1014:8 *1379:8 0.000403492 -13 *1014:8 *1381:16 0.00211615 -14 *1014:8 *1391:8 0.000653639 -15 *1014:8 *1492:14 0.0365512 -16 *1014:8 *1494:20 0.00183679 -17 *1014:8 *1498:18 0.00288695 -18 *1014:13 *2473:la_oenb[74] 7.09666e-06 -19 *1014:16 *1016:16 0.0237622 -20 *1014:16 *1099:8 0 -21 *758:5 *2472:la_data_out_core[122] 0 -22 *856:8 *1014:16 0.00334801 -23 *858:8 *1014:16 0.00373348 -24 *1009:14 *1014:16 0.0348141 -*RES -1 *2473:la_data_out[122] *1014:7 37.1828 -2 *1014:7 *1014:8 1018.98 -3 *1014:8 *1014:13 39.3913 -4 *1014:13 *1014:16 47.477 -5 *1014:16 *2472:la_data_out_core[122] 20.0176 -*END - -*D_NET *1015 0.27368 -*CONN -*I *2472:la_data_out_core[123] I *D mgmt_protect -*I *2473:la_data_out[123] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[123] 0.00357696 -2 *2473:la_data_out[123] 0.00082419 -3 *1015:10 0.00357696 -4 *1015:8 0.0095412 -5 *1015:7 0.0103654 -6 *2472:la_data_out_core[123] *1398:7 0 -7 *2472:la_data_out_core[123] *1399:5 0 -8 *1015:8 *1018:8 0.00411282 -9 *759:10 *2472:la_data_out_core[123] 0 -10 *759:14 *1015:8 0.00883228 -11 *760:5 *2472:la_data_out_core[123] 0 -12 *761:18 *1015:8 0.114181 -13 *763:8 *1015:8 0.00587544 -14 *763:12 *1015:8 0.00245316 -15 *818:8 *1015:8 0.00184718 -16 *819:10 *1015:8 0.000433749 -17 *1004:8 *1015:8 0.10806 -*RES -1 *2473:la_data_out[123] *1015:7 24.7252 -2 *1015:7 *1015:8 1351.74 -3 *1015:8 *1015:10 4.5 -4 *1015:10 *2472:la_data_out_core[123] 63.8267 -*END - -*D_NET *1016 0.24069 -*CONN -*I *2472:la_data_out_core[124] I *D mgmt_protect -*I *2473:la_data_out[124] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[124] 0.00111254 -2 *2473:la_data_out[124] 0.00135327 -3 *1016:16 0.00494712 -4 *1016:13 0.00549481 -5 *1016:8 0.0150496 -6 *1016:7 0.0147427 -7 *2472:la_data_out_core[124] *1400:7 0 -8 *1016:8 *1017:8 0 -9 *1016:8 *1019:8 0.00119138 -10 *1016:8 *1379:8 0.00469217 -11 *1016:8 *1381:16 0.0751938 -12 *1016:8 *1383:16 0.0792531 -13 *1016:8 *1386:16 0.00182431 -14 *1016:8 *1387:12 0.000534616 -15 *1016:8 *1390:8 0.00113035 -16 *1016:8 *1391:8 0.000739113 -17 *1016:8 *1399:8 0.000950405 -18 *1016:13 *2473:la_oenb[70] 7.09666e-06 -19 *1016:16 *1099:8 0.00288519 -20 *1016:16 *1460:18 0.000284032 -21 *760:5 *2472:la_data_out_core[124] 0 -22 *1014:8 *1016:8 0.00554254 -23 *1014:16 *1016:16 0.0237622 -*RES -1 *2473:la_data_out[124] *1016:7 38.8438 -2 *1016:7 *1016:8 1147.09 -3 *1016:8 *1016:13 36.8998 -4 *1016:13 *1016:16 33.4793 -5 *1016:16 *2472:la_data_out_core[124] 20.8481 -*END - -*D_NET *1017 0.235816 -*CONN -*I *2472:la_data_out_core[125] I *D mgmt_protect -*I *2473:la_data_out[125] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[125] 0.000962271 -2 *2473:la_data_out[125] 0.00123603 -3 *1017:14 0.00518602 -4 *1017:13 0.00604409 -5 *1017:8 0.0154781 -6 *1017:7 0.0148938 -7 *2472:la_data_out_core[125] *1401:5 0 -8 *1017:8 *1107:8 0.0172025 -9 *1017:8 *1494:20 0.023951 -10 *1017:8 *1496:8 0.00160401 -11 *1017:14 *1099:8 0 -12 *734:8 *1017:14 0.000198862 -13 *735:8 *1017:14 0.00569167 -14 *761:5 *2472:la_data_out_core[125] 0 -15 *856:8 *1017:14 0.000586436 -16 *858:8 *1017:14 0.000614913 -17 *990:8 *1017:14 0.00021583 -18 *992:8 *1017:14 0.00619095 -19 *1001:8 *1017:14 0.0513959 -20 *1009:14 *1017:14 0.0431615 -21 *1012:8 *1017:8 0.0264833 -22 *1014:8 *1017:8 0.014719 -23 *1016:8 *1017:8 0 -*RES -1 *2473:la_data_out[125] *1017:7 35.937 -2 *1017:7 *1017:8 907.5 -3 *1017:8 *1017:13 42.2981 -4 *1017:13 *1017:14 64.9901 -5 *1017:14 *2472:la_data_out_core[125] 21.7254 -*END - -*D_NET *1018 0.358212 -*CONN -*I *2472:la_data_out_core[126] I *D mgmt_protect -*I *2473:la_data_out[126] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[126] 0.00339737 -2 *2473:la_data_out[126] 0.000839769 -3 *1018:10 0.00339737 -4 *1018:8 0.0220435 -5 *1018:7 0.0228833 -6 *2472:la_data_out_core[126] *1074:5 0.000494052 -7 *2472:la_data_out_core[126] *1402:7 0 -8 *760:8 *1018:8 0.145661 -9 *761:18 *1018:8 0.00506946 -10 *762:5 *2472:la_data_out_core[126] 0 -11 *762:8 *1018:8 0.150314 -12 *1015:8 *1018:8 0.00411282 -*RES -1 *2473:la_data_out[126] *1018:7 24.2169 -2 *1018:7 *1018:8 191.964 -3 *1018:8 *1018:10 3.36879 -4 *1018:10 *2472:la_data_out_core[126] 63.2038 -*END - -*D_NET *1019 0.272627 -*CONN -*I *2472:la_data_out_core[127] I *D mgmt_protect -*I *2473:la_data_out[127] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[127] 0.000263894 -2 *2473:la_data_out[127] 0.00138524 -3 *1019:14 0.00171335 -4 *1019:13 0.00144945 -5 *1019:11 0.00239758 -6 *1019:8 0.0143451 -7 *1019:7 0.0133328 -8 *2472:la_data_out_core[127] *1403:7 0 -9 *1019:8 *1390:8 0.0949867 -10 *1019:8 *1393:14 0.10107 -11 *1019:8 *1397:14 0.00278118 -12 *1019:8 *1399:8 0.00934631 -13 *1019:8 *1401:12 0.00215947 -14 *1019:8 *1646:8 0.000647491 -15 *1019:8 *2414:8 0.000639908 -16 *1019:14 *1077:8 0.00555602 -17 *1019:14 *1078:8 0.000941784 -18 *468:21 *1019:14 0.0013824 -19 *763:5 *2472:la_data_out_core[127] 0 -20 *1007:14 *1019:14 0.00197403 -21 *1012:14 *1019:14 0.0150622 -22 *1016:8 *1019:8 0.00119138 -*RES -1 *2473:la_data_out[127] *1019:7 40.9201 -2 *1019:7 *1019:8 1247.47 -3 *1019:8 *1019:11 45.781 -4 *1019:11 *1019:13 4.5 -5 *1019:13 *1019:14 157.676 -6 *1019:14 *2472:la_data_out_core[127] 11.0219 -*END - -*D_NET *1020 0.0310219 -*CONN -*I *2472:la_data_out_core[12] I *D mgmt_protect -*I *2473:la_data_out[12] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[12] 0.00179642 -2 *2473:la_data_out[12] 0.00122458 -3 *1020:10 0.00179642 -4 *1020:8 0.00107288 -5 *1020:7 0.00229746 -6 *2472:la_data_out_core[12] *1026:7 0.000769322 -7 *2472:la_data_out_core[12] *1404:5 0 -8 *1020:8 *1021:8 0.0100739 -9 *1020:8 *1395:8 0.000544848 -10 *1020:8 *1404:8 0.011446 -11 *764:5 *2472:la_data_out_core[12] 0 -*RES -1 *2473:la_data_out[12] *1020:7 36.3523 -2 *1020:7 *1020:8 127.728 -3 *1020:8 *1020:10 4.5 -4 *1020:10 *2472:la_data_out_core[12] 52.1996 -*END - -*D_NET *1021 0.0295972 -*CONN -*I *2472:la_data_out_core[13] I *D mgmt_protect -*I *2473:la_data_out[13] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[13] 2.27669e-05 -2 *2473:la_data_out[13] 0.00125285 -3 *1021:11 0.00144153 -4 *1021:10 0.00141876 -5 *1021:8 0.000835296 -6 *1021:7 0.00208815 -7 *1021:8 *1022:8 0.000143031 -8 *1021:8 *1395:8 0.00028978 -9 *1021:8 *1404:8 8.14875e-05 -10 *1021:8 *1405:8 0.0102139 -11 *1021:11 *2473:la_oenb[18] 0.000431615 -12 *1021:11 *1405:5 0 -13 *765:7 *1021:11 0.00130407 -14 *1020:8 *1021:8 0.0100739 -*RES -1 *2473:la_data_out[13] *1021:7 36.7675 -2 *1021:7 *1021:8 114.417 -3 *1021:8 *1021:10 4.5 -4 *1021:10 *1021:11 51.8699 -5 *1021:11 *2472:la_data_out_core[13] 0.647305 -*END - -*D_NET *1022 0.0256968 -*CONN -*I *2472:la_data_out_core[14] I *D mgmt_protect -*I *2473:la_data_out[14] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[14] 0.00190837 -2 *2473:la_data_out[14] 0.00119537 -3 *1022:10 0.00190837 -4 *1022:8 0.000943311 -5 *1022:7 0.00213868 -6 *2472:la_data_out_core[14] *1406:5 0 -7 *1022:8 *1404:8 0.00827893 -8 *1022:8 *1405:8 3.42853e-05 -9 *1022:8 *1406:8 0.00890333 -10 *2473:la_data_in[19] *2472:la_data_out_core[14] 0.000243117 -11 *766:5 *2472:la_data_out_core[14] 0 -12 *1021:8 *1022:8 0.000143031 -*RES -1 *2473:la_data_out[14] *1022:7 35.5218 -2 *1022:7 *1022:8 101.107 -3 *1022:8 *1022:10 4.5 -4 *1022:10 *2472:la_data_out_core[14] 53.0301 -*END - -*D_NET *1023 0.0197216 -*CONN -*I *2472:la_data_out_core[15] I *D mgmt_protect -*I *2473:la_data_out[15] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[15] 0.0017783 -2 *2473:la_data_out[15] 0.00165588 -3 *1023:14 0.0017783 -4 *1023:12 0.00109474 -5 *1023:10 0.00275062 -6 *2472:la_data_out_core[15] *1406:5 0 -7 *2472:la_data_out_core[15] *1407:5 0 -8 *1023:12 *1407:8 6.44576e-05 -9 *766:8 *1023:10 0.000129927 -10 *766:8 *1023:12 0.00666787 -11 *766:14 *1023:10 3.8519e-05 -12 *767:5 *2472:la_data_out_core[15] 0 -13 *767:8 *1023:12 0.00318695 -14 *767:14 *1023:10 0.000552044 -15 *767:14 *1023:12 2.39581e-05 -16 *805:5 *1023:10 0 -*RES -1 *2473:la_data_out[15] *1023:10 48.8693 -2 *1023:10 *1023:12 81.6955 -3 *1023:12 *1023:14 4.5 -4 *1023:14 *2472:la_data_out_core[15] 47.2166 -*END - -*D_NET *1024 0.0204472 -*CONN -*I *2472:la_data_out_core[16] I *D mgmt_protect -*I *2473:la_data_out[16] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[16] 0.00169942 -2 *2473:la_data_out[16] 0.0015812 -3 *1024:10 0.00169942 -4 *1024:8 0.000932706 -5 *1024:7 0.00251391 -6 *2472:la_data_out_core[16] *1407:5 0 -7 *2472:la_data_out_core[16] *1408:7 0 -8 *1024:8 *1407:8 0.00643191 -9 *1024:8 *1408:8 0 -10 *768:7 *2472:la_data_out_core[16] 0 -11 *768:8 *1024:8 0 -12 *769:8 *1024:8 0.00558864 -*RES -1 *2473:la_data_out[16] *1024:7 42.9963 -2 *1024:7 *1024:8 74.4857 -3 *1024:8 *1024:10 4.5 -4 *1024:10 *2472:la_data_out_core[16] 45.5556 -*END - -*D_NET *1025 0.0181055 -*CONN -*I *2472:la_data_out_core[17] I *D mgmt_protect -*I *2473:la_data_out[17] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[17] 0.00123124 -2 *2473:la_data_out[17] 0.00165004 -3 *1025:8 0.00200663 -4 *1025:7 0.00242543 -5 *2472:la_data_out_core[17] *1409:7 0.000897663 -6 *1025:8 *1026:8 0 -7 *1025:8 *1408:8 0.00519379 -8 *1025:8 *1409:8 0.000108945 -9 *744:5 *1025:7 7.66121e-05 -10 *769:7 *2472:la_data_out_core[17] 0 -11 *769:8 *1025:8 3.90666e-05 -12 *770:8 *1025:8 0.00447607 -*RES -1 *2473:la_data_out[17] *1025:7 45.4878 -2 *1025:7 *1025:8 61.7298 -3 *1025:8 *2472:la_data_out_core[17] 48.8587 -*END - -*D_NET *1026 0.0107087 -*CONN -*I *2472:la_data_out_core[18] I *D mgmt_protect -*I *2473:la_data_out[18] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[18] 0.0014077 -2 *2473:la_data_out[18] 0.00153215 -3 *1026:8 0.00332396 -4 *1026:7 0.0034484 -5 *2472:la_data_out_core[18] *1410:7 0 -6 *1026:7 *1404:5 0.000227207 -7 *1026:8 *1408:8 0 -8 *2472:la_data_out_core[12] *1026:7 0.000769322 -9 *768:8 *1026:8 0 -10 *770:7 *2472:la_data_out_core[18] 0 -11 *1025:8 *1026:8 0 -*RES -1 *2473:la_data_out[18] *1026:7 49.6404 -2 *1026:7 *1026:8 47.8647 -3 *1026:8 *2472:la_data_out_core[18] 43.4116 -*END - -*D_NET *1027 0.0105242 -*CONN -*I *2472:la_data_out_core[19] I *D mgmt_protect -*I *2473:la_data_out[19] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[19] 0.00180024 -2 *2473:la_data_out[19] 0.00118077 -3 *1027:10 0.00264203 -4 *1027:7 0.00202256 -5 *2472:la_data_out_core[19] *2473:la_oenb[20] 0 -6 *2472:la_data_out_core[19] *1411:5 0 -7 *1027:10 *1411:10 0.00254822 -8 *2473:la_data_in[21] *2472:la_data_out_core[19] 0.000330393 -9 *771:7 *2472:la_data_out_core[19] 0 -*RES -1 *2473:la_data_out[19] *1027:7 35.1065 -2 *1027:7 *1027:10 38.4996 -3 *1027:10 *2472:la_data_out_core[19] 53.4454 -*END - -*D_NET *1028 0.0620188 -*CONN -*I *2472:la_data_out_core[1] I *D mgmt_protect -*I *2473:la_data_out[1] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[1] 0.00110001 -2 *2473:la_data_out[1] 0.00223889 -3 *1028:8 0.00357342 -4 *1028:7 0.00247341 -5 *1028:5 0.00223889 -6 *2472:la_data_out_core[1] *1412:7 0 -7 *1028:5 *1620:13 0.000633876 -8 *1028:5 *1639:13 0.00080517 -9 *1028:8 *1373:8 0.0252132 -10 *1028:8 *1423:8 0.0231003 -11 *1028:8 *1638:8 0.000626631 -12 *1028:8 *1640:8 1.5006e-05 -13 *772:5 *2472:la_data_out_core[1] 0 -14 *794:11 *1028:8 0 -*RES -1 *2473:la_data_out[1] *1028:5 54.2759 -2 *1028:5 *1028:7 4.5 -3 *1028:7 *1028:8 274.143 -4 *1028:8 *2472:la_data_out_core[1] 34.276 -*END - -*D_NET *1029 0.00919787 -*CONN -*I *2472:la_data_out_core[20] I *D mgmt_protect -*I *2473:la_data_out[20] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[20] 0.00182345 -2 *2473:la_data_out[20] 0.00115156 -3 *1029:10 0.00237775 -4 *1029:7 0.00170586 -5 *2472:la_data_out_core[20] *1030:7 0.000653195 -6 *2472:la_data_out_core[20] *1411:5 0 -7 *2472:la_data_out_core[20] *1413:5 0 -8 *1029:10 *1411:10 0.00148607 -9 *773:5 *2472:la_data_out_core[20] 0 -*RES -1 *2473:la_data_out[20] *1029:7 34.276 -2 *1029:7 *1029:10 25.7437 -3 *1029:10 *2472:la_data_out_core[20] 54.2759 -*END - -*D_NET *1030 0.00716491 -*CONN -*I *2472:la_data_out_core[21] I *D mgmt_protect -*I *2473:la_data_out[21] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[21] 0.00158637 -2 *2473:la_data_out[21] 0.00137942 -3 *1030:10 0.00187008 -4 *1030:7 0.00166312 -5 *2472:la_data_out_core[21] *1413:5 0 -6 *2472:la_data_out_core[21] *1414:5 0 -7 *1030:7 *1413:5 0 -8 *1030:10 *1413:10 1.27226e-05 -9 *2472:la_data_out_core[20] *1030:7 0.000653195 -10 *774:7 *2472:la_data_out_core[21] 0 -*RES -1 *2473:la_data_out[21] *1030:7 44.6573 -2 *1030:7 *1030:10 11.8786 -3 *1030:10 *2472:la_data_out_core[21] 43.8946 -*END - -*D_NET *1031 0.00680972 -*CONN -*I *2472:la_data_out_core[22] I *D mgmt_protect -*I *2473:la_data_out[22] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[22] 0.00135927 -2 *2473:la_data_out[22] 0.00173356 -3 *1031:10 0.00153255 -4 *1031:5 0.00190684 -5 *2472:la_data_out_core[22] *1415:7 0 -6 *1031:10 *1415:10 0.000277502 -7 *775:5 *2472:la_data_out_core[22] 0 -*RES -1 *2473:la_data_out[22] *1031:5 46.3861 -2 *1031:5 *1031:10 14.7148 -3 *1031:10 *2472:la_data_out_core[22] 37.6658 -*END - -*D_NET *1032 0.00839975 -*CONN -*I *2472:la_data_out_core[23] I *D mgmt_protect -*I *2473:la_data_out[23] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[23] 0.00130887 -2 *2473:la_data_out[23] 0.00181466 -3 *1032:10 0.0017528 -4 *1032:5 0.00225859 -5 *2472:la_data_out_core[23] *1415:7 0 -6 *2472:la_data_out_core[23] *1416:7 0 -7 *1032:10 *1033:10 0.000472925 -8 *1032:10 *1415:10 0.000121243 -9 *1032:10 *1416:10 4.89898e-06 -10 *1032:10 *1417:10 5.82695e-05 -11 *776:8 *2472:la_data_out_core[23] 0 -12 *777:10 *1032:10 6.44576e-05 -13 *778:10 *1032:10 0.000543039 -*RES -1 *2473:la_data_out[23] *1032:5 48.0471 -2 *1032:5 *1032:10 28.5799 -3 *1032:10 *2472:la_data_out_core[23] 36.0048 -*END - -*D_NET *1033 0.0106603 -*CONN -*I *2472:la_data_out_core[24] I *D mgmt_protect -*I *2473:la_data_out[24] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[24] 0.00134589 -2 *2473:la_data_out[24] 0.00176396 -3 *1033:10 0.00179418 -4 *1033:5 0.00221226 -5 *2472:la_data_out_core[24] *1417:7 0 -6 *1033:5 *2472:la_data_out_core[28] 3.31882e-05 -7 *2473:la_data_in[23] *2472:la_data_out_core[24] 0 -8 *777:7 *2472:la_data_out_core[24] 0 -9 *777:10 *1033:10 0.00238891 -10 *778:10 *1033:10 0.000530719 -11 *781:7 *1033:5 0 -12 *781:8 *1033:10 0.000118245 -13 *1032:10 *1033:10 0.000472925 -*RES -1 *2473:la_data_out[24] *1033:5 47.2166 -2 *1033:5 *1033:10 41.3358 -3 *1033:10 *2472:la_data_out_core[24] 36.8353 -*END - -*D_NET *1034 0.015755 -*CONN -*I *2472:la_data_out_core[25] I *D mgmt_protect -*I *2473:la_data_out[25] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[25] 0.000998699 -2 *2473:la_data_out[25] 0.00184846 -3 *1034:8 0.00135038 -4 *1034:5 0.00220014 -5 *2472:la_data_out_core[25] *1418:7 0.00165997 -6 *1034:8 *1038:14 0.000308997 -7 *1034:8 *1417:10 0.00280311 -8 *1034:8 *1422:8 0.00107411 -9 *778:7 *2472:la_data_out_core[25] 0 -10 *778:10 *1034:8 0.00348482 -11 *782:13 *1034:5 8.62625e-06 -12 *782:13 *1034:8 1.7672e-05 -*RES -1 *2473:la_data_out[25] *1034:5 48.8776 -2 *1034:5 *1034:8 48.4825 -3 *1034:8 *2472:la_data_out_core[25] 42.0925 -*END - -*D_NET *1035 0.0143808 -*CONN -*I *2472:la_data_out_core[26] I *D mgmt_protect -*I *2473:la_data_out[26] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[26] 0.00120126 -2 *2473:la_data_out[26] 0.00189311 -3 *1035:14 0.00236046 -4 *1035:10 0.00134343 -5 *1035:5 0.00207735 -6 *2472:la_data_out_core[26] *1418:7 0 -7 *2472:la_data_out_core[26] *1419:7 0 -8 *1035:5 *2472:la_data_out_core[33] 0.000169892 -9 *1035:5 *2472:la_data_out_core[34] 0 -10 *1035:10 *1041:8 8.90486e-05 -11 *1035:10 *1424:8 0.00190449 -12 *1035:10 *1425:8 0.00153275 -13 *1035:14 *1417:10 0.000132587 -14 *1035:14 *1424:8 1.67988e-05 -15 *779:7 *2472:la_data_out_core[26] 0 -16 *782:13 *1035:14 0.00157297 -17 *785:8 *1035:10 3.59302e-05 -18 *785:8 *1035:14 5.07314e-05 -*RES -1 *2473:la_data_out[26] *1035:5 50.9539 -2 *1035:5 *1035:10 25.2132 -3 *1035:10 *1035:14 43.491 -4 *1035:14 *2472:la_data_out_core[26] 32.6828 -*END - -*D_NET *1036 0.0178165 -*CONN -*I *2472:la_data_out_core[27] I *D mgmt_protect -*I *2473:la_data_out[27] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[27] 0.00065657 -2 *2473:la_data_out[27] 0.00208692 -3 *1036:8 0.00240559 -4 *1036:7 0.00174902 -5 *1036:5 0.00208692 -6 *2472:la_data_out_core[27] *1420:7 0.000642344 -7 *1036:5 *1046:11 0.00164589 -8 *1036:8 *1420:8 0.00653818 -9 *780:7 *2472:la_data_out_core[27] 0 -10 *780:8 *1036:8 5.04054e-06 -11 *790:5 *1036:5 0 -*RES -1 *2473:la_data_out[27] *1036:5 62.1657 -2 *1036:5 *1036:7 4.5 -3 *1036:7 *1036:8 71.1581 -4 *1036:8 *2472:la_data_out_core[27] 28.2427 -*END - -*D_NET *1037 0.0184491 -*CONN -*I *2472:la_data_out_core[28] I *D mgmt_protect -*I *2473:la_data_out[28] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[28] 0.00143644 -2 *2473:la_data_out[28] 0.00174751 -3 *1037:14 0.00164969 -4 *1037:8 0.00117668 -5 *1037:7 0.000963428 -6 *1037:5 0.00174751 -7 *2472:la_data_out_core[28] *1421:7 0 -8 *1037:5 *2472:la_data_out_core[39] 0 -9 *1037:5 *1432:5 0 -10 *1037:8 *1421:12 0.00244933 -11 *1037:14 *1421:10 0.00212788 -12 *1037:14 *1421:12 9.82896e-06 -13 *778:10 *1037:14 3.42853e-05 -14 *781:7 *2472:la_data_out_core[28] 0 -15 *781:8 *1037:8 0.00216729 -16 *781:8 *1037:14 0.00238247 -17 *793:5 *1037:5 0.000523544 -18 *1033:5 *2472:la_data_out_core[28] 3.31882e-05 -*RES -1 *2473:la_data_out[28] *1037:5 47.2166 -2 *1037:5 *1037:7 4.5 -3 *1037:7 *1037:8 60.066 -4 *1037:8 *1037:14 30.2047 -5 *1037:14 *2472:la_data_out_core[28] 37.9834 -*END - -*D_NET *1038 0.0249856 -*CONN -*I *2472:la_data_out_core[29] I *D mgmt_protect -*I *2473:la_data_out[29] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[29] 0.0013551 -2 *2473:la_data_out[29] 0.00161892 -3 *1038:14 0.00155531 -4 *1038:8 0.00114025 -5 *1038:7 0.000940038 -6 *1038:5 0.00161892 -7 *2472:la_data_out_core[29] *2473:la_oenb[24] 7.50872e-05 -8 *2472:la_data_out_core[29] *1421:7 0 -9 *2472:la_data_out_core[29] *1422:7 0 -10 *1038:5 *2472:la_data_out_core[42] 0 -11 *1038:5 *1052:11 0.000284715 -12 *1038:5 *1436:5 0.000910279 -13 *1038:8 *1421:10 9.82896e-06 -14 *1038:8 *1421:12 0.00633412 -15 *1038:8 *1422:8 0.000306383 -16 *1038:14 *1421:10 0.00072275 -17 *1038:14 *1422:8 7.6719e-06 -18 *778:10 *1038:14 0.000645042 -19 *782:7 *2472:la_data_out_core[29] 0 -20 *782:14 *1038:8 0.00706051 -21 *782:14 *1038:14 9.16785e-05 -22 *1034:8 *1038:14 0.000308997 -*RES -1 *2473:la_data_out[29] *1038:5 48.4624 -2 *1038:5 *1038:7 4.5 -3 *1038:7 *1038:8 82.8047 -4 *1038:8 *1038:14 21.8856 -5 *1038:14 *2472:la_data_out_core[29] 36.0048 -*END - -*D_NET *1039 0.0573663 -*CONN -*I *2472:la_data_out_core[2] I *D mgmt_protect -*I *2473:la_data_out[2] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[2] 0.00155451 -2 *2473:la_data_out[2] 0.00208658 -3 *1039:12 0.00395321 -4 *1039:11 0.0023987 -5 *1039:9 0.00208658 -6 *2472:la_data_out_core[2] *1423:7 0 -7 *1039:9 *1767:7 0 -8 *1039:12 *1500:8 5.03285e-05 -9 *1039:12 *1769:8 0.00115309 -10 *733:14 *1039:12 0.0198614 -11 *783:7 *2472:la_data_out_core[2] 0 -12 *783:8 *1039:12 0.0242219 -*RES -1 *2473:la_data_out[2] *1039:9 46.0441 -2 *1039:9 *1039:11 4.5 -3 *1039:11 *1039:12 259.723 -4 *1039:12 *2472:la_data_out_core[2] 43.4116 -*END - -*D_NET *1040 0.0292148 -*CONN -*I *2472:la_data_out_core[30] I *D mgmt_protect -*I *2473:la_data_out[30] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[30] 0.0012846 -2 *2473:la_data_out[30] 0.000170636 -3 *1040:10 0.00229213 -4 *1040:9 0.00100754 -5 *1040:7 0.00201287 -6 *1040:5 0.00218351 -7 *2472:la_data_out_core[30] *1424:7 0 -8 *1040:7 *2472:la_data_out_core[44] 3.61346e-05 -9 *1040:10 *1424:8 0.0101423 -10 *1040:10 *1438:8 0 -11 *2473:la_data_in[30] *1040:7 0 -12 *782:13 *1040:10 0.000113197 -13 *784:7 *2472:la_data_out_core[30] 0 -14 *784:8 *1040:10 0.00988967 -15 *798:8 *1040:10 0 -16 *799:7 *1040:7 8.22264e-05 -*RES -1 *2473:la_data_out[30] *1040:5 1.77093 -2 *1040:5 *1040:7 50.2089 -3 *1040:7 *1040:9 4.5 -4 *1040:9 *1040:10 111.09 -5 *1040:10 *2472:la_data_out_core[30] 38.4285 -*END - -*D_NET *1041 0.0318589 -*CONN -*I *2472:la_data_out_core[31] I *D mgmt_protect -*I *2473:la_data_out[31] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[31] 0.00121836 -2 *2473:la_data_out[31] 0.00214997 -3 *1041:8 0.00227184 -4 *1041:7 0.00105348 -5 *1041:5 0.00214997 -6 *2472:la_data_out_core[31] *1424:7 0 -7 *2472:la_data_out_core[31] *1425:7 0 -8 *1041:5 *2472:la_data_out_core[46] 0 -9 *1041:5 *2472:la_data_out_core[47] 0 -10 *1041:5 *1441:5 0 -11 *1041:8 *1042:8 0.000298839 -12 *1041:8 *1425:8 0.0115462 -13 *785:7 *2472:la_data_out_core[31] 0 -14 *785:8 *1041:8 0.0110812 -15 *1035:10 *1041:8 8.90486e-05 -*RES -1 *2473:la_data_out[31] *1041:5 51.7844 -2 *1041:5 *1041:7 4.5 -3 *1041:7 *1041:8 125.509 -4 *1041:8 *2472:la_data_out_core[31] 36.7675 -*END - -*D_NET *1042 0.0332376 -*CONN -*I *2472:la_data_out_core[32] I *D mgmt_protect -*I *2473:la_data_out[32] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[32] 0.00118232 -2 *2473:la_data_out[32] 0.00218264 -3 *1042:8 0.00262331 -4 *1042:7 0.00144099 -5 *1042:5 0.00218264 -6 *2472:la_data_out_core[32] *2473:la_oenb[25] 0 -7 *2472:la_data_out_core[32] *1426:7 0 -8 *1042:5 *2472:la_data_out_core[49] 0 -9 *1042:5 *2472:la_data_out_core[50] 0 -10 *1042:5 *1444:7 0.000111326 -11 *1042:8 *1058:8 0 -12 *1042:8 *1060:8 0 -13 *1042:8 *1425:8 0.000171303 -14 *2473:la_data_in[26] *2472:la_data_out_core[32] 0 -15 *785:8 *1042:8 0.0103548 -16 *786:7 *2472:la_data_out_core[32] 0 -17 *786:8 *1042:8 0.012383 -18 *787:8 *1042:8 0.000306383 -19 *1041:8 *1042:8 0.000298839 -*RES -1 *2473:la_data_out[32] *1042:5 52.6149 -2 *1042:5 *1042:7 4.5 -3 *1042:7 *1042:8 139.374 -4 *1042:8 *2472:la_data_out_core[32] 35.937 -*END - -*D_NET *1043 0.027814 -*CONN -*I *2472:la_data_out_core[33] I *D mgmt_protect -*I *2473:la_data_out[33] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[33] 0.00140029 -2 *2473:la_data_out[33] 0.00173033 -3 *1043:8 0.00515536 -4 *1043:7 0.00548541 -5 *2472:la_data_out_core[33] *1427:7 0.000592544 -6 *1043:8 *1044:8 1.37077e-05 -7 *1043:8 *1428:8 0.0132665 -8 *2473:la_data_in[26] *2472:la_data_out_core[33] 0 -9 *787:7 *2472:la_data_out_core[33] 0 -10 *788:7 *2472:la_data_out_core[33] 0 -11 *1035:5 *2472:la_data_out_core[33] 0.000169892 -*RES -1 *2473:la_data_out[33] *1043:7 45.4878 -2 *1043:7 *1043:8 151.576 -3 *1043:8 *2472:la_data_out_core[33] 48.2969 -*END - -*D_NET *1044 0.0367622 -*CONN -*I *2472:la_data_out_core[34] I *D mgmt_protect -*I *2473:la_data_out[34] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[34] 0.00163395 -2 *2473:la_data_out[34] 0.00162315 -3 *1044:8 0.00355839 -4 *1044:7 0.0035476 -5 *2472:la_data_out_core[34] *2473:la_oenb[26] 0.000355859 -6 *2472:la_data_out_core[34] *1428:7 0 -7 *1044:8 *1045:8 0.000239984 -8 *1044:8 *1047:12 0.000501068 -9 *1044:8 *1048:14 0.0126046 -10 *1044:8 *1428:8 0.000360915 -11 *1044:8 *1431:8 0.000226394 -12 *788:7 *2472:la_data_out_core[34] 0 -13 *789:10 *1044:8 0 -14 *791:14 *1044:8 7.92757e-06 -15 *793:8 *1044:8 0.0120886 -16 *1035:5 *2472:la_data_out_core[34] 0 -17 *1043:8 *1044:8 1.37077e-05 -*RES -1 *2473:la_data_out[34] *1044:7 43.4116 -2 *1044:7 *1044:8 165.995 -3 *1044:8 *2472:la_data_out_core[34] 49.6404 -*END - -*D_NET *1045 0.0432193 -*CONN -*I *2472:la_data_out_core[35] I *D mgmt_protect -*I *2473:la_data_out[35] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[35] 0.000939904 -2 *2473:la_data_out[35] 0.00153741 -3 *1045:10 0.000939904 -4 *1045:8 0.00148232 -5 *1045:7 0.00301973 -6 *2472:la_data_out_core[35] *1429:5 0.00129087 -7 *1045:8 *1429:8 0.0166381 -8 *2473:la_data_in[27] *2472:la_data_out_core[35] 0.00133273 -9 *789:7 *2472:la_data_out_core[35] 0.00048253 -10 *789:10 *1045:8 2.20702e-05 -11 *791:14 *1045:8 0.0152937 -12 *1044:8 *1045:8 0.000239984 -*RES -1 *2473:la_data_out[35] *1045:7 41.7506 -2 *1045:7 *1045:8 177.642 -3 *1045:8 *1045:10 4.5 -4 *1045:10 *2472:la_data_out_core[35] 48.096 -*END - -*D_NET *1046 0.0395565 -*CONN -*I *2472:la_data_out_core[36] I *D mgmt_protect -*I *2473:la_data_out[36] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[36] 2.27669e-05 -2 *2473:la_data_out[36] 0.00107445 -3 *1046:11 0.00162496 -4 *1046:10 0.0016022 -5 *1046:8 0.00263371 -6 *1046:7 0.00370816 -7 *1046:7 *1073:17 0.000260314 -8 *1046:7 *1448:13 8.62625e-06 -9 *1046:8 *1049:8 0 -10 *1046:8 *1051:8 0.0151602 -11 *1046:8 *1052:8 0 -12 *1046:8 *1059:8 0.000113197 -13 *1046:8 *1432:8 0 -14 *1046:8 *1435:8 0 -15 *1046:8 *1436:8 0.00128833 -16 *1046:8 *1443:8 0.00883955 -17 *1046:11 *1430:7 0 -18 *790:5 *1046:11 0 -19 *790:8 *1046:8 0.000762044 -20 *817:7 *1046:7 0.000812097 -21 *1036:5 *1046:11 0.00164589 -*RES -1 *2473:la_data_out[36] *1046:7 38.4285 -2 *1046:7 *1046:8 192.062 -3 *1046:8 *1046:10 4.5 -4 *1046:10 *1046:11 50.2089 -5 *1046:11 *2472:la_data_out_core[36] 0.647305 -*END - -*D_NET *1047 0.0499341 -*CONN -*I *2472:la_data_out_core[37] I *D mgmt_protect -*I *2473:la_data_out[37] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[37] 0.000824049 -2 *2473:la_data_out[37] 0.00156122 -3 *1047:14 0.000824049 -4 *1047:12 0.0011168 -5 *1047:10 0.00116494 -6 *1047:8 0.000496467 -7 *1047:7 0.00200954 -8 *2472:la_data_out_core[37] *1431:7 0.00123291 -9 *1047:7 *1459:5 1.47102e-05 -10 *1047:8 *1431:8 0.00572108 -11 *1047:8 *1439:14 0.00566601 -12 *1047:12 *1431:8 7.02172e-06 -13 *2473:la_data_in[28] *2472:la_data_out_core[37] 0 -14 *791:9 *2472:la_data_out_core[37] 7.1812e-05 -15 *791:13 *2472:la_data_out_core[37] 0.00252743 -16 *791:14 *1047:8 4.89898e-06 -17 *791:14 *1047:12 0.0135476 -18 *793:8 *1047:12 0.0123477 -19 *793:18 *1047:10 3.30786e-05 -20 *819:7 *1047:7 0 -21 *820:5 *1047:7 0.000261642 -22 *1044:8 *1047:12 0.000501068 -*RES -1 *2473:la_data_out[37] *1047:7 43.8268 -2 *1047:7 *1047:8 60.6206 -3 *1047:8 *1047:10 1.39857 -4 *1047:10 *1047:12 143.811 -5 *1047:12 *1047:14 4.5 -6 *1047:14 *2472:la_data_out_core[37] 47.2655 -*END - -*D_NET *1048 0.0504126 -*CONN -*I *2472:la_data_out_core[38] I *D mgmt_protect -*I *2473:la_data_out[38] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[38] 0.00170044 -2 *2473:la_data_out[38] 0.00172154 -3 *1048:14 0.00272892 -4 *1048:13 0.00107881 -5 *1048:8 0.000795014 -6 *1048:7 0.00246622 -7 *2472:la_data_out_core[38] *1432:5 0 -8 *1048:8 *1054:12 0.00707834 -9 *1048:8 *1431:8 0.00650705 -10 *1048:8 *1439:14 0.000338561 -11 *1048:13 *1439:13 1.03986e-05 -12 *1048:14 *1431:8 0.0128128 -13 *2473:la_data_in[28] *2472:la_data_out_core[38] 0.000133726 -14 *792:7 *2472:la_data_out_core[38] 0 -15 *792:12 *1048:8 0.000344505 -16 *793:8 *1048:14 9.16621e-05 -17 *822:5 *1048:7 0 -18 *823:5 *1048:7 0 -19 *1044:8 *1048:14 0.0126046 -*RES -1 *2473:la_data_out[38] *1048:7 44.6573 -2 *1048:7 *1048:8 83.3593 -3 *1048:8 *1048:13 10.0015 -4 *1048:13 *1048:14 136.047 -5 *1048:14 *2472:la_data_out_core[38] 49.2251 -*END - -*D_NET *1049 0.0523513 -*CONN -*I *2472:la_data_out_core[39] I *D mgmt_protect -*I *2473:la_data_out[39] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[39] 0.00214174 -2 *2473:la_data_out[39] 0.00118268 -3 *1049:10 0.00214174 -4 *1049:8 0.00199209 -5 *1049:7 0.00317477 -6 *2472:la_data_out_core[39] *2473:la_oenb[28] 0 -7 *2472:la_data_out_core[39] *1433:5 0 -8 *1049:8 *1432:8 0.0206383 -9 *1049:8 *1435:8 0.0209505 -10 *793:5 *2472:la_data_out_core[39] 0 -11 *820:8 *1049:8 0 -12 *825:7 *1049:7 0.000129499 -13 *1037:5 *2472:la_data_out_core[39] 0 -14 *1046:8 *1049:8 0 -*RES -1 *2473:la_data_out[39] *1049:7 34.6913 -2 *1049:7 *1049:8 231.993 -3 *1049:8 *1049:10 4.5 -4 *1049:10 *2472:la_data_out_core[39] 53.8607 -*END - -*D_NET *1050 0.0563947 -*CONN -*I *2472:la_data_out_core[3] I *D mgmt_protect -*I *2473:la_data_out[3] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[3] 0.00114966 -2 *2473:la_data_out[3] 0.00244467 -3 *1050:8 0.00324425 -4 *1050:7 0.00209458 -5 *1050:5 0.00244467 -6 *2472:la_data_out_core[3] *1423:7 0 -7 *2472:la_data_out_core[3] *1434:7 0 -8 *1050:5 *2472:mprj_dat_i_user[4] 0 -9 *1050:5 *2472:mprj_dat_i_user[5] 0 -10 *1050:5 *1771:7 0.000166394 -11 *1050:8 *1061:8 0.0212529 -12 *1050:8 *1423:8 0.000291294 -13 *1050:8 *1434:8 0.0225908 -14 *1050:8 *1640:8 0.00031994 -15 *1050:8 *1642:8 0.000366428 -16 *1050:8 *1711:8 2.90905e-05 -17 *794:7 *2472:la_data_out_core[3] 0 -*RES -1 *2473:la_data_out[3] *1050:5 53.0301 -2 *1050:5 *1050:7 4.5 -3 *1050:7 *1050:8 247.522 -4 *1050:8 *2472:la_data_out_core[3] 35.5218 -*END - -*D_NET *1051 0.053027 -*CONN -*I *2472:la_data_out_core[40] I *D mgmt_protect -*I *2473:la_data_out[40] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[40] 0.00199913 -2 *2473:la_data_out[40] 0.00137076 -3 *1051:10 0.00199913 -4 *1051:8 0.00201884 -5 *1051:7 0.0033896 -6 *2472:la_data_out_core[40] *2473:la_oenb[28] 0 -7 *2472:la_data_out_core[40] *1433:5 0 -8 *2472:la_data_out_core[40] *1435:5 0 -9 *1051:7 *1468:7 8.62625e-06 -10 *1051:8 *1443:8 1.41689e-05 -11 *1051:8 *1446:8 0.0153662 -12 *1051:8 *1448:14 0.00800429 -13 *790:8 *1051:8 0.00346376 -14 *795:7 *2472:la_data_out_core[40] 0 -15 *828:7 *1051:7 0 -16 *829:5 *1051:7 0.000232269 -17 *1046:8 *1051:8 0.0151602 -*RES -1 *2473:la_data_out[40] *1051:7 38.8438 -2 *1051:7 *1051:8 245.858 -3 *1051:8 *1051:10 4.5 -4 *1051:10 *2472:la_data_out_core[40] 49.7081 -*END - -*D_NET *1052 0.0569562 -*CONN -*I *2472:la_data_out_core[41] I *D mgmt_protect -*I *2473:la_data_out[41] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[41] 2.27669e-05 -2 *2473:la_data_out[41] 0.00131921 -3 *1052:11 0.00202079 -4 *1052:10 0.00199802 -5 *1052:8 0.00215406 -6 *1052:7 0.00347327 -7 *1052:8 *1053:8 0.0237625 -8 *1052:8 *1057:8 0.0207187 -9 *1052:8 *1435:8 0.000298839 -10 *1052:8 *1436:8 0.000903336 -11 *1052:11 *1436:5 0 -12 *2473:la_data_in[29] *1052:11 0 -13 *796:7 *1052:11 0 -14 *831:5 *1052:7 0 -15 *1038:5 *1052:11 0.000284715 -16 *1046:8 *1052:8 0 -*RES -1 *2473:la_data_out[41] *1052:7 35.937 -2 *1052:7 *1052:8 258.614 -3 *1052:8 *1052:10 4.5 -4 *1052:10 *1052:11 52.7004 -5 *1052:11 *2472:la_data_out_core[41] 0.647305 -*END - -*D_NET *1053 0.0590407 -*CONN -*I *2472:la_data_out_core[42] I *D mgmt_protect -*I *2473:la_data_out[42] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[42] 0.00201326 -2 *2473:la_data_out[42] 0.00130461 -3 *1053:10 0.00201326 -4 *1053:8 0.00252228 -5 *1053:7 0.00382689 -6 *2472:la_data_out_core[42] *2473:la_oenb[29] 0.000297677 -7 *2472:la_data_out_core[42] *1436:5 0 -8 *2472:la_data_out_core[42] *1437:7 0 -9 *1053:8 *1057:8 0.000895002 -10 *1053:8 *1435:8 0.0224053 -11 *797:7 *2472:la_data_out_core[42] 0 -12 *798:7 *2472:la_data_out_core[42] 0 -13 *820:8 *1053:8 0 -14 *1038:5 *2472:la_data_out_core[42] 0 -15 *1052:8 *1053:8 0.0237625 -*RES -1 *2473:la_data_out[42] *1053:7 35.5218 -2 *1053:7 *1053:8 272.479 -3 *1053:8 *1053:10 4.5 -4 *1053:10 *2472:la_data_out_core[42] 53.0301 -*END - -*D_NET *1054 0.0481189 -*CONN -*I *2472:la_data_out_core[43] I *D mgmt_protect -*I *2473:la_data_out[43] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[43] 0.00103237 -2 *2473:la_data_out[43] 0.00165465 -3 *1054:18 0.00209471 -4 *1054:17 0.00174813 -5 *1054:12 0.00234667 -6 *1054:10 0.00168151 -7 *1054:8 0.00161672 -8 *1054:7 0.00325074 -9 *2472:la_data_out_core[43] *1438:7 0 -10 *1054:7 *1476:7 8.62625e-06 -11 *1054:8 *1082:8 0 -12 *1054:8 *1085:8 6.08538e-05 -13 *1054:8 *1089:8 0.000523718 -14 *1054:8 *1439:14 0.0018007 -15 *1054:8 *1470:10 0.00052801 -16 *1054:8 *1474:10 0.00151983 -17 *1054:12 *1076:8 0 -18 *1054:12 *1082:8 1.41688e-05 -19 *1054:12 *1439:14 0.000562009 -20 *1054:12 *1461:8 0 -21 *1054:12 *1463:8 0 -22 *1054:17 *2473:la_oenb[34] 0 -23 *1054:17 *1452:7 0 -24 *1054:18 *1437:8 0.00382957 -25 *1054:18 *1448:8 0.00031258 -26 *792:12 *1054:12 0.00639663 -27 *795:8 *1054:18 0.00980994 -28 *798:7 *2472:la_data_out_core[43] 0 -29 *837:5 *1054:7 0.000248404 -30 *1048:8 *1054:12 0.00707834 -*RES -1 *2473:la_data_out[43] *1054:7 44.6573 -2 *1054:7 *1054:8 74.4857 -3 *1054:8 *1054:10 0.578717 -4 *1054:10 *1054:12 107.762 -5 *1054:12 *1054:17 24.5353 -6 *1054:17 *1054:18 103.88 -7 *1054:18 *2472:la_data_out_core[43] 32.615 -*END - -*D_NET *1055 0.0670208 -*CONN -*I *2472:la_data_out_core[44] I *D mgmt_protect -*I *2473:la_data_out[44] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[44] 0.00137231 -2 *2473:la_data_out[44] 0.00206942 -3 *1055:8 0.00382298 -4 *1055:7 0.00245067 -5 *1055:5 0.00206942 -6 *2472:la_data_out_core[44] *1439:7 0 -7 *1055:5 *2472:la_data_out_core[81] 0.000489931 -8 *1055:5 *1056:11 0 -9 *1055:5 *1480:7 2.72089e-05 -10 *1055:8 *1056:14 0.02713 -11 *1055:8 *1095:8 4.03856e-05 -12 *1055:8 *1424:8 4.17356e-05 -13 *1055:8 *1425:8 0 -14 *1055:8 *1438:8 0.000347135 -15 *1055:8 *1440:8 0.0271235 -16 *799:7 *2472:la_data_out_core[44] 0 -17 *840:5 *1055:5 0 -18 *1040:7 *2472:la_data_out_core[44] 3.61346e-05 -*RES -1 *2473:la_data_out[44] *1055:5 48.4624 -2 *1055:5 *1055:7 4.5 -3 *1055:7 *1055:8 299.1 -4 *1055:8 *2472:la_data_out_core[44] 40.0896 -*END - -*D_NET *1056 0.0699579 -*CONN -*I *2472:la_data_out_core[45] I *D mgmt_protect -*I *2473:la_data_out[45] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[45] 0.00139295 -2 *2473:la_data_out[45] 0.0013524 -3 *1056:14 0.0036502 -4 *1056:13 0.00225726 -5 *1056:11 0.000834195 -6 *1056:7 0.0021866 -7 *2472:la_data_out_core[45] *2473:la_oenb[30] 4.93854e-05 -8 *2472:la_data_out_core[45] *1439:7 0 -9 *2472:la_data_out_core[45] *1440:7 0 -10 *1056:11 *2472:la_data_out_core[81] 0 -11 *1056:11 *1057:8 0.000556925 -12 *1056:11 *1091:8 0.0023187 -13 *1056:11 *1481:10 0.00106121 -14 *1056:14 *1095:8 0.000139256 -15 *1056:14 *1438:8 0.0260405 -16 *1056:14 *1477:10 8.47539e-05 -17 *2473:la_data_in[31] *2472:la_data_out_core[45] 0 -18 *799:14 *1056:14 1.75155e-06 -19 *800:7 *2472:la_data_out_core[45] 0 -20 *840:5 *1056:11 0.000901806 -21 *1055:5 *1056:11 0 -22 *1055:8 *1056:14 0.02713 -*RES -1 *2473:la_data_out[45] *1056:7 35.5218 -2 *1056:7 *1056:11 46.2677 -3 *1056:11 *1056:13 4.5 -4 *1056:13 *1056:14 288.008 -5 *1056:14 *2472:la_data_out_core[45] 40.5048 -*END - -*D_NET *1057 0.0692406 -*CONN -*I *2472:la_data_out_core[46] I *D mgmt_protect -*I *2473:la_data_out[46] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[46] 0.00214814 -2 *2473:la_data_out[46] 0.00139526 -3 *1057:10 0.00214814 -4 *1057:8 0.00279568 -5 *1057:7 0.00419094 -6 *2472:la_data_out_core[46] *1440:7 0 -7 *2472:la_data_out_core[46] *1441:5 0 -8 *1057:8 *1091:8 0.000721383 -9 *1057:8 *1436:8 0.000113197 -10 *1057:8 *1441:8 0.0305015 -11 *1057:8 *1481:10 0.00305573 -12 *2473:la_data_in[31] *2472:la_data_out_core[46] 0 -13 *801:7 *2472:la_data_out_core[46] 0 -14 *846:5 *1057:7 0 -15 *1041:5 *2472:la_data_out_core[46] 0 -16 *1052:8 *1057:8 0.0207187 -17 *1053:8 *1057:8 0.000895002 -18 *1056:11 *1057:8 0.000556925 -*RES -1 *2473:la_data_out[46] *1057:7 36.3523 -2 *1057:7 *1057:8 325.721 -3 *1057:8 *1057:10 4.5 -4 *1057:10 *2472:la_data_out_core[46] 52.1996 -*END - -*D_NET *1058 0.0754708 -*CONN -*I *2472:la_data_out_core[47] I *D mgmt_protect -*I *2473:la_data_out[47] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[47] 0.00134941 -2 *2473:la_data_out[47] 0.002218 -3 *1058:8 0.00415906 -4 *1058:7 0.00280965 -5 *1058:5 0.002218 -6 *2472:la_data_out_core[47] *1441:5 0 -7 *2472:la_data_out_core[47] *1442:7 0 -8 *1058:5 *2472:la_data_out_core[89] 0.000489505 -9 *1058:5 *1442:11 0 -10 *1058:5 *1488:7 0 -11 *1058:8 *1060:8 0.0304049 -12 *1058:8 *1425:8 5.96914e-05 -13 *1058:8 *1440:8 0.000113197 -14 *1058:8 *1442:8 0.0316494 -15 *802:7 *2472:la_data_out_core[47] 0 -16 *848:7 *1058:5 0 -17 *1041:5 *2472:la_data_out_core[47] 0 -18 *1042:8 *1058:8 0 -*RES -1 *2473:la_data_out[47] *1058:5 49.7081 -2 *1058:5 *1058:7 4.5 -3 *1058:7 *1058:8 339.032 -4 *1058:8 *2472:la_data_out_core[47] 38.8438 -*END - -*D_NET *1059 0.0785179 -*CONN -*I *2472:la_data_out_core[48] I *D mgmt_protect -*I *2473:la_data_out[48] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[48] 0.00211118 -2 *2473:la_data_out[48] 0.00148008 -3 *1059:10 0.00211118 -4 *1059:8 0.00255758 -5 *1059:7 0.00403766 -6 *2472:la_data_out_core[48] *2473:la_oenb[31] 0 -7 *2472:la_data_out_core[48] *1442:7 0 -8 *2472:la_data_out_core[48] *1443:5 0 -9 *1059:7 *2472:la_data_out_core[91] 0 -10 *1059:8 *1436:8 0.0198288 -11 *1059:8 *1441:8 0.00013491 -12 *1059:8 *1443:8 0.0330055 -13 *1059:8 *1472:10 0.0131378 -14 *2473:la_data_in[32] *2472:la_data_out_core[48] 0 -15 *803:7 *2472:la_data_out_core[48] 0 -16 *1046:8 *1059:8 0.000113197 -*RES -1 *2473:la_data_out[48] *1059:7 37.598 -2 *1059:7 *1059:8 352.342 -3 *1059:8 *1059:10 4.5 -4 *1059:10 *2472:la_data_out_core[48] 50.9539 -*END - -*D_NET *1060 0.0793096 -*CONN -*I *2472:la_data_out_core[49] I *D mgmt_protect -*I *2473:la_data_out[49] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[49] 0.00133482 -2 *2473:la_data_out[49] 0.00244996 -3 *1060:8 0.00444707 -4 *1060:7 0.00311225 -5 *1060:5 0.00244996 -6 *2472:la_data_out_core[49] *1443:5 0 -7 *2472:la_data_out_core[49] *1444:7 0 -8 *1060:5 *2472:la_data_out_core[94] 0 -9 *1060:8 *1062:8 0.0336668 -10 *1060:8 *1106:8 0.000630393 -11 *1060:8 *1442:8 0.000233938 -12 *1060:8 *1444:8 0.00020979 -13 *1060:8 *1488:8 2.50864e-05 -14 *1060:8 *1493:8 0.000344639 -15 *2473:la_data_in[32] *2472:la_data_out_core[49] 0 -16 *787:8 *1060:8 0 -17 *804:7 *2472:la_data_out_core[49] 0 -18 *855:5 *1060:5 0 -19 *1042:5 *2472:la_data_out_core[49] 0 -20 *1042:8 *1060:8 0 -21 *1058:8 *1060:8 0.0304049 -*RES -1 *2473:la_data_out[49] *1060:5 50.1234 -2 *1060:5 *1060:7 4.5 -3 *1060:7 *1060:8 365.653 -4 *1060:8 *2472:la_data_out_core[49] 38.4285 -*END - -*D_NET *1061 0.0533596 -*CONN -*I *2472:la_data_out_core[4] I *D mgmt_protect -*I *2473:la_data_out[4] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[4] 0.00115162 -2 *2473:la_data_out[4] 0.00231933 -3 *1061:8 0.00314275 -4 *1061:7 0.00199113 -5 *1061:5 0.00231933 -6 *2472:la_data_out_core[4] *1445:7 0 -7 *1061:5 *2472:mprj_dat_i_user[7] 0.000176075 -8 *1061:5 *1774:5 0 -9 *1061:8 *1434:8 0.000113197 -10 *1061:8 *1445:8 0.000157173 -11 *1061:8 *1644:8 4.91225e-06 -12 *1061:8 *1711:8 0.000189584 -13 *805:5 *2472:la_data_out_core[4] 0 -14 *816:8 *1061:8 0.0205416 -15 *1050:8 *1061:8 0.0212529 -*RES -1 *2473:la_data_out[4] *1061:5 52.6149 -2 *1061:5 *1061:7 4.5 -3 *1061:7 *1061:8 233.657 -4 *1061:8 *2472:la_data_out_core[4] 35.937 -*END - -*D_NET *1062 0.0838944 -*CONN -*I *2472:la_data_out_core[50] I *D mgmt_protect -*I *2473:la_data_out[50] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[50] 0.00129765 -2 *2473:la_data_out[50] 0.00227678 -3 *1062:8 0.00433256 -4 *1062:7 0.00303491 -5 *1062:5 0.00227678 -6 *2472:la_data_out_core[50] *2473:la_oenb[32] 5.93521e-05 -7 *2472:la_data_out_core[50] *1444:7 0 -8 *2472:la_data_out_core[50] *1446:5 0 -9 *1062:5 *1113:11 0.000875879 -10 *1062:8 *1063:8 0.000565984 -11 *1062:8 *1112:8 0.000785032 -12 *1062:8 *1444:8 0.0343401 -13 *1062:8 *1493:8 0.000172773 -14 *1062:8 *1495:8 0.00020979 -15 *806:7 *2472:la_data_out_core[50] 0 -16 *857:5 *1062:5 0 -17 *1042:5 *2472:la_data_out_core[50] 0 -18 *1060:8 *1062:8 0.0336668 -*RES -1 *2473:la_data_out[50] *1062:5 50.5386 -2 *1062:5 *1062:7 4.5 -3 *1062:7 *1062:8 378.963 -4 *1062:8 *2472:la_data_out_core[50] 38.0133 -*END - -*D_NET *1063 0.0848529 -*CONN -*I *2472:la_data_out_core[51] I *D mgmt_protect -*I *2473:la_data_out[51] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[51] 0.00127936 -2 *2473:la_data_out[51] 0.00262043 -3 *1063:8 0.00476209 -4 *1063:7 0.00348273 -5 *1063:5 0.00262043 -6 *2472:la_data_out_core[51] *1447:7 0 -7 *1063:5 *1374:7 0 -8 *1063:5 *1449:17 0 -9 *1063:8 *1065:8 0.0353355 -10 *1063:8 *1112:8 0.000424402 -11 *1063:8 *1444:8 0.0335139 -12 *1063:8 *1499:8 0.000110684 -13 *2473:la_data_in[33] *2472:la_data_out_core[51] 0.000137372 -14 *788:8 *1063:8 0 -15 *807:7 *2472:la_data_out_core[51] 0 -16 *1062:8 *1063:8 0.000565984 -*RES -1 *2473:la_data_out[51] *1063:5 51.3691 -2 *1063:5 *1063:7 4.5 -3 *1063:7 *1063:8 391.719 -4 *1063:8 *2472:la_data_out_core[51] 37.9156 -*END - -*D_NET *1064 0.0730299 -*CONN -*I *2472:la_data_out_core[52] I *D mgmt_protect -*I *2473:la_data_out[52] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[52] 0.000942352 -2 *2473:la_data_out[52] 0.00228393 -3 *1064:14 0.00359199 -4 *1064:13 0.00322219 -5 *1064:8 0.00353193 -6 *1064:7 0.00295938 -7 *1064:5 0.00228393 -8 *2472:la_data_out_core[52] *1447:7 0 -9 *2472:la_data_out_core[52] *1448:7 0 -10 *1064:8 *1066:8 0.000600274 -11 *1064:8 *1108:8 0.000143882 -12 *1064:8 *1437:14 0.0084629 -13 *1064:8 *1447:14 0.0265279 -14 *1064:8 *1477:10 0.00739831 -15 *1064:13 *1066:13 0 -16 *1064:14 *1066:14 0.00856268 -17 *1064:14 *1439:8 0 -18 *1064:14 *1447:8 0 -19 *1064:14 *1448:8 0 -20 *1064:14 *1449:8 8.47539e-05 -21 *1064:14 *1450:10 0.00023441 -22 *1064:14 *1451:8 1.41689e-05 -23 *1064:14 *1462:8 0.000171288 -24 *798:8 *1064:8 0.000167258 -25 *799:8 *1064:8 0.000101869 -26 *799:14 *1064:8 0.00142007 -27 *808:7 *2472:la_data_out_core[52] 0 -28 *823:5 *1064:13 0.000324473 -*RES -1 *2473:la_data_out[52] *1064:5 45.5556 -2 *1064:5 *1064:7 4.5 -3 *1064:7 *1064:8 296.882 -4 *1064:8 *1064:13 23.7048 -5 *1064:13 *1064:14 109.426 -6 *1064:14 *2472:la_data_out_core[52] 28.4625 -*END - -*D_NET *1065 0.0911409 -*CONN -*I *2472:la_data_out_core[53] I *D mgmt_protect -*I *2473:la_data_out[53] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[53] 0.00127141 -2 *2473:la_data_out[53] 0.00222152 -3 *1065:8 0.00489744 -4 *1065:7 0.00362603 -5 *1065:5 0.00222152 -6 *2472:la_data_out_core[53] *2473:la_oenb[33] 5.82975e-05 -7 *2472:la_data_out_core[53] *1448:7 0 -8 *2472:la_data_out_core[53] *1449:7 0 -9 *1065:8 *1067:10 0.0378395 -10 *1065:8 *1374:8 0.00134021 -11 *1065:8 *1378:8 0.000538827 -12 *1065:8 *1499:8 0.000120705 -13 *2473:la_data_in[34] *2472:la_data_out_core[53] 0 -14 *788:8 *1065:8 0 -15 *796:8 *1065:8 0 -16 *809:7 *2472:la_data_out_core[53] 0 -17 *995:11 *1065:5 0.0016699 -18 *1063:8 *1065:8 0.0353355 -*RES -1 *2473:la_data_out[53] *1065:5 51.7844 -2 *1065:5 *1065:7 4.5 -3 *1065:7 *1065:8 418.895 -4 *1065:8 *2472:la_data_out_core[53] 36.7675 -*END - -*D_NET *1066 0.0925999 -*CONN -*I *2472:la_data_out_core[54] I *D mgmt_protect -*I *2473:la_data_out[54] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[54] 0.00094822 -2 *2473:la_data_out[54] 0.00220382 -3 *1066:14 0.00173837 -4 *1066:13 0.00153373 -5 *1066:8 0.00378699 -6 *1066:7 0.00524723 -7 *2472:la_data_out_core[54] *1449:7 0 -8 *2472:la_data_out_core[54] *1450:7 0 -9 *1066:7 *1451:17 0.000198577 -10 *1066:8 *1076:8 0.0321159 -11 *1066:8 *1108:8 0.000236924 -12 *1066:8 *1381:10 0.000217951 -13 *1066:8 *1447:14 0.0267361 -14 *1066:8 *1477:10 0.000682903 -15 *1066:13 *1462:7 8.62625e-06 -16 *1066:14 *1449:8 0.000264018 -17 *1066:14 *1451:8 0.00751763 -18 *2472:la_data_out_core[107] *1066:7 0 -19 *810:7 *2472:la_data_out_core[54] 0 -20 *822:5 *1066:13 0 -21 *823:5 *1066:13 0 -22 *1064:8 *1066:8 0.000600274 -23 *1064:13 *1066:13 0 -24 *1064:14 *1066:14 0.00856268 -*RES -1 *2473:la_data_out[54] *1066:7 49.2251 -2 *1066:7 *1066:8 341.805 -3 *1066:8 *1066:13 24.9506 -4 *1066:13 *1066:14 91.1238 -5 *1066:14 *2472:la_data_out_core[54] 28.0472 -*END - -*D_NET *1067 0.0966822 -*CONN -*I *2472:la_data_out_core[55] I *D mgmt_protect -*I *2473:la_data_out[55] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[55] 0.00122704 -2 *2473:la_data_out[55] 0.000228735 -3 *1067:10 0.00502029 -4 *1067:9 0.00379325 -5 *1067:7 0.00270138 -6 *1067:5 0.00293012 -7 *2472:la_data_out_core[55] *1437:13 0 -8 *2472:la_data_out_core[55] *1439:13 0.000321771 -9 *2472:la_data_out_core[55] *1450:7 0 -10 *2472:la_data_out_core[55] *1451:7 0 -11 *1067:7 *1073:13 8.29708e-05 -12 *1067:7 *1383:9 0 -13 *1067:10 *1069:12 0.0401247 -14 *1067:10 *1378:8 0.00109633 -15 *1067:10 *1382:8 0.00131609 -16 *2473:la_data_in[55] *1067:7 0 -17 *796:8 *1067:10 0 -18 *811:7 *2472:la_data_out_core[55] 0 -19 *1065:8 *1067:10 0.0378395 -*RES -1 *2473:la_data_out[55] *1067:5 2.33274 -2 *1067:5 *1067:7 52.2851 -3 *1067:7 *1067:9 4.5 -4 *1067:9 *1067:10 443.297 -5 *1067:10 *2472:la_data_out_core[55] 36.3523 -*END - -*D_NET *1068 0.100224 -*CONN -*I *2472:la_data_out_core[56] I *D mgmt_protect -*I *2473:la_data_out[56] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[56] 0.00093026 -2 *2473:la_data_out[56] 0.00167979 -3 *1068:14 0.00143513 -4 *1068:13 0.00149053 -5 *1068:8 0.00398534 -6 *1068:7 0.00467947 -7 *2472:la_data_out_core[56] *1451:7 0 -8 *2472:la_data_out_core[56] *1452:7 0 -9 *1068:7 *1387:5 0 -10 *1068:8 *1379:8 0.000253421 -11 *1068:8 *1387:10 0.000538827 -12 *1068:8 *1450:14 0.033884 -13 *1068:8 *1452:10 0.0372973 -14 *1068:8 *1494:14 0.00128045 -15 *1068:13 *2473:la_oenb[37] 0 -16 *1068:14 *1449:8 0.00595019 -17 *1068:14 *1451:8 0.00594597 -18 *812:7 *2472:la_data_out_core[56] 0 -19 *821:11 *1068:13 0.000588523 -20 *1004:17 *1068:7 0.000284233 -*RES -1 *2473:la_data_out[56] *1068:7 40.0896 -2 *1068:7 *1068:8 395.601 -3 *1068:8 *1068:13 34.9166 -4 *1068:13 *1068:14 63.3936 -5 *1068:14 *2472:la_data_out_core[56] 27.2167 -*END - -*D_NET *1069 0.102621 -*CONN -*I *2472:la_data_out_core[57] I *D mgmt_protect -*I *2473:la_data_out[57] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[57] 0.00129945 -2 *2473:la_data_out[57] 0.00281396 -3 *1069:12 0.00473281 -4 *1069:10 0.00384548 -5 *1069:5 0.00322607 -6 *2472:la_data_out_core[57] *1452:7 0 -7 *2472:la_data_out_core[57] *1453:7 0 -8 *1069:5 *1390:5 0 -9 *1069:10 *1385:8 0.00128951 -10 *1069:10 *1389:8 0.000950798 -11 *1069:10 *1453:12 0.00389262 -12 *1069:12 *1382:8 8.66138e-05 -13 *1069:12 *1385:8 0.000171288 -14 *1069:12 *1453:8 0.0401714 -15 *1069:12 *1453:12 1.67988e-05 -16 *2472:la_data_out_core[115] *1069:5 0 -17 *796:8 *1069:12 0 -18 *813:7 *2472:la_data_out_core[57] 0 -19 *1067:10 *1069:12 0.0401247 -*RES -1 *2473:la_data_out[57] *1069:5 53.0301 -2 *1069:5 *1069:10 46.2882 -3 *1069:10 *1069:12 431.096 -4 *1069:12 *2472:la_data_out_core[57] 35.937 -*END - -*D_NET *1070 0.104885 -*CONN -*I *2472:la_data_out_core[58] I *D mgmt_protect -*I *2473:la_data_out[58] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[58] 0.00127721 -2 *2473:la_data_out[58] 0.00333474 -3 *1070:14 0.00471149 -4 *1070:13 0.00380321 -5 *1070:8 0.00161769 -6 *1070:7 0.00124876 -7 *1070:5 0.00333474 -8 *2472:la_data_out_core[58] *1453:7 0 -9 *2472:la_data_out_core[58] *1454:5 0 -10 *1070:8 *1458:14 0.000483488 -11 *1070:8 *1460:14 0.00600554 -12 *1070:13 *1385:7 0.000373157 -13 *1070:14 *1453:8 0.039583 -14 *1070:14 *1455:8 0.0385933 -15 *2472:la_data_out_core[111] *1070:13 0 -16 *753:7 *1070:5 0 -17 *754:5 *1070:5 0 -18 *796:8 *1070:14 0.000167409 -19 *814:7 *2472:la_data_out_core[58] 0 -20 *856:8 *1070:8 0.000351226 -*RES -1 *2473:la_data_out[58] *1070:5 61.3352 -2 *1070:5 *1070:7 4.5 -3 *1070:7 *1070:8 63.9482 -4 *1070:8 *1070:13 17.0608 -5 *1070:13 *1070:14 421.668 -6 *1070:14 *2472:la_data_out_core[58] 35.1065 -*END - -*D_NET *1071 0.110528 -*CONN -*I *2472:la_data_out_core[59] I *D mgmt_protect -*I *2473:la_data_out[59] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[59] 0.0017606 -2 *2473:la_data_out[59] 0.00183782 -3 *1071:10 0.0017606 -4 *1071:8 0.00376705 -5 *1071:7 0.00560487 -6 *2472:la_data_out_core[59] *1450:13 0.00115301 -7 *2472:la_data_out_core[59] *1454:5 0 -8 *2472:la_data_out_core[59] *1455:7 0 -9 *1071:8 *1393:14 0.00110368 -10 *1071:8 *1454:8 0.0456672 -11 *1071:8 *1457:10 0.000117922 -12 *1071:8 *1457:12 0.0446551 -13 *1071:8 *1465:14 8.66138e-05 -14 *1071:8 *1490:10 0.000473848 -15 *757:5 *1071:7 0 -16 *791:14 *1071:8 0.00204671 -17 *815:7 *2472:la_data_out_core[59] 0 -18 *1002:13 *1071:7 0.000447553 -19 *1004:13 *1071:7 4.58666e-05 -20 *1013:11 *1071:7 0 -*RES -1 *2473:la_data_out[59] *1071:7 41.7506 -2 *1071:7 *1071:8 498.757 -3 *1071:8 *1071:10 4.5 -4 *1071:10 *2472:la_data_out_core[59] 46.8014 -*END - -*D_NET *1072 0.0514992 -*CONN -*I *2472:la_data_out_core[5] I *D mgmt_protect -*I *2473:la_data_out[5] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[5] 0.00118425 -2 *2473:la_data_out[5] 0.00228362 -3 *1072:8 0.00289432 -4 *1072:7 0.00171008 -5 *1072:5 0.00228362 -6 *2472:la_data_out_core[5] *1456:7 0 -7 *1072:5 *2472:mprj_dat_i_user[10] 0 -8 *1072:5 *1614:7 7.65861e-05 -9 *1072:5 *1776:7 0 -10 *1072:8 *1445:8 0.0202847 -11 *1072:8 *1456:8 0.000233938 -12 *816:7 *2472:la_data_out_core[5] 0 -13 *816:8 *1072:8 0.0205481 -14 *816:11 *1072:5 0 -*RES -1 *2473:la_data_out[5] *1072:5 51.7844 -2 *1072:5 *1072:7 4.5 -3 *1072:7 *1072:8 220.901 -4 *1072:8 *2472:la_data_out_core[5] 36.7675 -*END - -*D_NET *1073 0.0679458 -*CONN -*I *2472:la_data_out_core[60] I *D mgmt_protect -*I *2473:la_data_out[60] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[60] 2.27669e-05 -2 *2473:la_data_out[60] 0.000538139 -3 *1073:17 0.00207932 -4 *1073:16 0.00205655 -5 *1073:14 0.00922983 -6 *1073:13 0.00955821 -7 *1073:8 0.00269348 -8 *1073:7 0.00290324 -9 *1073:17 *2473:la_oenb[36] 0 -10 *1073:17 *1447:13 0.000959915 -11 *1073:17 *1448:13 0.000260534 -12 *1073:17 *1457:5 0.000739351 -13 *817:7 *1073:17 0 -14 *817:10 *1073:14 0.0105174 -15 *817:14 *1073:14 0.0146589 -16 *818:5 *1073:17 0 -17 *840:8 *1073:8 0.0102246 -18 *853:10 *1073:14 0 -19 *855:8 *1073:14 0 -20 *857:8 *1073:14 0 -21 *859:8 *1073:14 0 -22 *991:8 *1073:14 0 -23 *993:8 *1073:14 8.76492e-05 -24 *995:8 *1073:14 0.000264355 -25 *997:8 *1073:14 0.000391181 -26 *999:8 *1073:14 0.000417084 -27 *1046:7 *1073:17 0.000260314 -28 *1067:7 *1073:13 8.29708e-05 -*RES -1 *2473:la_data_out[60] *1073:7 16.8354 -2 *1073:7 *1073:8 107.762 -3 *1073:8 *1073:13 16.2303 -4 *1073:13 *1073:14 403.92 -5 *1073:14 *1073:16 4.5 -6 *1073:16 *1073:17 64.7427 -7 *1073:17 *2472:la_data_out_core[60] 0.647305 -*END - -*D_NET *1074 0.113 -*CONN -*I *2472:la_data_out_core[61] I *D mgmt_protect -*I *2473:la_data_out[61] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[61] 0.000270725 -2 *2473:la_data_out[61] 0.00406525 -3 *1074:16 0.00414426 -4 *1074:14 0.00422445 -5 *1074:8 0.00102605 -6 *1074:7 0.000675131 -7 *1074:5 0.00406525 -8 *2472:la_data_out_core[61] *1458:7 0 -9 *1074:5 *1402:7 0.00037344 -10 *1074:8 *1077:8 0.00658903 -11 *1074:14 *1077:8 0.000700705 -12 *1074:16 *1077:8 0.0373091 -13 *2472:la_data_out_core[126] *1074:5 0.000494052 -14 *486:21 *1074:8 0 -15 *763:5 *1074:5 0 -16 *812:8 *1074:16 0.0384273 -17 *814:8 *1074:16 0.000580091 -18 *814:16 *1074:14 0.00120541 -19 *815:8 *1074:8 0.000811595 -20 *815:8 *1074:14 0.00283866 -21 *815:8 *1074:16 0.000523547 -22 *818:5 *2472:la_data_out_core[61] 0 -23 *1012:14 *1074:8 0.00467562 -*RES -1 *2473:la_data_out[61] *1074:5 77.53 -2 *1074:5 *1074:7 4.5 -3 *1074:7 *1074:8 70.6034 -4 *1074:8 *1074:14 31.5521 -5 *1074:14 *1074:16 424.718 -6 *1074:16 *2472:la_data_out_core[61] 11.0219 -*END - -*D_NET *1075 0.118437 -*CONN -*I *2472:la_data_out_core[62] I *D mgmt_protect -*I *2473:la_data_out[62] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[62] 0.000833164 -2 *2473:la_data_out[62] 0.00348659 -3 *1075:14 0.00509646 -4 *1075:13 0.00465498 -5 *1075:8 0.0009299 -6 *1075:7 0.000538218 -7 *1075:5 0.00348659 -8 *2472:la_data_out_core[62] *1459:5 0 -9 *1075:5 *2472:user_irq_core[1] 0 -10 *1075:5 *2472:user_irq_core[2] 4.59193e-05 -11 *1075:8 *1460:18 0.00598173 -12 *1075:8 *1462:8 0.00597332 -13 *1075:14 *1086:8 0 -14 *1075:14 *1088:8 6.0055e-05 -15 *1075:14 *1090:8 0.000243701 -16 *1075:14 *1455:8 1.41853e-05 -17 *1075:14 *1455:14 0.00244356 -18 *1075:14 *1458:8 0.0420935 -19 *1075:14 *1471:8 0 -20 *1075:14 *1473:8 9.48476e-05 -21 *1075:14 *1475:8 0.0329946 -22 *757:5 *1075:13 0 -23 *796:8 *1075:14 0.00776607 -24 *819:7 *2472:la_data_out_core[62] 0.00169922 -25 *1013:11 *1075:13 0 -*RES -1 *2473:la_data_out[62] *1075:5 60.9199 -2 *1075:5 *1075:7 4.5 -3 *1075:7 *1075:8 63.9482 -4 *1075:8 *1075:13 15.3998 -5 *1075:13 *1075:14 474.909 -6 *1075:14 *2472:la_data_out_core[62] 33.8608 -*END - -*D_NET *1076 0.114134 -*CONN -*I *2472:la_data_out_core[63] I *D mgmt_protect -*I *2473:la_data_out[63] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[63] 0.00174984 -2 *2473:la_data_out[63] 0.00247718 -3 *1076:8 0.00722963 -4 *1076:7 0.00795697 -5 *2472:la_data_out_core[63] *1460:7 0 -6 *1076:8 *1108:8 0.000344308 -7 *1076:8 *1381:10 0.000694433 -8 *1076:8 *1383:15 0.00283686 -9 *1076:8 *1397:8 0.00658974 -10 *1076:8 *1447:14 0.000759171 -11 *1076:8 *1461:8 0.0509252 -12 *1076:8 *1477:10 0.000455138 -13 *792:12 *1076:8 0 -14 *820:5 *2472:la_data_out_core[63] 0 -15 *1054:12 *1076:8 0 -16 *1066:8 *1076:8 0.0321159 -*RES -1 *2473:la_data_out[63] *1076:7 48.8099 -2 *1076:7 *1076:8 552.554 -3 *1076:8 *2472:la_data_out_core[63] 44.2421 -*END - -*D_NET *1077 0.123268 -*CONN -*I *2472:la_data_out_core[64] I *D mgmt_protect -*I *2473:la_data_out[64] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[64] 0.000240847 -2 *2473:la_data_out[64] 0.00441582 -3 *1077:8 0.00506926 -4 *1077:7 0.00482841 -5 *1077:5 0.00441582 -6 *2472:la_data_out_core[64] *1461:7 0 -7 *1077:8 *1078:8 0.0521304 -8 *814:8 *1077:8 0.000291294 -9 *814:16 *1077:8 0.00119699 -10 *821:9 *2472:la_data_out_core[64] 0.000105145 -11 *821:11 *2472:la_data_out_core[64] 0.000178538 -12 *1012:14 *1077:8 0.000240563 -13 *1019:14 *1077:8 0.00555602 -14 *1074:8 *1077:8 0.00658903 -15 *1074:14 *1077:8 0.000700705 -16 *1074:16 *1077:8 0.0373091 -*RES -1 *2473:la_data_out[64] *1077:5 77.1148 -2 *1077:5 *1077:7 4.5 -3 *1077:7 *1077:8 564.755 -4 *1077:8 *2472:la_data_out_core[64] 12.17 -*END - -*D_NET *1078 0.12624 -*CONN -*I *2472:la_data_out_core[65] I *D mgmt_protect -*I *2473:la_data_out[65] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[65] 0.000313598 -2 *2473:la_data_out[65] 0.00436664 -3 *1078:8 0.00515911 -4 *1078:7 0.00484552 -5 *1078:5 0.00436664 -6 *2472:la_data_out_core[65] *1462:7 0 -7 *814:8 *1078:8 0.0382149 -8 *822:5 *2472:la_data_out_core[65] 0 -9 *832:8 *1078:8 5.9852e-05 -10 *1007:14 *1078:8 0.0158415 -11 *1019:14 *1078:8 0.000941784 -12 *1077:8 *1078:8 0.0521304 -*RES -1 *2473:la_data_out[65] *1078:5 76.6995 -2 *1078:5 *1078:7 4.5 -3 *1078:7 *1078:8 579.175 -4 *1078:8 *2472:la_data_out_core[65] 11.8524 -*END - -*D_NET *1079 0.128681 -*CONN -*I *2472:la_data_out_core[66] I *D mgmt_protect -*I *2473:la_data_out[66] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[66] 0.00103095 -2 *2473:la_data_out[66] 0.00341662 -3 *1079:8 0.00608989 -4 *1079:7 0.00505894 -5 *1079:5 0.00341662 -6 *2472:la_data_out_core[66] *1463:7 0 -7 *1079:8 *1099:8 0.000902565 -8 *1079:8 *1462:8 0.0541904 -9 *1079:8 *1464:8 0.054575 -10 *823:5 *2472:la_data_out_core[66] 0 -11 *856:8 *1079:8 0 -*RES -1 *2473:la_data_out[66] *1079:5 60.0894 -2 *1079:5 *1079:7 4.5 -3 *1079:7 *1079:8 591.931 -4 *1079:8 *2472:la_data_out_core[66] 28.4625 -*END - -*D_NET *1080 0.132906 -*CONN -*I *2472:la_data_out_core[67] I *D mgmt_protect -*I *2473:la_data_out[67] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[67] 0.000973492 -2 *2473:la_data_out[67] 0.00238942 -3 *1080:16 0.00190606 -4 *1080:8 0.00555076 -5 *1080:7 0.00700761 -6 *2472:la_data_out_core[67] *1464:7 0 -7 *1080:8 *1082:8 0.0553927 -8 *1080:8 *1463:8 0.0540183 -9 *1080:8 *1477:10 0 -10 *1080:8 *1483:10 0.00204188 -11 *1080:16 *2472:la_data_out_core[69] 0.000231683 -12 *1080:16 *1449:8 0.00167272 -13 *1080:16 *1451:8 0.00166851 -14 *1080:16 *1466:7 5.33266e-05 -15 *761:17 *1080:7 0 -16 *824:5 *2472:la_data_out_core[67] 0 -*RES -1 *2473:la_data_out[67] *1080:7 47.5641 -2 *1080:7 *1080:8 588.049 -3 *1080:8 *1080:16 49.8582 -4 *1080:16 *2472:la_data_out_core[67] 22.7167 -*END - -*D_NET *1081 0.136062 -*CONN -*I *2472:la_data_out_core[68] I *D mgmt_protect -*I *2473:la_data_out[68] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[68] 0.000749405 -2 *2473:la_data_out[68] 0.00334622 -3 *1081:8 0.00588505 -4 *1081:7 0.00513564 -5 *1081:5 0.00334622 -6 *2472:la_data_out_core[68] *1465:10 0 -7 *1081:8 *1099:8 0.00209504 -8 *1081:8 *1464:8 0.0568055 -9 *1081:8 *1465:10 0.00013978 -10 *1081:8 *1466:8 0.0571564 -11 *795:8 *1081:8 0 -12 *825:7 *2472:la_data_out_core[68] 0.00140249 -13 *856:8 *1081:8 0 -*RES -1 *2473:la_data_out[68] *1081:5 59.2589 -2 *1081:5 *1081:7 4.5 -3 *1081:7 *1081:8 618.552 -4 *1081:8 *2472:la_data_out_core[68] 29.293 -*END - -*D_NET *1082 0.136068 -*CONN -*I *2472:la_data_out_core[69] I *D mgmt_protect -*I *2473:la_data_out[69] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[69] 0.00169525 -2 *2473:la_data_out[69] 0.00235022 -3 *1082:8 0.00727597 -4 *1082:7 0.00793094 -5 *2472:la_data_out_core[69] *1465:13 0.000201199 -6 *2472:la_data_out_core[69] *1466:7 0 -7 *1082:8 *1085:8 0.0581076 -8 *1082:8 *1463:8 6.49003e-05 -9 *1082:8 *1477:10 0 -10 *1082:8 *1483:10 0.0028038 -11 *2473:la_data_in[40] *2472:la_data_out_core[69] 0 -12 *826:5 *2472:la_data_out_core[69] 0 -13 *1054:8 *1082:8 0 -14 *1054:12 *1082:8 1.41688e-05 -15 *1080:8 *1082:8 0.0553927 -16 *1080:16 *2472:la_data_out_core[69] 0.000231683 -*RES -1 *2473:la_data_out[69] *1082:7 47.1488 -2 *1082:7 *1082:8 632.417 -3 *1082:8 *2472:la_data_out_core[69] 45.9031 -*END - -*D_NET *1083 0.0481413 -*CONN -*I *2472:la_data_out_core[6] I *D mgmt_protect -*I *2473:la_data_out[6] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[6] 0.0013274 -2 *2473:la_data_out[6] 0.00208424 -3 *1083:8 0.00291571 -4 *1083:7 0.00158831 -5 *1083:5 0.00208424 -6 *2472:la_data_out_core[6] *1467:7 0 -7 *1083:5 *2472:mprj_dat_i_user[12] 0 -8 *1083:5 *1617:5 0 -9 *1083:5 *1685:16 3.20069e-06 -10 *1083:8 *1105:8 0.0155915 -11 *1083:8 *1478:8 0.000582572 -12 *1083:8 *1691:14 0.00510047 -13 *1083:8 *1752:10 4.51518e-05 -14 *1083:8 *1752:12 0.00247657 -15 *827:7 *2472:la_data_out_core[6] 0 -16 *838:7 *2472:la_data_out_core[6] 0 -17 *838:8 *1083:8 0.000103234 -18 *860:8 *1083:8 0.0142387 -*RES -1 *2473:la_data_out[6] *1083:5 48.4624 -2 *1083:5 *1083:7 4.5 -3 *1083:7 *1083:8 207.591 -4 *1083:8 *2472:la_data_out_core[6] 40.0896 -*END - -*D_NET *1084 0.141586 -*CONN -*I *2472:la_data_out_core[70] I *D mgmt_protect -*I *2473:la_data_out[70] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[70] 0.000774366 -2 *2473:la_data_out[70] 0.00326984 -3 *1084:8 0.00606283 -4 *1084:7 0.00528846 -5 *1084:5 0.00326984 -6 *2472:la_data_out_core[70] *1468:7 0 -7 *1084:8 *1099:8 0.00235331 -8 *1084:8 *1466:8 0.0593869 -9 *1084:8 *1469:8 0.0597314 -10 *796:8 *1084:8 0 -11 *828:7 *2472:la_data_out_core[70] 0.00144949 -*RES -1 *2473:la_data_out[70] *1084:5 58.4284 -2 *1084:5 *1084:7 4.5 -3 *1084:7 *1084:8 645.173 -4 *1084:8 *2472:la_data_out_core[70] 30.1235 -*END - -*D_NET *1085 0.14201 -*CONN -*I *2472:la_data_out_core[71] I *D mgmt_protect -*I *2473:la_data_out[71] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[71] 0.00190489 -2 *2473:la_data_out[71] 0.00230932 -3 *1085:8 0.00751628 -4 *1085:7 0.00792071 -5 *2472:la_data_out_core[71] *1469:7 0 -6 *1085:8 *1087:8 0.0606632 -7 *1085:8 *1470:10 0.000242981 -8 *1085:8 *1477:10 0 -9 *1085:8 *1483:10 0.00328423 -10 *829:5 *2472:la_data_out_core[71] 0 -11 *830:5 *2472:la_data_out_core[71] 0 -12 *1054:8 *1085:8 6.08538e-05 -13 *1082:8 *1085:8 0.0581076 -*RES -1 *2473:la_data_out[71] *1085:7 46.7336 -2 *1085:7 *1085:8 659.038 -3 *1085:8 *2472:la_data_out_core[71] 46.3183 -*END - -*D_NET *1086 0.146634 -*CONN -*I *2472:la_data_out_core[72] I *D mgmt_protect -*I *2473:la_data_out[72] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[72] 0.000983368 -2 *2473:la_data_out[72] 0.00319216 -3 *1086:8 0.0063809 -4 *1086:7 0.00539753 -5 *1086:5 0.00319216 -6 *2472:la_data_out_core[72] *1470:9 0.000418015 -7 *1086:8 *1469:8 0.0619683 -8 *1086:8 *1471:8 0.0623063 -9 *1086:8 *1484:8 0.00279501 -10 *796:8 *1086:8 0 -11 *830:5 *2472:la_data_out_core[72] 0 -12 *1075:14 *1086:8 0 -*RES -1 *2473:la_data_out[72] *1086:5 57.5979 -2 *1086:5 *1086:7 4.5 -3 *1086:7 *1086:8 671.794 -4 *1086:8 *2472:la_data_out_core[72] 30.954 -*END - -*D_NET *1087 0.147823 -*CONN -*I *2472:la_data_out_core[73] I *D mgmt_protect -*I *2473:la_data_out[73] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[73] 0.00193316 -2 *2473:la_data_out[73] 0.00225744 -3 *1087:8 0.00755766 -4 *1087:7 0.00788194 -5 *2472:la_data_out_core[73] *1471:7 0 -6 *1087:8 *1089:8 0.000622925 -7 *1087:8 *1470:10 0.063363 -8 *1087:8 *1483:10 0.00354326 -9 *831:5 *2472:la_data_out_core[73] 0 -10 *1085:8 *1087:8 0.0606632 -*RES -1 *2473:la_data_out[73] *1087:7 46.3183 -2 *1087:7 *1087:8 685.659 -3 *1087:8 *2472:la_data_out_core[73] 46.7336 -*END - -*D_NET *1088 0.152104 -*CONN -*I *2472:la_data_out_core[74] I *D mgmt_protect -*I *2473:la_data_out[74] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[74] 0.00121072 -2 *2473:la_data_out[74] 0.00311322 -3 *1088:8 0.00670429 -4 *1088:7 0.00549357 -5 *1088:5 0.00311322 -6 *2472:la_data_out_core[74] *1471:7 0 -7 *2472:la_data_out_core[74] *1472:7 0 -8 *1088:8 *1101:8 0.00299053 -9 *1088:8 *1471:8 0.0645433 -10 *1088:8 *1473:8 0.0648748 -11 *832:7 *2472:la_data_out_core[74] 0 -12 *1075:14 *1088:8 6.0055e-05 -*RES -1 *2473:la_data_out[74] *1088:5 56.7674 -2 *1088:5 *1088:7 4.5 -3 *1088:7 *1088:8 698.415 -4 *1088:8 *2472:la_data_out_core[74] 31.7845 -*END - -*D_NET *1089 0.152699 -*CONN -*I *2472:la_data_out_core[75] I *D mgmt_protect -*I *2473:la_data_out[75] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[75] 0.0019206 -2 *2473:la_data_out[75] 0.00220306 -3 *1089:8 0.00772848 -4 *1089:7 0.00801094 -5 *2472:la_data_out_core[75] *1473:7 0 -6 *1089:8 *1470:10 0.0618445 -7 *1089:8 *1474:10 0.0661204 -8 *1089:8 *1485:8 0.00343936 -9 *2473:la_data_in[42] *2472:la_data_out_core[75] 0.000284582 -10 *833:5 *2472:la_data_out_core[75] 0 -11 *1054:8 *1089:8 0.000523718 -12 *1087:8 *1089:8 0.000622925 -*RES -1 *2473:la_data_out[75] *1089:7 45.4878 -2 *1089:7 *1089:8 712.28 -3 *1089:8 *2472:la_data_out_core[75] 47.5641 -*END - -*D_NET *1090 0.157597 -*CONN -*I *2472:la_data_out_core[76] I *D mgmt_protect -*I *2473:la_data_out[76] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[76] 0.00126042 -2 *2473:la_data_out[76] 0.00304241 -3 *1090:8 0.00685667 -4 *1090:7 0.00559625 -5 *1090:5 0.00304241 -6 *2472:la_data_out_core[76] *1473:7 0 -7 *2472:la_data_out_core[76] *1474:9 0 -8 *1090:8 *1092:8 0.0669717 -9 *1090:8 *1473:8 0.0670989 -10 *1090:8 *1475:8 0.000226394 -11 *1090:8 *1486:8 0.00325845 -12 *834:7 *2472:la_data_out_core[76] 0 -13 *1075:14 *1090:8 0.000243701 -*RES -1 *2473:la_data_out[76] *1090:5 55.9369 -2 *1090:5 *1090:7 4.5 -3 *1090:7 *1090:8 725.59 -4 *1090:8 *2472:la_data_out_core[76] 32.615 -*END - -*D_NET *1091 0.152899 -*CONN -*I *2472:la_data_out_core[77] I *D mgmt_protect -*I *2473:la_data_out[77] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[77] 0.00219345 -2 *2473:la_data_out[77] 0.00151338 -3 *1091:10 0.00219345 -4 *1091:8 0.00590711 -5 *1091:7 0.00742049 -6 *2472:la_data_out_core[77] *1475:7 0 -7 *1091:8 *1093:8 0.00271971 -8 *1091:8 *1102:8 0.0623035 -9 *1091:8 *1107:8 0.0592726 -10 *1091:8 *1111:8 0.00317401 -11 *1091:8 *1481:10 0.00242746 -12 *2473:la_data_in[43] *2472:la_data_out_core[77] 0 -13 *820:8 *1091:8 0 -14 *835:7 *2472:la_data_out_core[77] 0.000733893 -15 *836:5 *2472:la_data_out_core[77] 0 -16 *1056:11 *1091:8 0.0023187 -17 *1057:8 *1091:8 0.000721383 -*RES -1 *2473:la_data_out[77] *1091:7 35.1065 -2 *1091:7 *1091:8 738.901 -3 *1091:8 *1091:10 4.5 -4 *1091:10 *2472:la_data_out_core[77] 53.4454 -*END - -*D_NET *1092 0.162881 -*CONN -*I *2472:la_data_out_core[78] I *D mgmt_protect -*I *2473:la_data_out[78] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[78] 0.00128043 -2 *2473:la_data_out[78] 0.00298231 -3 *1092:8 0.00735002 -4 *1092:7 0.00606959 -5 *1092:5 0.00298231 -6 *2472:la_data_out_core[78] *1476:7 0 -7 *1092:8 *1103:8 0.00495137 -8 *1092:8 *1475:8 0.069678 -9 *1092:8 *2415:10 0.00061538 -10 *836:5 *2472:la_data_out_core[78] 0 -11 *1090:8 *1092:8 0.0669717 -*RES -1 *2473:la_data_out[78] *1092:5 55.5217 -2 *1092:5 *1092:7 4.5 -3 *1092:7 *1092:8 752.211 -4 *1092:8 *2472:la_data_out_core[78] 33.0303 -*END - -*D_NET *1093 0.119224 -*CONN -*I *2472:la_data_out_core[79] I *D mgmt_protect -*I *2473:la_data_out[79] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[79] 0.00254791 -2 *2473:la_data_out[79] 0.00144037 -3 *1093:10 0.00254791 -4 *1093:8 0.0153807 -5 *1093:7 0.016821 -6 *2472:la_data_out_core[79] *2473:la_oenb[43] 0 -7 *2472:la_data_out_core[79] *1477:9 0 -8 *1093:8 *1102:8 0.0663777 -9 *1093:8 *1109:8 0.0034942 -10 *761:8 *1093:8 0.00135638 -11 *761:12 *1093:8 0.000367918 -12 *820:8 *1093:8 0 -13 *837:5 *2472:la_data_out_core[79] 0 -14 *1002:14 *1093:8 9.9943e-05 -15 *1004:14 *1093:8 0.00606286 -16 *1009:13 *1093:7 7.09666e-06 -17 *1091:8 *1093:8 0.00271971 -*RES -1 *2473:la_data_out[79] *1093:7 34.276 -2 *1093:7 *1093:8 765.522 -3 *1093:8 *1093:10 4.5 -4 *1093:10 *2472:la_data_out_core[79] 54.2759 -*END - -*D_NET *1094 0.045543 -*CONN -*I *2472:la_data_out_core[7] I *D mgmt_protect -*I *2473:la_data_out[7] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[7] 0.00125386 -2 *2473:la_data_out[7] 0.00202433 -3 *1094:8 0.00276803 -4 *1094:7 0.00151418 -5 *1094:5 0.00202433 -6 *2472:la_data_out_core[7] *1478:7 0 -7 *1094:5 *1619:5 0.00020584 -8 *1094:5 *1751:5 0 -9 *1094:8 *1467:8 0.000202245 -10 *827:8 *1094:8 0.0173521 -11 *838:7 *2472:la_data_out_core[7] 0 -12 *838:8 *1094:8 0.0180849 -13 *860:8 *1094:8 0.000113197 -*RES -1 *2473:la_data_out[7] *1094:5 49.7081 -2 *1094:5 *1094:7 4.5 -3 *1094:7 *1094:8 194.28 -4 *1094:8 *2472:la_data_out_core[7] 38.8438 -*END - -*D_NET *1095 0.169705 -*CONN -*I *2472:la_data_out_core[80] I *D mgmt_protect -*I *2473:la_data_out[80] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[80] 0.00172275 -2 *2473:la_data_out[80] 0.00239376 -3 *1095:8 0.00741235 -4 *1095:7 0.00568959 -5 *1095:5 0.00239376 -6 *2472:la_data_out_core[80] *1477:9 0 -7 *2472:la_data_out_core[80] *1479:7 0 -8 *1095:8 *1440:8 9.00479e-06 -9 *1095:8 *1477:10 0.072356 -10 *1095:8 *1480:8 0.0727471 -11 *1095:8 *1491:8 0.00480076 -12 *839:7 *2472:la_data_out_core[80] 0 -13 *1055:8 *1095:8 4.03856e-05 -14 *1056:14 *1095:8 0.000139256 -*RES -1 *2473:la_data_out[80] *1095:5 46.8014 -2 *1095:5 *1095:7 4.5 -3 *1095:7 *1095:8 778.832 -4 *1095:8 *2472:la_data_out_core[80] 41.7506 -*END - -*D_NET *1096 0.17198 -*CONN -*I *2472:la_data_out_core[81] I *D mgmt_protect -*I *2473:la_data_out[81] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[81] 0.00197733 -2 *2473:la_data_out[81] 0.00206277 -3 *1096:8 0.00775619 -4 *1096:7 0.00784164 -5 *2472:la_data_out_core[81] *1480:7 0 -6 *1096:8 *1098:8 0.0733882 -7 *1096:8 *1104:8 0.00395347 -8 *1096:8 *1439:14 0.000749011 -9 *1096:8 *1459:8 0.000186902 -10 *1096:8 *1479:10 0.0735749 -11 *840:5 *2472:la_data_out_core[81] 0 -12 *1055:5 *2472:la_data_out_core[81] 0.000489931 -13 *1056:11 *2472:la_data_out_core[81] 0 -*RES -1 *2473:la_data_out[81] *1096:7 43.8268 -2 *1096:7 *1096:8 791.588 -3 *1096:8 *2472:la_data_out_core[81] 49.2251 -*END - -*D_NET *1097 0.175697 -*CONN -*I *2472:la_data_out_core[82] I *D mgmt_protect -*I *2473:la_data_out[82] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[82] 0.00168671 -2 *2473:la_data_out[82] 0.0024089 -3 *1097:8 0.0074862 -4 *1097:7 0.00579949 -5 *1097:5 0.0024089 -6 *2472:la_data_out_core[82] *1480:7 0 -7 *2472:la_data_out_core[82] *1481:7 0 -8 *1097:8 *1110:8 0.00543548 -9 *1097:8 *1440:8 0.0002375 -10 *1097:8 *1480:8 0.0749245 -11 *1097:8 *1482:8 0.0753092 -12 *841:7 *2472:la_data_out_core[82] 0 -*RES -1 *2473:la_data_out[82] *1097:5 47.6319 -2 *1097:5 *1097:7 4.5 -3 *1097:7 *1097:8 805.453 -4 *1097:8 *2472:la_data_out_core[82] 40.9201 -*END - -*D_NET *1098 0.176245 -*CONN -*I *2472:la_data_out_core[83] I *D mgmt_protect -*I *2473:la_data_out[83] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[83] 0.00191298 -2 *2473:la_data_out[83] 0.00202732 -3 *1098:8 0.00825797 -4 *1098:7 0.00837231 -5 *2472:la_data_out_core[83] *1482:7 0 -6 *1098:8 *1100:8 0.0759245 -7 *1098:8 *1459:8 0.00067765 -8 *1098:8 *1490:10 0.00508783 -9 *2473:la_data_in[45] *2472:la_data_out_core[83] 0.000596408 -10 *842:7 *2472:la_data_out_core[83] 0 -11 *1096:8 *1098:8 0.0733882 -*RES -1 *2473:la_data_out[83] *1098:7 43.4116 -2 *1098:7 *1098:8 818.209 -3 *1098:8 *2472:la_data_out_core[83] 49.6404 -*END - -*D_NET *1099 0.174023 -*CONN -*I *2472:la_data_out_core[84] I *D mgmt_protect -*I *2473:la_data_out[84] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[84] 0.00111681 -2 *2473:la_data_out[84] 0.00304282 -3 *1099:8 0.0308636 -4 *1099:7 0.0297468 -5 *1099:5 0.00304282 -6 *2472:la_data_out_core[84] *1482:7 0 -7 *2472:la_data_out_core[84] *1483:9 0 -8 *1099:8 *1462:8 0.000680957 -9 *1099:8 *1464:8 0.00146993 -10 *1099:8 *1466:8 0.00288264 -11 *1099:8 *1484:8 0.0889823 -12 *1099:8 *1494:10 0.00395802 -13 *843:7 *2472:la_data_out_core[84] 0 -14 *1001:8 *1099:8 0 -15 *1009:14 *1099:8 0 -16 *1014:16 *1099:8 0 -17 *1016:16 *1099:8 0.00288519 -18 *1017:14 *1099:8 0 -19 *1079:8 *1099:8 0.000902565 -20 *1081:8 *1099:8 0.00209504 -21 *1084:8 *1099:8 0.00235331 -*RES -1 *2473:la_data_out[84] *1099:5 59.0513 -2 *1099:5 *1099:7 3.36879 -3 *1099:7 *1099:8 114.785 -4 *1099:8 *2472:la_data_out_core[84] 28.3694 -*END - -*D_NET *1100 0.181434 -*CONN -*I *2472:la_data_out_core[85] I *D mgmt_protect -*I *2473:la_data_out[85] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[85] 0.00217563 -2 *2473:la_data_out[85] 0.00199191 -3 *1100:10 0.00217563 -4 *1100:8 0.0064638 -5 *1100:7 0.00845571 -6 *2472:la_data_out_core[85] *1484:7 0 -7 *1100:8 *1459:8 0.0339516 -8 *1100:8 *1490:10 0.00427931 -9 *1100:8 *2414:8 0.0459994 -10 *1100:8 *2414:14 1.67988e-05 -11 *2473:la_data_in[46] *2472:la_data_out_core[85] 0 -12 *844:7 *2472:la_data_out_core[85] 0 -13 *1098:8 *1100:8 0.0759245 -*RES -1 *2473:la_data_out[85] *1100:7 42.9963 -2 *1100:7 *1100:8 844.83 -3 *1100:8 *1100:10 4.5 -4 *1100:10 *2472:la_data_out_core[85] 45.5556 -*END - -*D_NET *1101 0.219653 -*CONN -*I *2472:la_data_out_core[86] I *D mgmt_protect -*I *2473:la_data_out[86] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[86] 0.00122924 -2 *2473:la_data_out[86] 0.00294102 -3 *1101:8 0.0116893 -4 *1101:7 0.0104601 -5 *1101:5 0.00294102 -6 *2472:la_data_out_core[86] *1484:7 0 -7 *2472:la_data_out_core[86] *1485:7 0 -8 *1101:8 *1471:8 0.00406408 -9 *1101:8 *1484:8 0.0914567 -10 *1101:8 *1486:8 0.0918812 -11 *2473:la_data_in[46] *2472:la_data_out_core[86] 0 -12 *845:7 *2472:la_data_out_core[86] 0 -13 *1088:8 *1101:8 0.00299053 -*RES -1 *2473:la_data_out[86] *1101:5 57.3903 -2 *1101:5 *1101:7 3.36879 -3 *1101:7 *1101:8 118.457 -4 *1101:8 *2472:la_data_out_core[86] 30.0304 -*END - -*D_NET *1102 0.188275 -*CONN -*I *2472:la_data_out_core[87] I *D mgmt_protect -*I *2473:la_data_out[87] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[87] 0.0026256 -2 *2473:la_data_out[87] 0.00143855 -3 *1102:10 0.0026256 -4 *1102:8 0.00591631 -5 *1102:7 0.00735486 -6 *2472:la_data_out_core[87] *2473:la_oenb[46] 0 -7 *2472:la_data_out_core[87] *1486:7 0 -8 *1102:8 *1107:8 0.000610332 -9 *1102:8 *1111:8 0.00379169 -10 *846:5 *2472:la_data_out_core[87] 0 -11 *1009:8 *1102:8 0.0162424 -12 *1012:8 *1102:8 0.0189889 -13 *1091:8 *1102:8 0.0623035 -14 *1093:8 *1102:8 0.0663777 -*RES -1 *2473:la_data_out[87] *1102:7 34.6913 -2 *1102:7 *1102:8 872.006 -3 *1102:8 *1102:10 4.5 -4 *1102:10 *2472:la_data_out_core[87] 53.8607 -*END - -*D_NET *1103 0.214945 -*CONN -*I *2472:la_data_out_core[88] I *D mgmt_protect -*I *2473:la_data_out[88] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[88] 0.0012744 -2 *2473:la_data_out[88] 0.00284724 -3 *1103:8 0.0205147 -4 *1103:7 0.0192403 -5 *1103:5 0.00284724 -6 *2472:la_data_out_core[88] *1486:7 0 -7 *2472:la_data_out_core[88] *1487:7 0 -8 *1103:8 *1110:8 0 -9 *1103:8 *1114:8 0 -10 *1103:8 *1376:8 0 -11 *1103:8 *1380:8 0.000704755 -12 *1103:8 *1388:8 0.00137859 -13 *1103:8 *1398:8 0.000414164 -14 *1103:8 *1400:8 0.00128357 -15 *1103:8 *1475:8 0.00362051 -16 *1103:8 *1486:8 0.0943479 -17 *1103:8 *1491:8 0 -18 *1103:8 *1497:8 0 -19 *1103:8 *2413:8 0.0613514 -20 *2473:la_data_in[47] *2472:la_data_out_core[88] 0.00016851 -21 *847:7 *2472:la_data_out_core[88] 0 -22 *1092:8 *1103:8 0.00495137 -*RES -1 *2473:la_data_out[88] *1103:5 55.7293 -2 *1103:5 *1103:7 3.36879 -3 *1103:7 *1103:8 122.128 -4 *1103:8 *2472:la_data_out_core[88] 31.6914 -*END - -*D_NET *1104 0.23272 -*CONN -*I *2472:la_data_out_core[89] I *D mgmt_protect -*I *2473:la_data_out[89] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[89] 0.0020469 -2 *2473:la_data_out[89] 0.00203661 -3 *1104:8 0.0139626 -4 *1104:7 0.0139523 -5 *2472:la_data_out_core[89] *1488:7 0 -6 *1104:8 *1108:8 0 -7 *1104:8 *1479:10 0.00452865 -8 *1104:8 *1487:8 0.0957837 -9 *1104:8 *1490:10 0.0959657 -10 *848:7 *2472:la_data_out_core[89] 0 -11 *1058:5 *2472:la_data_out_core[89] 0.000489505 -12 *1096:8 *1104:8 0.00395347 -*RES -1 *2473:la_data_out[89] *1104:7 43.3185 -2 *1104:7 *1104:8 123.964 -3 *1104:8 *2472:la_data_out_core[89] 47.471 -*END - -*D_NET *1105 0.0424177 -*CONN -*I *2472:la_data_out_core[8] I *D mgmt_protect -*I *2473:la_data_out[8] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[8] 0.00131568 -2 *2473:la_data_out[8] 0.00197463 -3 *1105:8 0.00262942 -4 *1105:7 0.00131374 -5 *1105:5 0.00197463 -6 *2472:la_data_out_core[8] *1478:7 0 -7 *2472:la_data_out_core[8] *1489:7 0 -8 *1105:5 *2472:mprj_dat_i_user[18] 0 -9 *1105:5 *1622:7 0.000102632 -10 *1105:5 *1753:5 0 -11 *1105:8 *1478:8 0.0165963 -12 *1105:8 *1489:8 0.000233938 -13 *849:7 *2472:la_data_out_core[8] 0 -14 *860:8 *1105:8 0.000685211 -15 *1083:8 *1105:8 0.0155915 -*RES -1 *2473:la_data_out[8] *1105:5 48.0471 -2 *1105:5 *1105:7 4.5 -3 *1105:7 *1105:8 180.97 -4 *1105:8 *2472:la_data_out_core[8] 40.5048 -*END - -*D_NET *1106 0.198886 -*CONN -*I *2472:la_data_out_core[90] I *D mgmt_protect -*I *2473:la_data_out[90] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[90] 0.00168688 -2 *2473:la_data_out[90] 0.0023811 -3 *1106:8 0.00814642 -4 *1106:7 0.00645953 -5 *1106:5 0.0023811 -6 *2472:la_data_out_core[90] *1442:11 9.35753e-06 -7 *2472:la_data_out_core[90] *1488:7 0 -8 *2472:la_data_out_core[90] *1490:7 0 -9 *1106:8 *1488:8 0.0851534 -10 *1106:8 *1493:8 0.0839836 -11 *1106:8 *1497:8 0.00805459 -12 *850:7 *2472:la_data_out_core[90] 0 -13 *1060:8 *1106:8 0.000630393 -*RES -1 *2473:la_data_out[90] *1106:5 48.8776 -2 *1106:5 *1106:7 4.5 -3 *1106:7 *1106:8 911.937 -4 *1106:8 *2472:la_data_out_core[90] 39.6743 -*END - -*D_NET *1107 0.200619 -*CONN -*I *2472:la_data_out_core[91] I *D mgmt_protect -*I *2473:la_data_out[91] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[91] 0.00194323 -2 *2473:la_data_out[91] 0.00146851 -3 *1107:10 0.00194323 -4 *1107:8 0.00623663 -5 *1107:7 0.00770513 -6 *2472:la_data_out_core[91] *1491:7 0 -7 *1107:8 *1481:10 0.0701183 -8 *1107:8 *1494:20 0.000183207 -9 *1107:8 *1496:8 0.00406685 -10 *851:7 *2472:la_data_out_core[91] 0.00276308 -11 *1012:8 *1107:8 0.0271052 -12 *1017:8 *1107:8 0.0172025 -13 *1059:7 *2472:la_data_out_core[91] 0 -14 *1091:8 *1107:8 0.0592726 -15 *1102:8 *1107:8 0.000610332 -*RES -1 *2473:la_data_out[91] *1107:7 35.5218 -2 *1107:7 *1107:8 925.248 -3 *1107:8 *1107:10 4.5 -4 *1107:10 *2472:la_data_out_core[91] 53.0301 -*END - -*D_NET *1108 0.167058 -*CONN -*I *2472:la_data_out_core[92] I *D mgmt_protect -*I *2473:la_data_out[92] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[92] 0.00182637 -2 *2473:la_data_out[92] 0.00224489 -3 *1108:8 0.0229031 -4 *1108:7 0.0233216 -5 *2472:la_data_out_core[92] *1491:7 0 -6 *2472:la_data_out_core[92] *1492:9 0 -7 *1108:8 *1110:8 0.000635094 -8 *1108:8 *1381:10 0.00011056 -9 *1108:8 *1403:8 0 -10 *1108:8 *1447:14 0.00013257 -11 *1108:8 *1477:10 0.00475172 -12 *1108:8 *1483:10 0.0100596 -13 *1108:8 *1485:8 0 -14 *1108:8 *1487:8 0 -15 *1108:8 *1490:10 0 -16 *1108:8 *1491:8 0.100347 -17 *852:7 *2472:la_data_out_core[92] 0 -18 *1064:8 *1108:8 0.000143882 -19 *1066:8 *1108:8 0.000236924 -20 *1076:8 *1108:8 0.000344308 -21 *1104:8 *1108:8 0 -*RES -1 *2473:la_data_out[92] *1108:7 49.9625 -2 *1108:7 *1108:8 129.471 -3 *1108:8 *2472:la_data_out_core[92] 40.827 -*END - -*D_NET *1109 0.175736 -*CONN -*I *2472:la_data_out_core[93] I *D mgmt_protect -*I *2473:la_data_out[93] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[93] 4.25268e-05 -2 *2473:la_data_out[93] 0.00139876 -3 *1109:11 0.0020572 -4 *1109:10 0.00201468 -5 *1109:8 0.0295168 -6 *1109:7 0.0309155 -7 *1109:8 *1111:8 0.101488 -8 *1109:11 *1493:7 0 -9 *2473:la_data_in[49] *1109:11 0 -10 *761:8 *1109:8 0.000282057 -11 *820:8 *1109:8 0.000133943 -12 *822:8 *1109:8 0.000133943 -13 *853:7 *1109:11 0.0028024 -14 *1004:14 *1109:8 0.000101365 -15 *1006:8 *1109:8 0 -16 *1009:8 *1109:8 0.00135468 -17 *1093:8 *1109:8 0.0034942 -*RES -1 *2473:la_data_out[93] *1109:7 33.3524 -2 *1109:7 *1109:8 131.46 -3 *1109:8 *1109:10 3.36879 -4 *1109:10 *1109:11 54.1538 -5 *1109:11 *2472:la_data_out_core[93] 1.20912 -*END - -*D_NET *1110 0.24345 -*CONN -*I *2472:la_data_out_core[94] I *D mgmt_protect -*I *2473:la_data_out[94] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[94] 0.00173572 -2 *2473:la_data_out[94] 0.00227666 -3 *1110:8 0.0127994 -4 *1110:7 0.0110637 -5 *1110:5 0.00227666 -6 *2472:la_data_out_core[94] *1493:7 0 -7 *2472:la_data_out_core[94] *1494:10 0 -8 *1110:8 *1482:8 0.00670533 -9 *1110:8 *1491:8 0.0986278 -10 *1110:8 *1497:8 0.101894 -11 *2473:la_data_in[49] *2472:la_data_out_core[94] 0 -12 *854:7 *2472:la_data_out_core[94] 0 -13 *855:5 *2472:la_data_out_core[94] 0 -14 *1060:5 *2472:la_data_out_core[94] 0 -15 *1097:8 *1110:8 0.00543548 -16 *1103:8 *1110:8 0 -17 *1108:8 *1110:8 0.000635094 -*RES -1 *2473:la_data_out[94] *1110:5 48.2547 -2 *1110:5 *1110:7 3.36879 -3 *1110:7 *1110:8 133.143 -4 *1110:8 *2472:la_data_out_core[94] 39.166 -*END - -*D_NET *1111 0.252595 -*CONN -*I *2472:la_data_out_core[95] I *D mgmt_protect -*I *2473:la_data_out[95] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[95] 0.00270416 -2 *2473:la_data_out[95] 0.00142664 -3 *1111:10 0.00270416 -4 *1111:8 0.0144407 -5 *1111:7 0.0158673 -6 *2472:la_data_out_core[95] *2473:la_oenb[49] 0 -7 *2472:la_data_out_core[95] *1495:7 0 -8 *1111:8 *1490:10 0 -9 *1111:8 *1496:8 0.104979 -10 *855:5 *2472:la_data_out_core[95] 0 -11 *1006:8 *1111:8 0 -12 *1009:8 *1111:8 0.000163504 -13 *1012:8 *1111:8 0.00185603 -14 *1091:8 *1111:8 0.00317401 -15 *1102:8 *1111:8 0.00379169 -16 *1109:8 *1111:8 0.101488 -*RES -1 *2473:la_data_out[95] *1111:7 34.1829 -2 *1111:7 *1111:8 134.979 -3 *1111:8 *1111:10 3.36879 -4 *1111:10 *2472:la_data_out_core[95] 53.2378 -*END - -*D_NET *1112 0.21592 -*CONN -*I *2472:la_data_out_core[96] I *D mgmt_protect -*I *2473:la_data_out[96] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[96] 0.00154341 -2 *2473:la_data_out[96] 0.00233283 -3 *1112:8 0.00828645 -4 *1112:7 0.00674304 -5 *1112:5 0.00233283 -6 *2472:la_data_out_core[96] *1495:7 0 -7 *2472:la_data_out_core[96] *1496:5 0 -8 *1112:8 *1114:8 0.000173271 -9 *1112:8 *1376:8 0.00841036 -10 *1112:8 *1495:8 0.0929249 -11 *1112:8 *1499:8 0.0917148 -12 *2473:la_data_in[50] *2472:la_data_out_core[96] 0.000248649 -13 *856:7 *2472:la_data_out_core[96] 0 -14 *1062:8 *1112:8 0.000785032 -15 *1063:8 *1112:8 0.000424402 -*RES -1 *2473:la_data_out[96] *1112:5 50.1234 -2 *1112:5 *1112:7 4.5 -3 *1112:7 *1112:8 991.8 -4 *1112:8 *2472:la_data_out_core[96] 38.4285 -*END - -*D_NET *1113 0.260847 -*CONN -*I *2472:la_data_out_core[97] I *D mgmt_protect -*I *2473:la_data_out[97] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[97] 2.27669e-05 -2 *2473:la_data_out[97] 0.00148407 -3 *1113:11 0.00241737 -4 *1113:10 0.0023946 -5 *1113:8 0.0141243 -6 *1113:7 0.0156084 -7 *1113:8 *1115:8 4.42985e-05 -8 *1113:8 *1490:10 0 -9 *1113:8 *1492:14 0.0049225 -10 *1113:8 *1494:20 0.00446762 -11 *1113:8 *1496:8 0.107418 -12 *1113:8 *1498:18 0.107067 -13 *1113:11 *1497:7 0 -14 *857:5 *1113:11 0 -15 *1062:5 *1113:11 0.000875879 -*RES -1 *2473:la_data_out[97] *1113:7 35.844 -2 *1113:7 *1113:8 138.574 -3 *1113:8 *1113:10 3.36879 -4 *1113:10 *1113:11 51.6623 -5 *1113:11 *2472:la_data_out_core[97] 0.647305 -*END - -*D_NET *1114 0.264175 -*CONN -*I *2472:la_data_out_core[98] I *D mgmt_protect -*I *2473:la_data_out[98] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[98] 0.00165819 -2 *2473:la_data_out[98] 0.00227985 -3 *1114:8 0.0127564 -4 *1114:7 0.0110982 -5 *1114:5 0.00227985 -6 *2472:la_data_out_core[98] *1497:7 0 -7 *2472:la_data_out_core[98] *1498:13 0 -8 *1114:8 *1376:8 0.106987 -9 *1114:8 *1493:8 0.00800388 -10 *1114:8 *1495:8 0.00961415 -11 *1114:8 *1497:8 0.109165 -12 *1114:8 *1499:8 0.000159297 -13 *858:7 *2472:la_data_out_core[98] 0 -14 *859:5 *2472:la_data_out_core[98] 0 -15 *1103:8 *1114:8 0 -16 *1112:8 *1114:8 0.000173271 -*RES -1 *2473:la_data_out[98] *1114:5 49.9158 -2 *1114:5 *1114:7 3.36879 -3 *1114:7 *1114:8 140.562 -4 *1114:8 *2472:la_data_out_core[98] 37.505 -*END - -*D_NET *1115 0.268497 -*CONN -*I *2472:la_data_out_core[99] I *D mgmt_protect -*I *2473:la_data_out[99] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[99] 4.25268e-05 -2 *2473:la_data_out[99] 0.00156302 -3 *1115:11 0.00230795 -4 *1115:10 0.00226542 -5 *1115:8 0.0154616 -6 *1115:7 0.0170246 -7 *1115:8 *1377:8 0.00598824 -8 *1115:8 *1379:8 0.106446 -9 *1115:8 *1381:16 0.00641114 -10 *1115:8 *1490:10 0 -11 *1115:8 *1498:18 0.110132 -12 *1115:11 *1494:13 0 -13 *1115:11 *1498:13 1.9101e-05 -14 *1115:11 *1498:17 0.00044603 -15 *1115:11 *1499:7 0.000345453 -16 *2473:la_data_in[51] *1115:11 0 -17 *859:5 *1115:11 0 -18 *1113:8 *1115:8 4.42985e-05 -*RES -1 *2473:la_data_out[99] *1115:7 37.505 -2 *1115:7 *1115:8 142.169 -3 *1115:8 *1115:10 3.36879 -4 *1115:10 *1115:11 50.0013 -5 *1115:11 *2472:la_data_out_core[99] 1.20912 -*END - -*D_NET *1116 0.0399526 -*CONN -*I *2472:la_data_out_core[9] I *D mgmt_protect -*I *2473:la_data_out[9] O *D user_analog_project_wrapper -*CAP -1 *2472:la_data_out_core[9] 0.0013551 -2 *2473:la_data_out[9] 0.00177879 -3 *1116:8 0.00262191 -4 *1116:7 0.00126681 -5 *1116:5 0.00177879 -6 *2472:la_data_out_core[9] *1489:7 0 -7 *2472:la_data_out_core[9] *1500:7 0 -8 *1116:5 *1757:5 0.000385114 -9 *1116:8 *1489:8 0.0153647 -10 *1116:8 *1500:8 0.0152182 -11 *783:8 *1116:8 0.000167272 -12 *860:7 *2472:la_data_out_core[9] 0 -13 *860:8 *1116:8 1.59204e-05 -*RES -1 *2473:la_data_out[9] *1116:5 46.8014 -2 *1116:5 *1116:7 4.5 -3 *1116:7 *1116:8 167.659 -4 *1116:8 *2472:la_data_out_core[9] 41.7506 -*END - -*D_NET *1117 0.150044 -*CONN -*I *2472:la_iena_mprj[0] I *D mgmt_protect -*I *2478:la_iena[0] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[0] 0.00114772 -2 *2478:la_iena[0] 8.29983e-05 -3 *1117:10 0.0168718 -4 *1117:9 0.0157241 -5 *1117:7 0.00228247 -6 *1117:5 0.00236547 -7 *2472:la_iena_mprj[0] *2472:la_oenb_mprj[0] 0 -8 *1117:10 *1156:10 0.0168527 -9 *2472:la_data_out_mprj[0] *2472:la_iena_mprj[0] 0 -10 *2478:la_input[0] *1117:7 0 -11 *66:37 *1117:7 0 -12 *912:10 *1117:10 0.0212292 -13 *922:10 *1117:10 0.0734873 -*RES -1 *2478:la_iena[0] *1117:5 2.05183 -2 *1117:5 *1117:7 63.0817 -3 *1117:7 *1117:9 4.5 -4 *1117:9 *1117:10 845.385 -5 *1117:10 *2472:la_iena_mprj[0] 28.8777 -*END - -*D_NET *1118 0.0847728 -*CONN -*I *2472:la_iena_mprj[100] I *D mgmt_protect -*I *2478:la_iena[100] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[100] 6.22868e-05 -2 *2478:la_iena[100] 0.00127802 -3 *1118:13 0.00157687 -4 *1118:12 0.00551086 -5 *1118:9 0.0052743 -6 *1118:12 *1232:10 0.000456167 -7 *1118:12 *1234:10 0.000598562 -8 *1118:12 *1246:12 0.000461614 -9 *1118:12 *1248:10 0.0323247 -10 *1118:12 *1371:12 0.033113 -11 *1118:12 *1678:8 0.000123271 -12 *1118:13 *2472:la_oenb_mprj[100] 0.00338115 -13 *2472:la_data_out_mprj[100] *1118:13 0 -14 *2478:la_input[100] *1118:9 0 -15 *606:7 *1118:13 0 -16 *608:11 *1118:9 0 -17 *715:11 *1118:13 0.000600483 -18 *987:9 *1118:9 0 -19 *987:12 *1118:12 1.15279e-05 -*RES -1 *2478:la_iena[100] *1118:9 32.1677 -2 *1118:9 *1118:12 47.2475 -3 *1118:12 *1118:13 60.7978 -4 *1118:13 *2472:la_iena_mprj[100] 1.77093 -*END - -*D_NET *1119 0.0738295 -*CONN -*I *2472:la_iena_mprj[101] I *D mgmt_protect -*I *2478:la_iena[101] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[101] 0.000898442 -2 *2478:la_iena[101] 5.96331e-05 -3 *1119:10 0.00404109 -4 *1119:9 0.00314264 -5 *1119:7 0.00281332 -6 *1119:5 0.00287295 -7 *2472:la_iena_mprj[101] *2472:la_oenb_mprj[101] 0 -8 *1119:7 *2472:mprj_adr_o_core[14] 0 -9 *1119:10 *1120:16 0.000713504 -10 *1119:10 *1122:16 0.0285158 -11 *1119:10 *1357:16 4.37921e-05 -12 *1119:10 *1679:8 7.92757e-06 -13 *2472:la_data_out_mprj[101] *2472:la_iena_mprj[101] 0 -14 *2478:la_input[101] *1119:7 0 -15 *723:8 *1119:10 0 -16 *731:8 *1119:10 0.027539 -17 *862:9 *1119:7 0 -18 *865:15 *1119:7 0.00173403 -19 *865:16 *1119:10 0.00144738 -*RES -1 *2478:la_iena[101] *1119:5 1.49002 -2 *1119:5 *1119:7 65.158 -3 *1119:7 *1119:9 4.5 -4 *1119:9 *1119:10 331.267 -5 *1119:10 *2472:la_iena_mprj[101] 26.8015 -*END - -*D_NET *1120 0.075149 -*CONN -*I *2472:la_iena_mprj[102] I *D mgmt_protect -*I *2478:la_iena[102] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[102] 0.000932042 -2 *2478:la_iena[102] 0.00166165 -3 *1120:16 0.00397311 -4 *1120:15 0.00484689 -5 *1120:9 0.00346747 -6 *2472:la_iena_mprj[102] *2472:la_oenb_mprj[102] 0 -7 *1120:9 *2472:mprj_dat_o_core[16] 0.000300728 -8 *1120:9 *1656:5 0.000309963 -9 *1120:15 *2472:mprj_adr_o_core[14] 0 -10 *1120:15 *1647:10 0.000141764 -11 *1120:15 *1654:7 0.000271428 -12 *1120:16 *1122:16 0.0290913 -13 *1120:16 *1250:10 0.0288811 -14 *2472:la_data_out_mprj[102] *2472:la_iena_mprj[102] 0 -15 *2478:la_input[102] *1120:9 0 -16 *723:8 *1120:16 0.000328922 -17 *863:9 *1120:9 0 -18 *864:10 *1120:15 0 -19 *867:10 *1120:15 0.000229186 -20 *1119:10 *1120:16 0.000713504 -*RES -1 *2478:la_iena[102] *1120:9 44.3031 -2 *1120:9 *1120:15 49.781 -3 *1120:15 *1120:16 329.049 -4 *1120:16 *2472:la_iena_mprj[102] 27.632 -*END - -*D_NET *1121 0.0577357 -*CONN -*I *2472:la_iena_mprj[103] I *D mgmt_protect -*I *2478:la_iena[103] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[103] 0.00160054 -2 *2478:la_iena[103] 0.00166878 -3 *1121:16 0.00382265 -4 *1121:15 0.00284808 -5 *1121:10 0.00320207 -6 *1121:9 0.00424487 -7 *2472:la_iena_mprj[103] *2472:la_oenb_mprj[103] 0 -8 *1121:10 *1122:12 0.00116741 -9 *1121:10 *1239:10 0.000241935 -10 *1121:10 *1366:10 0.000851028 -11 *1121:10 *2186:24 0.000724555 -12 *1121:15 *1238:9 4.01573e-05 -13 *1121:16 *1135:14 0.00585757 -14 *1121:16 *1251:16 0.0128766 -15 *2472:la_data_out_mprj[103] *2472:la_iena_mprj[103] 0 -16 *2478:la_input[103] *1121:9 0 -17 *2478:la_input[94] *1121:15 0 -18 *607:14 *1121:10 2.493e-05 -19 *610:14 *1121:10 0.000986034 -20 *631:5 *1121:15 0 -21 *717:8 *1121:16 0.00153273 -22 *719:8 *1121:16 0.00155451 -23 *720:8 *1121:16 0.000479461 -24 *727:8 *1121:10 0.000436184 -25 *862:10 *1121:10 0.00426871 -26 *864:9 *1121:9 0 -27 *864:10 *1121:10 0.00615173 -28 *870:16 *1121:16 0.000580087 -29 *877:10 *1121:16 0.000120718 -30 *879:14 *1121:16 9.16785e-05 -31 *886:10 *1121:16 0.000371284 -32 *887:10 *1121:16 0.000330596 -33 *982:10 *1121:10 0.00132029 -34 *984:10 *1121:10 0.000205493 -35 *985:12 *1121:10 0.000135066 -*RES -1 *2478:la_iena[103] *1121:9 40.1506 -2 *1121:9 *1121:10 180.97 -3 *1121:10 *1121:15 23.2896 -4 *1121:15 *1121:16 173.205 -5 *1121:16 *2472:la_iena_mprj[103] 44.2421 -*END - -*D_NET *1122 0.0779805 -*CONN -*I *2472:la_iena_mprj[104] I *D mgmt_protect -*I *2478:la_iena[104] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[104] 0.000911389 -2 *2478:la_iena[104] 0.00166518 -3 *1122:16 0.00409344 -4 *1122:15 0.00486502 -5 *1122:12 0.00227964 -6 *1122:9 0.00226185 -7 *2472:la_iena_mprj[104] *2472:la_oenb_mprj[104] 0 -8 *2472:la_iena_mprj[104] *1359:13 6.97064e-05 -9 *1122:9 *2472:mprj_adr_o_core[23] 5.47232e-06 -10 *1122:9 *2472:mprj_dat_o_core[22] 4.63742e-05 -11 *1122:9 *1607:15 0 -12 *1122:12 *1593:30 1.45065e-05 -13 *1122:12 *1658:8 0 -14 *1122:12 *1659:8 0 -15 *1122:12 *1661:8 0.000205749 -16 *1122:12 *1663:12 0.000111708 -17 *1122:12 *1726:10 0.000265631 -18 *1122:12 *1727:24 2.16355e-05 -19 *1122:15 *2472:mprj_adr_o_core[17] 0 -20 *1122:15 *1658:5 0 -21 *1122:16 *1250:10 0.000888955 -22 *1122:16 *1679:8 0.000455956 -23 *2472:la_data_out_mprj[104] *2472:la_iena_mprj[104] 0 -24 *610:14 *1122:12 0.000352103 -25 *864:10 *1122:12 0.000691691 -26 *865:9 *1122:9 0 -27 *1119:10 *1122:16 0.0285158 -28 *1120:16 *1122:16 0.0290913 -29 *1121:10 *1122:12 0.00116741 -*RES -1 *2478:la_iena[104] *1122:9 40.5659 -2 *1122:9 *1122:12 37.3904 -3 *1122:12 *1122:15 35.3997 -4 *1122:15 *1122:16 333.486 -5 *1122:16 *2472:la_iena_mprj[104] 27.2167 -*END - -*D_NET *1123 0.0805729 -*CONN -*I *2472:la_iena_mprj[105] I *D mgmt_protect -*I *2478:la_iena[105] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[105] 0.00159275 -2 *2478:la_iena[105] 0.00218882 -3 *1123:14 0.00549904 -4 *1123:13 0.00609511 -5 *2472:la_iena_mprj[105] *2472:la_oenb_mprj[105] 0 -6 *2472:la_iena_mprj[105] *1360:15 0 -7 *2472:la_iena_mprj[105] *1362:15 0.000487195 -8 *1123:13 *2472:mprj_dat_o_core[25] 0 -9 *1123:13 *1141:15 0.000121156 -10 *1123:13 *1147:15 7.09666e-06 -11 *1123:13 *1667:7 0 -12 *1123:14 *1124:10 0 -13 *1123:14 *1126:10 0.000269759 -14 *1123:14 *1129:16 0.0294006 -15 *1123:14 *1130:14 0.00101876 -16 *1123:14 *1249:10 0 -17 *2472:la_data_out_mprj[105] *2472:la_iena_mprj[105] 0 -18 *2478:la_input[105] *1123:13 0 -19 *2478:la_input[86] *2472:la_iena_mprj[105] 8.8538e-05 -20 *611:7 *2472:la_iena_mprj[105] 0 -21 *718:8 *1123:14 9.43344e-05 -22 *720:8 *1123:14 0 -23 *869:10 *1123:14 0.000163429 -24 *870:16 *1123:14 0.000111438 -25 *871:10 *1123:14 0.032718 -26 *889:10 *1123:14 0.000716813 -*RES -1 *2478:la_iena[105] *1123:13 49.7503 -2 *1123:13 *1123:14 379.518 -3 *1123:14 *2472:la_iena_mprj[105] 47.9793 -*END - -*D_NET *1124 0.085709 -*CONN -*I *2472:la_iena_mprj[106] I *D mgmt_protect -*I *2478:la_iena[106] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[106] 0.00187764 -2 *2478:la_iena[106] 0.00209123 -3 *1124:12 0.00187764 -4 *1124:10 0.0031496 -5 *1124:9 0.00524083 -6 *2472:la_iena_mprj[106] *2472:la_oenb_mprj[106] 0 -7 *1124:9 *2472:mprj_dat_o_core[28] 0 -8 *1124:9 *1143:15 8.62625e-06 -9 *1124:9 *1257:15 8.01597e-05 -10 *1124:10 *1125:10 0.0358506 -11 *1124:10 *1129:10 7.68538e-06 -12 *1124:10 *1136:10 0.000310528 -13 *1124:10 *1141:10 0.00161542 -14 *1124:10 *1249:10 0.0320173 -15 *2472:la_data_out_mprj[106] *2472:la_iena_mprj[106] 0 -16 *2478:la_input[106] *1124:9 0 -17 *612:5 *2472:la_iena_mprj[106] 0 -18 *613:5 *2472:la_iena_mprj[106] 0 -19 *866:10 *1124:10 0.00100215 -20 *867:9 *1124:9 0 -21 *869:10 *1124:10 8.90486e-05 -22 *888:10 *1124:10 0.000490499 -23 *1123:14 *1124:10 0 -*RES -1 *2478:la_iena[106] *1124:9 48.0404 -2 *1124:9 *1124:10 389.501 -3 *1124:10 *1124:12 4.5 -4 *1124:12 *2472:la_iena_mprj[106] 45.9709 -*END - -*D_NET *1125 0.0907769 -*CONN -*I *2472:la_iena_mprj[107] I *D mgmt_protect -*I *2478:la_iena[107] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[107] 0.00165814 -2 *2478:la_iena[107] 0.00215058 -3 *1125:12 0.00165814 -4 *1125:10 0.00300849 -5 *1125:9 0.00515907 -6 *2472:la_iena_mprj[107] *2472:la_oenb_mprj[107] 0 -7 *2472:la_iena_mprj[107] *1365:15 0.000360628 -8 *1125:10 *1141:10 1.41853e-05 -9 *1125:10 *1143:10 0.00190027 -10 *2472:la_data_out_mprj[107] *2472:la_iena_mprj[107] 0 -11 *2478:la_input[107] *1125:9 0 -12 *2478:la_input[87] *2472:la_iena_mprj[107] 0.000253826 -13 *614:5 *2472:la_iena_mprj[107] 0 -14 *868:12 *1125:9 0 -15 *869:10 *1125:10 0.0377856 -16 *888:10 *1125:10 0.000977475 -17 *1124:10 *1125:10 0.0358506 -*RES -1 *2478:la_iena[107] *1125:9 48.4557 -2 *1125:9 *1125:10 401.147 -3 *1125:10 *1125:12 4.5 -4 *1125:12 *2472:la_iena_mprj[107] 45.5556 -*END - -*D_NET *1126 0.0923857 -*CONN -*I *2472:la_iena_mprj[108] I *D mgmt_protect -*I *2478:la_iena[108] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[108] 0.00181927 -2 *2478:la_iena[108] 0.00220844 -3 *1126:10 0.00495474 -4 *1126:9 0.00534391 -5 *2472:la_iena_mprj[108] *2472:la_oenb_mprj[108] 0 -6 *1126:9 *1263:15 4.15661e-05 -7 *1126:10 *1127:10 0.0380511 -8 *2472:la_data_out_mprj[108] *2472:la_iena_mprj[108] 0 -9 *2478:la_input[108] *1126:9 0 -10 *614:5 *2472:la_iena_mprj[108] 0 -11 *615:5 *2472:la_iena_mprj[108] 0 -12 *869:9 *1126:9 0 -13 *869:10 *1126:10 0.000371267 -14 *871:10 *1126:10 0.0382613 -15 *888:10 *1126:10 0.00106437 -16 *1123:14 *1126:10 0.000269759 -*RES -1 *2478:la_iena[108] *1126:9 49.7014 -2 *1126:9 *1126:10 412.794 -3 *1126:10 *2472:la_iena_mprj[108] 48.8099 -*END - -*D_NET *1127 0.0954673 -*CONN -*I *2472:la_iena_mprj[109] I *D mgmt_protect -*I *2478:la_iena[109] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[109] 0.00184754 -2 *2478:la_iena[109] 0.00195155 -3 *1127:10 0.00506372 -4 *1127:9 0.00516773 -5 *2472:la_iena_mprj[109] *2472:la_oenb_mprj[109] 0 -6 *2472:la_iena_mprj[109] *1369:15 0 -7 *1127:9 *1577:16 7.93528e-05 -8 *1127:9 *2193:8 0.000501462 -9 *1127:10 *1259:10 0.000183223 -10 *1127:10 *1263:10 0.00198068 -11 *1127:10 *1265:10 0.00108851 -12 *2472:la_data_out_mprj[109] *2472:la_iena_mprj[109] 0 -13 *2478:la_input[109] *1127:9 0 -14 *2478:la_input[88] *2472:la_iena_mprj[109] 0 -15 *617:5 *2472:la_iena_mprj[109] 0 -16 *869:10 *1127:10 0.0375902 -17 *871:10 *1127:10 0.00037641 -18 *888:10 *1127:10 0.00158577 -19 *1126:10 *1127:10 0.0380511 -*RES -1 *2478:la_iena[109] *1127:9 49.2862 -2 *1127:9 *1127:10 424.441 -3 *1127:10 *2472:la_iena_mprj[109] 49.2251 -*END - -*D_NET *1128 0.182661 -*CONN -*I *2472:la_iena_mprj[10] I *D mgmt_protect -*I *2478:la_iena[10] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[10] 0.000741322 -2 *2478:la_iena[10] 8.29983e-05 -3 *1128:10 0.00654817 -4 *1128:9 0.00580685 -5 *1128:7 0.00286202 -6 *1128:5 0.00294502 -7 *2472:la_iena_mprj[10] *2472:la_oenb_mprj[10] 0 -8 *1128:10 *1148:10 0 -9 *1128:10 *1244:10 0.0784901 -10 *1128:10 *1256:10 0.0782409 -11 *1128:10 *1294:10 0.00308483 -12 *1128:10 *1372:10 0.000480973 -13 *2472:la_data_out_mprj[10] *2472:la_iena_mprj[10] 0 -14 *2478:la_input[10] *1128:7 0 -15 *637:11 *2472:la_iena_mprj[10] 2.27175e-05 -16 *908:10 *1128:10 0.00335543 -17 *988:9 *1128:7 0 -*RES -1 *2478:la_iena[10] *1128:5 2.05183 -2 *1128:5 *1128:7 69.1029 -3 *1128:7 *1128:9 3.36879 -4 *1128:9 *1128:10 100.558 -5 *1128:10 *2472:la_iena_mprj[10] 21.7254 -*END - -*D_NET *1129 0.0931903 -*CONN -*I *2472:la_iena_mprj[110] I *D mgmt_protect -*I *2478:la_iena[110] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[110] 0.00177544 -2 *2478:la_iena[110] 0.00199514 -3 *1129:16 0.00415811 -4 *1129:15 0.00261304 -5 *1129:10 0.00204632 -6 *1129:9 0.00381109 -7 *2472:la_iena_mprj[110] *2472:la_oenb_mprj[110] 0 -8 *1129:10 *1136:10 0.0100941 -9 *1129:10 *1257:10 0.000404207 -10 *1129:15 *2472:mprj_dat_o_core[21] 0 -11 *1129:15 *1663:7 3.95188e-05 -12 *1129:16 *1130:14 0.0285509 -13 *2472:la_data_out_mprj[110] *2472:la_iena_mprj[110] 0 -14 *2478:la_input[110] *1129:9 0 -15 *617:5 *2472:la_iena_mprj[110] 0 -16 *618:5 *2472:la_iena_mprj[110] 0 -17 *866:10 *1129:10 0.00167496 -18 *868:12 *1129:10 8.29362e-06 -19 *868:14 *1129:10 0.00549653 -20 *870:16 *1129:16 0.000111068 -21 *874:10 *1129:16 5.65165e-05 -22 *888:10 *1129:10 0.000107595 -23 *890:10 *1129:16 0.000114589 -24 *891:10 *1129:16 0.000724555 -25 *1123:14 *1129:16 0.0294006 -26 *1124:10 *1129:10 7.68538e-06 -*RES -1 *2478:la_iena[110] *1129:9 45.671 -2 *1129:9 *1129:10 125.509 -3 *1129:10 *1129:15 13.3235 -4 *1129:15 *1129:16 311.856 -5 *1129:16 *2472:la_iena_mprj[110] 47.5641 -*END - -*D_NET *1130 0.0944177 -*CONN -*I *2472:la_iena_mprj[111] I *D mgmt_protect -*I *2478:la_iena[111] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[111] 0.00177447 -2 *2478:la_iena[111] 0.00230323 -3 *1130:14 0.00546978 -4 *1130:12 0.00373789 -5 *1130:7 0.00234581 -6 *2472:la_iena_mprj[111] *2472:la_oenb_mprj[111] 0 -7 *1130:7 *1132:15 7.66983e-06 -8 *1130:7 *1269:15 2.43314e-05 -9 *1130:12 *1268:10 1.67988e-05 -10 *1130:12 *1269:10 6.08467e-05 -11 *1130:14 *1132:16 0.0404129 -12 *1130:14 *1147:10 0.00385789 -13 *1130:14 *1268:10 0.00175162 -14 *2472:la_data_out_mprj[111] *2472:la_iena_mprj[111] 0 -15 *2478:la_input[111] *1130:7 0 -16 *618:5 *2472:la_iena_mprj[111] 0 -17 *619:5 *2472:la_iena_mprj[111] 0 -18 *870:15 *1130:14 0.00073191 -19 *871:10 *1130:14 5.51483e-06 -20 *873:7 *1130:7 0 -21 *874:10 *1130:12 0.000111708 -22 *874:10 *1130:14 0.000735234 -23 *890:10 *1130:14 0.000124641 -24 *891:10 *1130:14 0.00137573 -25 *1123:14 *1130:14 0.00101876 -26 *1129:16 *1130:14 0.0285509 -*RES -1 *2478:la_iena[111] *1130:7 47.2777 -2 *1130:7 *1130:12 6.35672 -3 *1130:12 *1130:14 446.625 -4 *1130:14 *2472:la_iena_mprj[111] 47.1488 -*END - -*D_NET *1131 0.101576 -*CONN -*I *2472:la_iena_mprj[112] I *D mgmt_protect -*I *2478:la_iena[112] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[112] 0.00142689 -2 *2478:la_iena[112] 8.30061e-05 -3 *1131:10 0.00534854 -4 *1131:9 0.00392165 -5 *1131:7 0.00274998 -6 *1131:5 0.00283299 -7 *2472:la_iena_mprj[112] *2472:la_oenb_mprj[112] 0 -8 *1131:10 *1583:24 0.00115127 -9 *1131:10 *1598:24 0.00014568 -10 *2472:la_data_out_mprj[112] *2472:la_iena_mprj[112] 0 -11 *2478:la_input[112] *1131:7 0 -12 *620:5 *2472:la_iena_mprj[112] 0 -13 *873:10 *1131:10 0.0409782 -14 *874:7 *1131:7 0 -15 *875:10 *1131:10 0.000282235 -16 *876:10 *1131:10 0.042565 -17 *878:15 *1131:7 9.04208e-05 -*RES -1 *2478:la_iena[112] *1131:5 2.05183 -2 *1131:5 *1131:7 53.9461 -3 *1131:7 *1131:9 4.5 -4 *1131:9 *1131:10 459.935 -5 *1131:10 *2472:la_iena_mprj[112] 38.0133 -*END - -*D_NET *1132 0.10626 -*CONN -*I *2472:la_iena_mprj[113] I *D mgmt_protect -*I *2478:la_iena[113] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[113] 0.00178717 -2 *2478:la_iena[113] 0.00218572 -3 *1132:16 0.00498893 -4 *1132:15 0.00330362 -5 *1132:10 0.000383664 -6 *1132:7 0.00246752 -7 *2472:la_iena_mprj[113] *2472:la_oenb_mprj[113] 0 -8 *1132:10 *1147:10 0.0040117 -9 *1132:10 *1265:10 0.00401589 -10 *1132:15 *1269:15 7.26959e-06 -11 *2472:la_data_out_mprj[113] *2472:la_iena_mprj[113] 0 -12 *620:5 *2472:la_iena_mprj[113] 0 -13 *621:7 *2472:la_iena_mprj[113] 0 -14 *873:7 *1132:15 2.51488e-05 -15 *874:10 *1132:16 0.0404023 -16 *875:7 *1132:7 0 -17 *889:10 *1132:10 0.000338665 -18 *890:10 *1132:16 0.00192168 -19 *1130:7 *1132:15 7.66983e-06 -20 *1130:14 *1132:16 0.0404129 -*RES -1 *2478:la_iena[113] *1132:7 45.6167 -2 *1132:7 *1132:10 46.8187 -3 *1132:10 *1132:15 10.832 -4 *1132:15 *1132:16 428.877 -5 *1132:16 *2472:la_iena_mprj[113] 46.7336 -*END - -*D_NET *1133 0.107089 -*CONN -*I *2472:la_iena_mprj[114] I *D mgmt_protect -*I *2478:la_iena[114] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[114] 0.00151946 -2 *2478:la_iena[114] 8.30061e-05 -3 *1133:10 0.00536961 -4 *1133:9 0.00385015 -5 *1133:7 0.00264133 -6 *1133:5 0.00272434 -7 *2472:la_iena_mprj[114] *2472:la_oenb_mprj[114] 0 -8 *1133:7 *1275:19 7.09666e-06 -9 *1133:10 *1134:10 0.0446195 -10 *1133:10 *1585:24 0.0015278 -11 *2472:la_data_out_mprj[114] *2472:la_iena_mprj[114] 0 -12 *2478:la_input[114] *1133:7 0 -13 *622:7 *2472:la_iena_mprj[114] 0 -14 *722:11 *2472:la_iena_mprj[114] 0 -15 *875:10 *1133:10 0.000366369 -16 *876:7 *1133:7 0 -17 *877:10 *1133:10 0 -18 *878:16 *1133:10 0.0410764 -19 *880:10 *1133:10 0.00294008 -20 *880:14 *1133:10 0.000364336 -*RES -1 *2478:la_iena[114] *1133:5 2.05183 -2 *1133:5 *1133:7 52.2851 -3 *1133:7 *1133:9 4.5 -4 *1133:9 *1133:10 483.229 -5 *1133:10 *2472:la_iena_mprj[114] 39.6743 -*END - -*D_NET *1134 0.11067 -*CONN -*I *2472:la_iena_mprj[115] I *D mgmt_protect -*I *2478:la_iena[115] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[115] 0.00151173 -2 *2478:la_iena[115] 5.96331e-05 -3 *1134:10 0.00531782 -4 *1134:9 0.00380608 -5 *1134:7 0.00265273 -6 *1134:5 0.00271237 -7 *2472:la_iena_mprj[115] *1262:13 0 -8 *1134:7 *1589:21 2.67003e-05 -9 *1134:10 *1274:10 0.0033255 -10 *1134:10 *1275:14 0.00190673 -11 *1134:10 *1584:24 0.00205834 -12 *2472:la_data_out_mprj[115] *2472:la_iena_mprj[115] 0 -13 *622:11 *1134:7 0 -14 *875:10 *1134:10 0.0421852 -15 *876:10 *1134:10 0.000473003 -16 *877:7 *1134:7 0 -17 *880:10 *1134:10 1.41853e-05 -18 *1133:10 *1134:10 0.0446195 -*RES -1 *2478:la_iena[115] *1134:5 1.49002 -2 *1134:5 *1134:7 52.7004 -3 *1134:7 *1134:9 4.5 -4 *1134:9 *1134:10 494.875 -5 *1134:10 *2472:la_iena_mprj[115] 39.2591 -*END - -*D_NET *1135 0.111011 -*CONN -*I *2472:la_iena_mprj[116] I *D mgmt_protect -*I *2478:la_iena[116] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[116] 0.00174936 -2 *2478:la_iena[116] 0.00236968 -3 *1135:14 0.00526557 -4 *1135:12 0.00384427 -5 *1135:7 0.00269773 -6 *2472:la_iena_mprj[116] *2472:la_oenb_mprj[116] 0 -7 *1135:12 *1144:10 0.00131478 -8 *1135:12 *1598:18 0.000436811 -9 *1135:12 *1600:24 0.000152397 -10 *1135:14 *1144:10 0.0378679 -11 *1135:14 *1600:24 0.00140471 -12 *2472:la_data_out_mprj[116] *2472:la_iena_mprj[116] 0 -13 *623:11 *1135:7 0 -14 *723:11 *2472:la_iena_mprj[116] 0 -15 *878:7 *1135:7 0 -16 *879:10 *1135:12 0.00338505 -17 *879:10 *1135:14 1.67988e-05 -18 *879:12 *1135:12 9.95922e-06 -19 *879:14 *1135:14 0.0443422 -20 *887:10 *1135:14 0.00029642 -21 *1121:16 *1135:14 0.00585757 -*RES -1 *2478:la_iena[116] *1135:7 48.9387 -2 *1135:7 *1135:12 40.7421 -3 *1135:12 *1135:14 471.027 -4 *1135:14 *2472:la_iena_mprj[116] 44.6573 -*END - -*D_NET *1136 0.101704 -*CONN -*I *2472:la_iena_mprj[117] I *D mgmt_protect -*I *2478:la_iena[117] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[117] 0.00132282 -2 *2478:la_iena[117] 0.00200872 -3 *1136:16 0.00421738 -4 *1136:15 0.00377636 -5 *1136:10 0.00482579 -6 *1136:9 0.00595271 -7 *2472:la_iena_mprj[117] *2472:la_oenb_mprj[117] 0 -8 *1136:10 *1141:10 0.0227303 -9 *1136:10 *1257:10 0 -10 *1136:10 *1659:8 0 -11 *1136:15 *2472:mprj_adr_o_core[25] 4.98393e-05 -12 *1136:15 *1250:7 0 -13 *1136:15 *1666:5 0.000272294 -14 *1136:16 *1141:16 0.000713504 -15 *1136:16 *1143:16 0.0203826 -16 *1136:16 *1265:16 0.0242458 -17 *2472:la_data_out_mprj[117] *2472:la_iena_mprj[117] 0 -18 *624:11 *1136:9 0 -19 *864:16 *1136:16 0.000245858 -20 *866:9 *1136:15 8.62625e-06 -21 *873:10 *1136:16 0 -22 *879:7 *1136:9 0 -23 *888:10 *1136:10 0.000547189 -24 *1124:10 *1136:10 0.000310528 -25 *1129:10 *1136:10 0.0100941 -*RES -1 *2478:la_iena[117] *1136:9 47.2099 -2 *1136:9 *1136:10 248.077 -3 *1136:10 *1136:15 26.1963 -4 *1136:15 *1136:16 269.706 -5 *1136:16 *2472:la_iena_mprj[117] 34.276 -*END - -*D_NET *1137 0.115763 -*CONN -*I *2472:la_iena_mprj[118] I *D mgmt_protect -*I *2478:la_iena[118] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[118] 0.00182876 -2 *2478:la_iena[118] 0.00226325 -3 *1137:16 0.00513175 -4 *1137:15 0.00336103 -5 *1137:10 0.000770855 -6 *1137:9 0.000712827 -7 *1137:7 0.00226325 -8 *2472:la_iena_mprj[118] *2472:la_oenb_mprj[118] 0 -9 *1137:10 *1269:10 0.00191074 -10 *1137:10 *1597:16 0.00733588 -11 *1137:10 *1597:22 0.000388082 -12 *1137:10 *1597:24 6.50727e-05 -13 *1137:16 *1597:24 0.0102139 -14 *1137:16 *1600:24 0.00010238 -15 *1137:16 *1605:24 0.00140471 -16 *2472:la_data_out_mprj[118] *2472:la_iena_mprj[118] 0 -17 *625:11 *1137:7 0 -18 *870:16 *1137:16 0.0314684 -19 *874:10 *1137:16 1.41853e-05 -20 *876:7 *1137:15 1.24189e-05 -21 *878:10 *1137:10 0.00400945 -22 *879:14 *1137:16 0.0417171 -23 *880:7 *1137:7 0 -24 *890:10 *1137:10 0.000572015 -25 *890:10 *1137:16 0.000216928 -*RES -1 *2478:la_iena[118] *1137:7 47.6929 -2 *1137:7 *1137:9 4.5 -3 *1137:9 *1137:10 87.2416 -4 *1137:10 *1137:15 10.0015 -5 *1137:15 *1137:16 442.742 -6 *1137:16 *2472:la_iena_mprj[118] 45.4878 -*END - -*D_NET *1138 0.117992 -*CONN -*I *2472:la_iena_mprj[119] I *D mgmt_protect -*I *2478:la_iena[119] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[119] 0.00156777 -2 *2478:la_iena[119] 3.626e-05 -3 *1138:20 0.00516496 -4 *1138:19 0.00374596 -5 *1138:10 0.000755118 -6 *1138:9 0.000606343 -7 *1138:7 0.0024557 -8 *1138:5 0.00249196 -9 *2472:la_iena_mprj[119] *2472:la_oenb_mprj[119] 0 -10 *1138:10 *1588:24 0.000574932 -11 *1138:20 *1586:24 0.000108607 -12 *1138:20 *1588:24 0.00198471 -13 *2472:la_data_out_mprj[119] *2472:la_iena_mprj[119] 0 -14 *626:11 *1138:7 0 -15 *628:7 *2472:la_iena_mprj[119] 0 -16 *724:11 *2472:la_iena_mprj[119] 0.000259105 -17 *877:10 *1138:20 0.00015542 -18 *881:10 *1138:20 1.92172e-05 -19 *881:14 *1138:20 0.0440273 -20 *884:10 *1138:10 0.00161884 -21 *884:14 *1138:10 0.00240578 -22 *884:14 *1138:20 0.000381458 -23 *885:10 *1138:10 0.00696638 -24 *885:14 *1138:20 0.0426659 -*RES -1 *2478:la_iena[119] *1138:5 0.928211 -2 *1138:5 *1138:7 50.2089 -3 *1138:7 *1138:9 4.5 -4 *1138:9 *1138:10 73.3765 -5 *1138:10 *1138:19 11.0518 -6 *1138:19 *1138:20 468.254 -7 *1138:20 *2472:la_iena_mprj[119] 41.7506 -*END - -*D_NET *1139 0.15427 -*CONN -*I *2472:la_iena_mprj[11] I *D mgmt_protect -*I *2478:la_iena[11] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[11] 0.00147305 -2 *2478:la_iena[11] 0.00140873 -3 *1139:18 0.00339168 -4 *1139:10 0.00659866 -5 *1139:9 0.00608876 -6 *2472:la_iena_mprj[11] *2472:la_oenb_mprj[11] 0 -7 *1139:10 *1155:10 1.92172e-05 -8 *1139:10 *1267:10 0.0629386 -9 *1139:10 *1317:10 0.00349721 -10 *1139:10 *1339:10 0.00025506 -11 *1139:18 *2472:la_iena_mprj[6] 1.80266e-05 -12 *1139:18 *2472:la_oenb_mprj[6] 0.000355655 -13 *1139:18 *1154:10 0.00187111 -14 *1139:18 *1267:15 0.00103186 -15 *2472:la_data_out_mprj[11] *2472:la_iena_mprj[11] 0 -16 *2478:la_input[11] *1139:9 0 -17 *2478:la_input[44] *1139:18 4.3116e-06 -18 *677:14 *1139:10 0.000427125 -19 *872:7 *1139:9 0 -20 *896:10 *1139:18 0.00187111 -21 *977:10 *1139:10 0.0622698 -22 *988:10 *1139:10 0.000750112 -*RES -1 *2478:la_iena[11] *1139:9 38.9049 -2 *1139:9 *1139:10 675.121 -3 *1139:10 *1139:18 40.7334 -4 *1139:18 *2472:la_iena_mprj[11] 31.6447 -*END - -*D_NET *1140 0.120458 -*CONN -*I *2472:la_iena_mprj[120] I *D mgmt_protect -*I *2478:la_iena[120] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[120] 0.000515374 -2 *2478:la_iena[120] 8.30061e-05 -3 *1140:10 0.0050055 -4 *1140:9 0.00449013 -5 *1140:7 0.00386084 -6 *1140:5 0.00394384 -7 *2472:la_iena_mprj[120] *2472:la_oenb_mprj[120] 0 -8 *1140:10 *1142:10 0.0504008 -9 *2472:la_data_out_mprj[120] *2472:la_iena_mprj[120] 0 -10 *628:8 *1140:10 0.052002 -11 *628:11 *1140:7 0 -12 *630:8 *1140:10 0.000156579 -13 *882:7 *1140:7 0 -*RES -1 *2478:la_iena[120] *1140:5 2.05183 -2 *1140:5 *1140:7 76.3698 -3 *1140:7 *1140:9 4.5 -4 *1140:9 *1140:10 553.109 -5 *1140:10 *2472:la_iena_mprj[120] 15.5897 -*END - -*D_NET *1141 0.108956 -*CONN -*I *2472:la_iena_mprj[121] I *D mgmt_protect -*I *2478:la_iena[121] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[121] 0.00140666 -2 *2478:la_iena[121] 0.00201649 -3 *1141:16 0.00527283 -4 *1141:15 0.00457709 -5 *1141:10 0.00421462 -6 *1141:9 0.00552019 -7 *2472:la_iena_mprj[121] *2472:la_oenb_mprj[121] 0 -8 *1141:10 *1143:10 0.0287926 -9 *1141:15 *1147:15 0.000524551 -10 *1141:15 *1667:7 0.000131931 -11 *1141:16 *1143:16 0.0211045 -12 *1141:16 *1147:16 0.0030333 -13 *1141:16 *1589:22 0.00565466 -14 *2472:la_data_out_mprj[121] *2472:la_iena_mprj[121] 0 -15 *629:11 *1141:9 0 -16 *873:10 *1141:16 0 -17 *884:7 *1141:9 0 -18 *888:10 *1141:10 0.00151249 -19 *1123:13 *1141:15 0.000121156 -20 *1124:10 *1141:10 0.00161542 -21 *1125:10 *1141:10 1.41853e-05 -22 *1136:10 *1141:10 0.0227303 -23 *1136:16 *1141:16 0.000713504 -*RES -1 *2478:la_iena[121] *1141:9 47.6252 -2 *1141:9 *1141:10 321.839 -3 *1141:10 *1141:15 24.9506 -4 *1141:15 *1141:16 243.085 -5 *1141:16 *2472:la_iena_mprj[121] 35.1065 -*END - -*D_NET *1142 0.123716 -*CONN -*I *2472:la_iena_mprj[122] I *D mgmt_protect -*I *2478:la_iena[122] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[122] 0.000543661 -2 *2478:la_iena[122] 8.30061e-05 -3 *1142:10 0.00527888 -4 *1142:9 0.00473522 -5 *1142:7 0.00380131 -6 *1142:5 0.00388432 -7 *2472:la_iena_mprj[122] *2472:la_oenb_mprj[122] 0 -8 *2472:la_data_out_mprj[122] *2472:la_iena_mprj[122] 0 -9 *471:27 *1142:10 0 -10 *628:8 *1142:10 0.00020979 -11 *629:8 *1142:10 0.00051659 -12 *630:8 *1142:10 0.0542625 -13 *885:7 *1142:7 0 -14 *1140:10 *1142:10 0.0504008 -*RES -1 *2478:la_iena[122] *1142:5 2.05183 -2 *1142:5 *1142:7 75.9545 -3 *1142:7 *1142:9 4.5 -4 *1142:9 *1142:10 576.402 -5 *1142:10 *2472:la_iena_mprj[122] 16.0049 -*END - -*D_NET *1143 0.112991 -*CONN -*I *2472:la_iena_mprj[123] I *D mgmt_protect -*I *2478:la_iena[123] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[123] 0.00141256 -2 *2478:la_iena[123] 0.00202426 -3 *1143:16 0.00363582 -4 *1143:15 0.00312534 -5 *1143:10 0.00582783 -6 *1143:9 0.00695002 -7 *2472:la_iena_mprj[123] *2472:la_oenb_mprj[123] 0 -8 *1143:10 *1147:10 0 -9 *1143:10 *1259:10 0.00993967 -10 *1143:10 *1263:10 0.00352416 -11 *1143:10 *1265:10 0.000659929 -12 *1143:15 *2472:mprj_adr_o_core[29] 2.95757e-05 -13 *1143:15 *1257:15 0.000121929 -14 *1143:16 *1265:16 0.00102025 -15 *1143:16 *1589:22 0.000685805 -16 *1143:16 *1602:30 6.08467e-05 -17 *2472:la_data_out_mprj[123] *2472:la_iena_mprj[123] 0 -18 *2478:la_input[123] *1143:9 0 -19 *869:10 *1143:10 0.000279817 -20 *886:7 *1143:9 0 -21 *888:10 *1143:10 0.0015042 -22 *1124:9 *1143:15 8.62625e-06 -23 *1125:10 *1143:10 0.00190027 -24 *1136:16 *1143:16 0.0203826 -25 *1141:10 *1143:10 0.0287926 -26 *1141:16 *1143:16 0.0211045 -*RES -1 *2478:la_iena[123] *1143:9 48.0404 -2 *1143:9 *1143:10 344.578 -3 *1143:10 *1143:15 24.9506 -4 *1143:15 *1143:16 243.64 -5 *1143:16 *2472:la_iena_mprj[123] 34.6913 -*END - -*D_NET *1144 0.131915 -*CONN -*I *2472:la_iena_mprj[124] I *D mgmt_protect -*I *2478:la_iena[124] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[124] 0.00188508 -2 *2478:la_iena[124] 0.00233983 -3 *1144:10 0.00634576 -4 *1144:9 0.00446068 -5 *1144:7 0.00233983 -6 *2472:la_iena_mprj[124] *2472:la_oenb_mprj[124] 0 -7 *1144:10 *1589:16 0.000120974 -8 *1144:10 *1598:18 0.0158046 -9 *1144:10 *1599:24 0.00331572 -10 *2472:la_data_out_mprj[124] *2472:la_iena_mprj[124] 0 -11 *632:11 *1144:7 0 -12 *887:7 *1144:7 0 -13 *887:10 *1144:10 0.0561203 -14 *1135:12 *1144:10 0.00131478 -15 *1135:14 *1144:10 0.0378679 -*RES -1 *2478:la_iena[124] *1144:7 49.7692 -2 *1144:7 *1144:9 4.5 -3 *1144:9 *1144:10 599.695 -4 *1144:10 *2472:la_iena_mprj[124] 44.2421 -*END - -*D_NET *1145 0.134607 -*CONN -*I *2472:la_iena_mprj[125] I *D mgmt_protect -*I *2478:la_iena[125] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[125] 0.000643991 -2 *2478:la_iena[125] 8.30061e-05 -3 *1145:10 0.00534609 -4 *1145:9 0.0047021 -5 *1145:7 0.00362507 -6 *1145:5 0.00370807 -7 *2472:la_iena_mprj[125] *2472:la_oenb_mprj[125] 0 -8 *1145:7 *1657:13 0 -9 *1145:10 *1741:24 0.00313436 -10 *2472:la_data_out_mprj[125] *2472:la_iena_mprj[125] 0 -11 *609:8 *1145:10 0 -12 *632:8 *1145:10 0.0562411 -13 *633:8 *1145:10 0.000644459 -14 *633:11 *1145:7 0 -15 *635:8 *1145:10 0.0564793 -16 *888:9 *1145:7 0 -*RES -1 *2478:la_iena[125] *1145:5 2.05183 -2 *1145:5 *1145:7 73.8783 -3 *1145:7 *1145:9 4.5 -4 *1145:9 *1145:10 611.342 -5 *1145:10 *2472:la_iena_mprj[125] 18.0812 -*END - -*D_NET *1146 0.129563 -*CONN -*I *2472:la_iena_mprj[126] I *D mgmt_protect -*I *2478:la_iena[126] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[126] 0.000586533 -2 *2478:la_iena[126] 8.30061e-05 -3 *1146:10 0.00634215 -4 *1146:9 0.00575562 -5 *1146:7 0.00365188 -6 *1146:5 0.00373489 -7 *2472:la_iena_mprj[126] *2472:la_oenb_mprj[126] 0 -8 *2472:la_data_out_mprj[126] *2472:la_iena_mprj[126] 0 -9 *2478:la_input[126] *1146:7 0 -10 *630:8 *1146:10 0.0517527 -11 *633:8 *1146:10 0.0573798 -12 *635:8 *1146:10 0.000276534 -13 *889:9 *1146:7 0 -*RES -1 *2478:la_iena[126] *1146:5 2.05183 -2 *1146:5 *1146:7 75.124 -3 *1146:7 *1146:9 4.5 -4 *1146:9 *1146:10 623.543 -5 *1146:10 *2472:la_iena_mprj[126] 16.8354 -*END - -*D_NET *1147 0.0924199 -*CONN -*I *2472:la_iena_mprj[127] I *D mgmt_protect -*I *2478:la_iena[127] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[127] 0.00136371 -2 *2478:la_iena[127] 0.00209551 -3 *1147:16 0.00543951 -4 *1147:15 0.00461334 -5 *1147:10 0.00820716 -6 *1147:9 0.00766962 -7 *1147:7 0.00209551 -8 *2472:la_iena_mprj[127] *2472:la_oenb_mprj[127] 0 -9 *1147:7 *2191:15 0 -10 *1147:10 *1265:10 0.00575396 -11 *1147:10 *1268:10 0.0201121 -12 *1147:10 *1269:10 0.000895002 -13 *1147:10 *1597:16 0.000692134 -14 *1147:15 *2472:mprj_adr_o_core[26] 6.02883e-05 -15 *1147:15 *2472:mprj_dat_o_core[25] 0 -16 *1147:16 *1589:22 0.00168132 -17 *1147:16 *1598:28 0.000778588 -18 *1147:16 *1664:8 0.00177249 -19 *2472:la_data_out_mprj[127] *2472:la_iena_mprj[127] 0 -20 *862:15 *2472:la_iena_mprj[127] 0.000661124 -21 *870:15 *1147:10 0.000736108 -22 *871:10 *1147:10 0.00884108 -23 *873:10 *1147:16 0.0042523 -24 *889:10 *1147:10 0.00298101 -25 *890:7 *1147:7 0 -26 *891:10 *1147:10 0.000283528 -27 *1123:13 *1147:15 7.09666e-06 -28 *1130:14 *1147:10 0.00385789 -29 *1132:10 *1147:10 0.0040117 -30 *1141:15 *1147:15 0.000524551 -31 *1141:16 *1147:16 0.0030333 -32 *1143:10 *1147:10 0 -*RES -1 *2478:la_iena[127] *1147:7 46.0319 -2 *1147:7 *1147:9 4.5 -3 *1147:9 *1147:10 443.852 -4 *1147:10 *1147:15 20.798 -5 *1147:15 *1147:16 190.952 -6 *1147:16 *2472:la_iena_mprj[127] 36.3523 -*END - -*D_NET *1148 0.171551 -*CONN -*I *2472:la_iena_mprj[12] I *D mgmt_protect -*I *2478:la_iena[12] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[12] 0.00112657 -2 *2478:la_iena[12] 8.29983e-05 -3 *1148:10 0.00922799 -4 *1148:9 0.00810141 -5 *1148:7 0.00253265 -6 *1148:5 0.00261565 -7 *2472:la_iena_mprj[12] *2472:la_oenb_mprj[12] 0 -8 *1148:10 *1156:10 0.0666928 -9 *1148:10 *1178:10 0.000383654 -10 *1148:10 *1222:10 0 -11 *1148:10 *1244:10 0 -12 *1148:10 *1256:10 0 -13 *1148:10 *1276:10 0.075601 -14 *1148:10 *1284:10 0.000102747 -15 *1148:10 *1299:10 0.00241024 -16 *1148:10 *1306:10 4.08499e-05 -17 *1148:10 *1328:10 0.00020617 -18 *1148:10 *1350:10 0 -19 *2472:la_data_out_mprj[12] *2472:la_iena_mprj[12] 0 -20 *2478:la_input[12] *1148:7 0 -21 *883:7 *1148:7 0 -22 *914:10 *1148:10 0.00242587 -23 *1128:10 *1148:10 0 -*RES -1 *2478:la_iena[12] *1148:5 2.05183 -2 *1148:5 *1148:7 61.6283 -3 *1148:7 *1148:9 3.36879 -4 *1148:9 *1148:10 97.3454 -5 *1148:10 *2472:la_iena_mprj[12] 29.1999 -*END - -*D_NET *1149 0.180095 -*CONN -*I *2472:la_iena_mprj[13] I *D mgmt_protect -*I *2478:la_iena[13] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[13] 0.00247422 -2 *2478:la_iena[13] 0.00159835 -3 *1149:12 0.00247422 -4 *1149:10 0.00950648 -5 *1149:9 0.0111048 -6 *2472:la_iena_mprj[13] *2472:la_oenb_mprj[13] 0 -7 *2472:la_iena_mprj[13] *1186:7 0 -8 *1149:10 *1159:10 0.000161399 -9 *1149:10 *1161:10 0.000180782 -10 *1149:10 *1163:10 0.000217229 -11 *1149:10 *1233:10 0.0704756 -12 *1149:10 *1277:10 0.0740803 -13 *1149:10 *1279:10 0 -14 *1149:10 *1287:10 0.000169237 -15 *1149:10 *1289:10 0.000196208 -16 *1149:10 *1291:10 0.000236284 -17 *1149:10 *1293:10 0.00237494 -18 *1149:10 *1302:10 0.00155942 -19 *2472:la_data_out_mprj[13] *2472:la_iena_mprj[13] 0 -20 *616:14 *1149:10 0.00307947 -21 *637:21 *1149:9 0 -22 *674:11 *2472:la_iena_mprj[13] 0.000206324 -23 *892:7 *1149:9 0 -*RES -1 *2478:la_iena[13] *1149:9 41.3033 -2 *1149:9 *1149:10 95.7391 -3 *1149:10 *1149:12 3.36879 -4 *1149:12 *2472:la_iena_mprj[13] 51.5768 -*END - -*D_NET *1150 0.166416 -*CONN -*I *2472:la_iena_mprj[14] I *D mgmt_protect -*I *2478:la_iena[14] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[14] 0.00127849 -2 *2478:la_iena[14] 8.29983e-05 -3 *1150:10 0.00759267 -4 *1150:9 0.00631417 -5 *1150:7 0.00249638 -6 *1150:5 0.00257938 -7 *2472:la_iena_mprj[14] *2472:la_oenb_mprj[14] 0 -8 *1150:10 *1152:10 0.0696335 -9 *1150:10 *1276:10 0.000455287 -10 *1150:10 *1278:10 0.072982 -11 *1150:10 *1301:10 0.00200111 -12 *1150:10 *1303:16 0.00100031 -13 *2472:la_data_out_mprj[14] *2472:la_iena_mprj[14] 0 -14 *638:23 *1150:7 0 -15 *639:8 *1150:10 0 -16 *893:7 *1150:7 0 -17 *893:10 *1150:10 0 -*RES -1 *2478:la_iena[14] *1150:5 2.05183 -2 *1150:5 *1150:7 59.1368 -3 *1150:7 *1150:9 3.36879 -4 *1150:9 *1150:10 94.0563 -5 *1150:10 *2472:la_iena_mprj[14] 31.6914 -*END - -*D_NET *1151 0.118721 -*CONN -*I *2472:la_iena_mprj[15] I *D mgmt_protect -*I *2478:la_iena[15] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[15] 0.00239694 -2 *2478:la_iena[15] 0.00169206 -3 *1151:12 0.00239694 -4 *1151:10 0.0151317 -5 *1151:9 0.0168237 -6 *2472:la_iena_mprj[15] *2472:la_oenb_mprj[15] 0 -7 *1151:10 *1163:10 0.000117432 -8 *1151:10 *1165:10 0.000158053 -9 *1151:10 *1168:10 0.000171918 -10 *1151:10 *1277:10 0.0710203 -11 *1151:10 *1291:10 0.000123135 -12 *1151:10 *1293:10 0.000142759 -13 *1151:10 *1296:10 0.000945103 -14 *1151:10 *1302:10 0.000131535 -15 *1151:10 *1305:13 0.000130331 -16 *2472:la_data_out_mprj[15] *2472:la_iena_mprj[15] 0 -17 *616:13 *1151:10 0.00406948 -18 *627:10 *1151:10 0.00107588 -19 *636:8 *1151:10 0.000738553 -20 *636:12 *1151:10 0.000706329 -21 *639:11 *1151:9 0 -22 *643:8 *1151:10 0 -23 *644:8 *1151:10 0 -24 *645:8 *1151:10 0 -25 *668:14 *1151:10 0.000253087 -26 *672:8 *1151:10 0.000112976 -27 *897:10 *1151:10 0 -28 *898:10 *1151:10 0 -29 *909:16 *1151:10 0.000118462 -30 *913:16 *1151:10 0.000137341 -31 *915:16 *1151:10 0.000126544 -*RES -1 *2478:la_iena[15] *1151:9 42.9643 -2 *1151:9 *1151:10 92.5265 -3 *1151:10 *1151:12 3.36879 -4 *1151:12 *2472:la_iena_mprj[15] 49.9158 -*END - -*D_NET *1152 0.160793 -*CONN -*I *2472:la_iena_mprj[16] I *D mgmt_protect -*I *2478:la_iena[16] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[16] 0.00130971 -2 *2478:la_iena[16] 8.29983e-05 -3 *1152:10 0.00791545 -4 *1152:9 0.00660573 -5 *1152:7 0.00252189 -6 *1152:5 0.00260489 -7 *2472:la_iena_mprj[16] *2472:la_oenb_mprj[16] 0 -8 *1152:10 *1154:10 0.0671619 -9 *1152:10 *1176:10 0.00111057 -10 *1152:10 *1186:12 0.000176842 -11 *1152:10 *1278:10 0.000102747 -12 *2472:la_data_out_mprj[16] *2472:la_iena_mprj[16] 0 -13 *639:8 *1152:10 0 -14 *640:11 *1152:7 0 -15 *641:7 *2472:la_iena_mprj[16] 0 -16 *895:7 *1152:7 0 -17 *896:10 *1152:10 0.000326136 -18 *918:10 *1152:10 0.00124078 -19 *1150:10 *1152:10 0.0696335 -*RES -1 *2478:la_iena[16] *1152:5 2.05183 -2 *1152:5 *1152:7 58.3063 -3 *1152:7 *1152:9 3.36879 -4 *1152:9 *1152:10 90.8437 -5 *1152:10 *2472:la_iena_mprj[16] 32.5219 -*END - -*D_NET *1153 0.171031 -*CONN -*I *2472:la_iena_mprj[17] I *D mgmt_protect -*I *2478:la_iena[17] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[17] 0.00209731 -2 *2478:la_iena[17] 0.00114442 -3 *1153:12 0.00209731 -4 *1153:10 0.00863615 -5 *1153:9 0.00978057 -6 *2472:la_iena_mprj[17] *1281:13 0.00318626 -7 *1153:10 *1173:10 0.00181017 -8 *1153:10 *1279:10 0.0685126 -9 *1153:10 *1281:10 0.0689519 -10 *1153:10 *1300:10 0.00196896 -11 *2472:la_data_out_mprj[17] *2472:la_iena_mprj[17] 0 -12 *627:14 *1153:10 0.00284556 -13 *641:11 *1153:9 0 -14 *896:7 *1153:9 0 -15 *931:7 *2472:la_iena_mprj[17] 0 -*RES -1 *2478:la_iena[17] *1153:9 32.9983 -2 *1153:9 *1153:10 89.3139 -3 *1153:10 *1153:12 3.36879 -4 *1153:12 *2472:la_iena_mprj[17] 59.8818 -*END - -*D_NET *1154 0.115611 -*CONN -*I *2472:la_iena_mprj[18] I *D mgmt_protect -*I *2478:la_iena[18] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[18] 0.00136424 -2 *2478:la_iena[18] 3.62521e-05 -3 *1154:10 0.0143511 -4 *1154:9 0.0129868 -5 *1154:7 0.00254516 -6 *1154:5 0.00258141 -7 *2472:la_iena_mprj[18] *2472:la_oenb_mprj[18] 0 -8 *2472:la_iena_mprj[18] *1316:7 0 -9 *1154:10 *1180:10 0.000253421 -10 *1154:10 *1186:12 0 -11 *1154:10 *1305:16 0.000275651 -12 *2472:la_data_out_mprj[18] *2472:la_iena_mprj[18] 0 -13 *896:10 *1154:10 0.0115692 -14 *897:7 *1154:7 0 -15 *916:10 *1154:10 0.00023039 -16 *921:10 *1154:10 0.000275651 -17 *924:16 *1154:10 0.000108607 -18 *1139:18 *1154:10 0.00187111 -19 *1152:10 *1154:10 0.0671619 -*RES -1 *2478:la_iena[18] *1154:5 0.928211 -2 *1154:5 *1154:7 57.4758 -3 *1154:7 *1154:9 3.36879 -4 *1154:9 *1154:10 87.7841 -5 *1154:10 *2472:la_iena_mprj[18] 33.3524 -*END - -*D_NET *1155 0.129245 -*CONN -*I *2472:la_iena_mprj[19] I *D mgmt_protect -*I *2478:la_iena[19] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[19] 0.00257395 -2 *2478:la_iena[19] 0.00149834 -3 *1155:12 0.00257395 -4 *1155:10 0.00591712 -5 *1155:9 0.00741546 -6 *2472:la_iena_mprj[19] *2472:la_oenb_mprj[19] 0 -7 *2472:la_iena_mprj[19] *1316:7 0 -8 *1155:10 *1159:10 0.0532672 -9 *1155:10 *1185:10 0.000975721 -10 *1155:10 *1187:10 0.00333991 -11 *1155:10 *1189:10 0.00254869 -12 *1155:10 *1267:10 0.0485505 -13 *1155:10 *1311:10 0 -14 *1155:10 *1312:10 0 -15 *1155:10 *1339:10 0.000173271 -16 *2472:la_data_out_mprj[19] *2472:la_iena_mprj[19] 0 -17 *2478:la_input[19] *1155:9 0 -18 *616:14 *1155:10 0 -19 *643:7 *2472:la_iena_mprj[19] 0 -20 *898:9 *1155:9 0 -21 *988:10 *1155:10 0.000391594 -22 *1139:10 *1155:10 1.92172e-05 -*RES -1 *2478:la_iena[19] *1155:9 39.7354 -2 *1155:9 *1155:10 624.098 -3 *1155:10 *1155:12 4.5 -4 *1155:12 *2472:la_iena_mprj[19] 54.2759 -*END - -*D_NET *1156 0.202694 -*CONN -*I *2472:la_iena_mprj[1] I *D mgmt_protect -*I *2478:la_iena[1] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[1] 0.00117205 -2 *2478:la_iena[1] 8.29983e-05 -3 *1156:10 0.0105111 -4 *1156:9 0.00933905 -5 *1156:7 0.00230112 -6 *1156:5 0.00238412 -7 *2472:la_iena_mprj[1] *2472:la_oenb_mprj[1] 0 -8 *1156:7 *1562:16 0 -9 *1156:7 *1572:13 0 -10 *1156:7 *2037:11 0 -11 *1156:10 *1284:10 0.0898656 -12 *2472:la_data_out_mprj[1] *2472:la_iena_mprj[1] 0 -13 *644:11 *1156:7 0 -14 *861:7 *1156:7 0 -15 *912:10 *1156:10 0.00349207 -16 *1117:10 *1156:10 0.0168527 -17 *1148:10 *1156:10 0.0666928 -*RES -1 *2478:la_iena[1] *1156:5 2.05183 -2 *1156:5 *1156:7 62.4588 -3 *1156:7 *1156:9 3.36879 -4 *1156:9 *1156:10 115.091 -5 *1156:10 *2472:la_iena_mprj[1] 28.3694 -*END - -*D_NET *1157 0.153698 -*CONN -*I *2472:la_iena_mprj[20] I *D mgmt_protect -*I *2478:la_iena[20] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[20] 0.00282125 -2 *2478:la_iena[20] 0.00109501 -3 *1157:12 0.00282125 -4 *1157:10 0.00703599 -5 *1157:9 0.008131 -6 *2472:la_iena_mprj[20] *2472:la_oenb_mprj[20] 0 -7 *1157:10 *1281:10 0.0635202 -8 *1157:10 *1283:10 0.000371151 -9 *1157:10 *1285:10 0.065285 -10 *1157:10 *1304:10 0.00105713 -11 *2472:la_data_out_mprj[20] *2472:la_iena_mprj[20] 0 -12 *2478:la_input[20] *1157:9 0 -13 *678:11 *2472:la_iena_mprj[20] 0.000260423 -14 *899:7 *1157:9 0 -15 *919:10 *1157:10 0.0012995 -*RES -1 *2478:la_iena[20] *1157:9 31.3372 -2 *1157:9 *1157:10 84.4186 -3 *1157:10 *1157:12 3.36879 -4 *1157:12 *2472:la_iena_mprj[20] 61.5428 -*END - -*D_NET *1158 0.131877 -*CONN -*I *2472:la_iena_mprj[21] I *D mgmt_protect -*I *2478:la_iena[21] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[21] 0.000886989 -2 *2478:la_iena[21] 8.29983e-05 -3 *1158:10 0.00526383 -4 *1158:9 0.00437684 -5 *1158:7 0.00308509 -6 *1158:5 0.00316808 -7 *2472:la_iena_mprj[21] *2472:la_oenb_mprj[21] 0 -8 *1158:10 *1160:10 0.0530002 -9 *1158:10 *1282:10 0.0546643 -10 *1158:10 *1286:10 0.00132061 -11 *1158:10 *1328:10 0.00508031 -12 *646:11 *1158:7 0 -13 *647:7 *2472:la_iena_mprj[21] 0 -14 *901:9 *1158:7 0 -15 *902:13 *2472:la_iena_mprj[21] 0 -16 *931:10 *1158:10 0.000947792 -*RES -1 *2478:la_iena[21] *1158:5 2.05183 -2 *1158:5 *1158:7 65.9885 -3 *1158:7 *1158:9 4.5 -4 *1158:9 *1158:10 600.25 -5 *1158:10 *2472:la_iena_mprj[21] 25.971 -*END - -*D_NET *1159 0.130434 -*CONN -*I *2472:la_iena_mprj[22] I *D mgmt_protect -*I *2478:la_iena[22] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[22] 6.22868e-05 -2 *2478:la_iena[22] 0.00156927 -3 *1159:13 0.00188295 -4 *1159:12 0.00182066 -5 *1159:10 0.00436947 -6 *1159:9 0.00593874 -7 *1159:10 *1187:10 0.000970459 -8 *1159:10 *1287:10 0.0545369 -9 *1159:10 *1339:10 0.00294553 -10 *1159:13 *2472:la_oenb_mprj[22] 0 -11 *2472:la_data_out_mprj[22] *1159:13 0.00290936 -12 *616:14 *1159:10 0 -13 *647:11 *1159:9 0 -14 *902:9 *1159:9 0 -15 *1149:10 *1159:10 0.000161399 -16 *1155:10 *1159:10 0.0532672 -*RES -1 *2478:la_iena[22] *1159:9 40.1506 -2 *1159:9 *1159:10 586.939 -3 *1159:10 *1159:12 4.5 -4 *1159:12 *1159:13 53.9461 -5 *1159:13 *2472:la_iena_mprj[22] 1.77093 -*END - -*D_NET *1160 0.128542 -*CONN -*I *2472:la_iena_mprj[23] I *D mgmt_protect -*I *2478:la_iena[23] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[23] 0.000851711 -2 *2478:la_iena[23] 8.29983e-05 -3 *1160:10 0.00496104 -4 *1160:9 0.00410933 -5 *1160:7 0.00315871 -6 *1160:5 0.00324171 -7 *2472:la_iena_mprj[23] *2472:la_oenb_mprj[23] 0 -8 *2472:la_iena_mprj[23] *1319:7 8.11463e-06 -9 *1160:10 *1286:10 0.0532169 -10 *1160:10 *1288:10 0.000601789 -11 *1160:10 *1328:10 0.0046706 -12 *2472:la_data_out_mprj[23] *2472:la_iena_mprj[23] 0 -13 *648:11 *1160:7 0 -14 *649:7 *2472:la_iena_mprj[23] 0 -15 *903:9 *1160:7 0 -16 *931:10 *1160:10 7.83176e-05 -17 *934:10 *1160:10 0.000560858 -18 *1158:10 *1160:10 0.0530002 -*RES -1 *2478:la_iena[23] *1160:5 2.05183 -2 *1160:5 *1160:7 66.4037 -3 *1160:7 *1160:9 4.5 -4 *1160:9 *1160:10 576.957 -5 *1160:10 *2472:la_iena_mprj[23] 25.5557 -*END - -*D_NET *1161 0.124583 -*CONN -*I *2472:la_iena_mprj[24] I *D mgmt_protect -*I *2478:la_iena[24] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[24] 0.0024754 -2 *2478:la_iena[24] 0.00163745 -3 *1161:12 0.0024754 -4 *1161:10 0.00422769 -5 *1161:9 0.00586514 -6 *2472:la_iena_mprj[24] *2472:la_oenb_mprj[24] 0 -7 *1161:10 *1191:10 0.000356734 -8 *1161:10 *1211:10 0.00266988 -9 *1161:10 *1233:10 0.000101365 -10 *1161:10 *1287:10 0.0520071 -11 *1161:10 *1289:10 0.0524061 -12 *616:14 *1161:10 0.000179601 -13 *649:11 *1161:9 0 -14 *905:13 *2472:la_iena_mprj[24] 0 -15 *1149:10 *1161:10 0.000180782 -*RES -1 *2478:la_iena[24] *1161:9 40.9811 -2 *1161:9 *1161:10 565.31 -3 *1161:10 *1161:12 4.5 -4 *1161:12 *2472:la_iena_mprj[24] 53.0301 -*END - -*D_NET *1162 0.12093 -*CONN -*I *2472:la_iena_mprj[25] I *D mgmt_protect -*I *2478:la_iena[25] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[25] 0.000797169 -2 *2478:la_iena[25] 8.29983e-05 -3 *1162:10 0.00526054 -4 *1162:9 0.00446338 -5 *1162:7 0.00328672 -6 *1162:5 0.00336972 -7 *2472:la_iena_mprj[25] *2472:la_oenb_mprj[25] 0 -8 *1162:10 *1164:10 0.000451273 -9 *1162:10 *1192:10 0.000143313 -10 *1162:10 *1288:10 0.050937 -11 *1162:10 *1290:10 0.000756785 -12 *1162:10 *1292:10 0.0475059 -13 *1162:10 *1350:10 0.003828 -14 *2472:la_data_out_mprj[25] *2472:la_iena_mprj[25] 0 -15 *650:11 *1162:7 0 -16 *905:9 *1162:7 0 -17 *934:10 *1162:10 4.72904e-05 -*RES -1 *2478:la_iena[25] *1162:5 2.05183 -2 *1162:5 *1162:7 67.6495 -3 *1162:7 *1162:9 4.5 -4 *1162:9 *1162:10 553.663 -5 *1162:10 *2472:la_iena_mprj[25] 24.31 -*END - -*D_NET *1163 0.119863 -*CONN -*I *2472:la_iena_mprj[26] I *D mgmt_protect -*I *2478:la_iena[26] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[26] 0.00242823 -2 *2478:la_iena[26] 0.00170563 -3 *1163:12 0.00242823 -4 *1163:10 0.00414226 -5 *1163:9 0.0058479 -6 *2472:la_iena_mprj[26] *2472:la_oenb_mprj[26] 0 -7 *1163:10 *1191:10 8.68552e-05 -8 *1163:10 *1233:10 0.00251236 -9 *1163:10 *1289:10 0.0497701 -10 *1163:10 *1291:10 0.0501627 -11 *2472:la_data_out_mprj[26] *2472:la_iena_mprj[26] 0 -12 *616:14 *1163:10 0.000443728 -13 *651:11 *1163:9 0 -14 *906:9 *1163:9 0 -15 *1149:10 *1163:10 0.000217229 -16 *1151:10 *1163:10 0.000117432 -*RES -1 *2478:la_iena[26] *1163:9 41.8116 -2 *1163:9 *1163:10 542.016 -3 *1163:10 *1163:12 4.5 -4 *1163:12 *2472:la_iena_mprj[26] 52.1996 -*END - -*D_NET *1164 0.119104 -*CONN -*I *2472:la_iena_mprj[27] I *D mgmt_protect -*I *2478:la_iena[27] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[27] 0.00074403 -2 *2478:la_iena[27] 5.96252e-05 -3 *1164:10 0.00466398 -4 *1164:9 0.00391995 -5 *1164:7 0.00337933 -6 *1164:5 0.00343896 -7 *2472:la_iena_mprj[27] *2472:la_oenb_mprj[27] 0 -8 *1164:10 *1244:10 0.00404065 -9 *1164:10 *1290:10 0.0487187 -10 *1164:10 *1292:10 0.0491178 -11 *1164:10 *1294:10 5.2472e-05 -12 *2472:la_data_out_mprj[27] *2472:la_iena_mprj[27] 0 -13 *652:7 *2472:la_iena_mprj[27] 0 -14 *652:11 *1164:7 0 -15 *907:9 *1164:7 0 -16 *908:10 *1164:10 0.000517688 -17 *1162:10 *1164:10 0.000451273 -*RES -1 *2478:la_iena[27] *1164:5 1.49002 -2 *1164:5 *1164:7 68.48 -3 *1164:7 *1164:9 4.5 -4 *1164:9 *1164:10 530.924 -5 *1164:10 *2472:la_iena_mprj[27] 23.4795 -*END - -*D_NET *1165 0.113154 -*CONN -*I *2472:la_iena_mprj[28] I *D mgmt_protect -*I *2478:la_iena[28] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[28] 0.00232689 -2 *2478:la_iena[28] 0.00181039 -3 *1165:12 0.00232689 -4 *1165:10 0.00443281 -5 *1165:9 0.0062432 -6 *2472:la_iena_mprj[28] *2472:la_oenb_mprj[28] 0 -7 *1165:10 *1168:10 0.0450435 -8 *1165:10 *1277:10 0.00244939 -9 *1165:10 *1293:10 0.0479428 -10 *2472:la_data_out_mprj[28] *2472:la_iena_mprj[28] 0 -11 *616:14 *1165:10 0.00041958 -12 *636:16 *1165:10 0 -13 *653:11 *1165:9 0 -14 *908:7 *1165:9 0 -15 *1151:10 *1165:10 0.000158053 -*RES -1 *2478:la_iena[28] *1165:9 43.4726 -2 *1165:9 *1165:10 518.169 -3 *1165:10 *1165:12 4.5 -4 *1165:12 *2472:la_iena_mprj[28] 50.5386 -*END - -*D_NET *1166 0.112047 -*CONN -*I *2472:la_iena_mprj[29] I *D mgmt_protect -*I *2478:la_iena[29] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[29] 0.000665126 -2 *2478:la_iena[29] 8.29983e-05 -3 *1166:10 0.00474955 -4 *1166:9 0.00408442 -5 *1166:7 0.00352781 -6 *1166:5 0.00361081 -7 *2472:la_iena_mprj[29] *2472:la_oenb_mprj[29] 0 -8 *1166:10 *1169:10 0.043917 -9 *1166:10 *1256:10 0.00300887 -10 *1166:10 *1294:10 0.0469419 -11 *2472:la_data_out_mprj[29] *2472:la_iena_mprj[29] 0 -12 *654:11 *1166:7 0 -13 *908:10 *1166:10 0.000378828 -14 *909:9 *1166:7 0 -15 *910:10 *1166:10 0.00107913 -*RES -1 *2478:la_iena[29] *1166:5 2.05183 -2 *1166:5 *1166:7 70.141 -3 *1166:7 *1166:9 4.5 -4 *1166:9 *1166:10 507.076 -5 *1166:10 *2472:la_iena_mprj[29] 21.8185 -*END - -*D_NET *1167 0.2165 -*CONN -*I *2472:la_iena_mprj[2] I *D mgmt_protect -*I *2478:la_iena[2] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[2] 0.00277555 -2 *2478:la_iena[2] 0.000765051 -3 *1167:12 0.00277555 -4 *1167:10 0.0111737 -5 *1167:9 0.0119387 -6 *2472:la_iena_mprj[2] *2472:la_oenb_mprj[2] 0 -7 *1167:9 *2347:16 0.00180761 -8 *1167:10 *1179:10 0.000157517 -9 *1167:10 *1245:10 0.0874927 -10 *1167:10 *1279:10 0.000686467 -11 *1167:10 *1295:10 0.0878751 -12 *2472:la_data_out_mprj[2] *2472:la_iena_mprj[2] 0 -13 *900:7 *1167:9 0 -14 *911:10 *1167:10 0.000277664 -15 *924:15 *2472:la_iena_mprj[2] 0.00121598 -16 *933:10 *1167:10 0.00393788 -17 *955:10 *1167:10 0.0036203 -*RES -1 *2478:la_iena[2] *1167:9 33.8043 -2 *1167:9 *1167:10 113.638 -3 *1167:10 *1167:12 3.36879 -4 *1167:12 *2472:la_iena_mprj[2] 57.3903 -*END - -*D_NET *1168 0.109712 -*CONN -*I *2472:la_iena_mprj[30] I *D mgmt_protect -*I *2478:la_iena[30] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[30] 0.00227731 -2 *2478:la_iena[30] 0.00185386 -3 *1168:12 0.00227731 -4 *1168:10 0.00390042 -5 *1168:9 0.00575428 -6 *2472:la_iena_mprj[30] *2472:la_oenb_mprj[30] 0 -7 *1168:10 *1277:10 0.00225185 -8 *1168:10 *1293:10 9.16621e-05 -9 *1168:10 *1296:10 0.045721 -10 *1168:10 *1302:10 0.000369111 -11 *2472:la_data_out_mprj[30] *2472:la_iena_mprj[30] 0 -12 *636:16 *1168:10 0 -13 *656:11 *1168:9 0 -14 *682:11 *2472:la_iena_mprj[30] 0 -15 *910:7 *1168:9 0 -16 *1151:10 *1168:10 0.000171918 -17 *1165:10 *1168:10 0.0450435 -*RES -1 *2478:la_iena[30] *1168:9 43.8879 -2 *1168:9 *1168:10 494.875 -3 *1168:10 *1168:12 4.5 -4 *1168:12 *2472:la_iena_mprj[30] 50.1234 -*END - -*D_NET *1169 0.107951 -*CONN -*I *2472:la_iena_mprj[31] I *D mgmt_protect -*I *2478:la_iena[31] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[31] 0.000640264 -2 *2478:la_iena[31] 8.29983e-05 -3 *1169:10 0.00465657 -4 *1169:9 0.0040163 -5 *1169:7 0.00360412 -6 *1169:5 0.00368711 -7 *2472:la_iena_mprj[31] *2472:la_oenb_mprj[31] 0 -8 *1169:10 *1256:10 0.00276622 -9 *1169:10 *1294:10 9.16621e-05 -10 *1169:10 *1297:10 0.000779902 -11 *1169:10 *1320:10 0 -12 *2472:la_data_out_mprj[31] *2472:la_iena_mprj[31] 0 -13 *657:11 *1169:7 0 -14 *658:7 *2472:la_iena_mprj[31] 0 -15 *682:8 *1169:10 0 -16 *910:10 *1169:10 0.0437088 -17 *912:7 *1169:7 0 -18 *1166:10 *1169:10 0.043917 -*RES -1 *2478:la_iena[31] *1169:5 2.05183 -2 *1169:5 *1169:7 70.5562 -3 *1169:7 *1169:9 4.5 -4 *1169:9 *1169:10 483.783 -5 *1169:10 *2472:la_iena_mprj[31] 21.4032 -*END - -*D_NET *1170 0.102584 -*CONN -*I *2472:la_iena_mprj[32] I *D mgmt_protect -*I *2478:la_iena[32] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[32] 6.22868e-05 -2 *2478:la_iena[32] 0.00129841 -3 *1170:13 0.00219872 -4 *1170:12 0.00213643 -5 *1170:10 0.00420972 -6 *1170:9 0.00550813 -7 *1170:10 *1173:10 0.0353654 -8 *1170:10 *1279:10 0.00239425 -9 *1170:10 *1298:10 0.0434344 -10 *1170:10 *1300:10 0.000469586 -11 *1170:10 *1300:14 0.0031575 -12 *1170:13 *2472:la_oenb_mprj[32] 0 -13 *1170:13 *1195:9 0 -14 *2472:la_data_out_mprj[32] *1170:13 0.00143683 -15 *627:13 *1170:9 5.48015e-06 -16 *658:7 *1170:13 0 -17 *658:11 *1170:9 0 -18 *683:11 *1170:13 0.000487207 -19 *911:10 *1170:10 0.00041958 -20 *913:9 *1170:9 0 -21 *913:10 *1170:10 0 -*RES -1 *2478:la_iena[32] *1170:9 35.1676 -2 *1170:9 *1170:10 469.918 -3 *1170:10 *1170:12 4.5 -4 *1170:12 *1170:13 58.9292 -5 *1170:13 *2472:la_iena_mprj[32] 1.77093 -*END - -*D_NET *1171 0.103247 -*CONN -*I *2472:la_iena_mprj[33] I *D mgmt_protect -*I *2478:la_iena[33] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[33] 0.000950201 -2 *2478:la_iena[33] 8.29983e-05 -3 *1171:10 0.00485823 -4 *1171:9 0.00390803 -5 *1171:7 0.00306768 -6 *1171:5 0.00315068 -7 *2472:la_iena_mprj[33] *2472:la_oenb_mprj[33] 0 -8 *1171:10 *1172:10 0.0413268 -9 *1171:10 *1276:10 0.00247182 -10 *1171:10 *1299:10 0.0422785 -11 *2472:la_data_out_mprj[33] *2472:la_iena_mprj[33] 0 -12 *2478:la_input[33] *1171:7 0 -13 *914:7 *1171:7 0 -14 *914:10 *1171:10 0.000451273 -15 *935:13 *2472:la_iena_mprj[33] 0.000393005 -16 *936:13 *2472:la_iena_mprj[33] 5.66868e-06 -17 *939:11 *2472:la_iena_mprj[33] 0.000302412 -*RES -1 *2478:la_iena[33] *1171:5 2.05183 -2 *1171:5 *1171:7 61.4207 -3 *1171:7 *1171:9 4.5 -4 *1171:9 *1171:10 460.49 -5 *1171:10 *2472:la_iena_mprj[33] 30.5388 -*END - -*D_NET *1172 0.0998128 -*CONN -*I *2472:la_iena_mprj[34] I *D mgmt_protect -*I *2478:la_iena[34] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[34] 0.00111436 -2 *2478:la_iena[34] 8.29983e-05 -3 *1172:10 0.00501315 -4 *1172:9 0.00389879 -5 *1172:7 0.00307088 -6 *1172:5 0.00315388 -7 *2472:la_iena_mprj[34] *2472:la_oenb_mprj[34] 0 -8 *1172:10 *1174:10 0.0383334 -9 *1172:10 *1276:10 0.00247599 -10 *1172:10 *1299:10 8.90486e-05 -11 *1172:10 *1308:22 3.18085e-05 -12 *2472:la_data_out_mprj[34] *2472:la_iena_mprj[34] 0 -13 *2478:la_input[34] *1172:7 0 -14 *659:16 *1172:7 0 -15 *915:9 *1172:7 0 -16 *916:10 *1172:10 0.00105498 -17 *938:13 *2472:la_iena_mprj[34] 0.000166653 -18 *1171:10 *1172:10 0.0413268 -*RES -1 *2478:la_iena[34] *1172:5 2.05183 -2 *1172:5 *1172:7 61.0054 -3 *1172:7 *1172:9 4.5 -4 *1172:9 *1172:10 448.289 -5 *1172:10 *2472:la_iena_mprj[34] 30.954 -*END - -*D_NET *1173 0.098474 -*CONN -*I *2472:la_iena_mprj[35] I *D mgmt_protect -*I *2478:la_iena[35] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[35] 0.00128436 -2 *2478:la_iena[35] 0.00129488 -3 *1173:25 0.00210941 -4 *1173:16 0.00146119 -5 *1173:15 0.000899344 -6 *1173:10 0.00295582 -7 *1173:9 0.0039875 -8 *2472:la_iena_mprj[35] *2472:la_oenb_mprj[35] 0.000680343 -9 *1173:10 *1300:10 0.0353696 -10 *1173:15 *1304:15 0 -11 *1173:15 *1321:9 0.000284582 -12 *1173:16 *1179:16 0.00383455 -13 *1173:16 *1193:10 0.0057313 -14 *1173:16 *1302:10 0.000490085 -15 *1173:25 *1181:25 0.000894096 -16 *1173:25 *1309:23 1.2693e-05 -17 *1173:25 *1324:9 8.62625e-06 -18 *2472:la_data_out_mprj[35] *2472:la_iena_mprj[35] 0 -19 *2472:la_data_out_mprj[35] *1173:25 0 -20 *2478:la_input[35] *1173:9 0 -21 *684:11 *1173:25 0 -22 *916:7 *1173:9 0 -23 *926:25 *1173:15 0 -24 *940:7 *2472:la_iena_mprj[35] 0 -25 *940:7 *1173:25 0 -26 *1153:10 *1173:10 0.00181017 -27 *1170:10 *1173:10 0.0353654 -*RES -1 *2478:la_iena[35] *1173:9 34.7523 -2 *1173:9 *1173:10 374.526 -3 *1173:10 *1173:15 15.815 -4 *1173:15 *1173:16 61.1752 -5 *1173:16 *1173:25 27.2534 -6 *1173:25 *2472:la_iena_mprj[35] 34.0873 -*END - -*D_NET *1174 0.0950644 -*CONN -*I *2472:la_iena_mprj[36] I *D mgmt_protect -*I *2478:la_iena[36] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[36] 0.00120423 -2 *2478:la_iena[36] 3.62521e-05 -3 *1174:10 0.00504068 -4 *1174:9 0.00383644 -5 *1174:7 0.0031049 -6 *1174:5 0.00314115 -7 *2472:la_iena_mprj[36] *2472:la_oenb_mprj[36] 0 -8 *1174:10 *1278:10 0.00157137 -9 *1174:10 *1301:10 0.00052936 -10 *1174:10 *1303:16 0.000136843 -11 *1174:10 *1308:22 0 -12 *2472:la_data_out_mprj[36] *2472:la_iena_mprj[36] 0 -13 *916:10 *1174:10 0.0381297 -14 *917:9 *1174:7 0 -15 *1172:10 *1174:10 0.0383334 -*RES -1 *2478:la_iena[36] *1174:5 0.928211 -2 *1174:5 *1174:7 60.5902 -3 *1174:7 *1174:9 4.5 -4 *1174:9 *1174:10 426.104 -5 *1174:10 *2472:la_iena_mprj[36] 31.3693 -*END - -*D_NET *1175 0.0863214 -*CONN -*I *2472:la_iena_mprj[37] I *D mgmt_protect -*I *2478:la_iena[37] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[37] 0.00224093 -2 *2478:la_iena[37] 0.00125878 -3 *1175:16 0.00224093 -4 *1175:14 0.000859764 -5 *1175:12 0.000877956 -6 *1175:10 0.00292853 -7 *1175:9 0.00416912 -8 *2472:la_iena_mprj[37] *2472:la_oenb_mprj[37] 0 -9 *2472:la_iena_mprj[37] *1185:15 0 -10 *2472:la_iena_mprj[37] *1187:15 0.00198764 -11 *2472:la_iena_mprj[37] *1325:9 0 -12 *1175:10 *1177:10 0.0295729 -13 *1175:10 *1177:14 7.6719e-06 -14 *1175:10 *1194:10 0.000961455 -15 *1175:10 *1281:10 0.00146262 -16 *1175:10 *1300:10 0.0316377 -17 *1175:10 *1300:14 0.000392997 -18 *1175:10 *1304:10 0.000475421 -19 *1175:12 *1177:12 1.09551e-05 -20 *1175:14 *1177:14 0.00240362 -21 *1175:14 *1194:10 0.00239627 -22 *2472:la_data_out_mprj[37] *2472:la_iena_mprj[37] 0 -23 *2478:la_input[37] *1175:9 0 -24 *662:16 *1175:9 0 -25 *913:10 *1175:10 9.34933e-05 -26 *918:7 *1175:9 0 -27 *919:10 *1175:10 0.000342605 -*RES -1 *2478:la_iena[37] *1175:9 33.9218 -2 *1175:9 *1175:10 354.56 -3 *1175:10 *1175:12 0.578717 -4 *1175:12 *1175:14 58.9568 -5 *1175:14 *1175:16 4.5 -6 *1175:16 *2472:la_iena_mprj[37] 60.5047 -*END - -*D_NET *1176 0.0873055 -*CONN -*I *2472:la_iena_mprj[38] I *D mgmt_protect -*I *2478:la_iena[38] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[38] 0.00129503 -2 *2478:la_iena[38] 8.29983e-05 -3 *1176:10 0.00549688 -4 *1176:9 0.00420185 -5 *1176:7 0.00304042 -6 *1176:5 0.00312342 -7 *2472:la_iena_mprj[38] *2472:la_oenb_mprj[38] 0 -8 *1176:10 *1180:10 0.0318767 -9 *1176:10 *1303:16 0.000534259 -10 *1176:10 *1305:16 0.000282235 -11 *2472:la_data_out_mprj[38] *2472:la_iena_mprj[38] 0 -12 *2478:la_input[38] *1176:7 0 -13 *605:8 *1176:10 0 -14 *652:8 *1176:10 0 -15 *918:10 *1176:10 0.0357521 -16 *919:9 *1176:7 0 -17 *921:10 *1176:10 0.000431815 -18 *941:7 *2472:la_iena_mprj[38] 5.56367e-05 -19 *941:10 *1176:10 2.16355e-05 -20 *1152:10 *1176:10 0.00111057 -*RES -1 *2478:la_iena[38] *1176:5 2.05183 -2 *1176:5 *1176:7 58.5139 -3 *1176:7 *1176:9 4.5 -4 *1176:9 *1176:10 401.702 -5 *1176:10 *2472:la_iena_mprj[38] 33.4455 -*END - -*D_NET *1177 0.0847029 -*CONN -*I *2472:la_iena_mprj[39] I *D mgmt_protect -*I *2478:la_iena[39] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[39] 6.22868e-05 -2 *2478:la_iena[39] 0.0012622 -3 *1177:17 0.002015 -4 *1177:16 0.00195272 -5 *1177:14 0.000963964 -6 *1177:12 0.000998743 -7 *1177:10 0.00237083 -8 *1177:9 0.00359825 -9 *1177:10 *1281:10 0.00114986 -10 *1177:10 *1304:10 0.0282137 -11 *1177:10 *1307:16 3.80872e-05 -12 *1177:14 *1194:10 0.000266465 -13 *1177:14 *1307:16 1.61631e-05 -14 *1177:14 *1307:20 0.000774455 -15 *1177:17 *2472:la_oenb_mprj[39] 0 -16 *1177:17 *1191:18 0.00137009 -17 *1177:17 *1193:15 7.41203e-05 -18 *1177:17 *1194:15 7.45478e-05 -19 *2472:la_data_out_mprj[39] *1177:17 0.00167515 -20 *2478:la_input[39] *1177:9 0 -21 *665:5 *1177:17 0 -22 *686:11 *1177:17 0 -23 *919:10 *1177:10 0.000535391 -24 *919:20 *1177:14 0.00529574 -25 *920:9 *1177:9 0 -26 *1175:10 *1177:10 0.0295729 -27 *1175:10 *1177:14 7.6719e-06 -28 *1175:12 *1177:12 1.09551e-05 -29 *1175:14 *1177:14 0.00240362 -*RES -1 *2478:la_iena[39] *1177:9 33.5066 -2 *1177:9 *1177:10 313.52 -3 *1177:10 *1177:12 0.988641 -4 *1177:12 *1177:14 75.0403 -5 *1177:14 *1177:16 4.5 -6 *1177:16 *1177:17 61.4207 -7 *1177:17 *2472:la_iena_mprj[39] 1.77093 -*END - -*D_NET *1178 0.213209 -*CONN -*I *2472:la_iena_mprj[3] I *D mgmt_protect -*I *2478:la_iena[3] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[3] 0.00107783 -2 *2478:la_iena[3] 6.68436e-05 -3 *1178:10 0.0067042 -4 *1178:9 0.00562637 -5 *1178:7 0.000778007 -6 *1178:5 0.00084485 -7 *2472:la_iena_mprj[3] *2472:la_oenb_mprj[3] 0 -8 *1178:10 *1284:10 0.0868514 -9 *1178:10 *1306:10 0.0872664 -10 *2472:la_data_out_mprj[3] *2472:la_iena_mprj[3] 0 -11 *100:74 *1178:7 0 -12 *467:33 *1178:7 0.00366745 -13 *485:41 *1178:7 0.00385396 -14 *922:10 *1178:10 0.00031861 -15 *944:10 *1178:10 0.00636505 -16 *966:10 *1178:10 0.00940448 -17 *1148:10 *1178:10 0.000383654 -*RES -1 *2478:la_iena[3] *1178:5 1.49002 -2 *1178:5 *1178:7 64.1198 -3 *1178:7 *1178:9 3.36879 -4 *1178:9 *1178:10 111.955 -5 *1178:10 *2472:la_iena_mprj[3] 26.7084 -*END - -*D_NET *1179 0.0836415 -*CONN -*I *2472:la_iena_mprj[40] I *D mgmt_protect -*I *2478:la_iena[40] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[40] 0.00162056 -2 *2478:la_iena[40] 0.00145011 -3 *1179:18 0.00162056 -4 *1179:16 0.000800167 -5 *1179:15 0.00104735 -6 *1179:10 0.00259699 -7 *1179:9 0.00379992 -8 *2472:la_iena_mprj[40] *1307:23 0.00292847 -9 *1179:10 *1183:10 0.019938 -10 *1179:10 *1245:10 0.000260662 -11 *1179:10 *1279:10 0.000319366 -12 *1179:10 *1298:10 0.00136044 -13 *1179:10 *1311:10 0.0189908 -14 *1179:10 *1361:10 0.000185163 -15 *1179:15 *1322:9 8.4419e-05 -16 *1179:16 *1193:10 1.41689e-05 -17 *1179:16 *1302:10 0.000857278 -18 *1179:16 *1323:10 0.00596675 -19 *1179:16 *1324:10 0.00406403 -20 *2472:la_data_out_mprj[40] *2472:la_iena_mprj[40] 0 -21 *2478:la_input[40] *1179:9 0 -22 *667:5 *2472:la_iena_mprj[40] 0 -23 *682:11 *1179:15 0 -24 *911:10 *1179:10 0.0046892 -25 *921:7 *1179:9 0 -26 *928:23 *1179:15 0 -27 *933:10 *1179:10 0.00631397 -28 *955:10 *1179:10 0.000741053 -29 *1167:10 *1179:10 0.000157517 -30 *1173:16 *1179:16 0.00383455 -*RES -1 *2478:la_iena[40] *1179:9 36.4134 -2 *1179:9 *1179:10 293.554 -3 *1179:10 *1179:15 14.5693 -4 *1179:15 *1179:16 85.0231 -5 *1179:16 *1179:18 4.5 -6 *1179:18 *2472:la_iena_mprj[40] 52.1996 -*END - -*D_NET *1180 0.0830765 -*CONN -*I *2472:la_iena_mprj[41] I *D mgmt_protect -*I *2478:la_iena[41] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[41] 0.00133248 -2 *2478:la_iena[41] 1.28869e-05 -3 *1180:16 0.00160473 -4 *1180:10 0.00346225 -5 *1180:9 0.00318999 -6 *1180:7 0.00309643 -7 *1180:5 0.00310932 -8 *2472:la_iena_mprj[41] *2472:la_oenb_mprj[41] 0 -9 *1180:10 *1305:16 0.0326433 -10 *1180:16 *1194:16 0.00160027 -11 *1180:16 *1305:16 1.15389e-05 -12 *2472:la_data_out_mprj[41] *2472:la_iena_mprj[41] 0 -13 *669:7 *2472:la_iena_mprj[41] 0 -14 *687:11 *2472:la_iena_mprj[41] 0 -15 *923:9 *1180:7 0 -16 *941:10 *1180:10 0.000180714 -17 *941:10 *1180:16 0.000702331 -18 *1154:10 *1180:10 0.000253421 -19 *1176:10 *1180:10 0.0318767 -*RES -1 *2478:la_iena[41] *1180:5 0.366399 -2 *1180:5 *1180:7 58.0987 -3 *1180:7 *1180:9 4.5 -4 *1180:9 *1180:10 350.678 -5 *1180:10 *1180:16 22.9948 -6 *1180:16 *2472:la_iena_mprj[41] 28.9455 -*END - -*D_NET *1181 0.0797639 -*CONN -*I *2472:la_iena_mprj[42] I *D mgmt_protect -*I *2478:la_iena[42] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[42] 0.00112091 -2 *2478:la_iena[42] 0.0012976 -3 *1181:26 0.00175412 -4 *1181:25 0.00126242 -5 *1181:20 0.00136811 -6 *1181:18 0.000777117 -7 *1181:16 0.00169054 -8 *1181:15 0.00170345 -9 *1181:12 0.00134872 -10 *2472:la_iena_mprj[42] *2472:la_oenb_mprj[42] 0.0019675 -11 *1181:15 *1307:15 4.15661e-05 -12 *1181:16 *1283:10 0.000383752 -13 *1181:16 *1307:16 0.000933319 -14 *1181:16 *1309:14 0.0200872 -15 *1181:16 *1309:18 1.71154e-05 -16 *1181:18 *1309:16 2.81515e-05 -17 *1181:20 *1307:20 0.000175621 -18 *1181:20 *1309:18 0.00647904 -19 *1181:25 *1309:23 0.00154543 -20 *1181:25 *1324:9 0.000257426 -21 *1181:26 *1309:24 0.00546303 -22 *2472:la_data_out_mprj[42] *2472:la_iena_mprj[42] 0 -23 *669:15 *1181:12 0 -24 *913:10 *1181:12 0.00116323 -25 *913:10 *1181:16 0.00776873 -26 *919:10 *1181:12 0.00116323 -27 *923:16 *1181:26 0.00370249 -28 *924:10 *1181:12 0 -29 *924:15 *1181:15 1.24189e-05 -30 *926:26 *1181:26 0.000792362 -31 *930:10 *1181:16 0.0103339 -32 *930:10 *1181:20 4.89898e-06 -33 *930:16 *1181:18 1.09551e-05 -34 *930:16 *1181:20 0.000264018 -35 *937:12 *1181:20 0.00361193 -36 *938:12 *1181:20 0.000339591 -37 *1173:25 *1181:25 0.000894096 -*RES -1 *2478:la_iena[42] *1181:12 49.1309 -2 *1181:12 *1181:15 5.50149 -3 *1181:15 *1181:16 214.246 -4 *1181:16 *1181:18 1.39857 -5 *1181:18 *1181:20 68.9396 -6 *1181:20 *1181:25 37.4081 -7 *1181:25 *1181:26 59.5114 -8 *1181:26 *2472:la_iena_mprj[42] 40.0896 -*END - -*D_NET *1182 0.0784923 -*CONN -*I *2472:la_iena_mprj[43] I *D mgmt_protect -*I *2478:la_iena[43] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[43] 0.0010971 -2 *2478:la_iena[43] 8.29983e-05 -3 *1182:14 0.00400005 -4 *1182:12 0.00334754 -5 *1182:7 0.0037307 -6 *1182:5 0.00336911 -7 *2472:la_iena_mprj[43] *2472:la_oenb_mprj[43] 0 -8 *1182:12 *1200:16 0.000187171 -9 *1182:12 *1310:12 0.00293248 -10 *1182:12 *1310:14 1.67988e-05 -11 *1182:14 *1308:22 0.0265746 -12 *1182:14 *1310:14 0.0280272 -13 *1182:14 *1314:12 0.000118134 -14 *2472:la_data_out_mprj[43] *2472:la_iena_mprj[43] 0 -15 *2478:la_input[43] *1182:7 0 -16 *638:16 *1182:7 0.000324719 -17 *666:7 *1182:7 0 -18 *677:7 *1182:7 0 -19 *686:8 *1182:14 0.000459395 -20 *912:10 *1182:12 0.00384522 -21 *912:10 *1182:14 1.41689e-05 -22 *925:9 *1182:7 0 -23 *943:10 *1182:14 0.000324166 -24 *977:18 *1182:12 4.0752e-05 -*RES -1 *2478:la_iena[43] *1182:5 2.05183 -2 *1182:5 *1182:7 63.0817 -3 *1182:7 *1182:12 46.2882 -4 *1182:12 *1182:14 302.428 -5 *1182:14 *2472:la_iena_mprj[43] 28.4625 -*END - -*D_NET *1183 0.0695504 -*CONN -*I *2472:la_iena_mprj[44] I *D mgmt_protect -*I *2478:la_iena[44] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[44] 0.00191436 -2 *2478:la_iena[44] 0.00145284 -3 *1183:12 0.00191436 -4 *1183:10 0.00316527 -5 *1183:9 0.00461811 -6 *2472:la_iena_mprj[44] *2472:la_oenb_mprj[44] 0 -7 *2472:la_iena_mprj[44] *1198:15 0.000140635 -8 *2472:la_iena_mprj[44] *1321:15 0.00143068 -9 *2472:la_iena_mprj[44] *1322:15 0 -10 *2472:la_iena_mprj[44] *1323:15 0.000645218 -11 *1183:10 *1194:10 0.000257062 -12 *1183:10 *1197:10 0.00640727 -13 *1183:10 *1201:10 0.000645042 -14 *1183:10 *1279:10 0.000346617 -15 *1183:10 *1298:10 0.0216412 -16 *1183:10 *1300:14 0.000390602 -17 *1183:10 *1311:10 0.00464305 -18 *2472:la_data_out_mprj[44] *2472:la_iena_mprj[44] 0 -19 *2478:la_input[44] *1183:9 0 -20 *671:7 *2472:la_iena_mprj[44] 0 -21 *926:9 *1183:9 0 -22 *1179:10 *1183:10 0.019938 -*RES -1 *2478:la_iena[44] *1183:9 35.9981 -2 *1183:9 *1183:10 331.267 -3 *1183:10 *1183:12 4.5 -4 *1183:12 *2472:la_iena_mprj[44] 58.0132 -*END - -*D_NET *1184 0.0715478 -*CONN -*I *2472:la_iena_mprj[45] I *D mgmt_protect -*I *2478:la_iena[45] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[45] 0.0018312 -2 *2478:la_iena[45] 0.000965545 -3 *1184:16 0.00464577 -4 *1184:15 0.00405622 -5 *1184:12 0.0018676 -6 *1184:9 0.00159149 -7 *2472:la_iena_mprj[45] *2472:la_oenb_mprj[45] 0 -8 *2472:la_iena_mprj[45] *1197:15 0 -9 *1184:15 *1313:9 0.000471736 -10 *2472:la_data_out_mprj[45] *2472:la_iena_mprj[45] 0 -11 *2478:la_input[45] *1184:9 0 -12 *2478:la_input[46] *1184:15 0 -13 *636:5 *1184:15 0.000158189 -14 *671:8 *1184:16 0.0257332 -15 *673:8 *1184:16 0.0268901 -16 *897:10 *1184:16 0.000112976 -17 *907:10 *1184:12 0.000189477 -18 *915:15 *1184:15 9.12633e-05 -19 *925:10 *1184:12 0.00294309 -20 *927:7 *1184:9 0 -21 *929:7 *1184:15 0 -*RES -1 *2478:la_iena[45] *1184:9 27.6931 -2 *1184:9 *1184:12 35.7266 -3 *1184:12 *1184:15 30.8319 -4 *1184:15 *1184:16 289.117 -5 *1184:16 *2472:la_iena_mprj[45] 44.6573 -*END - -*D_NET *1185 0.0602744 -*CONN -*I *2472:la_iena_mprj[46] I *D mgmt_protect -*I *2478:la_iena[46] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[46] 0.000385709 -2 *2478:la_iena[46] 0.00158146 -3 *1185:16 0.00226341 -4 *1185:15 0.00345373 -5 *1185:10 0.00410854 -6 *1185:9 0.00411396 -7 *2472:la_iena_mprj[46] *1313:13 0.000979082 -8 *1185:10 *1187:10 0.00205408 -9 *1185:10 *1312:10 0.00023576 -10 *1185:10 *1313:10 0.00167979 -11 *1185:10 *1315:10 0.0171377 -12 *1185:10 *1318:10 0.0133598 -13 *1185:15 *2472:la_oenb_mprj[37] 0.000593352 -14 *1185:15 *1325:9 5.05252e-05 -15 *2472:la_data_out_mprj[38] *1185:15 0 -16 *2472:la_data_out_mprj[46] *2472:la_iena_mprj[46] 0 -17 *2472:la_iena_mprj[37] *1185:15 0 -18 *2478:la_input[46] *1185:9 0 -19 *664:5 *1185:15 0.000392459 -20 *928:9 *1185:9 0 -21 *940:10 *1185:16 0.00690921 -22 *941:7 *1185:15 0 -23 *1155:10 *1185:10 0.000975721 -*RES -1 *2478:la_iena[46] *1185:9 38.4896 -2 *1185:9 *1185:10 233.657 -3 *1185:10 *1185:15 48.6199 -4 *1185:15 *1185:16 74.4857 -5 *1185:16 *2472:la_iena_mprj[46] 20.5727 -*END - -*D_NET *1186 0.0708955 -*CONN -*I *2472:la_iena_mprj[47] I *D mgmt_protect -*I *2478:la_iena[47] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[47] 0.00115137 -2 *2478:la_iena[47] 8.29983e-05 -3 *1186:12 0.016383 -4 *1186:7 0.0183955 -5 *1186:5 0.00324688 -6 *2472:la_iena_mprj[47] *2472:la_oenb_mprj[47] 0 -7 *1186:7 *2472:la_oenb_mprj[12] 0 -8 *1186:12 *1278:10 0.000377635 -9 *1186:12 *1299:10 0.000108607 -10 *1186:12 *1308:22 0.000108607 -11 *1186:12 *1314:12 0.0305314 -12 *1186:12 *1315:18 9.63897e-05 -13 *2472:la_data_out_mprj[13] *1186:7 0 -14 *2472:la_data_out_mprj[47] *2472:la_iena_mprj[47] 0 -15 *2472:la_iena_mprj[13] *1186:7 0 -16 *674:11 *1186:7 0 -17 *912:10 *1186:12 0.000118134 -18 *914:10 *1186:12 0.000118134 -19 *1152:10 *1186:12 0.000176842 -20 *1154:10 *1186:12 0 -*RES -1 *2478:la_iena[47] *1186:5 2.05183 -2 *1186:5 *1186:7 62.4588 -3 *1186:7 *1186:12 47.7097 -4 *1186:12 *2472:la_iena_mprj[47] 25.0006 -*END - -*D_NET *1187 0.0592245 -*CONN -*I *2472:la_iena_mprj[48] I *D mgmt_protect -*I *2478:la_iena[48] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[48] 0.00615121 -2 *2478:la_iena[48] 0.00160928 -3 *1187:15 0.00723861 -4 *1187:10 0.00289202 -5 *1187:9 0.00341391 -6 *2472:la_iena_mprj[48] *2472:la_oenb_mprj[48] 0 -7 *1187:9 *2472:la_oenb_mprj[15] 0 -8 *1187:10 *1191:10 0.0120644 -9 *1187:10 *1287:10 3.59302e-05 -10 *1187:10 *1318:10 0.0130583 -11 *2472:la_data_out_mprj[37] *1187:15 0.000508068 -12 *2472:la_data_out_mprj[47] *2472:la_iena_mprj[48] 0.00363344 -13 *2472:la_data_out_mprj[48] *2472:la_iena_mprj[48] 0 -14 *2472:la_data_out_mprj[49] *2472:la_iena_mprj[48] 0.00026726 -15 *2472:la_iena_mprj[37] *1187:15 0.00198764 -16 *675:11 *1187:9 0 -17 *917:15 *1187:9 0 -18 *930:9 *1187:9 0 -19 *1155:10 *1187:10 0.00333991 -20 *1159:10 *1187:10 0.000970459 -21 *1185:10 *1187:10 0.00205408 -*RES -1 *2478:la_iena[48] *1187:9 39.3201 -2 *1187:9 *1187:10 189.843 -3 *1187:10 *1187:15 45.2048 -4 *1187:15 *2472:la_iena_mprj[48] 34.0246 -*END - -*D_NET *1188 0.0762647 -*CONN -*I *2472:la_iena_mprj[49] I *D mgmt_protect -*I *2478:la_iena[49] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[49] 0.00101203 -2 *2478:la_iena[49] 8.29983e-05 -3 *1188:12 0.00737862 -4 *1188:7 0.00931235 -5 *1188:5 0.00302876 -6 *2472:la_iena_mprj[49] *2472:la_oenb_mprj[49] 0 -7 *1188:7 *1281:13 0.000408326 -8 *1188:12 *1190:12 0.0270238 -9 *1188:12 *1314:12 0.0274155 -10 *1188:12 *1315:18 0 -11 *1188:12 *1316:12 0.000375597 -12 *2472:la_data_out_mprj[18] *1188:7 0 -13 *2472:la_data_out_mprj[49] *2472:la_iena_mprj[49] 0 -14 *642:7 *1188:7 0 -15 *676:11 *1188:7 0 -16 *927:10 *1188:12 0.000108607 -17 *929:10 *1188:12 0.000118134 -18 *931:7 *1188:7 0 -*RES -1 *2478:la_iena[49] *1188:5 2.05183 -2 *1188:5 *1188:7 64.1198 -3 *1188:7 *1188:12 44.4206 -4 *1188:12 *2472:la_iena_mprj[49] 23.3396 -*END - -*D_NET *1189 0.206048 -*CONN -*I *2472:la_iena_mprj[4] I *D mgmt_protect -*I *2478:la_iena[4] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[4] 0.00252696 -2 *2478:la_iena[4] 0.0013629 -3 *1189:12 0.00252696 -4 *1189:10 0.0108902 -5 *1189:9 0.0122531 -6 *2472:la_iena_mprj[4] *2472:la_oenb_mprj[4] 0 -7 *1189:10 *1211:10 0.000422525 -8 *1189:10 *1267:10 0.00380399 -9 *1189:10 *1295:10 0.000448211 -10 *1189:10 *1317:10 0.0854372 -11 *1189:10 *1339:10 0.0811299 -12 *2472:la_data_out_mprj[4] *2472:la_iena_mprj[4] 0 -13 *2478:la_input[4] *1189:9 0 -14 *677:14 *1189:10 0.00125989 -15 *922:7 *1189:9 0 -16 *977:15 *2472:la_iena_mprj[4] 0.00143699 -17 *1155:10 *1189:10 0.00254869 -*RES -1 *2478:la_iena[4] *1189:9 37.9813 -2 *1189:9 *1189:10 110.196 -3 *1189:10 *1189:12 3.36879 -4 *1189:12 *2472:la_iena_mprj[4] 54.8988 -*END - -*D_NET *1190 0.0741002 -*CONN -*I *2472:la_iena_mprj[50] I *D mgmt_protect -*I *2478:la_iena[50] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[50] 0.00093664 -2 *2478:la_iena[50] 3.62521e-05 -3 *1190:12 0.00618127 -4 *1190:7 0.00787825 -5 *1190:5 0.00266987 -6 *2472:la_iena_mprj[50] *2472:la_oenb_mprj[50] 0 -7 *1190:7 *2472:la_oenb_mprj[19] 0.000452056 -8 *1190:12 *1316:12 0.0272652 -9 *1190:12 *1319:12 0.000275479 -10 *2472:la_data_out_mprj[20] *1190:7 0 -11 *2472:la_data_out_mprj[50] *2472:la_iena_mprj[50] 0 -12 *645:7 *1190:7 0.00115462 -13 *678:7 *2472:la_iena_mprj[50] 0 -14 *931:10 *1190:12 0.000118134 -15 *932:9 *1190:7 0 -16 *934:10 *1190:12 0.000108607 -17 *1188:12 *1190:12 0.0270238 -*RES -1 *2478:la_iena[50] *1190:5 0.928211 -2 *1190:5 *1190:7 64.9503 -3 *1190:7 *1190:12 42.9673 -4 *1190:12 *2472:la_iena_mprj[50] 22.5091 -*END - -*D_NET *1191 0.0550314 -*CONN -*I *2472:la_iena_mprj[51] I *D mgmt_protect -*I *2478:la_iena[51] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[51] 0.00129929 -2 *2478:la_iena[51] 0.00154272 -3 *1191:20 0.00245743 -4 *1191:18 0.00165112 -5 *1191:10 0.00218563 -6 *1191:9 0.00323538 -7 *2472:la_iena_mprj[51] *2472:la_oenb_mprj[51] 0 -8 *1191:10 *1193:10 0.000223371 -9 *1191:10 *1287:10 9.9028e-05 -10 *1191:10 *1289:10 4.03749e-05 -11 *1191:10 *1291:10 0 -12 *1191:10 *1302:10 0 -13 *1191:10 *1318:10 0.000103217 -14 *1191:10 *1321:10 0.00888925 -15 *1191:10 *1325:10 0.0013203 -16 *1191:18 *1193:15 0.00130362 -17 *1191:18 *1194:15 2.33193e-05 -18 *1191:18 *1194:16 1.67988e-05 -19 *1191:18 *1305:16 0.000311235 -20 *1191:20 *1194:16 0.00936007 -21 *1191:20 *1321:16 0.00561217 -22 *2472:la_data_out_mprj[22] *1191:9 0 -23 *2472:la_data_out_mprj[51] *2472:la_iena_mprj[51] 0 -24 *679:7 *2472:la_iena_mprj[51] 0 -25 *679:11 *1191:9 0 -26 *680:7 *2472:la_iena_mprj[51] 0 -27 *924:16 *1191:18 0.000364342 -28 *924:16 *1191:20 0.000481047 -29 *928:24 *1191:20 0.000633643 -30 *934:7 *1191:9 0 -31 *1161:10 *1191:10 0.000356734 -32 *1163:10 *1191:10 8.68552e-05 -33 *1177:17 *1191:18 0.00137009 -34 *1187:10 *1191:10 0.0120644 -*RES -1 *2478:la_iena[51] *1191:9 39.7354 -2 *1191:9 *1191:10 145.475 -3 *1191:10 *1191:18 37.8853 -4 *1191:18 *1191:20 100.552 -5 *1191:20 *2472:la_iena_mprj[51] 34.276 -*END - -*D_NET *1192 0.0560409 -*CONN -*I *2472:la_iena_mprj[52] I *D mgmt_protect -*I *2478:la_iena[52] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[52] 0.00084438 -2 *2478:la_iena[52] 8.29983e-05 -3 *1192:10 0.00320775 -4 *1192:9 0.00236337 -5 *1192:7 0.00264746 -6 *1192:5 0.00273046 -7 *2472:la_iena_mprj[52] *2472:la_oenb_mprj[52] 0 -8 *1192:7 *2472:la_oenb_mprj[24] 0.00162549 -9 *1192:10 *1292:10 6.54613e-05 -10 *1192:10 *1316:12 0.000217213 -11 *1192:10 *1320:10 0.021158 -12 *2472:la_data_out_mprj[25] *1192:7 0 -13 *2472:la_data_out_mprj[52] *2472:la_iena_mprj[52] 0 -14 *650:7 *1192:7 0.000146694 -15 *680:7 *2472:la_iena_mprj[52] 0 -16 *680:11 *1192:7 0 -17 *681:8 *1192:10 0.0198137 -18 *934:10 *1192:10 0.000994607 -19 *935:9 *1192:7 0 -20 *1162:10 *1192:10 0.000143313 -*RES -1 *2478:la_iena[52] *1192:5 2.05183 -2 *1192:5 *1192:7 66.4037 -3 *1192:7 *1192:9 4.5 -4 *1192:9 *1192:10 238.094 -5 *1192:10 *2472:la_iena_mprj[52] 25.5557 -*END - -*D_NET *1193 0.0493362 -*CONN -*I *2472:la_iena_mprj[53] I *D mgmt_protect -*I *2478:la_iena[53] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[53] 0.00112731 -2 *2478:la_iena[53] 0.00150475 -3 *1193:16 0.00271676 -4 *1193:15 0.00206363 -5 *1193:10 0.00157942 -6 *1193:9 0.00261 -7 *2472:la_iena_mprj[53] *2472:la_oenb_mprj[53] 0 -8 *1193:10 *1302:10 0.000147235 -9 *1193:10 *1321:10 0.000863309 -10 *1193:10 *1322:10 0.00700093 -11 *1193:10 *1324:10 0.00322946 -12 *1193:15 *1194:15 0.00134552 -13 *1193:16 *1195:16 0.00870264 -14 *1193:16 *1197:21 0.00119054 -15 *1193:16 *1308:22 0 -16 *2472:la_data_out_mprj[53] *2472:la_iena_mprj[53] 0 -17 *681:7 *2472:la_iena_mprj[53] 0 -18 *681:11 *1193:9 0 -19 *686:8 *1193:16 0 -20 *686:11 *1193:15 4.87343e-05 -21 *687:8 *1193:16 0.000100757 -22 *923:15 *1193:9 0.000117032 -23 *932:16 *1193:9 6.60196e-05 -24 *936:9 *1193:9 0 -25 *941:10 *1193:16 0.00193805 -26 *941:14 *1193:16 0.00558111 -27 *942:10 *1193:16 5.64284e-05 -28 *1173:16 *1193:10 0.0057313 -29 *1177:17 *1193:15 7.41203e-05 -30 *1179:16 *1193:10 1.41689e-05 -31 *1191:10 *1193:10 0.000223371 -32 *1191:18 *1193:15 0.00130362 -*RES -1 *2478:la_iena[53] *1193:9 40.9811 -2 *1193:9 *1193:10 105.543 -3 *1193:10 *1193:15 34.9166 -4 *1193:15 *1193:16 121.072 -5 *1193:16 *2472:la_iena_mprj[53] 31.7845 -*END - -*D_NET *1194 0.0433791 -*CONN -*I *2472:la_iena_mprj[54] I *D mgmt_protect -*I *2478:la_iena[54] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[54] 0.00121398 -2 *2478:la_iena[54] 0.0012357 -3 *1194:16 0.0026517 -4 *1194:15 0.0020217 -5 *1194:10 0.00193363 -6 *1194:9 0.00258536 -7 *2472:la_iena_mprj[54] *2472:la_oenb_mprj[54] 0 -8 *1194:9 *1304:15 0 -9 *1194:10 *1197:10 0.000594894 -10 *1194:10 *1300:14 0.0042234 -11 *1194:10 *1307:20 0 -12 *1194:16 *1305:16 0.000213725 -13 *1194:16 *1321:16 0.000832715 -14 *1194:16 *1323:16 0.000747045 -15 *1194:16 *1324:16 0.00015542 -16 *1194:16 *1325:16 0.00640951 -17 *2472:la_data_out_mprj[54] *2472:la_iena_mprj[54] 0 -18 *682:7 *2472:la_iena_mprj[54] 0 -19 *682:11 *1194:9 0 -20 *686:11 *1194:15 0.00171137 -21 *937:9 *1194:9 0 -22 *941:10 *1194:16 0.000547129 -23 *1175:10 *1194:10 0.000961455 -24 *1175:14 *1194:10 0.00239627 -25 *1177:14 *1194:10 0.000266465 -26 *1177:17 *1194:15 7.45478e-05 -27 *1180:16 *1194:16 0.00160027 -28 *1183:10 *1194:10 0.000257062 -29 *1191:18 *1194:15 2.33193e-05 -30 *1191:18 *1194:16 1.67988e-05 -31 *1191:20 *1194:16 0.00936007 -32 *1193:15 *1194:15 0.00134552 -*RES -1 *2478:la_iena[54] *1194:9 34.3371 -2 *1194:9 *1194:10 86.1323 -3 *1194:10 *1194:15 39.4844 -4 *1194:15 *1194:16 128.837 -5 *1194:16 *2472:la_iena_mprj[54] 33.8608 -*END - -*D_NET *1195 0.0413853 -*CONN -*I *2472:la_iena_mprj[55] I *D mgmt_protect -*I *2478:la_iena[55] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[55] 0.00107856 -2 *2478:la_iena[55] 0.00165852 -3 *1195:16 0.00200827 -4 *1195:15 0.00165435 -5 *1195:10 0.00205123 -6 *1195:9 0.00298512 -7 *2472:la_iena_mprj[55] *2472:la_oenb_mprj[55] 0 -8 *1195:10 *1196:10 0.00298724 -9 *1195:10 *1302:10 0.00388763 -10 *1195:10 *1323:10 0.00213251 -11 *1195:15 *2472:la_oenb_mprj[42] 0.000155929 -12 *1195:15 *1196:15 0 -13 *1195:16 *1196:16 0.0101237 -14 *2472:la_data_out_mprj[42] *1195:15 0 -15 *2472:la_data_out_mprj[55] *2472:la_iena_mprj[55] 0 -16 *670:9 *1195:15 0.000864827 -17 *683:11 *1195:9 0 -18 *687:8 *1195:16 4.41269e-05 -19 *917:16 *1195:10 0.000324724 -20 *930:19 *1195:9 0 -21 *938:9 *1195:9 0 -22 *941:14 *1195:16 0.000725963 -23 *943:7 *1195:15 0 -24 *1170:13 *1195:9 0 -25 *1193:16 *1195:16 0.00870264 -*RES -1 *2478:la_iena[55] *1195:9 43.0574 -2 *1195:9 *1195:10 93.3422 -3 *1195:10 *1195:15 33.2556 -4 *1195:15 *1195:16 109.98 -5 *1195:16 *2472:la_iena_mprj[55] 31.3693 -*END - -*D_NET *1196 0.0368598 -*CONN -*I *2472:la_iena_mprj[56] I *D mgmt_protect -*I *2478:la_iena[56] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[56] 0.00104347 -2 *2478:la_iena[56] 0.0014958 -3 *1196:16 0.00210346 -4 *1196:15 0.0016984 -5 *1196:10 0.00255202 -6 *1196:9 0.0034094 -7 *2472:la_iena_mprj[56] *2472:la_oenb_mprj[56] 0 -8 *1196:10 *1323:10 4.03749e-05 -9 *1196:16 *1197:22 0.00803008 -10 *2472:la_data_out_mprj[56] *2472:la_iena_mprj[56] 0 -11 *670:9 *1196:15 0.000256938 -12 *672:8 *1196:10 0 -13 *684:7 *2472:la_iena_mprj[56] 0 -14 *684:11 *1196:9 0 -15 *687:8 *1196:16 0.00123909 -16 *917:16 *1196:10 0.000342009 -17 *938:13 *1196:9 0.000693825 -18 *941:14 *1196:16 0.000205749 -19 *943:7 *1196:15 0.000638245 -20 *1195:10 *1196:10 0.00298724 -21 *1195:15 *1196:15 0 -22 *1195:16 *1196:16 0.0101237 -*RES -1 *2478:la_iena[56] *1196:9 43.8879 -2 *1196:9 *1196:10 75.0403 -3 *1196:10 *1196:15 32.8404 -4 *1196:15 *1196:16 116.081 -5 *1196:16 *2472:la_iena_mprj[56] 30.954 -*END - -*D_NET *1197 0.0395703 -*CONN -*I *2472:la_iena_mprj[57] I *D mgmt_protect -*I *2478:la_iena[57] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[57] 0.00100942 -2 *2478:la_iena[57] 0.00122932 -3 *1197:22 0.0017716 -4 *1197:21 0.000958937 -5 *1197:15 0.000997255 -6 *1197:10 0.00227805 -7 *1197:9 0.00270687 -8 *2472:la_iena_mprj[57] *2472:la_oenb_mprj[57] 0 -9 *1197:10 *1201:10 0.000221446 -10 *1197:10 *1307:20 0 -11 *1197:10 *1326:10 0 -12 *1197:15 *2472:la_oenb_mprj[45] 0 -13 *1197:15 *1199:15 0.00182256 -14 *1197:21 *1313:13 9.60366e-05 -15 *1197:22 *1326:16 1.45065e-05 -16 *2472:la_data_out_mprj[45] *1197:15 7.52542e-05 -17 *2472:la_data_out_mprj[57] *2472:la_iena_mprj[57] 0 -18 *2472:la_iena_mprj[45] *1197:15 0 -19 *685:11 *1197:9 0 -20 *687:8 *1197:22 0.00886047 -21 *689:8 *1197:10 0 -22 *940:7 *1197:9 0 -23 *941:10 *1197:21 0.00119477 -24 *941:14 *1197:22 0.000111055 -25 *945:9 *1197:15 0 -26 *1183:10 *1197:10 0.00640727 -27 *1193:16 *1197:21 0.00119054 -28 *1194:10 *1197:10 0.000594894 -29 *1196:16 *1197:22 0.00803008 -*RES -1 *2478:la_iena[57] *1197:9 35.5828 -2 *1197:9 *1197:10 73.3765 -3 *1197:10 *1197:15 39.8997 -4 *1197:15 *1197:21 23.7567 -5 *1197:21 *1197:22 93.8968 -6 *1197:22 *2472:la_iena_mprj[57] 30.5388 -*END - -*D_NET *1198 0.0357118 -*CONN -*I *2472:la_iena_mprj[58] I *D mgmt_protect -*I *2478:la_iena[58] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[58] 0.000837926 -2 *2478:la_iena[58] 0.00103457 -3 *1198:16 0.00272206 -4 *1198:15 0.00284336 -5 *1198:10 0.00162089 -6 *1198:9 0.00169623 -7 *2472:la_iena_mprj[58] *2472:la_oenb_mprj[58] 0 -8 *1198:10 *1199:12 0.00280088 -9 *1198:10 *1307:20 0.00041958 -10 *1198:10 *1326:10 0.00369305 -11 *1198:15 *2472:la_oenb_mprj[44] 0.00198724 -12 *1198:15 *1199:15 0 -13 *1198:15 *1321:15 0.00066283 -14 *1198:15 *1329:9 1.77537e-06 -15 *1198:16 *1199:16 2.1801e-05 -16 *1198:16 *1327:16 0.00982861 -17 *2472:la_data_out_mprj[58] *2472:la_iena_mprj[58] 0 -18 *2472:la_iena_mprj[44] *1198:15 0.000140635 -19 *2478:la_input[60] *1198:15 0 -20 *681:8 *1198:16 0.000582801 -21 *682:8 *1198:16 0 -22 *683:8 *1198:16 0 -23 *685:8 *1198:16 0 -24 *686:11 *1198:9 0 -25 *929:10 *1198:16 0.00124365 -26 *931:10 *1198:16 0.00285553 -27 *934:10 *1198:16 0.000718419 -28 *939:14 *1198:16 0 -29 *941:7 *1198:9 0 -*RES -1 *2478:la_iena[58] *1198:9 31.0151 -2 *1198:9 *1198:10 48.9739 -3 *1198:10 *1198:15 49.4505 -4 *1198:15 *1198:16 118.854 -5 *1198:16 *2472:la_iena_mprj[58] 27.2167 -*END - -*D_NET *1199 0.0390417 -*CONN -*I *2472:la_iena_mprj[59] I *D mgmt_protect -*I *2478:la_iena[59] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[59] 0.000895404 -2 *2478:la_iena[59] 0.000996591 -3 *1199:16 0.00196426 -4 *1199:15 0.00196757 -5 *1199:12 0.00130528 -6 *1199:9 0.00140316 -7 *2472:la_iena_mprj[59] *2472:la_oenb_mprj[59] 0 -8 *1199:12 *1326:10 6.24655e-05 -9 *1199:12 *1327:12 0.00205738 -10 *1199:12 *1329:12 0.000167076 -11 *1199:15 *2472:la_oenb_mprj[44] 0 -12 *1199:15 *1329:9 6.08697e-06 -13 *1199:16 *1327:16 0.000291294 -14 *1199:16 *1329:16 0.00998372 -15 *2472:la_data_out_mprj[45] *1199:15 3.82989e-05 -16 *2472:la_data_out_mprj[59] *2472:la_iena_mprj[59] 0 -17 *672:5 *1199:15 0.00136581 -18 *687:11 *1199:9 0 -19 *929:10 *1199:16 0.000406926 -20 *942:7 *1199:9 0 -21 *943:10 *1199:16 0.0114852 -22 *945:9 *1199:15 0 -23 *1197:15 *1199:15 0.00182256 -24 *1198:10 *1199:12 0.00280088 -25 *1198:15 *1199:15 0 -26 *1198:16 *1199:16 2.1801e-05 -*RES -1 *2478:la_iena[59] *1199:9 30.038 -2 *1199:9 *1199:12 37.3904 -3 *1199:12 *1199:15 44.1199 -4 *1199:15 *1199:16 124.4 -5 *1199:16 *2472:la_iena_mprj[59] 28.4625 -*END - -*D_NET *1200 0.171697 -*CONN -*I *2472:la_iena_mprj[5] I *D mgmt_protect -*I *2478:la_iena[5] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[5] 0.00104673 -2 *2478:la_iena[5] 8.29983e-05 -3 *1200:16 0.00156013 -4 *1200:10 0.00522265 -5 *1200:9 0.00470924 -6 *1200:7 0.00249932 -7 *1200:5 0.00258232 -8 *2472:la_iena_mprj[5] *2472:la_oenb_mprj[5] 0 -9 *1200:10 *1284:10 0.00922531 -10 *1200:16 *1280:10 9.16621e-05 -11 *2472:la_data_out_mprj[5] *2472:la_iena_mprj[5] 0 -12 *688:11 *1200:7 0 -13 *699:7 *2472:la_iena_mprj[5] 0 -14 *912:10 *1200:16 4.52739e-05 -15 *922:10 *1200:10 0.0716134 -16 *922:10 *1200:16 0.000731606 -17 *933:9 *1200:7 0 -18 *944:10 *1200:10 0.00175438 -19 *944:10 *1200:16 0.00331837 -20 *966:10 *1200:10 0.0662309 -21 *977:18 *1200:16 0.000795688 -22 *1182:12 *1200:16 0.000187171 -*RES -1 *2478:la_iena[5] *1200:5 2.05183 -2 *1200:5 *1200:7 63.9122 -3 *1200:7 *1200:9 4.5 -4 *1200:9 *1200:10 749.993 -5 *1200:10 *1200:16 42.9606 -6 *1200:16 *2472:la_iena_mprj[5] 23.132 -*END - -*D_NET *1201 0.0304225 -*CONN -*I *2472:la_iena_mprj[60] I *D mgmt_protect -*I *2478:la_iena[60] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[60] 0.00207544 -2 *2478:la_iena[60] 0.00126539 -3 *1201:12 0.00207544 -4 *1201:10 0.0017911 -5 *1201:9 0.00305649 -6 *2472:la_iena_mprj[60] *2472:la_oenb_mprj[60] 0 -7 *1201:10 *1202:10 0 -8 *1201:10 *1203:10 8.47539e-05 -9 *1201:10 *1311:10 0.000848795 -10 *1201:10 *1312:10 0.000363723 -11 *1201:10 *1313:10 0.000163362 -12 *1201:10 *1315:10 5.44727e-05 -13 *1201:10 *1330:10 6.95209e-05 -14 *1201:10 *1333:10 0.00508673 -15 *2472:la_data_out_mprj[60] *2472:la_iena_mprj[60] 0 -16 *2478:la_input[60] *1201:9 0 -17 *2478:la_input[67] *2472:la_iena_mprj[60] 0.000193765 -18 *689:8 *1201:10 0 -19 *690:5 *2472:la_iena_mprj[60] 0 -20 *690:8 *1201:10 0.0112932 -21 *691:8 *1201:10 0.00113384 -22 *943:7 *1201:9 0 -23 *945:10 *1201:10 0 -24 *1183:10 *1201:10 0.000645042 -25 *1197:10 *1201:10 0.000221446 -*RES -1 *2478:la_iena[60] *1201:9 36.4134 -2 *1201:9 *1201:10 144.366 -3 *1201:10 *1201:12 4.5 -4 *1201:12 *2472:la_iena_mprj[60] 57.5979 -*END - -*D_NET *1202 0.0299942 -*CONN -*I *2472:la_iena_mprj[61] I *D mgmt_protect -*I *2478:la_iena[61] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[61] 0.00228292 -2 *2478:la_iena[61] 0.00113487 -3 *1202:12 0.00228292 -4 *1202:10 0.00184374 -5 *1202:9 0.00297861 -6 *2472:la_iena_mprj[61] *2472:la_oenb_mprj[61] 0 -7 *1202:9 *1327:15 0 -8 *1202:10 *1205:10 0.00686016 -9 *2472:la_data_out_mprj[61] *2472:la_iena_mprj[61] 0 -10 *2478:la_input[61] *1202:9 0 -11 *2478:la_input[66] *1202:10 1.67988e-05 -12 *689:8 *1202:10 0.0114161 -13 *690:5 *2472:la_iena_mprj[61] 0 -14 *690:8 *1202:10 0 -15 *695:8 *1202:10 0.00117808 -16 *945:9 *1202:9 0 -17 *1201:10 *1202:10 0 -*RES -1 *2478:la_iena[61] *1202:9 33.0913 -2 *1202:9 *1202:10 133.274 -3 *1202:10 *1202:12 4.5 -4 *1202:12 *2472:la_iena_mprj[61] 60.9199 -*END - -*D_NET *1203 0.0304279 -*CONN -*I *2472:la_iena_mprj[62] I *D mgmt_protect -*I *2478:la_iena[62] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[62] 0.00204013 -2 *2478:la_iena[62] 0.00131014 -3 *1203:12 0.00204013 -4 *1203:10 0.000851859 -5 *1203:9 0.002162 -6 *2472:la_iena_mprj[62] *2472:la_oenb_mprj[62] 0 -7 *1203:10 *1330:10 0.0109472 -8 *1203:10 *1331:10 0.000145188 -9 *2472:la_data_out_mprj[62] *2472:la_iena_mprj[62] 0 -10 *2478:la_input[62] *1203:9 0 -11 *691:5 *2472:la_iena_mprj[62] 0 -12 *691:8 *1203:10 0.0106775 -13 *692:5 *2472:la_iena_mprj[62] 0 -14 *694:12 *1203:10 0.000169038 -15 *946:9 *1203:9 0 -16 *1201:10 *1203:10 8.47539e-05 -*RES -1 *2478:la_iena[62] *1203:9 38.0744 -2 *1203:9 *1203:10 121.072 -3 *1203:10 *1203:12 4.5 -4 *1203:12 *2472:la_iena_mprj[62] 55.9369 -*END - -*D_NET *1204 0.0271544 -*CONN -*I *2472:la_iena_mprj[63] I *D mgmt_protect -*I *2478:la_iena[63] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[63] 0.00196154 -2 *2478:la_iena[63] 0.00137933 -3 *1204:12 0.00196154 -4 *1204:10 0.000933602 -5 *1204:9 0.00231294 -6 *2472:la_iena_mprj[63] *2472:la_oenb_mprj[63] 0 -7 *1204:10 *1206:10 0.000173536 -8 *1204:10 *1331:10 0.00982129 -9 *2472:la_data_out_mprj[63] *2472:la_iena_mprj[63] 0 -10 *2478:la_input[63] *1204:9 0 -11 *694:10 *1204:10 0.000108945 -12 *945:10 *1204:10 0.00780587 -13 *947:9 *1204:9 0 -14 *947:10 *1204:10 0.000695785 -*RES -1 *2478:la_iena[63] *1204:9 39.1736 -2 *1204:9 *1204:10 109.98 -3 *1204:10 *1204:12 4.5 -4 *1204:12 *2472:la_iena_mprj[63] 54.2759 -*END - -*D_NET *1205 0.0209894 -*CONN -*I *2472:la_iena_mprj[64] I *D mgmt_protect -*I *2478:la_iena[64] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[64] 0.00222838 -2 *2478:la_iena[64] 0.00115875 -3 *1205:12 0.00222838 -4 *1205:10 0.0013809 -5 *1205:9 0.00253965 -6 *2472:la_iena_mprj[64] *2472:la_oenb_mprj[64] 0 -7 *1205:10 *1209:10 0.0015623 -8 *1205:10 *1334:10 3.04269e-05 -9 *2472:la_data_out_mprj[64] *2472:la_iena_mprj[64] 0 -10 *2478:la_input[64] *1205:9 0 -11 *690:8 *1205:10 0 -12 *695:8 *1205:10 0.00105799 -13 *948:9 *1205:9 0 -14 *950:10 *1205:10 0.00194244 -15 *1202:10 *1205:10 0.00686016 -*RES -1 *2478:la_iena[64] *1205:9 33.5066 -2 *1205:9 *1205:10 97.779 -3 *1205:10 *1205:12 4.5 -4 *1205:12 *2472:la_iena_mprj[64] 60.5047 -*END - -*D_NET *1206 0.0144945 -*CONN -*I *2472:la_iena_mprj[65] I *D mgmt_protect -*I *2478:la_iena[65] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[65] 0.00189631 -2 *2478:la_iena[65] 0.00153182 -3 *1206:12 0.00189631 -4 *1206:10 0.00251186 -5 *1206:9 0.00404368 -6 *2472:la_iena_mprj[65] *2472:la_oenb_mprj[65] 0 -7 *1206:10 *1337:12 0 -8 *2472:la_data_out_mprj[65] *2472:la_iena_mprj[65] 0 -9 *2478:la_input[65] *1206:9 0 -10 *694:10 *1206:10 0 -11 *696:8 *1206:10 0 -12 *947:10 *1206:10 0.00244103 -13 *1204:10 *1206:10 0.000173536 -*RES -1 *2478:la_iena[65] *1206:9 41.3964 -2 *1206:9 *1206:10 86.1323 -3 *1206:10 *1206:12 4.5 -4 *1206:12 *2472:la_iena_mprj[65] 52.6149 -*END - -*D_NET *1207 0.0178711 -*CONN -*I *2472:la_iena_mprj[66] I *D mgmt_protect -*I *2478:la_iena[66] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[66] 0.0021222 -2 *2478:la_iena[66] 0.00124445 -3 *1207:12 0.0021222 -4 *1207:10 0.000855382 -5 *1207:9 0.00209983 -6 *2472:la_iena_mprj[66] *2472:la_oenb_mprj[66] 0 -7 *1207:10 *1209:10 0.00024962 -8 *1207:10 *1334:10 0.00647328 -9 *1207:10 *1337:12 0 -10 *2472:la_data_out_mprj[66] *2472:la_iena_mprj[66] 0 -11 *2478:la_input[66] *1207:9 0 -12 *697:13 *1207:10 5.04829e-06 -13 *950:9 *1207:9 0 -14 *950:10 *1207:10 0.0026991 -*RES -1 *2478:la_iena[66] *1207:9 35.1676 -2 *1207:9 *1207:10 74.4857 -3 *1207:10 *1207:12 4.5 -4 *1207:12 *2472:la_iena_mprj[66] 58.8437 -*END - -*D_NET *1208 0.0177487 -*CONN -*I *2472:la_iena_mprj[67] I *D mgmt_protect -*I *2478:la_iena[67] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[67] 0.00223808 -2 *2478:la_iena[67] 0.00113074 -3 *1208:12 0.00223808 -4 *1208:10 0.000660432 -5 *1208:9 0.00179117 -6 *2472:la_iena_mprj[67] *2472:la_oenb_mprj[67] 0 -7 *1208:10 *1210:12 0.000520301 -8 *1208:10 *1332:10 0.00289643 -9 *1208:10 *1338:12 0.00115455 -10 *2472:la_data_out_mprj[67] *2472:la_iena_mprj[67] 0 -11 *2478:la_input[67] *1208:9 0 -12 *695:8 *1208:10 0.00473362 -13 *949:10 *1208:10 6.05863e-05 -14 *951:9 *1208:9 0 -15 *951:16 *1208:10 1.75155e-06 -16 *953:12 *1208:10 8.69538e-05 -17 *953:16 *1208:10 0.000236012 -*RES -1 *2478:la_iena[67] *1208:9 32.2608 -2 *1208:9 *1208:10 62.839 -3 *1208:10 *1208:12 4.5 -4 *1208:12 *2472:la_iena_mprj[67] 61.7504 -*END - -*D_NET *1209 0.0147129 -*CONN -*I *2472:la_iena_mprj[68] I *D mgmt_protect -*I *2478:la_iena[68] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[68] 0.0021592 -2 *2478:la_iena[68] 0.00122115 -3 *1209:12 0.0021592 -4 *1209:10 0.000659741 -5 *1209:9 0.00188089 -6 *2472:la_iena_mprj[68] *2472:la_oenb_mprj[68] 0 -7 *1209:10 *1210:12 0.00127023 -8 *1209:10 *1337:12 0 -9 *2472:la_data_out_mprj[68] *2472:la_iena_mprj[68] 0 -10 *2478:la_input[68] *1209:9 0 -11 *692:5 *1209:9 0 -12 *695:8 *1209:10 9.24467e-05 -13 *697:13 *1209:10 0.00126077 -14 *698:5 *2472:la_iena_mprj[68] 0 -15 *950:10 *1209:10 0.00219735 -16 *952:9 *1209:9 0 -17 *1205:10 *1209:10 0.0015623 -18 *1207:10 *1209:10 0.00024962 -*RES -1 *2478:la_iena[68] *1209:9 33.9218 -2 *1209:9 *1209:10 51.1923 -3 *1209:10 *1209:12 4.5 -4 *1209:12 *2472:la_iena_mprj[68] 60.0894 -*END - -*D_NET *1210 0.0112145 -*CONN -*I *2472:la_iena_mprj[69] I *D mgmt_protect -*I *2478:la_iena[69] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[69] 0.00218841 -2 *2478:la_iena[69] 0.00116361 -3 *1210:12 0.00288955 -4 *1210:9 0.00186474 -5 *2472:la_iena_mprj[69] *2472:la_oenb_mprj[69] 0 -6 *1210:12 *1338:12 0.000407049 -7 *2472:la_data_out_mprj[69] *2472:la_iena_mprj[69] 0 -8 *2478:la_input[69] *1210:9 0 -9 *695:8 *1210:12 0.000910579 -10 *953:12 *1210:9 0 -11 *1208:10 *1210:12 0.000520301 -12 *1209:10 *1210:12 0.00127023 -*RES -1 *2478:la_iena[69] *1210:9 33.0913 -2 *1210:9 *1210:12 44.0456 -3 *1210:12 *2472:la_iena_mprj[69] 60.9199 -*END - -*D_NET *1211 0.193674 -*CONN -*I *2472:la_iena_mprj[6] I *D mgmt_protect -*I *2478:la_iena[6] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[6] 0.00235717 -2 *2478:la_iena[6] 0.00145433 -3 *1211:12 0.00235717 -4 *1211:10 0.00829477 -5 *1211:9 0.0097491 -6 *2472:la_iena_mprj[6] *2472:la_oenb_mprj[6] 0 -7 *2472:la_iena_mprj[6] *1267:15 0.000965027 -8 *1211:10 *1233:10 0.0796214 -9 *1211:10 *1289:10 0.00220839 -10 *1211:10 *1339:10 0.082977 -11 *2472:la_data_out_mprj[6] *2472:la_iena_mprj[6] 0 -12 *2478:la_input[44] *2472:la_iena_mprj[6] 0.000579101 -13 *2478:la_input[6] *1211:9 0 -14 *944:7 *1211:9 0 -15 *1139:18 *2472:la_iena_mprj[6] 1.80266e-05 -16 *1161:10 *1211:10 0.00266988 -17 *1189:10 *1211:10 0.000422525 -*RES -1 *2478:la_iena[6] *1211:9 39.6423 -2 *1211:9 *1211:10 106.983 -3 *1211:10 *1211:12 3.36879 -4 *1211:12 *2472:la_iena_mprj[6] 53.2378 -*END - -*D_NET *1212 0.0116279 -*CONN -*I *2472:la_iena_mprj[70] I *D mgmt_protect -*I *2478:la_iena[70] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[70] 0.00224343 -2 *2478:la_iena[70] 0.00103342 -3 *1212:12 0.00257227 -4 *1212:9 0.00136226 -5 *2472:la_iena_mprj[70] *2472:la_oenb_mprj[70] 0 -6 *1212:9 *2472:la_oenb_mprj[67] 0 -7 *1212:12 *1213:10 6.24655e-05 -8 *1212:12 *1338:12 0.00199781 -9 *2472:la_data_out_mprj[67] *1212:9 0.000195139 -10 *2472:la_data_out_mprj[70] *2472:la_iena_mprj[70] 0 -11 *2478:la_input[70] *1212:9 0 -12 *2478:la_input[71] *1212:12 0.000224395 -13 *700:5 *2472:la_iena_mprj[70] 0 -14 *700:10 *1212:12 0.00173451 -15 *953:16 *1212:12 0.000202245 -16 *954:9 *1212:9 0 -*RES -1 *2478:la_iena[70] *1212:9 31.4303 -2 *1212:9 *1212:12 31.8444 -3 *1212:12 *2472:la_iena_mprj[70] 62.5809 -*END - -*D_NET *1213 0.00916956 -*CONN -*I *2472:la_iena_mprj[71] I *D mgmt_protect -*I *2478:la_iena[71] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[71] 0.00227604 -2 *2478:la_iena[71] 0.00134196 -3 *1213:12 0.00227604 -4 *1213:10 0.00134196 -5 *2472:la_iena_mprj[71] *2472:la_oenb_mprj[71] 0 -6 *1213:10 *1340:10 0.000904135 -7 *2472:la_data_out_mprj[69] *1213:10 0 -8 *2472:la_data_out_mprj[71] *2472:la_iena_mprj[71] 0 -9 *2478:la_input[71] *1213:10 0.000636352 -10 *700:10 *1213:10 0.00033061 -11 *702:9 *2472:la_iena_mprj[71] 0 -12 *956:7 *1213:10 0 -13 *1212:12 *1213:10 6.24655e-05 -*RES -1 *2478:la_iena[71] *1213:10 46.8521 -2 *1213:10 *1213:12 4.5 -3 *1213:12 *2472:la_iena_mprj[71] 63.4115 -*END - -*D_NET *1214 0.00704512 -*CONN -*I *2472:la_iena_mprj[72] I *D mgmt_protect -*I *2478:la_iena[72] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[72] 0.00200006 -2 *2478:la_iena[72] 0.00119322 -3 *1214:12 0.00319328 -4 *2472:la_iena_mprj[72] *2472:la_oenb_mprj[72] 0 -5 *2472:la_data_out_mprj[72] *2472:la_iena_mprj[72] 0 -6 *702:9 *2472:la_iena_mprj[72] 0 -7 *702:11 *2472:la_iena_mprj[72] 0.000658569 -8 *702:11 *1214:12 0 -*RES -1 *2478:la_iena[72] *1214:12 39.1508 -2 *1214:12 *2472:la_iena_mprj[72] 63.4115 -*END - -*D_NET *1215 0.00741031 -*CONN -*I *2472:la_iena_mprj[73] I *D mgmt_protect -*I *2478:la_iena[73] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[73] 0.00108849 -2 *2478:la_iena[73] 0.000106379 -3 *1215:7 0.00309696 -4 *1215:5 0.00211484 -5 *2472:la_iena_mprj[73] *2472:la_oenb_mprj[73] 0.00100363 -6 *2472:la_data_out_mprj[73] *2472:la_iena_mprj[73] 0 -7 *703:11 *1215:7 0 -8 *704:7 *2472:la_iena_mprj[73] 0 -9 *958:7 *2472:la_iena_mprj[73] 0 -10 *958:7 *1215:7 0 -*RES -1 *2478:la_iena[73] *1215:5 2.61365 -2 *1215:5 *1215:7 54.6667 -3 *1215:7 *2472:la_iena_mprj[73] 35.9437 -*END - -*D_NET *1216 0.00861777 -*CONN -*I *2472:la_iena_mprj[74] I *D mgmt_protect -*I *2478:la_iena[74] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[74] 6.22868e-05 -2 *2478:la_iena[74] 8.30061e-05 -3 *1216:13 0.00130967 -4 *1216:7 0.00348775 -5 *1216:5 0.00232338 -6 *1216:7 *2472:la_iena_mprj[76] 7.09299e-05 -7 *1216:7 *2472:la_oenb_mprj[75] 0 -8 *1216:13 *2472:la_oenb_mprj[74] 0.000982665 -9 *2472:la_data_out_mprj[74] *1216:13 0 -10 *703:11 *1216:13 0.000223258 -11 *704:11 *1216:7 0 -12 *705:7 *1216:13 0 -13 *706:7 *1216:7 0 -14 *959:7 *1216:7 0 -15 *960:16 *1216:13 7.48293e-05 -*RES -1 *2478:la_iena[74] *1216:5 2.05183 -2 *1216:5 *1216:7 60.5902 -3 *1216:7 *1216:13 49.9886 -4 *1216:13 *2472:la_iena_mprj[74] 1.77093 -*END - -*D_NET *1217 0.00893233 -*CONN -*I *2472:la_iena_mprj[75] I *D mgmt_protect -*I *2478:la_iena[75] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[75] 0.00110156 -2 *2478:la_iena[75] 8.30061e-05 -3 *1217:12 0.00192446 -4 *1217:7 0.00284307 -5 *1217:5 0.00210317 -6 *2472:la_iena_mprj[75] *2472:la_oenb_mprj[75] 0 -7 *2472:la_iena_mprj[75] *1343:7 0 -8 *1217:12 *1218:12 0.000447953 -9 *1217:12 *1345:12 0.000104941 -10 *2472:la_data_out_mprj[75] *2472:la_iena_mprj[75] 0 -11 *704:10 *1217:12 1.08524e-05 -12 *705:11 *1217:7 0 -13 *959:7 *2472:la_iena_mprj[75] 0.000313321 -14 *960:7 *1217:7 0 -*RES -1 *2478:la_iena[75] *1217:5 2.05183 -2 *1217:5 *1217:7 54.7766 -3 *1217:7 *1217:12 35.7898 -4 *1217:12 *2472:la_iena_mprj[75] 32.6828 -*END - -*D_NET *1218 0.0117595 -*CONN -*I *2472:la_iena_mprj[76] I *D mgmt_protect -*I *2478:la_iena[76] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[76] 0.00116921 -2 *2478:la_iena[76] 8.30061e-05 -3 *1218:12 0.00197944 -4 *1218:7 0.00287421 -5 *1218:5 0.00214698 -6 *2472:la_iena_mprj[76] *2472:la_oenb_mprj[76] 0 -7 *1218:12 *1345:12 0.00290288 -8 *2472:la_data_out_mprj[76] *2472:la_iena_mprj[76] 0 -9 *706:7 *2472:la_iena_mprj[76] 0 -10 *706:8 *1218:12 3.04269e-05 -11 *706:11 *1218:7 0 -12 *707:7 *2472:la_iena_mprj[76] 0 -13 *961:7 *1218:7 0 -14 *961:10 *1218:12 5.44727e-05 -15 *1216:7 *2472:la_iena_mprj[76] 7.09299e-05 -16 *1217:12 *1218:12 0.000447953 -*RES -1 *2478:la_iena[76] *1218:5 2.05183 -2 *1218:5 *1218:7 56.0224 -3 *1218:7 *1218:12 47.991 -4 *1218:12 *2472:la_iena_mprj[76] 31.437 -*END - -*D_NET *1219 0.0142449 -*CONN -*I *2472:la_iena_mprj[77] I *D mgmt_protect -*I *2478:la_iena[77] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[77] 0.000692266 -2 *2478:la_iena[77] 8.30061e-05 -3 *1219:10 0.0015062 -4 *1219:9 0.000813932 -5 *1219:7 0.00217521 -6 *1219:5 0.00225822 -7 *2472:la_iena_mprj[77] *2472:la_oenb_mprj[77] 0 -8 *2472:la_iena_mprj[77] *1344:7 0.000267587 -9 *1219:7 *2472:la_iena_mprj[83] 6.31665e-05 -10 *1219:10 *1348:10 0 -11 *1219:10 *1351:10 5.65165e-05 -12 *2472:la_data_out_mprj[77] *2472:la_iena_mprj[77] 0 -13 *2472:la_data_out_mprj[83] *1219:7 0.00107187 -14 *707:11 *1219:7 0 -15 *708:8 *1219:10 0 -16 *709:8 *1219:10 0.000248172 -17 *712:8 *1219:10 0.0019318 -18 *715:7 *1219:7 0 -19 *962:7 *1219:7 0 -20 *962:10 *1219:10 0.00135533 -21 *968:10 *1219:10 0.00172162 -*RES -1 *2478:la_iena[77] *1219:5 2.05183 -2 *1219:5 *1219:7 67.2342 -3 *1219:7 *1219:9 4.5 -4 *1219:9 *1219:10 50.6377 -5 *1219:10 *2472:la_iena_mprj[77] 24.7252 -*END - -*D_NET *1220 0.017568 -*CONN -*I *2472:la_iena_mprj[78] I *D mgmt_protect -*I *2478:la_iena[78] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[78] 0.000601842 -2 *2478:la_iena[78] 3.626e-05 -3 *1220:10 0.00220206 -4 *1220:9 0.00160021 -5 *1220:7 0.002013 -6 *1220:5 0.00204926 -7 *2472:la_iena_mprj[78] *2472:la_oenb_mprj[78] 0 -8 *1220:7 *2472:la_iena_mprj[85] 0 -9 *1220:7 *2472:la_oenb_mprj[85] 0.00278322 -10 *2472:la_data_out_mprj[78] *2472:la_iena_mprj[78] 0 -11 *2472:la_data_out_mprj[85] *1220:7 0 -12 *2472:la_data_out_mprj[86] *1220:7 0 -13 *708:8 *1220:10 0.00587929 -14 *708:11 *1220:7 0 -15 *717:7 *1220:7 0.000402864 -*RES -1 *2478:la_iena[78] *1220:5 0.928211 -2 *1220:5 *1220:7 71.3867 -3 *1220:7 *1220:9 4.5 -4 *1220:9 *1220:10 63.3936 -5 *1220:10 *2472:la_iena_mprj[78] 20.5727 -*END - -*D_NET *1221 0.0221893 -*CONN -*I *2472:la_iena_mprj[79] I *D mgmt_protect -*I *2478:la_iena[79] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[79] 0.000680736 -2 *2478:la_iena[79] 8.30061e-05 -3 *1221:10 0.00146433 -4 *1221:9 0.000783598 -5 *1221:7 0.00208355 -6 *1221:5 0.00216656 -7 *2472:la_iena_mprj[79] *2472:la_oenb_mprj[79] 0 -8 *1221:7 *2472:la_iena_mprj[88] 0 -9 *1221:10 *1224:10 0.00524197 -10 *1221:10 *1348:10 0.00069087 -11 *2472:la_data_out_mprj[79] *2472:la_iena_mprj[79] 0 -12 *2472:la_data_out_mprj[88] *1221:7 0.00115103 -13 *709:8 *1221:10 0.000250542 -14 *709:11 *1221:7 0 -15 *719:7 *1221:7 0.00131893 -16 *964:7 *1221:7 0 -17 *967:10 *1221:10 0.00627414 -*RES -1 *2478:la_iena[79] *1221:5 2.05183 -2 *1221:5 *1221:7 69.7257 -3 *1221:7 *1221:9 4.5 -4 *1221:9 *1221:10 73.9311 -5 *1221:10 *2472:la_iena_mprj[79] 22.2337 -*END - -*D_NET *1222 0.192357 -*CONN -*I *2472:la_iena_mprj[7] I *D mgmt_protect -*I *2478:la_iena[7] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[7] 0.000915997 -2 *2478:la_iena[7] 8.29983e-05 -3 *1222:10 0.00698485 -4 *1222:9 0.00606886 -5 *1222:7 0.00267848 -6 *1222:5 0.00276148 -7 *2472:la_iena_mprj[7] *2472:la_oenb_mprj[7] 0 -8 *1222:10 *1286:10 0.00494642 -9 *1222:10 *1288:10 0.00415649 -10 *1222:10 *1328:10 0.081621 -11 *1222:10 *1350:10 0.0821406 -12 *2472:la_data_out_mprj[7] *2472:la_iena_mprj[7] 0 -13 *710:11 *1222:7 0 -14 *955:9 *1222:7 0 -15 *1148:10 *1222:10 0 -*RES -1 *2478:la_iena[7] *1222:5 2.05183 -2 *1222:5 *1222:7 66.6113 -3 *1222:7 *1222:9 3.36879 -4 *1222:9 *1222:10 105.377 -5 *1222:10 *2472:la_iena_mprj[7] 24.2169 -*END - -*D_NET *1223 0.022062 -*CONN -*I *2472:la_iena_mprj[80] I *D mgmt_protect -*I *2478:la_iena[80] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[80] 0.000845273 -2 *2478:la_iena[80] 8.30061e-05 -3 *1223:10 0.00200608 -4 *1223:9 0.0011608 -5 *1223:7 0.00181194 -6 *1223:5 0.00189495 -7 *2472:la_iena_mprj[80] *2472:la_oenb_mprj[80] 0 -8 *1223:7 *2472:la_iena_mprj[90] 0.00233324 -9 *1223:10 *1346:10 0.000255439 -10 *1223:10 *1349:10 0 -11 *2472:la_data_out_mprj[80] *2472:la_iena_mprj[80] 0 -12 *2472:la_data_out_mprj[90] *1223:7 0.000708286 -13 *711:7 *2472:la_iena_mprj[80] 0 -14 *711:11 *1223:7 0 -15 *712:7 *2472:la_iena_mprj[80] 0 -16 *962:10 *1223:10 0.00184718 -17 *965:7 *1223:7 0 -18 *968:10 *1223:10 0.00260196 -19 *969:10 *1223:10 0.00651389 -*RES -1 *2478:la_iena[80] *1223:5 2.05183 -2 *1223:5 *1223:7 65.9885 -3 *1223:7 *1223:9 4.5 -4 *1223:9 *1223:10 85.5777 -5 *1223:10 *2472:la_iena_mprj[80] 25.971 -*END - -*D_NET *1224 0.0237465 -*CONN -*I *2472:la_iena_mprj[81] I *D mgmt_protect -*I *2478:la_iena[81] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[81] 0.000666141 -2 *2478:la_iena[81] 8.30061e-05 -3 *1224:10 0.00213064 -4 *1224:9 0.0014645 -5 *1224:7 0.00218444 -6 *1224:5 0.00226745 -7 *2472:la_iena_mprj[81] *2472:la_oenb_mprj[81] 0 -8 *1224:7 *2472:la_iena_mprj[92] 0 -9 *1224:7 *2472:la_oenb_mprj[92] 0.00190782 -10 *1224:10 *1348:10 0.00426741 -11 *1224:10 *1359:16 0.00140301 -12 *2472:la_data_out_mprj[81] *2472:la_iena_mprj[81] 0 -13 *2472:la_data_out_mprj[93] *1224:7 0 -14 *724:8 *1224:10 9.44554e-05 -15 *725:5 *1224:7 0.000594313 -16 *967:7 *1224:7 0 -17 *967:10 *1224:10 0.00144135 -18 *1221:10 *1224:10 0.00524197 -*RES -1 *2478:la_iena[81] *1224:5 2.05183 -2 *1224:5 *1224:7 70.141 -3 *1224:7 *1224:9 4.5 -4 *1224:9 *1224:10 97.2244 -5 *1224:10 *2472:la_iena_mprj[81] 21.8185 -*END - -*D_NET *1225 0.0168075 -*CONN -*I *2472:la_iena_mprj[82] I *D mgmt_protect -*I *2478:la_iena[82] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[82] 0.0013455 -2 *2478:la_iena[82] 8.30061e-05 -3 *1225:10 0.00587249 -4 *1225:9 0.00452699 -5 *1225:7 0.00182491 -6 *1225:5 0.00190792 -7 *2472:la_iena_mprj[82] *2472:la_oenb_mprj[82] 0 -8 *2472:la_iena_mprj[82] *1346:7 0 -9 *1225:10 *1353:10 0 -10 *2472:la_data_out_mprj[82] *2472:la_iena_mprj[82] 0 -11 *2472:la_data_out_mprj[95] *1225:7 0.000654353 -12 *713:7 *2472:la_iena_mprj[82] 0 -13 *713:11 *1225:7 0 -14 *727:5 *1225:7 0.000592335 -15 *962:7 *2472:la_iena_mprj[82] 0 -16 *968:7 *1225:7 0 -*RES -1 *2478:la_iena[82] *1225:5 2.05183 -2 *1225:5 *1225:7 54.7766 -3 *1225:7 *1225:9 4.5 -4 *1225:9 *1225:10 108.871 -5 *1225:10 *2472:la_iena_mprj[82] 37.1828 -*END - -*D_NET *1226 0.0321019 -*CONN -*I *2472:la_iena_mprj[83] I *D mgmt_protect -*I *2478:la_iena[83] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[83] 0.000905519 -2 *2478:la_iena[83] 8.30061e-05 -3 *1226:10 0.00209368 -4 *1226:9 0.00118816 -5 *1226:7 0.00248247 -6 *1226:5 0.00256548 -7 *2472:la_iena_mprj[83] *2472:la_oenb_mprj[83] 0 -8 *1226:7 *1241:13 0.000567999 -9 *1226:10 *1354:10 0.0109241 -10 *2472:la_data_out_mprj[83] *2472:la_iena_mprj[83] 0 -11 *2472:la_data_out_mprj[97] *1226:7 0 -12 *714:8 *1226:10 0.0111366 -13 *714:11 *1226:7 0 -14 *715:7 *2472:la_iena_mprj[83] 0 -15 *969:7 *1226:7 0 -16 *969:10 *1226:10 9.16785e-05 -17 *1219:7 *2472:la_iena_mprj[83] 6.31665e-05 -*RES -1 *2478:la_iena[83] *1226:5 2.05183 -2 *1226:5 *1226:7 64.7427 -3 *1226:7 *1226:9 4.5 -4 *1226:9 *1226:10 120.518 -5 *1226:10 *2472:la_iena_mprj[83] 27.2167 -*END - -*D_NET *1227 0.0341202 -*CONN -*I *2472:la_iena_mprj[84] I *D mgmt_protect -*I *2478:la_iena[84] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[84] 0.000995418 -2 *2478:la_iena[84] 8.30061e-05 -3 *1227:10 0.00237591 -4 *1227:9 0.0013805 -5 *1227:7 0.00240071 -6 *1227:5 0.00248372 -7 *2472:la_iena_mprj[84] *2472:la_oenb_mprj[84] 0 -8 *1227:7 *2472:la_iena_mprj[99] 0 -9 *1227:7 *2472:la_oenb_mprj[99] 0.000920759 -10 *1227:10 *1349:10 0.000769711 -11 *1227:10 *1357:16 0.0104613 -12 *2472:la_data_out_mprj[84] *2472:la_iena_mprj[84] 0 -13 *715:8 *1227:10 0.0122492 -14 *715:11 *1227:7 0 -15 *970:9 *1227:7 0 -*RES -1 *2478:la_iena[84] *1227:5 2.05183 -2 *1227:5 *1227:7 63.4969 -3 *1227:7 *1227:9 4.5 -4 *1227:9 *1227:10 132.719 -5 *1227:10 *2472:la_iena_mprj[84] 28.4625 -*END - -*D_NET *1228 0.0362275 -*CONN -*I *2472:la_iena_mprj[85] I *D mgmt_protect -*I *2478:la_iena[85] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[85] 0.0024433 -2 *2478:la_iena[85] 0.00107011 -3 *1228:12 0.0024433 -4 *1228:10 0.00108835 -5 *1228:9 0.00215846 -6 *2472:la_iena_mprj[85] *2472:la_oenb_mprj[85] 0 -7 *1228:10 *1356:10 0.0133546 -8 *1228:10 *1371:12 0.000102438 -9 *2472:la_data_out_mprj[85] *2472:la_iena_mprj[85] 0 -10 *2478:la_input[85] *1228:9 0 -11 *971:9 *1228:9 0 -12 *972:10 *1228:10 0.013567 -13 *1220:7 *2472:la_iena_mprj[85] 0 -*RES -1 *2478:la_iena[85] *1228:9 31.0151 -2 *1228:9 *1228:10 143.811 -3 *1228:10 *1228:12 4.5 -4 *1228:12 *2472:la_iena_mprj[85] 62.9962 -*END - -*D_NET *1229 0.0384275 -*CONN -*I *2472:la_iena_mprj[86] I *D mgmt_protect -*I *2478:la_iena[86] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[86] 0.00212916 -2 *2478:la_iena[86] 0.00102825 -3 *1229:12 0.00212916 -4 *1229:10 0.00118516 -5 *1229:9 0.00221341 -6 *2472:la_iena_mprj[86] *2472:la_oenb_mprj[86] 0 -7 *2472:la_iena_mprj[86] *1348:7 0 -8 *1229:10 *1247:16 0.0023187 -9 *1229:10 *1355:10 0.0120591 -10 *1229:10 *1368:16 0.000151531 -11 *2472:la_data_out_mprj[86] *2472:la_iena_mprj[86] 0 -12 *708:11 *2472:la_iena_mprj[86] 0.000875045 -13 *972:9 *1229:9 0 -14 *972:10 *1229:10 0.0142532 -15 *973:10 *1229:10 8.47539e-05 -*RES -1 *2478:la_iena[86] *1229:9 30.1846 -2 *1229:9 *1229:10 156.012 -3 *1229:10 *1229:12 4.5 -4 *1229:12 *2472:la_iena_mprj[86] 63.8267 -*END - -*D_NET *1230 0.0415482 -*CONN -*I *2472:la_iena_mprj[87] I *D mgmt_protect -*I *2478:la_iena[87] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[87] 0.00239069 -2 *2478:la_iena[87] 0.00103822 -3 *1230:12 0.00239069 -4 *1230:10 0.00126543 -5 *1230:9 0.00230365 -6 *2472:la_iena_mprj[87] *2472:la_oenb_mprj[87] 0 -7 *2472:la_iena_mprj[87] *1348:7 0 -8 *1230:9 *1365:15 9.60903e-06 -9 *1230:9 *1367:15 7.09666e-06 -10 *1230:10 *1231:10 0.0151367 -11 *1230:10 *1371:12 0.000622192 -12 *2472:la_data_out_mprj[87] *2472:la_iena_mprj[87] 0 -13 *2478:la_input[87] *1230:9 0 -14 *613:5 *1230:9 0.000129352 -15 *719:7 *2472:la_iena_mprj[87] 0 -16 *964:7 *2472:la_iena_mprj[87] 0 -17 *973:10 *1230:10 0.000347135 -18 *974:10 *1230:10 0.0159075 -*RES -1 *2478:la_iena[87] *1230:9 30.9906 -2 *1230:9 *1230:10 168.768 -3 *1230:10 *1230:12 4.5 -4 *1230:12 *2472:la_iena_mprj[87] 61.3352 -*END - -*D_NET *1231 0.0438729 -*CONN -*I *2472:la_iena_mprj[88] I *D mgmt_protect -*I *2478:la_iena[88] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[88] 0.00208468 -2 *2478:la_iena[88] 0.00114527 -3 *1231:12 0.00208468 -4 *1231:10 0.00140284 -5 *1231:9 0.00254811 -6 *2472:la_iena_mprj[88] *2472:la_oenb_mprj[88] 0 -7 *1231:10 *1362:10 0.000193117 -8 *1231:10 *1365:10 0.0016154 -9 *1231:10 *1367:10 0.00171937 -10 *1231:10 *1371:12 0.000622192 -11 *2472:la_data_out_mprj[88] *2472:la_iena_mprj[88] 0 -12 *2478:la_input[88] *1231:9 0 -13 *615:5 *1231:9 0 -14 *709:11 *2472:la_iena_mprj[88] 0.000796373 -15 *720:7 *2472:la_iena_mprj[88] 0 -16 *973:10 *1231:10 0.01451 -17 *974:9 *1231:9 0 -18 *974:10 *1231:10 1.41853e-05 -19 *1221:7 *2472:la_iena_mprj[88] 0 -20 *1230:10 *1231:10 0.0151367 -*RES -1 *2478:la_iena[88] *1231:9 32.2608 -2 *1231:9 *1231:10 179.306 -3 *1231:10 *1231:12 4.5 -4 *1231:12 *2472:la_iena_mprj[88] 61.7504 -*END - -*D_NET *1232 0.0477206 -*CONN -*I *2472:la_iena_mprj[89] I *D mgmt_protect -*I *2478:la_iena[89] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[89] 0.00155176 -2 *2478:la_iena[89] 0.00125399 -3 *1232:12 0.00155176 -4 *1232:10 0.001492 -5 *1232:9 0.002746 -6 *2472:la_iena_mprj[89] *2472:la_oenb_mprj[89] 0 -7 *2472:la_iena_mprj[89] *1349:7 0 -8 *1232:10 *1234:10 0.0171305 -9 *2478:la_input[89] *1232:9 0 -10 *619:5 *1232:9 0 -11 *722:7 *2472:la_iena_mprj[89] 0 -12 *965:7 *2472:la_iena_mprj[89] 0 -13 *974:10 *1232:10 0.000347135 -14 *975:9 *1232:9 0 -15 *975:10 *1232:10 0.0174362 -16 *976:10 *1232:10 0.00020979 -17 *976:13 *2472:la_iena_mprj[89] 0.00354533 -18 *1118:12 *1232:10 0.000456167 -*RES -1 *2478:la_iena[89] *1232:9 33.9218 -2 *1232:9 *1232:10 190.398 -3 *1232:10 *1232:12 4.5 -4 *1232:12 *2472:la_iena_mprj[89] 60.0894 -*END - -*D_NET *1233 0.183399 -*CONN -*I *2472:la_iena_mprj[8] I *D mgmt_protect -*I *2478:la_iena[8] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[8] 0.00263256 -2 *2478:la_iena[8] 0.00151094 -3 *1233:12 0.00263256 -4 *1233:10 0.00980836 -5 *1233:9 0.0113193 -6 *2472:la_iena_mprj[8] *2472:la_oenb_mprj[8] 0 -7 *2472:la_iena_mprj[8] *1308:21 0.000299282 -8 *1233:10 *1279:10 0 -9 *1233:10 *1289:10 0.000110257 -10 *1233:10 *1291:10 0.00206357 -11 *1233:10 *1339:10 8.27242e-05 -12 *2472:la_data_out_mprj[8] *2472:la_iena_mprj[8] 0 -13 *616:14 *1233:10 0.000228912 -14 *721:7 *2472:la_iena_mprj[8] 0 -15 *721:11 *1233:9 0 -16 *966:7 *1233:9 0 -17 *1149:10 *1233:10 0.0704756 -18 *1161:10 *1233:10 0.000101365 -19 *1163:10 *1233:10 0.00251236 -20 *1211:10 *1233:10 0.0796214 -*RES -1 *2478:la_iena[8] *1233:9 40.4728 -2 *1233:9 *1233:10 103.771 -3 *1233:10 *1233:12 3.36879 -4 *1233:12 *2472:la_iena_mprj[8] 52.4073 -*END - -*D_NET *1234 0.0490993 -*CONN -*I *2472:la_iena_mprj[90] I *D mgmt_protect -*I *2478:la_iena[90] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[90] 0.00185656 -2 *2478:la_iena[90] 0.00115811 -3 *1234:12 0.00185656 -4 *1234:10 0.00170205 -5 *1234:9 0.00286016 -6 *2472:la_iena_mprj[90] *2472:la_oenb_mprj[90] 0 -7 *1234:10 *1367:10 0.000103234 -8 *1234:10 *1369:10 0.00402611 -9 *2472:la_data_out_mprj[90] *2472:la_iena_mprj[90] 0 -10 *621:7 *1234:9 0.00021575 -11 *711:11 *2472:la_iena_mprj[90] 0 -12 *974:10 *1234:10 0.0148029 -13 *976:9 *1234:9 0 -14 *976:10 *1234:10 0.000370863 -15 *978:10 *1234:10 8.47539e-05 -16 *1118:12 *1234:10 0.000598562 -17 *1223:7 *2472:la_iena_mprj[90] 0.00233324 -18 *1232:10 *1234:10 0.0171305 -*RES -1 *2478:la_iena[90] *1234:9 33.5066 -2 *1234:9 *1234:10 202.599 -3 *1234:10 *1234:12 4.5 -4 *1234:12 *2472:la_iena_mprj[90] 60.5047 -*END - -*D_NET *1235 0.050469 -*CONN -*I *2472:la_iena_mprj[91] I *D mgmt_protect -*I *2478:la_iena[91] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[91] 0.00192801 -2 *2478:la_iena[91] 0.00132587 -3 *1235:12 0.00192801 -4 *1235:10 0.00176574 -5 *1235:9 0.00309162 -6 *2472:la_iena_mprj[91] *2472:la_oenb_mprj[91] 0 -7 *2472:la_iena_mprj[91] *1351:7 0.0010763 -8 *1235:9 *1262:13 0 -9 *1235:10 *1242:10 5.80138e-05 -10 *1235:10 *1243:10 1.15389e-05 -11 *1235:10 *1246:12 0.000765326 -12 *1235:10 *1247:10 0.00479542 -13 *1235:10 *1358:10 0.000854233 -14 *1235:10 *1359:10 0.0049942 -15 *1235:10 *1369:10 0 -16 *2472:la_data_out_mprj[91] *2472:la_iena_mprj[91] 0 -17 *711:11 *2472:la_iena_mprj[91] 0 -18 *723:11 *1235:9 0 -19 *971:10 *1235:10 0.00821673 -20 *978:9 *1235:9 0 -21 *978:10 *1235:10 0.019658 -*RES -1 *2478:la_iena[91] *1235:9 35.5828 -2 *1235:9 *1235:10 214.246 -3 *1235:10 *1235:12 4.5 -4 *1235:12 *2472:la_iena_mprj[91] 58.4284 -*END - -*D_NET *1236 0.0532144 -*CONN -*I *2472:la_iena_mprj[92] I *D mgmt_protect -*I *2478:la_iena[92] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[92] 0.00176616 -2 *2478:la_iena[92] 0.00173335 -3 *1236:12 0.00176616 -4 *1236:10 0.00188185 -5 *1236:9 0.0036152 -6 *2472:la_iena_mprj[92] *2472:la_oenb_mprj[92] 0 -7 *1236:10 *1364:10 0.000258087 -8 *2472:la_data_out_mprj[92] *2472:la_iena_mprj[92] 0 -9 *724:11 *1236:9 0 -10 *725:8 *1236:10 0.0208913 -11 *967:7 *2472:la_iena_mprj[92] 0.00057675 -12 *979:10 *1236:10 0.0207255 -13 *980:10 *1236:10 0 -14 *1224:7 *2472:la_iena_mprj[92] 0 -*RES -1 *2478:la_iena[92] *1236:9 42.349 -2 *1236:9 *1236:10 227.002 -3 *1236:10 *1236:12 4.5 -4 *1236:12 *2472:la_iena_mprj[92] 50.5386 -*END - -*D_NET *1237 0.0559804 -*CONN -*I *2472:la_iena_mprj[93] I *D mgmt_protect -*I *2478:la_iena[93] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[93] 0.00163929 -2 *2478:la_iena[93] 0.00168993 -3 *1237:12 0.00163929 -4 *1237:10 0.00192463 -5 *1237:9 0.00361456 -6 *2472:la_iena_mprj[93] *2472:la_oenb_mprj[93] 0 -7 *1237:9 *1251:15 0 -8 *1237:10 *1239:10 0.0207433 -9 *2472:la_data_out_mprj[93] *2472:la_iena_mprj[93] 0 -10 *2478:la_input[93] *1237:9 0 -11 *712:11 *2472:la_iena_mprj[93] 0.00190271 -12 *725:8 *1237:10 9.66124e-05 -13 *726:8 *1237:10 0.0219239 -14 *980:9 *1237:9 0 -15 *980:10 *1237:10 0.00068824 -16 *985:12 *1237:10 0.000117868 -*RES -1 *2478:la_iena[93] *1237:9 41.8116 -2 *1237:9 *1237:10 237.539 -3 *1237:10 *1237:12 4.5 -4 *1237:12 *2472:la_iena_mprj[93] 52.1996 -*END - -*D_NET *1238 0.0582619 -*CONN -*I *2472:la_iena_mprj[94] I *D mgmt_protect -*I *2478:la_iena[94] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[94] 0.00162572 -2 *2478:la_iena[94] 0.00171234 -3 *1238:12 0.00162572 -4 *1238:10 0.00213573 -5 *1238:9 0.00384808 -6 *2472:la_iena_mprj[94] *2472:la_oenb_mprj[94] 0 -7 *2472:la_data_out_mprj[94] *2472:la_iena_mprj[94] 0 -8 *2478:la_input[94] *1238:9 0 -9 *631:5 *1238:9 0 -10 *725:8 *1238:10 0.0220259 -11 *726:8 *1238:10 0.0234447 -12 *968:7 *2472:la_iena_mprj[94] 0.00180361 -13 *981:9 *1238:9 0 -14 *1121:15 *1238:9 4.01573e-05 -*RES -1 *2478:la_iena[94] *1238:9 42.6421 -2 *1238:9 *1238:10 249.186 -3 *1238:10 *1238:12 4.5 -4 *1238:12 *2472:la_iena_mprj[94] 51.3691 -*END - -*D_NET *1239 0.0563794 -*CONN -*I *2472:la_iena_mprj[95] I *D mgmt_protect -*I *2478:la_iena[95] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[95] 0.00209188 -2 *2478:la_iena[95] 0.0016133 -3 *1239:12 0.00209188 -4 *1239:10 0.00243917 -5 *1239:9 0.00405247 -6 *2472:la_iena_mprj[95] *2472:la_oenb_mprj[95] 0 -7 *1239:10 *1366:10 0.00142928 -8 *2472:la_data_out_mprj[95] *2472:la_iena_mprj[95] 0 -9 *2478:la_input[95] *1239:9 0 -10 *634:5 *1239:9 0.000148202 -11 *713:11 *2472:la_iena_mprj[95] 0 -12 *726:8 *1239:10 0.00103384 -13 *980:10 *1239:10 0.0203758 -14 *982:9 *1239:9 0 -15 *985:12 *1239:10 0.000118356 -16 *1121:10 *1239:10 0.000241935 -17 *1237:10 *1239:10 0.0207433 -*RES -1 *2478:la_iena[95] *1239:9 41.3964 -2 *1239:9 *1239:10 260.832 -3 *1239:10 *1239:12 4.5 -4 *1239:12 *2472:la_iena_mprj[95] 52.6149 -*END - -*D_NET *1240 0.0643875 -*CONN -*I *2472:la_iena_mprj[96] I *D mgmt_protect -*I *2478:la_iena[96] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[96] 0.00200038 -2 *2478:la_iena[96] 0.00144034 -3 *1240:12 0.00200038 -4 *1240:10 0.0021238 -5 *1240:9 0.00356414 -6 *2472:la_iena_mprj[96] *2472:la_oenb_mprj[96] 0 -7 *2472:la_iena_mprj[96] *1353:7 0.000715752 -8 *1240:9 *2472:mprj_we_o_core 0 -9 *1240:10 *1241:10 0.0249001 -10 *2472:la_data_out_mprj[96] *2472:la_iena_mprj[96] 0 -11 *728:11 *1240:9 0 -12 *863:15 *1240:9 5.88009e-05 -13 *983:16 *1240:10 0.000249889 -14 *984:10 *1240:10 0.0258769 -15 *986:12 *1240:10 0.001457 -*RES -1 *2478:la_iena[96] *1240:9 36.8042 -2 *1240:9 *1240:10 274.698 -3 *1240:10 *1240:12 4.5 -4 *1240:12 *2472:la_iena_mprj[96] 55.5217 -*END - -*D_NET *1241 0.0644986 -*CONN -*I *2472:la_iena_mprj[97] I *D mgmt_protect -*I *2478:la_iena[97] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[97] 6.22868e-05 -2 *2478:la_iena[97] 0.00152096 -3 *1241:13 0.0017246 -4 *1241:12 0.00166232 -5 *1241:10 0.00233198 -6 *1241:9 0.00385295 -7 *1241:9 *2472:mprj_adr_o_core[1] 0 -8 *1241:9 *2472:mprj_adr_o_core[2] 0 -9 *1241:10 *1242:10 2.39581e-05 -10 *1241:10 *1357:12 0.00272419 -11 *1241:10 *1368:10 0.0189152 -12 *1241:10 *1370:12 0.0013395 -13 *1241:13 *2472:la_oenb_mprj[97] 0.0016305 -14 *2472:la_data_out_mprj[97] *1241:13 0 -15 *607:13 *1241:9 7.09666e-06 -16 *609:14 *1241:10 0.000791462 -17 *714:11 *1241:13 0 -18 *729:11 *1241:9 0 -19 *862:10 *1241:10 0.000114773 -20 *863:10 *1241:10 5.49209e-05 -21 *983:10 *1241:10 0.000124736 -22 *983:16 *1241:10 0.00160718 -23 *984:9 *1241:9 0 -24 *984:10 *1241:10 0.00054182 -25 *1226:7 *1241:13 0.000567999 -26 *1240:10 *1241:10 0.0249001 -*RES -1 *2478:la_iena[97] *1241:9 38.0744 -2 *1241:9 *1241:10 282.462 -3 *1241:10 *1241:12 4.5 -4 *1241:12 *1241:13 56.0224 -5 *1241:13 *2472:la_iena_mprj[97] 1.77093 -*END - -*D_NET *1242 0.0680364 -*CONN -*I *2472:la_iena_mprj[98] I *D mgmt_protect -*I *2478:la_iena[98] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[98] 0.00209448 -2 *2478:la_iena[98] 0.00141322 -3 *1242:12 0.00209448 -4 *1242:10 0.00233456 -5 *1242:9 0.00374778 -6 *2472:la_iena_mprj[98] *2472:la_oenb_mprj[98] 0 -7 *2472:la_iena_mprj[98] *1354:7 0.000587734 -8 *1242:9 *2472:mprj_adr_o_core[4] 6.22114e-05 -9 *1242:10 *1243:10 0.0200514 -10 *1242:10 *1358:10 0.00500935 -11 *1242:10 *1359:10 1.15389e-05 -12 *1242:10 *1368:10 0.000279817 -13 *2472:la_data_out_mprj[98] *2472:la_iena_mprj[98] 0 -14 *2478:la_input[98] *1242:9 0 -15 *606:11 *1242:9 6.90376e-05 -16 *714:11 *2472:la_iena_mprj[98] 0 -17 *863:10 *1242:10 0.00369876 -18 *970:10 *1242:10 0.000749011 -19 *971:10 *1242:10 0.000792362 -20 *983:10 *1242:10 0.020474 -21 *983:16 *1242:10 0.00302774 -22 *985:9 *1242:9 0 -23 *987:12 *1242:10 0.00145699 -24 *1235:10 *1242:10 5.80138e-05 -25 *1241:10 *1242:10 2.39581e-05 -*RES -1 *2478:la_iena[98] *1242:9 36.8286 -2 *1242:9 *1242:10 296.327 -3 *1242:10 *1242:12 4.5 -4 *1242:12 *2472:la_iena_mprj[98] 57.1827 -*END - -*D_NET *1243 0.0648877 -*CONN -*I *2472:la_iena_mprj[99] I *D mgmt_protect -*I *2478:la_iena[99] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[99] 0.00272558 -2 *2478:la_iena[99] 0.00145328 -3 *1243:18 0.00272558 -4 *1243:16 0.00177976 -5 *1243:15 0.00212069 -6 *1243:10 0.00213719 -7 *1243:9 0.00324954 -8 *2472:la_iena_mprj[99] *2472:la_oenb_mprj[99] 0 -9 *1243:10 *1246:12 0.000883336 -10 *1243:10 *1247:10 0.020032 -11 *1243:10 *1359:10 0.00184072 -12 *1243:15 *1358:9 0.000389717 -13 *1243:16 *1363:10 0.00203157 -14 *1243:16 *1368:16 0.00223788 -15 *2472:la_data_out_mprj[99] *2472:la_iena_mprj[99] 0 -16 *613:8 *1243:16 0.00024397 -17 *731:11 *1243:9 0 -18 *863:10 *1243:10 0.000816494 -19 *970:9 *2472:la_iena_mprj[99] 0.000157445 -20 *974:9 *1243:15 0 -21 *986:9 *1243:9 0 -22 *1227:7 *2472:la_iena_mprj[99] 0 -23 *1235:10 *1243:10 1.15389e-05 -24 *1242:10 *1243:10 0.0200514 -*RES -1 *2478:la_iena[99] *1243:9 36.4134 -2 *1243:9 *1243:10 232.548 -3 *1243:10 *1243:15 17.0608 -4 *1243:15 *1243:16 75.0403 -5 *1243:16 *1243:18 4.5 -6 *1243:18 *2472:la_iena_mprj[99] 65.4877 -*END - -*D_NET *1244 0.185395 -*CONN -*I *2472:la_iena_mprj[9] I *D mgmt_protect -*I *2478:la_iena[9] O *D mgmt_core_wrapper -*CAP -1 *2472:la_iena_mprj[9] 0.000806952 -2 *2478:la_iena[9] 1.28869e-05 -3 *1244:10 0.00709729 -4 *1244:9 0.00629033 -5 *1244:7 0.00280647 -6 *1244:5 0.00281936 -7 *2472:la_iena_mprj[9] *2472:la_oenb_mprj[9] 0 -8 *1244:10 *1290:10 0.00351928 -9 *1244:10 *1350:10 0.0792428 -10 *1244:10 *1372:10 0.000268945 -11 *2472:la_data_out_mprj[9] *2472:la_iena_mprj[9] 0 -12 *977:9 *1244:7 0 -13 *1128:10 *1244:10 0.0784901 -14 *1148:10 *1244:10 0 -15 *1164:10 *1244:10 0.00404065 -*RES -1 *2478:la_iena[9] *1244:5 0.366399 -2 *1244:5 *1244:7 68.2723 -3 *1244:7 *1244:9 3.36879 -4 *1244:9 *1244:10 102.394 -5 *1244:10 *2472:la_iena_mprj[9] 22.5559 -*END - -*D_NET *1245 0.200703 -*CONN -*I *2472:la_oenb_mprj[0] I *D mgmt_protect -*I *2478:la_oenb[0] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[0] 0.00313731 -2 *2478:la_oenb[0] 0.00118279 -3 *1245:12 0.00313731 -4 *1245:10 0.019901 -5 *1245:9 0.0210838 -6 *2472:la_oenb_mprj[0] *1308:15 0.000220346 -7 *1245:9 *1556:27 0 -8 *1245:10 *1279:10 0.057836 -9 *2472:la_data_out_mprj[0] *2472:la_oenb_mprj[0] 0 -10 *2472:la_iena_mprj[0] *2472:la_oenb_mprj[0] 0 -11 *2478:la_input[0] *1245:9 0 -12 *605:14 *1245:10 0.00295416 -13 *644:7 *2472:la_oenb_mprj[0] 0 -14 *861:7 *1245:9 0 -15 *911:10 *1245:10 0.00349683 -16 *1167:10 *1245:10 0.0874927 -17 *1179:10 *1245:10 0.000260662 -*RES -1 *2478:la_oenb[0] *1245:9 34.6593 -2 *1245:9 *1245:10 115.55 -3 *1245:10 *1245:12 3.36879 -4 *1245:12 *2472:la_oenb_mprj[0] 58.2208 -*END - -*D_NET *1246 0.0799988 -*CONN -*I *2472:la_oenb_mprj[100] I *D mgmt_protect -*I *2478:la_oenb[100] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[100] 0.00163434 -2 *2478:la_oenb[100] 0.00142406 -3 *1246:12 0.00810429 -4 *1246:9 0.00789401 -5 *1246:12 *1247:10 0.000952946 -6 *1246:12 *1248:10 0.00994956 -7 *1246:12 *1358:10 0.000159297 -8 *1246:12 *1359:10 0.00010238 -9 *1246:12 *1714:16 0.000337748 -10 *1246:12 *1742:30 2.61599e-05 -11 *1246:12 *1744:10 0.000162159 -12 *1246:12 *2195:10 0.00932531 -13 *2472:la_data_out_mprj[101] *2472:la_oenb_mprj[100] 0 -14 *2478:la_input[100] *1246:9 0 -15 *607:7 *2472:la_oenb_mprj[100] 0 -16 *862:9 *1246:9 0 -17 *971:10 *1246:12 0.00010238 -18 *978:10 *1246:12 0.000679362 -19 *987:12 *1246:12 0.0336534 -20 *1118:12 *1246:12 0.000461614 -21 *1118:13 *2472:la_oenb_mprj[100] 0.00338115 -22 *1235:10 *1246:12 0.000765326 -23 *1243:10 *1246:12 0.000883336 -*RES -1 *2478:la_oenb[100] *1246:9 34.6593 -2 *1246:9 *1246:12 48.5479 -3 *1246:12 *2472:la_oenb_mprj[100] 58.2208 -*END - -*D_NET *1247 0.063934 -*CONN -*I *2472:la_oenb_mprj[101] I *D mgmt_protect -*I *2478:la_oenb[101] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[101] 0.00247821 -2 *2478:la_oenb[101] 0.001439 -3 *1247:18 0.00247821 -4 *1247:16 0.000915201 -5 *1247:15 0.00118499 -6 *1247:10 0.00470705 -7 *1247:9 0.00587626 -8 *2472:la_oenb_mprj[101] *1358:15 0.000603266 -9 *1247:9 *2472:mprj_dat_o_core[14] 2.33103e-06 -10 *1247:9 *1654:7 0 -11 *1247:10 *1369:10 0 -12 *1247:10 *1606:10 0 -13 *1247:10 *1607:16 0.00663637 -14 *1247:10 *1652:8 0.000258087 -15 *1247:15 *1359:9 0.000309381 -16 *1247:16 *1360:10 0.00191915 -17 *1247:16 *1363:10 0.00156814 -18 *1247:16 *1368:16 0.00351212 -19 *2472:la_data_out_mprj[102] *2472:la_oenb_mprj[101] 0 -20 *2472:la_iena_mprj[101] *2472:la_oenb_mprj[101] 0 -21 *2478:la_input[101] *1247:9 0 -22 *608:7 *2472:la_oenb_mprj[101] 0 -23 *618:5 *1247:15 0 -24 *863:10 *1247:10 0.000820045 -25 *865:10 *1247:10 0.000995201 -26 *971:9 *2472:la_oenb_mprj[101] 0.00010835 -27 *973:10 *1247:16 2.35161e-05 -28 *1229:10 *1247:16 0.0023187 -29 *1235:10 *1247:10 0.00479542 -30 *1243:10 *1247:10 0.020032 -31 *1246:12 *1247:10 0.000952946 -*RES -1 *2478:la_oenb[101] *1247:9 35.9981 -2 *1247:9 *1247:10 263.605 -3 *1247:10 *1247:15 15.3998 -4 *1247:15 *1247:16 75.5949 -5 *1247:16 *1247:18 4.5 -6 *1247:18 *2472:la_oenb_mprj[101] 64.242 -*END - -*D_NET *1248 0.0777925 -*CONN -*I *2472:la_oenb_mprj[102] I *D mgmt_protect -*I *2478:la_oenb[102] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[102] 0.00228699 -2 *2478:la_oenb[102] 0.00133011 -3 *1248:12 0.00228699 -4 *1248:10 0.00614821 -5 *1248:9 0.00747832 -6 *2472:la_oenb_mprj[102] *1357:15 0 -7 *1248:9 *2472:mprj_dat_o_core[18] 0 -8 *1248:10 *1611:10 0.000118134 -9 *1248:10 *1650:8 0.000114604 -10 *1248:10 *1678:8 0.000108607 -11 *1248:10 *1680:8 0.000124658 -12 *1248:10 *2195:10 0.0137119 -13 *2472:la_data_out_mprj[102] *2472:la_oenb_mprj[102] 0 -14 *2472:la_data_out_mprj[103] *2472:la_oenb_mprj[102] 0 -15 *2472:la_iena_mprj[102] *2472:la_oenb_mprj[102] 0 -16 *2478:la_input[102] *1248:9 0 -17 *2478:la_input[85] *2472:la_oenb_mprj[102] 0.00108477 -18 *609:7 *2472:la_oenb_mprj[102] 0 -19 *864:9 *1248:9 0 -20 *975:10 *1248:10 0.000370172 -21 *976:10 *1248:10 0.000354801 -22 *1118:12 *1248:10 0.0323247 -23 *1246:12 *1248:10 0.00994956 -*RES -1 *2478:la_oenb[102] *1248:9 32.9983 -2 *1248:9 *1248:10 48.3917 -3 *1248:10 *1248:12 3.36879 -4 *1248:12 *2472:la_oenb_mprj[102] 59.8818 -*END - -*D_NET *1249 0.0646364 -*CONN -*I *2472:la_oenb_mprj[103] I *D mgmt_protect -*I *2478:la_oenb[103] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[103] 0.00186836 -2 *2478:la_oenb[103] 0.00198764 -3 *1249:12 0.00186836 -4 *1249:10 0.00666994 -5 *1249:9 0.00865758 -6 *2472:la_oenb_mprj[103] *1359:13 0 -7 *1249:9 *2472:mprj_adr_o_core[21] 7.16076e-05 -8 *1249:9 *2472:mprj_dat_o_core[21] 0 -9 *1249:10 *1364:10 0 -10 *2472:la_iena_mprj[103] *2472:la_oenb_mprj[103] 0 -11 *2478:la_input[103] *1249:9 0 -12 *610:7 *2472:la_oenb_mprj[103] 0 -13 *865:9 *1249:9 0 -14 *866:10 *1249:10 0.00944613 -15 *868:18 *1249:10 0.00136247 -16 *888:10 *1249:10 0.000686944 -17 *1123:14 *1249:10 0 -18 *1124:10 *1249:10 0.0320173 -*RES -1 *2478:la_oenb[103] *1249:9 47.0634 -2 *1249:9 *1249:10 362.88 -3 *1249:10 *1249:12 4.5 -4 *1249:12 *2472:la_oenb_mprj[103] 46.3861 -*END - -*D_NET *1250 0.0810588 -*CONN -*I *2472:la_oenb_mprj[104] I *D mgmt_protect -*I *2478:la_oenb[104] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[104] 0.000979818 -2 *2478:la_oenb[104] 8.30061e-05 -3 *1250:10 0.00488317 -4 *1250:9 0.00390335 -5 *1250:7 0.00331629 -6 *1250:5 0.0033993 -7 *2472:la_oenb_mprj[104] *1359:13 0 -8 *1250:7 *2472:mprj_adr_o_core[25] 0 -9 *1250:7 *2472:mprj_dat_o_core[24] 0 -10 *1250:10 *1252:10 0.000161493 -11 *1250:10 *1662:8 8.46377e-05 -12 *1250:10 *1679:8 0 -13 *1250:10 *1728:16 9.91596e-05 -14 *2472:la_iena_mprj[104] *2472:la_oenb_mprj[104] 0 -15 *2478:la_input[104] *1250:7 0 -16 *611:7 *2472:la_oenb_mprj[104] 0 -17 *611:8 *1250:10 0.034322 -18 *611:13 *1250:7 0 -19 *723:8 *1250:10 5.65165e-05 -20 *866:9 *1250:7 0 -21 *1120:16 *1250:10 0.0288811 -22 *1122:16 *1250:10 0.000888955 -23 *1136:15 *1250:7 0 -*RES -1 *2478:la_oenb[104] *1250:5 2.05183 -2 *1250:5 *1250:7 63.9122 -3 *1250:7 *1250:9 4.5 -4 *1250:9 *1250:10 373.972 -5 *1250:10 *2472:la_oenb_mprj[104] 28.0472 -*END - -*D_NET *1251 0.0717417 -*CONN -*I *2472:la_oenb_mprj[105] I *D mgmt_protect -*I *2478:la_oenb[105] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[105] 0.00142382 -2 *2478:la_oenb[105] 0.00108786 -3 *1251:16 0.00372359 -4 *1251:15 0.00335596 -5 *1251:10 0.00443767 -6 *1251:9 0.00446935 -7 *2472:la_oenb_mprj[105] *1362:15 7.77309e-06 -8 *2472:la_oenb_mprj[105] *1363:15 0.000794212 -9 *1251:10 *1270:10 0.0226192 -10 *1251:10 *1365:10 0 -11 *1251:10 *1367:10 0 -12 *1251:10 *1720:10 0.000227563 -13 *1251:10 *1722:10 0.00535381 -14 *2472:la_iena_mprj[105] *2472:la_oenb_mprj[105] 0 -15 *2478:la_input[105] *1251:9 0 -16 *2478:la_input[93] *1251:15 0.000710928 -17 *608:14 *1251:10 0.00397947 -18 *612:5 *2472:la_oenb_mprj[105] 0 -19 *613:8 *1251:10 0.000639917 -20 *730:16 *1251:10 0.00331486 -21 *867:9 *1251:9 0 -22 *877:10 *1251:16 0.00271912 -23 *1121:16 *1251:16 0.0128766 -24 *1237:9 *1251:15 0 -*RES -1 *2478:la_oenb[105] *1251:9 29.3541 -2 *1251:9 *1251:10 249.186 -3 *1251:10 *1251:15 34.5014 -4 *1251:15 *1251:16 136.601 -5 *1251:16 *2472:la_oenb_mprj[105] 43.8268 -*END - -*D_NET *1252 0.0815715 -*CONN -*I *2472:la_oenb_mprj[106] I *D mgmt_protect -*I *2478:la_oenb[106] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[106] 0.00103056 -2 *2478:la_oenb[106] 8.30061e-05 -3 *1252:10 0.00534357 -4 *1252:9 0.00431301 -5 *1252:7 0.00277468 -6 *1252:5 0.00285769 -7 *1252:7 *2472:mprj_dat_o_core[30] 0.00155079 -8 *1252:7 *1672:5 0.0002888 -9 *1252:10 *1275:20 0.00065574 -10 *1252:10 *1608:30 0.000520301 -11 *1252:10 *1610:30 0.0142046 -12 *1252:10 *1668:8 4.03749e-05 -13 *1252:10 *1728:16 0.000109568 -14 *1252:10 *1732:10 0.00177894 -15 *2472:la_iena_mprj[106] *2472:la_oenb_mprj[106] 0 -16 *2478:la_input[106] *1252:7 0 -17 *611:8 *1252:10 0.0329268 -18 *613:5 *2472:la_oenb_mprj[106] 0 -19 *723:8 *1252:10 0.0082498 -20 *868:12 *1252:7 0 -21 *868:24 *1252:10 0.00468174 -22 *1250:10 *1252:10 0.000161493 -*RES -1 *2478:la_oenb[106] *1252:5 2.05183 -2 *1252:5 *1252:7 63.0817 -3 *1252:7 *1252:9 4.5 -4 *1252:9 *1252:10 397.265 -5 *1252:10 *2472:la_oenb_mprj[106] 28.8777 -*END - -*D_NET *1253 0.0651276 -*CONN -*I *2472:la_oenb_mprj[107] I *D mgmt_protect -*I *2478:la_oenb[107] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[107] 0.000114947 -2 *2478:la_oenb[107] 1.28869e-05 -3 *1253:10 0.0106824 -4 *1253:9 0.0105674 -5 *1253:7 0.00455174 -6 *1253:5 0.00456462 -7 *1253:7 *1259:15 0 -8 *1253:10 *1254:10 0.00206733 -9 *1253:10 *1261:10 0.0325663 -10 *1253:10 *1558:26 0 -11 *2472:la_iena_mprj[107] *2472:la_oenb_mprj[107] 0 -12 *614:5 *2472:la_oenb_mprj[107] 0 -13 *869:9 *1253:7 0 -*RES -1 *2478:la_oenb[107] *1253:5 0.366399 -2 *1253:5 *1253:7 84.2596 -3 *1253:7 *1253:9 4.5 -4 *1253:9 *1253:10 410.575 -5 *1253:10 *2472:la_oenb_mprj[107] 7.69988 -*END - -*D_NET *1254 0.0905893 -*CONN -*I *2472:la_oenb_mprj[108] I *D mgmt_protect -*I *2478:la_oenb[108] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[108] 0.000150968 -2 *2478:la_oenb[108] 8.30061e-05 -3 *1254:10 0.00438509 -4 *1254:9 0.00423412 -5 *1254:7 0.00431696 -6 *1254:5 0.00439997 -7 *1254:7 *1265:15 9.42966e-05 -8 *1254:7 *1578:8 0 -9 *1254:7 *1578:12 0 -10 *1254:7 *1662:13 2.30095e-05 -11 *1254:7 *1664:13 0.00043595 -12 *1254:7 *1728:15 1.02986e-05 -13 *1254:10 *1255:10 0.00180773 -14 *1254:10 *1261:10 0.0343697 -15 *1254:10 *1558:26 5.13878e-05 -16 *2472:la_iena_mprj[108] *2472:la_oenb_mprj[108] 0 -17 *2478:la_input[108] *1254:7 0 -18 *615:5 *2472:la_oenb_mprj[108] 0 -19 *622:8 *1254:10 0.0341595 -20 *1253:10 *1254:10 0.00206733 -*RES -1 *2478:la_oenb[108] *1254:5 2.05183 -2 *1254:5 *1254:7 83.4291 -3 *1254:7 *1254:9 4.5 -4 *1254:9 *1254:10 421.113 -5 *1254:10 *2472:la_oenb_mprj[108] 8.53039 -*END - -*D_NET *1255 0.0945363 -*CONN -*I *2472:la_oenb_mprj[109] I *D mgmt_protect -*I *2478:la_oenb[109] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[109] 0.000186989 -2 *2478:la_oenb[109] 8.30061e-05 -3 *1255:10 0.00432873 -4 *1255:9 0.00414174 -5 *1255:7 0.00442174 -6 *1255:5 0.00450474 -7 *1255:10 *1258:10 0.0386236 -8 *1255:10 *1558:26 0.000394687 -9 *2472:la_iena_mprj[109] *2472:la_oenb_mprj[109] 0 -10 *2478:la_input[109] *1255:7 0 -11 *617:5 *2472:la_oenb_mprj[109] 0 -12 *622:8 *1255:10 0.0360433 -13 *871:7 *1255:7 0 -14 *1254:10 *1255:10 0.00180773 -*RES -1 *2478:la_oenb[109] *1255:5 2.05183 -2 *1255:5 *1255:7 82.5985 -3 *1255:7 *1255:9 4.5 -4 *1255:9 *1255:10 432.205 -5 *1255:10 *2472:la_oenb_mprj[109] 9.36089 -*END - -*D_NET *1256 0.180422 -*CONN -*I *2472:la_oenb_mprj[10] I *D mgmt_protect -*I *2478:la_oenb[10] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[10] 0.000697907 -2 *2478:la_oenb[10] 8.29983e-05 -3 *1256:10 0.00621378 -4 *1256:9 0.00551587 -5 *1256:7 0.00290707 -6 *1256:5 0.00299007 -7 *1256:10 *1372:10 0.077612 -8 *2472:la_iena_mprj[10] *2472:la_oenb_mprj[10] 0 -9 *2478:la_input[10] *1256:7 0 -10 *627:7 *2472:la_oenb_mprj[10] 0 -11 *637:11 *1256:10 0.000386033 -12 *872:7 *1256:7 0 -13 *1128:10 *1256:10 0.0782409 -14 *1148:10 *1256:10 0 -15 *1166:10 *1256:10 0.00300887 -16 *1169:10 *1256:10 0.00276622 -*RES -1 *2478:la_oenb[10] *1256:5 2.05183 -2 *1256:5 *1256:7 69.9334 -3 *1256:7 *1256:9 3.36879 -4 *1256:9 *1256:10 99.4106 -5 *1256:10 *2472:la_oenb_mprj[10] 20.8949 -*END - -*D_NET *1257 0.0782615 -*CONN -*I *2472:la_oenb_mprj[110] I *D mgmt_protect -*I *2478:la_oenb[110] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[110] 0.00116593 -2 *2478:la_oenb[110] 0.00195765 -3 *1257:16 0.00539757 -4 *1257:15 0.00528528 -5 *1257:10 0.00365288 -6 *1257:9 0.00455689 -7 *1257:10 *1647:10 0.000615448 -8 *1257:10 *1659:8 0.001569 -9 *1257:15 *2472:mprj_adr_o_core[29] 6.9233e-05 -10 *1257:15 *2472:mprj_dat_o_core[28] 0.000378618 -11 *1257:16 *1259:16 0.00388298 -12 *1257:16 *1269:16 0.0239947 -13 *1257:16 *1271:16 0.0223829 -14 *1257:16 *2183:19 0.000419943 -15 *2472:la_iena_mprj[110] *2472:la_oenb_mprj[110] 0 -16 *2478:la_input[110] *1257:9 0 -17 *618:5 *2472:la_oenb_mprj[110] 0 -18 *722:8 *1257:16 0.000820031 -19 *864:16 *1257:16 0.000276368 -20 *868:12 *1257:10 7.32024e-06 -21 *868:14 *1257:10 0.000269426 -22 *868:24 *1257:16 0.000953041 -23 *1124:9 *1257:15 8.01597e-05 -24 *1129:10 *1257:10 0.000404207 -25 *1136:10 *1257:10 0 -26 *1143:15 *1257:15 0.000121929 -*RES -1 *2478:la_oenb[110] *1257:9 44.7184 -2 *1257:9 *1257:10 92.7876 -3 *1257:10 *1257:15 31.5946 -4 *1257:15 *1257:16 351.787 -5 *1257:16 *2472:la_oenb_mprj[110] 31.3693 -*END - -*D_NET *1258 0.0994046 -*CONN -*I *2472:la_oenb_mprj[111] I *D mgmt_protect -*I *2478:la_oenb[111] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[111] 0.000208415 -2 *2478:la_oenb[111] 8.30061e-05 -3 *1258:10 0.00428248 -4 *1258:9 0.00407407 -5 *1258:7 0.00434987 -6 *1258:5 0.00443287 -7 *1258:10 *1260:10 0.0408992 -8 *1258:10 *1558:26 0.000695785 -9 *2472:la_iena_mprj[111] *2472:la_oenb_mprj[111] 0 -10 *2478:la_input[111] *1258:7 0 -11 *619:5 *2472:la_oenb_mprj[111] 0 -12 *622:8 *1258:10 0.00175528 -13 *874:7 *1258:7 0 -14 *1255:10 *1258:10 0.0386236 -*RES -1 *2478:la_oenb[111] *1258:5 2.05183 -2 *1258:5 *1258:7 82.1833 -3 *1258:7 *1258:9 4.5 -4 *1258:9 *1258:10 455.498 -5 *1258:10 *2472:la_oenb_mprj[111] 9.77615 -*END - -*D_NET *1259 0.0992182 -*CONN -*I *2472:la_oenb_mprj[112] I *D mgmt_protect -*I *2478:la_oenb[112] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[112] 0.00120879 -2 *2478:la_oenb[112] 0.00209594 -3 *1259:16 0.0046326 -4 *1259:15 0.00451602 -5 *1259:10 0.00182681 -6 *1259:9 0.00283054 -7 *1259:10 *1263:10 0.00873109 -8 *1259:16 *1263:16 0.0307189 -9 *1259:16 *1271:16 0.0250569 -10 *1259:16 *1713:10 0.000600965 -11 *2472:la_iena_mprj[112] *2472:la_oenb_mprj[112] 0 -12 *2478:la_input[112] *1259:9 0 -13 *620:5 *2472:la_oenb_mprj[112] 0 -14 *864:16 *1259:16 0.00140664 -15 *869:10 *1259:10 0.00079792 -16 *875:7 *1259:9 0 -17 *888:10 *1259:10 0.000789243 -18 *1127:10 *1259:10 0.000183223 -19 *1143:10 *1259:10 0.00993967 -20 *1253:7 *1259:15 0 -21 *1257:16 *1259:16 0.00388298 -*RES -1 *2478:la_oenb[112] *1259:9 48.4557 -2 *1259:9 *1259:10 104.989 -3 *1259:10 *1259:15 27.0268 -4 *1259:15 *1259:16 362.88 -5 *1259:16 *2472:la_oenb_mprj[112] 32.1998 -*END - -*D_NET *1260 0.104421 -*CONN -*I *2472:la_oenb_mprj[113] I *D mgmt_protect -*I *2478:la_oenb[113] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[113] 0.000229852 -2 *2478:la_oenb[113] 8.30061e-05 -3 *1260:10 0.00449599 -4 *1260:9 0.00426614 -5 *1260:7 0.00428225 -6 *1260:5 0.00436525 -7 *1260:7 *1274:15 0 -8 *1260:10 *1558:26 0.0447738 -9 *2472:la_iena_mprj[113] *2472:la_oenb_mprj[113] 0 -10 *2478:la_input[113] *1260:7 0 -11 *621:7 *2472:la_oenb_mprj[113] 0 -12 *622:8 *1260:10 0.00102524 -13 *876:7 *1260:7 0 -14 *1258:10 *1260:10 0.0408992 -*RES -1 *2478:la_oenb[113] *1260:5 2.05183 -2 *1260:5 *1260:7 81.768 -3 *1260:7 *1260:9 4.5 -4 *1260:9 *1260:10 478.792 -5 *1260:10 *2472:la_oenb_mprj[113] 10.1914 -*END - -*D_NET *1261 0.0941256 -*CONN -*I *2472:la_oenb_mprj[114] I *D mgmt_protect -*I *2478:la_oenb[114] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[114] 0.000136373 -2 *2478:la_oenb[114] 8.30061e-05 -3 *1261:10 0.00655455 -4 *1261:9 0.00641818 -5 *1261:7 0.00433192 -6 *1261:5 0.00441492 -7 *2472:la_iena_mprj[114] *2472:la_oenb_mprj[114] 0 -8 *2478:la_input[114] *1261:7 0 -9 *622:7 *2472:la_oenb_mprj[114] 0 -10 *622:8 *1261:10 0.00525072 -11 *877:7 *1261:7 0 -12 *1253:10 *1261:10 0.0325663 -13 *1254:10 *1261:10 0.0343697 -*RES -1 *2478:la_oenb[114] *1261:5 2.05183 -2 *1261:5 *1261:7 83.8443 -3 *1261:7 *1261:9 4.5 -4 *1261:9 *1261:10 490.993 -5 *1261:10 *2472:la_oenb_mprj[114] 8.11514 -*END - -*D_NET *1262 0.106188 -*CONN -*I *2472:la_oenb_mprj[115] I *D mgmt_protect -*I *2478:la_oenb[115] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[115] 6.22868e-05 -2 *2478:la_oenb[115] 0.000838404 -3 *1262:13 0.00304653 -4 *1262:12 0.00298424 -5 *1262:10 0.00435272 -6 *1262:9 0.00519112 -7 *1262:10 *1264:10 0.0010731 -8 *1262:10 *1656:8 0 -9 *2472:la_data_out_mprj[116] *1262:13 0 -10 *2472:la_iena_mprj[115] *1262:13 0 -11 *620:8 *1262:10 0.0431094 -12 *621:10 *1262:10 0.0448934 -13 *622:11 *1262:9 0 -14 *623:7 *1262:13 0.000478162 -15 *878:7 *1262:9 0 -16 *978:9 *1262:13 0.000159106 -17 *1235:9 *1262:13 0 -*RES -1 *2478:la_oenb[115] *1262:9 24.7863 -2 *1262:9 *1262:10 500.976 -3 *1262:10 *1262:12 4.5 -4 *1262:12 *1262:13 69.3105 -5 *1262:13 *2472:la_oenb_mprj[115] 1.77093 -*END - -*D_NET *1263 0.103841 -*CONN -*I *2472:la_oenb_mprj[116] I *D mgmt_protect -*I *2478:la_oenb[116] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[116] 0.00125075 -2 *2478:la_oenb[116] 0.0020216 -3 *1263:16 0.00412678 -4 *1263:15 0.00390493 -5 *1263:10 0.00279405 -6 *1263:9 0.00378676 -7 *1263:10 *1265:10 0.00656234 -8 *1263:16 *1268:16 0.000223975 -9 *1263:16 *1271:16 0.000540322 -10 *1263:16 *1274:16 0.0238699 -11 *1263:16 *1713:10 0.000858442 -12 *2472:la_data_out_mprj[117] *2472:la_oenb_mprj[116] 0 -13 *2472:la_iena_mprj[116] *2472:la_oenb_mprj[116] 0 -14 *624:7 *2472:la_oenb_mprj[116] 0 -15 *723:11 *2472:la_oenb_mprj[116] 0 -16 *864:16 *1263:16 0.00755784 -17 *879:7 *1263:9 0 -18 *888:10 *1263:10 0.00134678 -19 *1126:9 *1263:15 4.15661e-05 -20 *1127:10 *1263:10 0.00198068 -21 *1143:10 *1263:10 0.00352416 -22 *1259:10 *1263:10 0.00873109 -23 *1259:16 *1263:16 0.0307189 -*RES -1 *2478:la_oenb[116] *1263:9 47.1855 -2 *1263:9 *1263:10 175.424 -3 *1263:10 *1263:15 26.1963 -4 *1263:15 *1263:16 341.25 -5 *1263:16 *2472:la_oenb_mprj[116] 32.615 -*END - -*D_NET *1264 0.108649 -*CONN -*I *2472:la_oenb_mprj[117] I *D mgmt_protect -*I *2478:la_oenb[117] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[117] 0.0032002 -2 *2478:la_oenb[117] 0.000802382 -3 *1264:12 0.0032002 -4 *1264:10 0.00477825 -5 *1264:9 0.00558063 -6 *2472:la_oenb_mprj[117] *1363:9 7.06274e-05 -7 *1264:10 *1552:24 0.00108877 -8 *1264:10 *1656:8 0 -9 *2472:la_data_out_mprj[117] *2472:la_oenb_mprj[117] 0 -10 *2472:la_data_out_mprj[118] *2472:la_oenb_mprj[117] 0 -11 *2472:la_iena_mprj[117] *2472:la_oenb_mprj[117] 0 -12 *621:10 *1264:10 0.043428 -13 *624:11 *1264:9 0 -14 *625:7 *2472:la_oenb_mprj[117] 0 -15 *631:8 *1264:10 0.0454268 -16 *880:7 *1264:9 0 -17 *979:9 *2472:la_oenb_mprj[117] 0 -18 *1262:10 *1264:10 0.0010731 -*RES -1 *2478:la_oenb[117] *1264:9 23.9558 -2 *1264:9 *1264:10 525.933 -3 *1264:10 *1264:12 4.5 -4 *1264:12 *2472:la_oenb_mprj[117] 70.0555 -*END - -*D_NET *1265 0.101644 -*CONN -*I *2472:la_oenb_mprj[118] I *D mgmt_protect -*I *2478:la_oenb[118] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[118] 0.00131502 -2 *2478:la_oenb[118] 0.00211624 -3 *1265:16 0.00422045 -4 *1265:15 0.00360333 -5 *1265:10 0.003083 -6 *1265:9 0.00450134 -7 *1265:15 *1597:29 0.00055459 -8 *1265:15 *1664:13 4.70005e-05 -9 *1265:16 *1268:16 0.0296128 -10 *1265:16 *1602:30 0.000134848 -11 *1265:16 *1604:30 0.00409855 -12 *1265:16 *1713:10 0.000163504 -13 *2472:la_data_out_mprj[119] *2472:la_oenb_mprj[118] 0 -14 *2472:la_iena_mprj[118] *2472:la_oenb_mprj[118] 0 -15 *625:11 *1265:9 0 -16 *626:7 *2472:la_oenb_mprj[118] 0 -17 *864:16 *1265:16 0.000713488 -18 *870:15 *1265:15 2.05972e-05 -19 *871:10 *1265:10 0.00251177 -20 *881:7 *1265:9 0 -21 *888:10 *1265:10 0.00150607 -22 *1127:10 *1265:10 0.00108851 -23 *1132:10 *1265:10 0.00401589 -24 *1136:16 *1265:16 0.0242458 -25 *1143:10 *1265:10 0.000659929 -26 *1143:16 *1265:16 0.00102025 -27 *1147:10 *1265:10 0.00575396 -28 *1254:7 *1265:15 9.42966e-05 -29 *1263:10 *1265:10 0.00656234 -*RES -1 *2478:la_oenb[118] *1265:9 49.7014 -2 *1265:9 *1265:10 204.263 -3 *1265:10 *1265:15 24.1201 -4 *1265:15 *1265:16 333.486 -5 *1265:16 *2472:la_oenb_mprj[118] 33.8608 -*END - -*D_NET *1266 0.116834 -*CONN -*I *2472:la_oenb_mprj[119] I *D mgmt_protect -*I *2478:la_oenb[119] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[119] 0.000415044 -2 *2478:la_oenb[119] 8.30061e-05 -3 *1266:10 0.00504163 -4 *1266:9 0.00462659 -5 *1266:7 0.00389262 -6 *1266:5 0.00397562 -7 *1266:7 *1610:29 0.000173308 -8 *2472:la_iena_mprj[119] *2472:la_oenb_mprj[119] 0 -9 *471:27 *1266:10 0.0011524 -10 *623:8 *1266:10 0.0453169 -11 *624:8 *1266:10 0.000885943 -12 *626:8 *1266:10 0.0511501 -13 *626:11 *1266:7 0 -14 *628:7 *2472:la_oenb_mprj[119] 0 -15 *629:8 *1266:10 0.000120974 -16 *882:7 *1266:7 0 -*RES -1 *2478:la_oenb[119] *1266:5 2.05183 -2 *1266:5 *1266:7 78.446 -3 *1266:7 *1266:9 4.5 -4 *1266:9 *1266:10 549.226 -5 *1266:10 *2472:la_oenb_mprj[119] 13.5134 -*END - -*D_NET *1267 0.152014 -*CONN -*I *2472:la_oenb_mprj[11] I *D mgmt_protect -*I *2478:la_oenb[11] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[11] 0.00149704 -2 *2478:la_oenb[11] 0.00144571 -3 *1267:18 0.00234975 -4 *1267:15 0.00162148 -5 *1267:10 0.00553313 -6 *1267:9 0.00621007 -7 *1267:10 *1339:10 0.000159297 -8 *1267:18 *1308:16 0.000241948 -9 *2472:la_iena_mprj[11] *2472:la_oenb_mprj[11] 0 -10 *2472:la_iena_mprj[6] *1267:15 0.000965027 -11 *2478:la_input[11] *1267:9 0 -12 *2478:la_input[44] *1267:15 1.98891e-05 -13 *616:14 *1267:10 0 -14 *636:5 *2472:la_oenb_mprj[11] 0 -15 *652:8 *1267:18 0.00185279 -16 *677:14 *1267:10 0.013081 -17 *883:7 *1267:9 0 -18 *924:16 *1267:18 0.000466074 -19 *966:16 *1267:18 0.000245416 -20 *1139:10 *1267:10 0.0629386 -21 *1139:18 *1267:15 0.00103186 -22 *1155:10 *1267:10 0.0485505 -23 *1189:10 *1267:10 0.00380399 -*RES -1 *2478:la_oenb[11] *1267:9 39.3201 -2 *1267:9 *1267:10 664.584 -3 *1267:10 *1267:15 31.5946 -4 *1267:15 *1267:18 49.5917 -5 *1267:18 *2472:la_oenb_mprj[11] 32.2675 -*END - -*D_NET *1268 0.123602 -*CONN -*I *2472:la_oenb_mprj[120] I *D mgmt_protect -*I *2478:la_oenb[120] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[120] 0.0013199 -2 *2478:la_oenb[120] 0.00218174 -3 *1268:16 0.00426795 -4 *1268:15 0.00379539 -5 *1268:10 0.00226017 -6 *1268:9 0.00141283 -7 *1268:7 0.00218174 -8 *1268:10 *1269:10 0.0183193 -9 *1268:16 *1274:16 0.0278522 -10 *1268:16 *1604:30 0.00136434 -11 *1268:16 *1713:10 0.000991958 -12 *2472:la_data_out_mprj[120] *2472:la_oenb_mprj[120] 0 -13 *2472:la_data_out_mprj[121] *2472:la_oenb_mprj[120] 0 -14 *2472:la_iena_mprj[120] *2472:la_oenb_mprj[120] 0 -15 *628:11 *1268:7 0 -16 *629:7 *2472:la_oenb_mprj[120] 0 -17 *864:16 *1268:16 0.00433832 -18 *891:10 *1268:10 0.0015988 -19 *1130:12 *1268:10 1.67988e-05 -20 *1130:14 *1268:10 0.00175162 -21 *1147:10 *1268:10 0.0201121 -22 *1263:16 *1268:16 0.000223975 -23 *1265:16 *1268:16 0.0296128 -*RES -1 *2478:la_oenb[120] *1268:7 46.4472 -2 *1268:7 *1268:9 4.5 -3 *1268:9 *1268:10 212.027 -4 *1268:10 *1268:15 23.2896 -5 *1268:15 *1268:16 349.014 -6 *1268:16 *2472:la_oenb_mprj[120] 33.4455 -*END - -*D_NET *1269 0.113277 -*CONN -*I *2472:la_oenb_mprj[121] I *D mgmt_protect -*I *2478:la_oenb[121] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[121] 0.00121283 -2 *2478:la_oenb[121] 0.00217052 -3 *1269:16 0.00459982 -4 *1269:15 0.00433242 -5 *1269:10 0.00309663 -6 *1269:9 0.0021512 -7 *1269:7 0.00217052 -8 *1269:10 *1597:16 0.00175459 -9 *1269:10 *1597:24 0.000156918 -10 *1269:16 *1271:16 0.00432251 -11 *1269:16 *1273:16 0.0304351 -12 *1269:16 *2185:10 0.00152795 -13 *2472:la_data_out_mprj[122] *2472:la_oenb_mprj[121] 0 -14 *2472:la_iena_mprj[121] *2472:la_oenb_mprj[121] 0 -15 *629:11 *1269:7 0 -16 *630:7 *2472:la_oenb_mprj[121] 0 -17 *868:24 *1269:16 0.000810095 -18 *874:10 *1269:10 0.000636915 -19 *878:10 *1269:10 0.00718301 -20 *885:7 *1269:7 0 -21 *891:10 *1269:10 0.00150354 -22 *1130:7 *1269:15 2.43314e-05 -23 *1130:12 *1269:10 6.08467e-05 -24 *1132:15 *1269:15 7.26959e-06 -25 *1137:10 *1269:10 0.00191074 -26 *1147:10 *1269:10 0.000895002 -27 *1257:16 *1269:16 0.0239947 -28 *1268:10 *1269:10 0.0183193 -*RES -1 *2478:la_oenb[121] *1269:7 46.3006 -2 *1269:7 *1269:9 4.5 -3 *1269:9 *1269:10 214.246 -4 *1269:10 *1269:15 25.3658 -5 *1269:15 *1269:16 358.997 -6 *1269:16 *2472:la_oenb_mprj[121] 30.954 -*END - -*D_NET *1270 0.10888 -*CONN -*I *2472:la_oenb_mprj[122] I *D mgmt_protect -*I *2478:la_oenb[122] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[122] 0.00296622 -2 *2478:la_oenb[122] 0.00102729 -3 *1270:12 0.00296622 -4 *1270:10 0.00544893 -5 *1270:9 0.00647621 -6 *2472:la_oenb_mprj[122] *1365:9 0 -7 *1270:10 *1367:10 0 -8 *1270:10 *1722:10 0.01456 -9 *2472:la_data_out_mprj[122] *2472:la_oenb_mprj[122] 0 -10 *2472:la_iena_mprj[122] *2472:la_oenb_mprj[122] 0 -11 *630:11 *1270:9 0 -12 *631:5 *2472:la_oenb_mprj[122] 0 -13 *634:8 *1270:10 0.0526084 -14 *886:7 *1270:9 0 -15 *981:9 *2472:la_oenb_mprj[122] 0.000207371 -16 *1251:10 *1270:10 0.0226192 -*RES -1 *2478:la_oenb[122] *1270:9 29.7693 -2 *1270:9 *1270:10 584.721 -3 *1270:10 *1270:12 4.5 -4 *1270:12 *2472:la_oenb_mprj[122] 64.242 -*END - -*D_NET *1271 0.106697 -*CONN -*I *2472:la_oenb_mprj[123] I *D mgmt_protect -*I *2478:la_oenb[123] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[123] 0.00126935 -2 *2478:la_oenb[123] 8.30061e-05 -3 *1271:16 0.00528074 -4 *1271:15 0.00428854 -5 *1271:10 0.00470481 -6 *1271:9 0.00442766 -7 *1271:7 0.00266245 -8 *1271:5 0.00274545 -9 *1271:10 *1273:10 0.0197088 -10 *1271:10 *1582:16 0.00227613 -11 *1271:10 *1598:24 0.00118392 -12 *1271:10 *1602:30 0 -13 *1271:10 *1604:30 0 -14 *1271:16 *1273:16 0.000750597 -15 *1271:16 *1274:16 0.00224907 -16 *1271:16 *2183:19 0.00203988 -17 *2472:la_data_out_mprj[124] *2472:la_oenb_mprj[123] 0 -18 *2472:la_iena_mprj[123] *2472:la_oenb_mprj[123] 0 -19 *2478:la_input[123] *1271:7 0 -20 *632:7 *2472:la_oenb_mprj[123] 0 -21 *876:10 *1271:10 0.000724449 -22 *887:7 *1271:7 0 -23 *1257:16 *1271:16 0.0223829 -24 *1259:16 *1271:16 0.0250569 -25 *1263:16 *1271:16 0.000540322 -26 *1269:16 *1271:16 0.00432251 -*RES -1 *2478:la_oenb[123] *1271:5 2.05183 -2 *1271:5 *1271:7 54.3614 -3 *1271:7 *1271:9 4.5 -4 *1271:9 *1271:10 224.229 -5 *1271:10 *1271:15 14.9845 -6 *1271:15 *1271:16 371.753 -7 *1271:16 *2472:la_oenb_mprj[123] 31.7845 -*END - -*D_NET *1272 0.130655 -*CONN -*I *2472:la_oenb_mprj[124] I *D mgmt_protect -*I *2478:la_oenb[124] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[124] 0.00314158 -2 *2478:la_oenb[124] 0.00075951 -3 *1272:12 0.00314158 -4 *1272:10 0.00473918 -5 *1272:9 0.00549869 -6 *2472:la_oenb_mprj[124] *1366:9 0.000913233 -7 *1272:10 *1552:24 0.0574379 -8 *2472:la_data_out_mprj[124] *2472:la_oenb_mprj[124] 0 -9 *2472:la_data_out_mprj[125] *2472:la_oenb_mprj[124] 0 -10 *2472:la_iena_mprj[124] *2472:la_oenb_mprj[124] 0 -11 *631:8 *1272:10 0.055023 -12 *632:11 *1272:9 0 -13 *633:7 *2472:la_oenb_mprj[124] 0 -14 *982:9 *2472:la_oenb_mprj[124] 0 -*RES -1 *2478:la_oenb[124] *1272:9 23.1253 -2 *1272:9 *1272:10 608.014 -3 *1272:10 *1272:12 4.5 -4 *1272:12 *2472:la_oenb_mprj[124] 70.886 -*END - -*D_NET *1273 0.12135 -*CONN -*I *2472:la_oenb_mprj[125] I *D mgmt_protect -*I *2478:la_oenb[125] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[125] 0.00123135 -2 *2478:la_oenb[125] 8.30061e-05 -3 *1273:16 0.00462093 -4 *1273:15 0.00368272 -5 *1273:10 0.00310312 -6 *1273:9 0.00280998 -7 *1273:7 0.0026169 -8 *1273:5 0.00269991 -9 *1273:10 *1274:10 0.0101333 -10 *1273:10 *1583:24 0.00225187 -11 *1273:15 *1274:15 0.000281696 -12 *1273:16 *1274:16 0 -13 *1273:16 *1275:20 0.033282 -14 *1273:16 *2185:10 0.00200575 -15 *2472:la_data_out_mprj[125] *2472:la_oenb_mprj[125] 0 -16 *2472:la_iena_mprj[125] *2472:la_oenb_mprj[125] 0 -17 *633:11 *1273:7 0 -18 *634:5 *2472:la_oenb_mprj[125] 0 -19 *868:24 *1273:16 0.000698398 -20 *876:10 *1273:10 0.000954996 -21 *889:9 *1273:7 0 -22 *1269:16 *1273:16 0.0304351 -23 *1271:10 *1273:10 0.0197088 -24 *1271:16 *1273:16 0.000750597 -*RES -1 *2478:la_oenb[125] *1273:5 2.05183 -2 *1273:5 *1273:7 53.9461 -3 *1273:7 *1273:9 4.5 -4 *1273:9 *1273:10 248.077 -5 *1273:10 *1273:15 16.6455 -6 *1273:15 *1273:16 371.199 -7 *1273:16 *2472:la_oenb_mprj[125] 30.5388 -*END - -*D_NET *1274 0.113418 -*CONN -*I *2472:la_oenb_mprj[126] I *D mgmt_protect -*I *2478:la_oenb[126] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[126] 0.0013668 -2 *2478:la_oenb[126] 5.96331e-05 -3 *1274:16 0.00551037 -4 *1274:15 0.0043685 -5 *1274:10 0.00315154 -6 *1274:9 0.00292659 -7 *1274:7 0.00255817 -8 *1274:5 0.0026178 -9 *1274:7 *1662:19 3.69268e-05 -10 *1274:10 *1275:10 0.0112408 -11 *1274:10 *1275:14 0.00490273 -12 *1274:10 *1584:24 0.0022229 -13 *1274:16 *1604:30 0.00165041 -14 *1274:16 *1713:10 0.00218951 -15 *2472:la_data_out_mprj[126] *2472:la_oenb_mprj[126] 0 -16 *2472:la_data_out_mprj[127] *2472:la_oenb_mprj[126] 0 -17 *2472:la_iena_mprj[126] *2472:la_oenb_mprj[126] 0 -18 *2478:la_input[126] *1274:7 0 -19 *635:7 *2472:la_oenb_mprj[126] 0 -20 *876:10 *1274:10 0.000904135 -21 *890:7 *1274:7 0 -22 *1134:10 *1274:10 0.0033255 -23 *1260:7 *1274:15 0 -24 *1263:16 *1274:16 0.0238699 -25 *1268:16 *1274:16 0.0278522 -26 *1271:16 *1274:16 0.00224907 -27 *1273:10 *1274:10 0.0101333 -28 *1273:15 *1274:15 0.000281696 -29 *1273:16 *1274:16 0 -*RES -1 *2478:la_oenb[126] *1274:5 1.49002 -2 *1274:5 *1274:7 53.1156 -3 *1274:7 *1274:9 4.5 -4 *1274:9 *1274:10 268.597 -5 *1274:10 *1274:15 14.9845 -6 *1274:15 *1274:16 363.434 -7 *1274:16 *2472:la_oenb_mprj[126] 33.0303 -*END - -*D_NET *1275 0.124566 -*CONN -*I *2472:la_oenb_mprj[127] I *D mgmt_protect -*I *2478:la_oenb[127] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[127] 0.00122459 -2 *2478:la_oenb[127] 8.30061e-05 -3 *1275:20 0.00453583 -4 *1275:19 0.00378554 -5 *1275:14 0.00176282 -6 *1275:12 0.00131155 -7 *1275:10 0.00201319 -8 *1275:9 0.00199016 -9 *1275:7 0.00253372 -10 *1275:5 0.00261673 -11 *2472:la_oenb_mprj[127] *2472:mprj_cyc_o_core 0 -12 *2472:la_oenb_mprj[127] *1579:7 0 -13 *1275:10 *1584:24 0.00131527 -14 *1275:10 *1608:24 0.00246032 -15 *1275:10 *1660:14 0.00160185 -16 *1275:14 *1585:24 0.001295 -17 *1275:14 *1608:24 0.00142453 -18 *1275:20 *1604:30 0 -19 *1275:20 *1608:30 0.0299719 -20 *1275:20 *1735:24 0.00228409 -21 *2472:la_iena_mprj[127] *2472:la_oenb_mprj[127] 0 -22 *635:11 *1275:7 0 -23 *868:24 *1275:20 0.00207401 -24 *880:10 *1275:14 0.00717656 -25 *882:12 *1275:14 9.82896e-06 -26 *882:14 *1275:14 0.00110066 -27 *891:9 *1275:7 0 -28 *1133:7 *1275:19 7.09666e-06 -29 *1134:10 *1275:14 0.00190673 -30 *1252:10 *1275:20 0.00065574 -31 *1273:16 *1275:20 0.033282 -32 *1274:10 *1275:10 0.0112408 -33 *1274:10 *1275:14 0.00490273 -*RES -1 *2478:la_oenb[127] *1275:5 2.05183 -2 *1275:5 *1275:7 52.7004 -3 *1275:7 *1275:9 4.5 -4 *1275:9 *1275:10 137.988 -5 *1275:10 *1275:12 0.578717 -6 *1275:12 *1275:14 135.215 -7 *1275:14 *1275:19 18.7218 -8 *1275:19 *1275:20 369.535 -9 *1275:20 *2472:la_oenb_mprj[127] 30.1235 -*END - -*D_NET *1276 0.171999 -*CONN -*I *2472:la_oenb_mprj[12] I *D mgmt_protect -*I *2478:la_oenb[12] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[12] 0.00117527 -2 *2478:la_oenb[12] 8.29983e-05 -3 *1276:10 0.00720238 -4 *1276:9 0.00602711 -5 *1276:7 0.00251025 -6 *1276:5 0.00259325 -7 *1276:10 *1278:10 0.0714035 -8 *2472:la_data_out_mprj[13] *2472:la_oenb_mprj[12] 0 -9 *2472:la_iena_mprj[12] *2472:la_oenb_mprj[12] 0 -10 *637:11 *2472:la_oenb_mprj[12] 0 -11 *892:7 *1276:7 0 -12 *893:10 *1276:10 0 -13 *1148:10 *1276:10 0.075601 -14 *1150:10 *1276:10 0.000455287 -15 *1171:10 *1276:10 0.00247182 -16 *1172:10 *1276:10 0.00247599 -17 *1186:7 *2472:la_oenb_mprj[12] 0 -*RES -1 *2478:la_oenb[12] *1276:5 2.05183 -2 *1276:5 *1276:7 60.7978 -3 *1276:7 *1276:9 3.36879 -4 *1276:9 *1276:10 96.198 -5 *1276:10 *2472:la_oenb_mprj[12] 30.0304 -*END - -*D_NET *1277 0.175988 -*CONN -*I *2472:la_oenb_mprj[13] I *D mgmt_protect -*I *2478:la_oenb[13] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[13] 0.00242463 -2 *2478:la_oenb[13] 0.00164311 -3 *1277:12 0.00242463 -4 *1277:10 0.00787909 -5 *1277:9 0.0095222 -6 *2472:la_oenb_mprj[13] *1314:7 0 -7 *1277:10 *1279:10 0 -8 *2472:la_iena_mprj[13] *2472:la_oenb_mprj[13] 0 -9 *636:22 *1277:10 0.00209983 -10 *637:21 *1277:9 0 -11 *638:5 *2472:la_oenb_mprj[13] 0 -12 *674:11 *2472:la_oenb_mprj[13] 0.000192538 -13 *893:7 *1277:9 0 -14 *1149:10 *1277:10 0.0740803 -15 *1151:10 *1277:10 0.0710203 -16 *1165:10 *1277:10 0.00244939 -17 *1168:10 *1277:10 0.00225185 -*RES -1 *2478:la_oenb[13] *1277:9 42.1338 -2 *1277:9 *1277:10 94.6682 -3 *1277:10 *1277:12 3.36879 -4 *1277:12 *2472:la_oenb_mprj[13] 50.7463 -*END - -*D_NET *1278 0.168097 -*CONN -*I *2472:la_oenb_mprj[14] I *D mgmt_protect -*I *2478:la_oenb[14] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[14] 0.00122397 -2 *2478:la_oenb[14] 8.29983e-05 -3 *1278:10 0.00724158 -4 *1278:9 0.00601761 -5 *1278:7 0.00254276 -6 *1278:5 0.00262576 -7 *2472:la_iena_mprj[14] *2472:la_oenb_mprj[14] 0 -8 *638:23 *1278:7 0 -9 *639:7 *2472:la_oenb_mprj[14] 0 -10 *894:7 *1278:7 0 -11 *916:10 *1278:10 0.00192462 -12 *1150:10 *1278:10 0.072982 -13 *1152:10 *1278:10 0.000102747 -14 *1174:10 *1278:10 0.00157137 -15 *1186:12 *1278:10 0.000377635 -16 *1276:10 *1278:10 0.0714035 -*RES -1 *2478:la_oenb[14] *1278:5 2.05183 -2 *1278:5 *1278:7 59.9673 -3 *1278:7 *1278:9 3.36879 -4 *1278:9 *1278:10 92.9855 -5 *1278:10 *2472:la_oenb_mprj[14] 30.8609 -*END - -*D_NET *1279 0.160237 -*CONN -*I *2472:la_oenb_mprj[15] I *D mgmt_protect -*I *2478:la_oenb[15] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[15] 0.00274023 -2 *2478:la_oenb[15] 0.00118107 -3 *1279:12 0.00274023 -4 *1279:10 0.00965965 -5 *1279:9 0.0108407 -6 *1279:10 *1295:10 5.53737e-05 -7 *1279:10 *1298:10 0.00195498 -8 *1279:10 *1311:10 0.000107179 -9 *1279:10 *1312:10 0.000102215 -10 *1279:10 *1317:10 0.00034158 -11 *1279:10 *1339:10 0 -12 *2472:la_iena_mprj[15] *2472:la_oenb_mprj[15] 0 -13 *639:11 *1279:9 0 -14 *640:7 *2472:la_oenb_mprj[15] 0 -15 *675:11 *2472:la_oenb_mprj[15] 0.000103038 -16 *895:7 *1279:9 0 -17 *917:15 *2472:la_oenb_mprj[15] 0.000196083 -18 *955:10 *1279:10 0.000119292 -19 *1149:10 *1279:10 0 -20 *1153:10 *1279:10 0.0685126 -21 *1167:10 *1279:10 0.000686467 -22 *1170:10 *1279:10 0.00239425 -23 *1179:10 *1279:10 0.000319366 -24 *1183:10 *1279:10 0.000346617 -25 *1187:9 *2472:la_oenb_mprj[15] 0 -26 *1233:10 *1279:10 0 -27 *1245:10 *1279:10 0.057836 -28 *1277:10 *1279:10 0 -*RES -1 *2478:la_oenb[15] *1279:9 33.8288 -2 *1279:9 *1279:10 91.4557 -3 *1279:10 *1279:12 3.36879 -4 *1279:12 *2472:la_oenb_mprj[15] 59.0513 -*END - -*D_NET *1280 0.142416 -*CONN -*I *2472:la_oenb_mprj[16] I *D mgmt_protect -*I *2478:la_oenb[16] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[16] 0.000925786 -2 *2478:la_oenb[16] 8.29983e-05 -3 *1280:10 0.00588045 -4 *1280:9 0.00495466 -5 *1280:7 0.00289204 -6 *1280:5 0.00297504 -7 *1280:10 *1282:10 0.057949 -8 *1280:10 *1306:10 0.00635629 -9 *2472:la_iena_mprj[16] *2472:la_oenb_mprj[16] 0 -10 *640:11 *1280:7 0 -11 *641:7 *2472:la_oenb_mprj[16] 0 -12 *896:7 *1280:7 0 -13 *927:10 *1280:10 0.00724722 -14 *944:10 *1280:10 0.0526241 -15 *977:18 *1280:10 0.000436805 -16 *1200:16 *1280:10 9.16621e-05 -*RES -1 *2478:la_oenb[16] *1280:5 2.05183 -2 *1280:5 *1280:7 65.158 -3 *1280:7 *1280:9 4.5 -4 *1280:9 *1280:10 650.719 -5 *1280:10 *2472:la_oenb_mprj[16] 26.8015 -*END - -*D_NET *1281 0.158346 -*CONN -*I *2472:la_oenb_mprj[17] I *D mgmt_protect -*I *2478:la_oenb[17] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[17] 6.22868e-05 -2 *2478:la_oenb[17] 0.00111521 -3 *1281:13 0.00203074 -4 *1281:12 0.00196845 -5 *1281:10 0.00655577 -6 *1281:9 0.00767098 -7 *1281:10 *1283:10 0.000263298 -8 *2472:la_iena_mprj[17] *1281:13 0.00318626 -9 *641:11 *1281:9 0 -10 *642:7 *1281:13 0 -11 *897:7 *1281:9 0 -12 *1153:10 *1281:10 0.0689519 -13 *1157:10 *1281:10 0.0635202 -14 *1175:10 *1281:10 0.00146262 -15 *1177:10 *1281:10 0.00114986 -16 *1188:7 *1281:13 0.000408326 -*RES -1 *2478:la_oenb[17] *1281:9 32.1677 -2 *1281:9 *1281:10 87.9371 -3 *1281:10 *1281:12 3.36879 -4 *1281:12 *1281:13 60.7978 -5 *1281:13 *2472:la_oenb_mprj[17] 1.77093 -*END - -*D_NET *1282 0.136999 -*CONN -*I *2472:la_oenb_mprj[18] I *D mgmt_protect -*I *2478:la_oenb[18] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[18] 0.000902653 -2 *2478:la_oenb[18] 8.29983e-05 -3 *1282:10 0.0058177 -4 *1282:9 0.00491505 -5 *1282:7 0.00298352 -6 *1282:5 0.00306652 -7 *2472:la_oenb_mprj[18] *1316:7 3.93117e-06 -8 *1282:10 *1306:10 0.00518472 -9 *2472:la_iena_mprj[18] *2472:la_oenb_mprj[18] 0 -10 *642:11 *1282:7 0 -11 *643:7 *2472:la_oenb_mprj[18] 0 -12 *677:13 *1282:7 0 -13 *898:9 *1282:7 0 -14 *927:10 *1282:10 0.000127366 -15 *931:10 *1282:10 0.00130097 -16 *1158:10 *1282:10 0.0546643 -17 *1280:10 *1282:10 0.057949 -*RES -1 *2478:la_oenb[18] *1282:5 2.05183 -2 *1282:5 *1282:7 65.5732 -3 *1282:7 *1282:9 4.5 -4 *1282:9 *1282:10 627.425 -5 *1282:10 *2472:la_oenb_mprj[18] 26.3862 -*END - -*D_NET *1283 0.121823 -*CONN -*I *2472:la_oenb_mprj[19] I *D mgmt_protect -*I *2478:la_oenb[19] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[19] 0.00285121 -2 *2478:la_oenb[19] 0.00102758 -3 *1283:12 0.00285121 -4 *1283:10 0.0218992 -5 *1283:9 0.0229267 -6 *1283:10 *1285:10 0.0646397 -7 *1283:10 *1309:14 0.000417415 -8 *2472:la_iena_mprj[19] *2472:la_oenb_mprj[19] 0 -9 *2478:la_input[19] *1283:9 0 -10 *645:7 *2472:la_oenb_mprj[19] 0 -11 *899:7 *1283:9 0 -12 *909:10 *1283:10 0.000760262 -13 *915:10 *1283:10 0.00126286 -14 *917:10 *1283:10 0.000948525 -15 *920:10 *1283:10 0.000421804 -16 *923:10 *1283:10 0.000346617 -17 *1157:10 *1283:10 0.000371151 -18 *1181:16 *1283:10 0.000383752 -19 *1190:7 *2472:la_oenb_mprj[19] 0.000452056 -20 *1281:10 *1283:10 0.000263298 -*RES -1 *2478:la_oenb[19] *1283:9 29.6762 -2 *1283:9 *1283:10 84.954 -3 *1283:10 *1283:12 3.36879 -4 *1283:12 *2472:la_oenb_mprj[19] 63.2038 -*END - -*D_NET *1284 0.214873 -*CONN -*I *2472:la_oenb_mprj[1] I *D mgmt_protect -*I *2478:la_oenb[1] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[1] 0.00112408 -2 *2478:la_oenb[1] 5.96252e-05 -3 *1284:10 0.00697818 -4 *1284:9 0.0058541 -5 *1284:7 0.00235887 -6 *1284:5 0.0024185 -7 *1284:7 *1562:16 0 -8 *2472:la_iena_mprj[1] *2472:la_oenb_mprj[1] 0 -9 *644:11 *1284:7 0 -10 *655:7 *2472:la_oenb_mprj[1] 0 -11 *922:10 *1284:10 0.0100346 -12 *1148:10 *1284:10 0.000102747 -13 *1156:10 *1284:10 0.0898656 -14 *1178:10 *1284:10 0.0868514 -15 *1200:10 *1284:10 0.00922531 -*RES -1 *2478:la_oenb[1] *1284:5 1.49002 -2 *1284:5 *1284:7 63.2893 -3 *1284:7 *1284:9 3.36879 -4 *1284:9 *1284:10 114.097 -5 *1284:10 *2472:la_oenb_mprj[1] 27.5389 -*END - -*D_NET *1285 0.15417 -*CONN -*I *2472:la_oenb_mprj[20] I *D mgmt_protect -*I *2478:la_oenb[20] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[20] 0.00266346 -2 *2478:la_oenb[20] 0.000995699 -3 *1285:12 0.00266346 -4 *1285:10 0.00681646 -5 *1285:9 0.00781216 -6 *1285:10 *1307:16 0.000521324 -7 *2472:la_iena_mprj[20] *2472:la_oenb_mprj[20] 0 -8 *2478:la_input[20] *1285:9 0 -9 *646:7 *2472:la_oenb_mprj[20] 0 -10 *678:11 *2472:la_oenb_mprj[20] 0.00124505 -11 *902:13 *2472:la_oenb_mprj[20] 0 -12 *913:10 *1285:10 0.00152789 -13 *1157:10 *1285:10 0.065285 -14 *1283:10 *1285:10 0.0646397 -*RES -1 *2478:la_oenb[20] *1285:9 28.8213 -2 *1285:9 *1285:10 83.5772 -3 *1285:10 *1285:12 3.36879 -4 *1285:12 *2472:la_oenb_mprj[20] 62.3733 -*END - -*D_NET *1286 0.129278 -*CONN -*I *2472:la_oenb_mprj[21] I *D mgmt_protect -*I *2478:la_oenb[21] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[21] 0.000840042 -2 *2478:la_oenb[21] 8.29983e-05 -3 *1286:10 0.0055459 -4 *1286:9 0.00470586 -5 *1286:7 0.00313961 -6 *1286:5 0.00322261 -7 *1286:10 *1288:10 0.0522567 -8 *2472:la_iena_mprj[21] *2472:la_oenb_mprj[21] 0 -9 *646:11 *1286:7 0 -10 *647:7 *2472:la_oenb_mprj[21] 0 -11 *902:9 *1286:7 0 -12 *1158:10 *1286:10 0.00132061 -13 *1160:10 *1286:10 0.0532169 -14 *1222:10 *1286:10 0.00494642 -*RES -1 *2478:la_oenb[21] *1286:5 2.05183 -2 *1286:5 *1286:7 66.819 -3 *1286:7 *1286:9 4.5 -4 *1286:9 *1286:10 592.485 -5 *1286:10 *2472:la_oenb_mprj[21] 25.1405 -*END - -*D_NET *1287 0.126713 -*CONN -*I *2472:la_oenb_mprj[22] I *D mgmt_protect -*I *2478:la_oenb[22] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[22] 0.00250828 -2 *2478:la_oenb[22] 0.00159361 -3 *1287:12 0.00250828 -4 *1287:10 0.00450778 -5 *1287:9 0.0061014 -6 *1287:10 *1339:10 0.00245457 -7 *2472:la_data_out_mprj[23] *2472:la_oenb_mprj[22] 0 -8 *616:14 *1287:10 0.000190613 -9 *647:11 *1287:9 0 -10 *648:7 *2472:la_oenb_mprj[22] 0 -11 *679:11 *2472:la_oenb_mprj[22] 0 -12 *903:9 *1287:9 0 -13 *1149:10 *1287:10 0.000169237 -14 *1159:10 *1287:10 0.0545369 -15 *1159:13 *2472:la_oenb_mprj[22] 0 -16 *1161:10 *1287:10 0.0520071 -17 *1187:10 *1287:10 3.59302e-05 -18 *1191:10 *1287:10 9.9028e-05 -*RES -1 *2478:la_oenb[22] *1287:9 40.5659 -2 *1287:9 *1287:10 580.839 -3 *1287:10 *1287:12 4.5 -4 *1287:12 *2472:la_oenb_mprj[22] 53.4454 -*END - -*D_NET *1288 0.125217 -*CONN -*I *2472:la_oenb_mprj[23] I *D mgmt_protect -*I *2478:la_oenb[23] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[23] 0.000818605 -2 *2478:la_oenb[23] 8.29983e-05 -3 *1288:10 0.00531086 -4 *1288:9 0.00449226 -5 *1288:7 0.00322138 -6 *1288:5 0.00330437 -7 *2472:la_iena_mprj[23] *2472:la_oenb_mprj[23] 0 -8 *648:11 *1288:7 0 -9 *649:7 *2472:la_oenb_mprj[23] 0 -10 *904:9 *1288:7 0 -11 *934:10 *1288:10 3.42853e-05 -12 *1160:10 *1288:10 0.000601789 -13 *1162:10 *1288:10 0.050937 -14 *1222:10 *1288:10 0.00415649 -15 *1286:10 *1288:10 0.0522567 -*RES -1 *2478:la_oenb[23] *1288:5 2.05183 -2 *1288:5 *1288:7 67.2342 -3 *1288:7 *1288:9 4.5 -4 *1288:9 *1288:10 569.192 -5 *1288:10 *2472:la_oenb_mprj[23] 24.7252 -*END - -*D_NET *1289 0.122898 -*CONN -*I *2472:la_oenb_mprj[24] I *D mgmt_protect -*I *2478:la_oenb[24] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[24] 0.00203903 -2 *2478:la_oenb[24] 0.0016618 -3 *1289:12 0.00203903 -4 *1289:10 0.00420067 -5 *1289:9 0.00586247 -6 *2472:la_iena_mprj[24] *2472:la_oenb_mprj[24] 0 -7 *616:14 *1289:10 0.000738221 -8 *649:11 *1289:9 0 -9 *650:7 *2472:la_oenb_mprj[24] 0 -10 *905:9 *1289:9 0 -11 *1149:10 *1289:10 0.000196208 -12 *1161:10 *1289:10 0.0524061 -13 *1163:10 *1289:10 0.0497701 -14 *1191:10 *1289:10 4.03749e-05 -15 *1192:7 *2472:la_oenb_mprj[24] 0.00162549 -16 *1211:10 *1289:10 0.00220839 -17 *1233:10 *1289:10 0.000110257 -*RES -1 *2478:la_oenb[24] *1289:9 41.3964 -2 *1289:9 *1289:10 556.991 -3 *1289:10 *1289:12 4.5 -4 *1289:12 *2472:la_oenb_mprj[24] 52.6149 -*END - -*D_NET *1290 0.11811 -*CONN -*I *2472:la_oenb_mprj[25] I *D mgmt_protect -*I *2478:la_oenb[25] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[25] 0.00073286 -2 *2478:la_oenb[25] 8.29983e-05 -3 *1290:10 0.00549759 -4 *1290:9 0.00476473 -5 *1290:7 0.00336023 -6 *1290:5 0.00344323 -7 *2472:la_iena_mprj[25] *2472:la_oenb_mprj[25] 0 -8 *650:11 *1290:7 0 -9 *651:7 *2472:la_oenb_mprj[25] 0 -10 *906:9 *1290:7 0 -11 *908:10 *1290:10 0.0472339 -12 *1162:10 *1290:10 0.000756785 -13 *1164:10 *1290:10 0.0487187 -14 *1244:10 *1290:10 0.00351928 -*RES -1 *2478:la_oenb[25] *1290:5 2.05183 -2 *1290:5 *1290:7 68.8952 -3 *1290:7 *1290:9 4.5 -4 *1290:9 *1290:10 545.899 -5 *1290:10 *2472:la_oenb_mprj[25] 23.0642 -*END - -*D_NET *1291 0.118168 -*CONN -*I *2472:la_oenb_mprj[26] I *D mgmt_protect -*I *2478:la_oenb[26] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[26] 0.0024068 -2 *2478:la_oenb[26] 0.00172998 -3 *1291:12 0.0024068 -4 *1291:10 0.00394441 -5 *1291:9 0.00567439 -6 *1291:10 *1293:10 0.00077937 -7 *1291:10 *1302:10 0.0311843 -8 *2472:la_iena_mprj[26] *2472:la_oenb_mprj[26] 0 -9 *616:14 *1291:10 0.0174567 -10 *651:11 *1291:9 0 -11 *652:7 *2472:la_oenb_mprj[26] 0 -12 *907:9 *1291:9 0 -13 *923:15 *2472:la_oenb_mprj[26] 0 -14 *1149:10 *1291:10 0.000236284 -15 *1151:10 *1291:10 0.000123135 -16 *1163:10 *1291:10 0.0501627 -17 *1191:10 *1291:10 0 -18 *1233:10 *1291:10 0.00206357 -*RES -1 *2478:la_oenb[26] *1291:9 42.2269 -2 *1291:9 *1291:10 533.697 -3 *1291:10 *1291:12 4.5 -4 *1291:12 *2472:la_oenb_mprj[26] 51.7844 -*END - -*D_NET *1292 0.116939 -*CONN -*I *2472:la_oenb_mprj[27] I *D mgmt_protect -*I *2478:la_oenb[27] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[27] 0.000757621 -2 *2478:la_oenb[27] 8.29983e-05 -3 *1292:10 0.00471299 -4 *1292:9 0.00395537 -5 *1292:7 0.00336841 -6 *1292:5 0.00345141 -7 *1292:10 *1294:10 3.63947e-05 -8 *1292:10 *1320:10 0.000364931 -9 *1292:10 *1350:10 0.00351928 -10 *2472:la_iena_mprj[27] *2472:la_oenb_mprj[27] 0 -11 *652:11 *1292:7 0 -12 *653:7 *2472:la_oenb_mprj[27] 0 -13 *908:7 *1292:7 0 -14 *1162:10 *1292:10 0.0475059 -15 *1164:10 *1292:10 0.0491178 -16 *1192:10 *1292:10 6.54613e-05 -*RES -1 *2478:la_oenb[27] *1292:5 2.05183 -2 *1292:5 *1292:7 68.0647 -3 *1292:7 *1292:9 4.5 -4 *1292:9 *1292:10 522.605 -5 *1292:10 *2472:la_oenb_mprj[27] 23.8947 -*END - -*D_NET *1293 0.113609 -*CONN -*I *2472:la_oenb_mprj[28] I *D mgmt_protect -*I *2478:la_oenb[28] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[28] 0.00223596 -2 *2478:la_oenb[28] 0.00179134 -3 *1293:12 0.00223596 -4 *1293:10 0.0037769 -5 *1293:9 0.00556823 -6 *2472:la_oenb_mprj[28] *1304:15 0.000245236 -7 *1293:10 *1302:10 0.0328026 -8 *2472:la_iena_mprj[28] *2472:la_oenb_mprj[28] 0 -9 *616:14 *1293:10 0.0136208 -10 *653:11 *1293:9 0 -11 *654:7 *2472:la_oenb_mprj[28] 0 -12 *909:9 *1293:9 0 -13 *1149:10 *1293:10 0.00237494 -14 *1151:10 *1293:10 0.000142759 -15 *1165:10 *1293:10 0.0479428 -16 *1168:10 *1293:10 9.16621e-05 -17 *1291:10 *1293:10 0.00077937 -*RES -1 *2478:la_oenb[28] *1293:9 43.0574 -2 *1293:9 *1293:10 510.404 -3 *1293:10 *1293:12 4.5 -4 *1293:12 *2472:la_oenb_mprj[28] 50.9539 -*END - -*D_NET *1294 0.111872 -*CONN -*I *2472:la_oenb_mprj[29] I *D mgmt_protect -*I *2478:la_oenb[29] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[29] 0.000678697 -2 *2478:la_oenb[29] 3.62521e-05 -3 *1294:10 0.00471424 -4 *1294:9 0.00403554 -5 *1294:7 0.00351683 -6 *1294:5 0.00355309 -7 *1294:10 *1320:10 0 -8 *2472:la_iena_mprj[29] *2472:la_oenb_mprj[29] 0 -9 *654:11 *1294:7 0 -10 *656:7 *2472:la_oenb_mprj[29] 0 -11 *908:10 *1294:10 0.0451305 -12 *1128:10 *1294:10 0.00308483 -13 *1164:10 *1294:10 5.2472e-05 -14 *1166:10 *1294:10 0.0469419 -15 *1169:10 *1294:10 9.16621e-05 -16 *1292:10 *1294:10 3.63947e-05 -*RES -1 *2478:la_oenb[29] *1294:5 0.928211 -2 *1294:5 *1294:7 69.7257 -3 *1294:7 *1294:9 4.5 -4 *1294:9 *1294:10 500.421 -5 *1294:10 *2472:la_oenb_mprj[29] 22.2337 -*END - -*D_NET *1295 0.210087 -*CONN -*I *2472:la_oenb_mprj[2] I *D mgmt_protect -*I *2478:la_oenb[2] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[2] 0.00298546 -2 *2478:la_oenb[2] 0.00127363 -3 *1295:12 0.00298546 -4 *1295:10 0.010801 -5 *1295:9 0.0120747 -6 *1295:9 *1574:19 0 -7 *1295:10 *1317:10 0.083813 -8 *1295:10 *1361:10 0.00393788 -9 *2472:la_iena_mprj[2] *2472:la_oenb_mprj[2] 0 -10 *2478:la_input[2] *1295:9 0 -11 *666:7 *2472:la_oenb_mprj[2] 0 -12 *911:9 *1295:9 0 -13 *924:15 *2472:la_oenb_mprj[2] 0.000216869 -14 *988:10 *1295:10 0.0036203 -15 *1167:10 *1295:10 0.0878751 -16 *1189:10 *1295:10 0.000448211 -17 *1279:10 *1295:10 5.53737e-05 -*RES -1 *2478:la_oenb[2] *1295:9 36.3203 -2 *1295:9 *1295:10 112.337 -3 *1295:10 *1295:12 3.36879 -4 *1295:12 *2472:la_oenb_mprj[2] 56.5598 -*END - -*D_NET *1296 0.0966674 -*CONN -*I *2472:la_oenb_mprj[30] I *D mgmt_protect -*I *2478:la_oenb[30] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[30] 0.00210204 -2 *2478:la_oenb[30] 0.00188113 -3 *1296:12 0.00210204 -4 *1296:10 0.00516153 -5 *1296:9 0.00704266 -6 *1296:10 *1302:10 4.03749e-05 -7 *1296:10 *1305:13 0.00211693 -8 *2472:la_iena_mprj[30] *2472:la_oenb_mprj[30] 0 -9 *636:8 *1296:10 0.0114002 -10 *636:12 *1296:10 0.00443635 -11 *636:16 *1296:10 0 -12 *656:11 *1296:9 0 -13 *657:7 *2472:la_oenb_mprj[30] 0 -14 *912:7 *1296:9 0 -15 *913:16 *1296:10 0.0013161 -16 *915:16 *1296:10 2.97286e-05 -17 *917:16 *1296:10 0.0119517 -18 *928:23 *2472:la_oenb_mprj[30] 0.00042048 -19 *1151:10 *1296:10 0.000945103 -20 *1168:10 *1296:10 0.045721 -*RES -1 *2478:la_oenb[30] *1296:9 44.3031 -2 *1296:9 *1296:10 487.111 -3 *1296:10 *1296:12 4.5 -4 *1296:12 *2472:la_oenb_mprj[30] 49.7081 -*END - -*D_NET *1297 0.0770871 -*CONN -*I *2472:la_oenb_mprj[31] I *D mgmt_protect -*I *2478:la_oenb[31] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[31] 0.000604243 -2 *2478:la_oenb[31] 8.29983e-05 -3 *1297:10 0.0117456 -4 *1297:9 0.0111414 -5 *1297:7 0.00366249 -6 *1297:5 0.00374549 -7 *1297:10 *1372:10 0.00263589 -8 *2472:la_iena_mprj[31] *2472:la_oenb_mprj[31] 0 -9 *657:11 *1297:7 0 -10 *658:7 *2472:la_oenb_mprj[31] 0 -11 *682:8 *1297:10 0 -12 *910:10 *1297:10 0.0426891 -13 *913:9 *1297:7 0 -14 *1169:10 *1297:10 0.000779902 -*RES -1 *2478:la_oenb[31] *1297:5 2.05183 -2 *1297:5 *1297:7 71.3867 -3 *1297:7 *1297:9 4.5 -4 *1297:9 *1297:10 475.464 -5 *1297:10 *2472:la_oenb_mprj[31] 20.5727 -*END - -*D_NET *1298 0.102486 -*CONN -*I *2472:la_oenb_mprj[32] I *D mgmt_protect -*I *2478:la_oenb[32] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[32] 0.00265863 -2 *2478:la_oenb[32] 0.00132506 -3 *1298:12 0.00265863 -4 *1298:10 0.00342512 -5 *1298:9 0.00475018 -6 *2472:la_oenb_mprj[32] *1323:9 0 -7 *1298:10 *1300:14 0.000161493 -8 *2472:la_data_out_mprj[33] *2472:la_oenb_mprj[32] 0 -9 *658:11 *1298:9 0 -10 *659:7 *2472:la_oenb_mprj[32] 0 -11 *683:11 *2472:la_oenb_mprj[32] 0 -12 *911:10 *1298:10 0.019116 -13 *914:7 *1298:9 0 -14 *936:13 *2472:la_oenb_mprj[32] 0 -15 *1170:10 *1298:10 0.0434344 -16 *1170:13 *2472:la_oenb_mprj[32] 0 -17 *1179:10 *1298:10 0.00136044 -18 *1183:10 *1298:10 0.0216412 -19 *1279:10 *1298:10 0.00195498 -*RES -1 *2478:la_oenb[32] *1298:9 35.5828 -2 *1298:9 *1298:10 463.817 -3 *1298:10 *1298:12 4.5 -4 *1298:12 *2472:la_oenb_mprj[32] 58.4284 -*END - -*D_NET *1299 0.102404 -*CONN -*I *2472:la_oenb_mprj[33] I *D mgmt_protect -*I *2478:la_oenb[33] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[33] 0.00111938 -2 *2478:la_oenb[33] 8.29983e-05 -3 *1299:10 0.00477885 -4 *1299:9 0.00365948 -5 *1299:7 0.0031046 -6 *1299:5 0.0031876 -7 *1299:10 *1308:22 0.000273107 -8 *2472:la_iena_mprj[33] *2472:la_oenb_mprj[33] 0 -9 *2478:la_input[33] *1299:7 0 -10 *659:16 *1299:7 0 -11 *660:7 *2472:la_oenb_mprj[33] 0 -12 *914:10 *1299:10 0.0412657 -13 *915:9 *1299:7 0 -14 *939:11 *2472:la_oenb_mprj[33] 4.59609e-05 -15 *1148:10 *1299:10 0.00241024 -16 *1171:10 *1299:10 0.0422785 -17 *1172:10 *1299:10 8.90486e-05 -18 *1186:12 *1299:10 0.000108607 -*RES -1 *2478:la_oenb[33] *1299:5 2.05183 -2 *1299:5 *1299:7 61.8359 -3 *1299:7 *1299:9 4.5 -4 *1299:9 *1299:10 452.171 -5 *1299:10 *2472:la_oenb_mprj[33] 30.1235 -*END - -*D_NET *1300 0.0944152 -*CONN -*I *2472:la_oenb_mprj[34] I *D mgmt_protect -*I *2478:la_oenb[34] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[34] 0.00238018 -2 *2478:la_oenb[34] 0.00127734 -3 *1300:16 0.00238018 -4 *1300:14 0.000594984 -5 *1300:12 0.000615617 -6 *1300:10 0.00362716 -7 *1300:9 0.00488387 -8 *2472:la_iena_mprj[34] *2472:la_oenb_mprj[34] 0 -9 *2478:la_input[34] *1300:9 0 -10 *660:16 *1300:9 0 -11 *661:7 *2472:la_oenb_mprj[34] 0 -12 *684:11 *2472:la_oenb_mprj[34] 0.000884009 -13 *913:10 *1300:10 0 -14 *916:7 *1300:9 0 -15 *938:13 *2472:la_oenb_mprj[34] 0 -16 *1153:10 *1300:10 0.00196896 -17 *1170:10 *1300:10 0.000469586 -18 *1170:10 *1300:14 0.0031575 -19 *1173:10 *1300:10 0.0353696 -20 *1175:10 *1300:10 0.0316377 -21 *1175:10 *1300:14 0.000392997 -22 *1183:10 *1300:14 0.000390602 -23 *1194:10 *1300:14 0.0042234 -24 *1298:10 *1300:14 0.000161493 -*RES -1 *2478:la_oenb[34] *1300:9 34.3371 -2 *1300:9 *1300:10 386.173 -3 *1300:10 *1300:12 0.578717 -4 *1300:12 *1300:14 54.5199 -5 *1300:14 *1300:16 4.5 -6 *1300:16 *2472:la_oenb_mprj[34] 59.2589 -*END - -*D_NET *1301 0.0944212 -*CONN -*I *2472:la_oenb_mprj[35] I *D mgmt_protect -*I *2478:la_oenb[35] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[35] 0.000947931 -2 *2478:la_oenb[35] 8.29983e-05 -3 *1301:10 0.00490188 -4 *1301:9 0.00395395 -5 *1301:7 0.00301275 -6 *1301:5 0.00309575 -7 *1301:10 *1303:16 0.0345966 -8 *2472:la_iena_mprj[35] *2472:la_oenb_mprj[35] 0.000680343 -9 *616:13 *1301:7 7.17667e-05 -10 *662:7 *2472:la_oenb_mprj[35] 0 -11 *916:10 *1301:10 0.0389836 -12 *917:9 *1301:7 0 -13 *918:10 *1301:10 0.00144135 -14 *940:7 *2472:la_oenb_mprj[35] 0.000121802 -15 *1150:10 *1301:10 0.00200111 -16 *1174:10 *1301:10 0.00052936 -*RES -1 *2478:la_oenb[35] *1301:5 2.05183 -2 *1301:5 *1301:7 59.7597 -3 *1301:7 *1301:9 4.5 -4 *1301:9 *1301:10 428.877 -5 *1301:10 *2472:la_oenb_mprj[35] 32.1998 -*END - -*D_NET *1302 0.0903328 -*CONN -*I *2472:la_oenb_mprj[36] I *D mgmt_protect -*I *2478:la_oenb[36] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[36] 0.00229706 -2 *2478:la_oenb[36] 0.00182938 -3 *1302:12 0.00229706 -4 *1302:10 0.00379598 -5 *1302:9 0.00562536 -6 *1302:10 *1323:10 0.0029617 -7 *2472:la_data_out_mprj[36] *2472:la_oenb_mprj[36] 0 -8 *2472:la_iena_mprj[36] *2472:la_oenb_mprj[36] 0 -9 *2478:la_input[36] *1302:9 0 -10 *663:5 *2472:la_oenb_mprj[36] 0 -11 *685:11 *2472:la_oenb_mprj[36] 0 -12 *917:16 *1302:10 5.6766e-05 -13 *918:7 *1302:9 0 -14 *1149:10 *1302:10 0.00155942 -15 *1151:10 *1302:10 0.000131535 -16 *1168:10 *1302:10 0.000369111 -17 *1173:16 *1302:10 0.000490085 -18 *1179:16 *1302:10 0.000857278 -19 *1191:10 *1302:10 0 -20 *1193:10 *1302:10 0.000147235 -21 *1195:10 *1302:10 0.00388763 -22 *1291:10 *1302:10 0.0311843 -23 *1293:10 *1302:10 0.0328026 -24 *1296:10 *1302:10 4.03749e-05 -*RES -1 *2478:la_oenb[36] *1302:9 42.6421 -2 *1302:9 *1302:10 417.231 -3 *1302:10 *1302:12 4.5 -4 *1302:12 *2472:la_oenb_mprj[36] 51.3691 -*END - -*D_NET *1303 0.0901042 -*CONN -*I *2472:la_oenb_mprj[37] I *D mgmt_protect -*I *2478:la_oenb[37] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[37] 0.00110635 -2 *2478:la_oenb[37] 0.00145725 -3 *1303:16 0.00465301 -4 *1303:15 0.00567993 -5 *1303:10 0.00359052 -6 *1303:15 *1304:9 5.71264e-05 -7 *1303:16 *1308:22 0 -8 *2472:la_iena_mprj[37] *2472:la_oenb_mprj[37] 0 -9 *2478:la_input[37] *1303:10 0 -10 *2478:la_input[38] *1303:15 0 -11 *664:5 *2472:la_oenb_mprj[37] 0 -12 *907:10 *1303:10 0 -13 *917:10 *1303:10 0.00174097 -14 *918:10 *1303:16 0.0349577 -15 *919:9 *1303:10 0 -16 *1150:10 *1303:16 0.00100031 -17 *1174:10 *1303:16 0.000136843 -18 *1176:10 *1303:16 0.000534259 -19 *1185:15 *2472:la_oenb_mprj[37] 0.000593352 -20 *1301:10 *1303:16 0.0345966 -*RES -1 *2478:la_oenb[37] *1303:10 48.24 -2 *1303:10 *1303:15 45.2979 -3 *1303:15 *1303:16 387.282 -4 *1303:16 *2472:la_oenb_mprj[37] 32.615 -*END - -*D_NET *1304 0.0794292 -*CONN -*I *2472:la_oenb_mprj[38] I *D mgmt_protect -*I *2478:la_oenb[38] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[38] 0.00146372 -2 *2478:la_oenb[38] 0.00116109 -3 *1304:16 0.00429276 -4 *1304:15 0.00396951 -5 *1304:10 0.0034221 -6 *1304:9 0.00344273 -7 *1304:15 *1321:9 0 -8 *1304:16 *1309:24 0 -9 *2472:la_iena_mprj[38] *2472:la_oenb_mprj[38] 0 -10 *2472:la_oenb_mprj[28] *1304:15 0.000245236 -11 *2478:la_input[38] *1304:9 0 -12 *654:7 *1304:15 0.00057315 -13 *665:5 *2472:la_oenb_mprj[38] 0 -14 *919:10 *1304:10 0.0292736 -15 *923:16 *1304:16 0 -16 *924:16 *1304:16 0.000266261 -17 *928:24 *1304:16 0.00151568 -18 *941:7 *2472:la_oenb_mprj[38] 0 -19 *1157:10 *1304:10 0.00105713 -20 *1173:15 *1304:15 0 -21 *1175:10 *1304:10 0.000475421 -22 *1177:10 *1304:10 0.0282137 -23 *1194:9 *1304:15 0 -24 *1303:15 *1304:9 5.71264e-05 -*RES -1 *2478:la_oenb[38] *1304:9 31.9677 -2 *1304:9 *1304:10 310.192 -3 *1304:10 *1304:15 37.8234 -4 *1304:15 *1304:16 84.4685 -5 *1304:16 *2472:la_oenb_mprj[38] 36.7675 -*END - -*D_NET *1305 0.0871114 -*CONN -*I *2472:la_oenb_mprj[39] I *D mgmt_protect -*I *2478:la_oenb[39] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[39] 0.00133499 -2 *2478:la_oenb[39] 0.00198341 -3 *1305:16 0.004566 -4 *1305:15 0.00323101 -5 *1305:13 0.00133449 -6 *1305:9 0.00331791 -7 *2478:la_input[39] *1305:9 0 -8 *636:12 *1305:13 0.00211272 -9 *667:5 *2472:la_oenb_mprj[39] 0 -10 *686:11 *2472:la_oenb_mprj[39] 8.08916e-05 -11 *909:15 *1305:13 0 -12 *921:7 *1305:9 0 -13 *921:10 *1305:16 0.0331342 -14 *924:16 *1305:16 3.07561e-05 -15 *1151:10 *1305:13 0.000130331 -16 *1154:10 *1305:16 0.000275651 -17 *1176:10 *1305:16 0.000282235 -18 *1177:17 *2472:la_oenb_mprj[39] 0 -19 *1180:10 *1305:16 0.0326433 -20 *1180:16 *1305:16 1.15389e-05 -21 *1191:18 *1305:16 0.000311235 -22 *1194:16 *1305:16 0.000213725 -23 *1296:10 *1305:13 0.00211693 -*RES -1 *2478:la_oenb[39] *1305:9 44.7184 -2 *1305:9 *1305:13 46.5408 -3 *1305:13 *1305:15 4.5 -4 *1305:15 *1305:16 360.107 -5 *1305:16 *2472:la_oenb_mprj[39] 34.276 -*END - -*D_NET *1306 0.200923 -*CONN -*I *2472:la_oenb_mprj[3] I *D mgmt_protect -*I *2478:la_oenb[3] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[3] 0.00102504 -2 *2478:la_oenb[3] 8.29983e-05 -3 *1306:10 0.00689982 -4 *1306:9 0.00587478 -5 *1306:7 0.00250014 -6 *1306:5 0.00258314 -7 *1306:10 *1328:10 0.0831092 -8 *2472:la_iena_mprj[3] *2472:la_oenb_mprj[3] 0 -9 *677:7 *2472:la_oenb_mprj[3] 0 -10 *922:7 *1306:7 0 -11 *1148:10 *1306:10 4.08499e-05 -12 *1178:10 *1306:10 0.0872664 -13 *1280:10 *1306:10 0.00635629 -14 *1282:10 *1306:10 0.00518472 -*RES -1 *2478:la_oenb[3] *1306:5 2.05183 -2 *1306:5 *1306:7 64.9503 -3 *1306:7 *1306:9 3.36879 -4 *1306:9 *1306:10 110.731 -5 *1306:10 *2472:la_oenb_mprj[3] 25.8779 -*END - -*D_NET *1307 0.0744184 -*CONN -*I *2472:la_oenb_mprj[40] I *D mgmt_protect -*I *2478:la_oenb[40] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[40] 6.22868e-05 -2 *2478:la_oenb[40] 0.00100936 -3 *1307:23 0.00184802 -4 *1307:22 0.00178573 -5 *1307:20 0.0015097 -6 *1307:18 0.00153033 -7 *1307:16 0.00192476 -8 *1307:15 0.00209784 -9 *1307:12 0.0011751 -10 *1307:9 0.00199075 -11 *1307:15 *1309:12 0 -12 *1307:20 *1309:18 2.18041e-06 -13 *1307:20 *1326:10 0.00033061 -14 *2472:la_iena_mprj[40] *1307:23 0.00292847 -15 *2478:la_input[40] *1307:9 0 -16 *668:7 *1307:23 0 -17 *907:10 *1307:12 0 -18 *913:10 *1307:16 0.00771785 -19 *919:10 *1307:16 0.0224405 -20 *919:20 *1307:20 0.00530418 -21 *920:10 *1307:12 0.00409858 -22 *923:9 *1307:9 0 -23 *924:15 *1307:15 2.55661e-06 -24 *930:10 *1307:16 0.0103763 -25 *930:16 *1307:16 0.00107068 -26 *930:16 *1307:20 0.000629908 -27 *938:12 *1307:20 0.00104548 -28 *942:7 *1307:23 0.000617068 -29 *1177:10 *1307:16 3.80872e-05 -30 *1177:14 *1307:16 1.61631e-05 -31 *1177:14 *1307:20 0.000774455 -32 *1181:15 *1307:15 4.15661e-05 -33 *1181:16 *1307:16 0.000933319 -34 *1181:20 *1307:20 0.000175621 -35 *1194:10 *1307:20 0 -36 *1197:10 *1307:20 0 -37 *1198:10 *1307:20 0.00041958 -38 *1285:10 *1307:16 0.000521324 -*RES -1 *2478:la_oenb[40] *1307:9 28.9388 -2 *1307:9 *1307:12 47.9279 -3 *1307:12 *1307:15 7.993 -4 *1307:15 *1307:16 239.758 -5 *1307:16 *1307:18 0.578717 -6 *1307:18 *1307:20 85.5777 -7 *1307:20 *1307:22 4.5 -8 *1307:22 *1307:23 62.2512 -9 *1307:23 *2472:la_oenb_mprj[40] 1.77093 -*END - -*D_NET *1308 0.0766377 -*CONN -*I *2472:la_oenb_mprj[41] I *D mgmt_protect -*I *2478:la_oenb[41] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[41] 0.00112436 -2 *2478:la_oenb[41] 0.00116939 -3 *1308:22 0.00480918 -4 *1308:21 0.0040215 -5 *1308:16 0.00111992 -6 *1308:15 0.00265739 -7 *1308:12 0.00304354 -8 *2472:la_data_out_mprj[0] *1308:15 0 -9 *2472:la_iena_mprj[41] *2472:la_oenb_mprj[41] 0 -10 *2472:la_iena_mprj[8] *1308:21 0.000299282 -11 *2472:la_oenb_mprj[0] *1308:15 0.000220346 -12 *2478:la_input[41] *1308:12 0 -13 *638:19 *1308:15 8.72256e-06 -14 *652:8 *1308:16 2.31462e-05 -15 *669:7 *2472:la_oenb_mprj[41] 0 -16 *686:8 *1308:22 0.00176605 -17 *721:7 *1308:21 0 -18 *912:10 *1308:22 0.0174359 -19 *913:10 *1308:12 0.00019069 -20 *914:10 *1308:22 0.000690837 -21 *915:10 *1308:12 0.000436825 -22 *921:10 *1308:16 0.000688207 -23 *924:10 *1308:12 0 -24 *924:10 *1308:15 7.09666e-06 -25 *924:16 *1308:16 0.0047245 -26 *941:10 *1308:22 0 -27 *966:16 *1308:16 0.00497069 -28 *1172:10 *1308:22 3.18085e-05 -29 *1174:10 *1308:22 0 -30 *1182:14 *1308:22 0.0265746 -31 *1186:12 *1308:22 0.000108607 -32 *1193:16 *1308:22 0 -33 *1267:18 *1308:16 0.000241948 -34 *1299:10 *1308:22 0.000273107 -35 *1303:16 *1308:22 0 -*RES -1 *2478:la_oenb[41] *1308:12 40.1207 -2 *1308:12 *1308:15 36.6454 -3 *1308:15 *1308:16 67.8304 -4 *1308:16 *1308:21 15.815 -5 *1308:21 *1308:22 286.899 -6 *1308:22 *2472:la_oenb_mprj[41] 28.8777 -*END - -*D_NET *1309 0.072502 -*CONN -*I *2472:la_oenb_mprj[42] I *D mgmt_protect -*I *2478:la_oenb[42] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[42] 0.00109933 -2 *2478:la_oenb[42] 0.001196 -3 *1309:24 0.00261201 -4 *1309:23 0.00248333 -5 *1309:18 0.00183233 -6 *1309:16 0.000902331 -7 *1309:14 0.0019541 -8 *1309:12 0.00310945 -9 *1309:23 *1324:9 4.3116e-06 -10 *2472:la_iena_mprj[42] *2472:la_oenb_mprj[42] 0.0019675 -11 *669:15 *1309:12 0 -12 *670:9 *2472:la_oenb_mprj[42] 0 -13 *913:10 *1309:12 0.000164843 -14 *913:10 *1309:14 1.67988e-05 -15 *915:10 *1309:12 1.67988e-05 -16 *915:10 *1309:14 0.00742277 -17 *917:10 *1309:14 0.00150433 -18 *923:10 *1309:14 0.000743184 -19 *924:10 *1309:12 0.000113968 -20 *924:15 *1309:12 7.26959e-06 -21 *925:9 *1309:12 0 -22 *926:26 *1309:24 1.41291e-05 -23 *928:24 *1309:24 0 -24 *932:10 *1309:14 0.00631775 -25 *935:10 *1309:18 4.33655e-05 -26 *936:10 *1309:14 7.02172e-06 -27 *936:10 *1309:18 0.00476095 -28 *940:7 *1309:23 0 -29 *1173:25 *1309:23 1.2693e-05 -30 *1181:16 *1309:14 0.0200872 -31 *1181:16 *1309:18 1.71154e-05 -32 *1181:18 *1309:16 2.81515e-05 -33 *1181:20 *1309:18 0.00647904 -34 *1181:25 *1309:23 0.00154543 -35 *1181:26 *1309:24 0.00546303 -36 *1195:15 *2472:la_oenb_mprj[42] 0.000155929 -37 *1283:10 *1309:14 0.000417415 -38 *1304:16 *1309:24 0 -39 *1307:15 *1309:12 0 -40 *1307:20 *1309:18 2.18041e-06 -*RES -1 *2478:la_oenb[42] *1309:12 33.8416 -2 *1309:12 *1309:14 213.691 -3 *1309:14 *1309:16 1.39857 -4 *1309:16 *1309:18 70.6034 -5 *1309:18 *1309:23 38.2386 -6 *1309:23 *1309:24 59.5114 -7 *1309:24 *2472:la_oenb_mprj[42] 41.5307 -*END - -*D_NET *1310 0.0768628 -*CONN -*I *2472:la_oenb_mprj[43] I *D mgmt_protect -*I *2478:la_oenb[43] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[43] 0.00106984 -2 *2478:la_oenb[43] 5.96252e-05 -3 *1310:14 0.00405055 -4 *1310:12 0.00326078 -5 *1310:7 0.00305048 -6 *1310:5 0.00283004 -7 *1310:7 *2472:la_oenb_mprj[4] 0.00145413 -8 *1310:14 *1314:12 0.000108607 -9 *2472:la_data_out_mprj[4] *1310:7 0 -10 *2472:la_iena_mprj[43] *2472:la_oenb_mprj[43] 0 -11 *2478:la_input[43] *1310:7 0 -12 *671:7 *2472:la_oenb_mprj[43] 0 -13 *927:10 *1310:12 9.82896e-06 -14 *927:10 *1310:14 0.00135572 -15 *929:10 *1310:14 0.0251794 -16 *943:10 *1310:14 9.65932e-05 -17 *977:15 *1310:7 0.000492326 -18 *977:18 *1310:12 0.00286842 -19 *1182:12 *1310:12 0.00293248 -20 *1182:12 *1310:14 1.67988e-05 -21 *1182:14 *1310:14 0.0280272 -*RES -1 *2478:la_oenb[43] *1310:5 1.49002 -2 *1310:5 *1310:7 63.4969 -3 *1310:7 *1310:12 36.3053 -4 *1310:12 *1310:14 305.201 -5 *1310:14 *2472:la_oenb_mprj[43] 28.0472 -*END - -*D_NET *1311 0.0686205 -*CONN -*I *2472:la_oenb_mprj[44] I *D mgmt_protect -*I *2478:la_oenb[44] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[44] 0.00200725 -2 *2478:la_oenb[44] 0.00149571 -3 *1311:12 0.00200725 -4 *1311:10 0.00294428 -5 *1311:9 0.00443999 -6 *1311:10 *1312:10 0.0284436 -7 *1311:10 *1361:10 0.000598598 -8 *2472:la_iena_mprj[44] *2472:la_oenb_mprj[44] 0 -9 *672:5 *2472:la_oenb_mprj[44] 0 -10 *927:7 *1311:9 0 -11 *988:10 *1311:10 0.000106845 -12 *1155:10 *1311:10 0 -13 *1179:10 *1311:10 0.0189908 -14 *1183:10 *1311:10 0.00464305 -15 *1198:15 *2472:la_oenb_mprj[44] 0.00198724 -16 *1199:15 *2472:la_oenb_mprj[44] 0 -17 *1201:10 *1311:10 0.000848795 -18 *1279:10 *1311:10 0.000107179 -*RES -1 *2478:la_oenb[44] *1311:9 36.8286 -2 *1311:9 *1311:10 323.503 -3 *1311:10 *1311:12 4.5 -4 *1311:12 *2472:la_oenb_mprj[44] 57.1827 -*END - -*D_NET *1312 0.0699235 -*CONN -*I *2472:la_oenb_mprj[45] I *D mgmt_protect -*I *2478:la_oenb[45] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[45] 0.00245533 -2 *2478:la_oenb[45] 0.00152156 -3 *1312:12 0.00245533 -4 *1312:10 0.00272154 -5 *1312:9 0.0042431 -6 *2472:la_oenb_mprj[45] *1318:15 0 -7 *2472:la_oenb_mprj[45] *1327:15 0 -8 *1312:10 *1313:10 0.0273814 -9 *2472:la_iena_mprj[45] *2472:la_oenb_mprj[45] 0 -10 *2478:la_input[45] *1312:9 0 -11 *673:7 *2472:la_oenb_mprj[45] 0 -12 *928:9 *1312:9 0 -13 *945:9 *2472:la_oenb_mprj[45] 0 -14 *1155:10 *1312:10 0 -15 *1185:10 *1312:10 0.00023576 -16 *1197:15 *2472:la_oenb_mprj[45] 0 -17 *1201:10 *1312:10 0.000363723 -18 *1279:10 *1312:10 0.000102215 -19 *1311:10 *1312:10 0.0284436 -*RES -1 *2478:la_oenb[45] *1312:9 37.2439 -2 *1312:9 *1312:10 311.856 -3 *1312:10 *1312:12 4.5 -4 *1312:12 *2472:la_oenb_mprj[45] 56.7674 -*END - -*D_NET *1313 0.0683431 -*CONN -*I *2472:la_oenb_mprj[46] I *D mgmt_protect -*I *2478:la_oenb[46] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[46] 6.22868e-05 -2 *2478:la_oenb[46] 0.00137365 -3 *1313:13 0.0016342 -4 *1313:12 0.00157191 -5 *1313:10 0.00253814 -6 *1313:9 0.00391179 -7 *1313:10 *1315:10 0.0241999 -8 *1313:13 *1325:15 0.00155583 -9 *1313:13 *1326:15 3.64684e-05 -10 *1313:13 *1327:15 0.000579395 -11 *2472:la_data_out_mprj[46] *1313:13 0 -12 *2472:la_iena_mprj[46] *1313:13 0.000979082 -13 *2478:la_input[46] *1313:9 0 -14 *674:7 *1313:13 0 -15 *915:15 *1313:9 0.000108139 -16 *929:7 *1313:9 0 -17 *1184:15 *1313:9 0.000471736 -18 *1185:10 *1313:10 0.00167979 -19 *1197:21 *1313:13 9.60366e-05 -20 *1201:10 *1313:10 0.000163362 -21 *1312:10 *1313:10 0.0273814 -*RES -1 *2478:la_oenb[46] *1313:9 37.6591 -2 *1313:9 *1313:10 298.546 -3 *1313:10 *1313:12 4.5 -4 *1313:12 *1313:13 56.4377 -5 *1313:13 *2472:la_oenb_mprj[46] 1.77093 -*END - -*D_NET *1314 0.0805455 -*CONN -*I *2472:la_oenb_mprj[47] I *D mgmt_protect -*I *2478:la_oenb[47] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[47] 0.00109225 -2 *2478:la_oenb[47] 3.62521e-05 -3 *1314:12 0.00766733 -4 *1314:7 0.00939263 -5 *1314:5 0.00285381 -6 *1314:12 *1315:18 2.99894e-05 -7 *2472:la_data_out_mprj[14] *1314:7 0.000202496 -8 *2472:la_iena_mprj[47] *2472:la_oenb_mprj[47] 0 -9 *2472:la_oenb_mprj[13] *1314:7 0 -10 *638:5 *1314:7 0.00109711 -11 *674:11 *1314:7 0 -12 *675:7 *2472:la_oenb_mprj[47] 0 -13 *1182:14 *1314:12 0.000118134 -14 *1186:12 *1314:12 0.0305314 -15 *1188:12 *1314:12 0.0274155 -16 *1310:14 *1314:12 0.000108607 -*RES -1 *2478:la_oenb[47] *1314:5 0.928211 -2 *1314:5 *1314:7 63.2893 -3 *1314:7 *1314:12 46.7153 -4 *1314:12 *2472:la_oenb_mprj[47] 24.1701 -*END - -*D_NET *1315 0.0606378 -*CONN -*I *2472:la_oenb_mprj[48] I *D mgmt_protect -*I *2478:la_oenb[48] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[48] 0.00129538 -2 *2478:la_oenb[48] 0.00150281 -3 *1315:18 0.00349389 -4 *1315:10 0.00458199 -5 *1315:9 0.00388629 -6 *1315:10 *1318:10 0.00302606 -7 *1315:10 *1325:10 7.23987e-05 -8 *1315:18 *1326:15 0.000523883 -9 *1315:18 *1329:15 0 -10 *1315:18 *1330:9 1.44611e-05 -11 *2472:la_data_out_mprj[48] *2472:la_oenb_mprj[48] 0 -12 *2472:la_iena_mprj[48] *2472:la_oenb_mprj[48] 0 -13 *674:7 *1315:18 0.000625622 -14 *675:11 *1315:9 0 -15 *676:7 *2472:la_oenb_mprj[48] 0 -16 *920:15 *1315:9 8.20611e-05 -17 *931:7 *1315:9 0 -18 *945:10 *1315:10 1.44742e-05 -19 *1185:10 *1315:10 0.0171377 -20 *1186:12 *1315:18 9.63897e-05 -21 *1188:12 *1315:18 0 -22 *1201:10 *1315:10 5.44727e-05 -23 *1313:10 *1315:10 0.0241999 -24 *1314:12 *1315:18 2.99894e-05 -*RES -1 *2478:la_oenb[48] *1315:9 38.0744 -2 *1315:9 *1315:10 261.387 -3 *1315:10 *1315:18 41.2144 -4 *1315:18 *2472:la_oenb_mprj[48] 28.3226 -*END - -*D_NET *1316 0.0732774 -*CONN -*I *2472:la_oenb_mprj[49] I *D mgmt_protect -*I *2478:la_oenb[49] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[49] 0.000905438 -2 *2478:la_oenb[49] 8.29983e-05 -3 *1316:12 0.00714731 -4 *1316:7 0.00913288 -5 *1316:5 0.002974 -6 *1316:12 *1319:12 0.0239003 -7 *2472:la_iena_mprj[18] *1316:7 0 -8 *2472:la_iena_mprj[19] *1316:7 0 -9 *2472:la_iena_mprj[49] *2472:la_oenb_mprj[49] 0 -10 *2472:la_oenb_mprj[18] *1316:7 3.93117e-06 -11 *643:7 *1316:7 0.00115441 -12 *676:11 *1316:7 0 -13 *678:7 *2472:la_oenb_mprj[49] 0 -14 *681:8 *1316:12 0.000118134 -15 *932:9 *1316:7 0 -16 *1188:12 *1316:12 0.000375597 -17 *1190:12 *1316:12 0.0272652 -18 *1192:10 *1316:12 0.000217213 -*RES -1 *2478:la_oenb[49] *1316:5 2.05183 -2 *1316:5 *1316:7 65.7808 -3 *1316:7 *1316:12 43.3498 -4 *1316:12 *2472:la_oenb_mprj[49] 21.6786 -*END - -*D_NET *1317 0.207995 -*CONN -*I *2472:la_oenb_mprj[4] I *D mgmt_protect -*I *2478:la_oenb[4] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[4] 0.0025659 -2 *2478:la_oenb[4] 0.0013337 -3 *1317:12 0.0025659 -4 *1317:10 0.01074 -5 *1317:9 0.0120737 -6 *1317:10 *1339:10 9.5672e-05 -7 *2472:la_iena_mprj[4] *2472:la_oenb_mprj[4] 0 -8 *2478:la_input[4] *1317:9 0 -9 *688:7 *2472:la_oenb_mprj[4] 0 -10 *933:9 *1317:9 0 -11 *977:10 *1317:10 0.00407177 -12 *977:15 *2472:la_oenb_mprj[4] 5.66868e-06 -13 *1139:10 *1317:10 0.00349721 -14 *1189:10 *1317:10 0.0854372 -15 *1279:10 *1317:10 0.00034158 -16 *1295:10 *1317:10 0.083813 -17 *1310:7 *2472:la_oenb_mprj[4] 0.00145413 -*RES -1 *2478:la_oenb[4] *1317:9 37.1508 -2 *1317:9 *1317:10 109.125 -3 *1317:10 *1317:12 3.36879 -4 *1317:12 *2472:la_oenb_mprj[4] 55.7293 -*END - -*D_NET *1318 0.0517459 -*CONN -*I *2472:la_oenb_mprj[50] I *D mgmt_protect -*I *2478:la_oenb[50] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[50] 0.00143506 -2 *2478:la_oenb[50] 0.0014208 -3 *1318:18 0.00247109 -4 *1318:15 0.00163391 -5 *1318:10 0.00249138 -6 *1318:9 0.00331429 -7 *1318:10 *1325:10 0.00686166 -8 *1318:15 *1327:15 0.000639482 -9 *1318:18 *1322:16 0.00160483 -10 *2472:la_iena_mprj[50] *2472:la_oenb_mprj[50] 0 -11 *2472:la_oenb_mprj[45] *1318:15 0 -12 *673:7 *1318:15 0.000124484 -13 *678:11 *1318:9 0 -14 *679:7 *2472:la_oenb_mprj[50] 0 -15 *902:13 *1318:9 0.000201529 -16 *934:7 *1318:9 0 -17 *1185:10 *1318:10 0.0133598 -18 *1187:10 *1318:10 0.0130583 -19 *1191:10 *1318:10 0.000103217 -20 *1315:10 *1318:10 0.00302606 -*RES -1 *2478:la_oenb[50] *1318:9 38.9049 -2 *1318:9 *1318:10 214.8 -3 *1318:10 *1318:15 31.5946 -4 *1318:15 *1318:18 43.491 -5 *1318:18 *2472:la_oenb_mprj[50] 32.6828 -*END - -*D_NET *1319 0.0631213 -*CONN -*I *2472:la_oenb_mprj[51] I *D mgmt_protect -*I *2478:la_oenb[51] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[51] 0.000844065 -2 *2478:la_oenb[51] 8.29983e-05 -3 *1319:12 0.0079793 -4 *1319:7 0.0102933 -5 *1319:5 0.00324105 -6 *2472:la_data_out_mprj[23] *1319:7 0 -7 *2472:la_data_out_mprj[49] *1319:12 0.0164967 -8 *2472:la_iena_mprj[23] *1319:7 8.11463e-06 -9 *2472:la_iena_mprj[51] *2472:la_oenb_mprj[51] 0 -10 *649:7 *1319:7 0 -11 *679:11 *1319:7 0 -12 *680:7 *2472:la_oenb_mprj[51] 0 -13 *905:13 *1319:7 0 -14 *935:9 *1319:7 0 -15 *1190:12 *1319:12 0.000275479 -16 *1316:12 *1319:12 0.0239003 -*RES -1 *2478:la_oenb[51] *1319:5 2.05183 -2 *1319:5 *1319:7 66.6113 -3 *1319:7 *1319:12 40.1372 -4 *1319:12 *2472:la_oenb_mprj[51] 20.8481 -*END - -*D_NET *1320 0.0531309 -*CONN -*I *2472:la_oenb_mprj[52] I *D mgmt_protect -*I *2478:la_oenb[52] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[52] 0.000819528 -2 *2478:la_oenb[52] 5.96252e-05 -3 *1320:10 0.00340873 -4 *1320:9 0.0025892 -5 *1320:7 0.00296291 -6 *1320:5 0.00302253 -7 *2472:la_data_out_mprj[26] *1320:7 0 -8 *2472:la_iena_mprj[52] *2472:la_oenb_mprj[52] 0 -9 *651:7 *1320:7 0.000665846 -10 *680:11 *1320:7 0 -11 *681:7 *2472:la_oenb_mprj[52] 0 -12 *681:8 *1320:10 9.65932e-05 -13 *682:8 *1320:10 0.0179829 -14 *936:9 *1320:7 0 -15 *1169:10 *1320:10 0 -16 *1192:10 *1320:10 0.021158 -17 *1292:10 *1320:10 0.000364931 -18 *1294:10 *1320:10 0 -*RES -1 *2478:la_oenb[52] *1320:5 1.49002 -2 *1320:5 *1320:7 66.819 -3 *1320:7 *1320:9 4.5 -4 *1320:9 *1320:10 230.329 -5 *1320:10 *2472:la_oenb_mprj[52] 25.1405 -*END - -*D_NET *1321 0.048016 -*CONN -*I *2472:la_oenb_mprj[53] I *D mgmt_protect -*I *2478:la_oenb[53] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[53] 0.00126024 -2 *2478:la_oenb[53] 0.0014706 -3 *1321:16 0.00207939 -4 *1321:15 0.0011987 -5 *1321:10 0.00165693 -6 *1321:9 0.00274796 -7 *1321:10 *1322:10 0.0108922 -8 *1321:10 *1324:10 6.03996e-05 -9 *1321:10 *1325:10 0.00187904 -10 *1321:16 *1322:16 0.000180303 -11 *1321:16 *1324:16 0.00578931 -12 *2472:la_iena_mprj[44] *1321:15 0.00143068 -13 *2472:la_iena_mprj[53] *2472:la_oenb_mprj[53] 0 -14 *682:7 *2472:la_oenb_mprj[53] 0 -15 *926:25 *1321:9 0 -16 *928:24 *1321:16 0.000225363 -17 *937:9 *1321:9 0 -18 *1173:15 *1321:9 0.000284582 -19 *1191:10 *1321:10 0.00888925 -20 *1191:20 *1321:16 0.00561217 -21 *1193:10 *1321:10 0.000863309 -22 *1194:16 *1321:16 0.000832715 -23 *1198:15 *1321:15 0.00066283 -24 *1304:15 *1321:9 0 -*RES -1 *2478:la_oenb[53] *1321:9 40.1506 -2 *1321:9 *1321:10 139.374 -3 *1321:10 *1321:15 32.8404 -4 *1321:15 *1321:16 79.4771 -5 *1321:16 *2472:la_oenb_mprj[53] 34.6913 -*END - -*D_NET *1322 0.0377935 -*CONN -*I *2472:la_oenb_mprj[54] I *D mgmt_protect -*I *2478:la_oenb[54] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[54] 0.00130498 -2 *2478:la_oenb[54] 0.00145072 -3 *1322:16 0.00356841 -4 *1322:15 0.00285898 -5 *1322:10 0.00162261 -6 *1322:9 0.00247777 -7 *1322:10 *1324:10 0.00173676 -8 *1322:15 *1323:15 0.00056331 -9 *1322:16 *1324:16 0.00170046 -10 *2472:la_iena_mprj[44] *1322:15 0 -11 *2472:la_iena_mprj[54] *2472:la_oenb_mprj[54] 0 -12 *671:7 *1322:15 0.000150958 -13 *682:11 *1322:9 0 -14 *683:7 *2472:la_oenb_mprj[54] 0 -15 *919:19 *1322:9 1.9101e-05 -16 *928:23 *1322:9 0.000248358 -17 *928:24 *1322:16 0.000328385 -18 *932:21 *1322:9 0 -19 *938:9 *1322:9 0 -20 *1179:15 *1322:9 8.4419e-05 -21 *1193:10 *1322:10 0.00700093 -22 *1318:18 *1322:16 0.00160483 -23 *1321:10 *1322:10 0.0108922 -24 *1321:16 *1322:16 0.000180303 -*RES -1 *2478:la_oenb[54] *1322:9 40.5659 -2 *1322:9 *1322:10 116.081 -3 *1322:10 *1322:15 30.7641 -4 *1322:15 *1322:16 90.5692 -5 *1322:16 *2472:la_oenb_mprj[54] 36.3523 -*END - -*D_NET *1323 0.0417752 -*CONN -*I *2472:la_oenb_mprj[55] I *D mgmt_protect -*I *2478:la_oenb[55] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[55] 0.00114721 -2 *2478:la_oenb[55] 0.00160982 -3 *1323:16 0.00199139 -4 *1323:15 0.00135237 -5 *1323:10 0.00171104 -6 *1323:9 0.00281267 -7 *1323:10 *1324:10 0.00141117 -8 *1323:16 *1325:16 0.00746299 -9 *1323:16 *1326:16 0.007359 -10 *2472:la_iena_mprj[44] *1323:15 0.000645218 -11 *2472:la_iena_mprj[55] *2472:la_oenb_mprj[55] 0 -12 *2472:la_oenb_mprj[32] *1323:9 0 -13 *671:7 *1323:15 0 -14 *672:8 *1323:10 0 -15 *683:11 *1323:9 0 -16 *684:7 *2472:la_oenb_mprj[55] 0 -17 *936:13 *1323:9 0 -18 *939:9 *1323:9 0 -19 *939:11 *1323:9 0 -20 *941:10 *1323:16 0.00184646 -21 *941:14 *1323:16 1.41689e-05 -22 *1179:16 *1323:10 0.00596675 -23 *1194:16 *1323:16 0.000747045 -24 *1195:10 *1323:10 0.00213251 -25 *1196:10 *1323:10 4.03749e-05 -26 *1302:10 *1323:10 0.0029617 -27 *1322:15 *1323:15 0.00056331 -*RES -1 *2478:la_oenb[55] *1323:9 42.2269 -2 *1323:9 *1323:10 97.2244 -3 *1323:10 *1323:15 32.4251 -4 *1323:15 *1323:16 98.3336 -5 *1323:16 *2472:la_oenb_mprj[55] 33.0303 -*END - -*D_NET *1324 0.0335025 -*CONN -*I *2472:la_oenb_mprj[56] I *D mgmt_protect -*I *2478:la_oenb[56] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[56] 0.00120656 -2 *2478:la_oenb[56] 0.00143637 -3 *1324:16 0.0027123 -4 *1324:15 0.00174521 -5 *1324:10 0.00173835 -6 *1324:9 0.00293525 -7 *1324:10 *1325:10 4.00372e-05 -8 *1324:15 *1325:15 0.00134685 -9 *1324:15 *1326:15 0.00134325 -10 *1324:16 *1325:16 0.00034321 -11 *2472:la_data_out_mprj[57] *2472:la_oenb_mprj[56] 0 -12 *2472:la_iena_mprj[56] *2472:la_oenb_mprj[56] 0 -13 *684:11 *1324:9 0 -14 *685:7 *2472:la_oenb_mprj[56] 0 -15 *940:7 *1324:9 0 -16 *945:10 *1324:10 0.000237769 -17 *1173:25 *1324:9 8.62625e-06 -18 *1179:16 *1324:10 0.00406403 -19 *1181:25 *1324:9 0.000257426 -20 *1193:10 *1324:10 0.00322946 -21 *1194:16 *1324:16 0.00015542 -22 *1309:23 *1324:9 4.3116e-06 -23 *1321:10 *1324:10 6.03996e-05 -24 *1321:16 *1324:16 0.00578931 -25 *1322:10 *1324:10 0.00173676 -26 *1322:16 *1324:16 0.00170046 -27 *1323:10 *1324:10 0.00141117 -*RES -1 *2478:la_oenb[56] *1324:9 41.3964 -2 *1324:9 *1324:10 96.6698 -3 *1324:10 *1324:15 31.1794 -4 *1324:15 *1324:16 86.687 -5 *1324:16 *2472:la_oenb_mprj[56] 35.1065 -*END - -*D_NET *1325 0.0379491 -*CONN -*I *2472:la_oenb_mprj[57] I *D mgmt_protect -*I *2478:la_oenb[57] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[57] 0.00112426 -2 *2478:la_oenb[57] 0.00139556 -3 *1325:16 0.00211516 -4 *1325:15 0.00131555 -5 *1325:10 0.00118053 -6 *1325:9 0.00225144 -7 *1325:15 *1326:15 8.61936e-05 -8 *1325:16 *1326:16 0.000736122 -9 *2472:la_data_out_mprj[37] *1325:9 0 -10 *2472:la_data_out_mprj[57] *2472:la_oenb_mprj[57] 0 -11 *2472:la_iena_mprj[37] *1325:9 0 -12 *2472:la_iena_mprj[57] *2472:la_oenb_mprj[57] 0 -13 *685:11 *1325:9 0 -14 *686:7 *2472:la_oenb_mprj[57] 0 -15 *941:7 *1325:9 0 -16 *945:10 *1325:10 0.000401978 -17 *1185:15 *1325:9 5.05252e-05 -18 *1191:10 *1325:10 0.0013203 -19 *1194:16 *1325:16 0.00640951 -20 *1313:13 *1325:15 0.00155583 -21 *1315:10 *1325:10 7.23987e-05 -22 *1318:10 *1325:10 0.00686166 -23 *1321:10 *1325:10 0.00187904 -24 *1323:16 *1325:16 0.00746299 -25 *1324:10 *1325:10 4.00372e-05 -26 *1324:15 *1325:15 0.00134685 -27 *1324:16 *1325:16 0.00034321 -*RES -1 *2478:la_oenb[57] *1325:9 39.3201 -2 *1325:9 *1325:10 76.1495 -3 *1325:10 *1325:15 34.9166 -4 *1325:15 *1325:16 96.1152 -5 *1325:16 *2472:la_oenb_mprj[57] 33.4455 -*END - -*D_NET *1326 0.0350384 -*CONN -*I *2472:la_oenb_mprj[58] I *D mgmt_protect -*I *2478:la_oenb[58] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[58] 0.00106772 -2 *2478:la_oenb[58] 0.001056 -3 *1326:16 0.00213538 -4 *1326:15 0.00180862 -5 *1326:10 0.0018045 -6 *1326:9 0.00211953 -7 *1326:10 *1329:12 0.00151986 -8 *1326:15 *1327:15 0 -9 *1326:15 *1330:9 0 -10 *2472:la_data_out_mprj[58] *2472:la_oenb_mprj[58] 0 -11 *2472:la_iena_mprj[58] *2472:la_oenb_mprj[58] 0 -12 *2478:la_input[61] *1326:15 0.000279872 -13 *686:11 *1326:9 0 -14 *687:7 *2472:la_oenb_mprj[58] 0 -15 *687:8 *1326:16 0 -16 *689:8 *1326:10 0.00049599 -17 *941:14 *1326:16 0.00856535 -18 *942:7 *1326:9 0 -19 *1197:10 *1326:10 0 -20 *1197:22 *1326:16 1.45065e-05 -21 *1198:10 *1326:10 0.00369305 -22 *1199:12 *1326:10 6.24655e-05 -23 *1307:20 *1326:10 0.00033061 -24 *1313:13 *1326:15 3.64684e-05 -25 *1315:18 *1326:15 0.000523883 -26 *1323:16 *1326:16 0.007359 -27 *1324:15 *1326:15 0.00134325 -28 *1325:15 *1326:15 8.61936e-05 -29 *1325:16 *1326:16 0.000736122 -*RES -1 *2478:la_oenb[58] *1326:9 31.4303 -2 *1326:9 *1326:10 56.7384 -3 *1326:10 *1326:15 43.6369 -4 *1326:15 *1326:16 103.325 -5 *1326:16 *2472:la_oenb_mprj[58] 32.615 -*END - -*D_NET *1327 0.0339856 -*CONN -*I *2472:la_oenb_mprj[59] I *D mgmt_protect -*I *2478:la_oenb[59] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[59] 0.000852531 -2 *2478:la_oenb[59] 0.00100536 -3 *1327:16 0.00194033 -4 *1327:15 0.0023291 -5 *1327:12 0.00201954 -6 *1327:9 0.00178361 -7 *1327:12 *1329:12 0.000462946 -8 *1327:16 *1329:16 0.0101939 -9 *2472:la_data_out_mprj[46] *1327:15 2.1203e-06 -10 *2472:la_iena_mprj[59] *2472:la_oenb_mprj[59] 0 -11 *2472:la_oenb_mprj[45] *1327:15 0 -12 *2478:la_input[61] *1327:15 0 -13 *673:7 *1327:15 0 -14 *687:11 *1327:9 0 -15 *689:5 *2472:la_oenb_mprj[59] 0 -16 *943:7 *1327:9 0 -17 *1198:16 *1327:16 0.00982861 -18 *1199:12 *1327:12 0.00205738 -19 *1199:16 *1327:16 0.000291294 -20 *1202:9 *1327:15 0 -21 *1313:13 *1327:15 0.000579395 -22 *1318:15 *1327:15 0.000639482 -23 *1326:15 *1327:15 0 -*RES -1 *2478:la_oenb[59] *1327:9 30.1846 -2 *1327:9 *1327:12 37.3904 -3 *1327:12 *1327:15 45.3657 -4 *1327:15 *1327:16 115.526 -5 *1327:16 *2472:la_oenb_mprj[59] 27.632 -*END - -*D_NET *1328 0.196977 -*CONN -*I *2472:la_oenb_mprj[5] I *D mgmt_protect -*I *2478:la_oenb[5] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[5] 0.00097052 -2 *2478:la_oenb[5] 8.29983e-05 -3 *1328:10 0.00720305 -4 *1328:9 0.00623253 -5 *1328:7 0.0025967 -6 *1328:5 0.0026797 -7 *2472:la_iena_mprj[5] *2472:la_oenb_mprj[5] 0 -8 *688:11 *1328:7 0 -9 *699:7 *2472:la_oenb_mprj[5] 0 -10 *944:7 *1328:7 0 -11 *944:10 *1328:10 0.00252463 -12 *1148:10 *1328:10 0.00020617 -13 *1158:10 *1328:10 0.00508031 -14 *1160:10 *1328:10 0.0046706 -15 *1222:10 *1328:10 0.081621 -16 *1306:10 *1328:10 0.0831092 -*RES -1 *2478:la_oenb[5] *1328:5 2.05183 -2 *1328:5 *1328:7 65.7808 -3 *1328:7 *1328:9 3.36879 -4 *1328:9 *1328:10 107.519 -5 *1328:10 *2472:la_oenb_mprj[5] 25.0474 -*END - -*D_NET *1329 0.0327051 -*CONN -*I *2472:la_oenb_mprj[60] I *D mgmt_protect -*I *2478:la_oenb[60] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[60] 0.000867136 -2 *2478:la_oenb[60] 0.00104725 -3 *1329:16 0.00206277 -4 *1329:15 0.00268006 -5 *1329:12 0.0018331 -6 *1329:9 0.00139592 -7 *1329:15 *1330:9 0.000207119 -8 *2472:la_iena_mprj[60] *2472:la_oenb_mprj[60] 0 -9 *2478:la_input[60] *1329:9 0 -10 *674:7 *1329:15 0.000215788 -11 *689:8 *1329:12 6.05863e-05 -12 *690:5 *2472:la_oenb_mprj[60] 0 -13 *945:9 *1329:9 0 -14 *946:9 *1329:15 0 -15 *1198:15 *1329:9 1.77537e-06 -16 *1199:12 *1329:12 0.000167076 -17 *1199:15 *1329:9 6.08697e-06 -18 *1199:16 *1329:16 0.00998372 -19 *1315:18 *1329:15 0 -20 *1326:10 *1329:12 0.00151986 -21 *1327:12 *1329:12 0.000462946 -22 *1327:16 *1329:16 0.0101939 -*RES -1 *2478:la_oenb[60] *1329:9 31.0151 -2 *1329:9 *1329:12 24.6345 -3 *1329:12 *1329:15 44.1199 -4 *1329:15 *1329:16 116.636 -5 *1329:16 *2472:la_oenb_mprj[60] 28.0472 -*END - -*D_NET *1330 0.0310114 -*CONN -*I *2472:la_oenb_mprj[61] I *D mgmt_protect -*I *2478:la_oenb[61] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[61] 0.00202581 -2 *2478:la_oenb[61] 0.00119252 -3 *1330:12 0.00202581 -4 *1330:10 0.00102 -5 *1330:9 0.00221252 -6 *1330:10 *1331:10 0.000180711 -7 *2472:la_iena_mprj[61] *2472:la_oenb_mprj[61] 0 -8 *2478:la_input[61] *1330:9 0 -9 *691:5 *2472:la_oenb_mprj[61] 0 -10 *945:10 *1330:10 0.000108945 -11 *946:10 *1330:10 0.0110068 -12 *1201:10 *1330:10 6.95209e-05 -13 *1203:10 *1330:10 0.0109472 -14 *1315:18 *1330:9 1.44611e-05 -15 *1326:15 *1330:9 0 -16 *1329:15 *1330:9 0.000207119 -*RES -1 *2478:la_oenb[61] *1330:9 36.8042 -2 *1330:9 *1330:10 126.618 -3 *1330:10 *1330:12 4.5 -4 *1330:12 *2472:la_oenb_mprj[61] 55.5217 -*END - -*D_NET *1331 0.0285727 -*CONN -*I *2472:la_oenb_mprj[62] I *D mgmt_protect -*I *2478:la_oenb[62] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[62] 0.00198298 -2 *2478:la_oenb[62] 0.00138369 -3 *1331:12 0.00198298 -4 *1331:10 0.000824155 -5 *1331:9 0.00220785 -6 *2472:la_iena_mprj[62] *2472:la_oenb_mprj[62] 0 -7 *2478:la_input[62] *1331:9 0 -8 *692:5 *2472:la_oenb_mprj[62] 0 -9 *694:10 *1331:10 4.66876e-05 -10 *694:12 *1331:10 2.52287e-06 -11 *945:10 *1331:10 0.00041958 -12 *946:10 *1331:10 0.00957509 -13 *947:9 *1331:9 0 -14 *1203:10 *1331:10 0.000145188 -15 *1204:10 *1331:10 0.00982129 -16 *1330:10 *1331:10 0.000180711 -*RES -1 *2478:la_oenb[62] *1331:9 39.3201 -2 *1331:9 *1331:10 113.308 -3 *1331:10 *1331:12 4.5 -4 *1331:12 *2472:la_oenb_mprj[62] 54.6912 -*END - -*D_NET *1332 0.0245384 -*CONN -*I *2472:la_oenb_mprj[63] I *D mgmt_protect -*I *2478:la_oenb[63] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[63] 0.00223049 -2 *2478:la_oenb[63] 0.00107302 -3 *1332:12 0.00223049 -4 *1332:10 0.000852579 -5 *1332:9 0.0019256 -6 *2472:la_oenb_mprj[63] *1337:9 0.000327461 -7 *2472:la_data_out_mprj[63] *2472:la_oenb_mprj[63] 0 -8 *2472:la_iena_mprj[63] *2472:la_oenb_mprj[63] 0 -9 *2478:la_input[63] *1332:9 0 -10 *2478:la_input[66] *1332:10 0.00139276 -11 *689:8 *1332:10 0.00235447 -12 *692:8 *1332:10 0.00901823 -13 *693:5 *2472:la_oenb_mprj[63] 0 -14 *695:8 *1332:10 3.83172e-05 -15 *948:9 *1332:9 0 -16 *948:10 *1332:10 9.16621e-05 -17 *949:10 *1332:10 0.000106845 -18 *1208:10 *1332:10 0.00289643 -*RES -1 *2478:la_oenb[63] *1332:9 31.8456 -2 *1332:9 *1332:10 101.661 -3 *1332:10 *1332:12 4.5 -4 *1332:12 *2472:la_oenb_mprj[63] 62.1657 -*END - -*D_NET *1333 0.0213295 -*CONN -*I *2472:la_oenb_mprj[64] I *D mgmt_protect -*I *2478:la_oenb[64] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[64] 0.00207742 -2 *2478:la_oenb[64] 0.00130287 -3 *1333:12 0.00207742 -4 *1333:10 0.0009954 -5 *1333:9 0.00229827 -6 *1333:10 *1334:10 0.000769972 -7 *1333:10 *1337:12 0.000353682 -8 *2472:la_data_out_mprj[65] *2472:la_oenb_mprj[64] 0 -9 *2472:la_iena_mprj[64] *2472:la_oenb_mprj[64] 0 -10 *2478:la_input[64] *1333:9 0 -11 *690:8 *1333:10 0.000180711 -12 *691:8 *1333:10 0.000661063 -13 *694:5 *2472:la_oenb_mprj[64] 0 -14 *694:10 *1333:10 0.000235491 -15 *694:12 *1333:10 0.00529049 -16 *949:9 *1333:9 0 -17 *1201:10 *1333:10 0.00508673 -*RES -1 *2478:la_oenb[64] *1333:9 36.8286 -2 *1333:9 *1333:10 90.0146 -3 *1333:10 *1333:12 4.5 -4 *1333:12 *2472:la_oenb_mprj[64] 57.1827 -*END - -*D_NET *1334 0.0196789 -*CONN -*I *2472:la_oenb_mprj[65] I *D mgmt_protect -*I *2478:la_oenb[65] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[65] 0.002111 -2 *2478:la_oenb[65] 0.00124983 -3 *1334:12 0.002111 -4 *1334:10 0.000975456 -5 *1334:9 0.00222529 -6 *1334:10 *1337:12 5.4367e-05 -7 *2472:la_data_out_mprj[65] *2472:la_oenb_mprj[65] 0 -8 *2472:la_iena_mprj[65] *2472:la_oenb_mprj[65] 0 -9 *2478:la_input[65] *1334:9 0 -10 *690:8 *1334:10 0.00355727 -11 *695:5 *2472:la_oenb_mprj[65] 0 -12 *950:9 *1334:9 0 -13 *950:10 *1334:10 0.000120974 -14 *1205:10 *1334:10 3.04269e-05 -15 *1207:10 *1334:10 0.00647328 -16 *1333:10 *1334:10 0.000769972 -*RES -1 *2478:la_oenb[65] *1334:9 35.5828 -2 *1334:9 *1334:10 78.3679 -3 *1334:10 *1334:12 4.5 -4 *1334:12 *2472:la_oenb_mprj[65] 58.4284 -*END - -*D_NET *1335 0.0172303 -*CONN -*I *2472:la_oenb_mprj[66] I *D mgmt_protect -*I *2478:la_oenb[66] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[66] 0.00235538 -2 *2478:la_oenb[66] 0.000946938 -3 *1335:18 0.00253943 -4 *1335:13 0.00109026 -5 *1335:9 0.00185316 -6 *1335:13 *1336:10 0.00227204 -7 *1335:18 *1336:10 6.24655e-05 -8 *2472:la_data_out_mprj[66] *2472:la_oenb_mprj[66] 0 -9 *2472:la_data_out_mprj[67] *2472:la_oenb_mprj[66] 0 -10 *2472:la_iena_mprj[66] *2472:la_oenb_mprj[66] 0 -11 *2478:la_input[66] *1335:9 0 -12 *693:8 *1335:13 8.47539e-05 -13 *696:5 *2472:la_oenb_mprj[66] 0 -14 *697:13 *2472:la_oenb_mprj[66] 0 -15 *697:16 *1335:18 0.00190029 -16 *951:9 *1335:9 0 -17 *951:12 *1335:13 0.000362922 -18 *951:16 *1335:18 0.00169207 -19 *952:10 *1335:13 0.00179828 -20 *953:12 *1335:13 2.652e-05 -21 *953:16 *1335:18 0.000180711 -22 *954:12 *1335:18 6.50727e-05 -*RES -1 *2478:la_oenb[66] *1335:9 28.5236 -2 *1335:9 *1335:13 49.8992 -3 *1335:13 *1335:18 31.3529 -4 *1335:18 *2472:la_oenb_mprj[66] 64.242 -*END - -*D_NET *1336 0.0165679 -*CONN -*I *2472:la_oenb_mprj[67] I *D mgmt_protect -*I *2478:la_oenb[67] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[67] 0.00236532 -2 *2478:la_oenb[67] 0.000970785 -3 *1336:12 0.00236532 -4 *1336:10 0.000465929 -5 *1336:9 0.00143671 -6 *2472:la_data_out_mprj[67] *2472:la_oenb_mprj[67] 0 -7 *2472:la_data_out_mprj[68] *2472:la_oenb_mprj[67] 0 -8 *2472:la_iena_mprj[67] *2472:la_oenb_mprj[67] 0 -9 *2478:la_input[70] *2472:la_oenb_mprj[67] 5.54078e-05 -10 *697:5 *2472:la_oenb_mprj[67] 0 -11 *697:16 *1336:10 0.00268822 -12 *698:10 *1336:10 0.00184493 -13 *951:12 *1336:10 0.000661063 -14 *952:9 *1336:9 0 -15 *952:10 *1336:10 0.000482046 -16 *954:12 *1336:10 0.000897677 -17 *1212:9 *2472:la_oenb_mprj[67] 0 -18 *1335:13 *1336:10 0.00227204 -19 *1335:18 *1336:10 6.24655e-05 -*RES -1 *2478:la_oenb[67] *1336:9 28.9388 -2 *1336:9 *1336:10 55.0746 -3 *1336:10 *1336:12 4.5 -4 *1336:12 *2472:la_oenb_mprj[67] 65.0725 -*END - -*D_NET *1337 0.0112481 -*CONN -*I *2472:la_oenb_mprj[68] I *D mgmt_protect -*I *2478:la_oenb[68] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[68] 0.00202095 -2 *2478:la_oenb[68] 0.00127729 -3 *1337:12 0.00345807 -4 *1337:9 0.00271442 -5 *2472:la_data_out_mprj[63] *1337:9 0 -6 *2472:la_iena_mprj[68] *2472:la_oenb_mprj[68] 0 -7 *2472:la_oenb_mprj[63] *1337:9 0.000327461 -8 *2478:la_input[68] *1337:9 0 -9 *693:5 *1337:9 0 -10 *694:10 *1337:12 0.00104188 -11 *697:13 *1337:12 0 -12 *698:5 *2472:la_oenb_mprj[68] 0 -13 *953:12 *1337:9 0 -14 *1206:10 *1337:12 0 -15 *1207:10 *1337:12 0 -16 *1209:10 *1337:12 0 -17 *1333:10 *1337:12 0.000353682 -18 *1334:10 *1337:12 5.4367e-05 -*RES -1 *2478:la_oenb[68] *1337:9 37.0973 -2 *1337:9 *1337:12 47.9279 -3 *1337:12 *2472:la_oenb_mprj[68] 56.3522 -*END - -*D_NET *1338 0.011513 -*CONN -*I *2472:la_oenb_mprj[69] I *D mgmt_protect -*I *2478:la_oenb[69] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[69] 0.00223563 -2 *2478:la_oenb[69] 0.00109854 -3 *1338:12 0.00273743 -4 *1338:9 0.00160034 -5 *2472:la_data_out_mprj[66] *1338:9 4.41474e-05 -6 *2472:la_data_out_mprj[69] *2472:la_oenb_mprj[69] 0 -7 *2472:la_iena_mprj[69] *2472:la_oenb_mprj[69] 0 -8 *2478:la_input[69] *1338:9 0 -9 *700:5 *2472:la_oenb_mprj[69] 0 -10 *953:16 *1338:12 0.0002375 -11 *954:9 *1338:9 0 -12 *1208:10 *1338:12 0.00115455 -13 *1210:12 *1338:12 0.000407049 -14 *1212:12 *1338:12 0.00199781 -*RES -1 *2478:la_oenb[69] *1338:9 31.8456 -2 *1338:9 *1338:12 36.2812 -3 *1338:12 *2472:la_oenb_mprj[69] 62.1657 -*END - -*D_NET *1339 0.195769 -*CONN -*I *2472:la_oenb_mprj[6] I *D mgmt_protect -*I *2478:la_oenb[6] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[6] 0.00273096 -2 *2478:la_oenb[6] 0.00140235 -3 *1339:12 0.00273096 -4 *1339:10 0.00831462 -5 *1339:9 0.00971697 -6 *1339:10 *1361:10 0.000119292 -7 *2472:la_iena_mprj[6] *2472:la_oenb_mprj[6] 0 -8 *2478:la_input[6] *1339:9 0 -9 *710:7 *2472:la_oenb_mprj[6] 0 -10 *955:9 *1339:9 0 -11 *988:10 *1339:10 0.000125085 -12 *1139:10 *1339:10 0.00025506 -13 *1139:18 *2472:la_oenb_mprj[6] 0.000355655 -14 *1155:10 *1339:10 0.000173271 -15 *1159:10 *1339:10 0.00294553 -16 *1189:10 *1339:10 0.0811299 -17 *1211:10 *1339:10 0.082977 -18 *1233:10 *1339:10 8.27242e-05 -19 *1267:10 *1339:10 0.000159297 -20 *1279:10 *1339:10 0 -21 *1287:10 *1339:10 0.00245457 -22 *1317:10 *1339:10 9.5672e-05 -*RES -1 *2478:la_oenb[6] *1339:9 38.25 -2 *1339:9 *1339:10 105.989 -3 *1339:10 *1339:12 3.36879 -4 *1339:12 *2472:la_oenb_mprj[6] 54.0683 -*END - -*D_NET *1340 0.0107303 -*CONN -*I *2472:la_oenb_mprj[70] I *D mgmt_protect -*I *2478:la_oenb[70] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[70] 0.00164968 -2 *2478:la_oenb[70] 0.00139367 -3 *1340:12 0.00164968 -4 *1340:10 0.00139367 -5 *2472:la_oenb_mprj[70] *1341:7 0.00296619 -6 *2472:la_iena_mprj[70] *2472:la_oenb_mprj[70] 0 -7 *2478:la_input[70] *1340:10 0 -8 *698:5 *1340:10 0 -9 *700:10 *1340:10 0.000452771 -10 *701:5 *2472:la_oenb_mprj[70] 0 -11 *954:12 *1340:10 0.000320474 -12 *1213:10 *1340:10 0.000904135 -*RES -1 *2478:la_oenb[70] *1340:10 49.7501 -2 *1340:10 *1340:12 4.5 -3 *1340:12 *2472:la_oenb_mprj[70] 63.8267 -*END - -*D_NET *1341 0.0091465 -*CONN -*I *2472:la_oenb_mprj[71] I *D mgmt_protect -*I *2478:la_oenb[71] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[71] 0.000970793 -2 *2478:la_oenb[71] 8.29983e-05 -3 *1341:7 0.00250873 -4 *1341:5 0.00162094 -5 *2472:la_data_out_mprj[71] *1341:7 0 -6 *2472:la_iena_mprj[71] *2472:la_oenb_mprj[71] 0 -7 *2472:la_oenb_mprj[70] *1341:7 0.00296619 -8 *2478:la_input[71] *1341:7 0 -9 *701:5 *1341:7 0.00099685 -10 *702:9 *2472:la_oenb_mprj[71] 0 -*RES -1 *2478:la_oenb[71] *1341:5 2.05183 -2 *1341:5 *1341:7 70.9715 -3 *1341:7 *2472:la_oenb_mprj[71] 33.9758 -*END - -*D_NET *1342 0.00657239 -*CONN -*I *2472:la_oenb_mprj[72] I *D mgmt_protect -*I *2478:la_oenb[72] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[72] 0.00320319 -2 *2478:la_oenb[72] 8.30061e-05 -3 *1342:5 0.00328619 -4 *2472:la_data_out_mprj[73] *2472:la_oenb_mprj[72] 0 -5 *2472:la_iena_mprj[72] *2472:la_oenb_mprj[72] 0 -6 *702:11 *2472:la_oenb_mprj[72] 0 -7 *703:10 *2472:la_oenb_mprj[72] 0 -8 *958:7 *2472:la_oenb_mprj[72] 0 -*RES -1 *2478:la_oenb[72] *1342:5 2.05183 -2 *1342:5 *2472:la_oenb_mprj[72] 87.2885 -*END - -*D_NET *1343 0.00976621 -*CONN -*I *2472:la_oenb_mprj[73] I *D mgmt_protect -*I *2478:la_oenb[73] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[73] 0.000546444 -2 *2478:la_oenb[73] 8.30061e-05 -3 *1343:7 0.00290138 -4 *1343:5 0.00243794 -5 *2472:la_oenb_mprj[73] *2472:la_oenb_mprj[74] 0.000324151 -6 *2472:la_data_out_mprj[73] *2472:la_oenb_mprj[73] 0.00109499 -7 *2472:la_data_out_mprj[75] *1343:7 0.000393199 -8 *2472:la_iena_mprj[73] *2472:la_oenb_mprj[73] 0.00100363 -9 *2472:la_iena_mprj[75] *1343:7 0 -10 *703:10 *2472:la_oenb_mprj[73] 0.000579046 -11 *703:11 *1343:7 0 -12 *704:7 *2472:la_oenb_mprj[73] 0 -13 *705:7 *1343:7 0.000402434 -14 *959:7 *1343:7 0 -*RES -1 *2478:la_oenb[73] *1343:5 2.05183 -2 *1343:5 *1343:7 70.9715 -3 *1343:7 *2472:la_oenb_mprj[73] 37.3034 -*END - -*D_NET *1344 0.00969025 -*CONN -*I *2472:la_oenb_mprj[74] I *D mgmt_protect -*I *2478:la_oenb[74] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[74] 0.00123784 -2 *2478:la_oenb[74] 8.30061e-05 -3 *1344:7 0.00376755 -4 *1344:5 0.00261272 -5 *1344:7 *2472:la_oenb_mprj[77] 0 -6 *2472:la_data_out_mprj[73] *2472:la_oenb_mprj[74] 0.000194701 -7 *2472:la_data_out_mprj[77] *1344:7 0.000220041 -8 *2472:la_iena_mprj[77] *1344:7 0.000267587 -9 *2472:la_oenb_mprj[73] *2472:la_oenb_mprj[74] 0.000324151 -10 *704:11 *1344:7 0 -11 *705:7 *2472:la_oenb_mprj[74] 0 -12 *707:7 *1344:7 0 -13 *960:7 *1344:7 0 -14 *1216:13 *2472:la_oenb_mprj[74] 0.000982665 -*RES -1 *2478:la_oenb[74] *1344:5 2.05183 -2 *1344:5 *1344:7 71.3867 -3 *1344:7 *2472:la_oenb_mprj[74] 48.5348 -*END - -*D_NET *1345 0.0132479 -*CONN -*I *2472:la_oenb_mprj[75] I *D mgmt_protect -*I *2478:la_oenb[75] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[75] 0.00097785 -2 *2478:la_oenb[75] 1.28869e-05 -3 *1345:12 0.0013562 -4 *1345:7 0.00246376 -5 *1345:5 0.0020983 -6 *2472:la_iena_mprj[75] *2472:la_oenb_mprj[75] 0 -7 *704:10 *1345:12 0.000897691 -8 *705:10 *1345:12 0.00044131 -9 *706:7 *2472:la_oenb_mprj[75] 0 -10 *959:7 *2472:la_oenb_mprj[75] 0.000867253 -11 *960:16 *1345:12 0.00096144 -12 *961:7 *1345:7 0 -13 *961:10 *1345:12 0.000163362 -14 *1216:7 *2472:la_oenb_mprj[75] 0 -15 *1217:12 *1345:12 0.000104941 -16 *1218:12 *1345:12 0.00290288 -*RES -1 *2478:la_oenb[75] *1345:5 0.366399 -2 *1345:5 *1345:7 56.4377 -3 *1345:7 *1345:12 45.7726 -4 *1345:12 *2472:la_oenb_mprj[75] 31.0218 -*END - -*D_NET *1346 0.010433 -*CONN -*I *2472:la_oenb_mprj[76] I *D mgmt_protect -*I *2478:la_oenb[76] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[76] 0.000863752 -2 *2478:la_oenb[76] 8.30061e-05 -3 *1346:10 0.00230304 -4 *1346:9 0.00143929 -5 *1346:7 0.00237473 -6 *1346:5 0.00245773 -7 *1346:10 *1347:10 0 -8 *1346:10 *1349:10 0.000356413 -9 *2472:la_iena_mprj[76] *2472:la_oenb_mprj[76] 0 -10 *2472:la_iena_mprj[82] *1346:7 0 -11 *706:11 *1346:7 0 -12 *707:7 *2472:la_oenb_mprj[76] 0 -13 *707:8 *1346:10 0 -14 *713:7 *1346:7 0.000108413 -15 *962:7 *1346:7 0 -16 *962:10 *1346:10 0.000191201 -17 *963:10 *1346:10 0 -18 *964:10 *1346:10 0 -19 *965:10 *1346:10 0 -20 *1223:10 *1346:10 0.000255439 -*RES -1 *2478:la_oenb[76] *1346:5 2.05183 -2 *1346:5 *1346:7 64.3275 -3 *1346:7 *1346:9 4.5 -4 *1346:9 *1346:10 46.7555 -5 *1346:10 *2472:la_oenb_mprj[76] 27.632 -*END - -*D_NET *1347 0.0184528 -*CONN -*I *2472:la_oenb_mprj[77] I *D mgmt_protect -*I *2478:la_oenb[77] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[77] 0.0009864 -2 *2478:la_oenb[77] 8.30061e-05 -3 *1347:10 0.00144914 -4 *1347:9 0.000462741 -5 *1347:7 0.0019597 -6 *1347:5 0.0020427 -7 *1347:7 *2472:la_oenb_mprj[84] 0.000780411 -8 *2472:la_data_out_mprj[78] *2472:la_oenb_mprj[77] 0 -9 *2472:la_data_out_mprj[84] *1347:7 0 -10 *2472:la_iena_mprj[77] *2472:la_oenb_mprj[77] 0 -11 *707:11 *1347:7 0 -12 *708:7 *2472:la_oenb_mprj[77] 0 -13 *963:7 *1347:7 0 -14 *963:10 *1347:10 0.00553023 -15 *964:10 *1347:10 0.00515848 -16 *1344:7 *2472:la_oenb_mprj[77] 0 -17 *1346:10 *1347:10 0 -*RES -1 *2478:la_oenb[77] *1347:5 2.05183 -2 *1347:5 *1347:7 61.0054 -3 *1347:7 *1347:9 4.5 -4 *1347:9 *1347:10 58.4022 -5 *1347:10 *2472:la_oenb_mprj[77] 30.954 -*END - -*D_NET *1348 0.0200932 -*CONN -*I *2472:la_oenb_mprj[78] I *D mgmt_protect -*I *2478:la_oenb[78] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[78] 0.00064369 -2 *2478:la_oenb[78] 8.30061e-05 -3 *1348:10 0.00152262 -4 *1348:9 0.000878927 -5 *1348:7 0.00252538 -6 *1348:5 0.00260839 -7 *1348:7 *2472:la_oenb_mprj[86] 0.000152985 -8 *2472:la_data_out_mprj[78] *2472:la_oenb_mprj[78] 0 -9 *2472:la_data_out_mprj[87] *1348:7 0 -10 *2472:la_iena_mprj[78] *2472:la_oenb_mprj[78] 0 -11 *2472:la_iena_mprj[86] *1348:7 0 -12 *2472:la_iena_mprj[87] *1348:7 0 -13 *708:8 *1348:10 0.00602151 -14 *708:11 *1348:7 0 -15 *709:7 *2472:la_oenb_mprj[78] 0 -16 *709:8 *1348:10 7.06933e-05 -17 *718:7 *1348:7 0.000627745 -18 *1219:10 *1348:10 0 -19 *1221:10 *1348:10 0.00069087 -20 *1224:10 *1348:10 0.00426741 -*RES -1 *2478:la_oenb[78] *1348:5 2.05183 -2 *1348:5 *1348:7 70.5562 -3 *1348:7 *1348:9 4.5 -4 *1348:9 *1348:10 70.0488 -5 *1348:10 *2472:la_oenb_mprj[78] 21.4032 -*END - -*D_NET *1349 0.016608 -*CONN -*I *2472:la_oenb_mprj[79] I *D mgmt_protect -*I *2478:la_oenb[79] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[79] 0.000962074 -2 *2478:la_oenb[79] 8.30061e-05 -3 *1349:10 0.00235828 -4 *1349:9 0.0013962 -5 *1349:7 0.00215962 -6 *1349:5 0.00224262 -7 *1349:10 *1357:16 0.00201868 -8 *2472:la_data_out_mprj[79] *2472:la_oenb_mprj[79] 0 -9 *2472:la_iena_mprj[79] *2472:la_oenb_mprj[79] 0 -10 *2472:la_iena_mprj[89] *1349:7 0 -11 *709:11 *1349:7 0 -12 *711:7 *2472:la_oenb_mprj[79] 0 -13 *714:8 *1349:10 0.00014932 -14 *715:8 *1349:10 9.27159e-05 -15 *720:7 *1349:7 0 -16 *965:7 *1349:7 0 -17 *965:10 *1349:10 0.00333337 -18 *969:10 *1349:10 0 -19 *976:13 *1349:7 0.000685962 -20 *1223:10 *1349:10 0 -21 *1227:10 *1349:10 0.000769711 -22 *1346:10 *1349:10 0.000356413 -*RES -1 *2478:la_oenb[79] *1349:5 2.05183 -2 *1349:5 *1349:7 62.6664 -3 *1349:7 *1349:9 4.5 -4 *1349:9 *1349:10 81.6955 -5 *1349:10 *2472:la_oenb_mprj[79] 29.293 -*END - -*D_NET *1350 0.187885 -*CONN -*I *2472:la_oenb_mprj[7] I *D mgmt_protect -*I *2478:la_oenb[7] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[7] 0.000861475 -2 *2478:la_oenb[7] 8.29983e-05 -3 *1350:10 0.00676848 -4 *1350:9 0.00590701 -5 *1350:7 0.00272575 -6 *1350:5 0.00280875 -7 *2472:la_iena_mprj[7] *2472:la_oenb_mprj[7] 0 -8 *710:11 *1350:7 0 -9 *721:7 *2472:la_oenb_mprj[7] 0 -10 *966:7 *1350:7 0 -11 *1148:10 *1350:10 0 -12 *1162:10 *1350:10 0.003828 -13 *1222:10 *1350:10 0.0821406 -14 *1244:10 *1350:10 0.0792428 -15 *1292:10 *1350:10 0.00351928 -*RES -1 *2478:la_oenb[7] *1350:5 2.05183 -2 *1350:5 *1350:7 67.4418 -3 *1350:7 *1350:9 3.36879 -4 *1350:9 *1350:10 104.306 -5 *1350:10 *2472:la_oenb_mprj[7] 23.3864 -*END - -*D_NET *1351 0.0251683 -*CONN -*I *2472:la_oenb_mprj[80] I *D mgmt_protect -*I *2478:la_oenb[80] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[80] 0.000745035 -2 *2478:la_oenb[80] 8.30061e-05 -3 *1351:10 0.00176237 -4 *1351:9 0.00101733 -5 *1351:7 0.00235692 -6 *1351:5 0.00243992 -7 *1351:7 *2472:la_oenb_mprj[91] 0.000156444 -8 *1351:10 *1352:10 0.00785602 -9 *2472:la_data_out_mprj[92] *1351:7 0 -10 *2472:la_iena_mprj[80] *2472:la_oenb_mprj[80] 0 -11 *2472:la_iena_mprj[91] *1351:7 0.0010763 -12 *709:8 *1351:10 0.00632081 -13 *711:11 *1351:7 0 -14 *712:7 *2472:la_oenb_mprj[80] 0 -15 *712:8 *1351:10 0.00027732 -16 *967:7 *1351:7 0 -17 *967:10 *1351:10 0.00102027 -18 *1219:10 *1351:10 5.65165e-05 -*RES -1 *2478:la_oenb[80] *1351:5 2.05183 -2 *1351:5 *1351:7 68.48 -3 *1351:7 *1351:9 4.5 -4 *1351:9 *1351:10 93.8968 -5 *1351:10 *2472:la_oenb_mprj[80] 23.4795 -*END - -*D_NET *1352 0.0287339 -*CONN -*I *2472:la_oenb_mprj[81] I *D mgmt_protect -*I *2478:la_oenb[81] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[81] 0.000772307 -2 *2478:la_oenb[81] 8.30061e-05 -3 *1352:10 0.00190419 -4 *1352:9 0.00113188 -5 *1352:7 0.00232962 -6 *1352:5 0.00241263 -7 *1352:7 *2472:la_oenb_mprj[93] 9.32536e-05 -8 *1352:10 *1358:20 0.000129801 -9 *2472:la_data_out_mprj[81] *2472:la_oenb_mprj[81] 0 -10 *2472:la_data_out_mprj[94] *1352:7 0 -11 *2472:la_iena_mprj[81] *2472:la_oenb_mprj[81] 0 -12 *712:8 *1352:10 0.00938895 -13 *712:11 *1352:7 0 -14 *713:7 *2472:la_oenb_mprj[81] 0 -15 *724:8 *1352:10 0.000658933 -16 *726:5 *1352:7 0.00190121 -17 *967:10 *1352:10 7.2143e-05 -18 *968:7 *1352:7 0 -19 *1351:10 *1352:10 0.00785602 -*RES -1 *2478:la_oenb[81] *1352:5 2.05183 -2 *1352:5 *1352:7 68.0647 -3 *1352:7 *1352:9 4.5 -4 *1352:9 *1352:10 104.989 -5 *1352:10 *2472:la_oenb_mprj[81] 23.8947 -*END - -*D_NET *1353 0.0196463 -*CONN -*I *2472:la_oenb_mprj[82] I *D mgmt_protect -*I *2478:la_oenb[82] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[82] 0.000909876 -2 *2478:la_oenb[82] 8.30061e-05 -3 *1353:10 0.00449486 -4 *1353:9 0.00358498 -5 *1353:7 0.00192397 -6 *1353:5 0.00200697 -7 *1353:7 *2472:la_oenb_mprj[96] 0 -8 *1353:10 *1365:16 2.60015e-05 -9 *1353:10 *1367:16 4.95977e-05 -10 *2472:la_data_out_mprj[83] *2472:la_oenb_mprj[82] 0 -11 *2472:la_data_out_mprj[96] *1353:7 0.000704506 -12 *2472:la_iena_mprj[82] *2472:la_oenb_mprj[82] 0 -13 *2472:la_iena_mprj[96] *1353:7 0.000715752 -14 *711:8 *1353:10 0.00275944 -15 *713:8 *1353:10 0.000909351 -16 *713:11 *1353:7 0 -17 *714:7 *2472:la_oenb_mprj[82] 0.00135413 -18 *962:7 *2472:la_oenb_mprj[82] 0.000123893 -19 *969:7 *1353:7 0 -20 *1225:10 *1353:10 0 -*RES -1 *2478:la_oenb[82] *1353:5 2.05183 -2 *1353:5 *1353:7 58.5139 -3 *1353:7 *1353:9 4.5 -4 *1353:9 *1353:10 115.526 -5 *1353:10 *2472:la_oenb_mprj[82] 35.3019 -*END - -*D_NET *1354 0.0336903 -*CONN -*I *2472:la_oenb_mprj[83] I *D mgmt_protect -*I *2478:la_oenb[83] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[83] 0.000909673 -2 *2478:la_oenb[83] 8.30061e-05 -3 *1354:10 0.00228166 -4 *1354:9 0.00137199 -5 *1354:7 0.0020536 -6 *1354:5 0.0021366 -7 *1354:7 *2472:la_oenb_mprj[98] 0.00197704 -8 *1354:10 *1358:16 0.00060672 -9 *2472:la_iena_mprj[83] *2472:la_oenb_mprj[83] 0 -10 *2472:la_iena_mprj[98] *1354:7 0.000587734 -11 *714:8 *1354:10 0.000194684 -12 *714:11 *1354:7 0 -13 *715:7 *2472:la_oenb_mprj[83] 0 -14 *715:8 *1354:10 0.000108598 -15 *969:10 *1354:10 0.0104549 -16 *1226:10 *1354:10 0.0109241 -*RES -1 *2478:la_oenb[83] *1354:5 2.05183 -2 *1354:5 *1354:7 65.158 -3 *1354:7 *1354:9 4.5 -4 *1354:9 *1354:10 128.282 -5 *1354:10 *2472:la_oenb_mprj[83] 26.8015 -*END - -*D_NET *1355 0.0286488 -*CONN -*I *2472:la_oenb_mprj[84] I *D mgmt_protect -*I *2478:la_oenb[84] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[84] 0.00216326 -2 *2478:la_oenb[84] 0.000936695 -3 *1355:12 0.00216326 -4 *1355:10 0.00271939 -5 *1355:9 0.00365608 -6 *1355:10 *1368:16 0.00372683 -7 *2472:la_data_out_mprj[84] *2472:la_oenb_mprj[84] 0 -8 *2472:la_iena_mprj[84] *2472:la_oenb_mprj[84] 0 -9 *716:7 *2472:la_oenb_mprj[84] 0 -10 *963:7 *2472:la_oenb_mprj[84] 0 -11 *971:9 *1355:9 0 -12 *972:10 *1355:10 0.000443728 -13 *1229:10 *1355:10 0.0120591 -14 *1347:7 *2472:la_oenb_mprj[84] 0.000780411 -*RES -1 *2478:la_oenb[84] *1355:9 28.0839 -2 *1355:9 *1355:10 142.702 -3 *1355:10 *1355:12 4.5 -4 *1355:12 *2472:la_oenb_mprj[84] 64.242 -*END - -*D_NET *1356 0.0387651 -*CONN -*I *2472:la_oenb_mprj[85] I *D mgmt_protect -*I *2478:la_oenb[85] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[85] 0.00179757 -2 *2478:la_oenb[85] 0.00108571 -3 *1356:12 0.00179757 -4 *1356:10 0.00119564 -5 *1356:9 0.00228135 -6 *1356:10 *1371:12 0.000111178 -7 *2472:la_iena_mprj[85] *2472:la_oenb_mprj[85] 0 -8 *2478:la_input[85] *1356:9 0 -9 *717:7 *2472:la_oenb_mprj[85] 0 -10 *972:9 *1356:9 0 -11 *972:10 *1356:10 0.00041958 -12 *973:10 *1356:10 0.0139388 -13 *1220:7 *2472:la_oenb_mprj[85] 0.00278322 -14 *1228:10 *1356:10 0.0133546 -*RES -1 *2478:la_oenb[85] *1356:9 31.4303 -2 *1356:9 *1356:10 151.576 -3 *1356:10 *1356:12 4.5 -4 *1356:12 *2472:la_oenb_mprj[85] 62.5809 -*END - -*D_NET *1357 0.0395907 -*CONN -*I *2472:la_oenb_mprj[86] I *D mgmt_protect -*I *2478:la_oenb[86] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[86] 0.000947287 -2 *2478:la_oenb[86] 0.0013587 -3 *1357:16 0.00256746 -4 *1357:15 0.00296561 -5 *1357:12 0.00157068 -6 *1357:9 0.00158394 -7 *1357:9 *1363:15 0.00041536 -8 *1357:12 *1370:12 0.000173271 -9 *1357:15 *1358:15 0 -10 *1357:16 *1360:16 0.000416163 -11 *2472:la_data_out_mprj[102] *1357:15 5.41467e-05 -12 *2472:la_iena_mprj[86] *2472:la_oenb_mprj[86] 0 -13 *2472:la_oenb_mprj[102] *1357:15 0 -14 *2478:la_input[86] *1357:9 0 -15 *612:5 *1357:9 0 -16 *715:8 *1357:16 0.00020979 -17 *718:7 *2472:la_oenb_mprj[86] 0 -18 *723:8 *1357:16 0.00910478 -19 *731:8 *1357:16 0 -20 *965:10 *1357:16 9.41997e-05 -21 *973:9 *1357:9 0 -22 *983:10 *1357:12 0.00272839 -23 *1119:10 *1357:16 4.37921e-05 -24 *1227:10 *1357:16 0.0104613 -25 *1241:10 *1357:12 0.00272419 -26 *1348:7 *2472:la_oenb_mprj[86] 0.000152985 -27 *1349:10 *1357:16 0.00201868 -*RES -1 *2478:la_oenb[86] *1357:9 37.6591 -2 *1357:9 *1357:12 33.5082 -3 *1357:12 *1357:15 36.6454 -4 *1357:15 *1357:16 134.937 -5 *1357:16 *2472:la_oenb_mprj[86] 28.8777 -*END - -*D_NET *1358 0.0398388 -*CONN -*I *2472:la_oenb_mprj[87] I *D mgmt_protect -*I *2478:la_oenb[87] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[87] 0.000795701 -2 *2478:la_oenb[87] 0.00116302 -3 *1358:20 0.0017779 -4 *1358:18 0.00103206 -5 *1358:16 0.000642292 -6 *1358:15 0.00189776 -7 *1358:10 0.00177467 -8 *1358:9 0.00163236 -9 *1358:10 *1359:10 0.00311683 -10 *2472:la_data_out_mprj[102] *1358:15 0 -11 *2472:la_iena_mprj[87] *2472:la_oenb_mprj[87] 0 -12 *2472:la_oenb_mprj[101] *1358:15 0.000603266 -13 *2478:la_input[87] *1358:9 0 -14 *614:5 *1358:9 0.000388524 -15 *712:8 *1358:20 0.0046198 -16 *715:8 *1358:16 1.40453e-05 -17 *719:7 *2472:la_oenb_mprj[87] 0 -18 *724:8 *1358:16 0 -19 *724:8 *1358:20 0.000264175 -20 *728:8 *1358:16 0.000193373 -21 *729:8 *1358:16 0.00401097 -22 *731:8 *1358:16 0.00240557 -23 *968:10 *1358:20 0.00538192 -24 *969:10 *1358:16 0.000785032 -25 *969:10 *1358:20 0.000190399 -26 *974:9 *1358:9 0 -27 *1235:10 *1358:10 0.000854233 -28 *1242:10 *1358:10 0.00500935 -29 *1243:15 *1358:9 0.000389717 -30 *1246:12 *1358:10 0.000159297 -31 *1352:10 *1358:20 0.000129801 -32 *1354:10 *1358:16 0.00060672 -33 *1357:15 *1358:15 0 -*RES -1 *2478:la_oenb[87] *1358:9 36.4134 -2 *1358:9 *1358:10 53.4107 -3 *1358:10 *1358:15 45.2979 -4 *1358:15 *1358:16 51.7469 -5 *1358:16 *1358:18 1.39857 -6 *1358:18 *1358:20 70.6034 -7 *1358:20 *2472:la_oenb_mprj[87] 24.7252 -*END - -*D_NET *1359 0.0328236 -*CONN -*I *2472:la_oenb_mprj[88] I *D mgmt_protect -*I *2478:la_oenb[88] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[88] 0.000616447 -2 *2478:la_oenb[88] 0.00129407 -3 *1359:16 0.0048582 -4 *1359:15 0.00424175 -5 *1359:13 0.00182322 -6 *1359:10 0.00227605 -7 *1359:9 0.0017469 -8 *2472:la_data_out_mprj[104] *1359:13 5.05252e-05 -9 *2472:la_iena_mprj[104] *1359:13 6.97064e-05 -10 *2472:la_iena_mprj[88] *2472:la_oenb_mprj[88] 0 -11 *2472:la_oenb_mprj[103] *1359:13 0 -12 *2472:la_oenb_mprj[104] *1359:13 0 -13 *2478:la_input[88] *1359:9 0 -14 *607:8 *1359:16 0.00263889 -15 *609:8 *1359:16 0.00104186 -16 *610:7 *1359:13 0 -17 *617:5 *1359:9 0 -18 *618:5 *1359:9 0 -19 *720:7 *2472:la_oenb_mprj[88] 0 -20 *724:8 *1359:16 0.00038789 -21 *975:9 *1359:9 0 -22 *1224:10 *1359:16 0.00140301 -23 *1235:10 *1359:10 0.0049942 -24 *1242:10 *1359:10 1.15389e-05 -25 *1243:10 *1359:10 0.00184072 -26 *1246:12 *1359:10 0.00010238 -27 *1247:15 *1359:9 0.000309381 -28 *1358:10 *1359:10 0.00311683 -*RES -1 *2478:la_oenb[88] *1359:9 35.9981 -2 *1359:9 *1359:10 53.4107 -3 *1359:10 *1359:13 46.1962 -4 *1359:13 *1359:15 4.5 -5 *1359:15 *1359:16 133.828 -6 *1359:16 *2472:la_oenb_mprj[88] 20.988 -*END - -*D_NET *1360 0.0442492 -*CONN -*I *2472:la_oenb_mprj[89] I *D mgmt_protect -*I *2478:la_oenb[89] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[89] 0.00103235 -2 *2478:la_oenb[89] 0.000979315 -3 *1360:16 0.00229667 -4 *1360:15 0.00301716 -5 *1360:10 0.00269298 -6 *1360:9 0.00191945 -7 *1360:10 *1362:10 0.0058648 -8 *1360:10 *1363:10 0.000339026 -9 *2472:la_iena_mprj[105] *1360:15 0 -10 *2472:la_iena_mprj[89] *2472:la_oenb_mprj[89] 0 -11 *2478:la_input[86] *1360:15 0 -12 *2478:la_input[89] *1360:9 0 -13 *620:5 *1360:9 0.000315176 -14 *713:8 *1360:16 0 -15 *722:7 *2472:la_oenb_mprj[89] 0 -16 *722:8 *1360:16 0.0120208 -17 *723:8 *1360:16 0.0112542 -18 *965:10 *1360:16 6.50586e-05 -19 *973:10 *1360:10 0.000116943 -20 *976:9 *1360:9 0 -21 *1247:16 *1360:10 0.00191915 -22 *1357:16 *1360:16 0.000416163 -*RES -1 *2478:la_oenb[89] *1360:9 30.038 -2 *1360:9 *1360:10 67.8304 -3 *1360:10 *1360:15 47.3742 -4 *1360:15 *1360:16 131.61 -5 *1360:16 *2472:la_oenb_mprj[89] 29.7083 -*END - -*D_NET *1361 0.161333 -*CONN -*I *2472:la_oenb_mprj[8] I *D mgmt_protect -*I *2478:la_oenb[8] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[8] 0.00283044 -2 *2478:la_oenb[8] 0.00132694 -3 *1361:12 0.00283044 -4 *1361:10 0.00527555 -5 *1361:9 0.00660249 -6 *2472:la_data_out_mprj[9] *2472:la_oenb_mprj[8] 0 -7 *2472:la_iena_mprj[8] *2472:la_oenb_mprj[8] 0 -8 *2478:la_input[45] *2472:la_oenb_mprj[8] 0.000173926 -9 *677:14 *1361:10 3.40535e-05 -10 *721:11 *1361:9 0 -11 *732:7 *2472:la_oenb_mprj[8] 0 -12 *955:10 *1361:10 0.068472 -13 *977:9 *1361:9 0 -14 *977:10 *1361:10 0.00083916 -15 *988:10 *1361:10 0.0681067 -16 *1179:10 *1361:10 0.000185163 -17 *1295:10 *1361:10 0.00393788 -18 *1311:10 *1361:10 0.000598598 -19 *1339:10 *1361:10 0.000119292 -*RES -1 *2478:la_oenb[8] *1361:9 37.6591 -2 *1361:9 *1361:10 744.447 -3 *1361:10 *1361:12 4.5 -4 *1361:12 *2472:la_oenb_mprj[8] 56.3522 -*END - -*D_NET *1362 0.0462368 -*CONN -*I *2472:la_oenb_mprj[90] I *D mgmt_protect -*I *2478:la_oenb[90] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[90] 0.00106838 -2 *2478:la_oenb[90] 0.00110524 -3 *1362:16 0.00230497 -4 *1362:15 0.0022701 -5 *1362:10 0.00226267 -6 *1362:9 0.00233439 -7 *1362:10 *1363:10 0.000113651 -8 *1362:10 *1365:10 0.00279002 -9 *1362:15 *1363:15 0.0020274 -10 *1362:16 *1363:16 0.0111035 -11 *2472:la_data_out_mprj[91] *2472:la_oenb_mprj[90] 0 -12 *2472:la_iena_mprj[105] *1362:15 0.000487195 -13 *2472:la_iena_mprj[90] *2472:la_oenb_mprj[90] 0 -14 *2472:la_oenb_mprj[105] *1362:15 7.77309e-06 -15 *2478:la_input[86] *1362:15 0 -16 *713:8 *1362:16 0.000143231 -17 *722:8 *1362:16 0.0119275 -18 *722:11 *1362:9 0 -19 *723:7 *2472:la_oenb_mprj[90] 0 -20 *973:10 *1362:10 0.000232907 -21 *978:9 *1362:9 0 -22 *1231:10 *1362:10 0.000193117 -23 *1360:10 *1362:10 0.0058648 -*RES -1 *2478:la_oenb[90] *1362:9 31.0151 -2 *1362:9 *1362:10 82.2501 -3 *1362:10 *1362:15 46.1284 -4 *1362:15 *1362:16 128.282 -5 *1362:16 *2472:la_oenb_mprj[90] 30.5388 -*END - -*D_NET *1363 0.0435152 -*CONN -*I *2472:la_oenb_mprj[91] I *D mgmt_protect -*I *2478:la_oenb[91] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[91] 0.0010364 -2 *2478:la_oenb[91] 0.00100224 -3 *1363:16 0.0022637 -4 *1363:15 0.00221526 -5 *1363:10 0.00337641 -6 *1363:9 0.00339068 -7 *1363:10 *1365:10 0 -8 *1363:10 *1368:16 0.000317707 -9 *1363:16 *1365:16 0.00956833 -10 *2472:la_data_out_mprj[92] *2472:la_oenb_mprj[91] 0 -11 *2472:la_iena_mprj[91] *2472:la_oenb_mprj[91] 0 -12 *2472:la_oenb_mprj[105] *1363:15 0.000794212 -13 *2472:la_oenb_mprj[117] *1363:9 7.06274e-05 -14 *2478:la_input[86] *1363:15 0 -15 *612:5 *1363:15 0 -16 *613:8 *1363:10 0.00132561 -17 *713:8 *1363:16 0.00038227 -18 *722:8 *1363:16 1.65872e-05 -19 *723:11 *1363:9 0 -20 *724:7 *2472:la_oenb_mprj[91] 0 -21 *979:9 *1363:9 0 -22 *1243:16 *1363:10 0.00203157 -23 *1247:16 *1363:10 0.00156814 -24 *1351:7 *2472:la_oenb_mprj[91] 0.000156444 -25 *1357:9 *1363:15 0.00041536 -26 *1360:10 *1363:10 0.000339026 -27 *1362:10 *1363:10 0.000113651 -28 *1362:15 *1363:15 0.0020274 -29 *1362:16 *1363:16 0.0111035 -*RES -1 *2478:la_oenb[91] *1363:9 28.9388 -2 *1363:9 *1363:10 102.216 -3 *1363:10 *1363:15 47.7894 -4 *1363:15 *1363:16 119.963 -5 *1363:16 *2472:la_oenb_mprj[91] 30.954 -*END - -*D_NET *1364 0.0406836 -*CONN -*I *2472:la_oenb_mprj[92] I *D mgmt_protect -*I *2478:la_oenb[92] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[92] 0.00153087 -2 *2478:la_oenb[92] 0.00180929 -3 *1364:12 0.00153087 -4 *1364:10 0.00555321 -5 *1364:9 0.0073625 -6 *2472:la_iena_mprj[92] *2472:la_oenb_mprj[92] 0 -7 *724:11 *1364:9 0 -8 *725:5 *2472:la_oenb_mprj[92] 0 -9 *725:8 *1364:10 0.00021369 -10 *979:10 *1364:10 0.0205173 -11 *1224:7 *2472:la_oenb_mprj[92] 0.00190782 -12 *1236:10 *1364:10 0.000258087 -13 *1249:10 *1364:10 0 -*RES -1 *2478:la_oenb[92] *1364:9 44.3031 -2 *1364:9 *1364:10 233.657 -3 *1364:10 *1364:12 4.5 -4 *1364:12 *2472:la_oenb_mprj[92] 49.7081 -*END - -*D_NET *1365 0.0398562 -*CONN -*I *2472:la_oenb_mprj[93] I *D mgmt_protect -*I *2478:la_oenb[93] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[93] 0.00102371 -2 *2478:la_oenb[93] 0.00114813 -3 *1365:16 0.00274494 -4 *1365:15 0.00283209 -5 *1365:10 0.00355535 -6 *1365:9 0.00359262 -7 *1365:10 *1367:10 0.00464251 -8 *1365:15 *1367:15 0.00030546 -9 *1365:16 *1367:16 0 -10 *1365:16 *1369:16 0.00335258 -11 *2472:la_data_out_mprj[107] *1365:15 0.00110887 -12 *2472:la_iena_mprj[107] *1365:15 0.000360628 -13 *2472:la_iena_mprj[93] *2472:la_oenb_mprj[93] 0 -14 *2472:la_oenb_mprj[122] *1365:9 0 -15 *2478:la_input[87] *1365:15 0 -16 *2478:la_input[93] *1365:9 0 -17 *712:11 *2472:la_oenb_mprj[93] 8.75713e-05 -18 *713:8 *1365:16 0.000683081 -19 *722:8 *1365:16 0.000316073 -20 *726:5 *2472:la_oenb_mprj[93] 0 -21 *981:9 *1365:9 0 -22 *1230:9 *1365:15 9.60903e-06 -23 *1231:10 *1365:10 0.0016154 -24 *1251:10 *1365:10 0 -25 *1352:7 *2472:la_oenb_mprj[93] 9.32536e-05 -26 *1353:10 *1365:16 2.60015e-05 -27 *1362:10 *1365:10 0.00279002 -28 *1363:10 *1365:10 0 -29 *1363:16 *1365:16 0.00956833 -*RES -1 *2478:la_oenb[93] *1365:9 31.8456 -2 *1365:9 *1365:10 128.837 -3 *1365:10 *1365:15 44.4674 -4 *1365:15 *1365:16 116.636 -5 *1365:16 *2472:la_oenb_mprj[93] 31.3693 -*END - -*D_NET *1366 0.0588076 -*CONN -*I *2472:la_oenb_mprj[94] I *D mgmt_protect -*I *2478:la_oenb[94] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[94] 0.00189759 -2 *2478:la_oenb[94] 0.00141176 -3 *1366:12 0.00189759 -4 *1366:10 0.00208354 -5 *1366:9 0.0034953 -6 *2472:la_iena_mprj[94] *2472:la_oenb_mprj[94] 0 -7 *2472:la_oenb_mprj[124] *1366:9 0.000913233 -8 *2478:la_input[94] *1366:9 0 -9 *727:5 *2472:la_oenb_mprj[94] 0 -10 *968:7 *2472:la_oenb_mprj[94] 0.000571834 -11 *980:10 *1366:10 0.0209895 -12 *981:10 *1366:10 0.0227672 -13 *982:9 *1366:9 0 -14 *982:10 *1366:10 0.000264727 -15 *985:12 *1366:10 0.000235008 -16 *1121:10 *1366:10 0.000851028 -17 *1239:10 *1366:10 0.00142928 -*RES -1 *2478:la_oenb[94] *1366:9 40.5659 -2 *1366:9 *1366:10 257.505 -3 *1366:10 *1366:12 4.5 -4 *1366:12 *2472:la_oenb_mprj[94] 53.4454 -*END - -*D_NET *1367 0.0440454 -*CONN -*I *2472:la_oenb_mprj[95] I *D mgmt_protect -*I *2478:la_oenb[95] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[95] 0.00104032 -2 *2478:la_oenb[95] 0.00121326 -3 *1367:16 0.00476978 -4 *1367:15 0.00464926 -5 *1367:10 0.00317988 -6 *1367:9 0.00347334 -7 *1367:10 *1369:10 0.0145309 -8 *1367:10 *1371:12 0.000220514 -9 *1367:16 *1369:16 0 -10 *2472:la_data_out_mprj[107] *1367:15 0.000888202 -11 *2472:la_data_out_mprj[96] *2472:la_oenb_mprj[95] 0 -12 *2472:la_iena_mprj[95] *2472:la_oenb_mprj[95] 0 -13 *2478:la_input[95] *1367:9 0 -14 *613:5 *1367:15 0.000464812 -15 *634:8 *1367:10 0 -16 *713:11 *2472:la_oenb_mprj[95] 5.82975e-05 -17 *728:7 *2472:la_oenb_mprj[95] 0.00122938 -18 *864:16 *1367:16 0.000250133 -19 *974:10 *1367:10 0.0012501 -20 *983:9 *1367:9 0 -21 *1230:9 *1367:15 7.09666e-06 -22 *1231:10 *1367:10 0.00171937 -23 *1234:10 *1367:10 0.000103234 -24 *1251:10 *1367:10 0 -25 *1270:10 *1367:10 0 -26 *1353:10 *1367:16 4.95977e-05 -27 *1365:10 *1367:10 0.00464251 -28 *1365:15 *1367:15 0.00030546 -29 *1365:16 *1367:16 0 -*RES -1 *2478:la_oenb[95] *1367:9 32.6761 -2 *1367:9 *1367:10 170.432 -3 *1367:10 *1367:15 39.8997 -4 *1367:15 *1367:16 96.6698 -5 *1367:16 *2472:la_oenb_mprj[95] 36.963 -*END - -*D_NET *1368 0.0636085 -*CONN -*I *2472:la_oenb_mprj[96] I *D mgmt_protect -*I *2478:la_oenb[96] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[96] 0.00240441 -2 *2478:la_oenb[96] 0.00136631 -3 *1368:18 0.00240441 -4 *1368:16 0.0011228 -5 *1368:15 0.00150155 -6 *1368:10 0.00184524 -7 *1368:9 0.0028328 -8 *1368:10 *1370:12 0.00115772 -9 *2472:la_data_out_mprj[97] *2472:la_oenb_mprj[96] 0 -10 *2472:la_iena_mprj[96] *2472:la_oenb_mprj[96] 0 -11 *612:5 *1368:15 0.000397835 -12 *728:11 *1368:9 0 -13 *729:7 *2472:la_oenb_mprj[96] 0 -14 *730:11 *1368:9 0.00046086 -15 *863:10 *1368:10 0.000838111 -16 *969:7 *2472:la_oenb_mprj[96] 0.000681256 -17 *973:9 *1368:15 0 -18 *983:10 *1368:10 0.017454 -19 *984:9 *1368:9 0 -20 *1229:10 *1368:16 0.000151531 -21 *1241:10 *1368:10 0.0189152 -22 *1242:10 *1368:10 0.000279817 -23 *1243:16 *1368:16 0.00223788 -24 *1247:16 *1368:16 0.00351212 -25 *1353:7 *2472:la_oenb_mprj[96] 0 -26 *1355:10 *1368:16 0.00372683 -27 *1363:10 *1368:16 0.000317707 -*RES -1 *2478:la_oenb[96] *1368:9 37.6591 -2 *1368:9 *1368:10 200.381 -3 *1368:10 *1368:15 17.476 -4 *1368:15 *1368:16 80.5863 -5 *1368:16 *1368:18 4.5 -6 *1368:18 *2472:la_oenb_mprj[96] 64.6572 -*END - -*D_NET *1369 0.0473394 -*CONN -*I *2472:la_oenb_mprj[97] I *D mgmt_protect -*I *2478:la_oenb[97] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[97] 0.000746694 -2 *2478:la_oenb[97] 0.00125287 -3 *1369:16 0.00280049 -4 *1369:15 0.00300344 -5 *1369:10 0.00534635 -6 *1369:9 0.00564958 -7 *1369:9 *2472:mprj_adr_o_core[3] 0 -8 *1369:9 *1674:5 0 -9 *1369:10 *1371:12 0.000224455 -10 *1369:10 *1592:24 0 -11 *1369:10 *1603:10 3.58963e-05 -12 *2472:la_data_out_mprj[109] *1369:15 0.000316275 -13 *2472:la_data_out_mprj[98] *2472:la_oenb_mprj[97] 0 -14 *2472:la_iena_mprj[109] *1369:15 0 -15 *615:5 *1369:15 0.00184111 -16 *634:8 *1369:10 0 -17 *714:11 *2472:la_oenb_mprj[97] 0 -18 *722:8 *1369:16 8.46377e-05 -19 *729:11 *1369:9 0 -20 *730:7 *2472:la_oenb_mprj[97] 0 -21 *864:16 *1369:16 0.00240627 -22 *978:10 *1369:10 9.12806e-05 -23 *1234:10 *1369:10 0.00402611 -24 *1235:10 *1369:10 0 -25 *1241:13 *2472:la_oenb_mprj[97] 0.0016305 -26 *1247:10 *1369:10 0 -27 *1365:16 *1369:16 0.00335258 -28 *1367:10 *1369:10 0.0145309 -29 *1367:16 *1369:16 0 -*RES -1 *2478:la_oenb[97] *1369:9 33.0913 -2 *1369:9 *1369:10 194.835 -3 *1369:10 *1369:15 42.3912 -4 *1369:15 *1369:16 97.2244 -5 *1369:16 *2472:la_oenb_mprj[97] 32.1998 -*END - -*D_NET *1370 0.0854548 -*CONN -*I *2472:la_oenb_mprj[98] I *D mgmt_protect -*I *2478:la_oenb[98] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[98] 0.00185192 -2 *2478:la_oenb[98] 0.00143985 -3 *1370:12 0.00618152 -4 *1370:9 0.00576945 -5 *1370:9 *1676:5 4.38172e-05 -6 *2472:la_data_out_mprj[99] *2472:la_oenb_mprj[98] 0 -7 *2472:la_iena_mprj[98] *2472:la_oenb_mprj[98] 0 -8 *609:14 *1370:12 0.000212637 -9 *731:7 *2472:la_oenb_mprj[98] 0 -10 *986:9 *1370:9 0 -11 *986:12 *1370:12 0.0329032 -12 *987:12 *1370:12 0.0324049 -13 *1241:10 *1370:12 0.0013395 -14 *1354:7 *2472:la_oenb_mprj[98] 0.00197704 -15 *1357:12 *1370:12 0.000173271 -16 *1368:10 *1370:12 0.00115772 -*RES -1 *2478:la_oenb[98] *1370:9 35.1966 -2 *1370:9 *1370:12 45.4883 -3 *1370:12 *2472:la_oenb_mprj[98] 56.5598 -*END - -*D_NET *1371 0.0707426 -*CONN -*I *2472:la_oenb_mprj[99] I *D mgmt_protect -*I *2478:la_oenb[99] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[99] 0.00226909 -2 *2478:la_oenb[99] 0.00123515 -3 *1371:12 0.0153532 -4 *1371:9 0.0143192 -5 *1371:12 *1592:24 0.000107179 -6 *1371:12 *1603:10 0.000114491 -7 *1371:12 *1606:10 0.000127812 -8 *1371:12 *1674:8 0.00012426 -9 *2472:la_iena_mprj[99] *2472:la_oenb_mprj[99] 0 -10 *606:7 *2472:la_oenb_mprj[99] 0 -11 *634:8 *1371:12 0.000102215 -12 *731:11 *1371:9 0 -13 *973:10 *1371:12 0.000477891 -14 *974:10 *1371:12 0.000477891 -15 *987:9 *1371:9 0 -16 *987:12 *1371:12 9.74681e-05 -17 *1118:12 *1371:12 0.033113 -18 *1227:7 *2472:la_oenb_mprj[99] 0.000920759 -19 *1228:10 *1371:12 0.000102438 -20 *1230:10 *1371:12 0.000622192 -21 *1231:10 *1371:12 0.000622192 -22 *1356:10 *1371:12 0.000111178 -23 *1367:10 *1371:12 0.000220514 -24 *1369:10 *1371:12 0.000224455 -*RES -1 *2478:la_oenb[99] *1371:9 31.3372 -2 *1371:9 *1371:12 46.8651 -3 *1371:12 *2472:la_oenb_mprj[99] 61.5428 -*END - -*D_NET *1372 0.124369 -*CONN -*I *2472:la_oenb_mprj[9] I *D mgmt_protect -*I *2478:la_oenb[9] O *D mgmt_core_wrapper -*CAP -1 *2472:la_oenb_mprj[9] 0.000643385 -2 *2478:la_oenb[9] 8.29983e-05 -3 *1372:10 0.0172567 -4 *1372:9 0.0166134 -5 *1372:7 0.00291215 -6 *1372:5 0.00299515 -7 *2472:la_iena_mprj[9] *2472:la_oenb_mprj[9] 0 -8 *616:7 *2472:la_oenb_mprj[9] 0 -9 *732:11 *1372:7 0 -10 *910:10 *1372:10 0.00286711 -11 *988:9 *1372:7 0 -12 *1128:10 *1372:10 0.000480973 -13 *1244:10 *1372:10 0.000268945 -14 *1256:10 *1372:10 0.077612 -15 *1297:10 *1372:10 0.00263589 -*RES -1 *2478:la_oenb[9] *1372:5 2.05183 -2 *1372:5 *1372:7 70.7639 -3 *1372:7 *1372:9 3.36879 -4 *1372:9 *1372:10 101.093 -5 *1372:10 *2472:la_oenb_mprj[9] 20.0644 -*END - -*D_NET *1373 0.0611022 -*CONN -*I *2473:la_oenb[0] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[0] O *D mgmt_protect -*CAP -1 *2473:la_oenb[0] 0.00261458 -2 *2472:la_oenb_core[0] 0.00105537 -3 *1373:10 0.00261458 -4 *1373:8 0.00305513 -5 *1373:7 0.0041105 -6 *2473:la_oenb[0] *1648:7 0.00024398 -7 *1373:8 *1613:8 0.000385391 -8 *1373:8 *1632:8 0 -9 *1373:8 *1638:8 3.91975e-05 -10 *1373:8 *1681:8 5.03285e-05 -11 *1373:8 *1697:8 0.000505953 -12 *1373:8 *1699:8 0 -13 *1373:8 *1701:8 0 -14 *1373:8 *1758:8 0.0182208 -15 *1373:8 *1762:8 6.58943e-05 -16 *1373:8 *1764:8 0 -17 *2472:la_data_out_core[0] *1373:7 0 -18 *733:7 *1373:7 0 -19 *733:13 *1373:8 0.00284978 -20 *772:5 *1373:7 0 -21 *794:11 *1373:7 7.75059e-05 -22 *794:11 *1373:8 0 -23 *1028:8 *1373:8 0.0252132 -*RES -1 *2472:la_oenb_core[0] *1373:7 33.8608 -2 *1373:7 *1373:8 283.017 -3 *1373:8 *1373:10 4.5 -4 *1373:10 *2473:la_oenb[0] 54.6912 -*END - -*D_NET *1374 0.227273 -*CONN -*I *2473:la_oenb[100] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[100] O *D mgmt_protect -*CAP -1 *2473:la_oenb[100] 0.00229285 -2 *2472:la_oenb_core[100] 0.00159628 -3 *1374:10 0.00229285 -4 *1374:8 0.00702759 -5 *1374:7 0.00862388 -6 *1374:8 *1376:8 0.000206147 -7 *1374:8 *1378:8 0.0967987 -8 *1374:8 *1380:8 0.00926612 -9 *1374:8 *1499:8 0.0978286 -10 *2472:la_data_out_core[100] *1374:7 0 -11 *734:7 *1374:7 0 -12 *735:7 *1374:7 0 -13 *1063:5 *1374:7 0 -14 *1065:8 *1374:8 0.00134021 -*RES -1 *2472:la_oenb_core[100] *1374:7 37.598 -2 *1374:7 *1374:8 1049.48 -3 *1374:8 *1374:10 4.5 -4 *1374:10 *2473:la_oenb[100] 50.9539 -*END - -*D_NET *1375 0.231197 -*CONN -*I *2473:la_oenb[101] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[101] O *D mgmt_protect -*CAP -1 *2473:la_oenb[101] 0.0014943 -2 *2472:la_oenb_core[101] 0.00172099 -3 *1375:8 0.00849619 -4 *1375:7 0.00700188 -5 *1375:5 0.00172099 -6 *1375:8 *1377:8 0.0993943 -7 *1375:8 *1379:8 0.000141029 -8 *1375:8 *1448:14 0.000634418 -9 *1375:8 *1468:10 1.55995e-05 -10 *1375:8 *1472:10 0.0459911 -11 *1375:8 *1492:14 1.41689e-05 -12 *1375:8 *1498:18 0.00642687 -13 *2473:la_data_in[52] *1375:5 0.000686056 -14 *736:7 *1375:5 0 -15 *991:11 *1375:5 0.00258673 -16 *1014:8 *1375:8 0.0548721 -*RES -1 *2472:la_oenb_core[101] *1375:5 50.9539 -2 *1375:5 *1375:7 4.5 -3 *1375:7 *1375:8 1062.79 -4 *1375:8 *2473:la_oenb[101] 37.598 -*END - -*D_NET *1376 0.272661 -*CONN -*I *2473:la_oenb[102] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[102] O *D mgmt_protect -*CAP -1 *2473:la_oenb[102] 0.00226263 -2 *2472:la_oenb_core[102] 0.0016287 -3 *1376:10 0.00226263 -4 *1376:8 0.0125647 -5 *1376:7 0.0141934 -6 *1376:8 *1380:8 0.113597 -7 *1376:8 *1499:8 0.010549 -8 *2472:la_data_out_core[102] *1376:7 0 -9 *737:7 *1376:7 0 -10 *1103:8 *1376:8 0 -11 *1112:8 *1376:8 0.00841036 -12 *1114:8 *1376:8 0.106987 -13 *1374:8 *1376:8 0.000206147 -*RES -1 *2472:la_oenb_core[102] *1376:7 36.6745 -2 *1376:7 *1376:8 148.517 -3 *1376:8 *1376:10 3.36879 -4 *1376:10 *2473:la_oenb[102] 50.7463 -*END - -*D_NET *1377 0.231196 -*CONN -*I *2473:la_oenb[103] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[103] O *D mgmt_protect -*CAP -1 *2473:la_oenb[103] 0.00150075 -2 *2472:la_oenb_core[103] 0.00196723 -3 *1377:8 0.00888686 -4 *1377:7 0.00738612 -5 *1377:5 0.00196723 -6 *1377:8 *1379:8 0.000305243 -7 *1377:8 *1381:16 0.0957542 -8 *1377:8 *1468:10 0.00315438 -9 *1377:8 *1468:16 0.000423922 -10 *1377:8 *1468:18 8.66138e-05 -11 *738:7 *1377:5 0 -12 *993:11 *1377:5 0.00255694 -13 *1014:8 *1377:8 0.00182431 -14 *1115:8 *1377:8 0.00598824 -15 *1375:8 *1377:8 0.0993943 -*RES -1 *2472:la_oenb_core[103] *1377:5 50.5386 -2 *1377:5 *1377:7 4.5 -3 *1377:7 *1377:8 1089.41 -4 *1377:8 *2473:la_oenb[103] 38.0133 -*END - -*D_NET *1378 0.236042 -*CONN -*I *2473:la_oenb[104] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[104] O *D mgmt_protect -*CAP -1 *2473:la_oenb[104] 0.00227303 -2 *2472:la_oenb_core[104] 0.00151437 -3 *1378:10 0.00227303 -4 *1378:8 0.00828916 -5 *1378:7 0.00980353 -6 *1378:8 *1380:8 0.011271 -7 *1378:8 *1382:8 0.101957 -8 *2472:la_data_out_core[104] *1378:7 0 -9 *2473:la_data_in[53] *1378:7 0.000227718 -10 *739:7 *1378:7 0 -11 *1065:8 *1378:8 0.000538827 -12 *1067:10 *1378:8 0.00109633 -13 *1374:8 *1378:8 0.0967987 -*RES -1 *2472:la_oenb_core[104] *1378:7 37.1828 -2 *1378:7 *1378:8 1103.28 -3 *1378:8 *1378:10 4.5 -4 *1378:10 *2473:la_oenb[104] 51.3691 -*END - -*D_NET *1379 0.283057 -*CONN -*I *2473:la_oenb[105] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[105] O *D mgmt_protect -*CAP -1 *2473:la_oenb[105] 0.00156779 -2 *2472:la_oenb_core[105] 0.00256779 -3 *1379:8 0.020881 -4 *1379:7 0.0193132 -5 *1379:5 0.00256779 -6 *1379:8 *1381:16 0.000579822 -7 *1379:8 *1383:16 0.00730115 -8 *1379:8 *1386:16 0.000110257 -9 *1379:8 *1387:12 0.000101365 -10 *1379:8 *1391:8 0.111586 -11 *1379:8 *1450:14 0.000157517 -12 *1379:8 *1452:10 0.00024852 -13 *1379:8 *1465:14 0.000228981 -14 *1379:8 *1468:10 0.000259403 -15 *1379:8 *1468:18 0.00183192 -16 *1379:8 *1490:10 0.00112836 -17 *1379:8 *1494:14 0.000384538 -18 *2472:la_data_out_core[106] *1379:5 0 -19 *740:7 *1379:5 0 -20 *995:11 *1379:5 0 -21 *1014:8 *1379:8 0.000403492 -22 *1016:8 *1379:8 0.00469217 -23 *1068:8 *1379:8 0.000253421 -24 *1115:8 *1379:8 0.106446 -25 *1375:8 *1379:8 0.000141029 -26 *1377:8 *1379:8 0.000305243 -*RES -1 *2472:la_oenb_core[105] *1379:5 49.0853 -2 *1379:5 *1379:7 3.36879 -3 *1379:7 *1379:8 153.948 -4 *1379:8 *2473:la_oenb[105] 38.3355 -*END - -*D_NET *1380 0.285805 -*CONN -*I *2473:la_oenb[106] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[106] O *D mgmt_protect -*CAP -1 *2473:la_oenb[106] 0.00225867 -2 *2472:la_oenb_core[106] 0.00161862 -3 *1380:10 0.00225867 -4 *1380:8 0.0131568 -5 *1380:7 0.0147754 -6 *1380:8 *1382:8 0.00010238 -7 *1380:8 *1388:8 0.116796 -8 *2472:la_data_out_core[106] *1380:7 0 -9 *2473:la_data_in[54] *1380:7 0 -10 *741:7 *1380:7 0 -11 *1103:8 *1380:8 0.000704755 -12 *1374:8 *1380:8 0.00926612 -13 *1376:8 *1380:8 0.113597 -14 *1378:8 *1380:8 0.011271 -*RES -1 *2472:la_oenb_core[106] *1380:7 35.844 -2 *1380:7 *1380:8 155.86 -3 *1380:8 *1380:10 3.36879 -4 *1380:10 *2473:la_oenb[106] 51.5768 -*END - -*D_NET *1381 0.239801 -*CONN -*I *2473:la_oenb[107] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[107] O *D mgmt_protect -*CAP -1 *2473:la_oenb[107] 0.00149907 -2 *2472:la_oenb_core[107] 0.00193896 -3 *1381:16 0.00912095 -4 *1381:15 0.00828052 -5 *1381:10 0.00131141 -6 *1381:9 0.00259173 -7 *1381:9 *1451:17 0.000256748 -8 *1381:10 *1383:15 0.00284109 -9 *1381:10 *1386:15 0.00120988 -10 *1381:10 *1477:10 0.000875064 -11 *1381:15 *2473:la_oenb[56] 0 -12 *1381:15 *1383:15 0 -13 *1381:16 *1383:16 1.41689e-05 -14 *1381:16 *1391:8 0.000135387 -15 *1381:16 *1468:18 0.0286484 -16 *2472:la_data_out_core[107] *1381:9 0 -17 *2472:la_data_out_core[108] *1381:9 0 -18 *742:7 *1381:9 0 -19 *813:11 *1381:15 0 -20 *1014:8 *1381:16 0.00211615 -21 *1016:8 *1381:16 0.0751938 -22 *1066:8 *1381:10 0.000217951 -23 *1076:8 *1381:10 0.000694433 -24 *1108:8 *1381:10 0.00011056 -25 *1115:8 *1381:16 0.00641114 -26 *1377:8 *1381:16 0.0957542 -27 *1379:8 *1381:16 0.000579822 -*RES -1 *2472:la_oenb_core[107] *1381:9 44.1444 -2 *1381:9 *1381:10 48.9739 -3 *1381:10 *1381:15 20.3828 -4 *1381:15 *1381:16 1093.29 -5 *1381:16 *2473:la_oenb[107] 38.4285 -*END - -*D_NET *1382 0.247599 -*CONN -*I *2473:la_oenb[108] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[108] O *D mgmt_protect -*CAP -1 *2473:la_oenb[108] 0.00224878 -2 *2472:la_oenb_core[108] 0.00162001 -3 *1382:10 0.00224878 -4 *1382:8 0.00849244 -5 *1382:7 0.0101125 -6 *1382:8 *1385:8 0.108582 -7 *1382:8 *1388:8 0.0108327 -8 *2472:la_data_out_core[108] *1382:7 0 -9 *2472:la_data_out_core[109] *1382:7 0 -10 *743:7 *1382:7 0 -11 *1067:10 *1382:8 0.00131609 -12 *1069:12 *1382:8 8.66138e-05 -13 *1378:8 *1382:8 0.101957 -14 *1380:8 *1382:8 0.00010238 -*RES -1 *2472:la_oenb_core[108] *1382:7 36.7675 -2 *1382:7 *1382:8 1156.52 -3 *1382:8 *1382:10 4.5 -4 *1382:10 *2473:la_oenb[108] 51.7844 -*END - -*D_NET *1383 0.254017 -*CONN -*I *2473:la_oenb[109] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[109] O *D mgmt_protect -*CAP -1 *2473:la_oenb[109] 0.00152697 -2 *2472:la_oenb_core[109] 0.00166852 -3 *1383:16 0.00885769 -4 *1383:15 0.00801376 -5 *1383:9 0.00235157 -6 *1383:15 *1386:15 0.00045075 -7 *1383:16 *1386:16 0.108157 -8 *1383:16 *1391:8 0.000398957 -9 *1383:16 *1468:18 0.0288586 -10 *2472:la_data_out_core[109] *1383:9 0 -11 *2472:la_data_out_core[110] *1383:9 0 -12 *2473:la_data_in[55] *1383:9 0.00128379 -13 *745:7 *1383:9 0.000195317 -14 *1004:17 *1383:15 7.5909e-06 -15 *1016:8 *1383:16 0.0792531 -16 *1067:7 *1383:9 0 -17 *1076:8 *1383:15 0.00283686 -18 *1379:8 *1383:16 0.00730115 -19 *1381:10 *1383:15 0.00284109 -20 *1381:15 *1383:15 0 -21 *1381:16 *1383:16 1.41689e-05 -*RES -1 *2472:la_oenb_core[109] *1383:9 45.1214 -2 *1383:9 *1383:15 49.2544 -3 *1383:15 *1383:16 1138.22 -4 *1383:16 *2473:la_oenb[109] 39.2591 -*END - -*D_NET *1384 0.0357462 -*CONN -*I *2473:la_oenb[10] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[10] O *D mgmt_protect -*CAP -1 *2473:la_oenb[10] 0.00134823 -2 *2472:la_oenb_core[10] 0.00176953 -3 *1384:8 0.00231276 -4 *1384:7 0.000964521 -5 *1384:5 0.00176953 -6 *1384:5 *2473:la_oenb[17] 0.000231941 -7 *2472:la_data_out_core[10] *1384:5 0 -8 *744:8 *1384:8 0.000313928 -9 *755:5 *1384:5 0 -10 *755:8 *1384:8 0.0135388 -11 *1000:8 *1384:8 0.000591248 -12 *1011:8 *1384:8 0.0129057 -*RES -1 *2472:la_oenb_core[10] *1384:5 48.8776 -2 *1384:5 *1384:7 4.5 -3 *1384:7 *1384:8 149.357 -4 *1384:8 *2473:la_oenb[10] 39.6743 -*END - -*D_NET *1385 0.256092 -*CONN -*I *2473:la_oenb[110] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[110] O *D mgmt_protect -*CAP -1 *2473:la_oenb[110] 0.00225248 -2 *2472:la_oenb_core[110] 0.0015084 -3 *1385:10 0.00225248 -4 *1385:8 0.00806445 -5 *1385:7 0.00957285 -6 *1385:8 *1388:8 0.0124681 -7 *1385:8 *1389:8 0.109557 -8 *2472:la_data_out_core[110] *1385:7 0 -9 *2472:la_data_out_core[111] *1385:7 0 -10 *746:7 *1385:7 0 -11 *1069:10 *1385:8 0.00128951 -12 *1069:12 *1385:8 0.000171288 -13 *1070:13 *1385:7 0.000373157 -14 *1382:8 *1385:8 0.108582 -*RES -1 *2472:la_oenb_core[110] *1385:7 36.3523 -2 *1385:7 *1385:8 1183.14 -3 *1385:8 *1385:10 4.5 -4 *1385:10 *2473:la_oenb[110] 52.1996 -*END - -*D_NET *1386 0.255932 -*CONN -*I *2473:la_oenb[111] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[111] O *D mgmt_protect -*CAP -1 *2473:la_oenb[111] 0.00153341 -2 *2472:la_oenb_core[111] 0.00199938 -3 *1386:16 0.00924623 -4 *1386:15 0.00819727 -5 *1386:9 0.00248382 -6 *1386:15 *1477:10 0.000535212 -7 *1386:16 *1387:12 0.112261 -8 *1386:16 *1391:8 0.00713709 -9 *1386:16 *1468:16 7.92757e-06 -10 *2472:la_data_out_core[111] *1386:9 0 -11 *2472:la_data_out_core[112] *1386:9 0 -12 *2473:la_data_in[56] *1386:9 0 -13 *747:7 *1386:9 0.000285554 -14 *1004:17 *1386:15 0.000492649 -15 *1016:8 *1386:16 0.00182431 -16 *1379:8 *1386:16 0.000110257 -17 *1381:10 *1386:15 0.00120988 -18 *1383:15 *1386:15 0.00045075 -19 *1383:16 *1386:16 0.108157 -*RES -1 *2472:la_oenb_core[111] *1386:9 44.8527 -2 *1386:9 *1386:15 32.477 -3 *1386:15 *1386:16 1181.47 -4 *1386:16 *2473:la_oenb[111] 39.6743 -*END - -*D_NET *1387 0.260281 -*CONN -*I *2473:la_oenb[112] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[112] O *D mgmt_protect -*CAP -1 *2473:la_oenb[112] 0.00155211 -2 *2472:la_oenb_core[112] 0.00247658 -3 *1387:12 0.00949224 -4 *1387:10 0.00804108 -5 *1387:5 0.00257754 -6 *1387:10 *1452:10 1.41689e-05 -7 *1387:10 *1468:16 7.45288e-05 -8 *1387:10 *1494:14 0.000277502 -9 *1387:12 *1390:8 0.112652 -10 *1387:12 *1391:8 0.0081727 -11 *1387:12 *1452:10 0.000625682 -12 *1387:12 *1465:14 0.000482046 -13 *1387:12 *1468:16 2.77625e-06 -14 *2472:la_data_out_core[112] *1387:5 0 -15 *2473:la_data_in[56] *1387:5 0.000404197 -16 *748:7 *1387:5 0 -17 *1016:8 *1387:12 0.000534616 -18 *1068:7 *1387:5 0 -19 *1068:8 *1387:10 0.000538827 -20 *1379:8 *1387:12 0.000101365 -21 *1386:16 *1387:12 0.112261 -*RES -1 *2472:la_oenb_core[112] *1387:5 48.8776 -2 *1387:5 *1387:10 11.3481 -3 *1387:10 *1387:12 1203.66 -4 *1387:12 *2473:la_oenb[112] 40.0896 -*END - -*D_NET *1388 0.304082 -*CONN -*I *2473:la_oenb[113] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[113] O *D mgmt_protect -*CAP -1 *2473:la_oenb[113] 0.00222649 -2 *2472:la_oenb_core[113] 0.0016365 -3 *1388:10 0.00222649 -4 *1388:8 0.0147882 -5 *1388:7 0.0164247 -6 *1388:8 *1398:8 0.125304 -7 *749:7 *1388:7 0 -8 *813:11 *1388:7 0 -9 *1004:17 *1388:7 0 -10 *1103:8 *1388:8 0.00137859 -11 *1380:8 *1388:8 0.116796 -12 *1382:8 *1388:8 0.0108327 -13 *1385:8 *1388:8 0.0124681 -*RES -1 *2472:la_oenb_core[113] *1388:7 35.0134 -2 *1388:7 *1388:8 168.711 -3 *1388:8 *1388:10 3.36879 -4 *1388:10 *2473:la_oenb[113] 52.4073 -*END - -*D_NET *1389 0.264086 -*CONN -*I *2473:la_oenb[114] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[114] O *D mgmt_protect -*CAP -1 *2473:la_oenb[114] 0.00223157 -2 *2472:la_oenb_core[114] 0.00164267 -3 *1389:10 0.00223157 -4 *1389:8 0.00898476 -5 *1389:7 0.0106274 -6 *1389:8 *1392:8 0.115452 -7 *1389:8 *1398:8 0.0119551 -8 *1389:8 *1453:12 0.000301452 -9 *1389:8 *1455:8 0.000150716 -10 *2472:la_data_out_core[114] *1389:7 0 -11 *2472:la_data_out_core[115] *1389:7 0 -12 *750:7 *1389:7 0 -13 *813:11 *1389:7 0 -14 *1069:10 *1389:8 0.000950798 -15 *1385:8 *1389:8 0.109557 -*RES -1 *2472:la_oenb_core[114] *1389:7 35.937 -2 *1389:7 *1389:8 1235.83 -3 *1389:8 *1389:10 4.5 -4 *1389:10 *2473:la_oenb[114] 52.6149 -*END - -*D_NET *1390 0.265375 -*CONN -*I *2473:la_oenb[115] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[115] O *D mgmt_protect -*CAP -1 *2473:la_oenb[115] 0.00155387 -2 *2472:la_oenb_core[115] 0.00263214 -3 *1390:8 0.0101056 -4 *1390:7 0.00855175 -5 *1390:5 0.00263214 -6 *1390:8 *1391:8 0.00012601 -7 *1390:8 *1393:14 0.0002798 -8 *1390:8 *1399:8 0.00756682 -9 *1390:8 *1465:14 0.0231575 -10 *2472:la_data_out_core[115] *1390:5 0 -11 *751:7 *1390:5 0 -12 *1016:8 *1390:8 0.00113035 -13 *1019:8 *1390:8 0.0949867 -14 *1069:5 *1390:5 0 -15 *1387:12 *1390:8 0.112652 -*RES -1 *2472:la_oenb_core[115] *1390:5 48.0471 -2 *1390:5 *1390:7 4.5 -3 *1390:7 *1390:8 1249.69 -4 *1390:8 *2473:la_oenb[115] 40.5048 -*END - -*D_NET *1391 0.313894 -*CONN -*I *2473:la_oenb[116] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[116] O *D mgmt_protect -*CAP -1 *2473:la_oenb[116] 0.00153864 -2 *2472:la_oenb_core[116] 0.00255752 -3 *1391:8 0.0235536 -4 *1391:7 0.0220149 -5 *1391:5 0.00255752 -6 *1391:5 *2473:la_oenb[57] 0.000286862 -7 *1391:8 *1393:14 0.000104638 -8 *1391:8 *1399:8 0.131141 -9 *1391:8 *1465:14 0.000115848 -10 *1391:8 *1490:10 0.00107487 -11 *2472:la_data_out_core[116] *1391:5 0 -12 *751:7 *1391:5 0 -13 *752:5 *1391:5 0 -14 *1014:8 *1391:8 0.000653639 -15 *1016:8 *1391:8 0.000739113 -16 *1379:8 *1391:8 0.111586 -17 *1381:16 *1391:8 0.000135387 -18 *1383:16 *1391:8 0.000398957 -19 *1386:16 *1391:8 0.00713709 -20 *1387:12 *1391:8 0.0081727 -21 *1390:8 *1391:8 0.00012601 -*RES -1 *2472:la_oenb_core[116] *1391:5 48.2547 -2 *1391:5 *1391:7 3.36879 -3 *1391:7 *1391:8 174.142 -4 *1391:8 *2473:la_oenb[116] 39.166 -*END - -*D_NET *1392 0.276168 -*CONN -*I *2473:la_oenb[117] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[117] O *D mgmt_protect -*CAP -1 *2473:la_oenb[117] 0.00219785 -2 *2472:la_oenb_core[117] 0.00131999 -3 *1392:10 0.00219785 -4 *1392:8 0.00888599 -5 *1392:7 0.010206 -6 *1392:8 *1394:8 0.120092 -7 *1392:8 *1398:8 0.0142009 -8 *1392:8 *1455:8 0.000139764 -9 *1392:8 *1455:14 0.000293761 -10 *2472:la_data_out_core[117] *1392:7 0 -11 *2473:la_data_in[58] *1392:7 0.00118109 -12 *753:7 *1392:7 0 -13 *1389:8 *1392:8 0.115452 -*RES -1 *2472:la_oenb_core[117] *1392:7 35.5218 -2 *1392:7 *1392:8 1276.31 -3 *1392:8 *1392:10 4.5 -4 *1392:10 *2473:la_oenb[117] 53.0301 -*END - -*D_NET *1393 0.275906 -*CONN -*I *2473:la_oenb[118] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[118] O *D mgmt_protect -*CAP -1 *2473:la_oenb[118] 0.00155738 -2 *2472:la_oenb_core[118] 0.00125798 -3 *1393:14 0.009828 -4 *1393:13 0.00961488 -5 *1393:10 0.00260224 -6 *1393:10 *1458:14 0.000203727 -7 *1393:13 *1394:7 0.000175504 -8 *1393:14 *1397:14 0.113743 -9 *1393:14 *1399:8 0.00010238 -10 *1393:14 *1401:10 0.00351861 -11 *1393:14 *1401:12 1.41689e-05 -12 *1393:14 *1457:12 0.00119797 -13 *1393:14 *1459:8 0.000156904 -14 *1393:14 *1465:14 0.0200461 -15 *1393:14 *1646:8 0.00823899 -16 *2472:la_data_out_core[118] *1393:10 0 -17 *2472:la_data_out_core[119] *1393:13 0 -18 *753:7 *1393:10 0 -19 *754:5 *1393:10 0 -20 *756:5 *1393:13 0.000247277 -21 *856:8 *1393:10 0.000842351 -22 *1019:8 *1393:14 0.10107 -23 *1071:8 *1393:14 0.00110368 -24 *1390:8 *1393:14 0.0002798 -25 *1391:8 *1393:14 0.000104638 -*RES -1 *2472:la_oenb_core[118] *1393:10 39.0982 -2 *1393:10 *1393:13 30.8319 -3 *1393:13 *1393:14 1280.19 -4 *1393:14 *2473:la_oenb[118] 41.3353 -*END - -*D_NET *1394 0.282264 -*CONN -*I *2473:la_oenb[119] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[119] O *D mgmt_protect -*CAP -1 *2473:la_oenb[119] 0.00217475 -2 *2472:la_oenb_core[119] 0.00156694 -3 *1394:10 0.00217475 -4 *1394:8 0.00858894 -5 *1394:7 0.0101559 -6 *1394:8 *1396:8 0.123398 -7 *1394:8 *1400:8 0.0136061 -8 *1394:8 *1455:14 0.000332046 -9 *2472:la_data_out_core[119] *1394:7 0 -10 *756:5 *1394:7 0 -11 *1392:8 *1394:8 0.120092 -12 *1393:13 *1394:7 0.000175504 -*RES -1 *2472:la_oenb_core[119] *1394:7 35.1065 -2 *1394:7 *1394:8 1302.93 -3 *1394:8 *1394:10 4.5 -4 *1394:10 *2473:la_oenb[119] 53.4454 -*END - -*D_NET *1395 0.0301921 -*CONN -*I *2473:la_oenb[11] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[11] O *D mgmt_protect -*CAP -1 *2473:la_oenb[11] 0.0012684 -2 *2472:la_oenb_core[11] 0.00185498 -3 *1395:8 0.00259428 -4 *1395:7 0.00132588 -5 *1395:5 0.00185498 -6 *1395:8 *1405:8 0.00891622 -7 *2472:la_data_out_core[11] *1395:5 0 -8 *2473:la_data_in[18] *1395:5 0.000182519 -9 *764:5 *1395:5 0 -10 *764:8 *1395:8 0.000936869 -11 *765:10 *1395:8 0.0102397 -12 *1000:8 *1395:8 0.000183679 -13 *1020:8 *1395:8 0.000544848 -14 *1021:8 *1395:8 0.00028978 -*RES -1 *2472:la_oenb_core[11] *1395:5 50.9539 -2 *1395:5 *1395:7 4.5 -3 *1395:7 *1395:8 136.601 -4 *1395:8 *2473:la_oenb[11] 37.598 -*END - -*D_NET *1396 0.286307 -*CONN -*I *2473:la_oenb[120] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[120] O *D mgmt_protect -*CAP -1 *2473:la_oenb[120] 0.00217802 -2 *2472:la_oenb_core[120] 0.00160867 -3 *1396:10 0.00217802 -4 *1396:8 0.00851259 -5 *1396:7 0.0101213 -6 *1396:8 *1400:8 0.0153037 -7 *1396:8 *1402:8 0.120898 -8 *1396:8 *1455:14 0.00109722 -9 *1396:8 *1475:8 0.000650226 -10 *2472:la_data_out_core[120] *1396:7 0 -11 *757:5 *1396:7 0 -12 *759:10 *1396:8 0.000361243 -13 *815:11 *1396:7 0 -14 *1394:8 *1396:8 0.123398 -*RES -1 *2472:la_oenb_core[120] *1396:7 34.6913 -2 *1396:7 *1396:8 1315.69 -3 *1396:8 *1396:10 4.5 -4 *1396:10 *2473:la_oenb[120] 53.8607 -*END - -*D_NET *1397 0.281848 -*CONN -*I *2473:la_oenb[121] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[121] O *D mgmt_protect -*CAP -1 *2473:la_oenb[121] 0.00152441 -2 *2472:la_oenb_core[121] 0.00163893 -3 *1397:14 0.00952013 -4 *1397:13 0.00847676 -5 *1397:8 0.00161917 -6 *1397:7 0.00277707 -7 *1397:8 *1477:10 0.00116739 -8 *1397:13 *2473:la_oenb[62] 6.16595e-06 -9 *1397:14 *1401:12 0.119891 -10 *1397:14 *1646:8 0.0101918 -11 *758:5 *1397:7 0 -12 *1013:11 *1397:7 0.00192124 -13 *1019:8 *1397:14 0.00278118 -14 *1076:8 *1397:8 0.00658974 -15 *1393:14 *1397:14 0.113743 -*RES -1 *2472:la_oenb_core[121] *1397:7 43.8268 -2 *1397:7 *1397:8 70.0488 -3 *1397:8 *1397:13 16.6455 -4 *1397:13 *1397:14 1259.67 -5 *1397:14 *2473:la_oenb[121] 41.7506 -*END - -*D_NET *1398 0.33194 -*CONN -*I *2473:la_oenb[122] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[122] O *D mgmt_protect -*CAP -1 *2473:la_oenb[122] 0.00210045 -2 *2472:la_oenb_core[122] 0.00165369 -3 *1398:10 0.00210045 -4 *1398:8 0.0140178 -5 *1398:7 0.0156714 -6 *1398:8 *1400:8 0.144522 -7 *2472:la_data_out_core[122] *1398:7 0 -8 *2472:la_data_out_core[123] *1398:7 0 -9 *758:5 *1398:7 0 -10 *759:10 *1398:7 0 -11 *1103:8 *1398:8 0.000414164 -12 *1388:8 *1398:8 0.125304 -13 *1389:8 *1398:8 0.0119551 -14 *1392:8 *1398:8 0.0142009 -*RES -1 *2472:la_oenb_core[122] *1398:7 34.1829 -2 *1398:7 *1398:8 185.156 -3 *1398:8 *1398:10 3.36879 -4 *1398:10 *2473:la_oenb[122] 53.2378 -*END - -*D_NET *1399 0.340531 -*CONN -*I *2473:la_oenb[123] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[123] O *D mgmt_protect -*CAP -1 *2473:la_oenb[123] 0.00145807 -2 *2472:la_oenb_core[123] 0.0026525 -3 *1399:8 0.0199936 -4 *1399:7 0.0185355 -5 *1399:5 0.0026525 -6 *1399:8 *1403:8 0.000121359 -7 *1399:8 *1465:14 0.00147281 -8 *1399:8 *1490:10 0.000828329 -9 *1399:8 *1646:8 0.143709 -10 *2472:la_data_out_core[123] *1399:5 0 -11 *760:5 *1399:5 0 -12 *1016:8 *1399:8 0.000950405 -13 *1019:8 *1399:8 0.00934631 -14 *1390:8 *1399:8 0.00756682 -15 *1391:8 *1399:8 0.131141 -16 *1393:14 *1399:8 0.00010238 -*RES -1 *2472:la_oenb_core[123] *1399:5 47.4242 -2 *1399:5 *1399:7 3.36879 -3 *1399:7 *1399:8 187.068 -4 *1399:8 *2473:la_oenb[123] 39.9965 -*END - -*D_NET *1400 0.35084 -*CONN -*I *2473:la_oenb[124] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[124] O *D mgmt_protect -*CAP -1 *2473:la_oenb[124] 0.00209024 -2 *2472:la_oenb_core[124] 0.00162096 -3 *1400:10 0.00209024 -4 *1400:8 0.0113032 -5 *1400:7 0.0129242 -6 *1400:8 *2413:8 0.146097 -7 *2472:la_data_out_core[124] *1400:7 0 -8 *759:13 *1400:7 0 -9 *760:5 *1400:7 0 -10 *761:5 *1400:7 0 -11 *1103:8 *1400:8 0.00128357 -12 *1394:8 *1400:8 0.0136061 -13 *1396:8 *1400:8 0.0153037 -14 *1398:8 *1400:8 0.144522 -*RES -1 *2472:la_oenb_core[124] *1400:7 33.3524 -2 *1400:7 *1400:8 188.904 -3 *1400:8 *1400:10 3.36879 -4 *1400:10 *2473:la_oenb[124] 54.0683 -*END - -*D_NET *1401 0.292978 -*CONN -*I *2473:la_oenb[125] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[125] O *D mgmt_protect -*CAP -1 *2473:la_oenb[125] 0.00147047 -2 *2472:la_oenb_core[125] 0.00263758 -3 *1401:12 0.0103698 -4 *1401:10 0.00927647 -5 *1401:5 0.00301469 -6 *1401:10 *1459:8 0.00100217 -7 *1401:10 *1646:8 0.000133887 -8 *1401:10 *2414:8 1.67988e-05 -9 *1401:10 *2414:14 0.0011774 -10 *1401:12 *1403:8 0.0101881 -11 *1401:12 *2414:8 0.128107 -12 *2472:la_data_out_core[125] *1401:5 0 -13 *761:5 *1401:5 0 -14 *762:5 *1401:5 0 -15 *1019:8 *1401:12 0.00215947 -16 *1393:14 *1401:10 0.00351861 -17 *1393:14 *1401:12 1.41689e-05 -18 *1397:14 *1401:12 0.119891 -*RES -1 *2472:la_oenb_core[125] *1401:5 46.8014 -2 *1401:5 *1401:10 42.4059 -3 *1401:10 *1401:12 1345.64 -4 *1401:12 *2473:la_oenb[125] 42.1658 -*END - -*D_NET *1402 0.296674 -*CONN -*I *2473:la_oenb[126] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[126] O *D mgmt_protect -*CAP -1 *2473:la_oenb[126] 0.00205293 -2 *2472:la_oenb_core[126] 0.00148266 -3 *1402:10 0.00205293 -4 *1402:8 0.010278 -5 *1402:7 0.0117606 -6 *1402:8 *1475:8 0.00076823 -7 *1402:8 *2413:8 0.0155613 -8 *1402:8 *2415:10 0.131446 -9 *2472:la_data_out_core[126] *1402:7 0 -10 *763:5 *1402:7 0 -11 *1074:5 *1402:7 0.00037344 -12 *1396:8 *1402:8 0.120898 -*RES -1 *2472:la_oenb_core[126] *1402:7 34.276 -2 *1402:7 *1402:8 1396.11 -3 *1402:8 *1402:10 4.5 -4 *1402:10 *2473:la_oenb[126] 54.2759 -*END - -*D_NET *1403 0.324367 -*CONN -*I *2473:la_oenb[127] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[127] O *D mgmt_protect -*CAP -1 *2473:la_oenb[127] 0.00146862 -2 *2472:la_oenb_core[127] 0.00252088 -3 *1403:8 0.0325862 -4 *1403:7 0.0336385 -5 *1403:7 *2473:la_oenb[61] 0.000193734 -6 *1403:7 *1646:7 0 -7 *1403:8 *1490:10 0.0672204 -8 *1403:8 *1646:8 0.15304 -9 *1403:8 *2414:8 0.0152772 -10 *2472:la_data_out_core[127] *1403:7 0 -11 *763:5 *1403:7 0 -12 *1007:8 *1403:8 0.00811257 -13 *1108:8 *1403:8 0 -14 *1399:8 *1403:8 0.000121359 -15 *1401:12 *1403:8 0.0101881 -*RES -1 *2472:la_oenb_core[127] *1403:7 49.132 -2 *1403:7 *1403:8 194.411 -3 *1403:8 *2473:la_oenb[127] 41.6575 -*END - -*D_NET *1404 0.02897 -*CONN -*I *2473:la_oenb[12] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[12] O *D mgmt_protect -*CAP -1 *2473:la_oenb[12] 0.00120998 -2 *2472:la_oenb_core[12] 0.0018952 -3 *1404:8 0.00257295 -4 *1404:7 0.00136297 -5 *1404:5 0.0018952 -6 *1404:5 *2473:la_oenb[18] 0 -7 *2472:la_data_out_core[12] *1404:5 0 -8 *765:7 *1404:5 0 -9 *1020:8 *1404:8 0.011446 -10 *1021:8 *1404:8 8.14875e-05 -11 *1022:8 *1404:8 0.00827893 -12 *1026:7 *1404:5 0.000227207 -*RES -1 *2472:la_oenb_core[12] *1404:5 52.6149 -2 *1404:5 *1404:7 4.5 -3 *1404:7 *1404:8 122.736 -4 *1404:8 *2473:la_oenb[12] 35.937 -*END - -*D_NET *1405 0.0278375 -*CONN -*I *2473:la_oenb[13] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[13] O *D mgmt_protect -*CAP -1 *2473:la_oenb[13] 0.00127429 -2 *2472:la_oenb_core[13] 0.00193456 -3 *1405:8 0.00213938 -4 *1405:7 0.000865093 -5 *1405:5 0.00193456 -6 *2473:la_data_in[19] *1405:5 0 -7 *765:10 *1405:8 0.000525216 -8 *766:5 *1405:5 0 -9 *766:8 *1405:8 0 -10 *1021:8 *1405:8 0.0102139 -11 *1021:11 *1405:5 0 -12 *1022:8 *1405:8 3.42853e-05 -13 *1395:8 *1405:8 0.00891622 -*RES -1 *2472:la_oenb_core[13] *1405:5 51.3691 -2 *1405:5 *1405:7 4.5 -3 *1405:7 *1405:8 109.98 -4 *1405:8 *2473:la_oenb[13] 37.1828 -*END - -*D_NET *1406 0.0196321 -*CONN -*I *2473:la_oenb[14] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[14] O *D mgmt_protect -*CAP -1 *2473:la_oenb[14] 0.00118077 -2 *2472:la_oenb_core[14] 0.00201102 -3 *1406:8 0.00335337 -4 *1406:7 0.00217261 -5 *1406:5 0.00201102 -6 *2472:la_data_out_core[14] *1406:5 0 -7 *2472:la_data_out_core[15] *1406:5 0 -8 *767:5 *1406:5 0 -9 *1022:8 *1406:8 0.00890333 -*RES -1 *2472:la_oenb_core[14] *1406:5 53.4454 -2 *1406:5 *1406:7 4.5 -3 *1406:7 *1406:8 96.6698 -4 *1406:8 *2473:la_oenb[14] 35.1065 -*END - -*D_NET *1407 0.0222226 -*CONN -*I *2473:la_oenb[15] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[15] O *D mgmt_protect -*CAP -1 *2473:la_oenb[15] 0.00155977 -2 *2472:la_oenb_core[15] 0.00172426 -3 *1407:8 0.00252459 -4 *1407:7 0.000964825 -5 *1407:5 0.00172426 -6 *2472:la_data_out_core[15] *1407:5 0 -7 *2472:la_data_out_core[16] *1407:5 0 -8 *767:8 *1407:8 0.00722852 -9 *768:7 *1407:5 0 -10 *1023:12 *1407:8 6.44576e-05 -11 *1024:8 *1407:8 0.00643191 -*RES -1 *2472:la_oenb_core[15] *1407:5 45.9709 -2 *1407:5 *1407:7 4.5 -3 *1407:7 *1407:8 82.8047 -4 *1407:8 *2473:la_oenb[15] 42.5811 -*END - -*D_NET *1408 0.0158592 -*CONN -*I *2473:la_oenb[16] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[16] O *D mgmt_protect -*CAP -1 *2473:la_oenb[16] 0.00169025 -2 *2472:la_oenb_core[16] 0.00154596 -3 *1408:8 0.00302589 -4 *1408:7 0.0028816 -5 *2472:la_data_out_core[16] *1408:7 0 -6 *768:8 *1408:8 0.00152165 -7 *769:7 *1408:7 0 -8 *769:8 *1408:8 0 -9 *1024:8 *1408:8 0 -10 *1025:8 *1408:8 0.00519379 -11 *1026:8 *1408:8 0 -*RES -1 *2472:la_oenb_core[16] *1408:7 47.1488 -2 *1408:7 *1408:8 70.0488 -3 *1408:8 *2473:la_oenb[16] 45.9031 -*END - -*D_NET *1409 0.0166846 -*CONN -*I *2473:la_oenb[17] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[17] O *D mgmt_protect -*CAP -1 *2473:la_oenb[17] 0.00155003 -2 *2472:la_oenb_core[17] 0.00126804 -3 *1409:8 0.00227775 -4 *1409:7 0.00199576 -5 *1409:8 *1410:10 0.00328262 -6 *2472:la_data_out_core[11] *2473:la_oenb[17] 0 -7 *2472:la_data_out_core[17] *1409:7 0.000897663 -8 *755:5 *2473:la_oenb[17] 0 -9 *769:8 *1409:8 0.0047116 -10 *770:7 *1409:7 0 -11 *770:8 *1409:8 0.000326185 -12 *773:10 *1409:8 3.40535e-05 -13 *1025:8 *1409:8 0.000108945 -14 *1384:5 *2473:la_oenb[17] 0.000231941 -*RES -1 *2472:la_oenb_core[17] *1409:7 49.2251 -2 *1409:7 *1409:8 56.1838 -3 *1409:8 *2473:la_oenb[17] 43.8268 -*END - -*D_NET *1410 0.0147724 -*CONN -*I *2473:la_oenb[18] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[18] O *D mgmt_protect -*CAP -1 *2473:la_oenb[18] 0.00139324 -2 *2472:la_oenb_core[18] 0.00161022 -3 *1410:10 0.00188344 -4 *1410:7 0.00210041 -5 *1410:10 *1413:10 9.18559e-06 -6 *2472:la_data_out_core[18] *1410:7 0 -7 *765:7 *2473:la_oenb[18] 0.000362171 -8 *770:7 *1410:7 0 -9 *770:8 *1410:10 0.000291294 -10 *771:7 *1410:7 0 -11 *771:10 *1410:10 0.00340818 -12 *773:10 *1410:10 0 -13 *1021:11 *2473:la_oenb[18] 0.000431615 -14 *1404:5 *2473:la_oenb[18] 0 -15 *1409:8 *1410:10 0.00328262 -*RES -1 *2472:la_oenb_core[18] *1410:7 48.8099 -2 *1410:7 *1410:10 47.9279 -3 *1410:10 *2473:la_oenb[18] 39.7421 -*END - -*D_NET *1411 0.0113395 -*CONN -*I *2473:la_oenb[19] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[19] O *D mgmt_protect -*CAP -1 *2473:la_oenb[19] 0.00116616 -2 *2472:la_oenb_core[19] 0.00179056 -3 *1411:10 0.00162028 -4 *1411:5 0.00224468 -5 *2472:la_data_out_core[19] *1411:5 0 -6 *2472:la_data_out_core[20] *1411:5 0 -7 *2473:la_data_in[21] *1411:5 0.000483512 -8 *773:5 *1411:5 0 -9 *1027:10 *1411:10 0.00254822 -10 *1029:10 *1411:10 0.00148607 -*RES -1 *2472:la_oenb_core[19] *1411:5 53.8607 -2 *1411:5 *1411:10 38.5628 -3 *1411:10 *2473:la_oenb[19] 30.1913 -*END - -*D_NET *1412 0.0543624 -*CONN -*I *2473:la_oenb[1] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[1] O *D mgmt_protect -*CAP -1 *2473:la_oenb[1] 0.00178888 -2 *2472:la_oenb_core[1] 0.00172881 -3 *1412:8 0.00550203 -4 *1412:7 0.00544195 -5 *2473:la_oenb[1] *1692:11 8.8054e-05 -6 *1412:8 *1631:8 0.00020979 -7 *1412:8 *1633:8 3.04269e-05 -8 *1412:8 *1637:8 0 -9 *1412:8 *1698:8 0.0190352 -10 *1412:8 *1700:18 0.000270207 -11 *1412:8 *1702:8 0 -12 *1412:8 *1763:8 0.0200465 -13 *1412:8 *1769:8 0 -14 *1412:8 *1776:8 0.000220514 -15 *2472:la_data_out_core[1] *1412:7 0 -16 *766:14 *1412:8 0 -17 *772:5 *1412:7 0 -18 *772:8 *1412:8 0 -19 *783:7 *1412:7 0 -*RES -1 *2472:la_oenb_core[1] *1412:7 47.9793 -2 *1412:7 *1412:8 269.706 -3 *1412:8 *2473:la_oenb[1] 45.0726 -*END - -*D_NET *1413 0.00783379 -*CONN -*I *2473:la_oenb[20] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[20] O *D mgmt_protect -*CAP -1 *2473:la_oenb[20] 0.00147094 -2 *2472:la_oenb_core[20] 0.00166861 -3 *1413:10 0.00200227 -4 *1413:5 0.00219993 -5 *2472:la_data_out_core[19] *2473:la_oenb[20] 0 -6 *2472:la_data_out_core[20] *1413:5 0 -7 *2472:la_data_out_core[21] *1413:5 0 -8 *771:10 *1413:10 1.22938e-05 -9 *773:10 *1413:10 0.000457836 -10 *774:7 *1413:5 0 -11 *1030:7 *1413:5 0 -12 *1030:10 *1413:10 1.27226e-05 -13 *1410:10 *1413:10 9.18559e-06 -*RES -1 *2472:la_oenb_core[20] *1413:5 45.9709 -2 *1413:5 *1413:10 25.8069 -3 *1413:10 *2473:la_oenb[20] 38.0811 -*END - -*D_NET *1414 0.00675766 -*CONN -*I *2473:la_oenb[21] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[21] O *D mgmt_protect -*CAP -1 *2473:la_oenb[21] 0.000286792 -2 *2472:la_oenb_core[21] 0.00309204 -3 *1414:5 0.00337883 -4 *2472:la_data_out_core[21] *1414:5 0 -5 *775:5 *1414:5 0 -*RES -1 *2472:la_oenb_core[21] *1414:5 83.9664 -2 *1414:5 *2473:la_oenb[21] 2.89455 -*END - -*D_NET *1415 0.00735542 -*CONN -*I *2473:la_oenb[22] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[22] O *D mgmt_protect -*CAP -1 *2473:la_oenb[22] 0.00175498 -2 *2472:la_oenb_core[22] 0.00134687 -3 *1415:10 0.00201706 -4 *1415:7 0.00160895 -5 *1415:10 *1416:10 0.000220183 -6 *2472:la_data_out_core[22] *1415:7 0 -7 *2472:la_data_out_core[23] *1415:7 0 -8 *776:8 *1415:7 0 -9 *777:7 *2473:la_oenb[22] 8.62625e-06 -10 *1031:10 *1415:10 0.000277502 -11 *1032:10 *1415:10 0.000121243 -*RES -1 *2472:la_oenb_core[22] *1415:7 41.7506 -2 *1415:7 *1415:10 14.6517 -3 *1415:10 *2473:la_oenb[22] 46.8014 -*END - -*D_NET *1416 0.00956404 -*CONN -*I *2473:la_oenb[23] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[23] O *D mgmt_protect -*CAP -1 *2473:la_oenb[23] 0.00173576 -2 *2472:la_oenb_core[23] 0.00138434 -3 *1416:10 0.00227656 -4 *1416:7 0.00192514 -5 *2472:la_data_out_core[23] *1416:7 0 -6 *777:7 *1416:7 0 -7 *777:10 *1416:10 0.00201716 -8 *1032:10 *1416:10 4.89898e-06 -9 *1415:10 *1416:10 0.000220183 -*RES -1 *2472:la_oenb_core[23] *1416:7 42.1658 -2 *1416:7 *1416:10 28.5167 -3 *1416:10 *2473:la_oenb[23] 46.3861 -*END - -*D_NET *1417 0.0111734 -*CONN -*I *2473:la_oenb[24] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[24] O *D mgmt_protect -*CAP -1 *2473:la_oenb[24] 0.00185353 -2 *2472:la_oenb_core[24] 0.00121114 -3 *1417:10 0.00265185 -4 *1417:7 0.00200946 -5 *2473:la_oenb[24] *1421:7 0 -6 *2472:la_data_out_core[24] *1417:7 0 -7 *2472:la_data_out_core[29] *2473:la_oenb[24] 7.50872e-05 -8 *2473:la_data_in[23] *1417:7 0.000163304 -9 *778:7 *1417:7 0 -10 *778:10 *1417:10 0.000185642 -11 *782:13 *1417:10 2.93863e-05 -12 *1032:10 *1417:10 5.82695e-05 -13 *1034:8 *1417:10 0.00280311 -14 *1035:14 *1417:10 0.000132587 -*RES -1 *2472:la_oenb_core[24] *1417:7 39.2591 -2 *1417:7 *1417:10 41.2726 -3 *1417:10 *2473:la_oenb[24] 49.2929 -*END - -*D_NET *1418 0.014394 -*CONN -*I *2473:la_oenb[25] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[25] O *D mgmt_protect -*CAP -1 *2473:la_oenb[25] 0.00214521 -2 *2472:la_oenb_core[25] 0.000614721 -3 *1418:10 0.00214521 -4 *1418:8 0.00122559 -5 *1418:7 0.00184031 -6 *2473:la_oenb[25] *1425:7 0 -7 *2472:la_data_out_core[25] *1418:7 0.00165997 -8 *2472:la_data_out_core[26] *1418:7 0 -9 *2472:la_data_out_core[32] *2473:la_oenb[25] 0 -10 *779:7 *1418:7 0 -11 *779:8 *1418:8 0.00449543 -12 *786:7 *2473:la_oenb[25] 0.000267602 -*RES -1 *2472:la_oenb_core[25] *1418:7 31.3693 -2 *1418:7 *1418:8 50.6377 -3 *1418:8 *1418:10 4.5 -4 *1418:10 *2473:la_oenb[25] 57.1827 -*END - -*D_NET *1419 0.0154911 -*CONN -*I *2473:la_oenb[26] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[26] O *D mgmt_protect -*CAP -1 *2473:la_oenb[26] 0.00201973 -2 *2472:la_oenb_core[26] 0.000894111 -3 *1419:10 0.00201973 -4 *1419:8 0.00142713 -5 *1419:7 0.00232124 -6 *2473:la_oenb[26] *1428:7 0.000492241 -7 *2472:la_data_out_core[26] *1419:7 0 -8 *2472:la_data_out_core[34] *2473:la_oenb[26] 0.000355859 -9 *779:8 *1419:8 0.000289241 -10 *780:7 *1419:7 0 -11 *780:8 *1419:8 0.00567179 -*RES -1 *2472:la_oenb_core[26] *1419:7 28.8777 -2 *1419:7 *1419:8 63.3936 -3 *1419:8 *1419:10 4.5 -4 *1419:10 *2473:la_oenb[26] 59.6742 -*END - -*D_NET *1420 0.01755 -*CONN -*I *2473:la_oenb[27] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[27] O *D mgmt_protect -*CAP -1 *2473:la_oenb[27] 0.0022832 -2 *2472:la_oenb_core[27] 0.000611708 -3 *1420:10 0.0022832 -4 *1420:8 0.00157633 -5 *1420:7 0.00218804 -6 *2473:la_oenb[27] *1430:7 0 -7 *2472:la_data_out_core[27] *1420:7 0.000642344 -8 *780:7 *1420:7 0 -9 *780:8 *1420:8 0.00100572 -10 *781:7 *1420:7 0 -11 *791:13 *2473:la_oenb[27] 0.000421307 -12 *1036:8 *1420:8 0.00653818 -*RES -1 *2472:la_oenb_core[27] *1420:7 26.8015 -2 *1420:7 *1420:8 77.2587 -3 *1420:8 *1420:10 4.5 -4 *1420:10 *2473:la_oenb[27] 61.7504 -*END - -*D_NET *1421 0.020792 -*CONN -*I *2473:la_oenb[28] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[28] O *D mgmt_protect -*CAP -1 *2473:la_oenb[28] 0.00181243 -2 *2472:la_oenb_core[28] 0.00140048 -3 *1421:14 0.00181243 -4 *1421:12 0.000880908 -5 *1421:10 0.00114905 -6 *1421:7 0.00166862 -7 *2473:la_oenb[28] *1433:5 0.000299581 -8 *2472:la_data_out_core[28] *1421:7 0 -9 *2472:la_data_out_core[29] *1421:7 0 -10 *2472:la_data_out_core[39] *2473:la_oenb[28] 0 -11 *2472:la_data_out_core[40] *2473:la_oenb[28] 0 -12 *2473:la_oenb[24] *1421:7 0 -13 *778:10 *1421:10 0.000114773 -14 *782:7 *1421:7 0 -15 *1037:8 *1421:12 0.00244933 -16 *1037:14 *1421:10 0.00212788 -17 *1037:14 *1421:12 9.82896e-06 -18 *1038:8 *1421:10 9.82896e-06 -19 *1038:8 *1421:12 0.00633412 -20 *1038:14 *1421:10 0.00072275 -*RES -1 *2472:la_oenb_core[28] *1421:7 41.3353 -2 *1421:7 *1421:10 23.3416 -3 *1421:10 *1421:12 67.8304 -4 *1421:12 *1421:14 4.5 -5 *1421:14 *2473:la_oenb[28] 48.0471 -*END - -*D_NET *1422 0.0268693 -*CONN -*I *2473:la_oenb[29] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[29] O *D mgmt_protect -*CAP -1 *2473:la_oenb[29] 0.00187627 -2 *2472:la_oenb_core[29] 0.00131381 -3 *1422:10 0.00187627 -4 *1422:8 0.00100733 -5 *1422:7 0.00232114 -6 *2472:la_data_out_core[29] *1422:7 0 -7 *2472:la_data_out_core[42] *2473:la_oenb[29] 0.000297677 -8 *782:13 *1422:8 4.4379e-05 -9 *782:14 *1422:8 0.00727297 -10 *784:7 *1422:7 0 -11 *784:8 *1422:8 0.00947126 -12 *797:8 *1422:8 0 -13 *798:7 *2473:la_oenb[29] 0 -14 *1034:8 *1422:8 0.00107411 -15 *1038:8 *1422:8 0.000306383 -16 *1038:14 *1422:8 7.6719e-06 -*RES -1 *2472:la_oenb_core[29] *1422:7 39.2591 -2 *1422:7 *1422:8 103.88 -3 *1422:8 *1422:10 4.5 -4 *1422:10 *2473:la_oenb[29] 49.2929 -*END - -*D_NET *1423 0.0578039 -*CONN -*I *2473:la_oenb[2] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[2] O *D mgmt_protect -*CAP -1 *2473:la_oenb[2] 0.00243779 -2 *2472:la_oenb_core[2] 0.00111462 -3 *1423:10 0.00243779 -4 *1423:8 0.00229822 -5 *1423:7 0.00341284 -6 *2473:la_oenb[2] *2472:mprj_dat_i_user[3] 0.00028143 -7 *2473:la_oenb[2] *1638:7 2.71397e-05 -8 *2473:la_oenb[2] *1770:5 0 -9 *2473:la_oenb[2] *2189:7 0 -10 *1423:8 *1434:8 0.0220639 -11 *1423:8 *1640:8 0.000338574 -12 *2472:la_data_out_core[2] *1423:7 0 -13 *2472:la_data_out_core[3] *1423:7 0 -14 *794:7 *1423:7 0 -15 *1028:8 *1423:8 0.0231003 -16 *1050:8 *1423:8 0.000291294 -*RES -1 *2472:la_oenb_core[2] *1423:7 34.6913 -2 *1423:7 *1423:8 256.396 -3 *1423:8 *1423:10 4.5 -4 *1423:10 *2473:la_oenb[2] 53.8607 -*END - -*D_NET *1424 0.0255754 -*CONN -*I *2473:la_oenb[30] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[30] O *D mgmt_protect -*CAP -1 *2473:la_oenb[30] 0.00205224 -2 *2472:la_oenb_core[30] 0.00127 -3 *1424:10 0.00205224 -4 *1424:8 0.00132549 -5 *1424:7 0.00259549 -6 *1424:8 *1425:8 0.00379883 -7 *1424:8 *1438:8 0 -8 *2472:la_data_out_core[30] *1424:7 0 -9 *2472:la_data_out_core[31] *1424:7 0 -10 *2472:la_data_out_core[45] *2473:la_oenb[30] 4.93854e-05 -11 *782:13 *1424:8 0.000326398 -12 *785:7 *1424:7 0 -13 *1035:10 *1424:8 0.00190449 -14 *1035:14 *1424:8 1.67988e-05 -15 *1040:10 *1424:8 0.0101423 -16 *1055:8 *1424:8 4.17356e-05 -*RES -1 *2472:la_oenb_core[30] *1424:7 38.0133 -2 *1424:7 *1424:8 116.636 -3 *1424:8 *1424:10 4.5 -4 *1424:10 *2473:la_oenb[30] 50.5386 -*END - -*D_NET *1425 0.0273175 -*CONN -*I *2473:la_oenb[31] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[31] O *D mgmt_protect -*CAP -1 *2473:la_oenb[31] 0.00212352 -2 *2472:la_oenb_core[31] 0.00124321 -3 *1425:10 0.00212352 -4 *1425:8 0.00170918 -5 *1425:7 0.00295239 -6 *2473:la_oenb[31] *1442:7 5.68404e-05 -7 *1425:8 *1440:8 0 -8 *2472:la_data_out_core[31] *1425:7 0 -9 *2472:la_data_out_core[48] *2473:la_oenb[31] 0 -10 *2473:la_oenb[25] *1425:7 0 -11 *786:7 *1425:7 0 -12 *1035:10 *1425:8 0.00153275 -13 *1041:8 *1425:8 0.0115462 -14 *1042:8 *1425:8 0.000171303 -15 *1055:8 *1425:8 0 -16 *1058:8 *1425:8 5.96914e-05 -17 *1424:8 *1425:8 0.00379883 -*RES -1 *2472:la_oenb_core[31] *1425:7 37.1828 -2 *1425:7 *1425:8 130.501 -3 *1425:8 *1425:10 4.5 -4 *1425:10 *2473:la_oenb[31] 51.3691 -*END - -*D_NET *1426 0.0355502 -*CONN -*I *2473:la_oenb[32] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[32] O *D mgmt_protect -*CAP -1 *2473:la_oenb[32] 0.00208889 -2 *2472:la_oenb_core[32] 0.00109471 -3 *1426:10 0.00208889 -4 *1426:8 0.00131871 -5 *1426:7 0.00241342 -6 *2473:la_oenb[32] *1446:5 0.000447918 -7 *1426:8 *1427:8 0.000113197 -8 *2472:la_data_out_core[32] *1426:7 0 -9 *2472:la_data_out_core[50] *2473:la_oenb[32] 5.93521e-05 -10 *2473:la_data_in[26] *1426:7 0.000112532 -11 *779:8 *1426:8 0 -12 *786:8 *1426:8 0.000105652 -13 *787:7 *1426:7 0 -14 *787:8 *1426:8 0.0132199 -15 *788:8 *1426:8 0.012487 -*RES -1 *2472:la_oenb_core[32] *1426:7 34.6913 -2 *1426:7 *1426:8 143.811 -3 *1426:8 *1426:10 4.5 -4 *1426:10 *2473:la_oenb[32] 53.8607 -*END - -*D_NET *1427 0.0352572 -*CONN -*I *2473:la_oenb[33] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[33] O *D mgmt_protect -*CAP -1 *2473:la_oenb[33] 0.00228344 -2 *2472:la_oenb_core[33] 0.000849038 -3 *1427:10 0.00228344 -4 *1427:8 0.00208852 -5 *1427:7 0.00293756 -6 *2472:la_data_out_core[33] *1427:7 0.000592544 -7 *2472:la_data_out_core[53] *2473:la_oenb[33] 5.82975e-05 -8 *788:7 *1427:7 0 -9 *788:8 *1427:8 0.014488 -10 *795:8 *1427:8 0.000339591 -11 *796:8 *1427:8 0.00922354 -12 *1426:8 *1427:8 0.000113197 -*RES -1 *2472:la_oenb_core[33] *1427:7 33.8608 -2 *1427:7 *1427:8 157.122 -3 *1427:8 *1427:10 4.5 -4 *1427:10 *2473:la_oenb[33] 54.6912 -*END - -*D_NET *1428 0.0371709 -*CONN -*I *2473:la_oenb[34] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[34] O *D mgmt_protect -*CAP -1 *2473:la_oenb[34] 0.00173807 -2 *2472:la_oenb_core[34] 0.00154943 -3 *1428:14 0.00254344 -4 *1428:8 0.0022059 -5 *1428:7 0.00294995 -6 *2473:la_oenb[34] *1439:13 0 -7 *1428:8 *1431:8 0.000113197 -8 *2472:la_data_out_core[34] *1428:7 0 -9 *2473:la_oenb[26] *1428:7 0.000492241 -10 *789:7 *1428:7 0 -11 *792:8 *1428:8 0.010852 -12 *792:8 *1428:14 0.000562618 -13 *792:12 *1428:14 0.000536581 -14 *793:18 *2473:la_oenb[34] 0 -15 *1043:8 *1428:8 0.0132665 -16 *1044:8 *1428:8 0.000360915 -17 *1054:17 *2473:la_oenb[34] 0 -*RES -1 *2472:la_oenb_core[34] *1428:7 47.9793 -2 *1428:7 *1428:8 142.147 -3 *1428:8 *1428:14 33.9422 -4 *1428:14 *2473:la_oenb[34] 41.4031 -*END - -*D_NET *1429 0.042647 -*CONN -*I *2473:la_oenb[35] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[35] O *D mgmt_protect -*CAP -1 *2473:la_oenb[35] 0.00151521 -2 *2472:la_oenb_core[35] 0.00141805 -3 *1429:8 0.00297742 -4 *1429:7 0.00146222 -5 *1429:5 0.00141805 -6 *2473:la_oenb[35] *1454:5 1.77537e-06 -7 *1429:8 *1433:8 0.0142532 -8 *2472:la_data_out_core[35] *1429:5 0.00129087 -9 *2473:la_data_in[27] *1429:5 8.92568e-06 -10 *789:10 *1429:8 0.00134929 -11 *790:5 *1429:5 0 -12 *791:14 *1429:8 0.000313928 -13 *1045:8 *1429:8 0.0166381 -*RES -1 *2472:la_oenb_core[35] *1429:5 47.2166 -2 *1429:5 *1429:7 4.5 -3 *1429:7 *1429:8 183.743 -4 *1429:8 *2473:la_oenb[35] 41.3353 -*END - -*D_NET *1430 0.0466321 -*CONN -*I *2473:la_oenb[36] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[36] O *D mgmt_protect -*CAP -1 *2473:la_oenb[36] 0.00133762 -2 *2472:la_oenb_core[36] 8.20467e-05 -3 *1430:10 0.00276877 -4 *1430:9 0.00143115 -5 *1430:7 0.00191482 -6 *1430:5 0.00199687 -7 *1430:10 *1433:8 1.41689e-05 -8 *1430:10 *1446:8 1.41689e-05 -9 *1430:10 *1450:14 0.00130966 -10 *1430:10 *1452:10 0.00336573 -11 *2473:la_oenb[27] *1430:7 0 -12 *789:10 *1430:10 0.0149773 -13 *790:8 *1430:10 0.0170334 -14 *791:9 *1430:7 0 -15 *791:13 *1430:7 0 -16 *818:5 *2473:la_oenb[36] 0.000386365 -17 *1046:11 *1430:7 0 -18 *1073:17 *2473:la_oenb[36] 0 -*RES -1 *2472:la_oenb_core[36] *1430:5 2.33274 -2 *1430:5 *1430:7 48.5479 -3 *1430:7 *1430:9 4.5 -4 *1430:9 *1430:10 194.835 -5 *1430:10 *2473:la_oenb[36] 40.0896 -*END - -*D_NET *1431 0.0492101 -*CONN -*I *2473:la_oenb[37] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[37] O *D mgmt_protect -*CAP -1 *2473:la_oenb[37] 0.00168642 -2 *2472:la_oenb_core[37] 0.00131369 -3 *1431:8 0.00331124 -4 *1431:7 0.00293851 -5 *1431:8 *1439:14 0.000301452 -6 *2472:la_data_out_core[37] *1431:7 0.00123291 -7 *2473:la_data_in[28] *1431:7 0 -8 *792:7 *1431:7 0 -9 *792:8 *1431:8 0.0130166 -10 *792:12 *1431:8 9.82896e-06 -11 *793:8 *1431:8 1.19856e-05 -12 *821:11 *2473:la_oenb[37] 0 -13 *1044:8 *1431:8 0.000226394 -14 *1047:8 *1431:8 0.00572108 -15 *1047:12 *1431:8 7.02172e-06 -16 *1048:8 *1431:8 0.00650705 -17 *1048:14 *1431:8 0.0128128 -18 *1068:13 *2473:la_oenb[37] 0 -19 *1428:8 *1431:8 0.000113197 -*RES -1 *2472:la_oenb_core[37] *1431:7 48.8099 -2 *1431:7 *1431:8 210.364 -3 *1431:8 *2473:la_oenb[37] 44.2421 -*END - -*D_NET *1432 0.0375373 -*CONN -*I *2473:la_oenb[38] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[38] O *D mgmt_protect -*CAP -1 *2473:la_oenb[38] 0.00120614 -2 *2472:la_oenb_core[38] 0.0021563 -3 *1432:8 0.00629322 -4 *1432:7 0.00508708 -5 *1432:5 0.0021563 -6 *2472:la_data_out_core[38] *1432:5 0 -7 *2473:la_data_in[28] *1432:5 0 -8 *793:5 *1432:5 0 -9 *820:8 *1432:8 0 -10 *823:5 *2473:la_oenb[38] 0 -11 *824:5 *2473:la_oenb[38] 0 -12 *1037:5 *1432:5 0 -13 *1046:8 *1432:8 0 -14 *1049:8 *1432:8 0.0206383 -*RES -1 *2472:la_oenb_core[38] *1432:5 54.2759 -2 *1432:5 *1432:7 4.5 -3 *1432:7 *1432:8 223.674 -4 *1432:8 *2473:la_oenb[38] 34.276 -*END - -*D_NET *1433 0.0552907 -*CONN -*I *2473:la_oenb[39] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[39] O *D mgmt_protect -*CAP -1 *2473:la_oenb[39] 0.0013985 -2 *2472:la_oenb_core[39] 0.00179274 -3 *1433:8 0.00314942 -4 *1433:7 0.00175092 -5 *1433:5 0.00179274 -6 *2473:la_oenb[39] *1465:13 8.62625e-06 -7 *1433:8 *1452:10 0.00937219 -8 *1433:8 *1454:8 0.0079023 -9 *2472:la_data_out_core[39] *1433:5 0 -10 *2472:la_data_out_core[40] *1433:5 0 -11 *2473:la_oenb[28] *1433:5 0.000299581 -12 *789:10 *1433:8 0.0128895 -13 *791:14 *1433:8 3.59302e-05 -14 *795:7 *1433:5 0 -15 *826:5 *2473:la_oenb[39] 0.000630902 -16 *1429:8 *1433:8 0.0142532 -17 *1430:10 *1433:8 1.41689e-05 -*RES -1 *2472:la_oenb_core[39] *1433:5 47.6319 -2 *1433:5 *1433:7 4.5 -3 *1433:7 *1433:8 236.43 -4 *1433:8 *2473:la_oenb[39] 40.9201 -*END - -*D_NET *1434 0.0561452 -*CONN -*I *2473:la_oenb[3] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[3] O *D mgmt_protect -*CAP -1 *2473:la_oenb[3] 0.00226797 -2 *2472:la_oenb_core[3] 0.00112241 -3 *1434:10 0.00226797 -4 *1434:8 0.00201894 -5 *1434:7 0.00314136 -6 *2473:la_oenb[3] *2472:mprj_dat_i_user[5] 0.00017338 -7 *2473:la_oenb[3] *1772:5 0.000385299 -8 *2472:la_data_out_core[3] *1434:7 0 -9 *805:5 *1434:7 0 -10 *1050:8 *1434:8 0.0225908 -11 *1061:8 *1434:8 0.000113197 -12 *1423:8 *1434:8 0.0220639 -*RES -1 *2472:la_oenb_core[3] *1434:7 35.1065 -2 *1434:7 *1434:8 243.085 -3 *1434:8 *1434:10 4.5 -4 *1434:10 *2473:la_oenb[3] 53.4454 -*END - -*D_NET *1435 0.0557126 -*CONN -*I *2473:la_oenb[40] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[40] O *D mgmt_protect -*CAP -1 *2473:la_oenb[40] 0.00126951 -2 *2472:la_oenb_core[40] 0.00189732 -3 *1435:8 0.00360275 -4 *1435:7 0.00233324 -5 *1435:5 0.00189732 -6 *2472:la_data_out_core[40] *1435:5 0 -7 *2473:la_data_in[29] *1435:5 0.00105786 -8 *796:7 *1435:5 0 -9 *820:8 *1435:8 0 -10 *830:5 *2473:la_oenb[40] 0 -11 *1046:8 *1435:8 0 -12 *1049:8 *1435:8 0.0209505 -13 *1052:8 *1435:8 0.000298839 -14 *1053:8 *1435:8 0.0224053 -*RES -1 *2472:la_oenb_core[40] *1435:5 53.4454 -2 *1435:5 *1435:7 4.5 -3 *1435:7 *1435:8 250.295 -4 *1435:8 *2473:la_oenb[40] 35.1065 -*END - -*D_NET *1436 0.0555961 -*CONN -*I *2473:la_oenb[41] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[41] O *D mgmt_protect -*CAP -1 *2473:la_oenb[41] 0.00137667 -2 *2472:la_oenb_core[41] 0.00185211 -3 *1436:8 0.00388087 -4 *1436:7 0.0025042 -5 *1436:5 0.00185211 -6 *1436:8 *1441:8 0.0210862 -7 *2472:la_data_out_core[42] *1436:5 0 -8 *797:7 *1436:5 0 -9 *833:5 *2473:la_oenb[41] 0 -10 *1038:5 *1436:5 0.000910279 -11 *1046:8 *1436:8 0.00128833 -12 *1052:8 *1436:8 0.000903336 -13 *1052:11 *1436:5 0 -14 *1057:8 *1436:8 0.000113197 -15 *1059:8 *1436:8 0.0198288 -*RES -1 *2472:la_oenb_core[41] *1436:5 51.3691 -2 *1436:5 *1436:7 4.5 -3 *1436:7 *1436:8 263.051 -4 *1436:8 *2473:la_oenb[41] 37.1828 -*END - -*D_NET *1437 0.0497466 -*CONN -*I *2473:la_oenb[42] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[42] O *D mgmt_protect -*CAP -1 *2473:la_oenb[42] 0.0017036 -2 *2472:la_oenb_core[42] 0.000996348 -3 *1437:16 0.0017036 -4 *1437:14 0.00223728 -5 *1437:13 0.00274874 -6 *1437:8 0.00190819 -7 *1437:7 0.00239307 -8 *2473:la_oenb[42] *1474:9 5.78262e-05 -9 *1437:8 *1439:8 0.00269558 -10 *1437:8 *1448:8 0.00154564 -11 *1437:14 *1447:14 0.00183377 -12 *2472:la_data_out_core[42] *1437:7 0 -13 *2472:la_data_out_core[55] *1437:13 0 -14 *2473:la_data_in[34] *1437:13 0 -15 *795:8 *1437:8 0.000128915 -16 *797:8 *1437:14 0.0156161 -17 *798:7 *1437:7 0 -18 *798:8 *1437:14 0.000612767 -19 *835:7 *2473:la_oenb[42] 0.00127266 -20 *1054:18 *1437:8 0.00382957 -21 *1064:8 *1437:14 0.0084629 -*RES -1 *2472:la_oenb_core[42] *1437:7 31.7845 -2 *1437:7 *1437:8 96.6698 -3 *1437:8 *1437:13 19.9675 -4 *1437:13 *1437:14 180.415 -5 *1437:14 *1437:16 4.5 -6 *1437:16 *2473:la_oenb[42] 45.9709 -*END - -*D_NET *1438 0.0648337 -*CONN -*I *2473:la_oenb[43] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[43] O *D mgmt_protect -*CAP -1 *2473:la_oenb[43] 0.00212129 -2 *2472:la_oenb_core[43] 0.00142248 -3 *1438:10 0.00212129 -4 *1438:8 0.00234979 -5 *1438:7 0.00377227 -6 *2473:la_oenb[43] *1477:9 8.52802e-05 -7 *2472:la_data_out_core[43] *1438:7 0 -8 *2472:la_data_out_core[79] *2473:la_oenb[43] 0 -9 *2473:la_data_in[30] *1438:7 0 -10 *798:7 *1438:7 0 -11 *798:8 *1438:8 8.90486e-05 -12 *799:7 *1438:7 0 -13 *799:8 *1438:8 0.0263656 -14 *799:14 *1438:8 0.000119063 -15 *837:5 *2473:la_oenb[43] 0 -16 *1040:10 *1438:8 0 -17 *1055:8 *1438:8 0.000347135 -18 *1056:14 *1438:8 0.0260405 -19 *1424:8 *1438:8 0 -*RES -1 *2472:la_oenb_core[43] *1438:7 40.9201 -2 *1438:7 *1438:8 289.672 -3 *1438:8 *1438:10 4.5 -4 *1438:10 *2473:la_oenb[43] 47.6319 -*END - -*D_NET *1439 0.0467454 -*CONN -*I *2473:la_oenb[44] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[44] O *D mgmt_protect -*CAP -1 *2473:la_oenb[44] 0.00173916 -2 *2472:la_oenb_core[44] 0.000967137 -3 *1439:14 0.00470822 -4 *1439:13 0.00373346 -5 *1439:8 0.00279469 -6 *1439:7 0.00299742 -7 *2473:la_oenb[44] *1481:7 0 -8 *1439:8 *1448:8 0.00211915 -9 *1439:8 *1450:10 0.000589703 -10 *1439:14 *1459:8 0.00506317 -11 *1439:14 *1474:10 4.89898e-06 -12 *1439:14 *1476:10 0.000378805 -13 *1439:14 *1479:10 0.000146388 -14 *2472:la_data_out_core[44] *1439:7 0 -15 *2472:la_data_out_core[45] *1439:7 0 -16 *2472:la_data_out_core[55] *1439:13 0.000321771 -17 *2473:la_oenb[34] *1439:13 0 -18 *793:20 *1439:14 0.00905776 -19 *800:7 *1439:7 0 -20 *842:7 *2473:la_oenb[44] 0 -21 *1047:8 *1439:14 0.00566601 -22 *1048:8 *1439:14 0.000338561 -23 *1048:13 *1439:13 1.03986e-05 -24 *1054:8 *1439:14 0.0018007 -25 *1054:12 *1439:14 0.000562009 -26 *1064:14 *1439:8 0 -27 *1096:8 *1439:14 0.000749011 -28 *1431:8 *1439:14 0.000301452 -29 *1437:8 *1439:8 0.00269558 -*RES -1 *2472:la_oenb_core[44] *1439:7 30.954 -2 *1439:7 *1439:8 86.687 -3 *1439:8 *1439:13 27.8573 -4 *1439:13 *1439:14 217.019 -5 *1439:14 *2473:la_oenb[44] 43.4116 -*END - -*D_NET *1440 0.0703669 -*CONN -*I *2473:la_oenb[45] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[45] O *D mgmt_protect -*CAP -1 *2473:la_oenb[45] 0.00170431 -2 *2472:la_oenb_core[45] 0.00137623 -3 *1440:10 0.00170431 -4 *1440:8 0.00279427 -5 *1440:7 0.0041705 -6 *2473:la_oenb[45] *1483:9 0.000339101 -7 *1440:8 *1442:8 0.0283192 -8 *1440:8 *1480:8 9.48476e-05 -9 *1440:8 *1482:8 0.000472909 -10 *2472:la_data_out_core[45] *1440:7 0 -11 *2472:la_data_out_core[46] *1440:7 0 -12 *2473:la_data_in[31] *1440:7 0 -13 *801:7 *1440:7 0 -14 *844:7 *2473:la_oenb[45] 0.00190798 -15 *1055:8 *1440:8 0.0271235 -16 *1058:8 *1440:8 0.000113197 -17 *1095:8 *1440:8 9.00479e-06 -18 *1097:8 *1440:8 0.0002375 -19 *1425:8 *1440:8 0 -*RES -1 *2472:la_oenb_core[45] *1440:7 39.6743 -2 *1440:7 *1440:8 316.847 -3 *1440:8 *1440:10 4.5 -4 *1440:10 *2473:la_oenb[45] 48.8776 -*END - -*D_NET *1441 0.0737252 -*CONN -*I *2473:la_oenb[46] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[46] O *D mgmt_protect -*CAP -1 *2473:la_oenb[46] 0.00142252 -2 *2472:la_oenb_core[46] 0.00213112 -3 *1441:8 0.00382902 -4 *1441:7 0.0024065 -5 *1441:5 0.00213112 -6 *1441:8 *1472:10 0.00978832 -7 *1441:8 *1481:10 0.000293908 -8 *2472:la_data_out_core[46] *1441:5 0 -9 *2472:la_data_out_core[47] *1441:5 0 -10 *2472:la_data_out_core[87] *2473:la_oenb[46] 0 -11 *802:7 *1441:5 0 -12 *846:5 *2473:la_oenb[46] 0 -13 *1041:5 *1441:5 0 -14 *1057:8 *1441:8 0.0305015 -15 *1059:8 *1441:8 0.00013491 -16 *1436:8 *1441:8 0.0210862 -*RES -1 *2472:la_oenb_core[46] *1441:5 51.7844 -2 *1441:5 *1441:7 4.5 -3 *1441:7 *1441:8 330.158 -4 *1441:8 *2473:la_oenb[46] 36.7675 -*END - -*D_NET *1442 0.0747945 -*CONN -*I *2473:la_oenb[47] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[47] O *D mgmt_protect -*CAP -1 *2473:la_oenb[47] 0.000170636 -2 *2472:la_oenb_core[47] 0.00135117 -3 *1442:11 0.00250655 -4 *1442:10 0.00233591 -5 *1442:8 0.00289082 -6 *1442:7 0.00424199 -7 *1442:8 *1482:8 0.000886242 -8 *1442:8 *1488:8 0.000142393 -9 *1442:11 *1488:7 0 -10 *2472:la_data_out_core[47] *1442:7 0 -11 *2472:la_data_out_core[48] *1442:7 0 -12 *2472:la_data_out_core[90] *1442:11 9.35753e-06 -13 *2473:la_oenb[31] *1442:7 5.68404e-05 -14 *803:7 *1442:7 0 -15 *1058:5 *1442:11 0 -16 *1058:8 *1442:8 0.0316494 -17 *1060:8 *1442:8 0.000233938 -18 *1440:8 *1442:8 0.0283192 -*RES -1 *2472:la_oenb_core[47] *1442:7 39.2591 -2 *1442:7 *1442:8 341.805 -3 *1442:8 *1442:10 4.5 -4 *1442:10 *1442:11 49.3784 -5 *1442:11 *2473:la_oenb[47] 1.77093 -*END - -*D_NET *1443 0.0799465 -*CONN -*I *2473:la_oenb[48] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[48] O *D mgmt_protect -*CAP -1 *2473:la_oenb[48] 0.00137447 -2 *2472:la_oenb_core[48] 0.00183293 -3 *1443:8 0.00395734 -4 *1443:7 0.00258287 -5 *1443:5 0.00183293 -6 *2473:la_oenb[48] *1492:11 3.49417e-05 -7 *1443:8 *1448:14 0.0248174 -8 *1443:8 *1472:10 0.000308997 -9 *2472:la_data_out_core[48] *1443:5 0 -10 *2472:la_data_out_core[49] *1443:5 0 -11 *2473:la_data_in[32] *1443:5 0.00109013 -12 *804:7 *1443:5 0 -13 *853:7 *2473:la_oenb[48] 0.000255256 -14 *1046:8 *1443:8 0.00883955 -15 *1051:8 *1443:8 1.41689e-05 -16 *1059:8 *1443:8 0.0330055 -*RES -1 *2472:la_oenb_core[48] *1443:5 50.5386 -2 *1443:5 *1443:7 4.5 -3 *1443:7 *1443:8 356.779 -4 *1443:8 *2473:la_oenb[48] 38.0133 -*END - -*D_NET *1444 0.0819024 -*CONN -*I *2473:la_oenb[49] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[49] O *D mgmt_protect -*CAP -1 *2473:la_oenb[49] 0.00248326 -2 *2472:la_oenb_core[49] 0.00127489 -3 *1444:10 0.00248326 -4 *1444:8 0.00306538 -5 *1444:7 0.00434027 -6 *2473:la_oenb[49] *1495:7 8.01837e-05 -7 *2472:la_data_out_core[49] *1444:7 0 -8 *2472:la_data_out_core[50] *1444:7 0 -9 *2472:la_data_out_core[95] *2473:la_oenb[49] 0 -10 *787:8 *1444:8 0 -11 *788:8 *1444:8 0 -12 *806:7 *1444:7 0 -13 *855:5 *2473:la_oenb[49] 0 -14 *1042:5 *1444:7 0.000111326 -15 *1060:8 *1444:8 0.00020979 -16 *1062:8 *1444:8 0.0343401 -17 *1063:8 *1444:8 0.0335139 -*RES -1 *2472:la_oenb_core[49] *1444:7 37.598 -2 *1444:7 *1444:8 370.089 -3 *1444:8 *1444:10 4.5 -4 *1444:10 *2473:la_oenb[49] 50.9539 -*END - -*D_NET *1445 0.0524806 -*CONN -*I *2473:la_oenb[4] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[4] O *D mgmt_protect -*CAP -1 *2473:la_oenb[4] 0.000170594 -2 *2472:la_oenb_core[4] 0.00121251 -3 *1445:11 0.00238961 -4 *1445:10 0.00221902 -5 *1445:8 0.00187177 -6 *1445:7 0.00308429 -7 *1445:8 *1456:8 0.0196179 -8 *1445:8 *1614:8 0.00030032 -9 *1445:8 *1644:8 0.000479276 -10 *1445:8 *1683:8 1.5006e-05 -11 *1445:8 *1746:8 0.000128915 -12 *1445:11 *2472:mprj_dat_i_user[8] 8.62625e-06 -13 *1445:11 *1618:13 6.88675e-05 -14 *1445:11 *1643:5 0 -15 *1445:11 *1687:17 4.34379e-05 -16 *1445:11 *1691:13 0.000124169 -17 *1445:11 *1759:13 8.29573e-05 -18 *1445:11 *1775:7 0 -19 *2472:la_data_out_core[4] *1445:7 0 -20 *816:7 *1445:7 0 -21 *816:8 *1445:8 0.000221463 -22 *1061:8 *1445:8 0.000157173 -23 *1072:8 *1445:8 0.0202847 -*RES -1 *2472:la_oenb_core[4] *1445:7 37.1828 -2 *1445:7 *1445:8 227.556 -3 *1445:8 *1445:10 4.5 -4 *1445:10 *1445:11 51.4546 -5 *1445:11 *2473:la_oenb[4] 1.77093 -*END - -*D_NET *1446 0.0853949 -*CONN -*I *2473:la_oenb[50] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[50] O *D mgmt_protect -*CAP -1 *2473:la_oenb[50] 0.00162462 -2 *2472:la_oenb_core[50] 0.00189583 -3 *1446:8 0.00442181 -4 *1446:7 0.00279719 -5 *1446:5 0.00189583 -6 *1446:8 *1448:14 1.41689e-05 -7 *1446:8 *1450:14 0.0295004 -8 *1446:8 *1468:10 0.0207755 -9 *2472:la_data_out_core[50] *1446:5 0 -10 *2473:la_data_in[33] *1446:5 0 -11 *2473:la_oenb[32] *1446:5 0.000447918 -12 *790:8 *1446:8 0.00664129 -13 *807:7 *1446:5 0 -14 *1051:8 *1446:8 0.0153662 -15 *1430:10 *1446:8 1.41689e-05 -*RES -1 *2472:la_oenb_core[50] *1446:5 49.2929 -2 *1446:5 *1446:7 4.5 -3 *1446:7 *1446:8 383.4 -4 *1446:8 *2473:la_oenb[50] 39.2591 -*END - -*D_NET *1447 0.0771424 -*CONN -*I *2473:la_oenb[51] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[51] O *D mgmt_protect -*CAP -1 *2473:la_oenb[51] 0.00225437 -2 *2472:la_oenb_core[51] 0.000839672 -3 *1447:14 0.00536802 -4 *1447:13 0.00349748 -5 *1447:8 0.00239853 -6 *1447:7 0.00285437 -7 *1447:8 *1449:8 0.00224181 -8 *1447:13 *1448:13 0.000650382 -9 *2472:la_data_out_core[51] *1447:7 0 -10 *2472:la_data_out_core[52] *1447:7 0 -11 *792:12 *1447:14 0 -12 *800:8 *1447:8 0 -13 *808:7 *1447:7 0 -14 *817:7 *1447:13 8.83765e-05 -15 *991:11 *2473:la_oenb[51] 0 -16 *1064:8 *1447:14 0.0265279 -17 *1064:14 *1447:8 0 -18 *1066:8 *1447:14 0.0267361 -19 *1073:17 *1447:13 0.000959915 -20 *1076:8 *1447:14 0.000759171 -21 *1108:8 *1447:14 0.00013257 -22 *1437:14 *1447:14 0.00183377 -*RES -1 *2472:la_oenb_core[51] *1447:7 25.971 -2 *1447:7 *1447:8 70.0488 -3 *1447:8 *1447:13 26.6116 -4 *1447:13 *1447:14 326.83 -5 *1447:14 *2473:la_oenb[51] 49.6404 -*END - -*D_NET *1448 0.0826465 -*CONN -*I *2473:la_oenb[52] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[52] O *D mgmt_protect -*CAP -1 *2473:la_oenb[52] 0.00140412 -2 *2472:la_oenb_core[52] 0.00105923 -3 *1448:14 0.00455297 -4 *1448:13 0.00380253 -5 *1448:8 0.00166647 -6 *1448:7 0.00207203 -7 *1448:8 *1450:10 0.00135039 -8 *1448:14 *1468:10 0.0247916 -9 *1448:14 *1472:10 0.00165794 -10 *2472:la_data_out_core[52] *1448:7 0 -11 *2472:la_data_out_core[53] *1448:7 0 -12 *795:8 *1448:8 0.00053673 -13 *809:7 *1448:7 0 -14 *817:7 *1448:13 0.00051899 -15 *993:11 *2473:la_oenb[52] 0.000866262 -16 *1046:7 *1448:13 8.62625e-06 -17 *1051:8 *1448:14 0.00800429 -18 *1054:18 *1448:8 0.00031258 -19 *1064:14 *1448:8 0 -20 *1073:17 *1448:13 0.000260534 -21 *1375:8 *1448:14 0.000634418 -22 *1437:8 *1448:8 0.00154564 -23 *1439:8 *1448:8 0.00211915 -24 *1443:8 *1448:14 0.0248174 -25 *1446:8 *1448:14 1.41689e-05 -26 *1447:13 *1448:13 0.000650382 -*RES -1 *2472:la_oenb_core[52] *1448:7 31.3693 -2 *1448:7 *1448:8 61.7298 -3 *1448:8 *1448:13 32.4251 -4 *1448:13 *1448:14 348.46 -5 *1448:14 *2473:la_oenb[52] 38.4285 -*END - -*D_NET *1449 0.0707264 -*CONN -*I *2473:la_oenb[53] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[53] O *D mgmt_protect -*CAP -1 *2473:la_oenb[53] 0.00151049 -2 *2472:la_oenb_core[53] 0.000889356 -3 *1449:18 0.00188841 -4 *1449:17 0.00186707 -5 *1449:12 0.00307661 -6 *1449:10 0.0016081 -7 *1449:8 0.00600715 -8 *1449:7 0.00687587 -9 *1449:8 *1451:8 0.00126707 -10 *1449:8 *1451:12 0.0163709 -11 *1449:8 *1460:8 0.00457807 -12 *1449:12 *1451:12 0.00247199 -13 *1449:12 *1498:13 0.000683029 -14 *1449:17 *1498:17 0.000272318 -15 *1449:17 *1499:7 0.000568328 -16 *1449:18 *1481:10 0.00493538 -17 *1449:18 *1492:14 0.00493959 -18 *2472:la_data_out_core[53] *1449:7 0 -19 *2472:la_data_out_core[54] *1449:7 0 -20 *2473:la_data_in[51] *1449:17 0 -21 *810:7 *1449:7 0 -22 *856:8 *1449:12 0.000703115 -23 *1063:5 *1449:17 0 -24 *1064:14 *1449:8 8.47539e-05 -25 *1066:14 *1449:8 0.000264018 -26 *1068:14 *1449:8 0.00595019 -27 *1080:16 *1449:8 0.00167272 -28 *1447:8 *1449:8 0.00224181 -*RES -1 *2472:la_oenb_core[53] *1449:7 26.8015 -2 *1449:7 *1449:8 303.26 -3 *1449:8 *1449:10 0.578717 -4 *1449:10 *1449:12 68.1077 -5 *1449:12 *1449:17 39.4844 -6 *1449:17 *1449:18 52.3015 -7 *1449:18 *2473:la_oenb[53] 36.3523 -*END - -*D_NET *1450 0.0917886 -*CONN -*I *2473:la_oenb[54] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[54] O *D mgmt_protect -*CAP -1 *2473:la_oenb[54] 0.00172713 -2 *2472:la_oenb_core[54] 0.0010505 -3 *1450:14 0.00478848 -4 *1450:13 0.00383964 -5 *1450:10 0.00162531 -6 *1450:7 0.00189752 -7 *1450:13 *1455:7 0 -8 *1450:14 *1452:10 0.00103897 -9 *1450:14 *1468:10 0.000312991 -10 *1450:14 *1494:14 0.00732031 -11 *2472:la_data_out_core[109] *2473:la_oenb[54] 0 -12 *2472:la_data_out_core[54] *1450:7 0 -13 *2472:la_data_out_core[55] *1450:7 0 -14 *2472:la_data_out_core[59] *1450:13 0.00115301 -15 *2473:la_data_in[36] *1450:13 8.62625e-06 -16 *811:7 *1450:7 0 -17 *1064:14 *1450:10 0.00023441 -18 *1068:8 *1450:14 0.033884 -19 *1379:8 *1450:14 0.000157517 -20 *1430:10 *1450:14 0.00130966 -21 *1439:8 *1450:10 0.000589703 -22 *1446:8 *1450:14 0.0295004 -23 *1448:8 *1450:10 0.00135039 -*RES -1 *2472:la_oenb_core[54] *1450:7 30.5388 -2 *1450:7 *1450:10 42.9364 -3 *1450:10 *1450:13 27.5099 -4 *1450:13 *1450:14 398.374 -5 *1450:14 *2473:la_oenb[54] 39.6743 -*END - -*D_NET *1451 0.0918502 -*CONN -*I *2473:la_oenb[55] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[55] O *D mgmt_protect -*CAP -1 *2473:la_oenb[55] 0.00150862 -2 *2472:la_oenb_core[55] 0.000939039 -3 *1451:20 0.00172859 -4 *1451:17 0.00179417 -5 *1451:12 0.0051497 -6 *1451:10 0.00359614 -7 *1451:8 0.00101728 -8 *1451:7 0.00193569 -9 *1451:8 *1462:8 0.00296819 -10 *1451:12 *1460:14 0.0287718 -11 *1451:12 *1462:8 3.07561e-05 -12 *1451:12 *1498:13 0.000678803 -13 *1451:20 *1481:10 0.00278378 -14 *1451:20 *1492:14 0.00278799 -15 *2472:la_data_out_core[107] *1451:17 0 -16 *2472:la_data_out_core[111] *2473:la_oenb[55] 5.33526e-05 -17 *2472:la_data_out_core[55] *1451:7 0 -18 *2472:la_data_out_core[56] *1451:7 0 -19 *812:7 *1451:7 0 -20 *856:8 *1451:12 0.000394741 -21 *1064:14 *1451:8 1.41689e-05 -22 *1066:7 *1451:17 0.000198577 -23 *1066:14 *1451:8 0.00751763 -24 *1068:14 *1451:8 0.00594597 -25 *1080:16 *1451:8 0.00166851 -26 *1381:9 *1451:17 0.000256748 -27 *1449:8 *1451:8 0.00126707 -28 *1449:8 *1451:12 0.0163709 -29 *1449:12 *1451:12 0.00247199 -*RES -1 *2472:la_oenb_core[55] *1451:7 27.632 -2 *1451:7 *1451:8 112.199 -3 *1451:8 *1451:10 0.578717 -4 *1451:10 *1451:12 308.528 -5 *1451:12 *1451:17 38.6539 -6 *1451:17 *1451:20 34.0628 -7 *1451:20 *2473:la_oenb[55] 31.8523 -*END - -*D_NET *1452 0.102204 -*CONN -*I *2473:la_oenb[56] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[56] O *D mgmt_protect -*CAP -1 *2473:la_oenb[56] 0.00132646 -2 *2472:la_oenb_core[56] 0.00208952 -3 *1452:10 0.00483328 -4 *1452:9 0.00350682 -5 *1452:7 0.00208952 -6 *1452:10 *1454:8 1.41689e-05 -7 *1452:10 *1465:14 0.0342558 -8 *1452:10 *1490:10 0.000246453 -9 *2472:la_data_out_core[56] *1452:7 0 -10 *2472:la_data_out_core[57] *1452:7 0 -11 *2473:la_data_in[35] *1452:7 8.62625e-06 -12 *813:7 *1452:7 0 -13 *813:11 *2473:la_oenb[56] 0.00187058 -14 *1054:17 *1452:7 0 -15 *1068:8 *1452:10 0.0372973 -16 *1379:8 *1452:10 0.00024852 -17 *1381:15 *2473:la_oenb[56] 0 -18 *1387:10 *1452:10 1.41689e-05 -19 *1387:12 *1452:10 0.000625682 -20 *1430:10 *1452:10 0.00336573 -21 *1433:8 *1452:10 0.00937219 -22 *1450:14 *1452:10 0.00103897 -*RES -1 *2472:la_oenb_core[56] *1452:7 48.7799 -2 *1452:7 *1452:9 4.5 -3 *1452:9 *1452:10 463.263 -4 *1452:10 *2473:la_oenb[56] 40.5048 -*END - -*D_NET *1453 0.104775 -*CONN -*I *2473:la_oenb[57] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[57] O *D mgmt_protect -*CAP -1 *2473:la_oenb[57] 0.00254668 -2 *2472:la_oenb_core[57] 0.001286 -3 *1453:14 0.00254668 -4 *1453:12 0.000400491 -5 *1453:8 0.00384222 -6 *1453:7 0.00472773 -7 *1453:8 *1455:8 1.41853e-05 -8 *1453:12 *1455:8 0.00461873 -9 *2472:la_data_out_core[117] *2473:la_oenb[57] 0 -10 *2472:la_data_out_core[57] *1453:7 0 -11 *2472:la_data_out_core[58] *1453:7 0 -12 *752:5 *2473:la_oenb[57] 0.00050637 -13 *796:8 *1453:8 3.40535e-05 -14 *814:7 *1453:7 0 -15 *1069:10 *1453:12 0.00389262 -16 *1069:12 *1453:8 0.0401714 -17 *1069:12 *1453:12 1.67988e-05 -18 *1070:14 *1453:8 0.039583 -19 *1389:8 *1453:12 0.000301452 -20 *1391:5 *2473:la_oenb[57] 0.000286862 -*RES -1 *2472:la_oenb_core[57] *1453:7 35.5218 -2 *1453:7 *1453:8 427.768 -3 *1453:8 *1453:12 49.5526 -4 *1453:12 *1453:14 4.5 -5 *1453:14 *2473:la_oenb[57] 53.4454 -*END - -*D_NET *1454 0.107802 -*CONN -*I *2473:la_oenb[58] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[58] O *D mgmt_protect -*CAP -1 *2473:la_oenb[58] 0.00183329 -2 *2472:la_oenb_core[58] 0.00204843 -3 *1454:8 0.00552918 -4 *1454:7 0.00369589 -5 *1454:5 0.00204843 -6 *1454:8 *1465:14 0.0382571 -7 *1454:8 *1490:10 0.000355068 -8 *2472:la_data_out_core[119] *2473:la_oenb[58] 0.000215198 -9 *2472:la_data_out_core[58] *1454:5 0 -10 *2472:la_data_out_core[59] *1454:5 0 -11 *2473:la_oenb[35] *1454:5 1.77537e-06 -12 *756:5 *2473:la_oenb[58] 0 -13 *791:14 *1454:8 0.000233938 -14 *815:7 *1454:5 0 -15 *1071:8 *1454:8 0.0456672 -16 *1433:8 *1454:8 0.0079023 -17 *1452:10 *1454:8 1.41689e-05 -*RES -1 *2472:la_oenb_core[58] *1454:5 47.2166 -2 *1454:5 *1454:7 4.5 -3 *1454:7 *1454:8 490.438 -4 *1454:8 *2473:la_oenb[58] 41.3353 -*END - -*D_NET *1455 0.108331 -*CONN -*I *2473:la_oenb[59] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[59] O *D mgmt_protect -*CAP -1 *2473:la_oenb[59] 0.0027757 -2 *2472:la_oenb_core[59] 0.00126702 -3 *1455:14 0.00323473 -4 *1455:8 0.00435767 -5 *1455:7 0.00516567 -6 *1455:8 *1458:8 0.0426181 -7 *1455:14 *1475:8 0.000264516 -8 *2472:la_data_out_core[59] *1455:7 0 -9 *758:5 *2473:la_oenb[59] 0.000584078 -10 *796:8 *1455:8 0.000366401 -11 *817:7 *1455:7 0 -12 *1013:11 *2473:la_oenb[59] 0 -13 *1070:14 *1455:8 0.0385933 -14 *1075:14 *1455:8 1.41853e-05 -15 *1075:14 *1455:14 0.00244356 -16 *1389:8 *1455:8 0.000150716 -17 *1392:8 *1455:8 0.000139764 -18 *1392:8 *1455:14 0.000293761 -19 *1394:8 *1455:14 0.000332046 -20 *1396:8 *1455:14 0.00109722 -21 *1450:13 *1455:7 0 -22 *1453:8 *1455:8 1.41853e-05 -23 *1453:12 *1455:8 0.00461873 -*RES -1 *2472:la_oenb_core[59] *1455:7 34.6913 -2 *1455:7 *1455:8 470.473 -3 *1455:8 *1455:14 37.9691 -4 *1455:14 *2473:la_oenb[59] 54.2759 -*END - -*D_NET *1456 0.0493982 -*CONN -*I *2473:la_oenb[5] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[5] O *D mgmt_protect -*CAP -1 *2473:la_oenb[5] 0.00222699 -2 *2472:la_oenb_core[5] 0.00121245 -3 *1456:10 0.00222699 -4 *1456:8 0.00175867 -5 *1456:7 0.00297113 -6 *2473:la_oenb[5] *2472:mprj_dat_i_user[10] 0 -7 *2473:la_oenb[5] *2472:mprj_dat_i_user[11] 0 -8 *2473:la_oenb[5] *1746:7 2.71397e-05 -9 *1456:8 *1467:8 0.0183884 -10 *1456:8 *1683:8 9.51286e-05 -11 *1456:8 *1748:8 4.92912e-05 -12 *2472:la_data_out_core[5] *1456:7 0 -13 *827:7 *1456:7 0 -14 *827:8 *1456:8 0.000590133 -15 *1072:8 *1456:8 0.000233938 -16 *1445:8 *1456:8 0.0196179 -*RES -1 *2472:la_oenb_core[5] *1456:7 37.598 -2 *1456:7 *1456:8 216.464 -3 *1456:8 *1456:10 4.5 -4 *1456:10 *2473:la_oenb[5] 50.9539 -*END - -*D_NET *1457 0.112317 -*CONN -*I *2473:la_oenb[60] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[60] O *D mgmt_protect -*CAP -1 *2473:la_oenb[60] 0.00199253 -2 *2472:la_oenb_core[60] 0.00168455 -3 *1457:12 0.00585212 -4 *1457:10 0.00398928 -5 *1457:5 0.00181424 -6 *1457:12 *1459:8 0.0471815 -7 *1457:12 *1490:10 0.000506175 -8 *759:13 *2473:la_oenb[60] 0 -9 *761:5 *2473:la_oenb[60] 9.1098e-05 -10 *791:14 *1457:10 0.00106767 -11 *793:20 *1457:10 0.00135332 -12 *793:20 *1457:12 7.45396e-05 -13 *818:5 *1457:5 0 -14 *1071:8 *1457:10 0.000117922 -15 *1071:8 *1457:12 0.0446551 -16 *1073:17 *1457:5 0.000739351 -17 *1393:14 *1457:12 0.00119797 -*RES -1 *2472:la_oenb_core[60] *1457:5 45.9709 -2 *1457:5 *1457:10 19.3899 -3 *1457:10 *1457:12 502.917 -4 *1457:12 *2473:la_oenb[60] 42.1658 -*END - -*D_NET *1458 0.112632 -*CONN -*I *2473:la_oenb[61] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[61] O *D mgmt_protect -*CAP -1 *2473:la_oenb[61] 0.0034277 -2 *2472:la_oenb_core[61] 0.00124123 -3 *1458:16 0.0034277 -4 *1458:14 0.00137176 -5 *1458:13 0.00177793 -6 *1458:8 0.0039955 -7 *1458:7 0.00483056 -8 *2473:la_oenb[61] *1646:7 0 -9 *1458:14 *1460:14 0.000849929 -10 *1458:14 *1460:18 0.0046885 -11 *2472:la_data_out_core[61] *1458:7 0 -12 *2473:la_data_in[58] *1458:13 0 -13 *753:7 *1458:13 0.000116915 -14 *763:5 *2473:la_oenb[61] 0 -15 *796:8 *1458:8 0.000226377 -16 *818:5 *1458:7 0 -17 *819:7 *1458:7 0 -18 *856:8 *1458:14 0.00108523 -19 *1070:8 *1458:14 0.000483488 -20 *1075:14 *1458:8 0.0420935 -21 *1393:10 *1458:14 0.000203727 -22 *1403:7 *2473:la_oenb[61] 0.000193734 -23 *1455:8 *1458:8 0.0426181 -*RES -1 *2472:la_oenb_core[61] *1458:7 34.276 -2 *1458:7 *1458:8 453.835 -3 *1458:8 *1458:13 16.6455 -4 *1458:13 *1458:14 76.1495 -5 *1458:14 *1458:16 4.5 -6 *1458:16 *2473:la_oenb[61] 61.7504 -*END - -*D_NET *1459 0.111065 -*CONN -*I *2473:la_oenb[62] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[62] O *D mgmt_protect -*CAP -1 *2473:la_oenb[62] 0.0021048 -2 *2472:la_oenb_core[62] 0.00201179 -3 *1459:8 0.00673772 -4 *1459:7 0.00463293 -5 *1459:5 0.00201179 -6 *1459:8 *1490:10 0.000654003 -7 *1459:8 *2414:14 0.00111656 -8 *2472:la_data_out_core[62] *1459:5 0 -9 *793:20 *1459:8 0.00355462 -10 *819:7 *1459:5 0 -11 *820:5 *1459:5 0 -12 *1047:7 *1459:5 1.47102e-05 -13 *1096:8 *1459:8 0.000186902 -14 *1098:8 *1459:8 0.00067765 -15 *1100:8 *1459:8 0.0339516 -16 *1393:14 *1459:8 0.000156904 -17 *1397:13 *2473:la_oenb[62] 6.16595e-06 -18 *1401:10 *1459:8 0.00100217 -19 *1439:14 *1459:8 0.00506317 -20 *1457:12 *1459:8 0.0471815 -*RES -1 *2472:la_oenb_core[62] *1459:5 45.9709 -2 *1459:5 *1459:7 4.5 -3 *1459:7 *1459:8 543.68 -4 *1459:8 *2473:la_oenb[62] 42.5811 -*END - -*D_NET *1460 0.113132 -*CONN -*I *2473:la_oenb[63] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[63] O *D mgmt_protect -*CAP -1 *2473:la_oenb[63] 0.0035014 -2 *2472:la_oenb_core[63] 0.000916947 -3 *1460:20 0.0035014 -4 *1460:18 0.00128402 -5 *1460:16 0.00130465 -6 *1460:14 0.00382374 -7 *1460:13 0.00385522 -8 *1460:8 0.00123023 -9 *1460:7 0.00209507 -10 *1460:13 *1466:7 7.50872e-05 -11 *1460:14 *1462:8 0.0388726 -12 *1460:18 *1462:8 0.00124343 -13 *2472:la_data_out_core[63] *1460:7 0 -14 *821:9 *1460:7 0 -15 *821:11 *1460:7 0 -16 *856:8 *1460:14 0 -17 *856:8 *1460:18 0.000268186 -18 *1016:16 *1460:18 0.000284032 -19 *1070:8 *1460:14 0.00600554 -20 *1075:8 *1460:18 0.00598173 -21 *1449:8 *1460:8 0.00457807 -22 *1451:12 *1460:14 0.0287718 -23 *1458:14 *1460:14 0.000849929 -24 *1458:14 *1460:18 0.0046885 -*RES -1 *2472:la_oenb_core[63] *1460:7 26.3862 -2 *1460:7 *1460:8 48.9739 -3 *1460:8 *1460:13 10.4167 -4 *1460:13 *1460:14 415.012 -5 *1460:14 *1460:16 0.578717 -6 *1460:16 *1460:18 92.7876 -7 *1460:18 *1460:20 4.5 -8 *1460:20 *2473:la_oenb[63] 61.3352 -*END - -*D_NET *1461 0.122281 -*CONN -*I *2473:la_oenb[64] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[64] O *D mgmt_protect -*CAP -1 *2473:la_oenb[64] 0.00244889 -2 *2472:la_oenb_core[64] 0.00177708 -3 *1461:8 0.00745919 -4 *1461:7 0.00678738 -5 *1461:8 *1463:8 0.0520936 -6 *1461:8 *1477:10 0 -7 *1461:8 *1483:10 0.000789395 -8 *2472:la_data_out_core[64] *1461:7 0 -9 *821:11 *1461:7 0 -10 *822:5 *1461:7 0 -11 *1054:12 *1461:8 0 -12 *1076:8 *1461:8 0.0509252 -*RES -1 *2472:la_oenb_core[64] *1461:7 44.6573 -2 *1461:7 *1461:8 569.747 -3 *1461:8 *2473:la_oenb[64] 48.3946 -*END - -*D_NET *1462 0.123864 -*CONN -*I *2473:la_oenb[65] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[65] O *D mgmt_protect -*CAP -1 *2473:la_oenb[65] 0.00344489 -2 *2472:la_oenb_core[65] 0.00100871 -3 *1462:10 0.00344489 -4 *1462:8 0.00540859 -5 *1462:7 0.0064173 -6 *2472:la_data_out_core[65] *1462:7 0 -7 *822:5 *1462:7 0 -8 *823:5 *1462:7 0 -9 *856:8 *1462:8 0 -10 *1064:14 *1462:8 0.000171288 -11 *1066:13 *1462:7 8.62625e-06 -12 *1075:8 *1462:8 0.00597332 -13 *1079:8 *1462:8 0.0541904 -14 *1099:8 *1462:8 0.000680957 -15 *1451:8 *1462:8 0.00296819 -16 *1451:12 *1462:8 3.07561e-05 -17 *1460:14 *1462:8 0.0388726 -18 *1460:18 *1462:8 0.00124343 -*RES -1 *2472:la_oenb_core[65] *1462:7 28.0472 -2 *1462:7 *1462:8 583.057 -3 *1462:8 *1462:10 4.5 -4 *1462:10 *2473:la_oenb[65] 60.5047 -*END - -*D_NET *1463 0.126972 -*CONN -*I *2473:la_oenb[66] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[66] O *D mgmt_protect -*CAP -1 *2473:la_oenb[66] 0.00241382 -2 *2472:la_oenb_core[66] 0.00181907 -3 *1463:8 0.00788226 -4 *1463:7 0.00728751 -5 *1463:8 *1477:10 0 -6 *1463:8 *1483:10 0.00139304 -7 *2472:la_data_out_core[66] *1463:7 0 -8 *823:5 *1463:7 0 -9 *824:5 *1463:7 0 -10 *1054:12 *1463:8 0 -11 *1080:8 *1463:8 0.0540183 -12 *1082:8 *1463:8 6.49003e-05 -13 *1461:8 *1463:8 0.0520936 -*RES -1 *2472:la_oenb_core[66] *1463:7 45.0726 -2 *1463:7 *1463:8 596.368 -3 *1463:8 *2473:la_oenb[66] 47.9793 -*END - -*D_NET *1464 0.132295 -*CONN -*I *2473:la_oenb[67] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[67] O *D mgmt_protect -*CAP -1 *2473:la_oenb[67] 0.00337618 -2 *2472:la_oenb_core[67] 0.00105823 -3 *1464:10 0.00337618 -4 *1464:8 0.00528767 -5 *1464:7 0.00634591 -6 *2472:la_data_out_core[67] *1464:7 0 -7 *795:8 *1464:8 0 -8 *824:5 *1464:7 0 -9 *825:7 *1464:7 0 -10 *856:8 *1464:8 0 -11 *1079:8 *1464:8 0.054575 -12 *1081:8 *1464:8 0.0568055 -13 *1099:8 *1464:8 0.00146993 -*RES -1 *2472:la_oenb_core[67] *1464:7 28.8777 -2 *1464:7 *1464:8 610.233 -3 *1464:8 *1464:10 4.5 -4 *1464:10 *2473:la_oenb[67] 59.6742 -*END - -*D_NET *1465 0.136098 -*CONN -*I *2473:la_oenb[68] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[68] O *D mgmt_protect -*CAP -1 *2473:la_oenb[68] 0.00194814 -2 *2472:la_oenb_core[68] 0.0012193 -3 *1465:14 0.00646213 -4 *1465:13 0.00528677 -5 *1465:10 0.00199207 -6 *1465:14 *1490:10 0.000246453 -7 *2472:la_data_out_core[68] *1465:10 0 -8 *2472:la_data_out_core[69] *1465:13 0.000201199 -9 *2473:la_oenb[39] *1465:13 8.62625e-06 -10 *795:8 *1465:10 0 -11 *825:7 *1465:10 0 -12 *826:5 *1465:10 0 -13 *826:5 *1465:13 0.000490751 -14 *1071:8 *1465:14 8.66138e-05 -15 *1081:8 *1465:10 0.00013978 -16 *1379:8 *1465:14 0.000228981 -17 *1387:12 *1465:14 0.000482046 -18 *1390:8 *1465:14 0.0231575 -19 *1391:8 *1465:14 0.000115848 -20 *1393:14 *1465:14 0.0200461 -21 *1399:8 *1465:14 0.00147281 -22 *1452:10 *1465:14 0.0342558 -23 *1454:8 *1465:14 0.0382571 -*RES -1 *2472:la_oenb_core[68] *1465:10 38.1199 -2 *1465:10 *1465:13 26.6794 -3 *1465:13 *1465:14 619.661 -4 *1465:14 *2473:la_oenb[68] 40.9201 -*END - -*D_NET *1466 0.139113 -*CONN -*I *2473:la_oenb[69] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[69] O *D mgmt_protect -*CAP -1 *2473:la_oenb[69] 0.00330393 -2 *2472:la_oenb_core[69] 0.00107129 -3 *1466:10 0.00330393 -4 *1466:8 0.00540409 -5 *1466:7 0.00647538 -6 *2472:la_data_out_core[69] *1466:7 0 -7 *795:8 *1466:8 0 -8 *796:8 *1466:8 0 -9 *828:7 *1466:7 0 -10 *1080:16 *1466:7 5.33266e-05 -11 *1081:8 *1466:8 0.0571564 -12 *1084:8 *1466:8 0.0593869 -13 *1099:8 *1466:8 0.00288264 -14 *1460:13 *1466:7 7.50872e-05 -*RES -1 *2472:la_oenb_core[69] *1466:7 29.7083 -2 *1466:7 *1466:8 636.854 -3 *1466:8 *1466:10 4.5 -4 *1466:10 *2473:la_oenb[69] 58.8437 -*END - -*D_NET *1467 0.0473481 -*CONN -*I *2473:la_oenb[6] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[6] O *D mgmt_protect -*CAP -1 *2473:la_oenb[6] 0.00205104 -2 *2472:la_oenb_core[6] 0.00122465 -3 *1467:10 0.00205104 -4 *1467:8 0.00161546 -5 *1467:7 0.00284011 -6 *2473:la_oenb[6] *1617:5 0 -7 *2473:la_oenb[6] *1749:5 0.000325317 -8 *2472:la_data_out_core[6] *1467:7 0 -9 *827:8 *1467:8 0.0186498 -10 *838:7 *1467:7 0 -11 *1094:8 *1467:8 0.000202245 -12 *1456:8 *1467:8 0.0183884 -*RES -1 *2472:la_oenb_core[6] *1467:7 38.0133 -2 *1467:7 *1467:8 202.599 -3 *1467:8 *1467:10 4.5 -4 *1467:10 *2473:la_oenb[6] 50.5386 -*END - -*D_NET *1468 0.139265 -*CONN -*I *2473:la_oenb[70] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[70] O *D mgmt_protect -*CAP -1 *2473:la_oenb[70] 0.00179596 -2 *2472:la_oenb_core[70] 6.22868e-05 -3 *1468:18 0.00387596 -4 *1468:16 0.00221454 -5 *1468:10 0.00285768 -6 *1468:9 0.00272314 -7 *1468:7 0.00160043 -8 *1468:5 0.00166272 -9 *1468:10 *1494:14 0.0104745 -10 *2472:la_data_out_core[70] *1468:7 0 -11 *828:7 *1468:7 0 -12 *829:5 *1468:7 0.00273745 -13 *1016:13 *2473:la_oenb[70] 7.09666e-06 -14 *1051:7 *1468:7 8.62625e-06 -15 *1375:8 *1468:10 1.55995e-05 -16 *1377:8 *1468:10 0.00315438 -17 *1377:8 *1468:16 0.000423922 -18 *1377:8 *1468:18 8.66138e-05 -19 *1379:8 *1468:10 0.000259403 -20 *1379:8 *1468:18 0.00183192 -21 *1381:16 *1468:18 0.0286484 -22 *1383:16 *1468:18 0.0288586 -23 *1386:16 *1468:16 7.92757e-06 -24 *1387:10 *1468:16 7.45288e-05 -25 *1387:12 *1468:16 2.77625e-06 -26 *1446:8 *1468:10 0.0207755 -27 *1448:14 *1468:10 0.0247916 -28 *1450:14 *1468:10 0.000312991 -*RES -1 *2472:la_oenb_core[70] *1468:5 1.77093 -2 *1468:5 *1468:7 49.7936 -3 *1468:7 *1468:9 4.5 -4 *1468:9 *1468:10 339.032 -5 *1468:10 *1468:16 5.76305 -6 *1468:16 *1468:18 304.646 -7 *1468:18 *2473:la_oenb[70] 38.8438 -*END - -*D_NET *1469 0.144923 -*CONN -*I *2473:la_oenb[71] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[71] O *D mgmt_protect -*CAP -1 *2473:la_oenb[71] 0.00322762 -2 *2472:la_oenb_core[71] 0.00113815 -3 *1469:10 0.00322762 -4 *1469:8 0.00551315 -5 *1469:7 0.0066513 -6 *1469:8 *1484:8 0.00346547 -7 *2472:la_data_out_core[71] *1469:7 0 -8 *796:8 *1469:8 0 -9 *830:5 *1469:7 0 -10 *1084:8 *1469:8 0.0597314 -11 *1086:8 *1469:8 0.0619683 -*RES -1 *2472:la_oenb_core[71] *1469:7 30.5388 -2 *1469:7 *1469:8 663.475 -3 *1469:8 *1469:10 4.5 -4 *1469:10 *2473:la_oenb[71] 58.0132 -*END - -*D_NET *1470 0.148538 -*CONN -*I *2473:la_oenb[72] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[72] O *D mgmt_protect -*CAP -1 *2473:la_oenb[72] 0.0022466 -2 *2472:la_oenb_core[72] 0.00164252 -3 *1470:10 0.00733915 -4 *1470:9 0.00673507 -5 *1470:10 *1485:8 0.00347326 -6 *2472:la_data_out_core[72] *1470:9 0.000418015 -7 *2473:la_data_in[41] *1470:9 0.00070507 -8 *830:5 *1470:9 0 -9 *831:5 *1470:9 0 -10 *1054:8 *1470:10 0.00052801 -11 *1085:8 *1470:10 0.000242981 -12 *1087:8 *1470:10 0.063363 -13 *1089:8 *1470:10 0.0618445 -*RES -1 *2472:la_oenb_core[72] *1470:9 48.4435 -2 *1470:9 *1470:10 677.34 -3 *1470:10 *2473:la_oenb[72] 45.9031 -*END - -*D_NET *1471 0.150786 -*CONN -*I *2473:la_oenb[73] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[73] O *D mgmt_protect -*CAP -1 *2473:la_oenb[73] 0.00314048 -2 *2472:la_oenb_core[73] 0.00118102 -3 *1471:10 0.00314048 -4 *1471:8 0.00561491 -5 *1471:7 0.00679593 -6 *2472:la_data_out_core[73] *1471:7 0 -7 *2472:la_data_out_core[74] *1471:7 0 -8 *832:7 *1471:7 0 -9 *1075:14 *1471:8 0 -10 *1086:8 *1471:8 0.0623063 -11 *1088:8 *1471:8 0.0645433 -12 *1101:8 *1471:8 0.00406408 -*RES -1 *2472:la_oenb_core[73] *1471:7 31.3693 -2 *1471:7 *1471:8 690.096 -3 *1471:8 *1471:10 4.5 -4 *1471:10 *2473:la_oenb[73] 57.1827 -*END - -*D_NET *1472 0.146797 -*CONN -*I *2473:la_oenb[74] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[74] O *D mgmt_protect -*CAP -1 *2473:la_oenb[74] 0.00166377 -2 *2472:la_oenb_core[74] 2.27669e-05 -3 *1472:10 0.00743026 -4 *1472:9 0.00576649 -5 *1472:7 0.00239646 -6 *1472:5 0.00241923 -7 *1472:10 *1481:10 0.00105402 -8 *1472:10 *1492:14 0.0525029 -9 *1472:10 *1498:18 0.00265013 -10 *2472:la_data_out_core[74] *1472:7 0 -11 *833:5 *1472:7 0 -12 *1014:13 *2473:la_oenb[74] 7.09666e-06 -13 *1059:8 *1472:10 0.0131378 -14 *1375:8 *1472:10 0.0459911 -15 *1441:8 *1472:10 0.00978832 -16 *1443:8 *1472:10 0.000308997 -17 *1448:14 *1472:10 0.00165794 -*RES -1 *2472:la_oenb_core[74] *1472:5 0.647305 -2 *1472:5 *1472:7 51.4546 -3 *1472:7 *1472:9 4.5 -4 *1472:9 *1472:10 702.297 -5 *1472:10 *2473:la_oenb[74] 37.1828 -*END - -*D_NET *1473 0.156542 -*CONN -*I *2473:la_oenb[75] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[75] O *D mgmt_protect -*CAP -1 *2473:la_oenb[75] 0.00306967 -2 *2472:la_oenb_core[75] 0.00123073 -3 *1473:10 0.00306967 -4 *1473:8 0.00571153 -5 *1473:7 0.00694225 -6 *1473:8 *1486:8 0.00444999 -7 *2472:la_data_out_core[75] *1473:7 0 -8 *2472:la_data_out_core[76] *1473:7 0 -9 *834:7 *1473:7 0 -10 *1075:14 *1473:8 9.48476e-05 -11 *1088:8 *1473:8 0.0648748 -12 *1090:8 *1473:8 0.0670989 -*RES -1 *2472:la_oenb_core[75] *1473:7 32.1998 -2 *1473:7 *1473:8 716.717 -3 *1473:8 *1473:10 4.5 -4 *1473:10 *2473:la_oenb[75] 56.3522 -*END - -*D_NET *1474 0.158642 -*CONN -*I *2473:la_oenb[76] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[76] O *D mgmt_protect -*CAP -1 *2473:la_oenb[76] 0.00217579 -2 *2472:la_oenb_core[76] 0.0020546 -3 *1474:10 0.00781745 -4 *1474:9 0.00769625 -5 *1474:10 *1476:10 0.067255 -6 *1474:10 *1485:8 0.000104638 -7 *1474:10 *1487:8 0.00383555 -8 *2472:la_data_out_core[76] *1474:9 0 -9 *2473:la_oenb[42] *1474:9 5.78262e-05 -10 *835:7 *1474:9 0 -11 *1054:8 *1474:10 0.00151983 -12 *1089:8 *1474:10 0.0661204 -13 *1439:14 *1474:10 4.89898e-06 -*RES -1 *2472:la_oenb_core[76] *1474:9 49.274 -2 *1474:9 *1474:10 728.363 -3 *1474:10 *2473:la_oenb[76] 45.0726 -*END - -*D_NET *1475 0.160242 -*CONN -*I *2473:la_oenb[77] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[77] O *D mgmt_protect -*CAP -1 *2473:la_oenb[77] 0.00296333 -2 *2472:la_oenb_core[77] 0.00129502 -3 *1475:10 0.00296333 -4 *1475:8 0.00563116 -5 *1475:7 0.00692619 -6 *1475:8 *2415:10 0.0314182 -7 *2472:la_data_out_core[77] *1475:7 0 -8 *759:10 *1475:8 0.000842351 -9 *836:5 *1475:7 0 -10 *1075:14 *1475:8 0.0329946 -11 *1090:8 *1475:8 0.000226394 -12 *1092:8 *1475:8 0.069678 -13 *1103:8 *1475:8 0.00362051 -14 *1396:8 *1475:8 0.000650226 -15 *1402:8 *1475:8 0.00076823 -16 *1455:14 *1475:8 0.000264516 -*RES -1 *2472:la_oenb_core[77] *1475:7 33.4455 -2 *1475:7 *1475:8 743.338 -3 *1475:8 *1475:10 4.5 -4 *1475:10 *2473:la_oenb[77] 55.1064 -*END - -*D_NET *1476 0.162202 -*CONN -*I *2473:la_oenb[78] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[78] O *D mgmt_protect -*CAP -1 *2473:la_oenb[78] 0.00213211 -2 *2472:la_oenb_core[78] 0.0017713 -3 *1476:10 0.00823792 -4 *1476:9 0.00610581 -5 *1476:7 0.0017713 -6 *1476:10 *1479:10 0.0698637 -7 *1476:10 *1487:8 0.00370005 -8 *2472:la_data_out_core[78] *1476:7 0 -9 *837:5 *1476:7 0.000977067 -10 *1054:7 *1476:7 8.62625e-06 -11 *1439:14 *1476:10 0.000378805 -12 *1474:10 *1476:10 0.067255 -*RES -1 *2472:la_oenb_core[78] *1476:7 45.751 -2 *1476:7 *1476:9 4.5 -3 *1476:9 *1476:10 754.984 -4 *1476:10 *2473:la_oenb[78] 44.6573 -*END - -*D_NET *1477 0.123968 -*CONN -*I *2473:la_oenb[79] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[79] O *D mgmt_protect -*CAP -1 *2473:la_oenb[79] 0.00237469 -2 *2472:la_oenb_core[79] 0.00174151 -3 *1477:12 0.00237469 -4 *1477:10 0.0133474 -5 *1477:9 0.0150889 -6 *2472:la_data_out_core[79] *1477:9 0 -7 *2472:la_data_out_core[80] *1477:9 0 -8 *2473:la_oenb[43] *1477:9 8.52802e-05 -9 *799:14 *1477:10 0.000649254 -10 *839:7 *1477:9 0 -11 *1056:14 *1477:10 8.47539e-05 -12 *1064:8 *1477:10 0.00739831 -13 *1066:8 *1477:10 0.000682903 -14 *1076:8 *1477:10 0.000455138 -15 *1080:8 *1477:10 0 -16 *1082:8 *1477:10 0 -17 *1085:8 *1477:10 0 -18 *1095:8 *1477:10 0.072356 -19 *1108:8 *1477:10 0.00475172 -20 *1381:10 *1477:10 0.000875064 -21 *1386:15 *1477:10 0.000535212 -22 *1397:8 *1477:10 0.00116739 -23 *1461:8 *1477:10 0 -24 *1463:8 *1477:10 0 -*RES -1 *2472:la_oenb_core[79] *1477:9 42.8986 -2 *1477:9 *1477:10 769.404 -3 *1477:10 *1477:12 4.5 -4 *1477:12 *2473:la_oenb[79] 46.3861 -*END - -*D_NET *1478 0.0437184 -*CONN -*I *2473:la_oenb[7] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[7] O *D mgmt_protect -*CAP -1 *2473:la_oenb[7] 0.00192936 -2 *2472:la_oenb_core[7] 0.00134635 -3 *1478:10 0.00192936 -4 *1478:8 0.00154336 -5 *1478:7 0.00288971 -6 *2473:la_oenb[7] *2472:mprj_dat_i_user[16] 0.000185323 -7 *2473:la_oenb[7] *1751:5 0 -8 *1478:8 *1489:8 0.015923 -9 *1478:8 *1622:8 0.000108585 -10 *1478:8 *1689:12 0.000218833 -11 *1478:8 *1752:10 0.000426168 -12 *2472:la_data_out_core[7] *1478:7 0 -13 *2472:la_data_out_core[8] *1478:7 0 -14 *783:8 *1478:8 3.94365e-05 -15 *849:7 *1478:7 0 -16 *1083:8 *1478:8 0.000582572 -17 *1105:8 *1478:8 0.0165963 -*RES -1 *2472:la_oenb_core[7] *1478:7 40.9201 -2 *1478:7 *1478:8 189.843 -3 *1478:8 *1478:10 4.5 -4 *1478:10 *2473:la_oenb[7] 47.6319 -*END - -*D_NET *1479 0.169168 -*CONN -*I *2473:la_oenb[80] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[80] O *D mgmt_protect -*CAP -1 *2473:la_oenb[80] 0.00209685 -2 *2472:la_oenb_core[80] 0.00180021 -3 *1479:10 0.00823714 -4 *1479:9 0.00614029 -5 *1479:7 0.00180021 -6 *2472:la_data_out_core[80] *1479:7 0 -7 *2473:la_data_in[44] *1479:7 0 -8 *840:5 *1479:7 0.000979934 -9 *1096:8 *1479:10 0.0735749 -10 *1104:8 *1479:10 0.00452865 -11 *1439:14 *1479:10 0.000146388 -12 *1476:10 *1479:10 0.0698637 -*RES -1 *2472:la_oenb_core[80] *1479:7 46.1663 -2 *1479:7 *1479:9 4.5 -3 *1479:9 *1479:10 781.605 -4 *1479:10 *2473:la_oenb[80] 44.2421 -*END - -*D_NET *1480 0.173883 -*CONN -*I *2473:la_oenb[81] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[81] O *D mgmt_protect -*CAP -1 *2473:la_oenb[81] 0.00238978 -2 *2472:la_oenb_core[81] 0.0016875 -3 *1480:10 0.00238978 -4 *1480:8 0.00591008 -5 *1480:7 0.00759757 -6 *1480:8 *1491:8 0.00611461 -7 *2472:la_data_out_core[81] *1480:7 0 -8 *2472:la_data_out_core[82] *1480:7 0 -9 *841:7 *1480:7 0 -10 *1055:5 *1480:7 2.72089e-05 -11 *1095:8 *1480:8 0.0727471 -12 *1097:8 *1480:8 0.0749245 -13 *1440:8 *1480:8 9.48476e-05 -*RES -1 *2472:la_oenb_core[81] *1480:7 41.3353 -2 *1480:7 *1480:8 796.58 -3 *1480:8 *1480:10 4.5 -4 *1480:10 *2473:la_oenb[81] 47.2166 -*END - -*D_NET *1481 0.168392 -*CONN -*I *2473:la_oenb[82] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[82] O *D mgmt_protect -*CAP -1 *2473:la_oenb[82] 0.00154481 -2 *2472:la_oenb_core[82] 2.27669e-05 -3 *1481:10 0.00777816 -4 *1481:9 0.00623335 -5 *1481:7 0.00181464 -6 *1481:5 0.00183741 -7 *1481:10 *1492:14 0.0032657 -8 *1481:10 *1494:20 0.0536853 -9 *1481:10 *1496:8 0.00366233 -10 *2472:la_data_out_core[82] *1481:7 0 -11 *2473:la_oenb[44] *1481:7 0 -12 *842:7 *1481:7 0.00281809 -13 *1056:11 *1481:10 0.00106121 -14 *1057:8 *1481:10 0.00305573 -15 *1091:8 *1481:10 0.00242746 -16 *1107:8 *1481:10 0.0701183 -17 *1441:8 *1481:10 0.000293908 -18 *1449:18 *1481:10 0.00493538 -19 *1451:20 *1481:10 0.00278378 -20 *1472:10 *1481:10 0.00105402 -*RES -1 *2472:la_oenb_core[82] *1481:5 0.647305 -2 *1481:5 *1481:7 52.7004 -3 *1481:7 *1481:9 4.5 -4 *1481:9 *1481:10 809.335 -5 *1481:10 *2473:la_oenb[82] 35.937 -*END - -*D_NET *1482 0.177557 -*CONN -*I *2473:la_oenb[83] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[83] O *D mgmt_protect -*CAP -1 *2473:la_oenb[83] 0.00241168 -2 *2472:la_oenb_core[83] 0.00166627 -3 *1482:10 0.00241168 -4 *1482:8 0.00630304 -5 *1482:7 0.00796931 -6 *1482:8 *1488:8 0.0734216 -7 *2472:la_data_out_core[83] *1482:7 0 -8 *2472:la_data_out_core[84] *1482:7 0 -9 *843:7 *1482:7 0 -10 *1097:8 *1482:8 0.0753092 -11 *1110:8 *1482:8 0.00670533 -12 *1440:8 *1482:8 0.000472909 -13 *1442:8 *1482:8 0.000886242 -*RES -1 *2472:la_oenb_core[83] *1482:7 40.5048 -2 *1482:7 *1482:8 823.201 -3 *1482:8 *1482:10 4.5 -4 *1482:10 *2473:la_oenb[83] 48.0471 -*END - -*D_NET *1483 0.172601 -*CONN -*I *2473:la_oenb[84] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[84] O *D mgmt_protect -*CAP -1 *2473:la_oenb[84] 0.00218403 -2 *2472:la_oenb_core[84] 0.00169763 -3 *1483:10 0.0276534 -4 *1483:9 0.027167 -5 *1483:10 *1485:8 0.088861 -6 *1483:10 *1491:8 0 -7 *2472:la_data_out_core[84] *1483:9 0 -8 *2473:la_oenb[45] *1483:9 0.000339101 -9 *844:7 *1483:9 0.000783419 -10 *1080:8 *1483:10 0.00204188 -11 *1082:8 *1483:10 0.0028038 -12 *1085:8 *1483:10 0.00328423 -13 *1087:8 *1483:10 0.00354326 -14 *1108:8 *1483:10 0.0100596 -15 *1461:8 *1483:10 0.000789395 -16 *1463:8 *1483:10 0.00139304 -*RES -1 *2472:la_oenb_core[84] *1483:9 47.3977 -2 *1483:9 *1483:10 115.091 -3 *1483:10 *2473:la_oenb[84] 45.81 -*END - -*D_NET *1484 0.215603 -*CONN -*I *2473:la_oenb[85] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[85] O *D mgmt_protect -*CAP -1 *2473:la_oenb[85] 0.00297926 -2 *2472:la_oenb_core[85] 0.00111165 -3 *1484:10 0.00297926 -4 *1484:8 0.0102889 -5 *1484:7 0.0114006 -6 *2472:la_data_out_core[85] *1484:7 0 -7 *2472:la_data_out_core[86] *1484:7 0 -8 *2473:la_data_in[46] *1484:7 0.000144245 -9 *845:7 *1484:7 0 -10 *1001:8 *1484:8 0 -11 *1086:8 *1484:8 0.00279501 -12 *1099:8 *1484:8 0.0889823 -13 *1101:8 *1484:8 0.0914567 -14 *1469:8 *1484:8 0.00346547 -*RES -1 *2472:la_oenb_core[85] *1484:7 29.1999 -2 *1484:7 *1484:8 117.233 -3 *1484:8 *1484:10 3.36879 -4 *1484:10 *2473:la_oenb[85] 58.2208 -*END - -*D_NET *1485 0.218411 -*CONN -*I *2473:la_oenb[86] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[86] O *D mgmt_protect -*CAP -1 *2473:la_oenb[86] 0.00210641 -2 *2472:la_oenb_core[86] 0.00204764 -3 *1485:8 0.013305 -4 *1485:7 0.0132462 -5 *1485:8 *1487:8 0.0917588 -6 *2472:la_data_out_core[86] *1485:7 0 -7 *846:5 *1485:7 0 -8 *1007:13 *2473:la_oenb[86] 6.82761e-05 -9 *1089:8 *1485:8 0.00343936 -10 *1108:8 *1485:8 0 -11 *1470:10 *1485:8 0.00347326 -12 *1474:10 *1485:8 0.000104638 -13 *1483:10 *1485:8 0.088861 -*RES -1 *2472:la_oenb_core[86] *1485:7 45.81 -2 *1485:7 *1485:8 119.069 -3 *1485:8 *2473:la_oenb[86] 44.9795 -*END - -*D_NET *1486 0.223662 -*CONN -*I *2473:la_oenb[87] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[87] O *D mgmt_protect -*CAP -1 *2473:la_oenb[87] 0.00288548 -2 *2472:la_oenb_core[87] 0.00128135 -3 *1486:10 0.00288548 -4 *1486:8 0.0106956 -5 *1486:7 0.0119769 -6 *2472:la_data_out_core[87] *1486:7 0 -7 *2472:la_data_out_core[88] *1486:7 0 -8 *847:7 *1486:7 0 -9 *1090:8 *1486:8 0.00325845 -10 *1101:8 *1486:8 0.0918812 -11 *1103:8 *1486:8 0.0943479 -12 *1473:8 *1486:8 0.00444999 -*RES -1 *2472:la_oenb_core[87] *1486:7 30.8609 -2 *1486:7 *1486:8 120.904 -3 *1486:8 *1486:10 3.36879 -4 *1486:10 *2473:la_oenb[87] 56.5598 -*END - -*D_NET *1487 0.226991 -*CONN -*I *2473:la_oenb[88] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[88] O *D mgmt_protect -*CAP -1 *2473:la_oenb[88] 0.00208299 -2 *2472:la_oenb_core[88] 0.00192869 -3 *1487:8 0.0137545 -4 *1487:7 0.0136002 -5 *2472:la_data_out_core[88] *1487:7 0 -6 *2473:la_data_in[47] *1487:7 0.000546668 -7 *848:7 *1487:7 0 -8 *1104:8 *1487:8 0.0957837 -9 *1108:8 *1487:8 0 -10 *1474:10 *1487:8 0.00383555 -11 *1476:10 *1487:8 0.00370005 -12 *1485:8 *1487:8 0.0917588 -*RES -1 *2472:la_oenb_core[88] *1487:7 46.6405 -2 *1487:7 *1487:8 122.74 -3 *1487:8 *2473:la_oenb[88] 44.149 -*END - -*D_NET *1488 0.189814 -*CONN -*I *2473:la_oenb[89] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[89] O *D mgmt_protect -*CAP -1 *2473:la_oenb[89] 0.00236198 -2 *2472:la_oenb_core[89] 0.00170643 -3 *1488:10 0.00236198 -4 *1488:8 0.00795017 -5 *1488:7 0.00965661 -6 *1488:8 *1497:8 0.00703449 -7 *2472:la_data_out_core[89] *1488:7 0 -8 *2472:la_data_out_core[90] *1488:7 0 -9 *850:7 *1488:7 0 -10 *1058:5 *1488:7 0 -11 *1060:8 *1488:8 2.50864e-05 -12 *1106:8 *1488:8 0.0851534 -13 *1442:8 *1488:8 0.000142393 -14 *1442:11 *1488:7 0 -15 *1482:8 *1488:8 0.0734216 -*RES -1 *2472:la_oenb_core[89] *1488:7 40.0896 -2 *1488:7 *1488:8 902.509 -3 *1488:8 *1488:10 4.5 -4 *1488:10 *2473:la_oenb[89] 48.4624 -*END - -*D_NET *1489 0.0411458 -*CONN -*I *2473:la_oenb[8] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[8] O *D mgmt_protect -*CAP -1 *2473:la_oenb[8] 0.00185544 -2 *2472:la_oenb_core[8] 0.0013439 -3 *1489:10 0.00185544 -4 *1489:8 0.00137292 -5 *1489:7 0.00271682 -6 *2473:la_oenb[8] *2472:mprj_dat_i_user[18] 0 -7 *2473:la_oenb[8] *1623:5 0.000221328 -8 *2472:la_data_out_core[8] *1489:7 0 -9 *2472:la_data_out_core[9] *1489:7 0 -10 *783:8 *1489:8 0.000223991 -11 *860:7 *1489:7 0 -12 *860:8 *1489:8 3.42853e-05 -13 *1105:8 *1489:8 0.000233938 -14 *1116:8 *1489:8 0.0153647 -15 *1478:8 *1489:8 0.015923 -*RES -1 *2472:la_oenb_core[8] *1489:7 41.3353 -2 *1489:7 *1489:8 175.978 -3 *1489:8 *1489:10 4.5 -4 *1489:10 *2473:la_oenb[8] 47.2166 -*END - -*D_NET *1490 0.227664 -*CONN -*I *2473:la_oenb[90] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[90] O *D mgmt_protect -*CAP -1 *2473:la_oenb[90] 0.00199837 -2 *2472:la_oenb_core[90] 0.00232639 -3 *1490:10 0.0220379 -4 *1490:9 0.0200395 -5 *1490:7 0.00232639 -6 *1490:10 *1496:8 0 -7 *2472:la_data_out_core[90] *1490:7 0 -8 *2473:la_data_in[48] *1490:7 0 -9 *851:7 *1490:7 0 -10 *1007:8 *1490:10 0.000868916 -11 *1071:8 *1490:10 0.000473848 -12 *1098:8 *1490:10 0.00508783 -13 *1100:8 *1490:10 0.00427931 -14 *1104:8 *1490:10 0.0959657 -15 *1108:8 *1490:10 0 -16 *1111:8 *1490:10 0 -17 *1113:8 *1490:10 0 -18 *1115:8 *1490:10 0 -19 *1379:8 *1490:10 0.00112836 -20 *1391:8 *1490:10 0.00107487 -21 *1399:8 *1490:10 0.000828329 -22 *1403:8 *1490:10 0.0672204 -23 *1452:10 *1490:10 0.000246453 -24 *1454:8 *1490:10 0.000355068 -25 *1457:12 *1490:10 0.000506175 -26 *1459:8 *1490:10 0.000654003 -27 *1465:14 *1490:10 0.000246453 -*RES -1 *2472:la_oenb_core[90] *1490:7 47.351 -2 *1490:7 *1490:9 3.36879 -3 *1490:9 *1490:10 126.106 -4 *1490:10 *2473:la_oenb[90] 42.488 -*END - -*D_NET *1491 0.238779 -*CONN -*I *2473:la_oenb[91] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[91] O *D mgmt_protect -*CAP -1 *2473:la_oenb[91] 0.00228312 -2 *2472:la_oenb_core[91] 0.00176251 -3 *1491:10 0.00228312 -4 *1491:8 0.0103985 -5 *1491:7 0.012161 -6 *2472:la_data_out_core[91] *1491:7 0 -7 *2472:la_data_out_core[92] *1491:7 0 -8 *852:7 *1491:7 0 -9 *1095:8 *1491:8 0.00480076 -10 *1103:8 *1491:8 0 -11 *1108:8 *1491:8 0.100347 -12 *1110:8 *1491:8 0.0986278 -13 *1480:8 *1491:8 0.00611461 -14 *1483:10 *1491:8 0 -*RES -1 *2472:la_oenb_core[91] *1491:7 39.9965 -2 *1491:7 *1491:8 128.247 -3 *1491:8 *1491:10 3.36879 -4 *1491:10 *2473:la_oenb[91] 47.4242 -*END - -*D_NET *1492 0.201832 -*CONN -*I *2473:la_oenb[92] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[92] O *D mgmt_protect -*CAP -1 *2473:la_oenb[92] 0.00154201 -2 *2472:la_oenb_core[92] 0.000291355 -3 *1492:14 0.0080588 -4 *1492:13 0.00651679 -5 *1492:11 0.00180451 -6 *1492:9 0.00209587 -7 *1492:14 *1494:20 0.0739792 -8 *2472:la_data_out_core[92] *1492:9 0 -9 *2473:la_oenb[48] *1492:11 3.49417e-05 -10 *853:5 *1492:9 0 -11 *853:7 *1492:9 7.1812e-05 -12 *853:7 *1492:11 0.00245299 -13 *1014:8 *1492:14 0.0365512 -14 *1113:8 *1492:14 0.0049225 -15 *1375:8 *1492:14 1.41689e-05 -16 *1449:18 *1492:14 0.00493959 -17 *1451:20 *1492:14 0.00278799 -18 *1472:10 *1492:14 0.0525029 -19 *1481:10 *1492:14 0.0032657 -*RES -1 *2472:la_oenb_core[92] *1492:9 7.73102 -2 *1492:9 *1492:11 47.9372 -3 *1492:11 *1492:13 4.5 -4 *1492:13 *1492:14 939.667 -5 *1492:14 *2473:la_oenb[92] 36.7675 -*END - -*D_NET *1493 0.204719 -*CONN -*I *2473:la_oenb[93] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[93] O *D mgmt_protect -*CAP -1 *2473:la_oenb[93] 0.00233013 -2 *2472:la_oenb_core[93] 0.00152704 -3 *1493:10 0.00233013 -4 *1493:8 0.00738319 -5 *1493:7 0.00891023 -6 *1493:8 *1495:8 0.0893201 -7 *2472:la_data_out_core[94] *1493:7 0 -8 *2473:la_data_in[49] *1493:7 0.000413324 -9 *854:7 *1493:7 0 -10 *1060:8 *1493:8 0.000344639 -11 *1062:8 *1493:8 0.000172773 -12 *1106:8 *1493:8 0.0839836 -13 *1109:11 *1493:7 0 -14 *1114:8 *1493:8 0.00800388 -*RES -1 *2472:la_oenb_core[93] *1493:7 39.2591 -2 *1493:7 *1493:8 956.305 -3 *1493:8 *1493:10 4.5 -4 *1493:10 *2473:la_oenb[93] 49.2929 -*END - -*D_NET *1494 0.207843 -*CONN -*I *2473:la_oenb[94] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[94] O *D mgmt_protect -*CAP -1 *2473:la_oenb[94] 0.00149639 -2 *2472:la_oenb_core[94] 0.00320703 -3 *1494:20 0.00698016 -4 *1494:19 0.00569468 -5 *1494:14 0.00110971 -6 *1494:13 0.00203479 -7 *1494:10 0.00434301 -8 *2472:la_data_out_core[94] *1494:10 0 -9 *2473:la_data_in[51] *1494:13 0.00117916 -10 *855:5 *1494:10 0 -11 *1014:8 *1494:20 0.00183679 -12 *1017:8 *1494:20 0.023951 -13 *1068:8 *1494:14 0.00128045 -14 *1099:8 *1494:10 0.00395802 -15 *1107:8 *1494:20 0.000183207 -16 *1113:8 *1494:20 0.00446762 -17 *1115:11 *1494:13 0 -18 *1379:8 *1494:14 0.000384538 -19 *1387:10 *1494:14 0.000277502 -20 *1450:14 *1494:14 0.00732031 -21 *1468:10 *1494:14 0.0104745 -22 *1481:10 *1494:20 0.0536853 -23 *1492:14 *1494:20 0.0739792 -*RES -1 *2472:la_oenb_core[94] *1494:10 36.0059 -2 *1494:10 *1494:13 29.7937 -3 *1494:13 *1494:14 111.09 -4 *1494:14 *1494:19 12.0778 -5 *1494:19 *1494:20 822.091 -6 *1494:20 *2473:la_oenb[94] 36.3523 -*END - -*D_NET *1495 0.214034 -*CONN -*I *2473:la_oenb[95] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[95] O *D mgmt_protect -*CAP -1 *2473:la_oenb[95] 0.00231371 -2 *2472:la_oenb_core[95] 0.00164168 -3 *1495:10 0.00231371 -4 *1495:8 0.00698692 -5 *1495:7 0.00862861 -6 *2472:la_data_out_core[95] *1495:7 0 -7 *2472:la_data_out_core[96] *1495:7 0 -8 *2473:la_oenb[49] *1495:7 8.01837e-05 -9 *856:7 *1495:7 0 -10 *1062:8 *1495:8 0.00020979 -11 *1112:8 *1495:8 0.0929249 -12 *1114:8 *1495:8 0.00961415 -13 *1493:8 *1495:8 0.0893201 -*RES -1 *2472:la_oenb_core[95] *1495:7 38.8438 -2 *1495:7 *1495:8 982.926 -3 *1495:8 *1495:10 4.5 -4 *1495:10 *2473:la_oenb[95] 49.7081 -*END - -*D_NET *1496 0.259344 -*CONN -*I *2473:la_oenb[96] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[96] O *D mgmt_protect -*CAP -1 *2473:la_oenb[96] 0.00146266 -2 *2472:la_oenb_core[96] 0.00240902 -3 *1496:8 0.0160358 -4 *1496:7 0.0145731 -5 *1496:5 0.00240902 -6 *2472:la_data_out_core[96] *1496:5 0 -7 *2473:la_data_in[50] *1496:5 0.000724021 -8 *857:5 *1496:5 0 -9 *1006:8 *1496:8 0 -10 *1017:8 *1496:8 0.00160401 -11 *1107:8 *1496:8 0.00406685 -12 *1111:8 *1496:8 0.104979 -13 *1113:8 *1496:8 0.107418 -14 *1481:10 *1496:8 0.00366233 -15 *1490:10 *1496:8 0 -*RES -1 *2472:la_oenb_core[96] *1496:5 52.4073 -2 *1496:5 *1496:7 3.36879 -3 *1496:7 *1496:8 137.426 -4 *1496:8 *2473:la_oenb[96] 35.0134 -*END - -*D_NET *1497 0.255926 -*CONN -*I *2473:la_oenb[97] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[97] O *D mgmt_protect -*CAP -1 *2473:la_oenb[97] 0.00225064 -2 *2472:la_oenb_core[97] 0.00169523 -3 *1497:10 0.00225064 -4 *1497:8 0.010943 -5 *1497:7 0.0126383 -6 *2472:la_data_out_core[98] *1497:7 0 -7 *858:7 *1497:7 0 -8 *1103:8 *1497:8 0 -9 *1106:8 *1497:8 0.00805459 -10 *1110:8 *1497:8 0.101894 -11 *1113:11 *1497:7 0 -12 *1114:8 *1497:8 0.109165 -13 *1488:8 *1497:8 0.00703449 -*RES -1 *2472:la_oenb_core[97] *1497:7 38.3355 -2 *1497:7 *1497:8 139.262 -3 *1497:8 *1497:10 3.36879 -4 *1497:10 *2473:la_oenb[97] 49.0853 -*END - -*D_NET *1498 0.26756 -*CONN -*I *2473:la_oenb[98] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[98] O *D mgmt_protect -*CAP -1 *2473:la_oenb[98] 0.00152829 -2 *2472:la_oenb_core[98] 0.00111822 -3 *1498:18 0.0155547 -4 *1498:17 0.0152323 -5 *1498:13 0.00232416 -6 *1498:13 *1499:7 3.88655e-06 -7 *1498:17 *1499:7 0.000536439 -8 *2472:la_data_out_core[98] *1498:13 0 -9 *2473:la_data_in[51] *1498:17 0 -10 *859:5 *1498:13 0 -11 *1014:8 *1498:18 0.00288695 -12 *1113:8 *1498:18 0.107067 -13 *1115:8 *1498:18 0.110132 -14 *1115:11 *1498:13 1.9101e-05 -15 *1115:11 *1498:17 0.00044603 -16 *1375:8 *1498:18 0.00642687 -17 *1449:12 *1498:13 0.000683029 -18 *1449:17 *1498:17 0.000272318 -19 *1451:12 *1498:13 0.000678803 -20 *1472:10 *1498:18 0.00265013 -*RES -1 *2472:la_oenb_core[98] *1498:13 39.7915 -2 *1498:13 *1498:17 31.7769 -3 *1498:17 *1498:18 140.027 -4 *1498:18 *2473:la_oenb[98] 36.6745 -*END - -*D_NET *1499 0.224157 -*CONN -*I *2473:la_oenb[99] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[99] O *D mgmt_protect -*CAP -1 *2473:la_oenb[99] 0.00227379 -2 *2472:la_oenb_core[99] 0.00116635 -3 *1499:10 0.00227379 -4 *1499:8 0.00766961 -5 *1499:7 0.00883597 -6 *734:7 *1499:7 0 -7 *1063:8 *1499:8 0.000110684 -8 *1065:8 *1499:8 0.000120705 -9 *1112:8 *1499:8 0.0917148 -10 *1114:8 *1499:8 0.000159297 -11 *1115:11 *1499:7 0.000345453 -12 *1374:8 *1499:8 0.0978286 -13 *1376:8 *1499:8 0.010549 -14 *1449:17 *1499:7 0.000568328 -15 *1498:13 *1499:7 3.88655e-06 -16 *1498:17 *1499:7 0.000536439 -*RES -1 *2472:la_oenb_core[99] *1499:7 38.0133 -2 *1499:7 *1499:8 1036.17 -3 *1499:8 *1499:10 4.5 -4 *1499:10 *2473:la_oenb[99] 50.5386 -*END - -*D_NET *1500 0.0311511 -*CONN -*I *2473:la_oenb[9] I *D user_analog_project_wrapper -*I *2472:la_oenb_core[9] O *D mgmt_protect -*CAP -1 *2473:la_oenb[9] 0.00166288 -2 *2472:la_oenb_core[9] 0.0013663 -3 *1500:10 0.00166288 -4 *1500:8 0.00230701 -5 *1500:7 0.00367331 -6 *2473:la_oenb[9] *2472:mprj_dat_i_user[21] 0.000936873 -7 *2473:la_oenb[9] *1627:7 0 -8 *2472:la_data_out_core[9] *1500:7 0 -9 *744:5 *1500:7 0 -10 *768:8 *1500:8 0 -11 *783:8 *1500:8 0.00427329 -12 *1039:12 *1500:8 5.03285e-05 -13 *1116:8 *1500:8 0.0152182 -*RES -1 *2472:la_oenb_core[9] *1500:7 42.1658 -2 *1500:7 *1500:8 163.222 -3 *1500:8 *1500:10 4.5 -4 *1500:10 *2473:la_oenb[9] 46.3861 -*END - -*D_NET *1501 0.0123259 -*CONN -*I *2471:mask_rev_in[0] I *D housekeeping -*I *2479:mask_rev[0] O *D user_id_programming -*CAP -1 *2471:mask_rev_in[0] 0.00129999 -2 *2479:mask_rev[0] 0.000194382 -3 *1501:12 0.00372149 -4 *1501:10 0.00261588 -5 *2471:mask_rev_in[0] *2471:mask_rev_in[1] 0 -6 *2471:mask_rev_in[0] *1526:11 0.000345725 -7 *1501:10 *1530:9 0 -8 *1501:12 *1512:11 0.00249075 -9 *1501:12 *1514:19 0 -10 *1501:12 *1530:10 0.00123205 -11 *1501:12 *1531:16 0 -12 *1501:12 *1532:16 0.000425627 -13 *64:51 *2471:mask_rev_in[0] 0 -14 *66:17 *2471:mask_rev_in[0] 0 -*RES -1 *2479:mask_rev[0] *1501:10 8.45803 -2 *1501:10 *1501:12 101.661 -3 *1501:12 *2471:mask_rev_in[0] 42.2269 -*END - -*D_NET *1502 0.0232945 -*CONN -*I *2471:mask_rev_in[10] I *D housekeeping -*I *2479:mask_rev[10] O *D user_id_programming -*CAP -1 *2471:mask_rev_in[10] 0.00090124 -2 *2479:mask_rev[10] 0.000613924 -3 *1502:11 0.00218445 -4 *1502:10 0.00128321 -5 *1502:8 0.00066859 -6 *1502:7 0.00128251 -7 *2471:mask_rev_in[10] *2471:mask_rev_in[9] 0.00196916 -8 *2471:mask_rev_in[10] *1503:17 0.000134832 -9 *2471:mask_rev_in[10] *1530:10 1.92094e-05 -10 *1502:7 *1526:7 0 -11 *1502:7 *1531:7 0 -12 *1502:8 *1503:8 0.00511026 -13 *1502:8 *1504:8 0.000767831 -14 *1502:8 *1508:10 0.00404588 -15 *1502:8 *1526:8 0.000622925 -16 *1502:8 *1531:8 8.47748e-05 -17 *1502:11 *2471:mask_rev_in[9] 0 -18 *1502:11 *1503:11 0.00360571 -*RES -1 *2479:mask_rev[10] *1502:7 21.8185 -2 *1502:7 *1502:8 71.1581 -3 *1502:8 *1502:10 4.5 -4 *1502:10 *1502:11 57.891 -5 *1502:11 *2471:mask_rev_in[10] 46.486 -*END - -*D_NET *1503 0.0221878 -*CONN -*I *2471:mask_rev_in[11] I *D housekeeping -*I *2479:mask_rev[11] O *D user_id_programming -*CAP -1 *2471:mask_rev_in[11] 7.21667e-05 -2 *2479:mask_rev[11] 0.000644592 -3 *1503:17 0.00141843 -4 *1503:11 0.00209148 -5 *1503:10 0.000745214 -6 *1503:8 0.000307369 -7 *1503:7 0.00095196 -8 *1503:7 *1504:7 0 -9 *1503:8 *1504:8 1.00981e-05 -10 *1503:8 *1526:8 0.00516758 -11 *1503:11 *2471:mask_rev_in[9] 0 -12 *1503:11 *1504:11 0.00174648 -13 *1503:17 *2471:mask_rev_in[12] 0 -14 *1503:17 *1530:10 0 -15 *1503:17 *2223:11 0.000181643 -16 *2471:mask_rev_in[10] *1503:17 0.000134832 -17 *1502:8 *1503:8 0.00511026 -18 *1502:11 *1503:11 0.00360571 -*RES -1 *2479:mask_rev[11] *1503:7 22.2337 -2 *1503:7 *1503:8 53.9653 -3 *1503:8 *1503:10 4.5 -4 *1503:10 *1503:11 59.1368 -5 *1503:11 *1503:17 48.0405 -6 *1503:17 *2471:mask_rev_in[11] 2.05183 -*END - -*D_NET *1504 0.0163898 -*CONN -*I *2471:mask_rev_in[12] I *D housekeeping -*I *2479:mask_rev[12] O *D user_id_programming -*CAP -1 *2471:mask_rev_in[12] 0.00110389 -2 *2479:mask_rev[12] 0.000584713 -3 *1504:16 0.00130066 -4 *1504:11 0.001781 -5 *1504:10 0.00158423 -6 *1504:8 0.00114861 -7 *1504:7 0.00173333 -8 *1504:8 *1508:10 0.0030922 -9 *1504:8 *1526:8 2.01874e-05 -10 *1504:11 *2471:mask_rev_in[7] 0 -11 *1504:11 *2471:mask_rev_in[8] 0 -12 *1504:11 *2471:mask_rev_in[9] 0 -13 *1504:16 *1528:19 0.00120988 -14 *1504:16 *2223:11 0.000306662 -15 *1502:8 *1504:8 0.000767831 -16 *1503:7 *1504:7 0 -17 *1503:8 *1504:8 1.00981e-05 -18 *1503:11 *1504:11 0.00174648 -19 *1503:17 *2471:mask_rev_in[12] 0 -*RES -1 *2479:mask_rev[12] *1504:7 20.988 -2 *1504:7 *1504:8 51.7469 -3 *1504:8 *1504:10 4.5 -4 *1504:10 *1504:11 60.3826 -5 *1504:11 *1504:16 21.9247 -6 *1504:16 *2471:mask_rev_in[12] 30.6676 -*END - -*D_NET *1505 0.0117104 -*CONN -*I *2471:mask_rev_in[13] I *D housekeeping -*I *2479:mask_rev[13] O *D user_id_programming -*CAP -1 *2471:mask_rev_in[13] 0.00100945 -2 *2479:mask_rev[13] 0.000158022 -3 *1505:8 0.00163848 -4 *1505:7 0.000787051 -5 *2471:mask_rev_in[13] *2471:mask_rev_in[14] 0 -6 *1505:7 *2471:mask_rev_in[26] 0 -7 *1505:7 *2471:mask_rev_in[29] 2.70576e-05 -8 *1505:7 *1517:10 0 -9 *1505:8 *2471:mask_rev_in[16] 9.8904e-05 -10 *1505:8 *1506:16 0.00233837 -11 *1505:8 *1527:13 0.00565311 -*RES -1 *2479:mask_rev[13] *1505:7 8.53039 -2 *1505:7 *1505:8 60.066 -3 *1505:8 *2471:mask_rev_in[13] 32.6761 -*END - -*D_NET *1506 0.0150084 -*CONN -*I *2471:mask_rev_in[14] I *D housekeeping -*I *2479:mask_rev[14] O *D user_id_programming -*CAP -1 *2471:mask_rev_in[14] 0.00104547 -2 *2479:mask_rev[14] 0.000665553 -3 *1506:16 0.00228142 -4 *1506:15 0.00193115 -5 *1506:12 0.00136076 -6 *2471:mask_rev_in[14] *2471:mask_rev_in[15] 0 -7 *1506:12 *1514:16 0.000287346 -8 *1506:12 *1525:15 0.000892705 -9 *1506:15 *1507:16 0.00141003 -10 *1506:15 *1527:12 0 -11 *1506:16 *2471:mask_rev_in[16] 0.000430352 -12 *1506:16 *2471:mask_rev_in[25] 0.0012501 -13 *1506:16 *2471:mask_rev_in[26] 0.000214838 -14 *1506:16 *1527:13 0.000203053 -15 *1506:16 *1528:13 0.00069725 -16 *1506:16 *2223:11 0 -17 *2471:mask_rev_in[13] *2471:mask_rev_in[14] 0 -18 *1505:8 *1506:16 0.00233837 -*RES -1 *2479:mask_rev[14] *1506:12 32.9587 -2 *1506:12 *1506:15 32.4929 -3 *1506:15 *1506:16 67.8304 -4 *1506:16 *2471:mask_rev_in[14] 33.5066 -*END - -*D_NET *1507 0.0151464 -*CONN -*I *2471:mask_rev_in[15] I *D housekeeping -*I *2479:mask_rev[15] O *D user_id_programming -*CAP -1 *2471:mask_rev_in[15] 0.000888956 -2 *2479:mask_rev[15] 0.000595023 -3 *1507:17 0.00248419 -4 *1507:16 0.00219026 -5 *2471:mask_rev_in[15] *2471:mask_rev_in[16] 0.0016928 -6 *2471:mask_rev_in[15] *1529:10 0 -7 *1507:16 *1513:16 0.00135814 -8 *1507:16 *1514:16 0.00012693 -9 *1507:16 *1527:12 4.3116e-06 -10 *1507:17 *1513:19 0.00404459 -11 *1507:17 *1530:10 0.000351195 -12 *1507:17 *2223:11 0 -13 *2471:mask_rev_in[14] *2471:mask_rev_in[15] 0 -14 *1506:15 *1507:16 0.00141003 -*RES -1 *2479:mask_rev[15] *1507:16 36.8545 -2 *1507:16 *1507:17 63.3936 -3 *1507:17 *2471:mask_rev_in[15] 38.9049 -*END - -*D_NET *1508 0.0178273 -*CONN -*I *2471:mask_rev_in[16] I *D housekeeping -*I *2479:mask_rev[16] O *D user_id_programming -*CAP -1 *2471:mask_rev_in[16] 0.000863379 -2 *2479:mask_rev[16] 0.000599318 -3 *1508:11 0.00310972 -4 *1508:10 0.00264562 -5 *1508:7 0.0009986 -6 *2471:mask_rev_in[16] *1527:13 1.62206e-05 -7 *2471:mask_rev_in[16] *1528:13 0.000234276 -8 *2471:mask_rev_in[16] *1529:10 0 -9 *2471:mask_rev_in[15] *2471:mask_rev_in[16] 0.0016928 -10 *1502:8 *1508:10 0.00404588 -11 *1504:8 *1508:10 0.0030922 -12 *1505:8 *2471:mask_rev_in[16] 9.8904e-05 -13 *1506:16 *2471:mask_rev_in[16] 0.000430352 -*RES -1 *2479:mask_rev[16] *1508:7 21.4032 -2 *1508:7 *1508:10 46.8187 -3 *1508:10 *1508:11 62.0436 -4 *1508:11 *2471:mask_rev_in[16] 48.0189 -*END - -*D_NET *1509 0.00495375 -*CONN -*I *2471:mask_rev_in[17] I *D housekeeping -*I *2479:mask_rev[17] O *D user_id_programming -*CAP -1 *2471:mask_rev_in[17] 0.000835149 -2 *2479:mask_rev[17] 0.000988024 -3 *1509:10 0.00182317 -4 *2471:mask_rev_in[17] *2471:mask_rev_in[18] 0 -5 *1509:10 *2471:mask_rev_in[23] 0 -6 *1509:10 *2471:mask_rev_in[25] 0 -7 *1509:10 *1511:10 0.0013074 -8 *1509:10 *1527:13 0 -*RES -1 *2479:mask_rev[17] *1509:10 39.3965 -2 *1509:10 *2471:mask_rev_in[17] 23.6083 -*END - -*D_NET *1510 0.00380909 -*CONN -*I *2471:mask_rev_in[18] I *D housekeeping -*I *2479:mask_rev[18] O *D user_id_programming -*CAP -1 *2471:mask_rev_in[18] 0.00190455 -2 *2479:mask_rev[18] 0.00190455 -3 *2471:mask_rev_in[18] *2471:mask_rev_in[19] 0 -4 *2471:mask_rev_in[18] *1529:10 0 -5 *2471:mask_rev_in[17] *2471:mask_rev_in[18] 0 -*RES -1 *2479:mask_rev[18] *2471:mask_rev_in[18] 47.4969 -*END - -*D_NET *1511 0.00514811 -*CONN -*I *2471:mask_rev_in[19] I *D housekeeping -*I *2479:mask_rev[19] O *D user_id_programming -*CAP -1 *2471:mask_rev_in[19] 0.000818143 -2 *2479:mask_rev[19] 0.000968793 -3 *1511:10 0.00178694 -4 *2471:mask_rev_in[19] *2471:mask_rev_in[20] 0 -5 *1511:10 *2471:mask_rev_in[25] 0 -6 *1511:10 *1517:10 0.000266832 -7 *2471:mask_rev_in[18] *2471:mask_rev_in[19] 0 -8 *1509:10 *1511:10 0.0013074 -*RES -1 *2479:mask_rev[19] *1511:10 39.8117 -2 *1511:10 *2471:mask_rev_in[19] 23.1931 -*END - -*D_NET *1512 0.013055 -*CONN -*I *2471:mask_rev_in[1] I *D housekeeping -*I *2479:mask_rev[1] O *D user_id_programming -*CAP -1 *2471:mask_rev_in[1] 0.00140529 -2 *2479:mask_rev[1] 0.00106167 -3 *1512:11 0.00225066 -4 *1512:10 0.00190704 -5 *2471:mask_rev_in[1] *2471:mask_rev_in[2] 0 -6 *2471:mask_rev_in[1] *1526:11 0 -7 *1512:10 *1523:10 0 -8 *1512:10 *1529:10 0.000583389 -9 *1512:11 *1523:11 0.000652867 -10 *1512:11 *1532:16 0.00270333 -11 *2471:mask_rev_in[0] *2471:mask_rev_in[1] 0 -12 *1501:12 *1512:11 0.00249075 -*RES -1 *2479:mask_rev[1] *1512:10 26.3084 -2 *1512:10 *1512:11 59.5114 -3 *1512:11 *2471:mask_rev_in[1] 43.0574 -*END - -*D_NET *1513 0.0146849 -*CONN -*I *2471:mask_rev_in[20] I *D housekeeping -*I *2479:mask_rev[20] O *D user_id_programming -*CAP -1 *2471:mask_rev_in[20] 0.00128404 -2 *2479:mask_rev[20] 0.000443979 -3 *1513:19 0.00163627 -4 *1513:16 0.000796209 -5 *2471:mask_rev_in[20] *2471:mask_rev_in[21] 0 -6 *2471:mask_rev_in[20] *1518:12 0 -7 *1513:16 *1514:16 0.00136446 -8 *1513:16 *1527:12 7.77309e-06 -9 *1513:19 *1514:19 0.00363262 -10 *1513:19 *1530:10 4.02303e-05 -11 *1513:19 *1532:15 7.65564e-05 -12 *2471:mask_rev_in[19] *2471:mask_rev_in[20] 0 -13 *1507:16 *1513:16 0.00135814 -14 *1507:17 *1513:19 0.00404459 -*RES -1 *2479:mask_rev[20] *1513:16 32.1736 -2 *1513:16 *1513:19 47.3733 -3 *1513:19 *2471:mask_rev_in[20] 34.8201 -*END - -*D_NET *1514 0.0139159 -*CONN -*I *2471:mask_rev_in[21] I *D housekeeping -*I *2479:mask_rev[21] O *D user_id_programming -*CAP -1 *2471:mask_rev_in[21] 0.000821266 -2 *2479:mask_rev[21] 0.000716483 -3 *1514:19 0.0014583 -4 *1514:16 0.00135352 -5 *2471:mask_rev_in[21] *2471:mask_rev_in[22] 0.00199039 -6 *2471:mask_rev_in[21] *1516:16 0.000101246 -7 *2471:mask_rev_in[21] *1518:12 3.67709e-05 -8 *1514:16 *1525:15 4.90912e-05 -9 *1514:16 *1527:12 0.000893274 -10 *1514:19 *1530:10 0.000333145 -11 *1514:19 *1532:15 0.000751057 -12 *2471:mask_rev_in[20] *2471:mask_rev_in[21] 0 -13 *1501:12 *1514:19 0 -14 *1506:12 *1514:16 0.000287346 -15 *1507:16 *1514:16 0.00012693 -16 *1513:16 *1514:16 0.00136446 -17 *1513:19 *1514:19 0.00363262 -*RES -1 *2479:mask_rev[21] *1514:16 41.007 -2 *1514:16 *1514:19 42.9364 -3 *1514:19 *2471:mask_rev_in[21] 35.2354 -*END - -*D_NET *1515 0.00400691 -*CONN -*I *2471:mask_rev_in[22] I *D housekeeping -*I *2479:mask_rev[22] O *D user_id_programming -*CAP -1 *2471:mask_rev_in[22] 0.00100826 -2 *2479:mask_rev[22] 0.00100826 -3 *2471:mask_rev_in[22] *2471:mask_rev_in[23] 0 -4 *2471:mask_rev_in[22] *1520:7 0 -5 *2471:mask_rev_in[21] *2471:mask_rev_in[22] 0.00199039 -*RES -1 *2479:mask_rev[22] *2471:mask_rev_in[22] 37.9848 -*END - -*D_NET *1516 0.00796338 -*CONN -*I *2471:mask_rev_in[23] I *D housekeeping -*I *2479:mask_rev[23] O *D user_id_programming -*CAP -1 *2471:mask_rev_in[23] 0.000912134 -2 *2479:mask_rev[23] 0.000293814 -3 *1516:16 0.00197978 -4 *1516:12 0.00136146 -5 *2471:mask_rev_in[23] *1520:7 0.000814346 -6 *1516:16 *1518:12 0.00183782 -7 *1516:16 *1528:13 0.000192188 -8 *1516:16 *2223:11 0.000470585 -9 *2471:mask_rev_in[21] *1516:16 0.000101246 -10 *2471:mask_rev_in[22] *2471:mask_rev_in[23] 0 -11 *1509:10 *2471:mask_rev_in[23] 0 -*RES -1 *2479:mask_rev[23] *1516:12 16.8644 -2 *1516:12 *1516:16 48.8649 -3 *1516:16 *2471:mask_rev_in[23] 35.9981 -*END - -*D_NET *1517 0.00513722 -*CONN -*I *2471:mask_rev_in[24] I *D housekeeping -*I *2479:mask_rev[24] O *D user_id_programming -*CAP -1 *2471:mask_rev_in[24] 0.000547886 -2 *2479:mask_rev[24] 0.000971421 -3 *1517:10 0.00151931 -4 *2471:mask_rev_in[24] *2471:mask_rev_in[25] 0.00133833 -5 *1517:10 *2471:mask_rev_in[28] 0.000377259 -6 *1517:10 *2471:mask_rev_in[29] 0.000116179 -7 *1517:10 *1527:13 0 -8 *1505:7 *1517:10 0 -9 *1511:10 *1517:10 0.000266832 -*RES -1 *2479:mask_rev[24] *1517:10 38.2873 -2 *1517:10 *2471:mask_rev_in[24] 23.0465 -*END - -*D_NET *1518 0.00881643 -*CONN -*I *2471:mask_rev_in[25] I *D housekeeping -*I *2479:mask_rev[25] O *D user_id_programming -*CAP -1 *2471:mask_rev_in[25] 0.00103228 -2 *2479:mask_rev[25] 0.00088935 -3 *1518:12 0.00192163 -4 *2471:mask_rev_in[25] *1528:13 0.000308415 -5 *1518:12 *1532:15 0.000201734 -6 *2471:mask_rev_in[20] *1518:12 0 -7 *2471:mask_rev_in[21] *1518:12 3.67709e-05 -8 *2471:mask_rev_in[24] *2471:mask_rev_in[25] 0.00133833 -9 *1506:16 *2471:mask_rev_in[25] 0.0012501 -10 *1509:10 *2471:mask_rev_in[25] 0 -11 *1511:10 *2471:mask_rev_in[25] 0 -12 *1516:16 *1518:12 0.00183782 -*RES -1 *2479:mask_rev[25] *1518:12 40.3719 -2 *1518:12 *2471:mask_rev_in[25] 47.4011 -*END - -*D_NET *1519 0.00297337 -*CONN -*I *2471:mask_rev_in[26] I *D housekeeping -*I *2479:mask_rev[26] O *D user_id_programming -*CAP -1 *2471:mask_rev_in[26] 0.00125932 -2 *2479:mask_rev[26] 0.00125932 -3 *2471:mask_rev_in[26] *2471:mask_rev_in[27] 2.01457e-05 -4 *2471:mask_rev_in[26] *1528:13 0.000219753 -5 *1505:7 *2471:mask_rev_in[26] 0 -6 *1506:16 *2471:mask_rev_in[26] 0.000214838 -*RES -1 *2479:mask_rev[26] *2471:mask_rev_in[26] 46.3667 -*END - -*D_NET *1520 0.00402976 -*CONN -*I *2471:mask_rev_in[27] I *D housekeeping -*I *2479:mask_rev[27] O *D user_id_programming -*CAP -1 *2471:mask_rev_in[27] 0.000804623 -2 *2479:mask_rev[27] 0.000793009 -3 *1520:7 0.00159763 -4 *2471:mask_rev_in[22] *1520:7 0 -5 *2471:mask_rev_in[23] *1520:7 0.000814346 -6 *2471:mask_rev_in[26] *2471:mask_rev_in[27] 2.01457e-05 -*RES -1 *2479:mask_rev[27] *1520:7 33.0303 -2 *1520:7 *2471:mask_rev_in[27] 25.3415 -*END - -*D_NET *1521 0.00325602 -*CONN -*I *2471:mask_rev_in[28] I *D housekeeping -*I *2479:mask_rev[28] O *D user_id_programming -*CAP -1 *2471:mask_rev_in[28] 0.00131317 -2 *2479:mask_rev[28] 0.00131317 -3 *2471:mask_rev_in[28] *2471:mask_rev_in[29] 0 -4 *2471:mask_rev_in[28] *2471:mask_rev_in[30] 0.000252418 -5 *1517:10 *2471:mask_rev_in[28] 0.000377259 -*RES -1 *2479:mask_rev[28] *2471:mask_rev_in[28] 48.5851 -*END - -*D_NET *1522 0.00549948 -*CONN -*I *2471:mask_rev_in[29] I *D housekeeping -*I *2479:mask_rev[29] O *D user_id_programming -*CAP -1 *2471:mask_rev_in[29] 0.00267812 -2 *2479:mask_rev[29] 0.00267812 -3 *2471:mask_rev_in[28] *2471:mask_rev_in[29] 0 -4 *1505:7 *2471:mask_rev_in[29] 2.70576e-05 -5 *1517:10 *2471:mask_rev_in[29] 0.000116179 -*RES -1 *2479:mask_rev[29] *2471:mask_rev_in[29] 42.6624 -*END - -*D_NET *1523 0.0113848 -*CONN -*I *2471:mask_rev_in[2] I *D housekeeping -*I *2479:mask_rev[2] O *D user_id_programming -*CAP -1 *2471:mask_rev_in[2] 0.00102638 -2 *2479:mask_rev[2] 0.00151638 -3 *1523:11 0.00242211 -4 *1523:10 0.0029121 -5 *2471:mask_rev_in[2] *2471:mask_rev_in[3] 0.00187001 -6 *1523:10 *1529:10 0 -7 *1523:11 *1532:16 0.000984954 -8 *2471:mask_rev_in[1] *2471:mask_rev_in[2] 0 -9 *1512:10 *1523:10 0 -10 *1512:11 *1523:11 0.000652867 -*RES -1 *2479:mask_rev[2] *1523:10 30.8106 -2 *1523:10 *1523:11 50.6377 -3 *1523:11 *2471:mask_rev_in[2] 43.7413 -*END - -*D_NET *1524 0.00464005 -*CONN -*I *2471:mask_rev_in[30] I *D housekeeping -*I *2479:mask_rev[30] O *D user_id_programming -*CAP -1 *2471:mask_rev_in[30] 0.00101047 -2 *2479:mask_rev[30] 0.00101047 -3 *2471:mask_rev_in[30] *2471:mask_rev_in[31] 0.00236668 -4 *2471:mask_rev_in[28] *2471:mask_rev_in[30] 0.000252418 -*RES -1 *2479:mask_rev[30] *2471:mask_rev_in[30] 44.8089 -*END - -*D_NET *1525 0.00913827 -*CONN -*I *2471:mask_rev_in[31] I *D housekeeping -*I *2479:mask_rev[31] O *D user_id_programming -*CAP -1 *2471:mask_rev_in[31] 0.000805002 -2 *2479:mask_rev[31] 0.000861435 -3 *1525:15 0.00162241 -4 *1525:12 0.00167884 -5 *2471:mask_rev_in[31] *1527:12 7.90402e-05 -6 *2471:mask_rev_in[31] *1528:12 0.000747364 -7 *1525:15 *1527:12 0 -8 *1525:15 *1528:12 3.57027e-05 -9 *2471:mask_rev_in[30] *2471:mask_rev_in[31] 0.00236668 -10 *1506:12 *1525:15 0.000892705 -11 *1514:16 *1525:15 4.90912e-05 -*RES -1 *2479:mask_rev[31] *1525:12 32.3789 -2 *1525:12 *1525:15 29.4951 -3 *1525:15 *2471:mask_rev_in[31] 41.7939 -*END - -*D_NET *1526 0.0267881 -*CONN -*I *2471:mask_rev_in[3] I *D housekeeping -*I *2479:mask_rev[3] O *D user_id_programming -*CAP -1 *2471:mask_rev_in[3] 0.000979909 -2 *2479:mask_rev[3] 0.000649945 -3 *1526:11 0.0030414 -4 *1526:10 0.00206149 -5 *1526:8 0.00138764 -6 *1526:7 0.00203758 -7 *2471:mask_rev_in[3] *2223:11 0.000785004 -8 *1526:8 *1531:8 0.00781876 -9 *2471:mask_rev_in[0] *1526:11 0.000345725 -10 *2471:mask_rev_in[1] *1526:11 0 -11 *2471:mask_rev_in[2] *2471:mask_rev_in[3] 0.00187001 -12 *64:51 *1526:11 0 -13 *1502:7 *1526:7 0 -14 *1502:8 *1526:8 0.000622925 -15 *1503:8 *1526:8 0.00516758 -16 *1504:8 *1526:8 2.01874e-05 -*RES -1 *2479:mask_rev[3] *1526:7 22.649 -2 *1526:7 *1526:8 100.552 -3 *1526:8 *1526:10 4.5 -4 *1526:10 *1526:11 60.3826 -5 *1526:11 *2471:mask_rev_in[3] 49.8165 -*END - -*D_NET *1527 0.0172097 -*CONN -*I *2471:mask_rev_in[4] I *D housekeeping -*I *2479:mask_rev[4] O *D user_id_programming -*CAP -1 *2471:mask_rev_in[4] 0.00097339 -2 *2479:mask_rev[4] 0.000694684 -3 *1527:13 0.00403786 -4 *1527:12 0.00375916 -5 *2471:mask_rev_in[4] *2471:mask_rev_in[5] 0 -6 *2471:mask_rev_in[4] *2260:15 3.31733e-05 -7 *1527:12 *1528:12 0.000854635 -8 *1527:13 *1528:13 0 -9 *1527:13 *1528:19 0 -10 *1527:13 *1529:13 0 -11 *1527:13 *2223:11 0 -12 *2471:mask_rev_in[16] *1527:13 1.62206e-05 -13 *2471:mask_rev_in[31] *1527:12 7.90402e-05 -14 *1505:8 *1527:13 0.00565311 -15 *1506:15 *1527:12 0 -16 *1506:16 *1527:13 0.000203053 -17 *1507:16 *1527:12 4.3116e-06 -18 *1509:10 *1527:13 0 -19 *1513:16 *1527:12 7.77309e-06 -20 *1514:16 *1527:12 0.000893274 -21 *1517:10 *1527:13 0 -22 *1525:15 *1527:12 0 -*RES -1 *2479:mask_rev[4] *1527:12 30.4059 -2 *1527:12 *1527:13 104.989 -3 *1527:13 *2471:mask_rev_in[4] 32.2608 -*END - -*D_NET *1528 0.0141319 -*CONN -*I *2471:mask_rev_in[5] I *D housekeeping -*I *2479:mask_rev[5] O *D user_id_programming -*CAP -1 *2471:mask_rev_in[5] 0.00107564 -2 *2479:mask_rev[5] 0.000379773 -3 *1528:19 0.0018502 -4 *1528:13 0.00241719 -5 *1528:12 0.0020224 -6 *2471:mask_rev_in[5] *2471:mask_rev_in[6] 0 -7 *2471:mask_rev_in[5] *2260:15 0 -8 *2471:mask_rev_in[5] *2260:17 0 -9 *1528:13 *2223:11 0.00163712 -10 *1528:19 *2223:11 0.000250096 -11 *2471:mask_rev_in[16] *1528:13 0.000234276 -12 *2471:mask_rev_in[25] *1528:13 0.000308415 -13 *2471:mask_rev_in[26] *1528:13 0.000219753 -14 *2471:mask_rev_in[31] *1528:12 0.000747364 -15 *2471:mask_rev_in[4] *2471:mask_rev_in[5] 0 -16 *1504:16 *1528:19 0.00120988 -17 *1506:16 *1528:13 0.00069725 -18 *1516:16 *1528:13 0.000192188 -19 *1525:15 *1528:12 3.57027e-05 -20 *1527:12 *1528:12 0.000854635 -21 *1527:13 *1528:13 0 -22 *1527:13 *1528:19 0 -*RES -1 *2479:mask_rev[5] *1528:12 22.5161 -2 *1528:12 *1528:13 73.0992 -3 *1528:13 *1528:19 32.7004 -4 *1528:19 *2471:mask_rev_in[5] 30.2523 -*END - -*D_NET *1529 0.00818377 -*CONN -*I *2471:mask_rev_in[6] I *D housekeeping -*I *2479:mask_rev[6] O *D user_id_programming -*CAP -1 *2471:mask_rev_in[6] 0.000876564 -2 *2479:mask_rev[6] 0.00140762 -3 *1529:13 0.00239257 -4 *1529:10 0.00292362 -5 *2471:mask_rev_in[6] *2471:mask_rev_in[7] 0 -6 *2471:mask_rev_in[15] *1529:10 0 -7 *2471:mask_rev_in[16] *1529:10 0 -8 *2471:mask_rev_in[18] *1529:10 0 -9 *2471:mask_rev_in[5] *2471:mask_rev_in[6] 0 -10 *1512:10 *1529:10 0.000583389 -11 *1523:10 *1529:10 0 -12 *1527:13 *1529:13 0 -*RES -1 *2479:mask_rev[6] *1529:10 33.4442 -2 *1529:10 *1529:13 43.491 -3 *1529:13 *2471:mask_rev_in[6] 24.8541 -*END - -*D_NET *1530 0.0103638 -*CONN -*I *2471:mask_rev_in[7] I *D housekeeping -*I *2479:mask_rev[7] O *D user_id_programming -*CAP -1 *2471:mask_rev_in[7] 0.00084567 -2 *2479:mask_rev[7] 0.000134258 -3 *1530:10 0.00257712 -4 *1530:9 0.00186571 -5 *2471:mask_rev_in[7] *2471:mask_rev_in[8] 0.00200538 -6 *1530:10 *1531:16 9.19886e-06 -7 *1530:10 *1532:15 0.000950584 -8 *1530:10 *2223:11 0 -9 *2471:mask_rev_in[10] *1530:10 1.92094e-05 -10 *2471:mask_rev_in[6] *2471:mask_rev_in[7] 0 -11 *1501:10 *1530:9 0 -12 *1501:12 *1530:10 0.00123205 -13 *1503:17 *1530:10 0 -14 *1504:11 *2471:mask_rev_in[7] 0 -15 *1507:17 *1530:10 0.000351195 -16 *1513:19 *1530:10 4.02303e-05 -17 *1514:19 *1530:10 0.000333145 -*RES -1 *2479:mask_rev[7] *1530:9 6.64954 -2 *1530:9 *1530:10 71.1581 -3 *1530:10 *2471:mask_rev_in[7] 40.0041 -*END - -*D_NET *1531 0.0216358 -*CONN -*I *2471:mask_rev_in[8] I *D housekeeping -*I *2479:mask_rev[8] O *D user_id_programming -*CAP -1 *2471:mask_rev_in[8] 0.000800198 -2 *2479:mask_rev[8] 0.00066014 -3 *1531:16 0.0011591 -4 *1531:11 0.00245631 -5 *1531:10 0.00209741 -6 *1531:8 0.00194218 -7 *1531:7 0.00260232 -8 *1531:11 *2260:17 0 -9 *1531:16 *2223:11 0 -10 *2471:mask_rev_in[7] *2471:mask_rev_in[8] 0.00200538 -11 *1501:12 *1531:16 0 -12 *1502:7 *1531:7 0 -13 *1502:8 *1531:8 8.47748e-05 -14 *1504:11 *2471:mask_rev_in[8] 0 -15 *1526:8 *1531:8 0.00781876 -16 *1530:10 *1531:16 9.19886e-06 -*RES -1 *2479:mask_rev[8] *1531:7 23.0642 -2 *1531:7 *1531:8 89.46 -3 *1531:8 *1531:10 4.5 -4 *1531:10 *1531:11 58.7215 -5 *1531:11 *1531:16 18.5971 -6 *1531:16 *2471:mask_rev_in[8] 34.4049 -*END - -*D_NET *1532 0.0123254 -*CONN -*I *2471:mask_rev_in[9] I *D housekeeping -*I *2479:mask_rev[9] O *D user_id_programming -*CAP -1 *2471:mask_rev_in[9] 0.000983892 -2 *2479:mask_rev[9] 0.000339086 -3 *1532:16 0.0017921 -4 *1532:15 0.00114729 -5 *2471:mask_rev_in[10] *2471:mask_rev_in[9] 0.00196916 -6 *1501:12 *1532:16 0.000425627 -7 *1502:11 *2471:mask_rev_in[9] 0 -8 *1503:11 *2471:mask_rev_in[9] 0 -9 *1504:11 *2471:mask_rev_in[9] 0 -10 *1512:11 *1532:16 0.00270333 -11 *1513:19 *1532:15 7.65564e-05 -12 *1514:19 *1532:15 0.000751057 -13 *1518:12 *1532:15 0.000201734 -14 *1523:11 *1532:16 0.000984954 -15 *1530:10 *1532:15 0.000950584 -*RES -1 *2479:mask_rev[9] *1532:15 29.2942 -2 *1532:15 *1532:16 45.6463 -3 *1532:16 *2471:mask_rev_in[9] 42.9108 -*END - -*D_NET *1533 0.0136905 -*CONN -*I *2471:mgmt_gpio_in[0] I *D housekeeping -*I *2444:mgmt_gpio_in O *D gpio_control_block -*CAP -1 *2471:mgmt_gpio_in[0] 0.00141101 -2 *2444:mgmt_gpio_in 0.000963386 -3 *1533:16 0.00304799 -4 *1533:15 0.00260037 -5 *1533:15 *1777:13 0.000912063 -6 *1533:15 *1804:13 2.73318e-05 -7 *498:8 *1533:16 0.00472831 -*RES -1 *2444:mgmt_gpio_in *1533:15 35.9942 -2 *1533:15 *1533:16 75.5393 -3 *1533:16 *2471:mgmt_gpio_in[0] 8.48033 -*END - -*D_NET *1534 0.387978 -*CONN -*I *2451:mgmt_gpio_out I *D gpio_control_block -*I *2451:mgmt_gpio_in O *D gpio_control_block -*I *2471:mgmt_gpio_in[10] I *D housekeeping -*I *2471:mgmt_gpio_out[10] O *D housekeeping -*CAP -1 *2451:mgmt_gpio_out 0.000281191 -2 *2451:mgmt_gpio_in 7.25379e-05 -3 *2471:mgmt_gpio_in[10] 0.000326108 -4 *2471:mgmt_gpio_out[10] 0.000131986 -5 *1534:26 0.000464922 -6 *1534:23 0.0017248 -7 *1534:20 0.0276906 -8 *1534:19 0.0273876 -9 *1534:5 0.00176866 -10 *2471:mgmt_gpio_in[10] *2020:15 0.00130366 -11 *2471:mgmt_gpio_in[10] *2031:11 0.000982761 -12 *1534:20 *1535:20 0.170447 -13 *1534:20 *1544:14 0.00045563 -14 *1534:20 *1570:20 0.154787 -15 *113:14 *1534:20 0 -16 *525:8 *2471:mgmt_gpio_in[10] 0.000153775 -*RES -1 *2471:mgmt_gpio_out[10] *1534:5 0.369148 -2 *1534:5 *2471:mgmt_gpio_in[10] 28.0403 -3 *1534:5 *1534:19 8.00808 -4 *1534:19 *1534:20 2754.75 -5 *1534:20 *1534:23 45.7095 -6 *1534:23 *1534:26 7.37013 -7 *1534:26 *2451:mgmt_gpio_in 3.59493 -8 *1534:26 *2451:mgmt_gpio_out 8.86202 -*END - -*D_NET *1535 0.422731 -*CONN -*I *2452:mgmt_gpio_out I *D gpio_control_block -*I *2452:mgmt_gpio_in O *D gpio_control_block -*I *2471:mgmt_gpio_in[11] I *D housekeeping -*I *2471:mgmt_gpio_out[11] O *D housekeeping -*CAP -1 *2452:mgmt_gpio_out 0.00028116 -2 *2452:mgmt_gpio_in 7.25283e-05 -3 *2471:mgmt_gpio_in[11] 0.000296239 -4 *2471:mgmt_gpio_out[11] 0.000131986 -5 *1535:26 0.000450276 -6 *1535:23 0.00168151 -7 *1535:20 0.0294815 -8 *1535:19 0.029185 -9 *1535:5 0.00171662 -10 *2471:mgmt_gpio_in[11] *2020:15 0.00130366 -11 *2471:mgmt_gpio_in[11] *2031:11 0.00130366 -12 *1535:20 *1536:20 0.185727 -13 *113:14 *1535:20 0.000653095 -14 *1534:20 *1535:20 0.170447 -*RES -1 *2471:mgmt_gpio_out[11] *1535:5 0.369148 -2 *1535:5 *2471:mgmt_gpio_in[11] 28.0403 -3 *1535:5 *1535:19 7.93159 -4 *1535:19 *1535:20 2999.33 -5 *1535:20 *1535:23 45.7095 -6 *1535:23 *1535:26 6.95487 -7 *1535:26 *2452:mgmt_gpio_in 3.59493 -8 *1535:26 *2452:mgmt_gpio_out 8.86202 -*END - -*D_NET *1536 0.455401 -*CONN -*I *2453:mgmt_gpio_out I *D gpio_control_block -*I *2453:mgmt_gpio_in O *D gpio_control_block -*I *2471:mgmt_gpio_in[12] I *D housekeeping -*I *2471:mgmt_gpio_out[12] O *D housekeeping -*CAP -1 *2453:mgmt_gpio_out 0.000303688 -2 *2453:mgmt_gpio_in 5.64855e-05 -3 *2471:mgmt_gpio_in[12] 0.000522353 -4 *2471:mgmt_gpio_out[12] 6.68701e-05 -5 *1536:25 0.00133154 -6 *1536:20 0.0308616 -7 *1536:19 0.0312238 -8 *1536:5 0.00192274 -9 *2453:mgmt_gpio_out *1779:14 0 -10 *2453:mgmt_gpio_out *1780:17 3.36517e-05 -11 *2471:mgmt_gpio_in[12] *2020:21 0.00130366 -12 *1536:20 *1537:20 0.201106 -13 *113:14 *1536:20 0.000941838 -14 *1535:20 *1536:20 0.185727 -*RES -1 *2471:mgmt_gpio_out[12] *1536:5 0.216168 -2 *1536:5 *2471:mgmt_gpio_in[12] 27.8873 -3 *1536:5 *1536:19 8.00808 -4 *1536:19 *1536:20 3245.99 -5 *1536:20 *1536:25 33.0167 -6 *1536:25 *2453:mgmt_gpio_in 3.58495 -7 *1536:25 *2453:mgmt_gpio_out 11.2305 -*END - -*D_NET *1537 0.449267 -*CONN -*I *2454:mgmt_gpio_out I *D gpio_control_block -*I *2454:mgmt_gpio_in O *D gpio_control_block -*I *2471:mgmt_gpio_in[13] I *D housekeeping -*I *2471:mgmt_gpio_out[13] O *D housekeeping -*CAP -1 *2454:mgmt_gpio_out 0.000566946 -2 *2454:mgmt_gpio_in 0.000101163 -3 *2471:mgmt_gpio_in[13] 0.00181981 -4 *2471:mgmt_gpio_out[13] 0.00109195 -5 *1537:32 0.00134823 -6 *1537:23 0.00177461 -7 *1537:22 0.00109449 -8 *1537:20 0.102056 -9 *1537:19 0.102371 -10 *1537:5 0.00322751 -11 *2471:mgmt_gpio_in[13] *2329:16 0 -12 *2471:mgmt_gpio_in[13] *2340:14 0 -13 *2471:mgmt_gpio_in[13] *2367:16 0 -14 *1537:23 *1970:10 0.00212559 -15 *1537:23 *2024:8 0.00192115 -16 *1537:23 *2078:10 6.89789e-05 -17 *1537:23 *2159:13 8.03397e-05 -18 *1537:32 *1893:8 0.000692673 -19 *1537:32 *2159:8 0.000692673 -20 *106:40 *1537:20 5.02971e-05 -21 *109:90 *1537:20 0.000443459 -22 *113:14 *1537:20 0.0146474 -23 *473:34 *1537:20 0 -24 *491:51 *1537:20 4.11944e-05 -25 *492:28 *1537:20 0.0119459 -26 *1536:20 *1537:20 0.201106 -*RES -1 *2471:mgmt_gpio_out[13] *1537:5 3.65823 -2 *1537:5 *2471:mgmt_gpio_in[13] 31.3294 -3 *1537:5 *1537:19 4.48953 -4 *1537:19 *1537:20 4301.98 -5 *1537:20 *1537:22 4.5 -6 *1537:22 *1537:23 45.6463 -7 *1537:23 *1537:32 20.4744 -8 *1537:32 *2454:mgmt_gpio_in 0.51465 -9 *1537:32 *2454:mgmt_gpio_out 1.36397 -*END - -*D_NET *1538 0.00247218 -*CONN -*I *2471:mgmt_gpio_in[14] I *D housekeeping -*I *2471:mgmt_gpio_out[14] O *D housekeeping -*CAP -1 *2471:mgmt_gpio_in[14] 0.000584262 -2 *2471:mgmt_gpio_out[14] 0.000584262 -3 *2471:mgmt_gpio_in[14] *2020:21 0.00130366 -*RES -1 *2471:mgmt_gpio_out[14] *2471:mgmt_gpio_in[14] 28.1035 -*END - -*D_NET *1539 0.00247218 -*CONN -*I *2471:mgmt_gpio_in[15] I *D housekeeping -*I *2471:mgmt_gpio_out[15] O *D housekeeping -*CAP -1 *2471:mgmt_gpio_in[15] 0.000584262 -2 *2471:mgmt_gpio_out[15] 0.000584262 -3 *2471:mgmt_gpio_in[15] *2020:21 0.00130366 -*RES -1 *2471:mgmt_gpio_out[15] *2471:mgmt_gpio_in[15] 28.1035 -*END - -*D_NET *1540 0.00586208 -*CONN -*I *2471:mgmt_gpio_in[16] I *D housekeeping -*I *2471:mgmt_gpio_out[16] O *D housekeeping -*CAP -1 *2471:mgmt_gpio_in[16] 0.00293104 -2 *2471:mgmt_gpio_out[16] 0.00293104 -3 *2471:mgmt_gpio_in[16] *2340:14 0 -*RES -1 *2471:mgmt_gpio_out[16] *2471:mgmt_gpio_in[16] 34.9876 -*END - -*D_NET *1541 0.00247218 -*CONN -*I *2471:mgmt_gpio_in[17] I *D housekeeping -*I *2471:mgmt_gpio_out[17] O *D housekeeping -*CAP -1 *2471:mgmt_gpio_in[17] 0.000584262 -2 *2471:mgmt_gpio_out[17] 0.000584262 -3 *2471:mgmt_gpio_in[17] *2020:21 0.00130366 -*RES -1 *2471:mgmt_gpio_out[17] *2471:mgmt_gpio_in[17] 28.1035 -*END - -*D_NET *1542 0.00247218 -*CONN -*I *2471:mgmt_gpio_in[18] I *D housekeeping -*I *2471:mgmt_gpio_out[18] O *D housekeeping -*CAP -1 *2471:mgmt_gpio_in[18] 0.000584262 -2 *2471:mgmt_gpio_out[18] 0.000584262 -3 *2471:mgmt_gpio_in[18] *2020:21 0.00130366 -*RES -1 *2471:mgmt_gpio_out[18] *2471:mgmt_gpio_in[18] 28.1035 -*END - -*D_NET *1543 0.00249295 -*CONN -*I *2471:mgmt_gpio_in[19] I *D housekeeping -*I *2471:mgmt_gpio_out[19] O *D housekeeping -*CAP -1 *2471:mgmt_gpio_in[19] 0.000594646 -2 *2471:mgmt_gpio_out[19] 0.000594646 -3 *2471:mgmt_gpio_in[19] *2020:21 0.00130366 -*RES -1 *2471:mgmt_gpio_out[19] *2471:mgmt_gpio_in[19] 28.1035 -*END - -*D_NET *1544 0.0395597 -*CONN -*I *2471:mgmt_gpio_in[1] I *D housekeeping -*I *2445:mgmt_gpio_in O *D gpio_control_block -*CAP -1 *2471:mgmt_gpio_in[1] 0.0012712 -2 *2445:mgmt_gpio_in 0.00130921 -3 *1544:14 0.00803223 -4 *1544:13 0.00807024 -5 *1544:14 *1564:20 0 -6 *1544:14 *1568:20 0 -7 *1544:14 *1569:20 0 -8 *1544:14 *1570:20 0 -9 *113:8 *1544:14 0.00601149 -10 *113:14 *1544:14 0.0141016 -11 *480:8 *1544:14 0.0003032 -12 *485:53 *1544:13 4.89469e-06 -13 *1534:20 *1544:14 0.00045563 -*RES -1 *2445:mgmt_gpio_in *1544:13 37.5349 -2 *1544:13 *1544:14 322.199 -3 *1544:14 *2471:mgmt_gpio_in[1] 8.09787 -*END - -*D_NET *1545 0.000499504 -*CONN -*I *2471:mgmt_gpio_in[20] I *D housekeeping -*I *2471:mgmt_gpio_out[20] O *D housekeeping -*CAP -1 *2471:mgmt_gpio_in[20] 0.000249752 -2 *2471:mgmt_gpio_out[20] 0.000249752 -*RES -1 *2471:mgmt_gpio_out[20] *2471:mgmt_gpio_in[20] 15.6935 -*END - -*D_NET *1546 0.000546314 -*CONN -*I *2471:mgmt_gpio_in[21] I *D housekeeping -*I *2471:mgmt_gpio_out[21] O *D housekeeping -*CAP -1 *2471:mgmt_gpio_in[21] 0.000273157 -2 *2471:mgmt_gpio_out[21] 0.000273157 -*RES -1 *2471:mgmt_gpio_out[21] *2471:mgmt_gpio_in[21] 8.79358 -*END - -*D_NET *1547 0.000499504 -*CONN -*I *2471:mgmt_gpio_in[22] I *D housekeeping -*I *2471:mgmt_gpio_out[22] O *D housekeeping -*CAP -1 *2471:mgmt_gpio_in[22] 0.000249752 -2 *2471:mgmt_gpio_out[22] 0.000249752 -*RES -1 *2471:mgmt_gpio_out[22] *2471:mgmt_gpio_in[22] 15.6935 -*END - -*D_NET *1548 0.000546314 -*CONN -*I *2471:mgmt_gpio_in[23] I *D housekeeping -*I *2471:mgmt_gpio_out[23] O *D housekeeping -*CAP -1 *2471:mgmt_gpio_in[23] 0.000273157 -2 *2471:mgmt_gpio_out[23] 0.000273157 -*RES -1 *2471:mgmt_gpio_out[23] *2471:mgmt_gpio_in[23] 8.79358 -*END - -*D_NET *1549 0.000499504 -*CONN -*I *2471:mgmt_gpio_in[24] I *D housekeeping -*I *2471:mgmt_gpio_out[24] O *D housekeeping -*CAP -1 *2471:mgmt_gpio_in[24] 0.000249752 -2 *2471:mgmt_gpio_out[24] 0.000249752 -*RES -1 *2471:mgmt_gpio_out[24] *2471:mgmt_gpio_in[24] 15.6935 -*END - -*D_NET *1550 1.14107 -*CONN -*I *2461:mgmt_gpio_out I *D gpio_control_block -*I *2461:mgmt_gpio_in O *D gpio_control_block -*I *2471:mgmt_gpio_in[25] I *D housekeeping -*I *2471:mgmt_gpio_out[25] O *D housekeeping -*CAP -1 *2461:mgmt_gpio_out 0.000221183 -2 *2461:mgmt_gpio_in 8.09303e-05 -3 *2471:mgmt_gpio_in[25] 1.28869e-05 -4 *2471:mgmt_gpio_out[25] 0.000248672 -5 *1550:40 0.000546167 -6 *1550:34 0.00458469 -7 *1550:33 0.00434063 -8 *1550:31 0.0289506 -9 *1550:29 0.0294655 -10 *1550:24 0.0536902 -11 *1550:23 0.0546409 -12 *1550:13 0.00172714 -13 *2461:mgmt_gpio_out *2474:mprj_io_analog_en[14] 5.02212e-05 -14 *2461:mgmt_gpio_out *1836:13 0.000470189 -15 *1550:13 *1551:19 0 -16 *1550:24 *1574:10 0.337527 -17 *1550:24 *1578:15 0.128354 -18 *1550:34 *1836:13 0.00056387 -19 *1550:40 *1895:8 3.61259e-05 -20 *1550:40 *1998:7 0.000123244 -21 *100:74 *1550:29 1.01451e-05 -22 *100:77 *1550:24 0.000164123 -23 *467:42 *1550:24 0.0470158 -24 *480:28 *1550:31 0.222937 -25 *498:22 *1550:29 0.000804144 -26 *498:22 *1550:31 0.222975 -27 *911:9 *1550:29 0.00151239 -28 *911:9 *1550:31 1.3813e-05 -*RES -1 *2471:mgmt_gpio_out[25] *1550:13 8.59816 -2 *1550:13 *2471:mgmt_gpio_in[25] 0.366399 -3 *1550:13 *1550:23 44.7428 -4 *1550:23 *1550:24 3535.77 -5 *1550:24 *1550:29 34.2638 -6 *1550:29 *1550:31 3569.47 -7 *1550:31 *1550:33 4.5 -8 *1550:33 *1550:34 119.409 -9 *1550:34 *1550:40 10.4172 -10 *1550:40 *2461:mgmt_gpio_in 0.292658 -11 *1550:40 *2461:mgmt_gpio_out 14.6758 -*END - -*D_NET *1551 0.957355 -*CONN -*I *2462:mgmt_gpio_out I *D gpio_control_block -*I *2462:mgmt_gpio_in O *D gpio_control_block -*I *2471:mgmt_gpio_in[26] I *D housekeeping -*I *2471:mgmt_gpio_out[26] O *D housekeeping -*CAP -1 *2462:mgmt_gpio_out 0.0002729 -2 *2462:mgmt_gpio_in 0.000100801 -3 *2471:mgmt_gpio_in[26] 0.000320692 -4 *2471:mgmt_gpio_out[26] 0.000248526 -5 *1551:35 0.000389011 -6 *1551:34 0.00166331 -7 *1551:29 0.0362168 -8 *1551:28 0.0345688 -9 *1551:26 0.0492667 -10 *1551:25 0.0492667 -11 *1551:23 0.0104456 -12 *1551:21 0.0106492 -13 *1551:19 0.0038802 -14 *1551:17 0.00374875 -15 *2462:mgmt_gpio_out *1810:12 0.000461064 -16 *2462:mgmt_gpio_out *1999:9 0 -17 *2471:mgmt_gpio_in[26] *1552:21 0 -18 *1551:23 *2415:7 0.0032363 -19 *1551:26 *1554:24 0.343153 -20 *1551:29 *1554:27 0.135874 -21 *1551:29 *2222:36 0.185368 -22 *1551:35 *1810:12 2.02035e-05 -23 *109:61 *1551:26 0.0868108 -24 *112:33 *1551:26 7.25324e-06 -25 *472:23 *1551:26 2.41483e-05 -26 *475:34 *1551:26 0.00120224 -27 *479:34 *1551:26 0.000160376 -28 *497:34 *1551:26 0 -29 *1550:13 *1551:19 0 -*RES -1 *2471:mgmt_gpio_out[26] *2471:mgmt_gpio_in[26] 9.62408 -2 *2471:mgmt_gpio_in[26] *1551:17 2.05183 -3 *1551:17 *1551:19 99.514 -4 *1551:19 *1551:21 5.7891 -5 *1551:21 *1551:23 313.381 -6 *1551:23 *1551:25 4.5 -7 *1551:25 *1551:26 3618.96 -8 *1551:26 *1551:28 4.5 -9 *1551:28 *1551:29 2963.41 -10 *1551:29 *1551:34 49.6549 -11 *1551:34 *1551:35 0.378612 -12 *1551:35 *2462:mgmt_gpio_in 3.67142 -13 *1551:35 *2462:mgmt_gpio_out 11.3169 -*END - -*D_NET *1552 0.902064 -*CONN -*I *2463:mgmt_gpio_out I *D gpio_control_block -*I *2463:mgmt_gpio_in O *D gpio_control_block -*I *2471:mgmt_gpio_in[27] I *D housekeeping -*I *2471:mgmt_gpio_out[27] O *D housekeeping -*CAP -1 *2463:mgmt_gpio_out 0.000252042 -2 *2463:mgmt_gpio_in 0.000164335 -3 *2471:mgmt_gpio_in[27] 1.28869e-05 -4 *2471:mgmt_gpio_out[27] 0.000268432 -5 *1552:33 0.000579889 -6 *1552:30 0.00289249 -7 *1552:29 0.00272898 -8 *1552:27 0.0712248 -9 *1552:26 0.0712248 -10 *1552:24 0.0612457 -11 *1552:23 0.0612457 -12 *1552:21 0.00229398 -13 *1552:13 0.0025753 -14 *2463:mgmt_gpio_out *1784:7 3.09106e-06 -15 *2463:mgmt_gpio_out *1903:12 0.000468828 -16 *2463:mgmt_gpio_out *2000:11 0.000155405 -17 *1552:13 *1553:23 0 -18 *1552:24 *1556:24 0.340518 -19 *1552:24 *1557:24 1.00937e-05 -20 *1552:27 *1556:27 0.141002 -21 *1552:27 *2365:16 0.0126038 -22 *1552:27 *2391:16 0.0120542 -23 *1552:30 *1903:15 0.000473242 -24 *1552:33 *1903:12 0.000779081 -25 *1552:33 *2000:11 0.000259268 -26 *2471:mgmt_gpio_in[26] *1552:21 0 -27 *2478:la_input[0] *1552:27 0.000410798 -28 *615:8 *1552:24 0 -29 *618:8 *1552:24 0 -30 *619:8 *1552:24 0 -31 *620:8 *1552:24 0 -32 *621:10 *1552:24 0.000528711 -33 *631:8 *1552:24 0.00062937 -34 *901:10 *1552:24 0.0561891 -35 *902:10 *1552:24 0.000306383 -36 *903:10 *1552:24 0.000241948 -37 *904:10 *1552:24 0.00014932 -38 *905:10 *1552:24 4.49334e-05 -39 *906:10 *1552:24 0 -40 *907:10 *1552:24 0 -41 *1264:10 *1552:24 0.00108877 -42 *1272:10 *1552:24 0.0574379 -*RES -1 *2471:mgmt_gpio_out[27] *1552:13 9.15998 -2 *1552:13 *2471:mgmt_gpio_in[27] 0.366399 -3 *1552:13 *1552:21 60.1749 -4 *1552:21 *1552:23 4.5 -5 *1552:23 *1552:24 3602.32 -6 *1552:24 *1552:26 4.5 -7 *1552:26 *1552:27 3038.99 -8 *1552:27 *1552:29 4.5 -9 *1552:29 *1552:30 73.9311 -10 *1552:30 *1552:33 16.9209 -11 *1552:33 *2463:mgmt_gpio_in 3.708 -12 *1552:33 *2463:mgmt_gpio_out 11.3535 -*END - -*D_NET *1553 0.876453 -*CONN -*I *2464:mgmt_gpio_out I *D gpio_control_block -*I *2464:mgmt_gpio_in O *D gpio_control_block -*I *2471:mgmt_gpio_in[28] I *D housekeeping -*I *2471:mgmt_gpio_out[28] O *D housekeeping -*CAP -1 *2464:mgmt_gpio_out 0.000682725 -2 *2464:mgmt_gpio_in 0.00530895 -3 *2471:mgmt_gpio_in[28] 1.28869e-05 -4 *2471:mgmt_gpio_out[28] 0.000248672 -5 *1553:33 0.0926242 -6 *1553:32 0.0887639 -7 *1553:27 0.0130614 -8 *1553:26 0.0122955 -9 *1553:24 0.123275 -10 *1553:23 0.124878 -11 *1553:13 0.00186471 -12 *2464:mgmt_gpio_out *1785:13 3.44774e-05 -13 *1553:13 *1554:21 0 -14 *1553:24 *1573:10 0.00364636 -15 *1553:24 *1730:25 0.00434791 -16 *1553:24 *1732:25 0.00251159 -17 *1553:24 *1734:25 0.00320795 -18 *1553:24 *1736:23 0.00221772 -19 *1553:33 *2294:17 0.0011138 -20 *2478:la_input[3] *1553:27 0.00285028 -21 *112:30 *1553:33 0.00020097 -22 *113:17 *1553:24 0.387953 -23 *113:20 *1553:27 0.00334581 -24 *479:31 *1553:33 0.000281071 -25 *480:25 *1553:27 0 -26 *485:41 *1553:27 0 -27 *495:50 *1553:33 0.00145675 -28 *497:31 *1553:33 0.000269598 -29 *1552:13 *1553:23 0 -*RES -1 *2471:mgmt_gpio_out[28] *1553:13 8.59816 -2 *1553:13 *2471:mgmt_gpio_in[28] 0.366399 -3 *1553:13 *1553:23 46.726 -4 *1553:23 *1553:24 490.046 -5 *1553:24 *1553:26 3.36879 -6 *1553:26 *1553:27 358.949 -7 *1553:27 *1553:32 5.97528 -8 *1553:32 *1553:33 336.675 -9 *1553:33 *2464:mgmt_gpio_in 16.6275 -10 *2464:mgmt_gpio_in *2464:mgmt_gpio_out 1.82911 -*END - -*D_NET *1554 1.00643 -*CONN -*I *2465:mgmt_gpio_out I *D gpio_control_block -*I *2465:mgmt_gpio_in O *D gpio_control_block -*I *2471:mgmt_gpio_in[29] I *D housekeeping -*I *2471:mgmt_gpio_out[29] O *D housekeeping -*CAP -1 *2465:mgmt_gpio_out 0.000355645 -2 *2465:mgmt_gpio_in 9.71535e-05 -3 *2471:mgmt_gpio_in[29] 1.28869e-05 -4 *2471:mgmt_gpio_out[29] 0.000248672 -5 *1554:33 0.000475898 -6 *1554:30 0.0017451 -7 *1554:27 0.0479964 -8 *1554:26 0.0462744 -9 *1554:24 0.0247487 -10 *1554:23 0.0247487 -11 *1554:21 0.0147208 -12 *1554:13 0.0149824 -13 *2465:mgmt_gpio_out *1813:9 0 -14 *2465:mgmt_gpio_out *2002:9 0 -15 *1554:13 *1556:21 0 -16 *1554:27 *1561:8 0.00154509 -17 *1554:27 *2038:11 0.0015027 -18 *1554:27 *2222:36 1.2693e-05 -19 *109:61 *1554:24 0.000145086 -20 *472:23 *1554:24 0.346311 -21 *475:34 *1554:24 0.00132631 -22 *479:34 *1554:24 0.000154498 -23 *1551:26 *1554:24 0.343153 -24 *1551:29 *1554:27 0.135874 -25 *1553:13 *1554:21 0 -*RES -1 *2471:mgmt_gpio_out[29] *1554:13 8.59816 -2 *1554:13 *2471:mgmt_gpio_in[29] 0.366399 -3 *1554:13 *1554:21 411.478 -4 *1554:21 *1554:23 4.5 -5 *1554:23 *1554:24 3651.68 -6 *1554:24 *1554:26 4.5 -7 *1554:26 *1554:27 2172.36 -8 *1554:27 *1554:30 46.2641 -9 *1554:30 *1554:33 4.87861 -10 *1554:33 *2465:mgmt_gpio_in 3.65147 -11 *1554:33 *2465:mgmt_gpio_out 11.297 -*END - -*D_NET *1555 0.081429 -*CONN -*I *2455:mgmt_gpio_in O *D gpio_control_block -*I *2455:mgmt_gpio_out I *D gpio_control_block -*I *2471:mgmt_gpio_in[2] I *D housekeeping -*I *2471:mgmt_gpio_out[2] O *D housekeeping -*CAP -1 *2455:mgmt_gpio_in 4.79273e-05 -2 *2455:mgmt_gpio_out 0.000375235 -3 *2471:mgmt_gpio_in[2] 0.000263681 -4 *2471:mgmt_gpio_out[2] 9.94281e-05 -5 *1555:29 0.00148072 -6 *1555:20 0.00695375 -7 *1555:19 0.00733707 -8 *1555:5 0.00180398 -9 *2455:mgmt_gpio_out *1796:8 3.36517e-05 -10 *2455:mgmt_gpio_out *1850:13 0.000105034 -11 *2471:mgmt_gpio_in[2] *2020:11 0.00130366 -12 *2471:mgmt_gpio_in[2] *2222:12 0.00130366 -13 *1555:20 *1564:20 0.032146 -14 *1555:20 *1565:20 0.000670391 -15 *1555:20 *1566:20 0.000931168 -16 *1555:20 *1567:20 0.0263669 -17 *1555:20 *2128:14 0 -18 *1555:29 *1796:8 4.89469e-06 -19 *1555:29 *1850:13 0 -20 *104:40 *2455:mgmt_gpio_out 0.000100924 -21 *489:35 *2455:mgmt_gpio_out 0.000100924 -22 *498:8 *1555:20 0 -23 *498:14 *1555:20 0 -*RES -1 *2471:mgmt_gpio_out[2] *1555:5 0.292658 -2 *1555:5 *2471:mgmt_gpio_in[2] 27.9638 -3 *1555:5 *1555:19 8.46702 -4 *1555:19 *1555:20 544.774 -5 *1555:20 *1555:29 32.9701 -6 *1555:29 *2455:mgmt_gpio_out 1.24546 -7 *1555:29 *2455:mgmt_gpio_in 0.177923 -*END - -*D_NET *1556 1.00595 -*CONN -*I *2466:mgmt_gpio_out I *D gpio_control_block -*I *2466:mgmt_gpio_in O *D gpio_control_block -*I *2471:mgmt_gpio_in[30] I *D housekeeping -*I *2471:mgmt_gpio_out[30] O *D housekeeping -*CAP -1 *2466:mgmt_gpio_out 0.00032512 -2 *2466:mgmt_gpio_in 0.000134027 -3 *2471:mgmt_gpio_in[30] 1.28869e-05 -4 *2471:mgmt_gpio_out[30] 0.000248672 -5 *1556:33 0.000602166 -6 *1556:30 0.00308963 -7 *1556:29 0.00294661 -8 *1556:27 0.0407396 -9 *1556:26 0.0407396 -10 *1556:24 0.0260452 -11 *1556:23 0.0260452 -12 *1556:21 0.00225452 -13 *1556:13 0.00251608 -14 *2466:mgmt_gpio_out *1787:7 3.09106e-06 -15 *2466:mgmt_gpio_out *2003:11 0.000155405 -16 *1556:13 *1557:21 0 -17 *1556:24 *1557:24 0.00040531 -18 *1556:24 *1572:10 0.34138 -19 *1556:27 *2368:16 0.0120829 -20 *1556:27 *2370:16 0.0122023 -21 *1556:27 *2372:13 0.0021245 -22 *1556:27 *2400:16 0.0102713 -23 *1556:33 *2003:11 9.86056e-05 -24 *2478:la_input[0] *1556:27 8.92568e-06 -25 *1245:9 *1556:27 0 -26 *1552:24 *1556:24 0.340518 -27 *1552:27 *1556:27 0.141002 -28 *1554:13 *1556:21 0 -*RES -1 *2471:mgmt_gpio_out[30] *1556:13 8.59816 -2 *1556:13 *2471:mgmt_gpio_in[30] 0.366399 -3 *1556:13 *1556:21 59.7597 -4 *1556:21 *1556:23 4.5 -5 *1556:23 *1556:24 3627.28 -6 *1556:24 *1556:26 4.5 -7 *1556:26 *1556:27 2255.41 -8 *1556:27 *1556:29 4.5 -9 *1556:29 *1556:30 74.4857 -10 *1556:30 *1556:33 9.44639 -11 *1556:33 *2466:mgmt_gpio_in 3.708 -12 *1556:33 *2466:mgmt_gpio_out 11.3535 -*END - -*D_NET *1557 1.01635 -*CONN -*I *2467:mgmt_gpio_out I *D gpio_control_block -*I *2467:mgmt_gpio_in O *D gpio_control_block -*I *2471:mgmt_gpio_in[31] I *D housekeeping -*I *2471:mgmt_gpio_out[31] O *D housekeeping -*CAP -1 *2467:mgmt_gpio_out 0.000173295 -2 *2467:mgmt_gpio_in 0.000124846 -3 *2471:mgmt_gpio_in[31] 1.28869e-05 -4 *2471:mgmt_gpio_out[31] 0.000248672 -5 *1557:33 0.00137041 -6 *1557:27 0.0201293 -7 *1557:26 0.019057 -8 *1557:24 0.0270994 -9 *1557:23 0.0270994 -10 *1557:21 0.00219022 -11 *1557:13 0.00245177 -12 *2467:mgmt_gpio_out *1789:15 6.21488e-06 -13 *2467:mgmt_gpio_out *1843:15 0.000468828 -14 *2467:mgmt_gpio_out *1914:15 0.000469124 -15 *1557:13 *1558:19 0 -16 *1557:24 *1561:11 0.00025847 -17 *1557:24 *1562:19 0.342128 -18 *1557:24 *1571:10 0.345365 -19 *1557:24 *1572:10 2.41483e-05 -20 *1557:27 *1558:29 0.0088565 -21 *1557:27 *1559:31 1.78514e-05 -22 *1557:27 *1575:19 0.006583 -23 *1557:33 *1843:15 2.37478e-05 -24 *1557:33 *1914:15 1.66771e-05 -25 *105:24 *1557:27 2.19276e-05 -26 *470:19 *1557:24 0.000110213 -27 *471:24 *1557:27 0.00296728 -28 *472:20 *1557:27 0.102916 -29 *487:36 *1557:27 0.00323471 -30 *492:22 *1557:27 0.102505 -31 *1552:24 *1557:24 1.00937e-05 -32 *1556:13 *1557:21 0 -33 *1556:24 *1557:24 0.00040531 -*RES -1 *2471:mgmt_gpio_out[31] *1557:13 8.59816 -2 *1557:13 *2471:mgmt_gpio_in[31] 0.366399 -3 *1557:13 *1557:21 58.5139 -4 *1557:21 *1557:23 4.5 -5 *1557:23 *1557:24 3684.4 -6 *1557:24 *1557:26 4.5 -7 *1557:26 *1557:27 1997.95 -8 *1557:27 *1557:33 35.0592 -9 *1557:33 *2467:mgmt_gpio_in 3.59493 -10 *1557:33 *2467:mgmt_gpio_out 11.2405 -*END - -*D_NET *1558 0.705578 -*CONN -*I *2468:mgmt_gpio_out I *D gpio_control_block -*I *2468:mgmt_gpio_in O *D gpio_control_block -*I *2471:mgmt_gpio_in[32] I *D housekeeping -*I *2471:mgmt_gpio_out[32] O *D housekeeping -*CAP -1 *2468:mgmt_gpio_out 0.000208881 -2 *2468:mgmt_gpio_in 8.01334e-05 -3 *2471:mgmt_gpio_in[32] 0.000340452 -4 *2471:mgmt_gpio_out[32] 0.000268285 -5 *1558:35 0.00145909 -6 *1558:29 0.0128896 -7 *1558:28 0.0117196 -8 *1558:26 0.0741324 -9 *1558:25 0.0753325 -10 *1558:19 0.00487061 -11 *1558:17 0.00374265 -12 *2468:mgmt_gpio_out *1790:7 5.36925e-05 -13 *2468:mgmt_gpio_out *1918:10 0.000469124 -14 *2471:mgmt_gpio_in[32] *1559:23 0 -15 *2471:mgmt_gpio_in[32] *1559:25 0 -16 *1558:26 *1559:28 4.33819e-05 -17 *1558:26 *1560:26 0.348318 -18 *1558:26 *1575:16 1.41291e-05 -19 *1558:29 *1559:31 0.0554707 -20 *1558:35 *1918:10 1.66771e-05 -21 *109:40 *1558:29 0.00701027 -22 *492:22 *1558:29 0.0537323 -23 *622:8 *1558:26 0.000633819 -24 *1253:10 *1558:26 0 -25 *1254:10 *1558:26 5.13878e-05 -26 *1255:10 *1558:26 0.000394687 -27 *1258:10 *1558:26 0.000695785 -28 *1260:10 *1558:26 0.0447738 -29 *1557:13 *1558:19 0 -30 *1557:27 *1558:29 0.0088565 -*RES -1 *2471:mgmt_gpio_out[32] *2471:mgmt_gpio_in[32] 10.1859 -2 *2471:mgmt_gpio_in[32] *1558:17 2.05183 -3 *1558:17 *1558:19 99.514 -4 *1558:19 *1558:25 37.708 -5 *1558:25 *1558:26 3683.29 -6 *1558:26 *1558:28 4.5 -7 *1558:28 *1558:29 1152.91 -8 *1558:29 *1558:35 34.5046 -9 *1558:35 *2468:mgmt_gpio_in 3.66144 -10 *1558:35 *2468:mgmt_gpio_out 11.307 -*END - -*D_NET *1559 0.884602 -*CONN -*I *2469:mgmt_gpio_out I *D gpio_control_block -*I *2469:mgmt_gpio_in O *D gpio_control_block -*I *2471:mgmt_gpio_in[33] I *D housekeeping -*I *2471:mgmt_gpio_out[33] O *D housekeeping -*CAP -1 *2469:mgmt_gpio_out 0.000231198 -2 *2469:mgmt_gpio_in 0.00010793 -3 *2471:mgmt_gpio_in[33] 8.65599e-06 -4 *2471:mgmt_gpio_out[33] 0.000246698 -5 *1559:37 0.00149444 -6 *1559:31 0.00939789 -7 *1559:30 0.00824258 -8 *1559:28 0.0257411 -9 *1559:27 0.0257411 -10 *1559:25 0.00418176 -11 *1559:23 0.00422432 -12 *1559:13 0.000297914 -13 *2469:mgmt_gpio_out *1791:12 1.53622e-05 -14 *2469:mgmt_gpio_out *1845:12 0.00022036 -15 *2469:mgmt_gpio_out *1922:8 0.000223181 -16 *1559:13 *1560:19 0 -17 *1559:25 *1560:25 0.00161868 -18 *1559:28 *1560:26 0.349908 -19 *1559:28 *1575:16 0.350015 -20 *1559:31 *1560:29 0.00784797 -21 *1559:31 *2346:16 0.0112243 -22 *1559:37 *1845:12 7.86825e-06 -23 *1559:37 *1922:8 5.39635e-06 -24 *2471:mgmt_gpio_in[32] *1559:23 0 -25 *2471:mgmt_gpio_in[32] *1559:25 0 -26 *109:40 *1559:31 0.0280684 -27 *1557:27 *1559:31 1.78514e-05 -28 *1558:26 *1559:28 4.33819e-05 -29 *1558:29 *1559:31 0.0554707 -*RES -1 *2471:mgmt_gpio_out[33] *1559:13 8.59816 -2 *1559:13 *2471:mgmt_gpio_in[33] 0.366399 -3 *1559:13 *1559:23 1.73429 -4 *1559:23 *1559:25 123.709 -5 *1559:25 *1559:27 4.5 -6 *1559:27 *1559:28 3699.37 -7 *1559:28 *1559:30 4.5 -8 *1559:30 *1559:31 889.641 -9 *1559:31 *1559:37 35.0592 -10 *1559:37 *2469:mgmt_gpio_in 3.66144 -11 *1559:37 *2469:mgmt_gpio_out 11.307 -*END - -*D_NET *1560 0.832673 -*CONN -*I *2470:mgmt_gpio_out I *D gpio_control_block -*I *2470:mgmt_gpio_in O *D gpio_control_block -*I *2471:mgmt_gpio_in[34] I *D housekeeping -*I *2471:mgmt_gpio_out[34] O *D housekeeping -*CAP -1 *2470:mgmt_gpio_out 0.000315724 -2 *2470:mgmt_gpio_in 0.000229558 -3 *2471:mgmt_gpio_in[34] 0.000320692 -4 *2471:mgmt_gpio_out[34] 0.000248526 -5 *1560:35 0.0017583 -6 *1560:29 0.0130799 -7 *1560:28 0.0118669 -8 *1560:26 0.0260029 -9 *1560:25 0.0268213 -10 *1560:19 0.00448891 -11 *1560:17 0.00374265 -12 *2470:mgmt_gpio_out *1792:7 3.28957e-06 -13 *2470:mgmt_gpio_out *1954:10 0.000461064 -14 *2470:mgmt_gpio_out *2008:11 0.000223181 -15 *2471:mgmt_gpio_in[34] *1561:14 0 -16 *1560:26 *1575:16 1.65872e-05 -17 *1560:29 *2346:16 0.0112207 -18 *1560:35 *1954:10 2.02035e-05 -19 *1560:35 *2008:11 5.39635e-06 -20 *2446:resetn *1560:29 0 -21 *2446:serial_load *1560:29 0.000513945 -22 *109:40 *1560:29 0.011506 -23 *109:46 *1560:29 0 -24 *479:16 *1560:29 0.0121348 -25 *497:16 *1560:29 0 -26 *1558:26 *1560:26 0.348318 -27 *1559:13 *1560:19 0 -28 *1559:25 *1560:25 0.00161868 -29 *1559:28 *1560:26 0.349908 -30 *1559:31 *1560:29 0.00784797 -*RES -1 *2471:mgmt_gpio_out[34] *2471:mgmt_gpio_in[34] 9.62408 -2 *2471:mgmt_gpio_in[34] *1560:17 2.05183 -3 *1560:17 *1560:19 99.514 -4 *1560:19 *1560:25 37.2927 -5 *1560:25 *1560:26 3698.82 -6 *1560:26 *1560:28 4.5 -7 *1560:28 *1560:29 624.295 -8 *1560:29 *1560:35 37.2776 -9 *1560:35 *2470:mgmt_gpio_in 3.78449 -10 *1560:35 *2470:mgmt_gpio_out 11.43 -*END - -*D_NET *1561 0.800429 -*CONN -*I *2471:mgmt_gpio_in[35] I *D housekeeping -*I *2446:mgmt_gpio_in O *D gpio_control_block -*CAP -1 *2471:mgmt_gpio_in[35] 1.28869e-05 -2 *2446:mgmt_gpio_in 0.00214424 -3 *1561:14 0.00164828 -4 *1561:13 0.00163539 -5 *1561:11 0.0266118 -6 *1561:10 0.0266118 -7 *1561:8 0.00964678 -8 *1561:7 0.011791 -9 *1561:7 *2446:mgmt_gpio_oeb 0 -10 *1561:8 *2038:11 0.0236341 -11 *1561:11 *1571:10 0.00162197 -12 *1561:14 *1575:13 0.00114411 -13 *2471:mgmt_gpio_in[34] *1561:14 0 -14 *104:37 *1561:11 0.342146 -15 *470:19 *1561:11 0.349977 -16 *1554:27 *1561:8 0.00154509 -17 *1557:24 *1561:11 0.00025847 -*RES -1 *2446:mgmt_gpio_in *1561:7 9.32172 -2 *1561:7 *1561:8 430.165 -3 *1561:8 *1561:10 4.5 -4 *1561:10 *1561:11 3701.04 -5 *1561:11 *1561:13 4.5 -6 *1561:13 *1561:14 56.8529 -7 *1561:14 *2471:mgmt_gpio_in[35] 0.366399 -*END - -*D_NET *1562 0.778633 -*CONN -*I *2471:mgmt_gpio_in[36] I *D housekeeping -*I *2447:mgmt_gpio_in O *D gpio_control_block -*CAP -1 *2471:mgmt_gpio_in[36] 1.91998e-05 -2 *2447:mgmt_gpio_in 0.000200045 -3 *1562:22 0.00221766 -4 *1562:21 0.00219846 -5 *1562:19 0.0258607 -6 *1562:18 0.0258607 -7 *1562:16 0.00322401 -8 *1562:15 0.00322401 -9 *1562:13 0.00175994 -10 *1562:12 0.00195998 -11 *1562:12 *2447:mgmt_gpio_oeb 0.000310254 -12 *1562:13 *1572:16 0.00853872 -13 *1562:16 *1572:13 0.00979848 -14 *1562:16 *2037:11 7.77309e-06 -15 *1562:19 *1571:10 0.00155606 -16 *1562:19 *1572:10 0.346134 -17 *1562:22 *1571:7 0 -18 *1562:22 *1572:7 0 -19 *1562:22 *1574:9 0 -20 *65:16 *1562:13 0.00258421 -21 *489:32 *1562:19 0.000135103 -22 *515:12 *1562:12 0 -23 *644:11 *1562:16 0.000915109 -24 *1156:7 *1562:16 0 -25 *1284:7 *1562:16 0 -26 *1557:24 *1562:19 0.342128 -*RES -1 *2447:mgmt_gpio_in *1562:12 13.0413 -2 *1562:12 *1562:13 91.6784 -3 *1562:13 *1562:15 4.5 -4 *1562:15 *1562:16 158.382 -5 *1562:16 *1562:18 4.5 -6 *1562:18 *1562:19 3660.55 -7 *1562:19 *1562:21 4.5 -8 *1562:21 *1562:22 58.9292 -9 *1562:22 *2471:mgmt_gpio_in[36] 0.366399 -*END - -*D_NET *1563 0.532361 -*CONN -*I *2471:mgmt_gpio_in[37] I *D housekeeping -*I *2448:mgmt_gpio_in O *D gpio_control_block -*CAP -1 *2471:mgmt_gpio_in[37] 0.00114783 -2 *2448:mgmt_gpio_in 5.90925e-05 -3 *1563:13 0.0806701 -4 *1563:12 0.0795222 -5 *1563:10 0.00253566 -6 *1563:9 0.00259475 -7 *2471:mgmt_gpio_in[37] *1572:7 0 -8 *2471:mgmt_gpio_in[37] *1573:7 0 -9 *1563:13 *1576:10 0.355574 -10 *99:11 *1563:13 0.0102575 -*RES -1 *2448:mgmt_gpio_in *1563:9 3.59493 -2 *1563:9 *1563:10 71.802 -3 *1563:10 *1563:12 4.5 -4 *1563:12 *1563:13 3819.72 -5 *1563:13 *2471:mgmt_gpio_in[37] 36.8042 -*END - -*D_NET *1564 0.111 -*CONN -*I *2456:mgmt_gpio_out I *D gpio_control_block -*I *2456:mgmt_gpio_in O *D gpio_control_block -*I *2471:mgmt_gpio_in[3] I *D housekeeping -*I *2471:mgmt_gpio_out[3] O *D housekeeping -*CAP -1 *2456:mgmt_gpio_out 0.000251614 -2 *2456:mgmt_gpio_in 0.000121702 -3 *2471:mgmt_gpio_in[3] 0.000263681 -4 *2471:mgmt_gpio_out[3] 9.94281e-05 -5 *1564:26 0.000542929 -6 *1564:25 0.00163676 -7 *1564:20 0.0104056 -8 *1564:19 0.0103594 -9 *1564:5 0.00178399 -10 *2471:mgmt_gpio_in[3] *2020:11 0.00130366 -11 *2471:mgmt_gpio_in[3] *2222:12 0.00130366 -12 *1564:20 *1567:20 0.00827816 -13 *1564:20 *1568:20 0.0415096 -14 *1564:25 *1797:13 0.00079721 -15 *480:8 *1564:20 0 -16 *498:8 *1564:20 0.000196785 -17 *512:8 *1564:20 0 -18 *1544:14 *1564:20 0 -19 *1555:20 *1564:20 0.032146 -*RES -1 *2471:mgmt_gpio_out[3] *1564:5 0.292658 -2 *1564:5 *2471:mgmt_gpio_in[3] 27.9638 -3 *1564:5 *1564:19 8.39053 -4 *1564:19 *1564:20 787.282 -5 *1564:20 *1564:25 47.4364 -6 *1564:25 *1564:26 4.53113 -7 *1564:26 *2456:mgmt_gpio_in 3.708 -8 *1564:26 *2456:mgmt_gpio_out 8.74894 -*END - -*D_NET *1565 0.145176 -*CONN -*I *2457:mgmt_gpio_out I *D gpio_control_block -*I *2457:mgmt_gpio_in O *D gpio_control_block -*I *2471:mgmt_gpio_in[4] I *D housekeeping -*I *2471:mgmt_gpio_out[4] O *D housekeeping -*CAP -1 *2457:mgmt_gpio_out 0.000251614 -2 *2457:mgmt_gpio_in 0.000121702 -3 *2471:mgmt_gpio_in[4] 0.000382528 -4 *2471:mgmt_gpio_out[4] 0.000131986 -5 *1565:26 0.000747402 -6 *1565:25 0.00190164 -7 *1565:20 0.015134 -8 *1565:19 0.0152028 -9 *1565:5 0.00211088 -10 *2471:mgmt_gpio_in[4] *2020:15 0.00130366 -11 *1565:19 *2329:13 0.000141764 -12 *1565:19 *2356:22 0.000223678 -13 *1565:19 *2383:22 0.000121985 -14 *1565:20 *1566:20 0.0621017 -15 *1565:20 *1859:18 0 -16 *1565:20 *2148:16 0 -17 *1565:20 *2148:25 0 -18 *1565:20 *2175:14 0 -19 *474:60 *1565:20 0.00863553 -20 *478:32 *1565:20 0.0011378 -21 *485:50 *1565:20 0.00215031 -22 *498:14 *1565:20 0.0320744 -23 *505:14 *1565:20 0 -24 *525:8 *2471:mgmt_gpio_in[4] 0.00063009 -25 *1555:20 *1565:20 0.000670391 -*RES -1 *2471:mgmt_gpio_out[4] *1565:5 0.369148 -2 *1565:5 *2471:mgmt_gpio_in[4] 28.0403 -3 *1565:5 *1565:19 8.62 -4 *1565:19 *1565:20 1025.64 -5 *1565:20 *1565:25 45.218 -6 *1565:25 *1565:26 10.3447 -7 *1565:26 *2457:mgmt_gpio_in 3.708 -8 *1565:26 *2457:mgmt_gpio_out 8.74894 -*END - -*D_NET *1566 0.182128 -*CONN -*I *2458:mgmt_gpio_in O *D gpio_control_block -*I *2458:mgmt_gpio_out I *D gpio_control_block -*I *2471:mgmt_gpio_in[5] I *D housekeeping -*I *2471:mgmt_gpio_out[5] O *D housekeeping -*CAP -1 *2458:mgmt_gpio_in 4.79273e-05 -2 *2458:mgmt_gpio_out 0.000416314 -3 *2471:mgmt_gpio_in[5] 0.0017784 -4 *2471:mgmt_gpio_out[5] 0.00104164 -5 *1566:29 0.00144236 -6 *1566:20 0.0124272 -7 *1566:19 0.0120128 -8 *1566:5 0.00338373 -9 *2458:mgmt_gpio_out *1799:13 3.36517e-05 -10 *2471:mgmt_gpio_in[5] *2329:16 0 -11 *1566:20 *1567:20 0.077897 -12 *1566:29 *1799:13 0 -13 *1566:29 *1853:13 0 -14 *1566:29 *2150:8 0 -15 *474:60 *1566:20 0.00212345 -16 *474:75 *1566:20 0.00649045 -17 *1555:20 *1566:20 0.000931168 -18 *1565:20 *1566:20 0.0621017 -*RES -1 *2471:mgmt_gpio_out[5] *1566:5 3.65823 -2 *1566:5 *2471:mgmt_gpio_in[5] 31.3294 -3 *1566:5 *1566:19 5.25444 -4 *1566:19 *1566:20 1277.28 -5 *1566:20 *1566:29 31.8608 -6 *1566:29 *2458:mgmt_gpio_out 1.24546 -7 *1566:29 *2458:mgmt_gpio_in 0.177923 -*END - -*D_NET *1567 0.204978 -*CONN -*I *2459:mgmt_gpio_out I *D gpio_control_block -*I *2459:mgmt_gpio_in O *D gpio_control_block -*I *2471:mgmt_gpio_in[6] I *D housekeeping -*I *2471:mgmt_gpio_out[6] O *D housekeeping -*CAP -1 *2459:mgmt_gpio_out 0.000251614 -2 *2459:mgmt_gpio_in 0.000121702 -3 *2471:mgmt_gpio_in[6] 0.000372116 -4 *2471:mgmt_gpio_out[6] 0.000131986 -5 *1567:26 0.000528324 -6 *1567:25 0.00147997 -7 *1567:20 0.0184066 -8 *1567:19 0.018535 -9 *1567:5 0.00195752 -10 *2471:mgmt_gpio_in[6] *2020:15 0.00130366 -11 *1567:20 *1568:20 0.00273436 -12 *1567:20 *1868:14 0 -13 *1567:20 *2070:25 0 -14 *1567:25 *1800:13 0.00119837 -15 *474:75 *1567:20 0.0012715 -16 *496:44 *1567:20 0.0435134 -17 *525:8 *2471:mgmt_gpio_in[6] 0.00063009 -18 *1555:20 *1567:20 0.0263669 -19 *1564:20 *1567:20 0.00827816 -20 *1566:20 *1567:20 0.077897 -*RES -1 *2471:mgmt_gpio_out[6] *1567:5 0.369148 -2 *1567:5 *2471:mgmt_gpio_in[6] 28.0403 -3 *1567:5 *1567:19 8.46702 -4 *1567:19 *1567:20 1520.2 -5 *1567:20 *1567:25 46.3272 -6 *1567:25 *1567:26 4.11588 -7 *1567:26 *2459:mgmt_gpio_in 3.708 -8 *1567:26 *2459:mgmt_gpio_out 8.74894 -*END - -*D_NET *1568 0.289386 -*CONN -*I *2460:mgmt_gpio_out I *D gpio_control_block -*I *2460:mgmt_gpio_in O *D gpio_control_block -*I *2471:mgmt_gpio_in[7] I *D housekeeping -*I *2471:mgmt_gpio_out[7] O *D housekeeping -*CAP -1 *2460:mgmt_gpio_out 0.000307879 -2 *2460:mgmt_gpio_in 5.64855e-05 -3 *2471:mgmt_gpio_in[7] 0.000373169 -4 *2471:mgmt_gpio_out[7] 0.000131986 -5 *1568:25 0.00118518 -6 *1568:20 0.0181569 -7 *1568:19 0.018694 -8 *1568:5 0.00186308 -9 *2460:mgmt_gpio_out *1801:13 3.84497e-05 -10 *2471:mgmt_gpio_in[7] *2020:15 0.00125157 -11 *1568:20 *1569:20 0.125078 -12 *111:48 *1568:20 0.0773797 -13 *496:44 *1568:20 2.05972e-05 -14 *525:8 *2471:mgmt_gpio_in[7] 0.000604703 -15 *1544:14 *1568:20 0 -16 *1564:20 *1568:20 0.0415096 -17 *1567:20 *1568:20 0.00273436 -*RES -1 *2471:mgmt_gpio_out[7] *1568:5 0.369148 -2 *1568:5 *2471:mgmt_gpio_in[7] 27.2098 -3 *1568:5 *1568:19 8.23755 -4 *1568:19 *1568:20 2030.96 -5 *1568:20 *1568:25 30.2437 -6 *1568:25 *2460:mgmt_gpio_in 3.58495 -7 *1568:25 *2460:mgmt_gpio_out 11.2305 -*END - -*D_NET *1569 0.321863 -*CONN -*I *2449:mgmt_gpio_out I *D gpio_control_block -*I *2449:mgmt_gpio_in O *D gpio_control_block -*I *2471:mgmt_gpio_in[8] I *D housekeeping -*I *2471:mgmt_gpio_out[8] O *D housekeeping -*CAP -1 *2449:mgmt_gpio_out 0.000266387 -2 *2449:mgmt_gpio_in 9.7115e-05 -3 *2471:mgmt_gpio_in[8] 0.000372116 -4 *2471:mgmt_gpio_out[8] 0.000131986 -5 *1569:26 0.000474695 -6 *1569:25 0.00173359 -7 *1569:20 0.0210849 -8 *1569:19 0.0208109 -9 *1569:5 0.00185243 -10 *2471:mgmt_gpio_in[8] *2020:15 0.00130366 -11 *1569:20 *1570:20 0.139883 -12 *111:48 *1569:20 0.00814342 -13 *525:8 *2471:mgmt_gpio_in[8] 0.00063009 -14 *1544:14 *1569:20 0 -15 *1568:20 *1569:20 0.125078 -*RES -1 *2471:mgmt_gpio_out[8] *1569:5 0.369148 -2 *1569:5 *2471:mgmt_gpio_in[8] 28.0403 -3 *1569:5 *1569:19 8.16106 -4 *1569:19 *1569:20 2266.41 -5 *1569:20 *1569:25 48.5456 -6 *1569:25 *1569:26 2.87013 -7 *1569:26 *2449:mgmt_gpio_in 3.65147 -8 *1569:26 *2449:mgmt_gpio_out 8.80548 -*END - -*D_NET *1570 0.354274 -*CONN -*I *2450:mgmt_gpio_out I *D gpio_control_block -*I *2450:mgmt_gpio_in O *D gpio_control_block -*I *2471:mgmt_gpio_in[9] I *D housekeeping -*I *2471:mgmt_gpio_out[9] O *D housekeeping -*CAP -1 *2450:mgmt_gpio_out 0.000251614 -2 *2450:mgmt_gpio_in 0.000121702 -3 *2471:mgmt_gpio_in[9] 0.000372116 -4 *2471:mgmt_gpio_out[9] 0.000131986 -5 *1570:26 0.000674376 -6 *1570:25 0.0015712 -7 *1570:20 0.0249102 -8 *1570:19 0.0249684 -9 *1570:5 0.00183244 -10 *2471:mgmt_gpio_in[9] *2020:15 0.00130366 -11 *1570:20 *1828:23 0 -12 *1570:20 *1874:21 0 -13 *1570:20 *2018:27 0 -14 *1570:25 *2044:8 0.00169355 -15 *111:48 *1570:20 0.00114235 -16 *525:8 *2471:mgmt_gpio_in[9] 0.00063009 -17 *1534:20 *1570:20 0.154787 -18 *1544:14 *1570:20 0 -19 *1569:20 *1570:20 0.139883 -*RES -1 *2471:mgmt_gpio_out[9] *1570:5 0.369148 -2 *1570:5 *2471:mgmt_gpio_in[9] 28.0403 -3 *1570:5 *1570:19 8.08457 -4 *1570:19 *1570:20 2505.18 -5 *1570:20 *1570:25 49.1003 -6 *1570:25 *1570:26 8.2684 -7 *1570:26 *2450:mgmt_gpio_in 3.708 -8 *1570:26 *2450:mgmt_gpio_out 8.74894 -*END - -*D_NET *1571 0.791134 -*CONN -*I *2446:mgmt_gpio_oeb I *D gpio_control_block -*I *2471:mgmt_gpio_oeb[35] O *D housekeeping -*CAP -1 *2446:mgmt_gpio_oeb 0.00213373 -2 *2471:mgmt_gpio_oeb[35] 1.91998e-05 -3 *1571:13 0.0154157 -4 *1571:12 0.013282 -5 *1571:10 0.0265466 -6 *1571:9 0.0265466 -7 *1571:7 0.00160634 -8 *1571:5 0.00162554 -9 *2446:mgmt_gpio_oeb *2446:mgmt_gpio_out 0.0013568 -10 *1571:7 *1574:9 0 -11 *1571:7 *1575:13 0.0016806 -12 *1571:13 *2373:16 0.0100108 -13 *104:37 *1571:10 1.92336e-05 -14 *489:32 *1571:10 0.342348 -15 *1557:24 *1571:10 0.345365 -16 *1561:7 *2446:mgmt_gpio_oeb 0 -17 *1561:11 *1571:10 0.00162197 -18 *1562:19 *1571:10 0.00155606 -19 *1562:22 *1571:7 0 -*RES -1 *2471:mgmt_gpio_oeb[35] *1571:5 0.366399 -2 *1571:5 *1571:7 58.0987 -3 *1571:7 *1571:9 4.5 -4 *1571:9 *1571:10 3689.39 -5 *1571:10 *1571:12 4.5 -6 *1571:12 *1571:13 433.902 -7 *1571:13 *2446:mgmt_gpio_oeb 11.3105 -*END - -*D_NET *1572 0.781541 -*CONN -*I *2447:mgmt_gpio_oeb I *D gpio_control_block -*I *2471:mgmt_gpio_oeb[36] O *D housekeeping -*CAP -1 *2447:mgmt_gpio_oeb 0.000352051 -2 *2471:mgmt_gpio_oeb[36] 1.91998e-05 -3 *1572:16 0.00241697 -4 *1572:15 0.00206492 -5 *1572:13 0.00141826 -6 *1572:12 0.00141826 -7 *1572:10 0.0265935 -8 *1572:9 0.0265935 -9 *1572:7 0.00220898 -10 *1572:5 0.00222818 -11 *2447:mgmt_gpio_oeb *2447:mgmt_gpio_out 1.10565e-05 -12 *2447:mgmt_gpio_oeb *1794:15 0.00014929 -13 *1572:13 *2037:11 0.00947008 -14 *1572:16 *1848:15 0.000254007 -15 *1572:16 *2118:21 0.000111722 -16 *2471:mgmt_gpio_in[37] *1572:7 0 -17 *489:32 *1572:10 4.4379e-05 -18 *515:12 *2447:mgmt_gpio_oeb 0 -19 *1156:7 *1572:13 0 -20 *1556:24 *1572:10 0.34138 -21 *1557:24 *1572:10 2.41483e-05 -22 *1562:12 *2447:mgmt_gpio_oeb 0.000310254 -23 *1562:13 *1572:16 0.00853872 -24 *1562:16 *1572:13 0.00979848 -25 *1562:19 *1572:10 0.346134 -26 *1562:22 *1572:7 0 -*RES -1 *2471:mgmt_gpio_oeb[36] *1572:5 0.366399 -2 *1572:5 *1572:7 59.3444 -3 *1572:7 *1572:9 4.5 -4 *1572:9 *1572:10 3663.88 -5 *1572:10 *1572:12 4.5 -6 *1572:12 *1572:13 157.552 -7 *1572:13 *1572:15 4.5 -8 *1572:15 *1572:16 91.6784 -9 *1572:16 *2447:mgmt_gpio_oeb 18.4396 -*END - -*D_NET *1573 0.364592 -*CONN -*I *2448:mgmt_gpio_oeb I *D gpio_control_block -*I *2471:mgmt_gpio_oeb[37] O *D housekeeping -*CAP -1 *2448:mgmt_gpio_oeb 0.00512955 -2 *2471:mgmt_gpio_oeb[37] 0.00167755 -3 *1573:17 0.00716648 -4 *1573:16 0.00203694 -5 *1573:14 0.00309318 -6 *1573:12 0.00317227 -7 *1573:10 0.125968 -8 *1573:9 0.125889 -9 *1573:7 0.00167755 -10 *1573:7 *1576:9 0 -11 *1573:7 *2020:21 0 -12 *1573:7 *2222:30 0 -13 *1573:10 *1574:18 7.7523e-05 -14 *1573:10 *1577:16 3.73547e-05 -15 *1573:10 *1611:25 0 -16 *1573:10 *1713:25 0 -17 *1573:10 *1720:24 0 -18 *1573:10 *1726:25 0 -19 *1573:10 *1728:31 0.000198023 -20 *1573:10 *1730:25 0.000551188 -21 *1573:10 *1732:25 0.00180887 -22 *1573:10 *1736:23 0.0386237 -23 *1573:10 *2193:11 0 -24 *1573:10 *2194:11 0 -25 *2448:gpio_defaults[0] *1573:17 0 -26 *2471:mgmt_gpio_in[37] *1573:7 0 -27 *102:21 *1573:10 0 -28 *102:23 *1573:10 0 -29 *102:23 *1573:14 0.0143775 -30 *102:26 *1573:17 0.00593618 -31 *102:32 *2448:mgmt_gpio_oeb 0.000626464 -32 *487:21 *1573:10 0.022849 -33 *487:25 *1573:10 5.04351e-05 -34 *1553:24 *1573:10 0.00364636 -*RES -1 *2471:mgmt_gpio_oeb[37] *1573:7 45.5922 -2 *1573:7 *1573:9 4.5 -3 *1573:9 *1573:10 3813.9 -4 *1573:10 *1573:12 2.21841 -5 *1573:12 *1573:14 150.189 -6 *1573:14 *1573:16 4.5 -7 *1573:16 *1573:17 94.6409 -8 *1573:17 *2448:mgmt_gpio_oeb 38.8372 -*END - -*D_NET *1574 0.831733 -*CONN -*I *2446:mgmt_gpio_out I *D gpio_control_block -*I *2471:mgmt_gpio_out[35] O *D housekeeping -*CAP -1 *2446:mgmt_gpio_out 0.00454003 -2 *2471:mgmt_gpio_out[35] 0.00146651 -3 *1574:19 0.0148003 -4 *1574:18 0.0105552 -5 *1574:10 0.0223354 -6 *1574:9 0.0235069 -7 *2446:mgmt_gpio_out *1793:13 3.81792e-05 -8 *2446:mgmt_gpio_out *1847:7 0 -9 *2446:mgmt_gpio_out *2372:12 0 -10 *2446:mgmt_gpio_out *2399:9 0.000594812 -11 *2446:mgmt_gpio_oeb *2446:mgmt_gpio_out 0.0013568 -12 *100:74 *1574:18 0 -13 *100:77 *1574:10 0.346032 -14 *109:49 *2446:mgmt_gpio_out 0.00179582 -15 *467:41 *1574:18 0.000406539 -16 *478:26 *1574:19 0.00491749 -17 *480:17 *1574:10 0.0440279 -18 *480:25 *1574:18 0.000414208 -19 *480:28 *1574:19 0.010244 -20 *487:21 *1574:18 0.000599849 -21 *493:45 *1574:19 0.00488005 -22 *911:9 *1574:19 0.00161652 -23 *1295:9 *1574:19 0 -24 *1550:24 *1574:10 0.337527 -25 *1562:22 *1574:9 0 -26 *1571:7 *1574:9 0 -27 *1573:10 *1574:18 7.7523e-05 -*RES -1 *2471:mgmt_gpio_out[35] *1574:9 44.694 -2 *1574:9 *1574:10 3620.07 -3 *1574:10 *1574:18 27.5543 -4 *1574:18 *1574:19 447.605 -5 *1574:19 *2446:mgmt_gpio_out 20.3363 -*END - -*D_NET *1575 0.782516 -*CONN -*I *2447:mgmt_gpio_out I *D gpio_control_block -*I *2471:mgmt_gpio_out[36] O *D housekeeping -*CAP -1 *2447:mgmt_gpio_out 0.00118976 -2 *2471:mgmt_gpio_out[36] 0.000467188 -3 *1575:19 0.00202962 -4 *1575:18 0.000839862 -5 *1575:16 0.0261903 -6 *1575:15 0.0261903 -7 *1575:13 0.00352734 -8 *1575:12 0.00399453 -9 *2447:mgmt_gpio_out *1794:15 2.29355e-05 -10 *2447:mgmt_gpio_oeb *2447:mgmt_gpio_out 1.10565e-05 -11 *471:27 *1575:16 0.352013 -12 *487:36 *1575:19 0.00658658 -13 *1557:27 *1575:19 0.006583 -14 *1558:26 *1575:16 1.41291e-05 -15 *1559:28 *1575:16 0.350015 -16 *1560:26 *1575:16 1.65872e-05 -17 *1561:14 *1575:13 0.00114411 -18 *1571:7 *1575:13 0.0016806 -*RES -1 *2471:mgmt_gpio_out[36] *1575:12 21.5821 -2 *1575:12 *1575:13 124.747 -3 *1575:13 *1575:15 4.5 -4 *1575:15 *1575:16 3720.45 -5 *1575:16 *1575:18 4.5 -6 *1575:18 *1575:19 105.23 -7 *1575:19 *2447:mgmt_gpio_out 38.6541 -*END - -*D_NET *1576 0.582215 -*CONN -*I *2448:mgmt_gpio_out I *D gpio_control_block -*I *2471:mgmt_gpio_out[37] O *D housekeeping -*CAP -1 *2448:mgmt_gpio_out 0.00165453 -2 *2471:mgmt_gpio_out[37] 0.00116243 -3 *1576:13 0.00342061 -4 *1576:12 0.00176608 -5 *1576:10 0.0635403 -6 *1576:9 0.0647027 -7 *2448:mgmt_gpio_out *1795:7 0.000104522 -8 *2448:mgmt_gpio_out *1822:7 0 -9 *2448:mgmt_gpio_out *1849:7 0 -10 *2448:mgmt_gpio_out *1984:21 0.00013217 -11 *2448:mgmt_gpio_out *2092:15 5.48652e-06 -12 *1576:9 *2020:21 0 -13 *1576:10 *1647:27 0.0887684 -14 *1576:13 *2038:11 0.00138332 -15 *1563:13 *1576:10 0.355574 -16 *1573:7 *1576:9 0 -*RES -1 *2471:mgmt_gpio_out[37] *1576:9 37.2194 -2 *1576:9 *1576:10 3725.44 -3 *1576:10 *1576:12 4.5 -4 *1576:12 *1576:13 65.5732 -5 *1576:13 *2448:mgmt_gpio_out 9.01576 -*END - -*D_NET *1577 0.309205 -*CONN -*I *2471:usr2_vcc_pwrgood I *D housekeeping -*I *2472:user2_vcc_powergood O *D mgmt_protect -*CAP -1 *2471:usr2_vcc_pwrgood 0.00154198 -2 *2472:user2_vcc_powergood 0.00127867 -3 *1577:17 0.00950162 -4 *1577:16 0.00820399 -5 *1577:8 0.00445047 -6 *1577:7 0.00548479 -7 *2471:usr2_vcc_pwrgood *2471:usr1_vcc_pwrgood 0 -8 *2471:usr2_vcc_pwrgood *2471:usr1_vdd_pwrgood 0 -9 *1577:8 *1578:8 0.00479156 -10 *1577:8 *1578:12 6.23919e-05 -11 *1577:8 *2194:8 0.00158929 -12 *1577:16 *2193:8 0.000292789 -13 *1577:16 *2194:8 6.1578e-06 -14 *1577:17 *1578:15 0.127411 -15 *1577:17 *2194:11 0.127414 -16 *487:21 *1577:16 0.000260374 -17 *498:17 *1577:17 0.0159501 -18 *870:7 *1577:8 0.000849418 -19 *1127:9 *1577:16 7.93528e-05 -20 *1573:10 *1577:16 3.73547e-05 -*RES -1 *2472:user2_vcc_powergood *1577:7 8.17437 -2 *1577:7 *1577:8 135.336 -3 *1577:8 *1577:16 22.4263 -4 *1577:16 *1577:17 1335.65 -5 *1577:17 *2471:usr2_vcc_pwrgood 45.9397 -*END - -*D_NET *1578 0.310822 -*CONN -*I *2471:usr2_vdd_pwrgood I *D housekeeping -*I *2472:user2_vdd_powergood O *D mgmt_protect -*CAP -1 *2471:usr2_vdd_pwrgood 0.00151472 -2 *2472:user2_vdd_powergood 0.00124611 -3 *1578:15 0.00965504 -4 *1578:14 0.00814032 -5 *1578:12 0.00243309 -6 *1578:10 0.00251935 -7 *1578:8 0.00319901 -8 *1578:7 0.00435886 -9 *2471:usr2_vdd_pwrgood *2471:usr1_vdd_pwrgood 0 -10 *1578:12 *2194:8 0 -11 *1578:15 *2194:11 0.000161493 -12 *467:42 *1578:15 0.0146612 -13 *870:7 *1578:12 0.00231348 -14 *1254:7 *1578:8 0 -15 *1254:7 *1578:12 0 -16 *1550:24 *1578:15 0.128354 -17 *1577:8 *1578:8 0.00479156 -18 *1577:8 *1578:12 6.23919e-05 -19 *1577:17 *1578:15 0.127411 -*RES -1 *2472:user2_vdd_powergood *1578:7 8.09787 -2 *1578:7 *1578:8 102.641 -3 *1578:8 *1578:10 1.29461 -4 *1578:10 *1578:12 58.1964 -5 *1578:12 *1578:14 4.5 -6 *1578:14 *1578:15 1345.64 -7 *1578:15 *2471:usr2_vdd_pwrgood 45.5245 -*END - -*D_NET *1579 0.143194 -*CONN -*I *2478:mprj_ack_i I *D mgmt_core_wrapper -*I *2472:mprj_ack_i_core O *D mgmt_protect -*CAP -1 *2478:mprj_ack_i 1.28869e-05 -2 *2472:mprj_ack_i_core 0.000616065 -3 *1579:11 0.00352523 -4 *1579:10 0.00351234 -5 *1579:8 0.00497422 -6 *1579:7 0.00559028 -7 *1579:7 *2472:mprj_cyc_o_core 0.00018195 -8 *1579:8 *1581:24 0.0608598 -9 *1579:8 *1741:24 0.00369071 -10 *1579:11 *1647:9 0 -11 *2472:la_oenb_mprj[127] *1579:7 0 -12 *609:8 *1579:8 0.000133137 -13 *635:8 *1579:8 0.060097 -*RES -1 *2472:mprj_ack_i_core *1579:7 18.9117 -2 *1579:7 *1579:8 652.383 -3 *1579:8 *1579:10 4.5 -4 *1579:10 *1579:11 73.0477 -5 *1579:11 *2478:mprj_ack_i 0.366399 -*END - -*D_NET *1580 0.111523 -*CONN -*I *2472:mprj_ack_i_user I *D mgmt_protect -*I *2473:wbs_ack_o O *D user_analog_project_wrapper -*CAP -1 *2472:mprj_ack_i_user 0.000468068 -2 *2473:wbs_ack_o 0.00264885 -3 *1580:8 0.0204182 -4 *1580:7 0.0199501 -5 *1580:5 0.00264885 -6 *2472:mprj_ack_i_user *1648:7 0 -7 *2472:mprj_ack_i_user *2182:7 0 -8 *1580:8 *1615:8 0.0441844 -9 *469:25 *1580:8 0.0212041 -*RES -1 *2473:wbs_ack_o *1580:5 71.7165 -2 *1580:5 *1580:7 4.5 -3 *1580:7 *1580:8 753.875 -4 *1580:8 *2472:mprj_ack_i_user 16.8354 -*END - -*D_NET *1581 0.289782 -*CONN -*I *2472:mprj_adr_o_core[0] I *D mgmt_protect -*I *2471:wb_adr_i[0] I *D housekeeping -*I *2478:mprj_adr_o[0] O *D mgmt_core_wrapper -*CAP -1 *2472:mprj_adr_o_core[0] 0.000715151 -2 *2471:wb_adr_i[0] 0.00203315 -3 *2478:mprj_adr_o[0] 0.000180524 -4 *1581:24 0.00707656 -5 *1581:23 0.00636141 -6 *1581:21 0.00330711 -7 *1581:12 0.00203315 -8 *1581:10 0.00510976 -9 *1581:9 0.00510976 -10 *1581:7 0.00348763 -11 *2471:wb_adr_i[0] *2471:wb_adr_i[1] 0 -12 *2472:mprj_adr_o_core[0] *2472:mprj_we_o_core 0 -13 *2472:mprj_adr_o_core[0] *1649:7 0 -14 *1581:7 *1649:17 0 -15 *1581:7 *2195:9 0 -16 *1581:7 *2195:25 0 -17 *1581:10 *1592:10 0.0665507 -18 *1581:21 *1649:17 0 -19 *1581:21 *2195:9 0 -20 *1581:24 *2472:mprj_adr_o_core[10] 0.000329835 -21 *1581:24 *1582:24 0.000244551 -22 *1581:24 *1587:24 0.0534686 -23 *1581:24 *1612:36 0 -24 *1581:24 *1741:24 0.00411816 -25 *102:21 *1581:10 0.0683305 -26 *609:8 *1581:24 0.000115028 -27 *610:8 *1581:24 0 -28 *866:16 *1581:24 0 -29 *867:20 *1581:24 0.000350848 -30 *1579:8 *1581:24 0.0608598 -*RES -1 *2478:mprj_adr_o[0] *1581:7 4.48228 -2 *1581:7 *1581:9 4.5 -3 *1581:9 *1581:10 720.599 -4 *1581:10 *1581:12 4.5 -5 *1581:12 *2471:wb_adr_i[0] 48.499 -6 *1581:7 *1581:21 68.6876 -7 *1581:21 *1581:23 4.5 -8 *1581:23 *1581:24 669.021 -9 *1581:24 *2472:mprj_adr_o_core[0] 19.3269 -*END - -*D_NET *1582 0.256211 -*CONN -*I *2471:wb_adr_i[10] I *D housekeeping -*I *2472:mprj_adr_o_core[10] I *D mgmt_protect -*I *2478:mprj_adr_o[10] O *D mgmt_core_wrapper -*CAP -1 *2471:wb_adr_i[10] 0.00204181 -2 *2472:mprj_adr_o_core[10] 0.00120335 -3 *2478:mprj_adr_o[10] 0.00022801 -4 *1582:37 0.00204181 -5 *1582:35 0.0042355 -6 *1582:24 0.00167409 -7 *1582:21 0.00114299 -8 *1582:16 0.0188217 -9 *1582:15 0.0181495 -10 *1582:13 0.00170251 -11 *1582:12 0.00202111 -12 *1582:9 0.00478211 -13 *2471:wb_adr_i[10] *2471:wb_adr_i[11] 0 -14 *2471:wb_adr_i[10] *1612:13 0 -15 *2472:mprj_adr_o_core[10] *2472:mprj_dat_o_core[9] 0 -16 *2472:mprj_adr_o_core[10] *1612:36 0.000339591 -17 *2472:mprj_adr_o_core[10] *1650:5 0 -18 *2472:mprj_adr_o_core[10] *1651:8 0.00122777 -19 *1582:9 *2478:mprj_dat_i[10] 0 -20 *1582:12 *1592:10 0.00132675 -21 *1582:13 *2478:mprj_dat_i[9] 0 -22 *1582:13 *1612:7 0.00119747 -23 *1582:13 *1612:23 0.00125403 -24 *1582:16 *1583:24 0.075911 -25 *1582:16 *1589:22 0.000963002 -26 *1582:16 *1598:24 0.000964633 -27 *1582:16 *1598:28 0.000163504 -28 *1582:16 *1664:8 0.000141029 -29 *1582:16 *1673:8 0 -30 *1582:16 *1713:10 0 -31 *1582:16 *2183:19 0 -32 *1582:16 *2185:10 0 -33 *1582:21 *2472:mprj_dat_o_core[18] 0.000173069 -34 *1582:21 *1658:5 0.000836779 -35 *1582:24 *1587:24 0.000784833 -36 *1582:24 *1590:18 0.00027103 -37 *1582:24 *1651:8 5.30213e-05 -38 *1582:24 *1653:10 0.00295106 -39 *1582:35 *1583:10 0.0529763 -40 *1582:35 *1592:10 0.0522846 -41 *102:21 *1582:35 0.000598683 -42 *873:10 *1582:16 0.000897843 -43 *1271:10 *1582:16 0.00227613 -44 *1581:24 *2472:mprj_adr_o_core[10] 0.000329835 -45 *1581:24 *1582:24 0.000244551 -*RES -1 *2478:mprj_adr_o[10] *1582:9 9.81279 -2 *1582:9 *1582:12 18.5339 -3 *1582:12 *1582:13 49.3784 -4 *1582:13 *1582:15 3.36879 -5 *1582:15 *1582:16 96.5805 -6 *1582:16 *1582:21 25.2727 -7 *1582:21 *1582:24 33.7464 -8 *1582:24 *2472:mprj_adr_o_core[10] 42.509 -9 *1582:9 *1582:35 575.847 -10 *1582:35 *1582:37 4.5 -11 *1582:37 *2471:wb_adr_i[10] 49.3295 -*END - -*D_NET *1583 0.309331 -*CONN -*I *2472:mprj_adr_o_core[11] I *D mgmt_protect -*I *2471:wb_adr_i[11] I *D housekeeping -*I *2478:mprj_adr_o[11] O *D mgmt_core_wrapper -*CAP -1 *2472:mprj_adr_o_core[11] 0.00165799 -2 *2471:wb_adr_i[11] 0.00205983 -3 *2478:mprj_adr_o[11] 0.000283976 -4 *1583:24 0.0108996 -5 *1583:23 0.0092416 -6 *1583:21 0.00183016 -7 *1583:12 0.00205983 -8 *1583:10 0.00390393 -9 *1583:9 0.00390393 -10 *1583:7 0.00211413 -11 *2471:wb_adr_i[11] *1584:13 0 -12 *2472:mprj_adr_o_core[11] *2472:mprj_dat_o_core[11] 0 -13 *2472:mprj_adr_o_core[11] *1651:7 0 -14 *2472:mprj_adr_o_core[11] *1714:19 0 -15 *1583:7 *1651:17 0.000130911 -16 *1583:10 *1584:10 0.0520397 -17 *1583:21 *1651:17 0.00105001 -18 *1583:21 *1715:29 4.08754e-05 -19 *1583:24 *1584:24 0.0837917 -20 *1583:24 *1713:10 0 -21 *2471:wb_adr_i[10] *2471:wb_adr_i[11] 0 -22 *102:21 *1583:10 4.4379e-05 -23 *608:11 *2472:mprj_adr_o_core[11] 0.000173895 -24 *876:10 *1583:24 0.00181421 -25 *890:10 *1583:24 0 -26 *1131:10 *1583:24 0.00115127 -27 *1273:10 *1583:24 0.00225187 -28 *1582:16 *1583:24 0.075911 -29 *1582:35 *1583:10 0.0529763 -*RES -1 *2478:mprj_adr_o[11] *1583:7 7.41347 -2 *1583:7 *1583:9 4.5 -3 *1583:9 *1583:10 560.873 -4 *1583:10 *1583:12 4.5 -5 *1583:12 *2471:wb_adr_i[11] 49.7448 -6 *1583:7 *1583:21 48.1326 -7 *1583:21 *1583:23 3.36879 -8 *1583:23 *1583:24 107.595 -9 *1583:24 *2472:mprj_adr_o_core[11] 37.505 -*END - -*D_NET *1584 0.314643 -*CONN -*I *2472:mprj_adr_o_core[12] I *D mgmt_protect -*I *2471:wb_adr_i[12] I *D housekeeping -*I *2478:mprj_adr_o[12] O *D mgmt_core_wrapper -*CAP -1 *2472:mprj_adr_o_core[12] 0.00180595 -2 *2471:wb_adr_i[12] 1.28869e-05 -3 *2478:mprj_adr_o[12] 0.000268462 -4 *1584:24 0.00990575 -5 *1584:23 0.0080998 -6 *1584:21 0.00216288 -7 *1584:13 0.00207343 -8 *1584:12 0.00206054 -9 *1584:10 0.00387732 -10 *1584:9 0.00387732 -11 *1584:7 0.00243134 -12 *2472:mprj_adr_o_core[12] *2472:mprj_dat_o_core[11] 0 -13 *2472:mprj_adr_o_core[12] *1652:5 0 -14 *1584:7 *2478:mprj_dat_i[12] 0 -15 *1584:7 *1715:7 0 -16 *1584:10 *1585:10 0.0508375 -17 *1584:13 *1585:13 0 -18 *1584:21 *2478:mprj_dat_i[12] 0 -19 *1584:21 *1715:7 0 -20 *1584:24 *1585:24 0.0844467 -21 *2471:wb_adr_i[11] *1584:13 0 -22 *102:21 *1584:10 1.59204e-05 -23 *875:10 *1584:24 0.00133953 -24 *890:10 *1584:24 0 -25 *1134:10 *1584:24 0.00205834 -26 *1274:10 *1584:24 0.0022229 -27 *1275:10 *1584:24 0.00131527 -28 *1583:10 *1584:10 0.0520397 -29 *1583:24 *1584:24 0.0837917 -*RES -1 *2478:mprj_adr_o[12] *1584:7 6.14329 -2 *1584:7 *1584:9 4.5 -3 *1584:9 *1584:10 550.89 -4 *1584:10 *1584:12 4.5 -5 *1584:12 *1584:13 49.7936 -6 *1584:13 *2471:wb_adr_i[12] 0.366399 -7 *1584:7 *1584:21 46.8869 -8 *1584:21 *1584:23 3.36879 -9 *1584:23 *1584:24 108.436 -10 *1584:24 *2472:mprj_adr_o_core[12] 38.3355 -*END - -*D_NET *1585 0.312732 -*CONN -*I *2472:mprj_adr_o_core[13] I *D mgmt_protect -*I *2471:wb_adr_i[13] I *D housekeeping -*I *2478:mprj_adr_o[13] O *D mgmt_core_wrapper -*CAP -1 *2472:mprj_adr_o_core[13] 0.00173837 -2 *2471:wb_adr_i[13] 1.28869e-05 -3 *2478:mprj_adr_o[13] 0.000290126 -4 *1585:24 0.00951262 -5 *1585:23 0.00986132 -6 *1585:13 0.00208804 -7 *1585:12 0.00207515 -8 *1585:10 0.00377293 -9 *1585:9 0.00377293 -10 *1585:7 0.00237719 -11 *2472:mprj_adr_o_core[13] *2472:mprj_dat_o_core[12] 0 -12 *2472:mprj_adr_o_core[13] *1653:9 0 -13 *1585:7 *1653:19 0 -14 *1585:10 *1586:10 0.0497416 -15 *1585:13 *1586:13 0 -16 *1585:23 *1653:19 0 -17 *1585:24 *1586:24 0.0854864 -18 *1585:24 *1660:14 0.00120502 -19 *102:21 *1585:10 0 -20 *610:13 *2472:mprj_adr_o_core[13] 0.00032249 -21 *878:16 *1585:24 0.00145702 -22 *880:10 *1585:24 0.000910857 -23 *890:10 *1585:24 0 -24 *1133:10 *1585:24 0.0015278 -25 *1275:14 *1585:24 0.001295 -26 *1584:10 *1585:10 0.0508375 -27 *1584:13 *1585:13 0 -28 *1584:24 *1585:24 0.0844467 -*RES -1 *2478:mprj_adr_o[13] *1585:7 6.55854 -2 *1585:7 *1585:9 4.5 -3 *1585:9 *1585:10 538.134 -4 *1585:10 *1585:12 4.5 -5 *1585:12 *1585:13 50.2089 -6 *1585:13 *2471:wb_adr_i[13] 0.366399 -7 *1585:7 *1585:23 49.0099 -8 *1585:23 *1585:24 109.66 -9 *1585:24 *2472:mprj_adr_o_core[13] 39.166 -*END - -*D_NET *1586 0.283239 -*CONN -*I *2472:mprj_adr_o_core[14] I *D mgmt_protect -*I *2471:wb_adr_i[14] I *D housekeeping -*I *2478:mprj_adr_o[14] O *D mgmt_core_wrapper -*CAP -1 *2472:mprj_adr_o_core[14] 0.00191266 -2 *2471:wb_adr_i[14] 1.28869e-05 -3 *2478:mprj_adr_o[14] 0.000332514 -4 *1586:24 0.0104804 -5 *1586:23 0.0106025 -6 *1586:13 0.00210264 -7 *1586:12 0.00208975 -8 *1586:10 0.0115027 -9 *1586:9 0.0115027 -10 *1586:7 0.00236721 -11 *2472:mprj_adr_o_core[14] *2472:mprj_dat_o_core[13] 0 -12 *2472:mprj_adr_o_core[14] *1654:7 0 -13 *1586:7 *2478:mprj_dat_i[14] 0 -14 *1586:7 *1717:7 0 -15 *1586:13 *1587:13 0 -16 *1586:23 *2478:mprj_dat_i[14] 0 -17 *1586:23 *1717:7 0 -18 *1586:23 *1717:23 0 -19 *1586:24 *1588:24 0.0856074 -20 *1586:24 *1608:24 0.00285289 -21 *1586:24 *1649:14 0.00171697 -22 *102:21 *1586:10 0 -23 *865:15 *2472:mprj_adr_o_core[14] 0 -24 *880:14 *1586:24 0.00125879 -25 *881:10 *1586:24 0.000690326 -26 *881:14 *1586:24 0.000118134 -27 *882:14 *1586:24 0.000719048 -28 *882:18 *1586:24 0.00203317 -29 *890:10 *1586:24 0 -30 *1119:7 *2472:mprj_adr_o_core[14] 0 -31 *1120:15 *2472:mprj_adr_o_core[14] 0 -32 *1138:20 *1586:24 0.000108607 -33 *1585:10 *1586:10 0.0497416 -34 *1585:13 *1586:13 0 -35 *1585:24 *1586:24 0.0854864 -*RES -1 *2478:mprj_adr_o[14] *1586:7 7.53561 -2 *1586:7 *1586:9 4.5 -3 *1586:9 *1586:10 526.488 -4 *1586:10 *1586:12 4.5 -5 *1586:12 *1586:13 50.6241 -6 *1586:13 *2471:wb_adr_i[14] 0.366399 -7 *1586:7 *1586:23 47.7641 -8 *1586:23 *1586:24 110.808 -9 *1586:24 *2472:mprj_adr_o_core[14] 39.9965 -*END - -*D_NET *1587 0.262166 -*CONN -*I *2472:mprj_adr_o_core[15] I *D mgmt_protect -*I *2471:wb_adr_i[15] I *D housekeeping -*I *2478:mprj_adr_o[15] O *D mgmt_core_wrapper -*CAP -1 *2472:mprj_adr_o_core[15] 0.000736587 -2 *2471:wb_adr_i[15] 1.28869e-05 -3 *2478:mprj_adr_o[15] 0.00121715 -4 *1587:24 0.00965747 -5 *1587:23 0.0109171 -6 *1587:13 0.00300544 -7 *1587:12 0.00299255 -8 *1587:10 0.00421571 -9 *1587:9 0.00421571 -10 *1587:7 0.00321333 -11 *2472:mprj_adr_o_core[15] *2472:mprj_dat_o_core[14] 0 -12 *2472:mprj_adr_o_core[15] *1655:7 0 -13 *1587:7 *1655:21 0 -14 *1587:7 *1718:24 0 -15 *1587:10 *2478:irq[1] 0.000388665 -16 *1587:10 *1588:10 0.00429088 -17 *1587:10 *1612:10 0.00147241 -18 *1587:10 *1723:12 0.00046538 -19 *1587:10 *1723:25 0.000653519 -20 *1587:10 *1725:10 0.0406512 -21 *1587:10 *1727:10 0.0379218 -22 *1587:10 *1736:10 0 -23 *1587:10 *1741:10 0.000605439 -24 *1587:13 *1588:13 0 -25 *1587:23 *1655:21 0 -26 *1587:24 *1590:18 0.0754535 -27 *1587:24 *1741:24 0.00582633 -28 *1581:24 *1587:24 0.0534686 -29 *1582:24 *1587:24 0.000784833 -30 *1586:13 *1587:13 0 -*RES -1 *2478:mprj_adr_o[15] *1587:7 27.3212 -2 *1587:7 *1587:9 4.5 -3 *1587:9 *1587:10 513.732 -4 *1587:10 *1587:12 4.5 -5 *1587:12 *1587:13 70.9715 -6 *1587:13 *2471:wb_adr_i[15] 0.366399 -7 *1587:7 *1587:23 49.9335 -8 *1587:23 *1587:24 812.108 -9 *1587:24 *2472:mprj_adr_o_core[15] 19.7422 -*END - -*D_NET *1588 0.315384 -*CONN -*I *2472:mprj_adr_o_core[16] I *D mgmt_protect -*I *2471:wb_adr_i[16] I *D housekeeping -*I *2478:mprj_adr_o[16] O *D mgmt_core_wrapper -*CAP -1 *2472:mprj_adr_o_core[16] 0.00181004 -2 *2471:wb_adr_i[16] 1.28869e-05 -3 *2478:mprj_adr_o[16] 0.0013321 -4 *1588:24 0.0117352 -5 *1588:23 0.0109627 -6 *1588:13 0.00304147 -7 *1588:12 0.00302858 -8 *1588:10 0.00353103 -9 *1588:9 0.00353103 -10 *1588:7 0.00236963 -11 *2472:mprj_adr_o_core[16] *2472:mprj_dat_o_core[15] 0 -12 *2472:mprj_adr_o_core[16] *1656:5 0.00041429 -13 *1588:7 *2478:mprj_dat_i[16] 0 -14 *1588:7 *1719:7 0 -15 *1588:7 *1719:23 0 -16 *1588:10 *2478:irq[1] 0.000329156 -17 *1588:10 *1589:15 0.000436811 -18 *1588:10 *1589:31 0.0461999 -19 *1588:10 *1727:10 0.0381917 -20 *1588:10 *1736:10 0.000218095 -21 *1588:13 *1589:34 0 -22 *1588:23 *1719:23 0 -23 *1588:24 *1591:28 0.0867827 -24 *1588:24 *1610:24 0.00318468 -25 *1588:24 *1653:16 0.00339601 -26 *881:14 *1588:24 0.0016364 -27 *885:10 *1588:24 0.000781986 -28 *890:10 *1588:24 0 -29 *1138:10 *1588:24 0.000574932 -30 *1138:20 *1588:24 0.00198471 -31 *1586:24 *1588:24 0.0856074 -32 *1587:10 *1588:10 0.00429088 -33 *1587:13 *1588:13 0 -*RES -1 *2478:mprj_adr_o[16] *1588:7 29.8371 -2 *1588:7 *1588:9 4.5 -3 *1588:9 *1588:10 502.64 -4 *1588:10 *1588:12 4.5 -5 *1588:12 *1588:13 71.802 -6 *1588:13 *2471:wb_adr_i[16] 0.366399 -7 *1588:7 *1588:23 25.7558 -8 *1588:23 *1588:24 113.026 -9 *1588:24 *2472:mprj_adr_o_core[16] 40.827 -*END - -*D_NET *1589 0.268601 -*CONN -*I *2471:wb_adr_i[17] I *D housekeeping -*I *2472:mprj_adr_o_core[17] I *D mgmt_protect -*I *2478:mprj_adr_o[17] O *D mgmt_core_wrapper -*CAP -1 *2471:wb_adr_i[17] 1.28869e-05 -2 *2472:mprj_adr_o_core[17] 0.00162508 -3 *2478:mprj_adr_o[17] 0.00126708 -4 *1589:34 0.00305605 -5 *1589:33 0.00304316 -6 *1589:31 0.00342604 -7 *1589:22 0.00379955 -8 *1589:21 0.00260069 -9 *1589:16 0.00550617 -10 *1589:15 0.00612246 -11 *1589:9 0.00573563 -12 *2472:mprj_adr_o_core[17] *2472:mprj_dat_o_core[16] 0 -13 *2472:mprj_adr_o_core[17] *2472:mprj_dat_o_core[17] 0 -14 *2472:mprj_adr_o_core[17] *1657:7 0 -15 *1589:9 *1657:17 0 -16 *1589:9 *1720:9 0 -17 *1589:9 *1720:23 0 -18 *1589:15 *1736:10 0.000109258 -19 *1589:16 *1595:24 0.00515079 -20 *1589:16 *1598:18 7.55683e-05 -21 *1589:16 *1612:24 0.0316508 -22 *1589:16 *1651:14 0.00142625 -23 *1589:16 *1653:16 0.000530783 -24 *1589:16 *1655:18 0.0338513 -25 *1589:16 *1657:14 0.000943298 -26 *1589:22 *1598:24 0.0110735 -27 *1589:22 *1598:28 0.000339155 -28 *1589:22 *1602:30 0.0172104 -29 *1589:22 *1664:8 0.00818447 -30 *1589:31 *2478:irq[1] 0.000206867 -31 *1589:31 *1591:12 0.00211693 -32 *1589:31 *1591:14 0.00253428 -33 *1589:31 *1593:26 0.000650889 -34 *1589:31 *1727:10 0.000137345 -35 *1589:31 *1729:15 0.000383703 -36 *1589:31 *1729:25 0.0352422 -37 *1589:31 *1736:10 0.000421596 -38 *1589:34 *1590:30 0 -39 *884:10 *1589:16 0.00211887 -40 *884:14 *1589:16 0.00635887 -41 *886:10 *1589:16 0.0150653 -42 *887:10 *1589:16 0.00085425 -43 *1122:15 *2472:mprj_adr_o_core[17] 0 -44 *1134:7 *1589:21 2.67003e-05 -45 *1141:16 *1589:22 0.00565466 -46 *1143:16 *1589:22 0.000685805 -47 *1144:10 *1589:16 0.000120974 -48 *1147:16 *1589:22 0.00168132 -49 *1582:16 *1589:22 0.000963002 -50 *1588:10 *1589:15 0.000436811 -51 *1588:10 *1589:31 0.0461999 -52 *1588:13 *1589:34 0 -*RES -1 *2478:mprj_adr_o[17] *1589:9 33.0669 -2 *1589:9 *1589:15 34.5392 -3 *1589:15 *1589:16 566.419 -4 *1589:16 *1589:21 16.6455 -5 *1589:21 *1589:22 259.169 -6 *1589:22 *2472:mprj_adr_o_core[17] 35.5218 -7 *1589:9 *1589:31 489.329 -8 *1589:31 *1589:33 4.5 -9 *1589:33 *1589:34 72.2172 -10 *1589:34 *2471:wb_adr_i[17] 0.366399 -*END - -*D_NET *1590 0.206834 -*CONN -*I *2471:wb_adr_i[18] I *D housekeeping -*I *2472:mprj_adr_o_core[18] I *D mgmt_protect -*I *2478:mprj_adr_o[18] O *D mgmt_core_wrapper -*CAP -1 *2471:wb_adr_i[18] 1.28869e-05 -2 *2472:mprj_adr_o_core[18] 0.000758023 -3 *2478:mprj_adr_o[18] 0.00139926 -4 *1590:30 0.00341451 -5 *1590:29 0.00340162 -6 *1590:27 0.0070886 -7 *1590:18 0.0130527 -8 *1590:17 0.0138067 -9 *1590:12 0.00999991 -10 *2472:mprj_adr_o_core[18] *2472:mprj_dat_o_core[17] 0 -11 *2472:mprj_adr_o_core[18] *1658:5 0 -12 *1590:12 *2478:mprj_dat_i[18] 0.000823584 -13 *1590:12 *1593:29 0.000364767 -14 *1590:12 *1658:14 0.000113968 -15 *1590:12 *1659:8 0.000167076 -16 *1590:12 *1661:8 1.41853e-05 -17 *1590:17 *2478:mprj_dat_i[18] 8.62625e-06 -18 *1590:18 *1612:30 0.032419 -19 *1590:18 *1653:10 0.00327915 -20 *1590:18 *1655:12 0.000316909 -21 *1590:18 *1662:14 0.000286319 -22 *1590:18 *1671:8 0 -23 *1590:18 *1741:24 0.00724031 -24 *1590:18 *2191:16 0 -25 *1590:27 *1595:10 0.0180076 -26 *1590:27 *1606:25 0.0104359 -27 *1590:27 *1659:8 0.000605222 -28 *1590:27 *1661:8 0.00286042 -29 *1590:27 *1663:14 6.33518e-05 -30 *1590:27 *1726:10 0.000895002 -31 *1590:27 *1739:16 0.00027341 -32 *1590:30 *1591:17 0 -33 *1582:24 *1590:18 0.00027103 -34 *1587:24 *1590:18 0.0754535 -35 *1589:34 *1590:30 0 -*RES -1 *2478:mprj_adr_o[18] *1590:12 45.0535 -2 *1590:12 *1590:17 45.2979 -3 *1590:17 *1590:18 842.612 -4 *1590:18 *2472:mprj_adr_o_core[18] 20.1574 -5 *1590:12 *1590:27 472.691 -6 *1590:27 *1590:29 4.5 -7 *1590:29 *1590:30 79.6918 -8 *1590:30 *2471:wb_adr_i[18] 0.366399 -*END - -*D_NET *1591 0.299327 -*CONN -*I *2472:mprj_adr_o_core[19] I *D mgmt_protect -*I *2471:wb_adr_i[19] I *D housekeeping -*I *2478:mprj_adr_o[19] O *D mgmt_core_wrapper -*CAP -1 *2472:mprj_adr_o_core[19] 0.00189268 -2 *2471:wb_adr_i[19] 1.28869e-05 -3 *2478:mprj_adr_o[19] 0.00112239 -4 *1591:28 0.011517 -5 *1591:27 0.0105208 -6 *1591:17 0.00308967 -7 *1591:16 0.00307679 -8 *1591:14 0.00358306 -9 *1591:12 0.00377334 -10 *1591:7 0.00220915 -11 *2472:mprj_adr_o_core[19] *2472:mprj_dat_o_core[18] 0.000407913 -12 *2472:mprj_adr_o_core[19] *1659:5 0 -13 *1591:7 *2478:mprj_dat_i[19] 0.000685027 -14 *1591:7 *1723:15 0 -15 *1591:12 *1593:26 0.00148832 -16 *1591:12 *1736:10 0.000272272 -17 *1591:14 *2478:irq[1] 0.000184687 -18 *1591:14 *1729:15 0.000379505 -19 *1591:14 *1729:25 0.035781 -20 *1591:14 *1733:19 0.000376796 -21 *1591:14 *1736:10 0.0142976 -22 *1591:14 *1737:15 0.000171456 -23 *1591:14 *1737:25 0.0116742 -24 *1591:17 *1593:13 0 -25 *1591:27 *2478:mprj_dat_i[19] 0.000155824 -26 *1591:27 *1723:15 0 -27 *1591:28 *1595:24 0.0900509 -28 *1591:28 *1612:24 0.00336822 -29 *1591:28 *1651:14 0.00325843 -30 *884:10 *1591:28 0.000238938 -31 *884:14 *1591:28 0.00242457 -32 *885:14 *1591:28 0.0016364 -33 *890:10 *1591:28 0.00024367 -34 *1588:24 *1591:28 0.0867827 -35 *1589:31 *1591:12 0.00211693 -36 *1589:31 *1591:14 0.00253428 -37 *1590:30 *1591:17 0 -*RES -1 *2478:mprj_adr_o[19] *1591:7 30.6676 -2 *1591:7 *1591:12 27.4317 -3 *1591:12 *1591:14 439.969 -4 *1591:14 *1591:16 4.5 -5 *1591:16 *1591:17 73.0477 -6 *1591:17 *2471:wb_adr_i[19] 0.366399 -7 *1591:7 *1591:27 24.0948 -8 *1591:27 *1591:28 117.233 -9 *1591:28 *2472:mprj_adr_o_core[19] 41.6575 -*END - -*D_NET *1592 0.279317 -*CONN -*I *2472:mprj_adr_o_core[1] I *D mgmt_protect -*I *2471:wb_adr_i[1] I *D housekeeping -*I *2478:mprj_adr_o[1] O *D mgmt_core_wrapper -*CAP -1 *2472:mprj_adr_o_core[1] 0.00289861 -2 *2471:wb_adr_i[1] 0.00205016 -3 *2478:mprj_adr_o[1] 0.000284414 -4 *1592:26 0.00289861 -5 *1592:24 0.00555585 -6 *1592:23 0.00634126 -7 *1592:12 0.00205016 -8 *1592:10 0.00686198 -9 *1592:9 0.00686198 -10 *1592:7 0.00106983 -11 *2471:wb_adr_i[1] *1603:25 0 -12 *2472:mprj_adr_o_core[1] *2472:mprj_dat_o_core[1] 0 -13 *2472:mprj_adr_o_core[1] *2472:mprj_sel_o_core[0] 0 -14 *2472:mprj_adr_o_core[1] *1660:7 0.00024649 -15 *1592:7 *2183:14 0 -16 *1592:7 *2183:16 0 -17 *1592:23 *2183:16 0 -18 *1592:24 *1603:10 0.063439 -19 *1592:24 *1722:10 0.00138234 -20 *2471:wb_adr_i[0] *2471:wb_adr_i[1] 0 -21 *102:21 *1592:10 0.000113197 -22 *607:13 *2472:mprj_adr_o_core[1] 0 -23 *609:13 *2472:mprj_adr_o_core[1] 0 -24 *634:8 *1592:24 0.0565657 -25 *868:23 *2472:mprj_adr_o_core[1] 0 -26 *984:9 *2472:mprj_adr_o_core[1] 0.000428463 -27 *1241:9 *2472:mprj_adr_o_core[1] 0 -28 *1369:10 *1592:24 0 -29 *1371:12 *1592:24 0.000107179 -30 *1581:10 *1592:10 0.0665507 -31 *1582:12 *1592:10 0.00132675 -32 *1582:35 *1592:10 0.0522846 -*RES -1 *2478:mprj_adr_o[1] *1592:7 6.58297 -2 *1592:7 *1592:9 4.5 -3 *1592:9 *1592:10 704.515 -4 *1592:10 *1592:12 4.5 -5 *1592:12 *2471:wb_adr_i[1] 48.9143 -6 *1592:7 *1592:23 24.1878 -7 *1592:23 *1592:24 679.004 -8 *1592:24 *1592:26 4.5 -9 *1592:26 *2472:mprj_adr_o_core[1] 63.4115 -*END - -*D_NET *1593 0.284974 -*CONN -*I *2472:mprj_adr_o_core[20] I *D mgmt_protect -*I *2471:wb_adr_i[20] I *D housekeeping -*I *2478:mprj_adr_o[20] O *D mgmt_core_wrapper -*CAP -1 *2472:mprj_adr_o_core[20] 0.00278677 -2 *2471:wb_adr_i[20] 1.28869e-05 -3 *2478:mprj_adr_o[20] 0.000795273 -4 *1593:32 0.00278677 -5 *1593:30 0.00574078 -6 *1593:29 0.00602018 -7 *1593:26 0.000908299 -8 *1593:13 0.00270523 -9 *1593:12 0.00269234 -10 *1593:10 0.00308083 -11 *1593:9 0.00308083 -12 *1593:7 0.00142417 -13 *2472:mprj_adr_o_core[20] *2472:mprj_dat_o_core[19] 0 -14 *2472:mprj_adr_o_core[20] *1659:5 0 -15 *2472:mprj_adr_o_core[20] *1661:5 0 -16 *1593:7 *2478:mprj_dat_i[20] 0.000555237 -17 *1593:10 *1594:10 0.0415069 -18 *1593:10 *1743:10 0.042709 -19 *1593:10 *2184:25 0.0034499 -20 *1593:13 *1594:13 0 -21 *1593:13 *1595:13 0 -22 *1593:26 *2478:mprj_dat_i[20] 0.000136229 -23 *1593:26 *1736:10 0.00294953 -24 *1593:30 *1594:30 0.00358835 -25 *1593:30 *1658:14 0.0740965 -26 *1593:30 *1659:8 0.0783481 -27 *1593:30 *1661:8 0.000202457 -28 *1593:30 *1738:10 0.000175462 -29 *1593:30 *1740:12 0.000214358 -30 *1593:30 *2184:16 0.00248873 -31 *1122:12 *1593:30 1.45065e-05 -32 *1589:31 *1593:26 0.000650889 -33 *1590:12 *1593:29 0.000364767 -34 *1591:12 *1593:26 0.00148832 -35 *1591:17 *1593:13 0 -*RES -1 *2478:mprj_adr_o[20] *1593:7 23.6083 -2 *1593:7 *1593:9 4.5 -3 *1593:9 *1593:10 449.398 -4 *1593:10 *1593:12 4.5 -5 *1593:12 *1593:13 65.5732 -6 *1593:13 *2471:wb_adr_i[20] 0.366399 -7 *1593:7 *1593:26 47.8721 -8 *1593:26 *1593:29 11.7303 -9 *1593:29 *1593:30 827.637 -10 *1593:30 *1593:32 4.5 -11 *1593:32 *2472:mprj_adr_o_core[20] 51.3691 -*END - -*D_NET *1594 0.260424 -*CONN -*I *2472:mprj_adr_o_core[21] I *D mgmt_protect -*I *2471:wb_adr_i[21] I *D housekeeping -*I *2478:mprj_adr_o[21] O *D mgmt_core_wrapper -*CAP -1 *2472:mprj_adr_o_core[21] 0.00279774 -2 *2471:wb_adr_i[21] 1.28869e-05 -3 *2478:mprj_adr_o[21] 0.000895434 -4 *1594:32 0.00279774 -5 *1594:30 0.000399065 -6 *1594:24 0.00604082 -7 *1594:23 0.00636011 -8 *1594:13 0.0026797 -9 *1594:12 0.00266681 -10 *1594:10 0.00952432 -11 *1594:9 0.00952432 -12 *1594:7 0.00161379 -13 *2472:mprj_adr_o_core[21] *2472:mprj_dat_o_core[20] 0 -14 *2472:mprj_adr_o_core[21] *2472:mprj_dat_o_core[21] 0 -15 *2472:mprj_adr_o_core[21] *1661:5 0 -16 *2472:mprj_adr_o_core[21] *1662:7 0 -17 *1594:7 *2478:mprj_dat_i[21] 0 -18 *1594:7 *1725:7 0 -19 *1594:10 *1743:10 0.000113197 -20 *1594:10 *2184:25 0.00634336 -21 *1594:13 *1595:13 0 -22 *1594:23 *2478:mprj_dat_i[21] 0 -23 *1594:23 *1725:7 0 -24 *1594:23 *1725:23 0 -25 *1594:24 *1663:14 0.0782848 -26 *1594:24 *1726:10 0.078287 -27 *1594:24 *1740:12 0.00305581 -28 *1594:24 *1742:30 0.000100568 -29 *1594:30 *1658:13 7.09666e-06 -30 *1594:30 *1661:8 0.00359255 -31 *1594:30 *1731:27 5.88009e-05 -32 *1594:30 *1738:10 0.000101365 -33 *1249:9 *2472:mprj_adr_o_core[21] 7.16076e-05 -34 *1593:10 *1594:10 0.0415069 -35 *1593:13 *1594:13 0 -36 *1593:30 *1594:30 0.00358835 -*RES -1 *2478:mprj_adr_o[21] *1594:7 21.5076 -2 *1594:7 *1594:9 4.5 -3 *1594:9 *1594:10 439.415 -4 *1594:10 *1594:12 4.5 -5 *1594:12 *1594:13 65.158 -6 *1594:13 *2471:wb_adr_i[21] 0.366399 -7 *1594:7 *1594:23 17.9591 -8 *1594:23 *1594:24 826.528 -9 *1594:24 *1594:30 48.8532 -10 *1594:30 *1594:32 4.5 -11 *1594:32 *2472:mprj_adr_o_core[21] 51.7844 -*END - -*D_NET *1595 0.296829 -*CONN -*I *2472:mprj_adr_o_core[22] I *D mgmt_protect -*I *2471:wb_adr_i[22] I *D housekeeping -*I *2478:mprj_adr_o[22] O *D mgmt_core_wrapper -*CAP -1 *2472:mprj_adr_o_core[22] 0.00214789 -2 *2471:wb_adr_i[22] 1.28869e-05 -3 *2478:mprj_adr_o[22] 0.00170541 -4 *1595:24 0.0122003 -5 *1595:23 0.0106258 -6 *1595:13 0.00336052 -7 *1595:12 0.00334763 -8 *1595:10 0.00371989 -9 *1595:9 0.00371989 -10 *1595:7 0.00227872 -11 *2472:mprj_adr_o_core[22] *2472:mprj_dat_o_core[21] 0 -12 *2472:mprj_adr_o_core[22] *1612:35 0 -13 *2472:mprj_adr_o_core[22] *1663:7 0 -14 *1595:7 *2478:mprj_dat_i[22] 0 -15 *1595:7 *1726:9 0 -16 *1595:7 *1726:24 0 -17 *1595:10 *1596:10 0.000245724 -18 *1595:10 *1596:21 0.0394223 -19 *1595:10 *1663:14 0.000238987 -20 *1595:10 *1739:16 0 -21 *1595:13 *1596:24 0 -22 *1595:24 *1599:24 0.0917926 -23 *1595:24 *1655:18 0.0036624 -24 *877:10 *1595:24 0.0015278 -25 *886:10 *1595:24 0.00311094 -26 *890:10 *1595:24 0.000499706 -27 *1589:16 *1595:24 0.00515079 -28 *1590:27 *1595:10 0.0180076 -29 *1591:28 *1595:24 0.0900509 -30 *1593:13 *1595:13 0 -31 *1594:13 *1595:13 0 -*RES -1 *2478:mprj_adr_o[22] *1595:7 36.8964 -2 *1595:7 *1595:9 4.5 -3 *1595:9 *1595:10 428.877 -4 *1595:10 *1595:12 4.5 -5 *1595:12 *1595:13 78.8613 -6 *1595:13 *2471:wb_adr_i[22] 0.366399 -7 *1595:7 *1595:23 17.0355 -8 *1595:23 *1595:24 120.292 -9 *1595:24 *2472:mprj_adr_o_core[22] 42.488 -*END - -*D_NET *1596 0.275094 -*CONN -*I *2471:wb_adr_i[23] I *D housekeeping -*I *2472:mprj_adr_o_core[23] I *D mgmt_protect -*I *2478:mprj_adr_o[23] O *D mgmt_core_wrapper -*CAP -1 *2471:wb_adr_i[23] 1.28869e-05 -2 *2472:mprj_adr_o_core[23] 0.00296313 -3 *2478:mprj_adr_o[23] 0.00165942 -4 *1596:24 0.0033328 -5 *1596:23 0.00331991 -6 *1596:21 0.00284215 -7 *1596:19 0.0028774 -8 *1596:12 0.00296313 -9 *1596:10 0.00620884 -10 *1596:9 0.00790351 -11 *2472:mprj_adr_o_core[23] *2472:mprj_dat_o_core[22] 0 -12 *2472:mprj_adr_o_core[23] *1664:7 0 -13 *1596:9 *1664:17 0 -14 *1596:9 *1727:7 0 -15 *1596:9 *1727:23 0 -16 *1596:10 *1663:12 0.0010263 -17 *1596:10 *1663:14 0.0801136 -18 *1596:10 *1666:8 0.00020979 -19 *1596:10 *1727:24 0.0829211 -20 *1596:10 *1740:12 0.000144814 -21 *1596:10 *1742:30 0.000116596 -22 *1596:10 *1744:10 0.000102518 -23 *1596:10 *2186:24 0.00275653 -24 *1596:21 *1597:15 0.000448777 -25 *1596:21 *1597:39 0.00381391 -26 *1596:21 *1604:10 0.0295837 -27 *1596:21 *1666:8 9.97598e-05 -28 *1596:21 *1739:16 0 -29 *1596:24 *1597:42 0 -30 *611:13 *2472:mprj_adr_o_core[23] 0 -31 *1122:9 *2472:mprj_adr_o_core[23] 5.47232e-06 -32 *1595:10 *1596:10 0.000245724 -33 *1595:10 *1596:21 0.0394223 -34 *1595:13 *1596:24 0 -*RES -1 *2478:mprj_adr_o[23] *1596:9 40.1506 -2 *1596:9 *1596:10 880.879 -3 *1596:10 *1596:12 4.5 -4 *1596:12 *2472:mprj_adr_o_core[23] 53.8607 -5 *1596:9 *1596:19 0.988641 -6 *1596:19 *1596:21 416.121 -7 *1596:21 *1596:23 4.5 -8 *1596:23 *1596:24 78.446 -9 *1596:24 *2471:wb_adr_i[23] 0.366399 -*END - -*D_NET *1597 0.225434 -*CONN -*I *2471:wb_adr_i[24] I *D housekeeping -*I *2472:mprj_adr_o_core[24] I *D mgmt_protect -*I *2478:mprj_adr_o[24] O *D mgmt_core_wrapper -*CAP -1 *2471:wb_adr_i[24] 1.28869e-05 -2 *2472:mprj_adr_o_core[24] 0.000796628 -3 *2478:mprj_adr_o[24] 0.00156989 -4 *1597:42 0.00328993 -5 *1597:41 0.00327704 -6 *1597:39 0.00349017 -7 *1597:30 0.00144849 -8 *1597:29 0.00187589 -9 *1597:24 0.00207569 -10 *1597:22 0.000987966 -11 *1597:16 0.0128702 -12 *1597:15 0.013193 -13 *1597:9 0.00551922 -14 *2472:mprj_adr_o_core[24] *2472:mprj_dat_o_core[23] 0 -15 *2472:mprj_adr_o_core[24] *1665:7 0.000209958 -16 *1597:9 *1665:11 0 -17 *1597:9 *1728:7 0 -18 *1597:9 *1728:30 0 -19 *1597:15 *1664:17 0.000751398 -20 *1597:15 *1666:8 0.000453691 -21 *1597:15 *1728:7 0 -22 *1597:16 *1602:24 0.0005862 -23 *1597:16 *1604:24 0.058576 -24 *1597:16 *1605:24 0.0109635 -25 *1597:24 *1605:24 0.00121289 -26 *1597:29 *1662:13 0.000162209 -27 *1597:29 *1664:13 5.26316e-05 -28 *1597:29 *1728:15 1.02986e-05 -29 *1597:30 *1655:12 0.00760676 -30 *1597:30 *1657:8 0.00761096 -31 *1597:30 *1743:24 0.00039825 -32 *1597:39 *1598:12 0.000326398 -33 *1597:39 *1598:37 0.0165039 -34 *1597:39 *1604:10 0.0298428 -35 *1597:39 *1666:8 0.000672619 -36 *1597:42 *1598:40 0 -37 *870:15 *1597:29 7.09666e-06 -38 *874:10 *1597:24 0.00642016 -39 *878:10 *1597:24 0.00142889 -40 *879:10 *1597:16 0.00485917 -41 *879:12 *1597:22 9.95922e-06 -42 *879:14 *1597:16 1.67988e-05 -43 *879:14 *1597:22 0.000906101 -44 *879:14 *1597:24 1.41853e-05 -45 *1137:10 *1597:16 0.00733588 -46 *1137:10 *1597:22 0.000388082 -47 *1137:10 *1597:24 6.50727e-05 -48 *1137:16 *1597:24 0.0102139 -49 *1147:10 *1597:16 0.000692134 -50 *1265:15 *1597:29 0.00055459 -51 *1269:10 *1597:16 0.00175459 -52 *1269:10 *1597:24 0.000156918 -53 *1596:21 *1597:15 0.000448777 -54 *1596:21 *1597:39 0.00381391 -55 *1596:24 *1597:42 0 -*RES -1 *2478:mprj_adr_o[24] *1597:9 38.4652 -2 *1597:9 *1597:15 32.3348 -3 *1597:15 *1597:16 681.777 -4 *1597:16 *1597:22 10.7545 -5 *1597:22 *1597:24 108.316 -6 *1597:24 *1597:29 32.8404 -7 *1597:29 *1597:30 81.1409 -8 *1597:30 *2472:mprj_adr_o_core[24] 22.2337 -9 *1597:9 *1597:39 402.256 -10 *1597:39 *1597:41 4.5 -11 *1597:41 *1597:42 77.6155 -12 *1597:42 *2471:wb_adr_i[24] 0.366399 -*END - -*D_NET *1598 0.238611 -*CONN -*I *2471:wb_adr_i[25] I *D housekeeping -*I *2472:mprj_adr_o_core[25] I *D mgmt_protect -*I *2478:mprj_adr_o[25] O *D mgmt_core_wrapper -*CAP -1 *2471:wb_adr_i[25] 1.28869e-05 -2 *2472:mprj_adr_o_core[25] 0.00172492 -3 *2478:mprj_adr_o[25] 0.00161098 -4 *1598:40 0.00325391 -5 *1598:39 0.00324102 -6 *1598:37 0.00331838 -7 *1598:28 0.00301767 -8 *1598:26 0.001328 -9 *1598:24 0.00216312 -10 *1598:23 0.00264463 -11 *1598:18 0.00607051 -12 *1598:17 0.00618188 -13 *1598:12 0.00555749 -14 *2472:mprj_adr_o_core[25] *2472:mprj_dat_o_core[24] 0 -15 *2472:mprj_adr_o_core[25] *1666:5 0 -16 *1598:12 *2478:mprj_dat_i[25] 0 -17 *1598:12 *1666:8 0.00027329 -18 *1598:12 *1667:10 1.41853e-05 -19 *1598:12 *1729:9 0 -20 *1598:17 *2478:mprj_dat_i[25] 8.62625e-06 -21 *1598:18 *1600:24 0.00601968 -22 *1598:18 *1602:24 0.0630006 -23 *1598:18 *1655:18 0.000496273 -24 *1598:18 *1657:14 0.00108819 -25 *1598:18 *1662:20 0.038191 -26 *1598:24 *1602:30 0.000733508 -27 *1598:28 *1664:8 0.00283176 -28 *1598:37 *1599:10 0.00302706 -29 *1598:37 *1604:10 6.44576e-05 -30 *1598:37 *1605:10 0.0286278 -31 *1598:37 *1667:10 0.0013863 -32 *1598:40 *1599:13 0 -33 *873:10 *1598:24 0.000594511 -34 *873:10 *1598:28 0.00376841 -35 *876:10 *1598:24 0 -36 *879:10 *1598:18 0.000513755 -37 *1131:10 *1598:24 0.00014568 -38 *1135:12 *1598:18 0.000436811 -39 *1136:15 *2472:mprj_adr_o_core[25] 4.98393e-05 -40 *1144:10 *1598:18 0.0158046 -41 *1147:16 *1598:28 0.000778588 -42 *1250:7 *2472:mprj_adr_o_core[25] 0 -43 *1271:10 *1598:24 0.00118392 -44 *1582:16 *1598:24 0.000964633 -45 *1582:16 *1598:28 0.000163504 -46 *1589:16 *1598:18 7.55683e-05 -47 *1589:22 *1598:24 0.0110735 -48 *1589:22 *1598:28 0.000339155 -49 *1597:39 *1598:12 0.000326398 -50 *1597:39 *1598:37 0.0165039 -51 *1597:42 *1598:40 0 -*RES -1 *2478:mprj_adr_o[25] *1598:12 42.1251 -2 *1598:12 *1598:17 23.7048 -3 *1598:17 *1598:18 679.558 -4 *1598:18 *1598:23 17.8913 -5 *1598:23 *1598:24 133.551 -6 *1598:24 *1598:26 0.988641 -7 *1598:26 *1598:28 91.4011 -8 *1598:28 *2472:mprj_adr_o_core[25] 36.7675 -9 *1598:12 *1598:37 385.618 -10 *1598:37 *1598:39 4.5 -11 *1598:39 *1598:40 76.785 -12 *1598:40 *2471:wb_adr_i[25] 0.366399 -*END - -*D_NET *1599 0.311461 -*CONN -*I *2472:mprj_adr_o_core[26] I *D mgmt_protect -*I *2471:wb_adr_i[26] I *D housekeeping -*I *2478:mprj_adr_o[26] O *D mgmt_core_wrapper -*CAP -1 *2472:mprj_adr_o_core[26] 0.0022023 -2 *2471:wb_adr_i[26] 1.28869e-05 -3 *2478:mprj_adr_o[26] 0.00152796 -4 *1599:24 0.0120692 -5 *1599:23 0.0105265 -6 *1599:13 0.00321103 -7 *1599:12 0.00319815 -8 *1599:10 0.00245725 -9 *1599:9 0.00245725 -10 *1599:7 0.00218752 -11 *2472:mprj_adr_o_core[26] *2472:mprj_dat_o_core[25] 0 -12 *2472:mprj_adr_o_core[26] *1667:7 0 -13 *1599:7 *2478:mprj_dat_i[26] 0 -14 *1599:10 *1600:10 0.0342924 -15 *1599:10 *1605:10 0.0288869 -16 *1599:10 *1667:10 0.000379505 -17 *1599:10 *1669:8 0.00022889 -18 *1599:10 *1731:24 0.00122278 -19 *1599:13 *1600:13 0 -20 *1599:24 *1600:24 0.0978947 -21 *1599:24 *1657:14 0.00333083 -22 *1599:24 *1662:20 0.00417434 -23 *887:10 *1599:24 0.00286007 -24 *890:10 *1599:24 0.000145207 -25 *1144:10 *1599:24 0.00331572 -26 *1147:15 *2472:mprj_adr_o_core[26] 6.02883e-05 -27 *1595:24 *1599:24 0.0917926 -28 *1598:37 *1599:10 0.00302706 -29 *1598:40 *1599:13 0 -*RES -1 *2478:mprj_adr_o[26] *1599:7 32.866 -2 *1599:7 *1599:9 4.5 -3 *1599:9 *1599:10 377.854 -4 *1599:10 *1599:12 4.5 -5 *1599:12 *1599:13 75.9545 -6 *1599:13 *2471:wb_adr_i[26] 0.366399 -7 *1599:7 *1599:23 19.1117 -8 *1599:23 *1599:24 125.264 -9 *1599:24 *2472:mprj_adr_o_core[26] 43.3185 -*END - -*D_NET *1600 0.321324 -*CONN -*I *2472:mprj_adr_o_core[27] I *D mgmt_protect -*I *2471:wb_adr_i[27] I *D housekeeping -*I *2478:mprj_adr_o[27] O *D mgmt_core_wrapper -*CAP -1 *2472:mprj_adr_o_core[27] 0.00173708 -2 *2471:wb_adr_i[27] 1.28869e-05 -3 *2478:mprj_adr_o[27] 0.00132772 -4 *1600:24 0.0114847 -5 *1600:23 0.0102348 -6 *1600:13 0.0031896 -7 *1600:12 0.00317671 -8 *1600:10 0.00221168 -9 *1600:9 0.00221168 -10 *1600:7 0.00181485 -11 *2472:mprj_adr_o_core[27] *1668:7 0 -12 *2472:mprj_adr_o_core[27] *1731:27 0.00196231 -13 *1600:7 *1668:11 0.00071777 -14 *1600:7 *1731:7 0 -15 *1600:7 *1731:23 0 -16 *1600:10 *1601:19 0.000494521 -17 *1600:10 *1602:10 0.0319155 -18 *1600:10 *1605:10 0.000113197 -19 *1600:10 *1669:8 0.00153498 -20 *1600:13 *1601:22 0 -21 *1600:23 *1668:11 0.000403607 -22 *1600:24 *1602:24 0.00693877 -23 *1600:24 *1605:24 0.0970915 -24 *879:14 *1600:24 0.00173269 -25 *890:10 *1600:24 0.00115066 -26 *1135:12 *1600:24 0.000152397 -27 *1135:14 *1600:24 0.00140471 -28 *1137:16 *1600:24 0.00010238 -29 *1598:18 *1600:24 0.00601968 -30 *1599:10 *1600:10 0.0342924 -31 *1599:13 *1600:13 0 -32 *1599:24 *1600:24 0.0978947 -*RES -1 *2478:mprj_adr_o[27] *1600:7 33.5744 -2 *1600:7 *1600:9 4.5 -3 *1600:9 *1600:10 362.325 -4 *1600:10 *1600:12 4.5 -5 *1600:12 *1600:13 75.5393 -6 *1600:13 *2471:wb_adr_i[27] 0.366399 -7 *1600:7 *1600:23 18.6965 -8 *1600:23 *1600:24 126.947 -9 *1600:24 *2472:mprj_adr_o_core[27] 44.149 -*END - -*D_NET *1601 0.278265 -*CONN -*I *2471:wb_adr_i[28] I *D housekeeping -*I *2472:mprj_adr_o_core[28] I *D mgmt_protect -*I *2478:mprj_adr_o[28] O *D mgmt_core_wrapper -*CAP -1 *2471:wb_adr_i[28] 1.28869e-05 -2 *2472:mprj_adr_o_core[28] 0.00317045 -3 *2478:mprj_adr_o[28] 0.00129317 -4 *1601:22 0.00314673 -5 *1601:21 0.00313384 -6 *1601:19 0.0021363 -7 *1601:12 0.00317045 -8 *1601:10 0.00644586 -9 *1601:9 0.00987533 -10 *2472:mprj_adr_o_core[28] *2472:mprj_dat_o_core[27] 0 -11 *2472:mprj_adr_o_core[28] *1669:5 0 -12 *1601:9 *2478:mprj_dat_i[28] 0.000697318 -13 *1601:9 *1732:24 0 -14 *1601:10 *1652:12 7.46601e-05 -15 *1601:10 *1669:8 0.0877024 -16 *1601:10 *1716:10 0.00359011 -17 *1601:10 *1731:24 8.41339e-05 -18 *1601:10 *1733:10 0.0874858 -19 *1601:19 *1602:10 0.0321746 -20 *1601:19 *1669:8 0.000113968 -21 *1601:19 *1733:10 0.000783723 -22 *1601:19 *1733:19 0.032593 -23 *1601:22 *1602:13 0 -24 *867:9 *2472:mprj_adr_o_core[28] 8.5712e-05 -25 *1600:10 *1601:19 0.000494521 -26 *1600:13 *1601:22 0 -*RES -1 *2478:mprj_adr_o[28] *1601:9 37.2439 -2 *1601:9 *1601:10 928.575 -3 *1601:10 *1601:12 4.5 -4 *1601:12 *2472:mprj_adr_o_core[28] 56.7674 -5 *1601:9 *1601:19 350.124 -6 *1601:19 *1601:21 4.5 -7 *1601:21 *1601:22 74.7088 -8 *1601:22 *2471:wb_adr_i[28] 0.366399 -*END - -*D_NET *1602 0.265284 -*CONN -*I *2472:mprj_adr_o_core[29] I *D mgmt_protect -*I *2471:wb_adr_i[29] I *D housekeeping -*I *2478:mprj_adr_o[29] O *D mgmt_core_wrapper -*CAP -1 *2472:mprj_adr_o_core[29] 0.00163239 -2 *2471:wb_adr_i[29] 1.28869e-05 -3 *2478:mprj_adr_o[29] 0.00147006 -4 *1602:30 0.0038872 -5 *1602:29 0.00282804 -6 *1602:24 0.00607205 -7 *1602:23 0.00615351 -8 *1602:13 0.00316474 -9 *1602:12 0.00315185 -10 *1602:10 0.00208655 -11 *1602:9 0.00208655 -12 *1602:7 0.00212475 -13 *2472:mprj_adr_o_core[29] *2472:mprj_dat_o_core[28] 0 -14 *2472:mprj_adr_o_core[29] *1670:7 0 -15 *1602:7 *1670:11 0 -16 *1602:7 *1733:9 0 -17 *1602:10 *1605:10 0.000135103 -18 *1602:10 *1733:19 0.000113197 -19 *1602:13 *1604:13 0 -20 *1602:23 *2411:14 0 -21 *1602:24 *1604:24 0.066805 -22 *1602:24 *1605:24 0.000228912 -23 *1602:30 *1604:30 0.00890252 -24 *1602:30 *1713:10 0.00129656 -25 *879:10 *1602:24 0.000277488 -26 *1143:15 *2472:mprj_adr_o_core[29] 2.95757e-05 -27 *1143:16 *1602:30 6.08467e-05 -28 *1257:15 *2472:mprj_adr_o_core[29] 6.9233e-05 -29 *1265:16 *1602:30 0.000134848 -30 *1271:10 *1602:30 0 -31 *1589:22 *1602:30 0.0172104 -32 *1597:16 *1602:24 0.0005862 -33 *1598:18 *1602:24 0.0630006 -34 *1598:24 *1602:30 0.000733508 -35 *1600:10 *1602:10 0.0319155 -36 *1600:24 *1602:24 0.00693877 -37 *1601:19 *1602:10 0.0321746 -38 *1601:22 *1602:13 0 -*RES -1 *2478:mprj_adr_o[29] *1602:7 31.4737 -2 *1602:7 *1602:9 4.5 -3 *1602:9 *1602:10 340.141 -4 *1602:10 *1602:12 4.5 -5 *1602:12 *1602:13 75.124 -6 *1602:13 *2471:wb_adr_i[29] 0.366399 -7 *1602:7 *1602:23 20.4506 -8 *1602:23 *1602:24 719.49 -9 *1602:24 *1602:29 19.137 -10 *1602:29 *1602:30 215.355 -11 *1602:30 *2472:mprj_adr_o_core[29] 35.1065 -*END - -*D_NET *1603 0.289569 -*CONN -*I *2471:wb_adr_i[2] I *D housekeeping -*I *2472:mprj_adr_o_core[2] I *D mgmt_protect -*I *2478:mprj_adr_o[2] O *D mgmt_core_wrapper -*CAP -1 *2471:wb_adr_i[2] 1.28869e-05 -2 *2472:mprj_adr_o_core[2] 0.00281483 -3 *2478:mprj_adr_o[2] 0.00101343 -4 *1603:25 0.0035851 -5 *1603:24 0.00357221 -6 *1603:22 0.00520174 -7 *1603:21 0.00596138 -8 *1603:12 0.00281483 -9 *1603:10 0.00525662 -10 *1603:9 0.00525662 -11 *1603:7 0.00177307 -12 *2472:mprj_adr_o_core[2] *2472:mprj_dat_o_core[2] 0 -13 *2472:mprj_adr_o_core[2] *2472:mprj_sel_o_core[1] 0 -14 *2472:mprj_adr_o_core[2] *1671:7 0 -15 *1603:7 *1671:11 0 -16 *1603:10 *1606:10 7.24449e-05 -17 *1603:10 *1674:8 0.0646009 -18 *1603:10 *1722:10 0.000139836 -19 *1603:21 *1671:11 0 -20 *1603:22 *1606:25 0.0620738 -21 *1603:22 *1659:8 0.00139457 -22 *1603:22 *1739:16 0.0595971 -23 *1603:25 *1606:28 0 -24 *2471:wb_adr_i[1] *1603:25 0 -25 *607:13 *2472:mprj_adr_o_core[2] 0 -26 *729:11 *2472:mprj_adr_o_core[2] 0.000838174 -27 *1241:9 *2472:mprj_adr_o_core[2] 0 -28 *1369:10 *1603:10 3.58963e-05 -29 *1371:12 *1603:10 0.000114491 -30 *1592:24 *1603:10 0.063439 -*RES -1 *2478:mprj_adr_o[2] *1603:7 24.8296 -2 *1603:7 *1603:9 4.5 -3 *1603:9 *1603:10 693.423 -4 *1603:10 *1603:12 4.5 -5 *1603:12 *2472:mprj_adr_o_core[2] 62.9962 -6 *1603:7 *1603:21 17.5438 -7 *1603:21 *1603:22 684.55 -8 *1603:22 *1603:24 4.5 -9 *1603:24 *1603:25 81.3528 -10 *1603:25 *2471:wb_adr_i[2] 0.366399 -*END - -*D_NET *1604 0.251196 -*CONN -*I *2472:mprj_adr_o_core[30] I *D mgmt_protect -*I *2471:wb_adr_i[30] I *D housekeeping -*I *2478:mprj_adr_o[30] O *D mgmt_core_wrapper -*CAP -1 *2472:mprj_adr_o_core[30] 0.00164397 -2 *2471:wb_adr_i[30] 1.28869e-05 -3 *2478:mprj_adr_o[30] 0.00174507 -4 *1604:30 0.00567088 -5 *1604:29 0.00465371 -6 *1604:24 0.00699577 -7 *1604:23 0.00686731 -8 *1604:13 0.00327277 -9 *1604:12 0.00325988 -10 *1604:10 0.00233429 -11 *1604:9 0.00233429 -12 *1604:7 0.00224341 -13 *2472:mprj_adr_o_core[30] *2472:mprj_dat_o_core[29] 0 -14 *2472:mprj_adr_o_core[30] *1672:5 0 -15 *1604:7 *2478:mprj_dat_i[30] 0 -16 *1604:7 *1734:7 0 -17 *1604:7 *1734:24 1.77537e-06 -18 *1604:10 *1605:10 0.000246253 -19 *1604:10 *1739:16 0 -20 *1604:13 *1605:13 0 -21 *1604:23 *1734:7 0 -22 *1604:24 *1605:24 0.0072481 -23 *1604:30 *1713:10 0.00177768 -24 *624:11 *1604:29 0 -25 *1265:16 *1604:30 0.00409855 -26 *1268:16 *1604:30 0.00136434 -27 *1271:10 *1604:30 0 -28 *1274:16 *1604:30 0.00165041 -29 *1275:20 *1604:30 0 -30 *1596:21 *1604:10 0.0295837 -31 *1597:16 *1604:24 0.058576 -32 *1597:39 *1604:10 0.0298428 -33 *1598:37 *1604:10 6.44576e-05 -34 *1602:13 *1604:13 0 -35 *1602:24 *1604:24 0.066805 -36 *1602:30 *1604:30 0.00890252 -*RES -1 *2478:mprj_adr_o[30] *1604:7 36.0659 -2 *1604:7 *1604:9 4.5 -3 *1604:9 *1604:10 329.049 -4 *1604:10 *1604:12 4.5 -5 *1604:12 *1604:13 78.0308 -6 *1604:13 *2471:wb_adr_i[30] 0.366399 -7 *1604:7 *1604:23 17.1286 -8 *1604:23 *1604:24 716.717 -9 *1604:24 *1604:29 20.3828 -10 *1604:29 *1604:30 225.892 -11 *1604:30 *2472:mprj_adr_o_core[30] 34.276 -*END - -*D_NET *1605 0.27998 -*CONN -*I *2472:mprj_adr_o_core[31] I *D mgmt_protect -*I *2471:wb_adr_i[31] I *D housekeeping -*I *2478:mprj_adr_o[31] O *D mgmt_core_wrapper -*CAP -1 *2472:mprj_adr_o_core[31] 0.00234614 -2 *2471:wb_adr_i[31] 1.28869e-05 -3 *2478:mprj_adr_o[31] 0.00166943 -4 *1605:24 0.0214408 -5 *1605:23 0.019647 -6 *1605:13 0.00320513 -7 *1605:12 0.00319224 -8 *1605:10 0.00212403 -9 *1605:9 0.00212403 -10 *1605:7 0.00222178 -11 *2472:mprj_adr_o_core[31] *2472:mprj_dat_o_core[30] 0 -12 *2472:mprj_adr_o_core[31] *1673:7 0 -13 *1605:7 *1673:11 0 -14 *1605:7 *1736:9 0 -15 *1605:10 *1733:19 3.18408e-05 -16 *1605:13 *2471:wb_dat_i[0] 0 -17 *1605:23 *1673:11 0 -18 *1605:24 *1647:10 0 -19 *870:16 *1605:24 0.000409538 -20 *879:10 *1605:24 0.000195504 -21 *890:10 *1605:24 0.0442856 -22 *891:10 *1605:24 0.000915019 -23 *1137:16 *1605:24 0.00140471 -24 *1597:16 *1605:24 0.0109635 -25 *1597:24 *1605:24 0.00121289 -26 *1598:37 *1605:10 0.0286278 -27 *1599:10 *1605:10 0.0288869 -28 *1600:10 *1605:10 0.000113197 -29 *1600:24 *1605:24 0.0970915 -30 *1602:10 *1605:10 0.000135103 -31 *1602:24 *1605:24 0.000228912 -32 *1604:10 *1605:10 0.000246253 -33 *1604:13 *1605:13 0 -34 *1604:24 *1605:24 0.0072481 -*RES -1 *2478:mprj_adr_o[31] *1605:7 33.8431 -2 *1605:7 *1605:9 4.5 -3 *1605:9 *1605:10 316.293 -4 *1605:10 *1605:12 4.5 -5 *1605:12 *1605:13 76.3698 -6 *1605:13 *2471:wb_adr_i[31] 0.366399 -7 *1605:7 *1605:23 17.0355 -8 *1605:23 *1605:24 131.231 -9 *1605:24 *2472:mprj_adr_o_core[31] 44.9795 -*END - -*D_NET *1606 0.266927 -*CONN -*I *2471:wb_adr_i[3] I *D housekeeping -*I *2472:mprj_adr_o_core[3] I *D mgmt_protect -*I *2478:mprj_adr_o[3] O *D mgmt_core_wrapper -*CAP -1 *2471:wb_adr_i[3] 1.28869e-05 -2 *2472:mprj_adr_o_core[3] 0.00278551 -3 *2478:mprj_adr_o[3] 0.00105849 -4 *1606:28 0.00356125 -5 *1606:27 0.00354837 -6 *1606:25 0.00675734 -7 *1606:24 0.00769382 -8 *1606:12 0.00278551 -9 *1606:10 0.00525833 -10 *1606:9 0.0072533 -11 *2472:mprj_adr_o_core[3] *2472:mprj_sel_o_core[2] 0 -12 *2472:mprj_adr_o_core[3] *1674:5 0.000754523 -13 *1606:9 *2478:mprj_dat_i[3] 0 -14 *1606:9 *2185:25 0 -15 *1606:10 *1607:10 0.054765 -16 *1606:10 *1607:16 0 -17 *1606:10 *1609:19 0.00303273 -18 *1606:10 *1674:8 0.0664828 -19 *1606:24 *1607:10 0.00122278 -20 *1606:24 *1674:8 0.000259093 -21 *1606:24 *1722:10 0 -22 *1606:24 *1738:9 0 -23 *1606:24 *2186:23 0 -24 *1606:25 *1659:8 0.0226635 -25 *1606:25 *1739:16 0.000113197 -26 *1606:28 *2471:wb_adr_i[4] 0 -27 *1606:28 *1608:13 0 -28 *606:14 *1606:10 0.00420899 -29 *1247:10 *1606:10 0 -30 *1369:9 *2472:mprj_adr_o_core[3] 0 -31 *1371:12 *1606:10 0.000127812 -32 *1590:27 *1606:25 0.0104359 -33 *1603:10 *1606:10 7.24449e-05 -34 *1603:22 *1606:25 0.0620738 -35 *1603:25 *1606:28 0 -*RES -1 *2478:mprj_adr_o[3] *1606:9 30.1601 -2 *1606:9 *1606:10 704.515 -3 *1606:10 *1606:12 4.5 -4 *1606:12 *2472:mprj_adr_o_core[3] 62.1657 -5 *1606:9 *1606:24 33.7227 -6 *1606:24 *1606:25 654.601 -7 *1606:25 *1606:27 4.5 -8 *1606:27 *1606:28 80.9375 -9 *1606:28 *2471:wb_adr_i[3] 0.366399 -*END - -*D_NET *1607 0.282826 -*CONN -*I *2471:wb_adr_i[4] I *D housekeeping -*I *2472:mprj_adr_o_core[4] I *D mgmt_protect -*I *2478:mprj_adr_o[4] O *D mgmt_core_wrapper -*CAP -1 *2471:wb_adr_i[4] 0.00141188 -2 *2472:mprj_adr_o_core[4] 0.00242703 -3 *2478:mprj_adr_o[4] 0.00138232 -4 *1607:35 0.00486747 -5 *1607:33 0.00352007 -6 *1607:31 0.00153403 -7 *1607:30 0.0015886 -8 *1607:18 0.00242703 -9 *1607:16 0.00159319 -10 *1607:15 0.00177511 -11 *1607:10 0.00440137 -12 *1607:9 0.00548273 -13 *2471:wb_adr_i[4] *1608:13 0 -14 *2472:mprj_adr_o_core[4] *2472:mprj_sel_o_core[3] 0 -15 *2472:mprj_adr_o_core[4] *1675:7 0 -16 *1607:9 *1675:11 0 -17 *1607:9 *1713:31 2.84204e-05 -18 *1607:9 *2186:7 0.000591946 -19 *1607:9 *2186:23 8.3205e-05 -20 *1607:10 *1609:19 0.056494 -21 *1607:10 *1722:10 0 -22 *1607:10 *1735:10 0.000139878 -23 *1607:16 *1611:10 0.000147439 -24 *1607:16 *1650:8 0.00114063 -25 *1607:16 *1652:8 3.61993e-05 -26 *1607:16 *1654:10 0.00466341 -27 *1607:16 *1676:8 0 -28 *1607:16 *1678:8 2.53589e-05 -29 *1607:16 *1680:8 0.000159317 -30 *1607:16 *2195:10 0.000369269 -31 *1607:31 *1609:33 0.00657794 -32 *1607:31 *1738:31 0.000607553 -33 *1607:31 *1740:29 0.00277355 -34 *1607:31 *1740:31 0 -35 *1607:31 *1742:7 0 -36 *1607:31 *1744:33 5.80259e-05 -37 *1607:35 *1609:33 0.00020924 -38 *1607:35 *1716:27 0.0494227 -39 *1607:35 *1744:33 0.0524965 -40 *99:10 *1607:35 0.000510617 -41 *100:77 *1607:31 0.00130552 -42 *480:17 *1607:31 0.0011778 -43 *480:17 *1607:35 0.00485587 -44 *606:11 *2472:mprj_adr_o_core[4] 0.00191532 -45 *606:14 *1607:16 0 -46 *865:9 *1607:15 3.479e-05 -47 *865:10 *1607:16 0.0019047 -48 *1122:9 *1607:15 0 -49 *1242:9 *2472:mprj_adr_o_core[4] 6.22114e-05 -50 *1247:10 *1607:16 0.00663637 -51 *1606:10 *1607:10 0.054765 -52 *1606:10 *1607:16 0 -53 *1606:24 *1607:10 0.00122278 -54 *1606:28 *2471:wb_adr_i[4] 0 -*RES -1 *2478:mprj_adr_o[4] *1607:9 38.0744 -2 *1607:9 *1607:10 596.922 -3 *1607:10 *1607:15 12.493 -4 *1607:15 *1607:16 117.745 -5 *1607:16 *1607:18 4.5 -6 *1607:18 *2472:mprj_adr_o_core[4] 58.4284 -7 *2478:mprj_adr_o[4] *1607:30 6.93045 -8 *1607:30 *1607:31 99.4428 -9 *1607:31 *1607:33 1.80849 -10 *1607:33 *1607:35 548.672 -11 *1607:35 *2471:wb_adr_i[4] 41.7872 -*END - -*D_NET *1608 0.265042 -*CONN -*I *2472:mprj_adr_o_core[5] I *D mgmt_protect -*I *2471:wb_adr_i[5] I *D housekeeping -*I *2478:mprj_adr_o[5] O *D mgmt_core_wrapper -*CAP -1 *2472:mprj_adr_o_core[5] 0.00120807 -2 *2471:wb_adr_i[5] 1.28869e-05 -3 *2478:mprj_adr_o[5] 0.000997981 -4 *1608:30 0.00574489 -5 *1608:29 0.00504333 -6 *1608:24 0.00461302 -7 *1608:23 0.00553067 -8 *1608:13 0.00289278 -9 *1608:12 0.00287989 -10 *1608:10 0.00551429 -11 *1608:9 0.00551429 -12 *1608:7 0.00242215 -13 *2472:mprj_adr_o_core[5] *2472:mprj_dat_o_core[4] 0 -14 *2472:mprj_adr_o_core[5] *1676:5 0 -15 *1608:7 *2478:mprj_dat_i[5] 0 -16 *1608:7 *1739:12 0 -17 *1608:10 *1609:19 0 -18 *1608:10 *1610:10 0.00407263 -19 *1608:10 *1678:8 0 -20 *1608:10 *1714:15 0.000142393 -21 *1608:10 *1714:27 0.000605222 -22 *1608:10 *1715:10 0.0509566 -23 *1608:10 *1715:26 0.000596133 -24 *1608:10 *1717:10 0.0480744 -25 *1608:10 *1722:10 0.00203045 -26 *1608:10 *1735:10 0.00413509 -27 *1608:10 *1739:12 2.2836e-05 -28 *1608:13 *2471:wb_adr_i[6] 0 -29 *1608:13 *1610:13 0 -30 *1608:23 *2478:mprj_dat_i[5] 0 -31 *1608:23 *1739:12 0 -32 *1608:23 *1739:13 0 -33 *1608:23 *1739:29 0 -34 *1608:24 *1649:14 0.0153927 -35 *1608:24 *1653:16 0.00397241 -36 *1608:24 *1660:14 0.00950683 -37 *1608:30 *1610:30 0.0384626 -38 *1608:30 *1735:24 0.00456436 -39 *2471:wb_adr_i[4] *1608:13 0 -40 *626:11 *1608:29 0 -41 *882:12 *1608:24 0.00137341 -42 *885:10 *1608:24 0.0015295 -43 *1252:10 *1608:30 0.000520301 -44 *1275:10 *1608:24 0.00246032 -45 *1275:14 *1608:24 0.00142453 -46 *1275:20 *1608:30 0.0299719 -47 *1586:24 *1608:24 0.00285289 -48 *1606:28 *1608:13 0 -*RES -1 *2478:mprj_adr_o[5] *1608:7 23.9991 -2 *1608:7 *1608:9 4.5 -3 *1608:9 *1608:10 637.963 -4 *1608:10 *1608:12 4.5 -5 *1608:12 *1608:13 67.6495 -6 *1608:13 *2471:wb_adr_i[5] 0.366399 -7 *1608:7 *1608:23 32.4929 -8 *1608:23 *1608:24 305.201 -9 *1608:24 *1608:29 19.9675 -10 *1608:29 *1608:30 419.449 -11 *1608:30 *2472:mprj_adr_o_core[5] 29.7083 -*END - -*D_NET *1609 0.28635 -*CONN -*I *2471:wb_adr_i[6] I *D housekeeping -*I *2472:mprj_adr_o_core[6] I *D mgmt_protect -*I *2478:mprj_adr_o[6] O *D mgmt_core_wrapper -*CAP -1 *2471:wb_adr_i[6] 0.0014479 -2 *2472:mprj_adr_o_core[6] 0.00295234 -3 *2478:mprj_adr_o[6] 2.00393e-05 -4 *1609:37 0.00442062 -5 *1609:35 0.0030161 -6 *1609:33 0.00102954 -7 *1609:32 0.00114229 -8 *1609:21 0.00295234 -9 *1609:19 0.00574112 -10 *1609:18 0.00716474 -11 *1609:5 0.00159979 -12 *2471:wb_adr_i[6] *1610:13 0 -13 *2472:mprj_adr_o_core[6] *2472:mprj_dat_o_core[5] 0 -14 *2472:mprj_adr_o_core[6] *1676:5 0 -15 *2472:mprj_adr_o_core[6] *1677:7 0 -16 *1609:18 *1677:11 0 -17 *1609:18 *1740:11 0 -18 *1609:18 *1740:29 0 -19 *1609:19 *1676:8 0.0682756 -20 *1609:19 *1678:8 0.000508612 -21 *1609:19 *1722:10 0 -22 *1609:19 *1735:10 0.000246453 -23 *1609:19 *1739:12 0.00063214 -24 *1609:19 *2195:10 0.000419621 -25 *1609:33 *1716:27 1.00937e-05 -26 *1609:35 *1716:25 3.65965e-05 -27 *1609:37 *1611:33 0.0010746 -28 *1609:37 *1611:35 0.0474779 -29 *1609:37 *1716:27 0.0498943 -30 *99:10 *1609:37 0.000424011 -31 *100:77 *1609:33 0.00970483 -32 *100:77 *1609:37 7.02172e-06 -33 *480:17 *1609:33 0.00134687 -34 *480:17 *1609:37 0.00507928 -35 *606:14 *1609:19 0.00304707 -36 *866:15 *2472:mprj_adr_o_core[6] 0.000309256 -37 *986:9 *2472:mprj_adr_o_core[6] 5.55772e-05 -38 *1606:10 *1609:19 0.00303273 -39 *1607:10 *1609:19 0.056494 -40 *1607:31 *1609:33 0.00657794 -41 *1607:35 *1609:33 0.00020924 -42 *1608:10 *1609:19 0 -43 *1608:13 *2471:wb_adr_i[6] 0 -*RES -1 *2478:mprj_adr_o[6] *1609:5 0.366399 -2 *1609:5 *1609:18 37.5126 -3 *1609:18 *1609:19 733.909 -4 *1609:19 *1609:21 4.5 -5 *1609:21 *2472:mprj_adr_o_core[6] 61.3352 -6 *1609:5 *1609:32 7.15029 -7 *1609:32 *1609:33 101.661 -8 *1609:33 *1609:35 1.39857 -9 *1609:35 *1609:37 521.496 -10 *1609:37 *2471:wb_adr_i[6] 42.6177 -*END - -*D_NET *1610 0.284047 -*CONN -*I *2472:mprj_adr_o_core[7] I *D mgmt_protect -*I *2471:wb_adr_i[7] I *D housekeeping -*I *2478:mprj_adr_o[7] O *D mgmt_core_wrapper -*CAP -1 *2472:mprj_adr_o_core[7] 0.00120165 -2 *2471:wb_adr_i[7] 1.28869e-05 -3 *2478:mprj_adr_o[7] 0.00111828 -4 *1610:30 0.00425553 -5 *1610:29 0.00358295 -6 *1610:24 0.00279449 -7 *1610:23 0.00356162 -8 *1610:13 0.00293509 -9 *1610:12 0.0029222 -10 *1610:10 0.00547491 -11 *1610:9 0.00547491 -12 *1610:7 0.00241448 -13 *2472:mprj_adr_o_core[7] *2472:mprj_dat_o_core[6] 0 -14 *2472:mprj_adr_o_core[7] *1677:7 0 -15 *2472:mprj_adr_o_core[7] *1678:5 0 -16 *1610:7 *2478:mprj_dat_i[7] 0 -17 *1610:7 *1741:7 0 -18 *1610:10 *1611:10 0 -19 *1610:10 *1612:10 0.00244771 -20 *1610:10 *1678:8 0 -21 *1610:10 *1680:8 0 -22 *1610:10 *1715:26 0.000591935 -23 *1610:10 *1717:10 0.0486055 -24 *1610:10 *1719:10 0.0457232 -25 *1610:10 *1741:10 0.00443437 -26 *1610:13 *2471:wb_adr_i[8] 0 -27 *1610:13 *1612:13 0 -28 *1610:23 *2478:mprj_dat_i[7] 0 -29 *1610:23 *1741:21 0 -30 *1610:24 *1612:24 0.0015295 -31 *1610:24 *1649:14 0.001368 -32 *1610:24 *1651:14 0.0249536 -33 *1610:24 *1653:16 0.0234707 -34 *1610:30 *1673:8 0.00334468 -35 *1610:30 *1732:10 0.000281315 -36 *1610:30 *1737:16 0.0243318 -37 *2471:wb_adr_i[6] *1610:13 0 -38 *626:11 *1610:29 0 -39 *884:10 *1610:24 0.0026351 -40 *885:10 *1610:24 0.00448322 -41 *1252:10 *1610:30 0.0142046 -42 *1266:7 *1610:29 0.000173308 -43 *1588:24 *1610:24 0.00318468 -44 *1608:10 *1610:10 0.00407263 -45 *1608:13 *1610:13 0 -46 *1608:30 *1610:30 0.0384626 -*RES -1 *2478:mprj_adr_o[7] *1610:7 26.5151 -2 *1610:7 *1610:9 4.5 -3 *1610:9 *1610:10 614.67 -4 *1610:10 *1610:12 4.5 -5 *1610:12 *1610:13 68.48 -6 *1610:13 *2471:wb_adr_i[7] 0.366399 -7 *1610:7 *1610:23 30.4166 -8 *1610:23 *1610:24 326.276 -9 *1610:24 *1610:29 21.6286 -10 *1610:29 *1610:30 414.458 -11 *1610:30 *2472:mprj_adr_o_core[7] 29.293 -*END - -*D_NET *1611 0.283249 -*CONN -*I *2471:wb_adr_i[8] I *D housekeeping -*I *2472:mprj_adr_o_core[8] I *D mgmt_protect -*I *2478:mprj_adr_o[8] O *D mgmt_core_wrapper -*CAP -1 *2471:wb_adr_i[8] 0.00146248 -2 *2472:mprj_adr_o_core[8] 0.00275439 -3 *2478:mprj_adr_o[8] 0.00137118 -4 *1611:35 0.00432453 -5 *1611:33 0.00339762 -6 *1611:25 0.00219542 -7 *1611:24 0.00177342 -8 *1611:12 0.00275439 -9 *1611:10 0.00543752 -10 *1611:9 0.00669514 -11 *2471:wb_adr_i[8] *1612:13 0 -12 *2472:mprj_adr_o_core[8] *2472:mprj_dat_o_core[7] 0 -13 *2472:mprj_adr_o_core[8] *1679:7 0.000269015 -14 *1611:9 *1679:11 0 -15 *1611:10 *1678:8 0.0699197 -16 *1611:10 *1680:8 0.0701852 -17 *1611:10 *1718:10 0.000383594 -18 *1611:10 *2195:10 0.0018508 -19 *1611:25 *1744:31 0.000432613 -20 *1611:25 *2193:11 0.002931 -21 *1611:33 *1716:9 0 -22 *1611:35 *1716:27 0.000226394 -23 *1611:35 *1718:25 0.0476573 -24 *99:10 *1611:35 0.000390675 -25 *100:77 *1611:33 0.00107703 -26 *100:77 *1611:35 8.75392e-05 -27 *113:17 *1611:25 0.000937295 -28 *480:17 *1611:33 0.000319727 -29 *480:17 *1611:35 0.0051791 -30 *731:11 *2472:mprj_adr_o_core[8] 0.000418286 -31 *1248:10 *1611:10 0.000118134 -32 *1573:10 *1611:25 0 -33 *1607:16 *1611:10 0.000147439 -34 *1609:37 *1611:33 0.0010746 -35 *1609:37 *1611:35 0.0474779 -36 *1610:10 *1611:10 0 -37 *1610:13 *2471:wb_adr_i[8] 0 -*RES -1 *2478:mprj_adr_o[8] *1611:9 34.4592 -2 *1611:9 *1611:10 749.993 -3 *1611:10 *1611:12 4.5 -4 *1611:12 *2472:mprj_adr_o_core[8] 60.0894 -5 *2478:mprj_adr_o[8] *1611:24 6.93045 -6 *1611:24 *1611:25 72.2673 -7 *1611:25 *1611:33 38.7509 -8 *1611:33 *1611:35 501.808 -9 *1611:35 *2471:wb_adr_i[8] 43.033 -*END - -*D_NET *1612 0.278618 -*CONN -*I *2472:mprj_adr_o_core[9] I *D mgmt_protect -*I *2471:wb_adr_i[9] I *D housekeeping -*I *2478:mprj_adr_o[9] O *D mgmt_core_wrapper -*CAP -1 *2472:mprj_adr_o_core[9] 0.000822238 -2 *2471:wb_adr_i[9] 1.28869e-05 -3 *2478:mprj_adr_o[9] 0.000829011 -4 *1612:36 0.00182195 -5 *1612:35 0.00108193 -6 *1612:30 0.00235844 -7 *1612:29 0.00349974 -8 *1612:24 0.00343304 -9 *1612:23 0.00306922 -10 *1612:13 0.00298603 -11 *1612:12 0.00297314 -12 *1612:10 0.0063895 -13 *1612:9 0.0063895 -14 *1612:7 0.0016887 -15 *2472:mprj_adr_o_core[9] *2472:mprj_dat_o_core[8] 0 -16 *2472:mprj_adr_o_core[9] *1680:5 0 -17 *1612:7 *2478:mprj_dat_i[9] 0 -18 *1612:10 *2478:irq[0] 0.000324592 -19 *1612:10 *1650:8 0 -20 *1612:10 *1654:10 0 -21 *1612:10 *1680:8 1.41317e-05 -22 *1612:10 *1719:10 0.00122553 -23 *1612:10 *1721:10 0.0435076 -24 *1612:10 *1723:12 0.00106121 -25 *1612:10 *1723:25 0.040507 -26 *1612:10 *1736:10 0 -27 *1612:10 *1741:10 0.00126156 -28 *1612:24 *1651:14 0.0280718 -29 *1612:30 *1653:10 0.032419 -30 *1612:30 *1741:24 0.00333812 -31 *1612:35 *1663:7 9.98011e-06 -32 *1612:36 *1649:8 0.000322019 -33 *1612:36 *1651:8 0.00661635 -34 *1612:36 *1655:8 0.00418477 -35 *2471:wb_adr_i[10] *1612:13 0 -36 *2471:wb_adr_i[8] *1612:13 0 -37 *2472:mprj_adr_o_core[10] *1612:36 0.000339591 -38 *2472:mprj_adr_o_core[22] *1612:35 0 -39 *610:8 *1612:36 0.00254977 -40 *884:7 *1612:29 3.60933e-06 -41 *884:10 *1612:24 0.000167076 -42 *1581:24 *1612:36 0 -43 *1582:13 *1612:7 0.00119747 -44 *1582:13 *1612:23 0.00125403 -45 *1587:10 *1612:10 0.00147241 -46 *1589:16 *1612:24 0.0316508 -47 *1590:18 *1612:30 0.032419 -48 *1591:28 *1612:24 0.00336822 -49 *1610:10 *1612:10 0.00244771 -50 *1610:13 *1612:13 0 -51 *1610:24 *1612:24 0.0015295 -*RES -1 *2478:mprj_adr_o[9] *1612:7 26.0754 -2 *1612:7 *1612:9 4.5 -3 *1612:9 *1612:10 587.494 -4 *1612:10 *1612:12 4.5 -5 *1612:12 *1612:13 69.7257 -6 *1612:13 *2471:wb_adr_i[9] 0.366399 -7 *1612:7 *1612:23 28.3404 -8 *1612:23 *1612:24 332.931 -9 *1612:24 *1612:29 31.1794 -10 *1612:29 *1612:30 341.805 -11 *1612:30 *1612:35 10.4167 -12 *1612:35 *1612:36 86.1323 -13 *1612:36 *2472:mprj_adr_o_core[9] 21.8185 -*END - -*D_NET *1613 0.128188 -*CONN -*I *2473:wbs_adr_i[0] I *D user_analog_project_wrapper -*I *2472:mprj_adr_o_user[0] O *D mgmt_protect -*CAP -1 *2473:wbs_adr_i[0] 0.00202471 -2 *2472:mprj_adr_o_user[0] 0.00130455 -3 *1613:10 0.00202471 -4 *1613:8 0.00607449 -5 *1613:7 0.00737905 -6 *1613:7 *2472:mprj_dat_i_user[0] 0 -7 *1613:7 *2196:7 0 -8 *1613:8 *1681:8 0.0689307 -9 *1613:8 *1758:8 0.000106589 -10 *1613:8 *2182:8 0.0312624 -11 *1613:8 *2187:8 0.00869571 -12 *1373:8 *1613:8 0.000385391 -*RES -1 *2472:mprj_adr_o_user[0] *1613:7 34.6913 -2 *1613:7 *1613:8 736.128 -3 *1613:8 *1613:10 4.5 -4 *1613:10 *2473:wbs_adr_i[0] 53.8607 -*END - -*D_NET *1614 0.130539 -*CONN -*I *2473:wbs_adr_i[10] I *D user_analog_project_wrapper -*I *2472:mprj_adr_o_user[10] O *D mgmt_protect -*CAP -1 *2473:wbs_adr_i[10] 0.00208803 -2 *2472:mprj_adr_o_user[10] 0.0014488 -3 *1614:10 0.00208803 -4 *1614:8 0.00383392 -5 *1614:7 0.00528273 -6 *1614:7 *2472:mprj_dat_i_user[10] 0 -7 *1614:7 *1776:7 0 -8 *1614:8 *1644:8 0.0549021 -9 *1614:8 *1746:8 0.0549294 -10 *1614:8 *2189:8 0.00558952 -11 *1072:5 *1614:7 7.65861e-05 -12 *1445:8 *1614:8 0.00030032 -*RES -1 *2472:mprj_adr_o_user[10] *1614:7 38.0133 -2 *1614:7 *1614:8 584.721 -3 *1614:8 *1614:10 4.5 -4 *1614:10 *2473:wbs_adr_i[10] 50.5386 -*END - -*D_NET *1615 0.0854113 -*CONN -*I *2473:wbs_adr_i[11] I *D user_analog_project_wrapper -*I *2472:mprj_adr_o_user[11] O *D mgmt_protect -*CAP -1 *2473:wbs_adr_i[11] 0.00297764 -2 *2472:mprj_adr_o_user[11] 0.000487132 -3 *1615:10 0.00297764 -4 *1615:8 0.0139231 -5 *1615:7 0.0144103 -6 *1615:7 *2472:mprj_dat_i_user[11] 0 -7 *1615:7 *1746:7 0 -8 *469:25 *1615:8 0.00645101 -9 *1580:8 *1615:8 0.0441844 -*RES -1 *2472:mprj_adr_o_user[11] *1615:7 17.2507 -2 *1615:7 *1615:8 571.965 -3 *1615:8 *1615:10 4.5 -4 *1615:10 *2473:wbs_adr_i[11] 71.3012 -*END - -*D_NET *1616 0.103049 -*CONN -*I *2473:wbs_adr_i[12] I *D user_analog_project_wrapper -*I *2472:mprj_adr_o_user[12] O *D mgmt_protect -*CAP -1 *2473:wbs_adr_i[12] 0.00192783 -2 *2472:mprj_adr_o_user[12] 0.00165641 -3 *1616:8 0.0155514 -4 *1616:7 0.01528 -5 *1616:7 *2472:mprj_dat_i_user[12] 0 -6 *1616:7 *1747:7 0 -7 *1616:8 *1633:14 0.000287711 -8 *1616:8 *1684:8 0.00712931 -9 *1616:8 *1687:10 0.00035954 -10 *1616:8 *1691:8 0.00275392 -11 *1616:8 *1747:8 0 -12 *1616:8 *1754:16 0.000199062 -13 *1616:8 *1775:8 0.0577811 -14 *2473:la_data_in[6] *1616:7 0 -15 *733:20 *1616:8 0.00012309 -*RES -1 *2472:mprj_adr_o_user[12] *1616:7 40.827 -2 *1616:7 *1616:8 76.999 -3 *1616:8 *2473:wbs_adr_i[12] 49.9625 -*END - -*D_NET *1617 0.117094 -*CONN -*I *2473:wbs_adr_i[13] I *D user_analog_project_wrapper -*I *2472:mprj_adr_o_user[13] O *D mgmt_protect -*CAP -1 *2473:wbs_adr_i[13] 0.00142327 -2 *2472:mprj_adr_o_user[13] 0.00220129 -3 *1617:8 0.00525291 -4 *1617:7 0.00382964 -5 *1617:5 0.00220129 -6 *1617:5 *2472:mprj_dat_i_user[13] 0 -7 *1617:5 *1748:7 0 -8 *1617:5 *1749:5 0 -9 *1617:8 *1710:8 0.0472562 -10 *1617:8 *1749:8 0.0510686 -11 *1617:8 *1767:10 0.00186668 -12 *2473:la_oenb[6] *1617:5 0 -13 *805:8 *1617:8 0.00199376 -14 *1083:5 *1617:5 0 -*RES -1 *2472:mprj_adr_o_user[13] *1617:5 48.4624 -2 *1617:5 *1617:7 4.5 -3 *1617:7 *1617:8 545.344 -4 *1617:8 *2473:wbs_adr_i[13] 40.0896 -*END - -*D_NET *1618 0.118342 -*CONN -*I *2473:wbs_adr_i[14] I *D user_analog_project_wrapper -*I *2472:mprj_adr_o_user[14] O *D mgmt_protect -*CAP -1 *2473:wbs_adr_i[14] 0.00207211 -2 *2472:mprj_adr_o_user[14] 0.00129354 -3 *1618:16 0.00207211 -4 *1618:14 0.00307114 -5 *1618:13 0.00311163 -6 *1618:8 0.000572829 -7 *1618:7 0.00182587 -8 *1618:7 *1686:11 0.000898055 -9 *1618:7 *1749:5 0 -10 *1618:8 *1689:8 0.000211478 -11 *1618:8 *1689:12 0.00176543 -12 *1618:8 *1752:12 0.00425295 -13 *1618:13 *1687:17 6.88675e-05 -14 *1618:14 *1685:8 0.0462205 -15 *1618:14 *1748:8 0.0462289 -16 *1618:14 *1773:8 0.00460768 -17 *1445:11 *1618:13 6.88675e-05 -*RES -1 *2472:mprj_adr_o_user[14] *1618:7 40.9201 -2 *1618:7 *1618:8 45.6463 -3 *1618:8 *1618:13 10.4167 -4 *1618:13 *1618:14 486.002 -5 *1618:14 *1618:16 4.5 -6 *1618:16 *2473:wbs_adr_i[14] 48.8776 -*END - -*D_NET *1619 0.111337 -*CONN -*I *2473:wbs_adr_i[15] I *D user_analog_project_wrapper -*I *2472:mprj_adr_o_user[15] O *D mgmt_protect -*CAP -1 *2473:wbs_adr_i[15] 0.00134679 -2 *2472:mprj_adr_o_user[15] 0.0021673 -3 *1619:8 0.0053335 -4 *1619:7 0.00398671 -5 *1619:5 0.0021673 -6 *1619:5 *2472:mprj_dat_i_user[15] 0 -7 *1619:5 *1750:7 0 -8 *1619:5 *1751:5 0 -9 *1619:8 *1686:8 0.000313928 -10 *1619:8 *1688:8 0.045803 -11 *1619:8 *1751:8 0.0485346 -12 *1619:8 *2190:8 0.00147798 -13 *2473:la_data_in[7] *1619:5 0 -14 *1094:5 *1619:5 0.00020584 -*RES -1 *2472:mprj_adr_o_user[15] *1619:5 50.1234 -2 *1619:5 *1619:7 4.5 -3 *1619:7 *1619:8 518.723 -4 *1619:8 *2473:wbs_adr_i[15] 38.4285 -*END - -*D_NET *1620 0.0823198 -*CONN -*I *2473:wbs_adr_i[16] I *D user_analog_project_wrapper -*I *2472:mprj_adr_o_user[16] O *D mgmt_protect -*CAP -1 *2473:wbs_adr_i[16] 0.00174213 -2 *2472:mprj_adr_o_user[16] 0.000823496 -3 *1620:14 0.00980119 -4 *1620:13 0.00911275 -5 *1620:8 0.0034094 -6 *1620:7 0.00317922 -7 *1620:7 *2472:mprj_dat_i_user[16] 0 -8 *1620:7 *1751:5 0 -9 *1620:8 *1687:18 0.00534892 -10 *1620:8 *1745:8 6.50586e-05 -11 *1620:13 *2472:mprj_dat_i_user[0] 0 -12 *1620:13 *1639:13 7.90019e-05 -13 *1620:14 *1627:10 0.000225466 -14 *1620:14 *1629:8 0.00213562 -15 *1620:14 *1645:16 0 -16 *1620:14 *1696:8 0.000131218 -17 *1620:14 *1698:8 0.0154731 -18 *1620:14 *1754:16 0 -19 *1620:14 *1763:8 0.0141561 -20 *1620:14 *1776:8 0.00339983 -21 *989:8 *1620:8 0.0126035 -22 *1028:5 *1620:13 0.000633876 -*RES -1 *2472:mprj_adr_o_user[16] *1620:7 26.8015 -2 *1620:7 *1620:8 134.937 -3 *1620:8 *1620:13 30.3488 -4 *1620:13 *1620:14 370.089 -5 *1620:14 *2473:wbs_adr_i[16] 45.0726 -*END - -*D_NET *1621 0.108124 -*CONN -*I *2473:wbs_adr_i[17] I *D user_analog_project_wrapper -*I *2472:mprj_adr_o_user[17] O *D mgmt_protect -*CAP -1 *2473:wbs_adr_i[17] 0.00130855 -2 *2472:mprj_adr_o_user[17] 2.27669e-05 -3 *1621:10 0.00475211 -4 *1621:9 0.00344356 -5 *1621:7 0.00189852 -6 *1621:5 0.00192129 -7 *1621:7 *2472:mprj_dat_i_user[16] 0 -8 *1621:7 *2472:mprj_dat_i_user[17] 0 -9 *1621:7 *1752:10 0 -10 *1621:10 *1688:8 0.0461618 -11 *1621:10 *1753:8 0.0459494 -12 *1621:10 *1770:8 0.00118142 -13 *2473:la_data_in[8] *1621:7 0.0014842 -14 *805:8 *1621:10 0 -*RES -1 *2472:mprj_adr_o_user[17] *1621:5 0.647305 -2 *1621:5 *1621:7 51.0394 -3 *1621:7 *1621:9 4.5 -4 *1621:9 *1621:10 491.548 -5 *1621:10 *2473:wbs_adr_i[17] 37.598 -*END - -*D_NET *1622 0.101429 -*CONN -*I *2473:wbs_adr_i[18] I *D user_analog_project_wrapper -*I *2472:mprj_adr_o_user[18] O *D mgmt_protect -*CAP -1 *2473:wbs_adr_i[18] 0.00202522 -2 *2472:mprj_adr_o_user[18] 0.0016241 -3 *1622:14 0.00202522 -4 *1622:12 0.00313335 -5 *1622:10 0.00315421 -6 *1622:8 0.000913635 -7 *1622:7 0.00251687 -8 *1622:7 *2472:mprj_dat_i_user[18] 0 -9 *1622:7 *1753:5 0 -10 *1622:8 *1689:8 1.67988e-05 -11 *1622:8 *1689:12 0.00660833 -12 *1622:10 *1689:10 9.95922e-06 -13 *1622:12 *1689:8 0.0382226 -14 *1622:12 *1691:8 0.0347793 -15 *1622:12 *1754:16 0 -16 *1622:12 *1775:8 0.00305068 -17 *783:8 *1622:8 0.0031296 -18 *783:8 *1622:12 7.68538e-06 -19 *1105:5 *1622:7 0.000102632 -20 *1478:8 *1622:8 0.000108585 -*RES -1 *2472:mprj_adr_o_user[18] *1622:7 42.1658 -2 *1622:7 *1622:8 76.1495 -3 *1622:8 *1622:10 0.578717 -4 *1622:10 *1622:12 402.256 -5 *1622:12 *1622:14 4.5 -6 *1622:14 *2473:wbs_adr_i[18] 46.8014 -*END - -*D_NET *1623 0.100794 -*CONN -*I *2473:wbs_adr_i[19] I *D user_analog_project_wrapper -*I *2472:mprj_adr_o_user[19] O *D mgmt_protect -*CAP -1 *2473:wbs_adr_i[19] 0.0012592 -2 *2472:mprj_adr_o_user[19] 0.00222386 -3 *1623:8 0.00443123 -4 *1623:7 0.00317203 -5 *1623:5 0.00222386 -6 *1623:5 *2472:mprj_dat_i_user[18] 0 -7 *1623:5 *2472:mprj_dat_i_user[19] 0 -8 *1623:5 *1754:7 0 -9 *1623:5 *1755:5 0 -10 *1623:8 *1625:10 0.0420855 -11 *1623:8 *1641:8 0.000540546 -12 *1623:8 *1690:8 0.000897631 -13 *1623:8 *1753:8 0.00028978 -14 *1623:8 *1755:8 0.0434492 -15 *2473:la_oenb[8] *1623:5 0.000221328 -*RES -1 *2472:mprj_adr_o_user[19] *1623:5 52.1996 -2 *1623:5 *1623:7 4.5 -3 *1623:7 *1623:8 464.927 -4 *1623:8 *2473:wbs_adr_i[19] 36.3523 -*END - -*D_NET *1624 0.188183 -*CONN -*I *2473:wbs_adr_i[1] I *D user_analog_project_wrapper -*I *2472:mprj_adr_o_user[1] O *D mgmt_protect -*CAP -1 *2473:wbs_adr_i[1] 0.00200502 -2 *2472:mprj_adr_o_user[1] 0.000923382 -3 *1624:10 0.00200502 -4 *1624:8 0.00550943 -5 *1624:7 0.00643281 -6 *1624:7 *1692:11 0.00175531 -7 *1624:7 *2187:7 0 -8 *1624:8 *1638:8 0.00721213 -9 *1624:8 *1640:8 0.00662577 -10 *1624:8 *1750:8 7.06288e-05 -11 *1624:8 *1756:8 0.0775824 -12 *1624:8 *2187:8 0.078061 -*RES -1 *2472:mprj_adr_o_user[1] *1624:7 34.1829 -2 *1624:7 *1624:8 99.1047 -3 *1624:8 *1624:10 3.36879 -4 *1624:10 *2473:wbs_adr_i[1] 53.2378 -*END - -*D_NET *1625 0.0992235 -*CONN -*I *2473:wbs_adr_i[20] I *D user_analog_project_wrapper -*I *2472:mprj_adr_o_user[20] O *D mgmt_protect -*CAP -1 *2473:wbs_adr_i[20] 0.00124021 -2 *2472:mprj_adr_o_user[20] 6.22868e-05 -3 *1625:10 0.00432698 -4 *1625:9 0.00308676 -5 *1625:7 0.00178671 -6 *1625:5 0.001849 -7 *1625:7 *2472:mprj_dat_i_user[20] 0 -8 *1625:7 *1755:5 0.000878557 -9 *1625:10 *1690:8 0.0415631 -10 *1625:10 *1755:8 0.00020979 -11 *1625:10 *1757:8 0.000484464 -12 *1625:10 *1772:8 0.000685206 -13 *2473:la_data_in[9] *1625:7 0.000964996 -14 *1623:8 *1625:10 0.0420855 -*RES -1 *2472:mprj_adr_o_user[20] *1625:5 1.77093 -2 *1625:5 *1625:7 52.7004 -3 *1625:7 *1625:9 4.5 -4 *1625:9 *1625:10 449.952 -5 *1625:10 *2473:wbs_adr_i[20] 35.937 -*END - -*D_NET *1626 0.0945627 -*CONN -*I *2473:wbs_adr_i[21] I *D user_analog_project_wrapper -*I *2472:mprj_adr_o_user[21] O *D mgmt_protect -*CAP -1 *2473:wbs_adr_i[21] 0.00263006 -2 *2472:mprj_adr_o_user[21] 0.00116828 -3 *1626:10 0.00263006 -4 *1626:8 0.00395042 -5 *1626:7 0.0051187 -6 *1626:7 *2472:mprj_dat_i_user[21] 0 -7 *1626:7 *1757:5 0 -8 *1626:8 *1697:8 0.0339524 -9 *1626:8 *1750:8 0.0028485 -10 *1626:8 *1758:8 0.0407286 -11 *1626:8 *1760:8 0.00122665 -12 *1626:8 *2182:8 0.000309013 -*RES -1 *2472:mprj_adr_o_user[21] *1626:7 33.0303 -2 *1626:7 *1626:8 438.306 -3 *1626:8 *1626:10 4.5 -4 *1626:10 *2473:wbs_adr_i[21] 55.5217 -*END - -*D_NET *1627 0.0874962 -*CONN -*I *2473:wbs_adr_i[22] I *D user_analog_project_wrapper -*I *2472:mprj_adr_o_user[22] O *D mgmt_protect -*CAP -1 *2473:wbs_adr_i[22] 0.00171986 -2 *2472:mprj_adr_o_user[22] 0.0017037 -3 *1627:10 0.00546869 -4 *1627:9 0.00374883 -5 *1627:7 0.0017037 -6 *1627:7 *2472:mprj_dat_i_user[21] 0 -7 *1627:7 *2472:mprj_dat_i_user[22] 0 -8 *1627:7 *1758:7 0.00169535 -9 *1627:10 *1635:8 0.00454653 -10 *1627:10 *1645:16 0.0121194 -11 *1627:10 *1696:8 0.0365949 -12 *1627:10 *1712:8 0.000289628 -13 *2473:la_oenb[9] *1627:7 0 -14 *772:8 *1627:10 0.0176802 -15 *1620:14 *1627:10 0.000225466 -*RES -1 *2472:mprj_adr_o_user[22] *1627:7 47.412 -2 *1627:7 *1627:9 4.5 -3 *1627:9 *1627:10 423.331 -4 *1627:10 *2473:wbs_adr_i[22] 42.9963 -*END - -*D_NET *1628 0.0932917 -*CONN -*I *2473:wbs_adr_i[23] I *D user_analog_project_wrapper -*I *2472:mprj_adr_o_user[23] O *D mgmt_protect -*CAP -1 *2473:wbs_adr_i[23] 0.00282767 -2 *2472:mprj_adr_o_user[23] 0.000969836 -3 *1628:10 0.00282767 -4 *1628:8 0.0167094 -5 *1628:7 0.0176793 -6 *1628:7 *2472:mprj_dat_i_user[23] 0 -7 *1628:7 *1759:5 0 -8 *1628:8 *1634:8 0.000714341 -9 *1628:8 *1636:8 0.000477446 -10 *1628:8 *1639:10 0.00401207 -11 *1628:8 *1695:8 0.0438945 -12 *1628:8 *1701:8 0.000771867 -13 *1628:8 *1705:8 0.000124104 -14 *1628:8 *1764:8 0.00107168 -15 *1628:8 *1766:8 0.000771867 -16 *1628:8 *1768:8 0.00043991 -*RES -1 *2472:mprj_adr_o_user[23] *1628:7 28.3694 -2 *1628:7 *1628:8 56.8056 -3 *1628:8 *1628:10 3.36879 -4 *1628:10 *2473:wbs_adr_i[23] 59.0513 -*END - -*D_NET *1629 0.0860872 -*CONN -*I *2473:wbs_adr_i[24] I *D user_analog_project_wrapper -*I *2472:mprj_adr_o_user[24] O *D mgmt_protect -*CAP -1 *2473:wbs_adr_i[24] 0.00180164 -2 *2472:mprj_adr_o_user[24] 0.00193566 -3 *1629:8 0.00482385 -4 *1629:7 0.00495787 -5 *1629:7 *2472:mprj_dat_i_user[23] 0 -6 *1629:7 *2472:mprj_dat_i_user[24] 0 -7 *1629:7 *1760:7 0 -8 *1629:7 *1761:7 0 -9 *1629:8 *1682:8 0.000362278 -10 *1629:8 *1696:8 0.000309013 -11 *1629:8 *1761:8 0.0369113 -12 *1629:8 *1763:8 0.03285 -13 *1620:14 *1629:8 0.00213562 -*RES -1 *2472:mprj_adr_o_user[24] *1629:7 48.8099 -2 *1629:7 *1629:8 398.374 -3 *1629:8 *2473:wbs_adr_i[24] 44.2421 -*END - -*D_NET *1630 0.0853997 -*CONN -*I *2473:wbs_adr_i[25] I *D user_analog_project_wrapper -*I *2472:mprj_adr_o_user[25] O *D mgmt_protect -*CAP -1 *2473:wbs_adr_i[25] 0.00278801 -2 *2472:mprj_adr_o_user[25] 0.00105929 -3 *1630:10 0.00278801 -4 *1630:8 0.00342592 -5 *1630:7 0.00448521 -6 *1630:7 *2472:mprj_dat_i_user[25] 0 -7 *1630:7 *1761:7 0 -8 *1630:8 *1693:8 0.00206086 -9 *1630:8 *1699:8 0.0328854 -10 *1630:8 *1760:8 0.000309013 -11 *1630:8 *1762:8 0.035598 -*RES -1 *2472:mprj_adr_o_user[25] *1630:7 31.3693 -2 *1630:7 *1630:8 385.064 -3 *1630:8 *1630:10 4.5 -4 *1630:10 *2473:wbs_adr_i[25] 57.1827 -*END - -*D_NET *1631 0.0804116 -*CONN -*I *2473:wbs_adr_i[26] I *D user_analog_project_wrapper -*I *2472:mprj_adr_o_user[26] O *D mgmt_protect -*CAP -1 *2473:wbs_adr_i[26] 0.00191069 -2 *2472:mprj_adr_o_user[26] 0.00182942 -3 *1631:8 0.00530876 -4 *1631:7 0.00522749 -5 *1631:7 *2472:mprj_dat_i_user[25] 0 -6 *1631:7 *2472:mprj_dat_i_user[26] 0 -7 *1631:7 *1762:7 0 -8 *1631:7 *1765:13 0 -9 *1631:8 *1645:10 0.0087398 -10 *1631:8 *1698:8 0.0345639 -11 *1631:8 *1700:8 0.000151389 -12 *1631:8 *1700:18 0.0193368 -13 *1631:8 *1747:8 0.000748203 -14 *1631:8 *1765:14 1.67988e-05 -15 *1631:8 *1765:20 0.00194244 -16 *1631:8 *1765:22 0.000426221 -17 *1412:8 *1631:8 0.00020979 -*RES -1 *2472:mprj_adr_o_user[26] *1631:7 47.1488 -2 *1631:7 *1631:8 371.753 -3 *1631:8 *2473:wbs_adr_i[26] 45.9031 -*END - -*D_NET *1632 0.0810823 -*CONN -*I *2473:wbs_adr_i[27] I *D user_analog_project_wrapper -*I *2472:mprj_adr_o_user[27] O *D mgmt_protect -*CAP -1 *2473:wbs_adr_i[27] 0.00287537 -2 *2472:mprj_adr_o_user[27] 0.00102325 -3 *1632:10 0.00287537 -4 *1632:8 0.00296759 -5 *1632:7 0.00399085 -6 *1632:7 *2472:mprj_dat_i_user[27] 0 -7 *1632:7 *1763:7 0 -8 *1632:8 *1695:8 0.00116569 -9 *1632:8 *1699:8 0.0331782 -10 *1632:8 *1745:8 0 -11 *1632:8 *1764:8 0.033006 -12 *1373:8 *1632:8 0 -*RES -1 *2472:mprj_adr_o_user[27] *1632:7 30.5388 -2 *1632:7 *1632:8 358.443 -3 *1632:8 *1632:10 4.5 -4 *1632:10 *2473:wbs_adr_i[27] 58.0132 -*END - -*D_NET *1633 0.0662843 -*CONN -*I *2473:wbs_adr_i[28] I *D user_analog_project_wrapper -*I *2472:mprj_adr_o_user[28] O *D mgmt_protect -*CAP -1 *2473:wbs_adr_i[28] 0.00201822 -2 *2472:mprj_adr_o_user[28] 0.00175146 -3 *1633:14 0.00442023 -4 *1633:13 0.0025199 -5 *1633:8 0.00195782 -6 *1633:7 0.00359139 -7 *2473:wbs_adr_i[28] *1645:15 0.000162209 -8 *1633:7 *2472:mprj_dat_i_user[27] 0 -9 *1633:7 *2472:mprj_dat_i_user[28] 0 -10 *1633:7 *1764:7 0 -11 *1633:8 *1684:8 0.000220514 -12 *1633:8 *1700:18 0.000371284 -13 *1633:8 *1702:8 0.0208978 -14 *1633:8 *1765:14 0.0195894 -15 *1633:13 *1692:11 0 -16 *1633:13 *1700:17 7.09666e-06 -17 *1633:13 *2188:5 0 -18 *1633:14 *1691:8 0.00152799 -19 *1633:14 *1700:8 0.000227651 -20 *1633:14 *1702:8 0.000371382 -21 *1633:14 *1704:8 0.000627856 -22 *1633:14 *1769:8 0.00482692 -23 *733:13 *1633:7 0 -24 *733:20 *1633:14 0.000877072 -25 *1412:8 *1633:8 3.04269e-05 -26 *1616:8 *1633:14 0.000287711 -*RES -1 *2472:mprj_adr_o_user[28] *1633:7 45.9031 -2 *1633:7 *1633:8 222.565 -3 *1633:8 *1633:13 11.2472 -4 *1633:13 *1633:14 122.736 -5 *1633:14 *2473:wbs_adr_i[28] 49.2251 -*END - -*D_NET *1634 0.0743661 -*CONN -*I *2473:wbs_adr_i[29] I *D user_analog_project_wrapper -*I *2472:mprj_adr_o_user[29] O *D mgmt_protect -*CAP -1 *2473:wbs_adr_i[29] 0.0029951 -2 *2472:mprj_adr_o_user[29] 0.000934093 -3 *1634:10 0.0029951 -4 *1634:8 0.00299294 -5 *1634:7 0.00392703 -6 *1634:7 *2472:mprj_dat_i_user[29] 0 -7 *1634:7 *1765:8 0 -8 *1634:8 *1636:8 0.0290632 -9 *1634:8 *1701:8 0.000309013 -10 *1634:8 *1745:8 0 -11 *1634:8 *1766:8 0.0304353 -12 *1628:8 *1634:8 0.000714341 -*RES -1 *2472:mprj_adr_o_user[29] *1634:7 28.8777 -2 *1634:7 *1634:8 331.822 -3 *1634:8 *1634:10 4.5 -4 *1634:10 *2473:wbs_adr_i[29] 59.6742 -*END - -*D_NET *1635 0.144931 -*CONN -*I *2473:wbs_adr_i[2] I *D user_analog_project_wrapper -*I *2472:mprj_adr_o_user[2] O *D mgmt_protect -*CAP -1 *2473:wbs_adr_i[2] 0.00145565 -2 *2472:mprj_adr_o_user[2] 0.00222927 -3 *1635:8 0.00685628 -4 *1635:7 0.00540063 -5 *1635:5 0.00222927 -6 *1635:5 *2472:mprj_dat_i_user[2] 0 -7 *1635:5 *1767:7 0 -8 *1635:5 *2188:5 0 -9 *1635:8 *1645:16 0.0541586 -10 *1635:8 *1648:8 0.00298806 -11 *1635:8 *1706:8 0.0624738 -12 *1635:8 *2188:8 0.000322757 -13 *2473:la_data_in[2] *1635:5 7.08059e-05 -14 *772:8 *1635:8 0.00219959 -15 *1627:10 *1635:8 0.00454653 -*RES -1 *2472:mprj_adr_o_user[2] *1635:5 46.3861 -2 *1635:5 *1635:7 4.5 -3 *1635:7 *1635:8 700.079 -4 *1635:8 *2473:wbs_adr_i[2] 42.1658 -*END - -*D_NET *1636 0.0723637 -*CONN -*I *2473:wbs_adr_i[30] I *D user_analog_project_wrapper -*I *2472:mprj_adr_o_user[30] O *D mgmt_protect -*CAP -1 *2473:wbs_adr_i[30] 0.00303155 -2 *2472:mprj_adr_o_user[30] 0.000909241 -3 *1636:10 0.00303155 -4 *1636:8 0.002731 -5 *1636:7 0.00364024 -6 *1636:7 *2472:mprj_dat_i_user[30] 0 -7 *1636:7 *1766:7 0 -8 *1636:8 *1745:8 4.35194e-05 -9 *1636:8 *1766:8 0.000226394 -10 *1636:8 *1768:8 0.0292096 -11 *1628:8 *1636:8 0.000477446 -12 *1634:8 *1636:8 0.0290632 -*RES -1 *2472:mprj_adr_o_user[30] *1636:7 28.4625 -2 *1636:7 *1636:8 318.511 -3 *1636:8 *1636:10 4.5 -4 *1636:10 *2473:wbs_adr_i[30] 60.0894 -*END - -*D_NET *1637 0.068476 -*CONN -*I *2473:wbs_adr_i[31] I *D user_analog_project_wrapper -*I *2472:mprj_adr_o_user[31] O *D mgmt_protect -*CAP -1 *2473:wbs_adr_i[31] 0.00203704 -2 *2472:mprj_adr_o_user[31] 0.00166315 -3 *1637:8 0.00458573 -4 *1637:7 0.00421184 -5 *1637:7 *2472:mprj_dat_i_user[30] 0 -6 *1637:7 *2472:mprj_dat_i_user[31] 0 -7 *1637:7 *1768:7 0 -8 *1637:7 *1769:7 0 -9 *1637:8 *1684:8 0.000111178 -10 *1637:8 *1702:8 0.0274459 -11 *1637:8 *1704:8 0.0281872 -12 *1637:8 *1769:8 0.000233938 -13 *1412:8 *1637:8 0 -*RES -1 *2472:mprj_adr_o_user[31] *1637:7 45.0726 -2 *1637:7 *1637:8 305.201 -3 *1637:8 *2473:wbs_adr_i[31] 47.9793 -*END - -*D_NET *1638 0.147648 -*CONN -*I *2473:wbs_adr_i[3] I *D user_analog_project_wrapper -*I *2472:mprj_adr_o_user[3] O *D mgmt_protect -*CAP -1 *2473:wbs_adr_i[3] 0.00206185 -2 *2472:mprj_adr_o_user[3] 0.00132861 -3 *1638:10 0.00206185 -4 *1638:8 0.00496379 -5 *1638:7 0.00629241 -6 *1638:7 *2472:mprj_dat_i_user[3] 0 -7 *1638:7 *2189:7 0 -8 *1638:8 *1640:8 0.060369 -9 *1638:8 *1681:8 0.0623518 -10 *1638:8 *1707:8 0.000313928 -11 *2473:la_oenb[2] *1638:7 2.71397e-05 -12 *1028:8 *1638:8 0.000626631 -13 *1373:8 *1638:8 3.91975e-05 -14 *1624:8 *1638:8 0.00721213 -*RES -1 *2472:mprj_adr_o_user[3] *1638:7 35.5218 -2 *1638:7 *1638:8 682.886 -3 *1638:8 *1638:10 4.5 -4 *1638:10 *2473:wbs_adr_i[3] 53.0301 -*END - -*D_NET *1639 0.144492 -*CONN -*I *2473:wbs_adr_i[4] I *D user_analog_project_wrapper -*I *2472:mprj_adr_o_user[4] O *D mgmt_protect -*CAP -1 *2473:wbs_adr_i[4] 0.00144451 -2 *2472:mprj_adr_o_user[4] 0.00331275 -3 *1639:14 0.00600859 -4 *1639:13 0.00569663 -5 *1639:10 0.0044453 -6 *1639:10 *2472:mprj_dat_i_user[4] 0 -7 *1639:10 *2190:5 0 -8 *1639:13 *1692:11 0 -9 *1639:13 *2187:7 0 -10 *1639:14 *1706:8 0.0596913 -11 *1639:14 *1708:8 0.0562651 -12 *1639:14 *2188:8 0.000199658 -13 *1639:14 *2192:8 0.00253186 -14 *1028:5 *1639:13 0.00080517 -15 *1620:13 *1639:13 7.90019e-05 -16 *1628:8 *1639:10 0.00401207 -*RES -1 *2472:mprj_adr_o_user[4] *1639:10 36.0824 -2 *1639:10 *1639:13 27.7175 -3 *1639:13 *1639:14 627.98 -4 *1639:14 *2473:wbs_adr_i[4] 41.3353 -*END - -*D_NET *1640 0.14457 -*CONN -*I *2473:wbs_adr_i[5] I *D user_analog_project_wrapper -*I *2472:mprj_adr_o_user[5] O *D mgmt_protect -*CAP -1 *2473:wbs_adr_i[5] 0.00209424 -2 *2472:mprj_adr_o_user[5] 0.0013545 -3 *1640:10 0.00209424 -4 *1640:8 0.00408244 -5 *1640:7 0.00543694 -6 *1640:7 *2472:mprj_dat_i_user[5] 0 -7 *1640:7 *1771:7 0 -8 *1640:8 *1642:8 0.000218833 -9 *1640:8 *1707:8 0.0616201 -10 *1028:8 *1640:8 1.5006e-05 -11 *1050:8 *1640:8 0.00031994 -12 *1423:8 *1640:8 0.000338574 -13 *1624:8 *1640:8 0.00662577 -14 *1638:8 *1640:8 0.060369 -*RES -1 *2472:mprj_adr_o_user[5] *1640:7 35.937 -2 *1640:7 *1640:8 651.828 -3 *1640:8 *1640:10 4.5 -4 *1640:10 *2473:wbs_adr_i[5] 52.6149 -*END - -*D_NET *1641 0.162533 -*CONN -*I *2473:wbs_adr_i[6] I *D user_analog_project_wrapper -*I *2472:mprj_adr_o_user[6] O *D mgmt_protect -*CAP -1 *2473:wbs_adr_i[6] 0.00125615 -2 *2472:mprj_adr_o_user[6] 0.0024717 -3 *1641:8 0.0100773 -4 *1641:7 0.00882111 -5 *1641:5 0.0024717 -6 *1641:5 *2472:mprj_dat_i_user[6] 0 -7 *1641:5 *1772:5 0 -8 *1641:8 *1712:8 0 -9 *1641:8 *1755:8 0.000787603 -10 *1641:8 *1770:8 0.0669177 -11 *1641:8 *1772:8 0.0689468 -12 *1641:8 *1774:8 0.000121359 -13 *1641:8 *2190:8 0.000121359 -14 *2473:la_data_in[4] *1641:5 0 -15 *1623:8 *1641:8 0.000540546 -*RES -1 *2472:mprj_adr_o_user[6] *1641:5 51.5768 -2 *1641:5 *1641:7 3.36879 -3 *1641:7 *1641:8 88.0136 -4 *1641:8 *2473:wbs_adr_i[6] 35.844 -*END - -*D_NET *1642 0.136872 -*CONN -*I *2473:wbs_adr_i[7] I *D user_analog_project_wrapper -*I *2472:mprj_adr_o_user[7] O *D mgmt_protect -*CAP -1 *2473:wbs_adr_i[7] 0.00209212 -2 *2472:mprj_adr_o_user[7] 0.000956767 -3 *1642:10 0.00209212 -4 *1642:8 0.00451774 -5 *1642:7 0.00547451 -6 *1642:7 *2472:mprj_dat_i_user[7] 0.00184078 -7 *1642:7 *1773:7 0 -8 *1642:8 *1707:8 0.0575415 -9 *1642:8 *1711:8 0.0561622 -10 *1642:8 *1756:8 0.00560945 -11 *1050:8 *1642:8 0.000366428 -12 *1640:8 *1642:8 0.000218833 -*RES -1 *2472:mprj_adr_o_user[7] *1642:7 36.7675 -2 *1642:7 *1642:8 625.207 -3 *1642:8 *1642:10 4.5 -4 *1642:10 *2473:wbs_adr_i[7] 51.7844 -*END - -*D_NET *1643 0.105102 -*CONN -*I *2473:wbs_adr_i[8] I *D user_analog_project_wrapper -*I *2472:mprj_adr_o_user[8] O *D mgmt_protect -*CAP -1 *2473:wbs_adr_i[8] 0.00115949 -2 *2472:mprj_adr_o_user[8] 0.00258284 -3 *1643:8 0.0169055 -4 *1643:7 0.015746 -5 *1643:5 0.00258284 -6 *1643:5 *2472:mprj_dat_i_user[8] 0 -7 *1643:5 *1687:17 0 -8 *1643:5 *1774:5 0 -9 *1643:8 *1694:8 0.00010238 -10 *1643:8 *1774:8 0.0660229 -11 *1445:11 *1643:5 0 -*RES -1 *2472:mprj_adr_o_user[8] *1643:5 54.0683 -2 *1643:5 *1643:7 3.36879 -3 *1643:7 *1643:8 84.3421 -4 *1643:8 *2473:wbs_adr_i[8] 33.3524 -*END - -*D_NET *1644 0.132272 -*CONN -*I *2473:wbs_adr_i[9] I *D user_analog_project_wrapper -*I *2472:mprj_adr_o_user[9] O *D mgmt_protect -*CAP -1 *2473:wbs_adr_i[9] 0.00208911 -2 *2472:mprj_adr_o_user[9] 0.00143071 -3 *1644:10 0.00208911 -4 *1644:8 0.00406771 -5 *1644:7 0.00549842 -6 *1644:7 *2472:mprj_dat_i_user[9] 0 -7 *1644:7 *1775:7 0 -8 *1644:8 *1703:8 0.00523289 -9 *1644:8 *1711:8 0.0564551 -10 *816:11 *1644:7 2.25812e-05 -11 *1061:8 *1644:8 4.91225e-06 -12 *1445:8 *1644:8 0.000479276 -13 *1614:8 *1644:8 0.0549021 -*RES -1 *2472:mprj_adr_o_user[9] *1644:7 37.598 -2 *1644:7 *1644:8 598.586 -3 *1644:8 *1644:10 4.5 -4 *1644:10 *2473:wbs_adr_i[9] 50.9539 -*END - -*D_NET *1645 0.127077 -*CONN -*I *2473:wb_clk_i I *D user_analog_project_wrapper -*I *2472:user_clock O *D mgmt_protect -*CAP -1 *2473:wb_clk_i 0.00144366 -2 *2472:user_clock 0.00204012 -3 *1645:16 0.0154075 -4 *1645:15 0.01417 -5 *1645:10 0.000845014 -6 *1645:9 0.00267899 -7 *1645:9 *2182:7 0 -8 *1645:10 *1700:8 0.000330532 -9 *1645:10 *1765:22 0.00800919 -10 *1645:16 *1648:8 0.00681304 -11 *2473:la_data_in[0] *1645:9 0 -12 *2473:wbs_adr_i[28] *1645:15 0.000162209 -13 *989:5 *1645:9 0.000158695 -14 *1620:14 *1645:16 0 -15 *1627:10 *1645:16 0.0121194 -16 *1631:8 *1645:10 0.0087398 -17 *1635:8 *1645:16 0.0541586 -*RES -1 *2472:user_clock *1645:9 48.59 -2 *1645:9 *1645:10 92.233 -3 *1645:10 *1645:15 12.9083 -4 *1645:15 *1645:16 669.021 -5 *1645:16 *2473:wb_clk_i 42.5811 -*END - -*D_NET *1646 0.358405 -*CONN -*I *2473:user_clock2 I *D user_analog_project_wrapper -*I *2472:user_clock2 O *D mgmt_protect -*CAP -1 *2473:user_clock2 0.00142238 -2 *2472:user_clock2 0.00264514 -3 *1646:8 0.0185765 -4 *1646:7 0.0197993 -5 *1646:7 *2472:user_irq_core[0] 0 -6 *1646:7 *2472:user_irq_core[1] 0 -7 *2473:la_oenb[61] *1646:7 0 -8 *1019:8 *1646:8 0.000647491 -9 *1393:14 *1646:8 0.00823899 -10 *1397:14 *1646:8 0.0101918 -11 *1399:8 *1646:8 0.143709 -12 *1401:10 *1646:8 0.000133887 -13 *1403:7 *1646:7 0 -14 *1403:8 *1646:8 0.15304 -*RES -1 *2472:user_clock2 *1646:7 49.9625 -2 *1646:7 *1646:8 195.023 -3 *1646:8 *2473:user_clock2 40.827 -*END - -*D_NET *1647 0.30396 -*CONN -*I *2471:wb_cyc_i I *D housekeeping -*I *2472:mprj_cyc_o_core I *D mgmt_protect -*I *2478:mprj_cyc_o O *D mgmt_core_wrapper -*CAP -1 *2471:wb_cyc_i 0.0011907 -2 *2472:mprj_cyc_o_core 0.00215085 -3 *2478:mprj_cyc_o 0.00239657 -4 *1647:27 0.00717844 -5 *1647:25 0.0065978 -6 *1647:12 0.00215085 -7 *1647:10 0.0171454 -8 *1647:9 0.0189319 -9 *2471:wb_cyc_i *2471:usr1_vcc_pwrgood 0 -10 *2471:wb_cyc_i *2471:wb_we_i 0 -11 *2472:mprj_cyc_o_core *2472:mprj_stb_o_core 0 -12 *1647:9 *2191:9 0 -13 *1647:10 *1724:10 0.0687012 -14 *1647:25 *2191:9 5.22654e-06 -15 *1647:27 *2195:27 0.0870754 -16 *2472:la_oenb_mprj[127] *2472:mprj_cyc_o_core 0 -17 *863:15 *2472:mprj_cyc_o_core 0.000626404 -18 *867:10 *1647:10 0.000101537 -19 *888:10 *1647:10 0 -20 *889:10 *1647:10 0 -21 *891:10 *1647:10 0 -22 *985:12 *1647:10 0 -23 *1120:15 *1647:10 0.000141764 -24 *1257:10 *1647:10 0.000615448 -25 *1576:10 *1647:27 0.0887684 -26 *1579:7 *2472:mprj_cyc_o_core 0.00018195 -27 *1579:11 *1647:9 0 -28 *1605:24 *1647:10 0 -*RES -1 *2478:mprj_cyc_o *1647:9 41.2789 -2 *1647:9 *1647:10 90.4613 -3 *1647:10 *1647:12 3.36879 -4 *1647:12 *2472:mprj_cyc_o_core 49.9158 -5 *2478:mprj_cyc_o *1647:25 18.2418 -6 *1647:25 *1647:27 931.903 -7 *1647:27 *2471:wb_cyc_i 37.6347 -*END - -*D_NET *1648 0.181171 -*CONN -*I *2473:wbs_cyc_i I *D user_analog_project_wrapper -*I *2472:mprj_cyc_o_user O *D mgmt_protect -*CAP -1 *2473:wbs_cyc_i 0.00145965 -2 *2472:mprj_cyc_o_user 0.00217481 -3 *1648:8 0.0161863 -4 *1648:7 0.0169015 -5 *1648:7 *2182:7 0 -6 *1648:7 *2192:7 0 -7 *1648:8 *1712:8 0.0535329 -8 *1648:8 *1776:8 0.000193654 -9 *1648:8 *2192:8 0.0806772 -10 *2472:mprj_ack_i_user *1648:7 0 -11 *2473:la_oenb[0] *1648:7 0.00024398 -12 *1635:8 *1648:8 0.00298806 -13 *1645:16 *1648:8 0.00681304 -*RES -1 *2472:mprj_cyc_o_user *1648:7 49.132 -2 *1648:7 *1648:8 103.388 -3 *1648:8 *2473:wbs_cyc_i 41.6575 -*END - -*D_NET *1649 0.146159 -*CONN -*I *2478:mprj_dat_i[0] I *D mgmt_core_wrapper -*I *2472:mprj_dat_i_core[0] O *D mgmt_protect -*CAP -1 *2478:mprj_dat_i[0] 8.30061e-05 -2 *2472:mprj_dat_i_core[0] 0.00089339 -3 *1649:17 0.0024907 -4 *1649:16 0.00240769 -5 *1649:14 0.00113132 -6 *1649:13 0.00207911 -7 *1649:8 0.00491741 -8 *1649:7 0.00486301 -9 *1649:7 *2472:mprj_dat_o_core[0] 0 -10 *1649:7 *2472:mprj_we_o_core 0 -11 *1649:8 *1655:8 0.000517688 -12 *1649:8 *1657:8 0.0369871 -13 *1649:8 *1660:8 0.0472016 -14 *1649:8 *1715:30 0.00344673 -15 *1649:8 *2191:16 0.00033203 -16 *1649:14 *1653:16 0.0119134 -17 *2472:mprj_adr_o_core[0] *1649:7 0 -18 *608:8 *1649:8 0.0070112 -19 *610:8 *1649:8 0.000647488 -20 *629:11 *1649:13 0 -21 *885:10 *1649:14 0.000436811 -22 *1581:7 *1649:17 0 -23 *1581:21 *1649:17 0 -24 *1586:24 *1649:14 0.00171697 -25 *1608:24 *1649:14 0.0153927 -26 *1610:24 *1649:14 0.001368 -27 *1612:36 *1649:8 0.000322019 -*RES -1 *2472:mprj_dat_i_core[0] *1649:7 23.0642 -2 *1649:7 *1649:8 508.74 -3 *1649:8 *1649:13 27.0268 -4 *1649:13 *1649:14 162.113 -5 *1649:14 *1649:16 4.5 -6 *1649:16 *1649:17 51.0394 -7 *1649:17 *2478:mprj_dat_i[0] 2.05183 -*END - -*D_NET *1650 0.166278 -*CONN -*I *2478:mprj_dat_i[10] I *D mgmt_core_wrapper -*I *2472:mprj_dat_i_core[10] O *D mgmt_protect -*CAP -1 *2478:mprj_dat_i[10] 0.00126461 -2 *2472:mprj_dat_i_core[10] 0.00276898 -3 *1650:8 0.0069031 -4 *1650:7 0.00563849 -5 *1650:5 0.00276898 -6 *2478:mprj_dat_i[10] *1714:15 0 -7 *1650:5 *2472:mprj_dat_o_core[9] 0 -8 *1650:5 *1714:19 0.000642913 -9 *1650:8 *1654:10 0.0703043 -10 *1650:8 *1680:8 0.0713938 -11 *1650:8 *1718:10 0.000895982 -12 *1650:8 *2195:10 0.00244147 -13 *2472:mprj_adr_o_core[10] *1650:5 0 -14 *608:11 *1650:5 0 -15 *1248:10 *1650:8 0.000114604 -16 *1582:9 *2478:mprj_dat_i[10] 0 -17 *1607:16 *1650:8 0.00114063 -18 *1612:10 *1650:8 0 -*RES -1 *2472:mprj_dat_i_core[10] *1650:5 59.2589 -2 *1650:5 *1650:7 4.5 -3 *1650:7 *1650:8 769.959 -4 *1650:8 *2478:mprj_dat_i[10] 34.1905 -*END - -*D_NET *1651 0.169643 -*CONN -*I *2478:mprj_dat_i[11] I *D mgmt_core_wrapper -*I *2472:mprj_dat_i_core[11] O *D mgmt_protect -*CAP -1 *2478:mprj_dat_i[11] 9.52866e-05 -2 *2472:mprj_dat_i_core[11] 0.000811248 -3 *1651:17 0.00161008 -4 *1651:16 0.00151479 -5 *1651:14 0.00230127 -6 *1651:13 0.00342333 -7 *1651:8 0.00430496 -8 *1651:7 0.00399415 -9 *1651:7 *2472:mprj_dat_o_core[11] 0 -10 *1651:8 *1653:10 0.0412581 -11 *1651:8 *1655:8 0.00025715 -12 *1651:8 *1655:12 0.035393 -13 *1651:8 *1739:30 0.00366396 -14 *1651:14 *1653:16 0.00283592 -15 *1651:17 *1715:29 0.00139221 -16 *2472:mprj_adr_o_core[10] *1651:8 0.00122777 -17 *2472:mprj_adr_o_core[11] *1651:7 0 -18 *630:11 *1651:13 0 -19 *1582:24 *1651:8 5.30213e-05 -20 *1583:7 *1651:17 0.000130911 -21 *1583:21 *1651:17 0.00105001 -22 *1589:16 *1651:14 0.00142625 -23 *1591:28 *1651:14 0.00325843 -24 *1610:24 *1651:14 0.0249536 -25 *1612:24 *1651:14 0.0280718 -26 *1612:36 *1651:8 0.00661635 -*RES -1 *2472:mprj_dat_i_core[11] *1651:7 21.4032 -2 *1651:7 *1651:8 450.507 -3 *1651:8 *1651:13 29.9336 -4 *1651:13 *1651:14 328.494 -5 *1651:14 *1651:16 4.5 -6 *1651:16 *1651:17 49.7936 -7 *1651:17 *2478:mprj_dat_i[11] 2.05183 -*END - -*D_NET *1652 0.167003 -*CONN -*I *2478:mprj_dat_i[12] I *D mgmt_core_wrapper -*I *2472:mprj_dat_i_core[12] O *D mgmt_protect -*CAP -1 *2478:mprj_dat_i[12] 0.00128577 -2 *2472:mprj_dat_i_core[12] 0.00296797 -3 *1652:12 0.00692757 -4 *1652:10 0.00569747 -5 *1652:8 0.000809093 -6 *1652:7 0.000753427 -7 *1652:5 0.00296797 -8 *2478:mprj_dat_i[12] *1716:9 0 -9 *1652:5 *2472:mprj_dat_o_core[12] 0 -10 *1652:8 *1654:10 4.91225e-06 -11 *1652:8 *1716:10 0.000291404 -12 *1652:12 *1654:10 0.0686033 -13 *1652:12 *1666:8 0 -14 *1652:12 *1672:8 8.90486e-05 -15 *1652:12 *1718:10 0.0017512 -16 *1652:12 *1727:24 0 -17 *1652:12 *1730:10 0 -18 *1652:12 *1731:24 0 -19 *1652:12 *1733:10 0.000262266 -20 *1652:12 *1736:10 0.0635099 -21 *1652:12 *2195:10 0.00191027 -22 *2472:mprj_adr_o_core[12] *1652:5 0 -23 *609:14 *1652:8 0.00120288 -24 *610:14 *1652:8 7.68065e-05 -25 *610:14 *1652:12 0 -26 *862:9 *1652:5 4.18029e-05 -27 *863:10 *1652:8 0.00219313 -28 *865:10 *1652:8 0.00528779 -29 *1247:10 *1652:8 0.000258087 -30 *1584:7 *2478:mprj_dat_i[12] 0 -31 *1584:21 *2478:mprj_dat_i[12] 0 -32 *1601:10 *1652:12 7.46601e-05 -33 *1607:16 *1652:8 3.61993e-05 -*RES -1 *2472:mprj_dat_i_core[12] *1652:5 57.1827 -2 *1652:5 *1652:7 4.5 -3 *1652:7 *1652:8 64.5028 -4 *1652:8 *1652:10 1.39857 -5 *1652:10 *1652:12 724.481 -6 *1652:12 *2478:mprj_dat_i[12] 33.8974 -*END - -*D_NET *1653 0.156163 -*CONN -*I *2478:mprj_dat_i[13] I *D mgmt_core_wrapper -*I *2472:mprj_dat_i_core[13] O *D mgmt_protect -*CAP -1 *2478:mprj_dat_i[13] 8.30061e-05 -2 *2472:mprj_dat_i_core[13] 0.000619137 -3 *1653:19 0.00239278 -4 *1653:18 0.00230978 -5 *1653:16 0.00469386 -6 *1653:15 0.00579156 -7 *1653:10 0.00445987 -8 *1653:9 0.0039813 -9 *1653:9 *2472:mprj_dat_o_core[13] 0.000875119 -10 *1653:10 *1655:12 0.000668608 -11 *1653:10 *1741:24 0.00426103 -12 *2472:mprj_adr_o_core[13] *1653:9 0 -13 *1582:24 *1653:10 0.00295106 -14 *1585:7 *1653:19 0 -15 *1585:23 *1653:19 0 -16 *1588:24 *1653:16 0.00339601 -17 *1589:16 *1653:16 0.000530783 -18 *1590:18 *1653:10 0.00327915 -19 *1608:24 *1653:16 0.00397241 -20 *1610:24 *1653:16 0.0234707 -21 *1612:30 *1653:10 0.032419 -22 *1649:14 *1653:16 0.0119134 -23 *1651:8 *1653:10 0.0412581 -24 *1651:14 *1653:16 0.00283592 -*RES -1 *2472:mprj_dat_i_core[13] *1653:9 22.2826 -2 *1653:9 *1653:10 451.616 -3 *1653:10 *1653:15 29.5183 -4 *1653:15 *1653:16 343.468 -5 *1653:16 *1653:18 4.5 -6 *1653:18 *1653:19 50.6241 -7 *1653:19 *2478:mprj_dat_i[13] 2.05183 -*END - -*D_NET *1654 0.172428 -*CONN -*I *2478:mprj_dat_i[14] I *D mgmt_core_wrapper -*I *2472:mprj_dat_i_core[14] O *D mgmt_protect -*CAP -1 *2478:mprj_dat_i[14] 0.0013501 -2 *2472:mprj_dat_i_core[14] 6.22868e-05 -3 *1654:10 0.00791882 -4 *1654:9 0.00656871 -5 *1654:7 0.00222752 -6 *1654:5 0.0022898 -7 *2478:mprj_dat_i[14] *1718:9 0 -8 *1654:7 *2472:mprj_dat_o_core[14] 0.00291234 -9 *1654:10 *1718:10 0.00141193 -10 *1654:10 *1736:10 0.00121044 -11 *1654:10 *2195:10 0.00262915 -12 *2472:mprj_adr_o_core[14] *1654:7 0 -13 *2478:la_input[101] *1654:7 0 -14 *1120:15 *1654:7 0.000271428 -15 *1247:9 *1654:7 0 -16 *1586:7 *2478:mprj_dat_i[14] 0 -17 *1586:23 *2478:mprj_dat_i[14] 0 -18 *1607:16 *1654:10 0.00466341 -19 *1612:10 *1654:10 0 -20 *1650:8 *1654:10 0.0703043 -21 *1652:8 *1654:10 4.91225e-06 -22 *1652:12 *1654:10 0.0686033 -*RES -1 *2472:mprj_dat_i_core[14] *1654:5 1.77093 -2 *1654:5 *1654:7 58.9292 -3 *1654:7 *1654:9 4.5 -4 *1654:9 *1654:10 803.235 -5 *1654:10 *2478:mprj_dat_i[14] 35.1676 -*END - -*D_NET *1655 0.178111 -*CONN -*I *2478:mprj_dat_i[15] I *D mgmt_core_wrapper -*I *2472:mprj_dat_i_core[15] O *D mgmt_protect -*CAP -1 *2478:mprj_dat_i[15] 8.30061e-05 -2 *2472:mprj_dat_i_core[15] 0.000878408 -3 *1655:21 0.0022859 -4 *1655:20 0.0022029 -5 *1655:18 0.00241729 -6 *1655:17 0.00345601 -7 *1655:12 0.00387979 -8 *1655:10 0.00286412 -9 *1655:8 0.000564849 -10 *1655:7 0.00142022 -11 *1655:7 *2472:mprj_dat_o_core[14] 0 -12 *1655:7 *2472:mprj_dat_o_core[15] 0 -13 *1655:8 *1657:8 0.00361569 -14 *1655:12 *1657:8 0.000187218 -15 *1655:12 *1662:14 0.0305025 -16 *1655:12 *1739:30 0.00444218 -17 *1655:18 *1657:14 0.0319588 -18 *2472:mprj_adr_o_core[15] *1655:7 0 -19 *632:11 *1655:17 0.000397377 -20 *1587:7 *1655:21 0 -21 *1587:23 *1655:21 0 -22 *1589:16 *1655:18 0.0338513 -23 *1590:18 *1655:12 0.000316909 -24 *1595:24 *1655:18 0.0036624 -25 *1597:30 *1655:12 0.00760676 -26 *1598:18 *1655:18 0.000496273 -27 *1612:36 *1655:8 0.00418477 -28 *1649:8 *1655:8 0.000517688 -29 *1651:8 *1655:8 0.00025715 -30 *1651:8 *1655:12 0.035393 -31 *1653:10 *1655:12 0.000668608 -*RES -1 *2472:mprj_dat_i_core[15] *1655:7 22.2337 -2 *1655:7 *1655:8 51.7469 -3 *1655:8 *1655:10 0.578717 -4 *1655:10 *1655:12 406.139 -5 *1655:12 *1655:17 30.7641 -6 *1655:17 *1655:18 356.224 -7 *1655:18 *1655:20 4.5 -8 *1655:20 *1655:21 48.5479 -9 *1655:21 *2478:mprj_dat_i[15] 2.05183 -*END - -*D_NET *1656 0.132381 -*CONN -*I *2478:mprj_dat_i[16] I *D mgmt_core_wrapper -*I *2472:mprj_dat_i_core[16] O *D mgmt_protect -*CAP -1 *2478:mprj_dat_i[16] 0.000967964 -2 *2472:mprj_dat_i_core[16] 0.00322952 -3 *1656:8 0.0168279 -4 *1656:7 0.01586 -5 *1656:5 0.00322952 -6 *1656:5 *2472:mprj_dat_o_core[16] 0 -7 *1656:8 *1720:10 0.0775847 -8 *1656:8 *2184:25 0.000709571 -9 *2472:mprj_adr_o_core[16] *1656:5 0.00041429 -10 *608:14 *1656:8 4.62974e-05 -11 *613:8 *1656:8 0.0101859 -12 *617:8 *1656:8 0.00255066 -13 *618:8 *1656:8 0.000339015 -14 *619:8 *1656:8 0.000125334 -15 *620:8 *1656:8 0 -16 *631:8 *1656:8 0 -17 *863:9 *1656:5 0 -18 *1120:9 *1656:5 0.000309963 -19 *1262:10 *1656:8 0 -20 *1264:10 *1656:8 0 -21 *1588:7 *2478:mprj_dat_i[16] 0 -*RES -1 *2472:mprj_dat_i_core[16] *1656:5 66.3182 -2 *1656:5 *1656:7 4.5 -3 *1656:7 *1656:8 822.646 -4 *1656:8 *2478:mprj_dat_i[16] 27.6931 -*END - -*D_NET *1657 0.178872 -*CONN -*I *2478:mprj_dat_i[17] I *D mgmt_core_wrapper -*I *2472:mprj_dat_i_core[17] O *D mgmt_protect -*CAP -1 *2478:mprj_dat_i[17] 8.30061e-05 -2 *2472:mprj_dat_i_core[17] 0.000906673 -3 *1657:17 0.00225967 -4 *1657:16 0.00217667 -5 *1657:14 0.00257909 -6 *1657:13 0.00358964 -7 *1657:8 0.00434843 -8 *1657:7 0.00424456 -9 *1657:7 *2472:mprj_dat_o_core[17] 0 -10 *1657:8 *1660:8 0.00317552 -11 *1657:8 *1662:14 0.032395 -12 *1657:8 *1743:24 0.00461545 -13 *1657:14 *1662:20 0.0323837 -14 *1657:17 *1721:7 0 -15 *1657:17 *1721:23 0 -16 *2472:mprj_adr_o_core[17] *1657:7 0 -17 *633:11 *1657:13 0.000392227 -18 *1145:7 *1657:13 0 -19 *1589:9 *1657:17 0 -20 *1589:16 *1657:14 0.000943298 -21 *1597:30 *1657:8 0.00761096 -22 *1598:18 *1657:14 0.00108819 -23 *1599:24 *1657:14 0.00333083 -24 *1649:8 *1657:8 0.0369871 -25 *1655:8 *1657:8 0.00361569 -26 *1655:12 *1657:8 0.000187218 -27 *1655:18 *1657:14 0.0319588 -*RES -1 *2472:mprj_dat_i_core[17] *1657:7 22.649 -2 *1657:7 *1657:8 464.927 -3 *1657:8 *1657:13 30.3488 -4 *1657:13 *1657:14 366.207 -5 *1657:14 *1657:16 4.5 -6 *1657:16 *1657:17 48.1326 -7 *1657:17 *2478:mprj_dat_i[17] 2.05183 -*END - -*D_NET *1658 0.179492 -*CONN -*I *2478:mprj_dat_i[18] I *D mgmt_core_wrapper -*I *2472:mprj_dat_i_core[18] O *D mgmt_protect -*CAP -1 *2478:mprj_dat_i[18] 0.0014307 -2 *2472:mprj_dat_i_core[18] 0.00247993 -3 *1658:14 0.00678286 -4 *1658:13 0.00543696 -5 *1658:8 0.00127809 -6 *1658:7 0.00119328 -7 *1658:5 0.00247993 -8 *2478:mprj_dat_i[18] *1722:9 0 -9 *1658:5 *2472:mprj_dat_o_core[17] 0 -10 *1658:5 *2472:mprj_dat_o_core[18] 0 -11 *1658:8 *1659:8 0.00468429 -12 *1658:13 *1731:27 1.54479e-05 -13 *1658:14 *1659:8 1.15389e-05 -14 *1658:14 *1661:8 0.0742406 -15 *1658:14 *1738:10 0.00254022 -16 *1658:14 *1740:12 0.000228981 -17 *2472:mprj_adr_o_core[18] *1658:5 0 -18 *611:16 *1658:8 0.000802325 -19 *864:10 *1658:8 0 -20 *867:10 *1658:8 0 -21 *1122:12 *1658:8 0 -22 *1122:15 *1658:5 0 -23 *1582:21 *1658:5 0.000836779 -24 *1590:12 *2478:mprj_dat_i[18] 0.000823584 -25 *1590:12 *1658:14 0.000113968 -26 *1590:17 *2478:mprj_dat_i[18] 8.62625e-06 -27 *1593:30 *1658:14 0.0740965 -28 *1594:30 *1658:13 7.09666e-06 -*RES -1 *2472:mprj_dat_i_core[18] *1658:5 50.5386 -2 *1658:5 *1658:7 4.5 -3 *1658:7 *1658:8 56.1838 -4 *1658:8 *1658:13 10.4167 -5 *1658:13 *1658:14 783.824 -6 *1658:14 *2478:mprj_dat_i[18] 42.2269 -*END - -*D_NET *1659 0.145885 -*CONN -*I *2478:mprj_dat_i[19] I *D mgmt_core_wrapper -*I *2472:mprj_dat_i_core[19] O *D mgmt_protect -*CAP -1 *2478:mprj_dat_i[19] 0.00147223 -2 *2472:mprj_dat_i_core[19] 0.00274707 -3 *1659:8 0.0147912 -4 *1659:7 0.013319 -5 *1659:5 0.00274707 -6 *1659:5 *2472:mprj_dat_o_core[18] 0 -7 *1659:5 *2472:mprj_dat_o_core[19] 0 -8 *1659:8 *2184:16 0.000101365 -9 *1659:8 *2191:12 0.000422861 -10 *2472:mprj_adr_o_core[19] *1659:5 0 -11 *2472:mprj_adr_o_core[20] *1659:5 0 -12 *867:10 *1659:8 0 -13 *868:14 *1659:8 0 -14 *1122:12 *1659:8 0 -15 *1136:10 *1659:8 0 -16 *1257:10 *1659:8 0.001569 -17 *1590:12 *1659:8 0.000167076 -18 *1590:27 *1659:8 0.000605222 -19 *1591:7 *2478:mprj_dat_i[19] 0.000685027 -20 *1591:27 *2478:mprj_dat_i[19] 0.000155824 -21 *1593:30 *1659:8 0.0783481 -22 *1603:22 *1659:8 0.00139457 -23 *1606:25 *1659:8 0.0226635 -24 *1658:8 *1659:8 0.00468429 -25 *1658:14 *1659:8 1.15389e-05 -*RES -1 *2472:mprj_dat_i_core[19] *1659:5 50.9539 -2 *1659:5 *1659:7 4.5 -3 *1659:7 *1659:8 848.712 -4 *1659:8 *2478:mprj_dat_i[19] 43.0574 -*END - -*D_NET *1660 0.143748 -*CONN -*I *2478:mprj_dat_i[1] I *D mgmt_core_wrapper -*I *2472:mprj_dat_i_core[1] O *D mgmt_protect -*CAP -1 *2478:mprj_dat_i[1] 4.18398e-05 -2 *2472:mprj_dat_i_core[1] 0.000803962 -3 *1660:17 0.00230492 -4 *1660:16 0.00226308 -5 *1660:14 0.00167794 -6 *1660:13 0.0025388 -7 *1660:8 0.005294 -8 *1660:7 0.00523711 -9 *1660:7 *2472:mprj_dat_o_core[1] 0 -10 *1660:8 *1662:14 0.00021369 -11 *1660:8 *1715:30 0.00463906 -12 *1660:8 *2191:16 0.055114 -13 *1660:17 *1724:9 0 -14 *1660:17 *2184:15 0.000682521 -15 *2472:mprj_adr_o_core[1] *1660:7 0.00024649 -16 *1275:10 *1660:14 0.00160185 -17 *1585:24 *1660:14 0.00120502 -18 *1608:24 *1660:14 0.00950683 -19 *1649:8 *1660:8 0.0472016 -20 *1657:8 *1660:8 0.00317552 -*RES -1 *2472:mprj_dat_i_core[1] *1660:7 23.4795 -2 *1660:7 *1660:8 583.612 -3 *1660:8 *1660:13 25.7811 -4 *1660:13 *1660:14 99.9974 -5 *1660:14 *1660:16 4.5 -6 *1660:16 *1660:17 51.8699 -7 *1660:17 *2478:mprj_dat_i[1] 0.928211 -*END - -*D_NET *1661 0.185892 -*CONN -*I *2478:mprj_dat_i[20] I *D mgmt_core_wrapper -*I *2472:mprj_dat_i_core[20] O *D mgmt_protect -*CAP -1 *2478:mprj_dat_i[20] 0.00147438 -2 *2472:mprj_dat_i_core[20] 0.00283481 -3 *1661:8 0.00744998 -4 *1661:7 0.00597559 -5 *1661:5 0.00283481 -6 *1661:5 *2472:mprj_dat_o_core[20] 0 -7 *1661:8 *1726:10 0.0804 -8 *1661:8 *1738:10 0.00286678 -9 *1661:8 *1740:12 0.00024852 -10 *2472:mprj_adr_o_core[20] *1661:5 0 -11 *2472:mprj_adr_o_core[21] *1661:5 0 -12 *1122:12 *1661:8 0.000205749 -13 *1590:12 *1661:8 1.41853e-05 -14 *1590:27 *1661:8 0.00286042 -15 *1593:7 *2478:mprj_dat_i[20] 0.000555237 -16 *1593:26 *2478:mprj_dat_i[20] 0.000136229 -17 *1593:30 *1661:8 0.000202457 -18 *1594:30 *1661:8 0.00359255 -19 *1658:14 *1661:8 0.0742406 -*RES -1 *2472:mprj_dat_i_core[20] *1661:5 52.1996 -2 *1661:5 *1661:7 4.5 -3 *1661:7 *1661:8 857.586 -4 *1661:8 *2478:mprj_dat_i[20] 41.8116 -*END - -*D_NET *1662 0.184832 -*CONN -*I *2478:mprj_dat_i[21] I *D mgmt_core_wrapper -*I *2472:mprj_dat_i_core[21] O *D mgmt_protect -*CAP -1 *2478:mprj_dat_i[21] 0.00224595 -2 *2472:mprj_dat_i_core[21] 0.0010236 -3 *1662:22 0.00224595 -4 *1662:20 0.00349084 -5 *1662:19 0.00466601 -6 *1662:14 0.00419769 -7 *1662:13 0.00321678 -8 *1662:8 0.00121094 -9 *1662:7 0.00204029 -10 *1662:7 *2472:mprj_dat_o_core[21] 0.000237581 -11 *1662:8 *1665:8 0.00750476 -12 *1662:8 *1679:8 0.00925462 -13 *1662:8 *1721:24 0.000433185 -14 *1662:8 *1728:16 0.000164123 -15 *1662:13 *1728:15 7.09666e-06 -16 *1662:14 *1743:24 0.00414909 -17 *1662:14 *2191:16 0.000290632 -18 *2472:mprj_adr_o_core[21] *1662:7 0 -19 *1250:10 *1662:8 8.46377e-05 -20 *1254:7 *1662:13 2.30095e-05 -21 *1274:7 *1662:19 3.69268e-05 -22 *1590:18 *1662:14 0.000286319 -23 *1594:7 *2478:mprj_dat_i[21] 0 -24 *1594:23 *2478:mprj_dat_i[21] 0 -25 *1597:29 *1662:13 0.000162209 -26 *1598:18 *1662:20 0.038191 -27 *1599:24 *1662:20 0.00417434 -28 *1655:12 *1662:14 0.0305025 -29 *1657:8 *1662:14 0.032395 -30 *1657:14 *1662:20 0.0323837 -31 *1660:8 *1662:14 0.00021369 -*RES -1 *2472:mprj_dat_i_core[21] *1662:7 25.971 -2 *1662:7 *1662:8 98.8882 -3 *1662:8 *1662:13 12.9083 -4 *1662:13 *1662:14 365.653 -5 *1662:14 *1662:19 31.1794 -6 *1662:19 *1662:20 401.702 -7 *1662:20 *1662:22 4.5 -8 *1662:22 *2478:mprj_dat_i[21] 49.7692 -*END - -*D_NET *1663 0.188715 -*CONN -*I *2478:mprj_dat_i[22] I *D mgmt_core_wrapper -*I *2472:mprj_dat_i_core[22] O *D mgmt_protect -*CAP -1 *2478:mprj_dat_i[22] 0.00166938 -2 *2472:mprj_dat_i_core[22] 6.22868e-05 -3 *1663:14 0.00752917 -4 *1663:12 0.00620315 -5 *1663:7 0.00255816 -6 *1663:5 0.00227708 -7 *2478:mprj_dat_i[22] *1727:7 0 -8 *2478:mprj_dat_i[22] *1727:23 0 -9 *1663:7 *2472:mprj_dat_o_core[22] 0.00256786 -10 *1663:12 *1726:10 0.0026051 -11 *1663:12 *1727:24 3.59437e-05 -12 *1663:12 *1740:12 0.000110257 -13 *1663:14 *1726:10 0.000409617 -14 *1663:14 *1740:12 0.000157517 -15 *1663:14 *1742:30 0.000107429 -16 *1663:14 *2186:24 0.00253422 -17 *2472:mprj_adr_o_core[22] *1663:7 0 -18 *1122:12 *1663:12 0.000111708 -19 *1129:15 *1663:7 3.95188e-05 -20 *1590:27 *1663:14 6.33518e-05 -21 *1594:24 *1663:14 0.0782848 -22 *1595:7 *2478:mprj_dat_i[22] 0 -23 *1595:10 *1663:14 0.000238987 -24 *1596:10 *1663:12 0.0010263 -25 *1596:10 *1663:14 0.0801136 -26 *1612:35 *1663:7 9.98011e-06 -*RES -1 *2472:mprj_dat_i_core[22] *1663:5 1.77093 -2 *1663:5 *1663:7 53.1156 -3 *1663:7 *1663:12 32.9777 -4 *1663:12 *1663:14 845.939 -5 *1663:14 *2478:mprj_dat_i[22] 40.5659 -*END - -*D_NET *1664 0.196528 -*CONN -*I *2478:mprj_dat_i[23] I *D mgmt_core_wrapper -*I *2472:mprj_dat_i_core[23] O *D mgmt_protect -*CAP -1 *2478:mprj_dat_i[23] 6.85902e-05 -2 *2472:mprj_dat_i_core[23] 0.001703 -3 *1664:17 0.00271803 -4 *1664:16 0.00264944 -5 *1664:14 0.00480211 -6 *1664:13 0.00517662 -7 *1664:8 0.00125746 -8 *1664:7 0.00258595 -9 *1664:7 *2472:mprj_dat_o_core[23] 0 -10 *1664:13 *1728:15 7.09666e-06 -11 *1664:14 *1665:8 0.0760008 -12 *1664:14 *1668:8 0.0760008 -13 *1664:14 *1723:16 0.00934121 -14 *2472:mprj_adr_o_core[23] *1664:7 0 -15 *611:13 *1664:7 0 -16 *1147:16 *1664:8 0.00177249 -17 *1254:7 *1664:13 0.00043595 -18 *1265:15 *1664:13 4.70005e-05 -19 *1582:16 *1664:8 0.000141029 -20 *1589:22 *1664:8 0.00818447 -21 *1596:9 *1664:17 0 -22 *1597:15 *1664:17 0.000751398 -23 *1597:29 *1664:13 5.26316e-05 -24 *1598:28 *1664:8 0.00283176 -*RES -1 *2472:mprj_dat_i_core[23] *1664:7 35.937 -2 *1664:7 *1664:8 87.2416 -3 *1664:8 *1664:13 18.3065 -4 *1664:13 *1664:14 797.134 -5 *1664:14 *1664:16 4.5 -6 *1664:16 *1664:17 65.158 -7 *1664:17 *2478:mprj_dat_i[23] 1.49002 -*END - -*D_NET *1665 0.196804 -*CONN -*I *2478:mprj_dat_i[24] I *D mgmt_core_wrapper -*I *2472:mprj_dat_i_core[24] O *D mgmt_protect -*CAP -1 *2478:mprj_dat_i[24] 1.72824e-05 -2 *2472:mprj_dat_i_core[24] 0.001068 -3 *1665:11 0.00284831 -4 *1665:10 0.00283103 -5 *1665:8 0.00575569 -6 *1665:7 0.00682369 -7 *1665:7 *2472:mprj_dat_o_core[24] 0 -8 *1665:8 *1668:8 0.000723529 -9 *1665:8 *1679:8 1.41689e-05 -10 *1665:8 *1723:16 0.00880387 -11 *1665:8 *1728:10 0.0766446 -12 *1665:8 *1728:16 0.00755785 -13 *1665:11 *1729:15 0 -14 *2472:mprj_adr_o_core[24] *1665:7 0.000209958 -15 *1597:9 *1665:11 0 -16 *1662:8 *1665:8 0.00750476 -17 *1664:14 *1665:8 0.0760008 -*RES -1 *2472:mprj_dat_i_core[24] *1665:7 26.3862 -2 *1665:7 *1665:8 894.744 -3 *1665:8 *1665:10 4.5 -4 *1665:10 *1665:11 65.5732 -5 *1665:11 *2478:mprj_dat_i[24] 0.366399 -*END - -*D_NET *1666 0.193523 -*CONN -*I *2478:mprj_dat_i[25] I *D mgmt_core_wrapper -*I *2472:mprj_dat_i_core[25] O *D mgmt_protect -*CAP -1 *2478:mprj_dat_i[25] 0.00162884 -2 *2472:mprj_dat_i_core[25] 0.00291824 -3 *1666:8 0.00802727 -4 *1666:7 0.00639843 -5 *1666:5 0.00291824 -6 *2478:mprj_dat_i[25] *1730:9 0 -7 *1666:5 *2472:mprj_dat_o_core[25] 0 -8 *1666:8 *1667:10 0.0845439 -9 *1666:8 *1716:10 0.000101794 -10 *1666:8 *1727:24 0.0814959 -11 *1666:8 *1730:10 0.000253172 -12 *1666:8 *1742:30 0.00316606 -13 *2472:mprj_adr_o_core[25] *1666:5 0 -14 *866:9 *1666:5 8.06219e-05 -15 *1136:15 *1666:5 0.000272294 -16 *1596:10 *1666:8 0.00020979 -17 *1596:21 *1666:8 9.97598e-05 -18 *1597:15 *1666:8 0.000453691 -19 *1597:39 *1666:8 0.000672619 -20 *1598:12 *2478:mprj_dat_i[25] 0 -21 *1598:12 *1666:8 0.00027329 -22 *1598:17 *2478:mprj_dat_i[25] 8.62625e-06 -23 *1652:12 *1666:8 0 -*RES -1 *2472:mprj_dat_i_core[25] *1666:5 54.6912 -2 *1666:5 *1666:7 4.5 -3 *1666:7 *1666:8 901.4 -4 *1666:8 *2478:mprj_dat_i[25] 39.3201 -*END - -*D_NET *1667 0.19716 -*CONN -*I *2478:mprj_dat_i[26] I *D mgmt_core_wrapper -*I *2472:mprj_dat_i_core[26] O *D mgmt_protect -*CAP -1 *2478:mprj_dat_i[26] 0.00161404 -2 *2472:mprj_dat_i_core[26] 6.22868e-05 -3 *1667:10 0.00788834 -4 *1667:9 0.0062743 -5 *1667:7 0.00274843 -6 *1667:5 0.00281071 -7 *1667:7 *1731:27 0.000498959 -8 *1667:10 *1714:16 0.000156689 -9 *1667:10 *1716:10 0.000102215 -10 *1667:10 *1730:10 0.0850132 -11 *1667:10 *1731:24 0.000253038 -12 *1667:10 *1744:10 0.00304106 -13 *2472:mprj_adr_o_core[26] *1667:7 0 -14 *2478:la_input[105] *1667:7 0.000240764 -15 *1123:13 *1667:7 0 -16 *1141:15 *1667:7 0.000131931 -17 *1598:12 *1667:10 1.41853e-05 -18 *1598:37 *1667:10 0.0013863 -19 *1599:7 *2478:mprj_dat_i[26] 0 -20 *1599:10 *1667:10 0.000379505 -21 *1666:8 *1667:10 0.0845439 -*RES -1 *2472:mprj_dat_i_core[26] *1667:5 1.77093 -2 *1667:5 *1667:7 55.1919 -3 *1667:7 *1667:9 4.5 -4 *1667:9 *1667:10 908.055 -5 *1667:10 *2478:mprj_dat_i[26] 38.9049 -*END - -*D_NET *1668 0.199736 -*CONN -*I *2478:mprj_dat_i[27] I *D mgmt_core_wrapper -*I *2472:mprj_dat_i_core[27] O *D mgmt_protect -*CAP -1 *2478:mprj_dat_i[27] 0.000107621 -2 *2472:mprj_dat_i_core[27] 0.0012134 -3 *1668:11 0.00248765 -4 *1668:10 0.00238003 -5 *1668:8 0.00643214 -6 *1668:7 0.00764554 -7 *1668:7 *2472:mprj_dat_o_core[27] 0 -8 *1668:8 *1670:8 0.0863197 -9 *1668:8 *1725:24 0.00914421 -10 *1668:8 *1728:16 0.00575712 -11 *1668:8 *1729:16 0.000108464 -12 *1668:8 *1732:10 0.000253799 -13 *2472:mprj_adr_o_core[27] *1668:7 0 -14 *1252:10 *1668:8 4.03749e-05 -15 *1600:7 *1668:11 0.00071777 -16 *1600:23 *1668:11 0.000403607 -17 *1664:14 *1668:8 0.0760008 -18 *1665:8 *1668:8 0.000723529 -*RES -1 *2472:mprj_dat_i_core[27] *1668:7 27.2167 -2 *1668:7 *1668:8 919.147 -3 *1668:8 *1668:10 4.5 -4 *1668:10 *1668:11 64.7427 -5 *1668:11 *2478:mprj_dat_i[27] 2.05183 -*END - -*D_NET *1669 0.201635 -*CONN -*I *2478:mprj_dat_i[28] I *D mgmt_core_wrapper -*I *2472:mprj_dat_i_core[28] O *D mgmt_protect -*CAP -1 *2478:mprj_dat_i[28] 0.00131461 -2 *2472:mprj_dat_i_core[28] 0.00307041 -3 *1669:8 0.00771501 -4 *1669:7 0.0064004 -5 *1669:5 0.00307041 -6 *1669:5 *2472:mprj_dat_o_core[28] 0 -7 *1669:8 *1714:16 0.00350473 -8 *1669:8 *1716:10 0.00012426 -9 *1669:8 *1731:24 0.085759 -10 *2472:mprj_adr_o_core[28] *1669:5 0 -11 *867:9 *1669:5 0.00039899 -12 *1599:10 *1669:8 0.00022889 -13 *1600:10 *1669:8 0.00153498 -14 *1601:9 *2478:mprj_dat_i[28] 0.000697318 -15 *1601:10 *1669:8 0.0877024 -16 *1601:19 *1669:8 0.000113968 -*RES -1 *2472:mprj_dat_i_core[28] *1669:5 56.3522 -2 *1669:5 *1669:7 4.5 -3 *1669:7 *1669:8 927.466 -4 *1669:8 *2478:mprj_dat_i[28] 37.6591 -*END - -*D_NET *1670 0.209037 -*CONN -*I *2478:mprj_dat_i[29] I *D mgmt_core_wrapper -*I *2472:mprj_dat_i_core[29] O *D mgmt_protect -*CAP -1 *2478:mprj_dat_i[29] 7.75081e-05 -2 *2472:mprj_dat_i_core[29] 0.00113704 -3 *1670:11 0.00178241 -4 *1670:10 0.0017049 -5 *1670:8 0.0061726 -6 *1670:7 0.00730964 -7 *1670:7 *2472:mprj_dat_o_core[29] 0.000243194 -8 *1670:8 *1673:8 0.000304636 -9 *1670:8 *1725:24 0.0101446 -10 *1670:8 *1729:16 0.000117719 -11 *1670:8 *1732:10 7.24449e-05 -12 *1670:8 *1734:10 0.0891279 -13 *1670:11 *2410:14 0.00230193 -14 *1670:11 *2411:14 0.00222106 -15 *2472:mprj_adr_o_core[29] *1670:7 0 -16 *1602:7 *1670:11 0 -17 *1668:8 *1670:8 0.0863197 -*RES -1 *2472:mprj_dat_i_core[29] *1670:7 27.632 -2 *1670:7 *1670:8 936.894 -3 *1670:8 *1670:10 4.5 -4 *1670:10 *1670:11 64.3275 -5 *1670:11 *2478:mprj_dat_i[29] 1.49002 -*END - -*D_NET *1671 0.149318 -*CONN -*I *2478:mprj_dat_i[2] I *D mgmt_core_wrapper -*I *2472:mprj_dat_i_core[2] O *D mgmt_protect -*CAP -1 *2478:mprj_dat_i[2] 9.53405e-05 -2 *2472:mprj_dat_i_core[2] 0.000945021 -3 *1671:11 0.00329372 -4 *1671:10 0.00319838 -5 *1671:8 0.00618864 -6 *1671:7 0.00713366 -7 *1671:7 *2472:mprj_dat_o_core[2] 0 -8 *1671:8 *1675:8 0.0640834 -9 *1671:8 *1717:24 0.00627732 -10 *1671:8 *2191:16 0.0574594 -11 *1671:11 *1735:7 0 -12 *1671:11 *1735:23 0 -13 *2472:mprj_adr_o_core[2] *1671:7 0 -14 *606:8 *1671:8 0.000618181 -15 *865:16 *1671:8 2.50997e-05 -16 *1590:18 *1671:8 0 -17 *1603:7 *1671:11 0 -18 *1603:21 *1671:11 0 -*RES -1 *2472:mprj_dat_i_core[2] *1671:7 24.31 -2 *1671:7 *1671:8 693.978 -3 *1671:8 *1671:10 4.5 -4 *1671:10 *1671:11 67.6495 -5 *1671:11 *2478:mprj_dat_i[2] 2.05183 -*END - -*D_NET *1672 0.205206 -*CONN -*I *2478:mprj_dat_i[30] I *D mgmt_core_wrapper -*I *2472:mprj_dat_i_core[30] O *D mgmt_protect -*CAP -1 *2478:mprj_dat_i[30] 0.00153071 -2 *2472:mprj_dat_i_core[30] 0.00316207 -3 *1672:8 0.00807779 -4 *1672:7 0.00654708 -5 *1672:5 0.00316207 -6 *2478:mprj_dat_i[30] *1736:9 0 -7 *2478:mprj_dat_i[30] *2412:14 3.92579e-05 -8 *1672:5 *2472:mprj_dat_o_core[30] 0 -9 *1672:8 *1718:10 0.00359735 -10 *1672:8 *1733:10 0.0872733 -11 *1672:8 *1733:19 0.00218537 -12 *1672:8 *1736:10 0.0892527 -13 *2472:mprj_adr_o_core[30] *1672:5 0 -14 *1252:7 *1672:5 0.0002888 -15 *1604:7 *2478:mprj_dat_i[30] 0 -16 *1652:12 *1672:8 8.90486e-05 -*RES -1 *2472:mprj_dat_i_core[30] *1672:5 57.5979 -2 *1672:5 *1672:7 4.5 -3 *1672:7 *1672:8 945.213 -4 *1672:8 *2478:mprj_dat_i[30] 36.4134 -*END - -*D_NET *1673 0.210139 -*CONN -*I *2478:mprj_dat_i[31] I *D mgmt_core_wrapper -*I *2472:mprj_dat_i_core[31] O *D mgmt_protect -*CAP -1 *2478:mprj_dat_i[31] 0.00017106 -2 *2472:mprj_dat_i_core[31] 0.00135448 -3 *1673:11 0.00285254 -4 *1673:10 0.00268148 -5 *1673:8 0.015359 -6 *1673:7 0.0167134 -7 *1673:7 *2472:mprj_dat_o_core[31] 0 -8 *1673:8 *1729:16 0.0928511 -9 *1673:8 *1734:10 0.000325419 -10 *1673:8 *1735:24 0.0552346 -11 *1673:8 *1737:16 0.0187229 -12 *1673:8 *2185:10 0.000224106 -13 *1673:11 *1737:15 0 -14 *2472:mprj_adr_o_core[31] *1673:7 0 -15 *1582:16 *1673:8 0 -16 *1605:7 *1673:11 0 -17 *1605:23 *1673:11 0 -18 *1610:30 *1673:8 0.00334468 -19 *1670:8 *1673:8 0.000304636 -*RES -1 *2472:mprj_dat_i_core[31] *1673:7 28.3694 -2 *1673:7 *1673:8 131.536 -3 *1673:8 *1673:10 3.36879 -4 *1673:10 *1673:11 62.4588 -5 *1673:11 *2478:mprj_dat_i[31] 2.05183 -*END - -*D_NET *1674 0.151399 -*CONN -*I *2478:mprj_dat_i[3] I *D mgmt_core_wrapper -*I *2472:mprj_dat_i_core[3] O *D mgmt_protect -*CAP -1 *2478:mprj_dat_i[3] 0.0011173 -2 *2472:mprj_dat_i_core[3] 0.0023602 -3 *1674:8 0.00640039 -4 *1674:7 0.00528309 -5 *1674:5 0.0023602 -6 *2478:mprj_dat_i[3] *1738:9 0 -7 *1674:5 *2472:mprj_dat_o_core[3] 0 -8 *1674:5 *2472:mprj_sel_o_core[3] 0 -9 *1674:8 *1722:10 0 -10 *2472:mprj_adr_o_core[3] *1674:5 0.000754523 -11 *867:19 *1674:5 0.00157513 -12 *985:9 *1674:5 8.05899e-05 -13 *1369:9 *1674:5 0 -14 *1371:12 *1674:8 0.00012426 -15 *1603:10 *1674:8 0.0646009 -16 *1606:9 *2478:mprj_dat_i[3] 0 -17 *1606:10 *1674:8 0.0664828 -18 *1606:24 *1674:8 0.000259093 -*RES -1 *2472:mprj_dat_i_core[3] *1674:5 62.5809 -2 *1674:5 *1674:7 4.5 -3 *1674:7 *1674:8 705.625 -4 *1674:8 *2478:mprj_dat_i[3] 31.4303 -*END - -*D_NET *1675 0.156756 -*CONN -*I *2478:mprj_dat_i[4] I *D mgmt_core_wrapper -*I *2472:mprj_dat_i_core[4] O *D mgmt_protect -*CAP -1 *2478:mprj_dat_i[4] 8.30061e-05 -2 *2472:mprj_dat_i_core[4] 0.000959646 -3 *1675:11 0.0032129 -4 *1675:10 0.00312989 -5 *1675:8 0.00557928 -6 *1675:7 0.00653893 -7 *1675:7 *2472:mprj_dat_o_core[4] 0 -8 *1675:8 *1677:8 0.066771 -9 *1675:8 *1719:24 0.0061042 -10 *2472:mprj_adr_o_core[4] *1675:7 0 -11 *865:16 *1675:8 0.000294016 -12 *1607:9 *1675:11 0 -13 *1671:8 *1675:8 0.0640834 -*RES -1 *2472:mprj_dat_i_core[4] *1675:7 24.7252 -2 *1675:7 *1675:8 717.271 -3 *1675:8 *1675:10 4.5 -4 *1675:10 *1675:11 67.2342 -5 *1675:11 *2478:mprj_dat_i[4] 2.05183 -*END - -*D_NET *1676 0.156007 -*CONN -*I *2478:mprj_dat_i[5] I *D mgmt_core_wrapper -*I *2472:mprj_dat_i_core[5] O *D mgmt_protect -*CAP -1 *2478:mprj_dat_i[5] 0.00118796 -2 *2472:mprj_dat_i_core[5] 0.00278863 -3 *1676:8 0.00645938 -4 *1676:7 0.00527142 -5 *1676:5 0.00278863 -6 *2478:mprj_dat_i[5] *1739:13 5.05252e-05 -7 *1676:5 *2472:mprj_dat_o_core[5] 0.000640321 -8 *1676:8 *1678:8 0.0674494 -9 *1676:8 *1735:10 0.000246453 -10 *1676:8 *2195:10 0.000643073 -11 *2472:mprj_adr_o_core[5] *1676:5 0 -12 *2472:mprj_adr_o_core[6] *1676:5 0 -13 *606:14 *1676:8 0.000161493 -14 *1370:9 *1676:5 4.38172e-05 -15 *1607:16 *1676:8 0 -16 *1608:7 *2478:mprj_dat_i[5] 0 -17 *1608:23 *2478:mprj_dat_i[5] 0 -18 *1609:19 *1676:8 0.0682756 -*RES -1 *2472:mprj_dat_i_core[5] *1676:5 60.9199 -2 *1676:5 *1676:7 4.5 -3 *1676:7 *1676:8 725.59 -4 *1676:8 *2478:mprj_dat_i[5] 33.0913 -*END - -*D_NET *1677 0.16222 -*CONN -*I *2478:mprj_dat_i[6] I *D mgmt_core_wrapper -*I *2472:mprj_dat_i_core[6] O *D mgmt_protect -*CAP -1 *2478:mprj_dat_i[6] 8.30061e-05 -2 *2472:mprj_dat_i_core[6] 0.000984498 -3 *1677:11 0.00316727 -4 *1677:10 0.00308426 -5 *1677:8 0.00543128 -6 *1677:7 0.00641578 -7 *1677:7 *2472:mprj_dat_o_core[6] 0 -8 *1677:8 *1679:8 0.0684962 -9 *1677:8 *1719:24 0.00726186 -10 *2472:mprj_adr_o_core[6] *1677:7 0 -11 *2472:mprj_adr_o_core[7] *1677:7 0 -12 *865:16 *1677:8 0.000525232 -13 *1609:18 *1677:11 0 -14 *1675:8 *1677:8 0.066771 -*RES -1 *2472:mprj_dat_i_core[6] *1677:7 25.1405 -2 *1677:7 *1677:8 735.019 -3 *1677:8 *1677:10 4.5 -4 *1677:10 *1677:11 66.819 -5 *1677:11 *2478:mprj_dat_i[6] 2.05183 -*END - -*D_NET *1678 0.159762 -*CONN -*I *2478:mprj_dat_i[7] I *D mgmt_core_wrapper -*I *2472:mprj_dat_i_core[7] O *D mgmt_protect -*CAP -1 *2478:mprj_dat_i[7] 0.00121894 -2 *2472:mprj_dat_i_core[7] 0.00306157 -3 *1678:8 0.00676464 -4 *1678:7 0.0055457 -5 *1678:5 0.00306157 -6 *2478:mprj_dat_i[7] *1742:29 0 -7 *1678:5 *2472:mprj_dat_o_core[7] 0 -8 *1678:8 *1718:10 0.000365829 -9 *1678:8 *2195:10 0.00160888 -10 *2472:mprj_adr_o_core[7] *1678:5 0 -11 *731:11 *1678:5 0 -12 *1118:12 *1678:8 0.000123271 -13 *1248:10 *1678:8 0.000108607 -14 *1607:16 *1678:8 2.53589e-05 -15 *1608:10 *1678:8 0 -16 *1609:19 *1678:8 0.000508612 -17 *1610:7 *2478:mprj_dat_i[7] 0 -18 *1610:10 *1678:8 0 -19 *1610:23 *2478:mprj_dat_i[7] 0 -20 *1611:10 *1678:8 0.0699197 -21 *1676:8 *1678:8 0.0674494 -*RES -1 *2472:mprj_dat_i_core[7] *1678:5 60.5047 -2 *1678:5 *1678:7 4.5 -3 *1678:7 *1678:8 743.338 -4 *1678:8 *2478:mprj_dat_i[7] 33.5066 -*END - -*D_NET *1679 0.162791 -*CONN -*I *2478:mprj_dat_i[8] I *D mgmt_core_wrapper -*I *2472:mprj_dat_i_core[8] O *D mgmt_protect -*CAP -1 *2478:mprj_dat_i[8] 9.52866e-05 -2 *2472:mprj_dat_i_core[8] 0.000900476 -3 *1679:11 0.00313573 -4 *1679:10 0.00304045 -5 *1679:8 0.00608808 -6 *1679:7 0.00698855 -7 *1679:7 *2472:mprj_dat_o_core[8] 0 -8 *1679:8 *1721:24 0.00679209 -9 *1679:8 *1728:10 0.0542317 -10 *1679:11 *1743:7 0 -11 *1679:11 *1743:21 0 -12 *2472:mprj_adr_o_core[8] *1679:7 0.000269015 -13 *865:16 *1679:8 0.00302127 -14 *1119:10 *1679:8 7.92757e-06 -15 *1122:16 *1679:8 0.000455956 -16 *1250:10 *1679:8 0 -17 *1611:9 *1679:11 0 -18 *1662:8 *1679:8 0.00925462 -19 *1665:8 *1679:8 1.41689e-05 -20 *1677:8 *1679:8 0.0684962 -*RES -1 *2472:mprj_dat_i_core[8] *1679:7 25.5557 -2 *1679:7 *1679:8 752.766 -3 *1679:8 *1679:10 4.5 -4 *1679:10 *1679:11 66.4037 -5 *1679:11 *2478:mprj_dat_i[8] 2.05183 -*END - -*D_NET *1680 0.164613 -*CONN -*I *2478:mprj_dat_i[9] I *D mgmt_core_wrapper -*I *2472:mprj_dat_i_core[9] O *D mgmt_protect -*CAP -1 *2478:mprj_dat_i[9] 0.00127572 -2 *2472:mprj_dat_i_core[9] 0.00302015 -3 *1680:8 0.00690345 -4 *1680:7 0.00562773 -5 *1680:5 0.00302015 -6 *2478:mprj_dat_i[9] *1744:9 0 -7 *1680:5 *2472:mprj_dat_o_core[9] 0 -8 *1680:8 *1718:10 0.000620666 -9 *1680:8 *2195:10 0.00215045 -10 *2472:mprj_adr_o_core[9] *1680:5 0 -11 *987:9 *1680:5 0.000117507 -12 *1248:10 *1680:8 0.000124658 -13 *1582:13 *2478:mprj_dat_i[9] 0 -14 *1607:16 *1680:8 0.000159317 -15 *1610:10 *1680:8 0 -16 *1611:10 *1680:8 0.0701852 -17 *1612:7 *2478:mprj_dat_i[9] 0 -18 *1612:10 *1680:8 1.41317e-05 -19 *1650:8 *1680:8 0.0713938 -*RES -1 *2472:mprj_dat_i_core[9] *1680:5 59.6742 -2 *1680:5 *1680:7 4.5 -3 *1680:7 *1680:8 761.085 -4 *1680:8 *2478:mprj_dat_i[9] 34.3371 -*END - -*D_NET *1681 0.156593 -*CONN -*I *2472:mprj_dat_i_user[0] I *D mgmt_protect -*I *2473:wbs_dat_o[0] O *D user_analog_project_wrapper -*CAP -1 *2472:mprj_dat_i_user[0] 0.0013207 -2 *2473:wbs_dat_o[0] 0.0020101 -3 *1681:8 0.00662251 -4 *1681:7 0.0053018 -5 *1681:5 0.0020101 -6 *2472:mprj_dat_i_user[0] *1745:7 0 -7 *2472:mprj_dat_i_user[0] *2187:7 0 -8 *1681:8 *2187:8 0.00799443 -9 *1373:8 *1681:8 5.03285e-05 -10 *1613:7 *2472:mprj_dat_i_user[0] 0 -11 *1613:8 *1681:8 0.0689307 -12 *1620:13 *2472:mprj_dat_i_user[0] 0 -13 *1638:8 *1681:8 0.0623518 -*RES -1 *2473:wbs_dat_o[0] *1681:5 53.4454 -2 *1681:5 *1681:7 4.5 -3 *1681:7 *1681:8 723.927 -4 *1681:8 *2472:mprj_dat_i_user[0] 35.1065 -*END - -*D_NET *1682 0.145926 -*CONN -*I *2472:mprj_dat_i_user[10] I *D mgmt_protect -*I *2473:wbs_dat_o[10] O *D user_analog_project_wrapper -*CAP -1 *2472:mprj_dat_i_user[10] 0.00203989 -2 *2473:wbs_dat_o[10] 0.00166707 -3 *1682:8 0.00937662 -4 *1682:7 0.00900379 -5 *2472:mprj_dat_i_user[10] *1746:7 0 -6 *1682:8 *1712:8 0.0613396 -7 *1682:8 *1747:8 0.000242717 -8 *1682:8 *1761:8 0.000238938 -9 *1682:8 *1776:8 0.0616548 -10 *2473:la_oenb[5] *2472:mprj_dat_i_user[10] 0 -11 *1072:5 *2472:mprj_dat_i_user[10] 0 -12 *1614:7 *2472:mprj_dat_i_user[10] 0 -13 *1629:8 *1682:8 0.000362278 -*RES -1 *2473:wbs_dat_o[10] *1682:7 43.3185 -2 *1682:7 *1682:8 79.1407 -3 *1682:8 *2472:mprj_dat_i_user[10] 47.471 -*END - -*D_NET *1683 0.124689 -*CONN -*I *2472:mprj_dat_i_user[11] I *D mgmt_protect -*I *2473:wbs_dat_o[11] O *D user_analog_project_wrapper -*CAP -1 *2472:mprj_dat_i_user[11] 0.0014995 -2 *2473:wbs_dat_o[11] 0.00206786 -3 *1683:8 0.00531216 -4 *1683:7 0.00381265 -5 *1683:5 0.00206786 -6 *2472:mprj_dat_i_user[11] *1746:7 0 -7 *2472:mprj_dat_i_user[11] *1747:7 0 -8 *1683:8 *1746:8 0.0527584 -9 *1683:8 *1748:8 0.0518492 -10 *1683:8 *1771:8 0.00521143 -11 *2473:la_oenb[5] *2472:mprj_dat_i_user[11] 0 -12 *1445:8 *1683:8 1.5006e-05 -13 *1456:8 *1683:8 9.51286e-05 -14 *1615:7 *2472:mprj_dat_i_user[11] 0 -*RES -1 *2473:wbs_dat_o[11] *1683:5 49.7081 -2 *1683:5 *1683:7 4.5 -3 *1683:7 *1683:8 559.764 -4 *1683:8 *2472:mprj_dat_i_user[11] 38.8438 -*END - -*D_NET *1684 0.104081 -*CONN -*I *2472:mprj_dat_i_user[12] I *D mgmt_protect -*I *2473:wbs_dat_o[12] O *D user_analog_project_wrapper -*CAP -1 *2472:mprj_dat_i_user[12] 0.0017723 -2 *2473:wbs_dat_o[12] 0.00178179 -3 *1684:8 0.0165528 -4 *1684:7 0.0165623 -5 *2472:mprj_dat_i_user[12] *1685:16 0.000267319 -6 *2472:mprj_dat_i_user[12] *1748:7 0 -7 *1684:8 *1700:8 0.000133887 -8 *1684:8 *1700:18 0.000108607 -9 *1684:8 *1702:8 0.000231696 -10 *1684:8 *1704:8 0.000102438 -11 *1684:8 *1747:8 0.0587635 -12 *1684:8 *1765:14 0.000220514 -13 *1684:8 *1765:20 0.00012309 -14 *2473:la_data_in[6] *2472:mprj_dat_i_user[12] 0 -15 *1083:5 *2472:mprj_dat_i_user[12] 0 -16 *1616:7 *2472:mprj_dat_i_user[12] 0 -17 *1616:8 *1684:8 0.00712931 -18 *1633:8 *1684:8 0.000220514 -19 *1637:8 *1684:8 0.000111178 -*RES -1 *2473:wbs_dat_o[12] *1684:7 45.81 -2 *1684:7 *1684:8 75.4692 -3 *1684:8 *2472:mprj_dat_i_user[12] 44.9795 -*END - -*D_NET *1685 0.114364 -*CONN -*I *2472:mprj_dat_i_user[13] I *D mgmt_protect -*I *2473:wbs_dat_o[13] O *D user_analog_project_wrapper -*CAP -1 *2472:mprj_dat_i_user[13] 0.000779681 -2 *2473:wbs_dat_o[13] 0.00204485 -3 *1685:16 0.00162287 -4 *1685:8 0.00513956 -5 *1685:7 0.00429637 -6 *1685:5 0.00204485 -7 *2472:mprj_dat_i_user[13] *1749:5 0 -8 *1685:8 *1687:10 0 -9 *1685:8 *1691:14 0.00301707 -10 *1685:8 *1748:8 0.00034733 -11 *1685:8 *1752:12 0.0445541 -12 *1685:8 *1773:8 0.00358909 -13 *1685:16 *1687:18 0.000296342 -14 *1685:16 *1748:7 0.000140424 -15 *2472:mprj_dat_i_user[12] *1685:16 0.000267319 -16 *1083:5 *1685:16 3.20069e-06 -17 *1617:5 *2472:mprj_dat_i_user[13] 0 -18 *1618:14 *1685:8 0.0462205 -*RES -1 *2473:wbs_dat_o[13] *1685:5 48.4624 -2 *1685:5 *1685:7 4.5 -3 *1685:7 *1685:8 526.488 -4 *1685:8 *1685:16 35.5835 -5 *1685:16 *2472:mprj_dat_i_user[13] 21.0557 -*END - -*D_NET *1686 0.113377 -*CONN -*I *2472:mprj_dat_i_user[14] I *D mgmt_protect -*I *2473:wbs_dat_o[14] O *D user_analog_project_wrapper -*CAP -1 *2472:mprj_dat_i_user[14] 6.22868e-05 -2 *2473:wbs_dat_o[14] 0.00138503 -3 *1686:11 0.00190199 -4 *1686:10 0.0018397 -5 *1686:8 0.00357635 -6 *1686:7 0.00496138 -7 *1686:8 *1692:8 0.00147286 -8 *1686:8 *1749:8 0.0488574 -9 *1686:8 *1751:8 0.0478548 -10 *1686:11 *1749:5 0 -11 *1686:11 *1750:7 0 -12 *2473:la_data_in[7] *1686:11 0.000188291 -13 *805:8 *1686:8 6.45664e-05 -14 *1618:7 *1686:11 0.000898055 -15 *1619:8 *1686:8 0.000313928 -*RES -1 *2473:wbs_dat_o[14] *1686:7 39.2591 -2 *1686:7 *1686:8 518.723 -3 *1686:8 *1686:10 4.5 -4 *1686:10 *1686:11 49.3784 -5 *1686:11 *2472:mprj_dat_i_user[14] 1.77093 -*END - -*D_NET *1687 0.106253 -*CONN -*I *2472:mprj_dat_i_user[15] I *D mgmt_protect -*I *2473:wbs_dat_o[15] O *D user_analog_project_wrapper -*CAP -1 *2472:mprj_dat_i_user[15] 0.000808891 -2 *2473:wbs_dat_o[15] 0.00195503 -3 *1687:18 0.00210878 -4 *1687:17 0.00192802 -5 *1687:12 0.00353319 -6 *1687:10 0.0039608 -7 *1687:5 0.00301076 -8 *2472:mprj_dat_i_user[15] *1751:5 0 -9 *1687:10 *1752:12 8.92241e-05 -10 *1687:12 *1689:8 0.0387092 -11 *1687:12 *1709:8 0.00321977 -12 *1687:12 *1752:12 0.0400836 -13 *1687:12 *1775:8 0.000202397 -14 *1687:17 *2472:mprj_dat_i_user[8] 0.000526414 -15 *1445:11 *1687:17 4.34379e-05 -16 *1616:8 *1687:10 0.00035954 -17 *1618:13 *1687:17 6.88675e-05 -18 *1619:5 *2472:mprj_dat_i_user[15] 0 -19 *1620:8 *1687:18 0.00534892 -20 *1643:5 *1687:17 0 -21 *1685:8 *1687:10 0 -22 *1685:16 *1687:18 0.000296342 -*RES -1 *2473:wbs_dat_o[15] *1687:5 45.9709 -2 *1687:5 *1687:10 34.7621 -3 *1687:10 *1687:12 421.668 -4 *1687:12 *1687:17 23.7048 -5 *1687:17 *1687:18 57.293 -6 *1687:18 *2472:mprj_dat_i_user[15] 26.3862 -*END - -*D_NET *1688 0.107506 -*CONN -*I *2472:mprj_dat_i_user[16] I *D mgmt_protect -*I *2473:wbs_dat_o[16] O *D user_analog_project_wrapper -*CAP -1 *2472:mprj_dat_i_user[16] 0.00218616 -2 *2473:wbs_dat_o[16] 0.00132767 -3 *1688:10 0.00218616 -4 *1688:8 0.00347403 -5 *1688:7 0.0048017 -6 *2472:mprj_dat_i_user[16] *1751:5 0 -7 *2472:mprj_dat_i_user[16] *1752:10 0 -8 *1688:8 *1751:8 0.000202245 -9 *1688:8 *2190:8 0.00117777 -10 *2473:la_oenb[7] *2472:mprj_dat_i_user[16] 0.000185323 -11 *805:8 *1688:8 0 -12 *1619:8 *1688:8 0.045803 -13 *1620:7 *2472:mprj_dat_i_user[16] 0 -14 *1621:7 *2472:mprj_dat_i_user[16] 0 -15 *1621:10 *1688:8 0.0461618 -*RES -1 *2473:wbs_dat_o[16] *1688:7 38.0133 -2 *1688:7 *1688:8 493.211 -3 *1688:8 *1688:10 4.5 -4 *1688:10 *2472:mprj_dat_i_user[16] 50.5386 -*END - -*D_NET *1689 0.104966 -*CONN -*I *2472:mprj_dat_i_user[17] I *D mgmt_protect -*I *2473:wbs_dat_o[17] O *D user_analog_project_wrapper -*CAP -1 *2472:mprj_dat_i_user[17] 0.00162704 -2 *2473:wbs_dat_o[17] 0.00204434 -3 *1689:12 0.0024839 -4 *1689:10 0.000877732 -5 *1689:8 0.00277699 -6 *1689:7 0.00275613 -7 *1689:5 0.00204434 -8 *2472:mprj_dat_i_user[17] *1753:5 0 -9 *1689:8 *1752:12 1.15389e-05 -10 *1689:8 *1775:8 0.00362033 -11 *1689:12 *1752:10 0.000609798 -12 *1689:12 *1752:12 0.000351167 -13 *2473:la_data_in[8] *2472:mprj_dat_i_user[17] 0 -14 *1478:8 *1689:12 0.000218833 -15 *1618:8 *1689:8 0.000211478 -16 *1618:8 *1689:12 0.00176543 -17 *1621:7 *2472:mprj_dat_i_user[17] 0 -18 *1622:8 *1689:8 1.67988e-05 -19 *1622:8 *1689:12 0.00660833 -20 *1622:10 *1689:10 9.95922e-06 -21 *1622:12 *1689:8 0.0382226 -22 *1687:12 *1689:8 0.0387092 -*RES -1 *2473:wbs_dat_o[17] *1689:5 47.2166 -2 *1689:5 *1689:7 4.5 -3 *1689:7 *1689:8 410.021 -4 *1689:8 *1689:10 0.578717 -5 *1689:10 *1689:12 70.6034 -6 *1689:12 *2472:mprj_dat_i_user[17] 41.7506 -*END - -*D_NET *1690 0.0984967 -*CONN -*I *2472:mprj_dat_i_user[18] I *D mgmt_protect -*I *2473:wbs_dat_o[18] O *D user_analog_project_wrapper -*CAP -1 *2472:mprj_dat_i_user[18] 0.00236403 -2 *2473:wbs_dat_o[18] 0.00121295 -3 *1690:10 0.00236403 -4 *1690:8 0.00375633 -5 *1690:7 0.00496929 -6 *2472:mprj_dat_i_user[18] *1754:7 0 -7 *1690:8 *1753:8 0.000131218 -8 *1690:8 *1757:8 0.0408833 -9 *1690:8 *1772:8 0.000354801 -10 *2473:la_oenb[8] *2472:mprj_dat_i_user[18] 0 -11 *1105:5 *2472:mprj_dat_i_user[18] 0 -12 *1622:7 *2472:mprj_dat_i_user[18] 0 -13 *1623:5 *2472:mprj_dat_i_user[18] 0 -14 *1623:8 *1690:8 0.000897631 -15 *1625:10 *1690:8 0.0415631 -*RES -1 *2473:wbs_dat_o[18] *1690:7 35.5218 -2 *1690:7 *1690:8 466.59 -3 *1690:8 *1690:10 4.5 -4 *1690:10 *2472:mprj_dat_i_user[18] 53.0301 -*END - -*D_NET *1691 0.0820245 -*CONN -*I *2472:mprj_dat_i_user[19] I *D mgmt_protect -*I *2473:wbs_dat_o[19] O *D user_analog_project_wrapper -*CAP -1 *2472:mprj_dat_i_user[19] 0.00152291 -2 *2473:wbs_dat_o[19] 0.00203045 -3 *1691:14 0.00236607 -4 *1691:13 0.000969455 -5 *1691:8 0.006066 -6 *1691:7 0.0059397 -7 *1691:5 0.00203045 -8 *2472:mprj_dat_i_user[19] *1755:5 0 -9 *1691:8 *1700:8 0 -10 *1691:13 *1775:7 1.47632e-05 -11 *1691:14 *1748:8 0.00317414 -12 *1691:14 *1752:12 3.83336e-05 -13 *733:14 *1691:8 7.02172e-06 -14 *733:20 *1691:8 0.00398786 -15 *783:8 *1691:8 0.00246556 -16 *827:8 *1691:14 0.000301715 -17 *838:8 *1691:14 0.00380725 -18 *1083:8 *1691:14 0.00510047 -19 *1445:11 *1691:13 0.000124169 -20 *1616:8 *1691:8 0.00275392 -21 *1622:12 *1691:8 0.0347793 -22 *1623:5 *2472:mprj_dat_i_user[19] 0 -23 *1633:14 *1691:8 0.00152799 -24 *1685:8 *1691:14 0.00301707 -*RES -1 *2473:wbs_dat_o[19] *1691:5 46.3861 -2 *1691:5 *1691:7 4.5 -3 *1691:7 *1691:8 366.207 -4 *1691:8 *1691:13 11.6625 -5 *1691:13 *1691:14 87.7962 -6 *1691:14 *2472:mprj_dat_i_user[19] 39.6743 -*END - -*D_NET *1692 0.174867 -*CONN -*I *2472:mprj_dat_i_user[1] I *D mgmt_protect -*I *2473:wbs_dat_o[1] O *D user_analog_project_wrapper -*CAP -1 *2472:mprj_dat_i_user[1] 8.20467e-05 -2 *2473:wbs_dat_o[1] 0.00133475 -3 *1692:11 0.00206008 -4 *1692:10 0.00197803 -5 *1692:8 0.0089998 -6 *1692:7 0.0103346 -7 *1692:8 *1706:8 0.000131 -8 *1692:8 *1751:8 0.00135408 -9 *1692:8 *1767:10 0.0742015 -10 *1692:8 *1770:8 0.00066078 -11 *1692:8 *2188:8 0.00066078 -12 *1692:8 *2190:8 0.069545 -13 *1692:8 *2192:8 0.000198316 -14 *1692:11 *1700:17 1.00824e-05 -15 *1692:11 *1756:7 0 -16 *2473:la_oenb[1] *1692:11 8.8054e-05 -17 *1624:7 *1692:11 0.00175531 -18 *1633:13 *1692:11 0 -19 *1639:13 *1692:11 0 -20 *1686:8 *1692:8 0.00147286 -*RES -1 *2473:wbs_dat_o[1] *1692:7 38.3355 -2 *1692:7 *1692:8 97.1924 -3 *1692:8 *1692:10 3.36879 -4 *1692:10 *1692:11 49.1707 -5 *1692:11 *2472:mprj_dat_i_user[1] 2.33274 -*END - -*D_NET *1693 0.113905 -*CONN -*I *2472:mprj_dat_i_user[20] I *D mgmt_protect -*I *2473:wbs_dat_o[20] O *D user_analog_project_wrapper -*CAP -1 *2472:mprj_dat_i_user[20] 0.00107888 -2 *2473:wbs_dat_o[20] 0.00271043 -3 *1693:8 0.00794281 -4 *1693:7 0.00686392 -5 *1693:5 0.00271043 -6 *2472:mprj_dat_i_user[20] *1757:5 0 -7 *1693:8 *1695:8 0.0429385 -8 *1693:8 *1754:8 0.0461657 -9 *1693:8 *1762:8 0.00143374 -10 *1625:7 *2472:mprj_dat_i_user[20] 0 -11 *1630:8 *1693:8 0.00206086 -*RES -1 *2473:wbs_dat_o[20] *1693:5 57.3903 -2 *1693:5 *1693:7 3.36879 -3 *1693:7 *1693:8 60.7066 -4 *1693:8 *2472:mprj_dat_i_user[20] 30.0304 -*END - -*D_NET *1694 0.0612911 -*CONN -*I *2472:mprj_dat_i_user[21] I *D mgmt_protect -*I *2473:wbs_dat_o[21] O *D user_analog_project_wrapper -*CAP -1 *2472:mprj_dat_i_user[21] 0.00215016 -2 *2473:wbs_dat_o[21] 0.00115565 -3 *1694:10 0.00215016 -4 *1694:8 0.00974792 -5 *1694:7 0.0109036 -6 *2472:mprj_dat_i_user[21] *1757:5 0 -7 *2472:mprj_dat_i_user[21] *1758:7 0 -8 *1694:8 *1757:8 0.00473309 -9 *1694:8 *1759:14 0.0294113 -10 *2473:la_oenb[9] *2472:mprj_dat_i_user[21] 0.000936873 -11 *1626:7 *2472:mprj_dat_i_user[21] 0 -12 *1627:7 *2472:mprj_dat_i_user[21] 0 -13 *1643:8 *1694:8 0.00010238 -*RES -1 *2473:wbs_dat_o[21] *1694:7 34.276 -2 *1694:7 *1694:8 427.214 -3 *1694:8 *1694:10 4.5 -4 *1694:10 *2472:mprj_dat_i_user[21] 54.2759 -*END - -*D_NET *1695 0.109581 -*CONN -*I *2472:mprj_dat_i_user[22] I *D mgmt_protect -*I *2473:wbs_dat_o[22] O *D user_analog_project_wrapper -*CAP -1 *2472:mprj_dat_i_user[22] 0.00102436 -2 *2473:wbs_dat_o[22] 0.00278943 -3 *1695:8 0.00746577 -4 *1695:7 0.00644141 -5 *1695:5 0.00278943 -6 *2472:mprj_dat_i_user[22] *1759:5 0 -7 *1695:8 *1699:8 0.00107168 -8 *1627:7 *2472:mprj_dat_i_user[22] 0 -9 *1628:8 *1695:8 0.0438945 -10 *1632:8 *1695:8 0.00116569 -11 *1693:8 *1695:8 0.0429385 -*RES -1 *2473:wbs_dat_o[22] *1695:5 58.2208 -2 *1695:5 *1695:7 3.36879 -3 *1695:7 *1695:8 57.0351 -4 *1695:8 *2472:mprj_dat_i_user[22] 29.1999 -*END - -*D_NET *1696 0.0878794 -*CONN -*I *2472:mprj_dat_i_user[23] I *D mgmt_protect -*I *2473:wbs_dat_o[23] O *D user_analog_project_wrapper -*CAP -1 *2472:mprj_dat_i_user[23] 0.00198775 -2 *2473:wbs_dat_o[23] 0.0017554 -3 *1696:8 0.00499769 -4 *1696:7 0.00476533 -5 *2472:mprj_dat_i_user[23] *1759:5 0 -6 *2472:mprj_dat_i_user[23] *1760:7 0 -7 *1696:8 *1712:8 0.000362278 -8 *1696:8 *1761:8 0.0364355 -9 *772:8 *1696:8 0.000540322 -10 *1620:14 *1696:8 0.000131218 -11 *1627:10 *1696:8 0.0365949 -12 *1628:7 *2472:mprj_dat_i_user[23] 0 -13 *1629:7 *2472:mprj_dat_i_user[23] 0 -14 *1629:8 *1696:8 0.000309013 -*RES -1 *2473:wbs_dat_o[23] *1696:7 43.4116 -2 *1696:7 *1696:8 400.593 -3 *1696:8 *2472:mprj_dat_i_user[23] 49.6404 -*END - -*D_NET *1697 0.0860614 -*CONN -*I *2472:mprj_dat_i_user[24] I *D mgmt_protect -*I *2473:wbs_dat_o[24] O *D user_analog_project_wrapper -*CAP -1 *2472:mprj_dat_i_user[24] 0.00111675 -2 *2473:wbs_dat_o[24] 0.0027145 -3 *1697:8 0.00416449 -4 *1697:7 0.00304774 -5 *1697:5 0.0027145 -6 *2472:mprj_dat_i_user[24] *1761:7 0 -7 *1697:8 *1754:8 0.00143374 -8 *1697:8 *1758:8 0.000226394 -9 *1697:8 *1760:8 0.0359827 -10 *1697:8 *1762:8 0.000202245 -11 *1373:8 *1697:8 0.000505953 -12 *1626:8 *1697:8 0.0339524 -13 *1629:7 *2472:mprj_dat_i_user[24] 0 -*RES -1 *2473:wbs_dat_o[24] *1697:5 55.9369 -2 *1697:5 *1697:7 4.5 -3 *1697:7 *1697:8 386.728 -4 *1697:8 *2472:mprj_dat_i_user[24] 32.615 -*END - -*D_NET *1698 0.0830445 -*CONN -*I *2472:mprj_dat_i_user[25] I *D mgmt_protect -*I *2473:wbs_dat_o[25] O *D user_analog_project_wrapper -*CAP -1 *2472:mprj_dat_i_user[25] 0.00185769 -2 *2473:wbs_dat_o[25] 0.00188343 -3 *1698:8 0.00474367 -4 *1698:7 0.00476941 -5 *2472:mprj_dat_i_user[25] *1761:7 0 -6 *2472:mprj_dat_i_user[25] *1762:7 0 -7 *1698:8 *1747:8 0.000412718 -8 *1698:8 *1763:8 0.000305446 -9 *1412:8 *1698:8 0.0190352 -10 *1620:14 *1698:8 0.0154731 -11 *1630:7 *2472:mprj_dat_i_user[25] 0 -12 *1631:7 *2472:mprj_dat_i_user[25] 0 -13 *1631:8 *1698:8 0.0345639 -*RES -1 *2473:wbs_dat_o[25] *1698:7 45.4878 -2 *1698:7 *1698:8 373.417 -3 *1698:8 *2472:mprj_dat_i_user[25] 47.5641 -*END - -*D_NET *1699 0.0812214 -*CONN -*I *2472:mprj_dat_i_user[26] I *D mgmt_protect -*I *2473:wbs_dat_o[26] O *D user_analog_project_wrapper -*CAP -1 *2472:mprj_dat_i_user[26] 0.000982179 -2 *2473:wbs_dat_o[26] 0.00284811 -3 *1699:8 0.00396221 -4 *1699:7 0.00298003 -5 *1699:5 0.00284811 -6 *2472:mprj_dat_i_user[26] *1763:7 0 -7 *2472:mprj_dat_i_user[26] *1765:13 0.00023907 -8 *1699:8 *1762:8 0.000226394 -9 *1373:8 *1699:8 0 -10 *1630:8 *1699:8 0.0328854 -11 *1631:7 *2472:mprj_dat_i_user[26] 0 -12 *1632:8 *1699:8 0.0331782 -13 *1695:8 *1699:8 0.00107168 -*RES -1 *2473:wbs_dat_o[26] *1699:5 57.5979 -2 *1699:5 *1699:7 4.5 -3 *1699:7 *1699:8 360.107 -4 *1699:8 *2472:mprj_dat_i_user[26] 30.954 -*END - -*D_NET *1700 0.0730701 -*CONN -*I *2472:mprj_dat_i_user[27] I *D mgmt_protect -*I *2473:wbs_dat_o[27] O *D user_analog_project_wrapper -*CAP -1 *2472:mprj_dat_i_user[27] 0.00179431 -2 *2473:wbs_dat_o[27] 0.00198433 -3 *1700:18 0.00359747 -4 *1700:17 0.00198186 -5 *1700:8 0.00172525 -6 *1700:7 0.00353088 -7 *2472:mprj_dat_i_user[27] *1763:7 0 -8 *2472:mprj_dat_i_user[27] *1764:7 0 -9 *1700:8 *1702:8 0.00814048 -10 *1700:8 *1765:20 0.000826652 -11 *1700:8 *1765:22 0.00900087 -12 *1700:18 *1765:14 0.0195405 -13 *1412:8 *1700:18 0.000270207 -14 *1631:8 *1700:8 0.000151389 -15 *1631:8 *1700:18 0.0193368 -16 *1632:7 *2472:mprj_dat_i_user[27] 0 -17 *1633:7 *2472:mprj_dat_i_user[27] 0 -18 *1633:8 *1700:18 0.000371284 -19 *1633:13 *1700:17 7.09666e-06 -20 *1633:14 *1700:8 0.000227651 -21 *1645:10 *1700:8 0.000330532 -22 *1684:8 *1700:8 0.000133887 -23 *1684:8 *1700:18 0.000108607 -24 *1691:8 *1700:8 0 -25 *1692:11 *1700:17 1.00824e-05 -*RES -1 *2473:wbs_dat_o[27] *1700:7 47.1488 -2 *1700:7 *1700:8 128.837 -3 *1700:8 *1700:17 11.8823 -4 *1700:17 *1700:18 217.019 -5 *1700:18 *2472:mprj_dat_i_user[27] 46.7336 -*END - -*D_NET *1701 0.0754077 -*CONN -*I *2472:mprj_dat_i_user[28] I *D mgmt_protect -*I *2473:wbs_dat_o[28] O *D user_analog_project_wrapper -*CAP -1 *2472:mprj_dat_i_user[28] 0.000980381 -2 *2473:wbs_dat_o[28] 0.00295291 -3 *1701:8 0.00384618 -4 *1701:7 0.0028658 -5 *1701:5 0.00295291 -6 *2472:mprj_dat_i_user[28] *1765:8 0 -7 *1701:8 *1745:8 0 -8 *1701:8 *1764:8 0.0308157 -9 *1701:8 *1766:8 0.0299129 -10 *1373:8 *1701:8 0 -11 *1628:8 *1701:8 0.000771867 -12 *1633:7 *2472:mprj_dat_i_user[28] 0 -13 *1634:8 *1701:8 0.000309013 -*RES -1 *2473:wbs_dat_o[28] *1701:5 58.8437 -2 *1701:5 *1701:7 4.5 -3 *1701:7 *1701:8 333.486 -4 *1701:8 *2472:mprj_dat_i_user[28] 29.7083 -*END - -*D_NET *1702 0.0704334 -*CONN -*I *2472:mprj_dat_i_user[29] I *D mgmt_protect -*I *2473:wbs_dat_o[29] O *D user_analog_project_wrapper -*CAP -1 *2472:mprj_dat_i_user[29] 0.00170849 -2 *2473:wbs_dat_o[29] 0.0020156 -3 *1702:8 0.00449914 -4 *1702:7 0.00480625 -5 *2472:mprj_dat_i_user[29] *1766:7 0 -6 *1702:8 *1704:8 0.000309013 -7 *1702:8 *1765:20 7.6719e-06 -8 *1412:8 *1702:8 0 -9 *1633:8 *1702:8 0.0208978 -10 *1633:14 *1702:8 0.000371382 -11 *1634:7 *2472:mprj_dat_i_user[29] 0 -12 *1637:8 *1702:8 0.0274459 -13 *1684:8 *1702:8 0.000231696 -14 *1700:8 *1702:8 0.00814048 -*RES -1 *2473:wbs_dat_o[29] *1702:7 47.5641 -2 *1702:7 *1702:8 320.175 -3 *1702:8 *2472:mprj_dat_i_user[29] 45.4878 -*END - -*D_NET *1703 0.179259 -*CONN -*I *2472:mprj_dat_i_user[2] I *D mgmt_protect -*I *2473:wbs_dat_o[2] O *D user_analog_project_wrapper -*CAP -1 *2472:mprj_dat_i_user[2] 0.000983544 -2 *2473:wbs_dat_o[2] 0.00198023 -3 *1703:8 0.00675487 -4 *1703:7 0.00577133 -5 *1703:5 0.00198023 -6 *2472:mprj_dat_i_user[2] *1767:7 0.00183936 -7 *1703:8 *1711:8 0.00599911 -8 *1703:8 *1750:8 9.37208e-05 -9 *1703:8 *1756:8 0.0742945 -10 *1703:8 *2189:8 0.074329 -11 *1635:5 *2472:mprj_dat_i_user[2] 0 -12 *1644:8 *1703:8 0.00523289 -*RES -1 *2473:wbs_dat_o[2] *1703:5 51.5768 -2 *1703:5 *1703:7 3.36879 -3 *1703:7 *1703:8 95.0507 -4 *1703:8 *2472:mprj_dat_i_user[2] 35.844 -*END - -*D_NET *1704 0.0689016 -*CONN -*I *2472:mprj_dat_i_user[30] I *D mgmt_protect -*I *2473:wbs_dat_o[30] O *D user_analog_project_wrapper -*CAP -1 *2472:mprj_dat_i_user[30] 0.00165539 -2 *2473:wbs_dat_o[30] 0.00205162 -3 *1704:8 0.00403642 -4 *1704:7 0.00443266 -5 *2472:mprj_dat_i_user[30] *1766:7 0 -6 *2472:mprj_dat_i_user[30] *1768:7 0 -7 *1704:8 *1769:8 0.027499 -8 *1633:14 *1704:8 0.000627856 -9 *1636:7 *2472:mprj_dat_i_user[30] 0 -10 *1637:7 *2472:mprj_dat_i_user[30] 0 -11 *1637:8 *1704:8 0.0281872 -12 *1684:8 *1704:8 0.000102438 -13 *1702:8 *1704:8 0.000309013 -*RES -1 *2473:wbs_dat_o[30] *1704:7 48.3946 -2 *1704:7 *1704:8 306.865 -3 *1704:8 *2472:mprj_dat_i_user[30] 44.6573 -*END - -*D_NET *1705 0.0666973 -*CONN -*I *2472:mprj_dat_i_user[31] I *D mgmt_protect -*I *2473:wbs_dat_o[31] O *D user_analog_project_wrapper -*CAP -1 *2472:mprj_dat_i_user[31] 0.000866369 -2 *2473:wbs_dat_o[31] 0.00309493 -3 *1705:8 0.00351639 -4 *1705:7 0.00265002 -5 *1705:5 0.00309493 -6 *2472:mprj_dat_i_user[31] *1769:7 0 -7 *1705:8 *1745:8 0.000361169 -8 *1705:8 *1768:8 0.0269195 -9 *989:8 *1705:8 0.0260698 -10 *1628:8 *1705:8 0.000124104 -11 *1637:7 *2472:mprj_dat_i_user[31] 0 -*RES -1 *2473:wbs_dat_o[31] *1705:5 60.9199 -2 *1705:5 *1705:7 4.5 -3 *1705:7 *1705:8 293.554 -4 *1705:8 *2472:mprj_dat_i_user[31] 27.632 -*END - -*D_NET *1706 0.143788 -*CONN -*I *2472:mprj_dat_i_user[3] I *D mgmt_protect -*I *2473:wbs_dat_o[3] O *D user_analog_project_wrapper -*CAP -1 *2472:mprj_dat_i_user[3] 0.00214979 -2 *2473:wbs_dat_o[3] 0.00145459 -3 *1706:10 0.00214979 -4 *1706:8 0.00465152 -5 *1706:7 0.00610611 -6 *2472:mprj_dat_i_user[3] *1770:5 0 -7 *1706:8 *1708:8 0.00119535 -8 *1706:8 *2192:8 0.00295362 -9 *2473:la_oenb[2] *2472:mprj_dat_i_user[3] 0.00028143 -10 *772:8 *1706:8 0.000549381 -11 *1635:8 *1706:8 0.0624738 -12 *1638:7 *2472:mprj_dat_i_user[3] 0 -13 *1639:14 *1706:8 0.0596913 -14 *1692:8 *1706:8 0.000131 -*RES -1 *2473:wbs_dat_o[3] *1706:7 41.7506 -2 *1706:7 *1706:8 670.685 -3 *1706:8 *1706:10 4.5 -4 *1706:10 *2472:mprj_dat_i_user[3] 46.8014 -*END - -*D_NET *1707 0.142776 -*CONN -*I *2472:mprj_dat_i_user[4] I *D mgmt_protect -*I *2473:wbs_dat_o[4] O *D user_analog_project_wrapper -*CAP -1 *2472:mprj_dat_i_user[4] 0.00137493 -2 *2473:wbs_dat_o[4] 0.00206698 -3 *1707:8 0.00607517 -4 *1707:7 0.00470025 -5 *1707:5 0.00206698 -6 *2472:mprj_dat_i_user[4] *1771:7 0 -7 *2472:mprj_dat_i_user[4] *2190:5 0 -8 *1707:8 *1756:8 0.00660562 -9 *1707:8 *1771:8 0.000410779 -10 *1050:5 *2472:mprj_dat_i_user[4] 0 -11 *1638:8 *1707:8 0.000313928 -12 *1639:10 *2472:mprj_dat_i_user[4] 0 -13 *1640:8 *1707:8 0.0616201 -14 *1642:8 *1707:8 0.0575415 -*RES -1 *2473:wbs_dat_o[4] *1707:5 52.1996 -2 *1707:5 *1707:7 4.5 -3 *1707:7 *1707:8 653.492 -4 *1707:8 *2472:mprj_dat_i_user[4] 36.3523 -*END - -*D_NET *1708 0.135127 -*CONN -*I *2472:mprj_dat_i_user[5] I *D mgmt_protect -*I *2473:wbs_dat_o[5] O *D user_analog_project_wrapper -*CAP -1 *2472:mprj_dat_i_user[5] 0.00219667 -2 *2473:wbs_dat_o[5] 0.00143441 -3 *1708:10 0.00219667 -4 *1708:8 0.00493166 -5 *1708:7 0.00636606 -6 *2472:mprj_dat_i_user[5] *1771:7 0 -7 *2472:mprj_dat_i_user[5] *1772:5 0 -8 *1708:8 *1710:8 0.0566915 -9 *1708:8 *2188:8 0.00236014 -10 *2473:la_oenb[3] *2472:mprj_dat_i_user[5] 0.00017338 -11 *772:8 *1708:8 9.36702e-05 -12 *794:14 *1708:8 0.00122278 -13 *1050:5 *2472:mprj_dat_i_user[5] 0 -14 *1639:14 *1708:8 0.0562651 -15 *1640:7 *2472:mprj_dat_i_user[5] 0 -16 *1706:8 *1708:8 0.00119535 -*RES -1 *2473:wbs_dat_o[5] *1708:7 40.9201 -2 *1708:7 *1708:8 639.627 -3 *1708:8 *1708:10 4.5 -4 *1708:10 *2472:mprj_dat_i_user[5] 47.6319 -*END - -*D_NET *1709 0.158706 -*CONN -*I *2472:mprj_dat_i_user[6] I *D mgmt_protect -*I *2473:wbs_dat_o[6] O *D user_analog_project_wrapper -*CAP -1 *2472:mprj_dat_i_user[6] 0.0015914 -2 *2473:wbs_dat_o[6] 0.00192393 -3 *1709:8 0.00761818 -4 *1709:7 0.00602678 -5 *1709:5 0.00192393 -6 *2472:mprj_dat_i_user[6] *1773:7 0 -7 *1709:8 *1752:12 0.00380939 -8 *1709:8 *1773:8 0.0682402 -9 *1709:8 *1775:8 0.0643524 -10 *1641:5 *2472:mprj_dat_i_user[6] 0 -11 *1687:12 *1709:8 0.00321977 -*RES -1 *2473:wbs_dat_o[6] *1709:5 48.2547 -2 *1709:5 *1709:7 3.36879 -3 *1709:7 *1709:8 86.4838 -4 *1709:8 *2472:mprj_dat_i_user[6] 39.166 -*END - -*D_NET *1710 0.126785 -*CONN -*I *2472:mprj_dat_i_user[7] I *D mgmt_protect -*I *2473:wbs_dat_o[7] O *D user_analog_project_wrapper -*CAP -1 *2472:mprj_dat_i_user[7] 0.00182276 -2 *2473:wbs_dat_o[7] 0.00143336 -3 *1710:10 0.00182276 -4 *1710:8 0.00575668 -5 *1710:7 0.00719004 -6 *2472:mprj_dat_i_user[7] *1773:7 0 -7 *2472:mprj_dat_i_user[7] *1774:5 0 -8 *1710:8 *2188:8 0.00190188 -9 *794:14 *1710:8 0.000353776 -10 *805:8 *1710:8 0.000538827 -11 *1061:5 *2472:mprj_dat_i_user[7] 0.000176075 -12 *1617:8 *1710:8 0.0472562 -13 *1642:7 *2472:mprj_dat_i_user[7] 0.00184078 -14 *1708:8 *1710:8 0.0566915 -*RES -1 *2473:wbs_dat_o[7] *1710:7 40.5048 -2 *1710:7 *1710:8 611.342 -3 *1710:8 *1710:10 4.5 -4 *1710:10 *2472:mprj_dat_i_user[7] 49.9035 -*END - -*D_NET *1711 0.133994 -*CONN -*I *2472:mprj_dat_i_user[8] I *D mgmt_protect -*I *2473:wbs_dat_o[8] O *D user_analog_project_wrapper -*CAP -1 *2472:mprj_dat_i_user[8] 0.00127294 -2 *2473:wbs_dat_o[8] 0.00210823 -3 *1711:8 0.00520362 -4 *1711:7 0.00393069 -5 *1711:5 0.00210823 -6 *2472:mprj_dat_i_user[8] *1775:7 0 -7 *1050:8 *1711:8 2.90905e-05 -8 *1061:8 *1711:8 0.000189584 -9 *1445:11 *2472:mprj_dat_i_user[8] 8.62625e-06 -10 *1642:8 *1711:8 0.0561622 -11 *1643:5 *2472:mprj_dat_i_user[8] 0 -12 *1644:8 *1711:8 0.0564551 -13 *1687:17 *2472:mprj_dat_i_user[8] 0.000526414 -14 *1703:8 *1711:8 0.00599911 -*RES -1 *2473:wbs_dat_o[8] *1711:5 51.3691 -2 *1711:5 *1711:7 4.5 -3 *1711:7 *1711:8 600.25 -4 *1711:8 *2472:mprj_dat_i_user[8] 37.1828 -*END - -*D_NET *1712 0.143008 -*CONN -*I *2472:mprj_dat_i_user[9] I *D mgmt_protect -*I *2473:wbs_dat_o[9] O *D user_analog_project_wrapper -*CAP -1 *2472:mprj_dat_i_user[9] 0.00192882 -2 *2473:wbs_dat_o[9] 0.00162883 -3 *1712:8 0.0108781 -4 *1712:7 0.0105781 -5 *2472:mprj_dat_i_user[9] *1776:7 0 -6 *1712:8 *1767:10 0.000111022 -7 *1712:8 *1774:8 0 -8 *1712:8 *1776:8 0.000961946 -9 *1712:8 *2188:8 0.000627723 -10 *1712:8 *2190:8 0 -11 *1712:8 *2192:8 0.000121359 -12 *816:11 *2472:mprj_dat_i_user[9] 0.00064738 -13 *1627:10 *1712:8 0.000289628 -14 *1641:8 *1712:8 0 -15 *1644:7 *2472:mprj_dat_i_user[9] 0 -16 *1648:8 *1712:8 0.0535329 -17 *1682:8 *1712:8 0.0613396 -18 *1696:8 *1712:8 0.000362278 -*RES -1 *2473:wbs_dat_o[9] *1712:7 42.488 -2 *1712:7 *1712:8 80.9 -3 *1712:8 *2472:mprj_dat_i_user[9] 48.3015 -*END - -*D_NET *1713 0.297511 -*CONN -*I *2471:wb_dat_i[0] I *D housekeeping -*I *2472:mprj_dat_o_core[0] I *D mgmt_protect -*I *2478:mprj_dat_o[0] O *D mgmt_core_wrapper -*CAP -1 *2471:wb_dat_i[0] 0.00128326 -2 *2472:mprj_dat_o_core[0] 0.00110338 -3 *2478:mprj_dat_o[0] 0.000189363 -4 *1713:33 0.00559898 -5 *1713:31 0.00467846 -6 *1713:25 0.00176215 -7 *1713:24 0.00157588 -8 *1713:10 0.0239989 -9 *1713:9 0.0228955 -10 *1713:7 0.00274127 -11 *1713:5 0.00275416 -12 *2471:wb_dat_i[0] *2471:wb_dat_i[1] 0 -13 *2472:mprj_dat_o_core[0] *2472:mprj_sel_o_core[0] 0 -14 *1713:7 *2183:14 0 -15 *1713:7 *2183:16 0 -16 *1713:10 *2183:19 0.0727757 -17 *1713:24 *2183:14 0 -18 *1713:25 *2193:11 0.00625285 -19 *1713:31 *1724:27 0.000197192 -20 *1713:31 *1724:31 7.32658e-06 -21 *1713:33 *1724:27 0 -22 *1713:33 *1724:31 4.82966e-05 -23 *1713:33 *1724:33 0.0696822 -24 *1713:33 *2185:27 0.0698415 -25 *99:10 *1713:33 0.000344331 -26 *485:44 *1713:25 0.000782018 -27 *609:13 *2472:mprj_dat_o_core[0] 0.000642613 -28 *730:11 *2472:mprj_dat_o_core[0] 0.000448313 -29 *1259:16 *1713:10 0.000600965 -30 *1263:16 *1713:10 0.000858442 -31 *1265:16 *1713:10 0.000163504 -32 *1268:16 *1713:10 0.000991958 -33 *1274:16 *1713:10 0.00218951 -34 *1573:10 *1713:25 0 -35 *1582:16 *1713:10 0 -36 *1583:24 *1713:10 0 -37 *1602:30 *1713:10 0.00129656 -38 *1604:30 *1713:10 0.00177768 -39 *1605:13 *2471:wb_dat_i[0] 0 -40 *1607:9 *1713:31 2.84204e-05 -41 *1649:7 *2472:mprj_dat_o_core[0] 0 -*RES -1 *2478:mprj_dat_o[0] *1713:5 0.366399 -2 *1713:5 *1713:7 59.1368 -3 *1713:7 *1713:9 3.36879 -4 *1713:9 *1713:10 93.1384 -5 *1713:10 *2472:mprj_dat_o_core[0] 31.6914 -6 *2478:mprj_dat_o[0] *1713:24 8.59146 -7 *1713:24 *1713:25 65.612 -8 *1713:25 *1713:31 17.1816 -9 *1713:31 *1713:33 731.691 -10 *1713:33 *2471:wb_dat_i[0] 39.2957 -*END - -*D_NET *1714 0.339935 -*CONN -*I *2471:wb_dat_i[10] I *D housekeeping -*I *2472:mprj_dat_o_core[10] I *D mgmt_protect -*I *2478:mprj_dat_o[10] O *D mgmt_core_wrapper -*CAP -1 *2471:wb_dat_i[10] 1.28869e-05 -2 *2472:mprj_dat_o_core[10] 2.27669e-05 -3 *2478:mprj_dat_o[10] 0.000977219 -4 *1714:30 0.00272232 -5 *1714:29 0.00270943 -6 *1714:27 0.00468881 -7 *1714:19 0.0021573 -8 *1714:18 0.00213454 -9 *1714:16 0.0107245 -10 *1714:15 0.0111725 -11 *1714:9 0.00611403 -12 *1714:15 *1722:10 0.000330596 -13 *1714:16 *1716:10 0.082134 -14 *1714:16 *1731:24 0.00322808 -15 *1714:16 *1744:10 0.0819887 -16 *1714:16 *2195:10 0.000151238 -17 *1714:27 *1715:10 0.0607459 -18 *1714:27 *1722:10 0.0112763 -19 *1714:27 *1731:10 0.038977 -20 *1714:27 *1743:10 0.0052714 -21 *1714:27 *2186:10 0.00434774 -22 *1714:30 *2471:wb_dat_i[9] 0 -23 *1714:30 *1715:13 0 -24 *1714:30 *1743:13 0 -25 *2472:mprj_adr_o_core[11] *1714:19 0 -26 *2478:mprj_dat_i[10] *1714:15 0 -27 *607:14 *1714:16 0.000130331 -28 *608:11 *1714:19 0.00192147 -29 *609:14 *1714:16 0.000464675 -30 *863:10 *1714:16 0.000141764 -31 *1246:12 *1714:16 0.000337748 -32 *1608:10 *1714:15 0.000142393 -33 *1608:10 *1714:27 0.000605222 -34 *1650:5 *1714:19 0.000642913 -35 *1667:10 *1714:16 0.000156689 -36 *1669:8 *1714:16 0.00350473 -*RES -1 *2478:mprj_dat_o[10] *1714:9 27.6686 -2 *1714:9 *1714:15 19.6336 -3 *1714:15 *1714:16 106.524 -4 *1714:16 *1714:18 3.36879 -5 *1714:18 *1714:19 56.6453 -6 *1714:19 *2472:mprj_dat_o_core[10] 0.647305 -7 *1714:9 *1714:27 654.601 -8 *1714:27 *1714:29 4.5 -9 *1714:29 *1714:30 66.819 -10 *1714:30 *2471:wb_dat_i[10] 0.366399 -*END - -*D_NET *1715 0.331909 -*CONN -*I *2472:mprj_dat_o_core[11] I *D mgmt_protect -*I *2471:wb_dat_i[11] I *D housekeeping -*I *2478:mprj_dat_o[11] O *D mgmt_core_wrapper -*CAP -1 *2472:mprj_dat_o_core[11] 0.000951049 -2 *2471:wb_dat_i[11] 1.28869e-05 -3 *2478:mprj_dat_o[11] 0.00101412 -4 *1715:30 0.00897395 -5 *1715:29 0.00972394 -6 *1715:26 0.0018093 -7 *1715:13 0.00273389 -8 *1715:12 0.00272101 -9 *1715:10 0.00453088 -10 *1715:9 0.00453088 -11 *1715:7 0.00112238 -12 *1715:10 *1717:10 0.00447049 -13 *1715:10 *1731:10 0.000113197 -14 *1715:10 *2186:10 0.0039392 -15 *1715:13 *2471:wb_dat_i[12] 0 -16 *1715:13 *1717:13 0 -17 *1715:30 *1717:24 0.0829849 -18 *1715:30 *1735:24 0 -19 *1715:30 *1743:24 0.0798671 -20 *2472:mprj_adr_o_core[11] *2472:mprj_dat_o_core[11] 0 -21 *2472:mprj_adr_o_core[12] *2472:mprj_dat_o_core[11] 0 -22 *1583:21 *1715:29 4.08754e-05 -23 *1584:7 *1715:7 0 -24 *1584:21 *1715:7 0 -25 *1608:10 *1715:10 0.0509566 -26 *1608:10 *1715:26 0.000596133 -27 *1610:10 *1715:26 0.000591935 -28 *1649:8 *1715:30 0.00344673 -29 *1651:7 *2472:mprj_dat_o_core[11] 0 -30 *1651:17 *1715:29 0.00139221 -31 *1660:8 *1715:30 0.00463906 -32 *1714:27 *1715:10 0.0607459 -33 *1714:30 *1715:13 0 -*RES -1 *2478:mprj_dat_o[11] *1715:7 23.5839 -2 *1715:7 *1715:9 4.5 -3 *1715:9 *1715:10 642.954 -4 *1715:10 *1715:12 4.5 -5 *1715:12 *1715:13 67.2342 -6 *1715:13 *2471:wb_dat_i[11] 0.366399 -7 *1715:7 *1715:26 16.2709 -8 *1715:26 *1715:29 47.7641 -9 *1715:29 *1715:30 107.213 -10 *1715:30 *2472:mprj_dat_o_core[11] 22.5559 -*END - -*D_NET *1716 0.33239 -*CONN -*I *2471:wb_dat_i[12] I *D housekeeping -*I *2472:mprj_dat_o_core[12] I *D mgmt_protect -*I *2478:mprj_dat_o[12] O *D mgmt_core_wrapper -*CAP -1 *2471:wb_dat_i[12] 0.00137866 -2 *2472:mprj_dat_o_core[12] 0.00297492 -3 *2478:mprj_dat_o[12] 0.00195902 -4 *1716:27 0.00635144 -5 *1716:25 0.00515326 -6 *1716:12 0.00297492 -7 *1716:10 0.0115231 -8 *1716:9 0.0133017 -9 *2471:wb_dat_i[12] *1717:13 0 -10 *1716:10 *1718:10 0.0841234 -11 *1716:10 *1727:24 0.000101794 -12 *1716:10 *1731:24 0.000114491 -13 *1716:10 *1733:10 0.00389851 -14 *1716:10 *2195:10 0.000576645 -15 *1716:27 *1718:25 0.00237967 -16 *1716:27 *1744:33 0.00426676 -17 *1716:27 *2185:27 0 -18 *2472:mprj_adr_o_core[13] *2472:mprj_dat_o_core[12] 0 -19 *2478:mprj_dat_i[12] *1716:9 0 -20 *99:10 *1716:27 0.000469437 -21 *480:17 *1716:27 0.00476053 -22 *610:13 *2472:mprj_dat_o_core[12] 0 -23 *862:9 *2472:mprj_dat_o_core[12] 4.67024e-05 -24 *863:10 *1716:10 0.000101365 -25 *1601:10 *1716:10 0.00359011 -26 *1607:35 *1716:27 0.0494227 -27 *1609:33 *1716:27 1.00937e-05 -28 *1609:35 *1716:25 3.65965e-05 -29 *1609:37 *1716:27 0.0498943 -30 *1611:33 *1716:9 0 -31 *1611:35 *1716:27 0.000226394 -32 *1652:5 *2472:mprj_dat_o_core[12] 0 -33 *1652:8 *1716:10 0.000291404 -34 *1666:8 *1716:10 0.000101794 -35 *1667:10 *1716:10 0.000102215 -36 *1669:8 *1716:10 0.00012426 -37 *1714:16 *1716:10 0.082134 -38 *1715:13 *2471:wb_dat_i[12] 0 -*RES -1 *2478:mprj_dat_o[12] *1716:9 41.3033 -2 *1716:9 *1716:10 108.972 -3 *1716:10 *1716:12 3.36879 -4 *1716:12 *2472:mprj_dat_o_core[12] 57.3903 -5 *2478:mprj_dat_o[12] *1716:25 7.91909 -6 *1716:25 *1716:27 628.535 -7 *1716:27 *2471:wb_dat_i[12] 42.2025 -*END - -*D_NET *1717 0.328311 -*CONN -*I *2472:mprj_dat_o_core[13] I *D mgmt_protect -*I *2471:wb_dat_i[13] I *D housekeeping -*I *2478:mprj_dat_o[13] O *D mgmt_core_wrapper -*CAP -1 *2472:mprj_dat_o_core[13] 0.000792859 -2 *2471:wb_dat_i[13] 1.28869e-05 -3 *2478:mprj_dat_o[13] 0.00108011 -4 *1717:24 0.00879977 -5 *1717:23 0.00998082 -6 *1717:13 0.0027592 -7 *1717:12 0.00274631 -8 *1717:10 0.00464287 -9 *1717:9 0.00464287 -10 *1717:7 0.00305402 -11 *1717:10 *1719:10 0.00448558 -12 *1717:10 *1731:10 9.48476e-05 -13 *1717:10 *1735:10 0.00390299 -14 *1717:13 *2471:wb_dat_i[14] 0 -15 *1717:13 *1719:13 0 -16 *1717:24 *1719:24 0.0855385 -17 *1717:24 *1735:24 0 -18 *1717:24 *2191:16 0.00448941 -19 *2471:wb_dat_i[12] *1717:13 0 -20 *2472:mprj_adr_o_core[14] *2472:mprj_dat_o_core[13] 0 -21 *610:13 *2472:mprj_dat_o_core[13] 0 -22 *1586:7 *1717:7 0 -23 *1586:23 *1717:7 0 -24 *1586:23 *1717:23 0 -25 *1608:10 *1717:10 0.0480744 -26 *1610:10 *1717:10 0.0486055 -27 *1653:9 *2472:mprj_dat_o_core[13] 0.000875119 -28 *1671:8 *1717:24 0.00627732 -29 *1715:10 *1717:10 0.00447049 -30 *1715:13 *1717:13 0 -31 *1715:30 *1717:24 0.0829849 -*RES -1 *2478:mprj_dat_o[13] *1717:7 24.4144 -2 *1717:7 *1717:9 4.5 -3 *1717:9 *1717:10 617.997 -4 *1717:10 *1717:12 4.5 -5 *1717:12 *1717:13 68.0647 -6 *1717:13 *2471:wb_dat_i[13] 0.366399 -7 *1717:7 *1717:23 46.9336 -8 *1717:23 *1717:24 110.502 -9 *1717:24 *2472:mprj_dat_o_core[13] 23.3864 -*END - -*D_NET *1718 0.330304 -*CONN -*I *2471:wb_dat_i[14] I *D housekeeping -*I *2472:mprj_dat_o_core[14] I *D mgmt_protect -*I *2478:mprj_dat_o[14] O *D mgmt_core_wrapper -*CAP -1 *2471:wb_dat_i[14] 0.00142248 -2 *2472:mprj_dat_o_core[14] 0.00230281 -3 *2478:mprj_dat_o[14] 0.00172686 -4 *1718:25 0.00601803 -5 *1718:24 0.00495016 -6 *1718:12 0.00230281 -7 *1718:10 0.0192644 -8 *1718:9 0.0206366 -9 *2471:wb_dat_i[14] *1719:13 0 -10 *1718:10 *1733:10 0.000103514 -11 *1718:10 *1735:10 0 -12 *1718:10 *1736:10 0.00390639 -13 *1718:10 *1741:10 0 -14 *1718:10 *2184:15 0 -15 *1718:10 *2184:25 0 -16 *1718:10 *2195:10 0.0606962 -17 *1718:25 *1722:25 0.0517061 -18 *2472:mprj_adr_o_core[15] *2472:mprj_dat_o_core[14] 0 -19 *2478:mprj_dat_i[14] *1718:9 0 -20 *100:77 *1718:25 0.0026997 -21 *480:17 *1718:25 0.00619868 -22 *865:10 *1718:10 0.000267904 -23 *1247:9 *2472:mprj_dat_o_core[14] 2.33103e-06 -24 *1587:7 *1718:24 0 -25 *1611:10 *1718:10 0.000383594 -26 *1611:35 *1718:25 0.0476573 -27 *1650:8 *1718:10 0.000895982 -28 *1652:12 *1718:10 0.0017512 -29 *1654:7 *2472:mprj_dat_o_core[14] 0.00291234 -30 *1654:10 *1718:10 0.00141193 -31 *1655:7 *2472:mprj_dat_o_core[14] 0 -32 *1672:8 *1718:10 0.00359735 -33 *1678:8 *1718:10 0.000365829 -34 *1680:8 *1718:10 0.000620666 -35 *1716:10 *1718:10 0.0841234 -36 *1716:27 *1718:25 0.00237967 -37 *1717:13 *2471:wb_dat_i[14] 0 -*RES -1 *2478:mprj_dat_o[14] *1718:9 34.6593 -2 *1718:9 *1718:10 111.42 -3 *1718:10 *1718:12 3.36879 -4 *1718:12 *2472:mprj_dat_o_core[14] 58.2208 -5 *2478:mprj_dat_o[14] *1718:24 11.2051 -6 *1718:24 *1718:25 604.687 -7 *1718:25 *2471:wb_dat_i[14] 43.4482 -*END - -*D_NET *1719 0.333098 -*CONN -*I *2472:mprj_dat_o_core[15] I *D mgmt_protect -*I *2471:wb_dat_i[15] I *D housekeeping -*I *2478:mprj_dat_o[15] O *D mgmt_core_wrapper -*CAP -1 *2472:mprj_dat_o_core[15] 0.0010785 -2 *2471:wb_dat_i[15] 1.28869e-05 -3 *2478:mprj_dat_o[15] 0.00113731 -4 *1719:24 0.00881232 -5 *1719:23 0.00959598 -6 *1719:13 0.00278159 -7 *1719:12 0.00276871 -8 *1719:10 0.00427565 -9 *1719:9 0.00427565 -10 *1719:7 0.00299947 -11 *1719:10 *1721:10 0.0535947 -12 *1719:10 *1731:10 0 -13 *1719:10 *1741:10 0.00376542 -14 *1719:10 *2186:10 0.000156019 -15 *1719:13 *2471:wb_dat_i[16] 0 -16 *1719:24 *1721:24 0.0875053 -17 *1719:24 *1735:24 0 -18 *2471:wb_dat_i[14] *1719:13 0 -19 *2472:mprj_adr_o_core[16] *2472:mprj_dat_o_core[15] 0 -20 *1588:7 *1719:7 0 -21 *1588:7 *1719:23 0 -22 *1588:23 *1719:23 0 -23 *1610:10 *1719:10 0.0457232 -24 *1612:10 *1719:10 0.00122553 -25 *1655:7 *2472:mprj_dat_o_core[15] 0 -26 *1675:8 *1719:24 0.0061042 -27 *1677:8 *1719:24 0.00726186 -28 *1717:10 *1719:10 0.00448558 -29 *1717:13 *1719:13 0 -30 *1717:24 *1719:24 0.0855385 -*RES -1 *2478:mprj_dat_o[15] *1719:7 25.2449 -2 *1719:7 *1719:9 4.5 -3 *1719:9 *1719:10 593.04 -4 *1719:10 *1719:12 4.5 -5 *1719:12 *1719:13 68.8952 -6 *1719:13 *2471:wb_dat_i[15] 0.366399 -7 *1719:7 *1719:23 45.2726 -8 *1719:23 *1719:24 112.873 -9 *1719:24 *2472:mprj_dat_o_core[15] 24.2169 -*END - -*D_NET *1720 0.265621 -*CONN -*I *2471:wb_dat_i[16] I *D housekeeping -*I *2472:mprj_dat_o_core[16] I *D mgmt_protect -*I *2478:mprj_dat_o[16] O *D mgmt_core_wrapper -*CAP -1 *2471:wb_dat_i[16] 0.00165929 -2 *2472:mprj_dat_o_core[16] 0.00338719 -3 *2478:mprj_dat_o[16] 1.28869e-05 -4 *1720:24 0.00633031 -5 *1720:23 0.00479027 -6 *1720:12 0.00338719 -7 *1720:10 0.0077208 -8 *1720:9 0.00862213 -9 *1720:5 0.00103346 -10 *2471:wb_dat_i[16] *1721:13 0 -11 *1720:10 *1722:10 0.0291113 -12 *1720:10 *1743:10 0.0117089 -13 *1720:10 *2184:25 0.000551302 -14 *1720:24 *1726:25 0.0479822 -15 *1720:24 *2193:11 0.0552514 -16 *2472:mprj_adr_o_core[17] *2472:mprj_dat_o_core[16] 0 -17 *2478:la_input[102] *2472:mprj_dat_o_core[16] 0 -18 *485:44 *1720:24 0.00579314 -19 *608:14 *1720:10 0.000166542 -20 *1120:9 *2472:mprj_dat_o_core[16] 0.000300728 -21 *1251:10 *1720:10 0.000227563 -22 *1573:10 *1720:24 0 -23 *1589:9 *1720:9 0 -24 *1589:9 *1720:23 0 -25 *1656:5 *2472:mprj_dat_o_core[16] 0 -26 *1656:8 *1720:10 0.0775847 -27 *1719:13 *2471:wb_dat_i[16] 0 -*RES -1 *2478:mprj_dat_o[16] *1720:5 0.366399 -2 *1720:5 *1720:9 26.0565 -3 *1720:9 *1720:10 827.637 -4 *1720:10 *1720:12 4.5 -5 *1720:12 *2472:mprj_dat_o_core[16] 65.903 -6 *1720:5 *1720:23 7.27242 -7 *1720:23 *1720:24 579.73 -8 *1720:24 *2471:wb_dat_i[16] 47.1855 -*END - -*D_NET *1721 0.333282 -*CONN -*I *2472:mprj_dat_o_core[17] I *D mgmt_protect -*I *2471:wb_dat_i[17] I *D housekeeping -*I *2478:mprj_dat_o[17] O *D mgmt_core_wrapper -*CAP -1 *2472:mprj_dat_o_core[17] 0.00112749 -2 *2471:wb_dat_i[17] 1.28869e-05 -3 *2478:mprj_dat_o[17] 0.00121144 -4 *1721:24 0.00853094 -5 *1721:23 0.00919235 -6 *1721:13 0.00278502 -7 *1721:12 0.00277213 -8 *1721:10 0.00406239 -9 *1721:9 0.00406239 -10 *1721:7 0.00300035 -11 *1721:10 *2478:irq[0] 0.000346541 -12 *1721:10 *1723:25 0.0047663 -13 *1721:10 *1731:10 0 -14 *1721:10 *1741:10 0.001053 -15 *1721:13 *2471:wb_dat_i[18] 0 -16 *1721:13 *1723:28 0 -17 *1721:24 *1723:16 0.0893098 -18 *1721:24 *1728:10 0.00906555 -19 *1721:24 *1735:24 0.0001506 -20 *2471:wb_dat_i[16] *1721:13 0 -21 *2472:mprj_adr_o_core[17] *2472:mprj_dat_o_core[17] 0 -22 *2472:mprj_adr_o_core[18] *2472:mprj_dat_o_core[17] 0 -23 *1612:10 *1721:10 0.0435076 -24 *1657:7 *2472:mprj_dat_o_core[17] 0 -25 *1657:17 *1721:7 0 -26 *1657:17 *1721:23 0 -27 *1658:5 *2472:mprj_dat_o_core[17] 0 -28 *1662:8 *1721:24 0.000433185 -29 *1679:8 *1721:24 0.00679209 -30 *1719:10 *1721:10 0.0535947 -31 *1719:24 *1721:24 0.0875053 -*RES -1 *2478:mprj_dat_o[17] *1721:7 27.3456 -2 *1721:7 *1721:9 4.5 -3 *1721:9 *1721:10 570.301 -4 *1721:10 *1721:12 4.5 -5 *1721:12 *1721:13 69.3105 -6 *1721:13 *2471:wb_dat_i[17] 0.366399 -7 *1721:7 *1721:23 44.0269 -8 *1721:23 *1721:24 115.091 -9 *1721:24 *2472:mprj_dat_o_core[17] 25.0474 -*END - -*D_NET *1722 0.2347 -*CONN -*I *2471:wb_dat_i[18] I *D housekeeping -*I *2472:mprj_dat_o_core[18] I *D mgmt_protect -*I *2478:mprj_dat_o[18] O *D mgmt_core_wrapper -*CAP -1 *2471:wb_dat_i[18] 0.0014412 -2 *2472:mprj_dat_o_core[18] 0.00308763 -3 *2478:mprj_dat_o[18] 0.00157745 -4 *1722:25 0.00501612 -5 *1722:24 0.00376091 -6 *1722:12 0.00308763 -7 *1722:10 0.0114089 -8 *1722:9 0.0128004 -9 *2471:wb_dat_i[18] *1723:28 0 -10 *1722:10 *1739:12 0 -11 *1722:10 *1743:10 0.0143852 -12 *1722:10 *2184:25 0.000557532 -13 *2472:mprj_adr_o_core[19] *2472:mprj_dat_o_core[18] 0.000407913 -14 *2478:mprj_dat_i[18] *1722:9 0 -15 *100:77 *1722:25 0.0527978 -16 *480:17 *1722:25 0.00586024 -17 *634:8 *1722:10 0.00185525 -18 *864:9 *2472:mprj_dat_o_core[18] 0.000592024 -19 *1248:9 *2472:mprj_dat_o_core[18] 0 -20 *1251:10 *1722:10 0.00535381 -21 *1270:10 *1722:10 0.01456 -22 *1582:21 *2472:mprj_dat_o_core[18] 0.000173069 -23 *1592:24 *1722:10 0.00138234 -24 *1603:10 *1722:10 0.000139836 -25 *1606:24 *1722:10 0 -26 *1607:10 *1722:10 0 -27 *1608:10 *1722:10 0.00203045 -28 *1609:19 *1722:10 0 -29 *1658:5 *2472:mprj_dat_o_core[18] 0 -30 *1659:5 *2472:mprj_dat_o_core[18] 0 -31 *1674:8 *1722:10 0 -32 *1714:15 *1722:10 0.000330596 -33 *1714:27 *1722:10 0.0112763 -34 *1718:25 *1722:25 0.0517061 -35 *1720:10 *1722:10 0.0291113 -36 *1721:13 *2471:wb_dat_i[18] 0 -*RES -1 *2478:mprj_dat_o[18] *1722:9 33.9218 -2 *1722:9 *1722:10 842.612 -3 *1722:10 *1722:12 4.5 -4 *1722:12 *2472:mprj_dat_o_core[18] 65.0725 -5 *2478:mprj_dat_o[18] *1722:24 6.93045 -6 *1722:24 *1722:25 554.218 -7 *1722:25 *2471:wb_dat_i[18] 43.8635 -*END - -*D_NET *1723 0.333096 -*CONN -*I *2471:wb_dat_i[19] I *D housekeeping -*I *2472:mprj_dat_o_core[19] I *D mgmt_protect -*I *2478:mprj_dat_o[19] O *D mgmt_core_wrapper -*CAP -1 *2471:wb_dat_i[19] 1.28869e-05 -2 *2472:mprj_dat_o_core[19] 0.00116696 -3 *2478:mprj_dat_o[19] 0.00117834 -4 *1723:28 0.00280963 -5 *1723:27 0.00279674 -6 *1723:25 0.00390032 -7 *1723:16 0.00821682 -8 *1723:15 0.00875068 -9 *1723:12 0.00181905 -10 *1723:9 0.00519688 -11 *1723:16 *1725:24 0.0908336 -12 *1723:16 *1728:16 0.000433185 -13 *1723:16 *1735:24 0.000127811 -14 *1723:25 *2478:irq[2] 0.00036298 -15 *1723:25 *1725:10 0.0502494 -16 *1723:25 *1731:10 0 -17 *1723:25 *1741:10 0.000332844 -18 *1723:28 *1725:13 0 -19 *2471:wb_dat_i[18] *1723:28 0 -20 *2472:mprj_adr_o_core[20] *2472:mprj_dat_o_core[19] 0 -21 *1587:10 *1723:12 0.00046538 -22 *1587:10 *1723:25 0.000653519 -23 *1591:7 *1723:15 0 -24 *1591:27 *1723:15 0 -25 *1612:10 *1723:12 0.00106121 -26 *1612:10 *1723:25 0.040507 -27 *1659:5 *2472:mprj_dat_o_core[19] 0 -28 *1664:14 *1723:16 0.00934121 -29 *1665:8 *1723:16 0.00880387 -30 *1721:10 *1723:25 0.0047663 -31 *1721:13 *1723:28 0 -32 *1721:24 *1723:16 0.0893098 -*RES -1 *2478:mprj_dat_o[19] *1723:9 30.9906 -2 *1723:9 *1723:12 15.7609 -3 *1723:12 *1723:15 42.3659 -4 *1723:15 *1723:16 116.162 -5 *1723:16 *2472:mprj_dat_o_core[19] 25.8779 -6 *1723:9 *1723:25 544.235 -7 *1723:25 *1723:27 4.5 -8 *1723:27 *1723:28 70.141 -9 *1723:28 *2471:wb_dat_i[19] 0.366399 -*END - -*D_NET *1724 0.319501 -*CONN -*I *2471:wb_dat_i[1] I *D housekeeping -*I *2472:mprj_dat_o_core[1] I *D mgmt_protect -*I *2478:mprj_dat_o[1] O *D mgmt_core_wrapper -*CAP -1 *2471:wb_dat_i[1] 0.00113513 -2 *2472:mprj_dat_o_core[1] 0.00212118 -3 *2478:mprj_dat_o[1] 0.00231538 -4 *1724:33 0.00613368 -5 *1724:31 0.00503912 -6 *1724:27 0.000943873 -7 *1724:24 0.00104151 -8 *1724:12 0.00212118 -9 *1724:10 0.00612705 -10 *1724:9 0.00830424 -11 *2471:wb_dat_i[1] *1735:13 0 -12 *2472:mprj_dat_o_core[1] *2472:mprj_sel_o_core[1] 0 -13 *1724:9 *2184:15 0 -14 *1724:10 *1738:10 0.000147045 -15 *1724:10 *2184:16 0.0734052 -16 *1724:27 *1738:27 0.000631564 -17 *1724:27 *2183:37 0.000683502 -18 *1724:31 *1738:27 0 -19 *1724:31 *1738:31 4.73037e-06 -20 *1724:33 *1738:31 0 -21 *1724:33 *1738:33 0.0657257 -22 *1724:33 *2185:27 0.000137345 -23 *2471:wb_dat_i[0] *2471:wb_dat_i[1] 0 -24 *2472:mprj_adr_o_core[1] *2472:mprj_dat_o_core[1] 0 -25 *99:10 *1724:33 0.000361052 -26 *100:77 *1724:27 0.00175037 -27 *480:17 *1724:27 0.000895693 -28 *488:32 *2471:wb_dat_i[1] 0.000728314 -29 *607:13 *2472:mprj_dat_o_core[1] 0.000677005 -30 *868:23 *2472:mprj_dat_o_core[1] 0.000408405 -31 *985:12 *1724:10 2.6242e-05 -32 *1647:10 *1724:10 0.0687012 -33 *1660:7 *2472:mprj_dat_o_core[1] 0 -34 *1660:17 *1724:9 0 -35 *1713:31 *1724:27 0.000197192 -36 *1713:31 *1724:31 7.32658e-06 -37 *1713:33 *1724:27 0 -38 *1713:33 *1724:31 4.82966e-05 -39 *1713:33 *1724:33 0.0696822 -*RES -1 *2478:mprj_dat_o[1] *1724:9 47.9473 -2 *1724:9 *1724:10 94.5153 -3 *1724:10 *1724:12 3.36879 -4 *1724:12 *2472:mprj_dat_o_core[1] 50.7463 -5 *2478:mprj_dat_o[1] *1724:24 6.93045 -6 *1724:24 *1724:27 49.6491 -7 *1724:27 *1724:31 1.85672 -8 *1724:31 *1724:33 733.355 -9 *1724:33 *2471:wb_dat_i[1] 39.7109 -*END - -*D_NET *1725 0.333668 -*CONN -*I *2472:mprj_dat_o_core[20] I *D mgmt_protect -*I *2471:wb_dat_i[20] I *D housekeeping -*I *2478:mprj_dat_o[20] O *D mgmt_core_wrapper -*CAP -1 *2472:mprj_dat_o_core[20] 0.00120669 -2 *2471:wb_dat_i[20] 1.28869e-05 -3 *2478:mprj_dat_o[20] 0.00120797 -4 *1725:24 0.00839736 -5 *1725:23 0.00880702 -6 *1725:13 0.00282013 -7 *1725:12 0.00280725 -8 *1725:10 0.00369973 -9 *1725:9 0.00369973 -10 *1725:7 0.00282432 -11 *1725:10 *2478:irq[2] 0.000342696 -12 *1725:10 *1727:10 0.00441162 -13 *1725:10 *1731:10 0 -14 *1725:10 *1741:10 0.000742466 -15 *1725:13 *2471:wb_dat_i[21] 0 -16 *1725:13 *1727:13 0 -17 *1725:24 *1729:16 0.0910138 -18 *1725:24 *1735:24 0.000650902 -19 *2472:mprj_adr_o_core[21] *2472:mprj_dat_o_core[20] 0 -20 *1587:10 *1725:10 0.0406512 -21 *1594:7 *1725:7 0 -22 *1594:23 *1725:7 0 -23 *1594:23 *1725:23 0 -24 *1661:5 *2472:mprj_dat_o_core[20] 0 -25 *1668:8 *1725:24 0.00914421 -26 *1670:8 *1725:24 0.0101446 -27 *1723:16 *1725:24 0.0908336 -28 *1723:25 *1725:10 0.0502494 -29 *1723:28 *1725:13 0 -*RES -1 *2478:mprj_dat_o[20] *1725:7 26.9059 -2 *1725:7 *1725:9 4.5 -3 *1725:9 *1725:10 531.479 -4 *1725:10 *1725:12 4.5 -5 *1725:12 *1725:13 70.5562 -6 *1725:13 *2471:wb_dat_i[20] 0.366399 -7 *1725:7 *1725:23 41.1201 -8 *1725:23 *1725:24 118.916 -9 *1725:24 *2472:mprj_dat_o_core[20] 26.7084 -*END - -*D_NET *1726 0.298147 -*CONN -*I *2471:wb_dat_i[21] I *D housekeeping -*I *2472:mprj_dat_o_core[21] I *D mgmt_protect -*I *2478:mprj_dat_o[21] O *D mgmt_core_wrapper -*CAP -1 *2471:wb_dat_i[21] 0.00167007 -2 *2472:mprj_dat_o_core[21] 0.00278007 -3 *2478:mprj_dat_o[21] 0.00175643 -4 *1726:25 0.00539296 -5 *1726:24 0.00383453 -6 *1726:12 0.00278007 -7 *1726:10 0.00607573 -8 *1726:9 0.00772052 -9 *2471:wb_dat_i[21] *1727:13 0 -10 *1726:10 *1740:12 0.00291073 -11 *1726:25 *1728:31 0.0463165 -12 *1726:25 *2193:11 0.000597677 -13 *2472:mprj_adr_o_core[21] *2472:mprj_dat_o_core[21] 0 -14 *2472:mprj_adr_o_core[22] *2472:mprj_dat_o_core[21] 0 -15 *113:17 *1726:25 0.00523011 -16 *1122:12 *1726:10 0.000265631 -17 *1129:15 *2472:mprj_dat_o_core[21] 0 -18 *1249:9 *2472:mprj_dat_o_core[21] 0 -19 *1573:10 *1726:25 0 -20 *1590:27 *1726:10 0.000895002 -21 *1594:24 *1726:10 0.078287 -22 *1595:7 *1726:9 0 -23 *1595:7 *1726:24 0 -24 *1661:8 *1726:10 0.0804 -25 *1662:7 *2472:mprj_dat_o_core[21] 0.000237581 -26 *1663:12 *1726:10 0.0026051 -27 *1663:14 *1726:10 0.000409617 -28 *1720:24 *1726:25 0.0479822 -29 *1725:13 *2471:wb_dat_i[21] 0 -*RES -1 *2478:mprj_dat_o[21] *1726:9 40.2728 -2 *1726:9 *1726:10 870.342 -3 *1726:10 *1726:12 4.5 -4 *1726:12 *2472:mprj_dat_o_core[21] 52.6149 -5 *2478:mprj_dat_o[21] *1726:24 7.05258 -6 *1726:24 *1726:25 518.169 -7 *1726:25 *2471:wb_dat_i[21] 47.6007 -*END - -*D_NET *1727 0.290868 -*CONN -*I *2472:mprj_dat_o_core[22] I *D mgmt_protect -*I *2471:wb_dat_i[22] I *D housekeeping -*I *2478:mprj_dat_o[22] O *D mgmt_core_wrapper -*CAP -1 *2472:mprj_dat_o_core[22] 0.00228728 -2 *2471:wb_dat_i[22] 1.28869e-05 -3 *2478:mprj_dat_o[22] 0.00133769 -4 *1727:26 0.00228728 -5 *1727:24 0.00625386 -6 *1727:23 0.00655053 -7 *1727:13 0.00284527 -8 *1727:12 0.00283239 -9 *1727:10 0.00426656 -10 *1727:9 0.00426656 -11 *1727:7 0.00163435 -12 *1727:10 *2478:irq[1] 0.00036442 -13 *1727:10 *1729:25 0.00254933 -14 *1727:10 *1741:10 0.00221918 -15 *1727:13 *2471:wb_dat_i[23] 0 -16 *1727:13 *1729:28 0 -17 *1727:24 *1742:30 0.00302282 -18 *2471:wb_dat_i[21] *1727:13 0 -19 *2472:mprj_adr_o_core[23] *2472:mprj_dat_o_core[22] 0 -20 *2478:mprj_dat_i[22] *1727:7 0 -21 *2478:mprj_dat_i[22] *1727:23 0 -22 *610:14 *1727:24 0.000284653 -23 *1122:9 *2472:mprj_dat_o_core[22] 4.63742e-05 -24 *1122:12 *1727:24 2.16355e-05 -25 *1587:10 *1727:10 0.0379218 -26 *1588:10 *1727:10 0.0381917 -27 *1589:31 *1727:10 0.000137345 -28 *1596:9 *1727:7 0 -29 *1596:9 *1727:23 0 -30 *1596:10 *1727:24 0.0829211 -31 *1652:12 *1727:24 0 -32 *1663:7 *2472:mprj_dat_o_core[22] 0.00256786 -33 *1663:12 *1727:24 3.59437e-05 -34 *1666:8 *1727:24 0.0814959 -35 *1716:10 *1727:24 0.000101794 -36 *1725:10 *1727:10 0.00441162 -37 *1725:13 *1727:13 0 -*RES -1 *2478:mprj_dat_o[22] *1727:7 29.4218 -2 *1727:7 *1727:9 4.5 -3 *1727:9 *1727:10 508.186 -4 *1727:10 *1727:12 4.5 -5 *1727:12 *1727:13 71.3867 -6 *1727:13 *2471:wb_dat_i[22] 0.366399 -7 *1727:7 *1727:23 10.4845 -8 *1727:23 *1727:24 878.106 -9 *1727:24 *1727:26 4.5 -10 *1727:26 *2472:mprj_dat_o_core[22] 54.2759 -*END - -*D_NET *1728 0.286576 -*CONN -*I *2471:wb_dat_i[23] I *D housekeeping -*I *2472:mprj_dat_o_core[23] I *D mgmt_protect -*I *2478:mprj_dat_o[23] O *D mgmt_core_wrapper -*CAP -1 *2471:wb_dat_i[23] 0.00168919 -2 *2472:mprj_dat_o_core[23] 0.00117379 -3 *2478:mprj_dat_o[23] 0.000166684 -4 *1728:31 0.00517368 -5 *1728:30 0.00363609 -6 *1728:16 0.00212956 -7 *1728:15 0.00100911 -8 *1728:10 0.00843418 -9 *1728:9 0.00838084 -10 *1728:7 0.00285531 -11 *1728:5 0.0028704 -12 *2471:wb_dat_i[23] *1729:28 0 -13 *1728:31 *1730:25 0.0439202 -14 *1728:31 *2193:11 0.000128915 -15 *2472:mprj_adr_o_core[24] *2472:mprj_dat_o_core[23] 0 -16 *113:17 *1728:31 0.00439555 -17 *1250:10 *1728:16 9.91596e-05 -18 *1252:10 *1728:16 0.000109568 -19 *1254:7 *1728:15 1.02986e-05 -20 *1573:10 *1728:31 0.000198023 -21 *1597:9 *1728:7 0 -22 *1597:9 *1728:30 0 -23 *1597:15 *1728:7 0 -24 *1597:29 *1728:15 1.02986e-05 -25 *1662:8 *1728:16 0.000164123 -26 *1662:13 *1728:15 7.09666e-06 -27 *1664:7 *2472:mprj_dat_o_core[23] 0 -28 *1664:13 *1728:15 7.09666e-06 -29 *1665:8 *1728:10 0.0766446 -30 *1665:8 *1728:16 0.00755785 -31 *1668:8 *1728:16 0.00575712 -32 *1679:8 *1728:10 0.0542317 -33 *1721:24 *1728:10 0.00906555 -34 *1723:16 *1728:16 0.000433185 -35 *1726:25 *1728:31 0.0463165 -36 *1727:13 *2471:wb_dat_i[23] 0 -*RES -1 *2478:mprj_dat_o[23] *1728:5 0.366399 -2 *1728:5 *1728:7 65.9885 -3 *1728:7 *1728:9 4.5 -4 *1728:9 *1728:10 803.789 -5 *1728:10 *1728:15 10.0015 -6 *1728:15 *1728:16 84.4685 -7 *1728:16 *2472:mprj_dat_o_core[23] 26.8015 -8 *2478:mprj_dat_o[23] *1728:30 7.76095 -9 *1728:30 *1728:31 492.102 -10 *1728:31 *2471:wb_dat_i[23] 48.016 -*END - -*D_NET *1729 0.322884 -*CONN -*I *2471:wb_dat_i[24] I *D housekeeping -*I *2472:mprj_dat_o_core[24] I *D mgmt_protect -*I *2478:mprj_dat_o[24] O *D mgmt_core_wrapper -*CAP -1 *2471:wb_dat_i[24] 1.28869e-05 -2 *2472:mprj_dat_o_core[24] 0.00127906 -3 *2478:mprj_dat_o[24] 0.00141448 -4 *1729:28 0.00288095 -5 *1729:27 0.00286806 -6 *1729:25 0.00446639 -7 *1729:16 0.00938948 -8 *1729:15 0.00955813 -9 *1729:9 0.00732858 -10 *1729:16 *1732:10 0.0108377 -11 *1729:16 *1734:10 0.00977543 -12 *1729:16 *1735:24 0.00189034 -13 *1729:25 *2478:irq[1] 0.000193655 -14 *1729:25 *1737:25 0.0025617 -15 *1729:28 *2471:wb_dat_i[25] 0 -16 *1729:28 *1731:13 0 -17 *2471:wb_dat_i[23] *1729:28 0 -18 *2472:mprj_adr_o_core[25] *2472:mprj_dat_o_core[24] 0 -19 *1250:7 *2472:mprj_dat_o_core[24] 0 -20 *1589:31 *1729:15 0.000383703 -21 *1589:31 *1729:25 0.0352422 -22 *1591:14 *1729:15 0.000379505 -23 *1591:14 *1729:25 0.035781 -24 *1598:12 *1729:9 0 -25 *1665:7 *2472:mprj_dat_o_core[24] 0 -26 *1665:11 *1729:15 0 -27 *1668:8 *1729:16 0.000108464 -28 *1670:8 *1729:16 0.000117719 -29 *1673:8 *1729:16 0.0928511 -30 *1725:24 *1729:16 0.0910138 -31 *1727:10 *1729:25 0.00254933 -32 *1727:13 *1729:28 0 -*RES -1 *2478:mprj_dat_o[24] *1729:9 35.1676 -2 *1729:9 *1729:15 46.7643 -3 *1729:15 *1729:16 123.582 -4 *1729:16 *2472:mprj_dat_o_core[24] 27.5389 -5 *1729:9 *1729:25 479.346 -6 *1729:25 *1729:27 4.5 -7 *1729:27 *1729:28 72.6325 -8 *1729:28 *2471:wb_dat_i[24] 0.366399 -*END - -*D_NET *1730 0.294805 -*CONN -*I *2471:wb_dat_i[25] I *D housekeeping -*I *2472:mprj_dat_o_core[25] I *D mgmt_protect -*I *2478:mprj_dat_o[25] O *D mgmt_core_wrapper -*CAP -1 *2471:wb_dat_i[25] 0.00170831 -2 *2472:mprj_dat_o_core[25] 0.00309577 -3 *2478:mprj_dat_o[25] 0.00178457 -4 *1730:25 0.00499644 -5 *1730:24 0.00343521 -6 *1730:12 0.00309577 -7 *1730:10 0.00637311 -8 *1730:9 0.00801061 -9 *2471:wb_dat_i[25] *1731:13 0 -10 *1730:10 *1731:24 0.0848626 -11 *1730:10 *1744:10 0.00330783 -12 *1730:25 *1732:25 0.00130401 -13 *1730:25 *1734:25 0.0386561 -14 *1730:25 *2193:11 8.8758e-05 -15 *2472:mprj_adr_o_core[26] *2472:mprj_dat_o_core[25] 0 -16 *2478:mprj_dat_i[25] *1730:9 0 -17 *1123:13 *2472:mprj_dat_o_core[25] 0 -18 *1147:15 *2472:mprj_dat_o_core[25] 0 -19 *1553:24 *1730:25 0.00434791 -20 *1573:10 *1730:25 0.000551188 -21 *1652:12 *1730:10 0 -22 *1666:5 *2472:mprj_dat_o_core[25] 0 -23 *1666:8 *1730:10 0.000253172 -24 *1667:10 *1730:10 0.0850132 -25 *1728:31 *1730:25 0.0439202 -26 *1729:28 *2471:wb_dat_i[25] 0 -*RES -1 *2478:mprj_dat_o[25] *1730:9 39.3201 -2 *1730:9 *1730:10 904.173 -3 *1730:10 *1730:12 4.5 -4 *1730:12 *2472:mprj_dat_o_core[25] 55.5217 -5 *2478:mprj_dat_o[25] *1730:24 6.93045 -6 *1730:24 *1730:25 467.145 -7 *1730:25 *2471:wb_dat_i[25] 48.4312 -*END - -*D_NET *1731 0.293861 -*CONN -*I *2472:mprj_dat_o_core[26] I *D mgmt_protect -*I *2471:wb_dat_i[26] I *D housekeeping -*I *2478:mprj_dat_o[26] O *D mgmt_core_wrapper -*CAP -1 *2472:mprj_dat_o_core[26] 4.25268e-05 -2 *2471:wb_dat_i[26] 1.28869e-05 -3 *2478:mprj_dat_o[26] 0.00107262 -4 *1731:27 0.00239797 -5 *1731:26 0.00235545 -6 *1731:24 0.0064312 -7 *1731:23 0.00694482 -8 *1731:13 0.00263839 -9 *1731:12 0.00262551 -10 *1731:10 0.00433606 -11 *1731:9 0.00433606 -12 *1731:7 0.00158624 -13 *1731:10 *1743:10 0.038446 -14 *1731:10 *2184:25 0.00330201 -15 *1731:13 *2471:wb_dat_i[27] 0 -16 *1731:13 *1733:22 0 -17 *2471:wb_dat_i[25] *1731:13 0 -18 *2472:mprj_adr_o_core[27] *1731:27 0.00196231 -19 *2478:la_input[105] *1731:27 8.86708e-05 -20 *1594:30 *1731:27 5.88009e-05 -21 *1599:10 *1731:24 0.00122278 -22 *1600:7 *1731:7 0 -23 *1600:7 *1731:23 0 -24 *1601:10 *1731:24 8.41339e-05 -25 *1652:12 *1731:24 0 -26 *1658:13 *1731:27 1.54479e-05 -27 *1667:7 *1731:27 0.000498959 -28 *1667:10 *1731:24 0.000253038 -29 *1669:8 *1731:24 0.085759 -30 *1714:16 *1731:24 0.00322808 -31 *1714:27 *1731:10 0.038977 -32 *1715:10 *1731:10 0.000113197 -33 *1716:10 *1731:24 0.000114491 -34 *1717:10 *1731:10 9.48476e-05 -35 *1719:10 *1731:10 0 -36 *1721:10 *1731:10 0 -37 *1723:25 *1731:10 0 -38 *1725:10 *1731:10 0 -39 *1729:28 *1731:13 0 -40 *1730:10 *1731:24 0.0848626 -*RES -1 *2478:mprj_dat_o[26] *1731:7 24.4388 -2 *1731:7 *1731:9 4.5 -3 *1731:9 *1731:10 454.944 -4 *1731:10 *1731:12 4.5 -5 *1731:12 *1731:13 66.4037 -6 *1731:13 *2471:wb_dat_i[26] 0.366399 -7 *1731:7 *1731:23 13.8065 -8 *1731:23 *1731:24 915.265 -9 *1731:24 *1731:26 4.5 -10 *1731:26 *1731:27 56.0224 -11 *1731:27 *2472:mprj_dat_o_core[26] 1.20912 -*END - -*D_NET *1732 0.297581 -*CONN -*I *2471:wb_dat_i[27] I *D housekeeping -*I *2472:mprj_dat_o_core[27] I *D mgmt_protect -*I *2478:mprj_dat_o[27] O *D mgmt_core_wrapper -*CAP -1 *2471:wb_dat_i[27] 0.0017448 -2 *2472:mprj_dat_o_core[27] 0.00127766 -3 *2478:mprj_dat_o[27] 0.000136329 -4 *1732:25 0.00480913 -5 *1732:24 0.00318338 -6 *1732:10 0.00703839 -7 *1732:9 0.00576073 -8 *1732:7 0.0026987 -9 *1732:5 0.00271598 -10 *2471:wb_dat_i[27] *1733:22 0 -11 *1732:10 *1734:10 0.0868018 -12 *1732:10 *1737:16 0.0855553 -13 *1732:25 *1734:25 0.0391766 -14 *1732:25 *1736:23 0.0378335 -15 *2472:mprj_adr_o_core[28] *2472:mprj_dat_o_core[27] 0 -16 *1252:10 *1732:10 0.00177894 -17 *1553:24 *1732:25 0.00251159 -18 *1573:10 *1732:25 0.00180887 -19 *1601:9 *1732:24 0 -20 *1610:30 *1732:10 0.000281315 -21 *1668:7 *2472:mprj_dat_o_core[27] 0 -22 *1668:8 *1732:10 0.000253799 -23 *1670:8 *1732:10 7.24449e-05 -24 *1729:16 *1732:10 0.0108377 -25 *1730:25 *1732:25 0.00130401 -26 *1731:13 *2471:wb_dat_i[27] 0 -*RES -1 *2478:mprj_dat_o[27] *1732:5 0.366399 -2 *1732:5 *1732:7 63.4969 -3 *1732:7 *1732:9 4.5 -4 *1732:9 *1732:10 923.584 -5 *1732:10 *2472:mprj_dat_o_core[27] 28.4625 -6 *2478:mprj_dat_o[27] *1732:24 6.93045 -7 *1732:24 *1732:25 442.188 -8 *1732:25 *2471:wb_dat_i[27] 49.2617 -*END - -*D_NET *1733 0.288678 -*CONN -*I *2471:wb_dat_i[28] I *D housekeeping -*I *2472:mprj_dat_o_core[28] I *D mgmt_protect -*I *2478:mprj_dat_o[28] O *D mgmt_core_wrapper -*CAP -1 *2471:wb_dat_i[28] 1.28869e-05 -2 *2472:mprj_dat_o_core[28] 0.00309155 -3 *2478:mprj_dat_o[28] 0.00142719 -4 *1733:22 0.00292307 -5 *1733:21 0.00291018 -6 *1733:19 0.00404214 -7 *1733:12 0.00309155 -8 *1733:10 0.00649385 -9 *1733:9 0.0119632 -10 *1733:19 *1736:10 0.000202245 -11 *1733:19 *1737:15 0.000379505 -12 *1733:19 *1737:25 0.036655 -13 *1733:22 *2471:wb_dat_i[29] 0 -14 *1733:22 *2471:wb_dat_i[30] 0 -15 *2471:wb_dat_i[27] *1733:22 0 -16 *2472:mprj_adr_o_core[29] *2472:mprj_dat_o_core[28] 0 -17 *1124:9 *2472:mprj_dat_o_core[28] 0 -18 *1257:15 *2472:mprj_dat_o_core[28] 0.000378618 -19 *1591:14 *1733:19 0.000376796 -20 *1601:10 *1733:10 0.0874858 -21 *1601:19 *1733:10 0.000783723 -22 *1601:19 *1733:19 0.032593 -23 *1602:7 *1733:9 0 -24 *1602:10 *1733:19 0.000113197 -25 *1605:10 *1733:19 3.18408e-05 -26 *1652:12 *1733:10 0.000262266 -27 *1669:5 *2472:mprj_dat_o_core[28] 0 -28 *1672:8 *1733:10 0.0872733 -29 *1672:8 *1733:19 0.00218537 -30 *1716:10 *1733:10 0.00389851 -31 *1718:10 *1733:10 0.000103514 -32 *1731:13 *1733:22 0 -*RES -1 *2478:mprj_dat_o[28] *1733:9 35.1432 -2 *1733:9 *1733:10 932.457 -3 *1733:10 *1733:12 4.5 -4 *1733:12 *2472:mprj_dat_o_core[28] 57.1827 -5 *1733:9 *1733:19 431.65 -6 *1733:19 *1733:21 4.5 -7 *1733:21 *1733:22 74.2935 -8 *1733:22 *2471:wb_dat_i[28] 0.366399 -*END - -*D_NET *1734 0.297891 -*CONN -*I *2471:wb_dat_i[29] I *D housekeeping -*I *2472:mprj_dat_o_core[29] I *D mgmt_protect -*I *2478:mprj_dat_o[29] O *D mgmt_core_wrapper -*CAP -1 *2471:wb_dat_i[29] 0.00172336 -2 *2472:mprj_dat_o_core[29] 0.00116313 -3 *2478:mprj_dat_o[29] 0.000112424 -4 *1734:25 0.00458005 -5 *1734:24 0.00295183 -6 *1734:10 0.00706641 -7 *1734:9 0.00590328 -8 *1734:7 0.00273444 -9 *1734:5 0.00275173 -10 *2471:wb_dat_i[29] *2471:wb_dat_i[30] 0 -11 *1734:7 *2410:14 0 -12 *1734:10 *1737:16 0.00129795 -13 *1734:25 *1736:23 0.000226394 -14 *1734:25 *2193:11 6.36816e-05 -15 *2472:mprj_adr_o_core[30] *2472:mprj_dat_o_core[29] 0 -16 *1553:24 *1734:25 0.00320795 -17 *1604:7 *1734:7 0 -18 *1604:7 *1734:24 1.77537e-06 -19 *1604:23 *1734:7 0 -20 *1670:7 *2472:mprj_dat_o_core[29] 0.000243194 -21 *1670:8 *1734:10 0.0891279 -22 *1673:8 *1734:10 0.000325419 -23 *1729:16 *1734:10 0.00977543 -24 *1730:25 *1734:25 0.0386561 -25 *1732:10 *1734:10 0.0868018 -26 *1732:25 *1734:25 0.0391766 -27 *1733:22 *2471:wb_dat_i[29] 0 -*RES -1 *2478:mprj_dat_o[29] *1734:5 0.366399 -2 *1734:5 *1734:7 63.9122 -3 *1734:7 *1734:9 4.5 -4 *1734:9 *1734:10 941.331 -5 *1734:10 *2472:mprj_dat_o_core[29] 28.0472 -6 *2478:mprj_dat_o[29] *1734:24 6.36863 -7 *1734:24 *1734:25 417.785 -8 *1734:25 *2471:wb_dat_i[29] 48.8465 -*END - -*D_NET *1735 0.363432 -*CONN -*I *2472:mprj_dat_o_core[2] I *D mgmt_protect -*I *2471:wb_dat_i[2] I *D housekeeping -*I *2478:mprj_dat_o[2] O *D mgmt_core_wrapper -*CAP -1 *2472:mprj_dat_o_core[2] 0.0012368 -2 *2471:wb_dat_i[2] 1.28869e-05 -3 *2478:mprj_dat_o[2] 0.00105507 -4 *1735:24 0.0126075 -5 *1735:23 0.0132375 -6 *1735:13 0.00279405 -7 *1735:12 0.00278117 -8 *1735:10 0.0106979 -9 *1735:9 0.0106979 -10 *1735:7 0.00292185 -11 *2472:mprj_dat_o_core[2] *2472:mprj_sel_o_core[2] 0 -12 *1735:7 *2185:7 0 -13 *1735:10 *1741:10 0.0752583 -14 *1735:10 *2184:25 0.00132602 -15 *1735:10 *2186:10 0.079923 -16 *1735:13 *2471:wb_dat_i[3] 0 -17 *1735:13 *1739:19 0 -18 *1735:23 *2185:7 0 -19 *1735:24 *1739:30 0 -20 *1735:24 *1743:24 0 -21 *1735:24 *2183:19 0.000102747 -22 *1735:24 *2185:10 0.0752063 -23 *2471:wb_dat_i[1] *1735:13 0 -24 *2472:mprj_adr_o_core[2] *2472:mprj_dat_o_core[2] 0 -25 *488:32 *1735:13 0 -26 *729:11 *2472:mprj_dat_o_core[2] 0 -27 *1275:20 *1735:24 0.00228409 -28 *1607:10 *1735:10 0.000139878 -29 *1608:10 *1735:10 0.00413509 -30 *1608:30 *1735:24 0.00456436 -31 *1609:19 *1735:10 0.000246453 -32 *1671:7 *2472:mprj_dat_o_core[2] 0 -33 *1671:11 *1735:7 0 -34 *1671:11 *1735:23 0 -35 *1673:8 *1735:24 0.0552346 -36 *1676:8 *1735:10 0.000246453 -37 *1715:30 *1735:24 0 -38 *1717:10 *1735:10 0.00390299 -39 *1717:24 *1735:24 0 -40 *1718:10 *1735:10 0 -41 *1719:24 *1735:24 0 -42 *1721:24 *1735:24 0.0001506 -43 *1723:16 *1735:24 0.000127811 -44 *1725:24 *1735:24 0.000650902 -45 *1729:16 *1735:24 0.00189034 -*RES -1 *2478:mprj_dat_o[2] *1735:7 25.4769 -2 *1735:7 *1735:9 3.36879 -3 *1735:9 *1735:10 105.759 -4 *1735:10 *1735:12 3.36879 -5 *1735:12 *1735:13 67.4418 -6 *1735:13 *2471:wb_dat_i[2] 0.366399 -7 *1735:7 *1735:23 41.743 -8 *1735:23 *1735:24 96.1216 -9 *1735:24 *2472:mprj_dat_o_core[2] 29.1999 -*END - -*D_NET *1736 0.289279 -*CONN -*I *2471:wb_dat_i[30] I *D housekeeping -*I *2472:mprj_dat_o_core[30] I *D mgmt_protect -*I *2478:mprj_dat_o[30] O *D mgmt_core_wrapper -*CAP -1 *2471:wb_dat_i[30] 0.00175598 -2 *2472:mprj_dat_o_core[30] 0.00286524 -3 *2478:mprj_dat_o[30] 0.00155064 -4 *1736:23 0.00450386 -5 *1736:22 0.00277088 -6 *1736:12 0.00286524 -7 *1736:10 0.00731843 -8 *1736:9 0.00884607 -9 *2471:wb_dat_i[30] *1737:28 0 -10 *1736:9 *2412:14 0 -11 *1736:23 *2193:11 0 -12 *2471:wb_dat_i[29] *2471:wb_dat_i[30] 0 -13 *2472:mprj_adr_o_core[31] *2472:mprj_dat_o_core[30] 0 -14 *2478:mprj_dat_i[30] *1736:9 0 -15 *868:12 *2472:mprj_dat_o_core[30] 0 -16 *1252:7 *2472:mprj_dat_o_core[30] 0.00155079 -17 *1553:24 *1736:23 0.00221772 -18 *1573:10 *1736:23 0.0386237 -19 *1587:10 *1736:10 0 -20 *1588:10 *1736:10 0.000218095 -21 *1589:15 *1736:10 0.000109258 -22 *1589:31 *1736:10 0.000421596 -23 *1591:12 *1736:10 0.000272272 -24 *1591:14 *1736:10 0.0142976 -25 *1593:26 *1736:10 0.00294953 -26 *1605:7 *1736:9 0 -27 *1612:10 *1736:10 0 -28 *1652:12 *1736:10 0.0635099 -29 *1654:10 *1736:10 0.00121044 -30 *1672:5 *2472:mprj_dat_o_core[30] 0 -31 *1672:8 *1736:10 0.0892527 -32 *1718:10 *1736:10 0.00390639 -33 *1732:25 *1736:23 0.0378335 -34 *1733:19 *1736:10 0.000202245 -35 *1733:22 *2471:wb_dat_i[30] 0 -36 *1734:25 *1736:23 0.000226394 -*RES -1 *2478:mprj_dat_o[30] *1736:9 35.9981 -2 *1736:9 *1736:10 947.986 -3 *1736:10 *1736:12 4.5 -4 *1736:12 *2472:mprj_dat_o_core[30] 58.0132 -5 *2478:mprj_dat_o[30] *1736:22 5.20837 -6 *1736:22 *1736:23 406.971 -7 *1736:23 *2471:wb_dat_i[30] 49.677 -*END - -*D_NET *1737 0.237681 -*CONN -*I *2471:wb_dat_i[31] I *D housekeeping -*I *2472:mprj_dat_o_core[31] I *D mgmt_protect -*I *2478:mprj_dat_o[31] O *D mgmt_core_wrapper -*CAP -1 *2471:wb_dat_i[31] 1.28869e-05 -2 *2472:mprj_dat_o_core[31] 0.00132244 -3 *2478:mprj_dat_o[31] 0.00159365 -4 *1737:28 0.00288413 -5 *1737:27 0.00287125 -6 *1737:25 0.00397783 -7 *1737:16 0.0182934 -8 *1737:15 0.0182958 -9 *1737:9 0.00689629 -10 *1737:25 *2478:irq[1] 0.000183925 -11 *1737:28 *2471:wb_sel_i[0] 0 -12 *2471:wb_dat_i[30] *1737:28 0 -13 *1591:14 *1737:15 0.000171456 -14 *1591:14 *1737:25 0.0116742 -15 *1610:30 *1737:16 0.0243318 -16 *1673:7 *2472:mprj_dat_o_core[31] 0 -17 *1673:8 *1737:16 0.0187229 -18 *1673:11 *1737:15 0 -19 *1729:25 *1737:25 0.0025617 -20 *1732:10 *1737:16 0.0855553 -21 *1733:19 *1737:15 0.000379505 -22 *1733:19 *1737:25 0.036655 -23 *1734:10 *1737:16 0.00129795 -*RES -1 *2478:mprj_dat_o[31] *1737:9 36.4134 -2 *1737:9 *1737:15 46.4422 -3 *1737:15 *1737:16 956.86 -4 *1737:16 *2472:mprj_dat_o_core[31] 28.8777 -5 *1737:9 *1737:25 392.274 -6 *1737:25 *1737:27 4.5 -7 *1737:27 *1737:28 73.8783 -8 *1737:28 *2471:wb_dat_i[31] 0.366399 -*END - -*D_NET *1738 0.332225 -*CONN -*I *2471:wb_dat_i[3] I *D housekeeping -*I *2472:mprj_dat_o_core[3] I *D mgmt_protect -*I *2478:mprj_dat_o[3] O *D mgmt_core_wrapper -*CAP -1 *2471:wb_dat_i[3] 0.00130564 -2 *2472:mprj_dat_o_core[3] 0.00246403 -3 *2478:mprj_dat_o[3] 0.00236388 -4 *1738:33 0.00564952 -5 *1738:31 0.00558869 -6 *1738:27 0.00153146 -7 *1738:12 0.00246403 -8 *1738:10 0.0103771 -9 *1738:9 0.0124544 -10 *2471:wb_dat_i[3] *1739:19 0 -11 *2472:mprj_dat_o_core[3] *2472:mprj_sel_o_core[3] 0 -12 *1738:10 *1740:12 0.0749683 -13 *1738:10 *2184:16 0.0718148 -14 *1738:10 *2186:24 0.000563713 -15 *1738:31 *1740:29 0.000743873 -16 *1738:33 *1740:29 0 -17 *1738:33 *1740:31 0.0651021 -18 *1738:33 *2185:27 0.000122714 -19 *2478:mprj_dat_i[3] *1738:9 0 -20 *99:10 *1738:33 0.000385684 -21 *100:77 *1738:27 0.000589703 -22 *100:77 *1738:31 2.79092e-05 -23 *480:17 *1738:31 0.000416377 -24 *864:10 *1738:10 0.000101365 -25 *867:19 *2472:mprj_dat_o_core[3] 0.000343352 -26 *986:12 *1738:10 4.61116e-05 -27 *1593:30 *1738:10 0.000175462 -28 *1594:30 *1738:10 0.000101365 -29 *1606:24 *1738:9 0 -30 *1607:31 *1738:31 0.000607553 -31 *1658:14 *1738:10 0.00254022 -32 *1661:8 *1738:10 0.00286678 -33 *1674:5 *2472:mprj_dat_o_core[3] 0 -34 *1724:10 *1738:10 0.000147045 -35 *1724:27 *1738:27 0.000631564 -36 *1724:31 *1738:27 0 -37 *1724:31 *1738:31 4.73037e-06 -38 *1724:33 *1738:31 0 -39 *1724:33 *1738:33 0.0657257 -40 *1735:13 *2471:wb_dat_i[3] 0 -*RES -1 *2478:mprj_dat_o[3] *1738:9 45.4558 -2 *1738:9 *1738:10 97.7278 -3 *1738:10 *1738:12 3.36879 -4 *1738:12 *2472:mprj_dat_o_core[3] 52.4073 -5 *2478:mprj_dat_o[3] *1738:27 15.0084 -6 *1738:27 *1738:31 47.5874 -7 *1738:31 *1738:33 694.255 -8 *1738:33 *2471:wb_dat_i[3] 40.1262 -*END - -*D_NET *1739 0.28796 -*CONN -*I *2472:mprj_dat_o_core[4] I *D mgmt_protect -*I *2471:wb_dat_i[4] I *D housekeeping -*I *2478:mprj_dat_o[4] O *D mgmt_core_wrapper -*CAP -1 *2472:mprj_dat_o_core[4] 0.000826225 -2 *2471:wb_dat_i[4] 1.28869e-05 -3 *2478:mprj_dat_o[4] 0.00130334 -4 *1739:30 0.00893905 -5 *1739:29 0.00961783 -6 *1739:19 0.00336419 -7 *1739:18 0.0033513 -8 *1739:16 0.0166577 -9 *1739:15 0.0166577 -10 *1739:13 0.00218642 -11 *1739:12 0.00198476 -12 *1739:19 *2471:wb_dat_i[5] 0 -13 *1739:19 *1741:13 0 -14 *1739:30 *1741:24 0.0778123 -15 *1739:30 *1743:24 0.0764514 -16 *2471:wb_dat_i[3] *1739:19 0 -17 *2472:mprj_adr_o_core[5] *2472:mprj_dat_o_core[4] 0 -18 *2478:mprj_dat_i[5] *1739:13 5.05252e-05 -19 *1590:27 *1739:16 0.00027341 -20 *1595:10 *1739:16 0 -21 *1596:21 *1739:16 0 -22 *1603:22 *1739:16 0.0595971 -23 *1604:10 *1739:16 0 -24 *1606:25 *1739:16 0.000113197 -25 *1608:7 *1739:12 0 -26 *1608:10 *1739:12 2.2836e-05 -27 *1608:23 *1739:12 0 -28 *1608:23 *1739:13 0 -29 *1608:23 *1739:29 0 -30 *1609:19 *1739:12 0.00063214 -31 *1651:8 *1739:30 0.00366396 -32 *1655:12 *1739:30 0.00444218 -33 *1675:7 *2472:mprj_dat_o_core[4] 0 -34 *1722:10 *1739:12 0 -35 *1735:13 *1739:19 0 -36 *1735:24 *1739:30 0 -*RES -1 *2478:mprj_dat_o[4] *1739:12 43.5849 -2 *1739:12 *1739:13 12.2133 -3 *1739:13 *1739:15 4.5 -4 *1739:15 *1739:16 721.153 -5 *1739:16 *1739:18 4.5 -6 *1739:18 *1739:19 81.768 -7 *1739:19 *2471:wb_dat_i[4] 0.366399 -8 *1739:13 *1739:29 35.7218 -9 *1739:29 *1739:30 100.787 -10 *1739:30 *2472:mprj_dat_o_core[4] 20.8949 -*END - -*D_NET *1740 0.339126 -*CONN -*I *2471:wb_dat_i[5] I *D housekeeping -*I *2472:mprj_dat_o_core[5] I *D mgmt_protect -*I *2478:mprj_dat_o[5] O *D mgmt_core_wrapper -*CAP -1 *2471:wb_dat_i[5] 0.00132024 -2 *2472:mprj_dat_o_core[5] 0.00201338 -3 *2478:mprj_dat_o[5] 0.00241128 -4 *1740:31 0.00547632 -5 *1740:29 0.0047129 -6 *1740:14 0.00201338 -7 *1740:12 0.011177 -8 *1740:11 0.0130315 -9 *2471:wb_dat_i[5] *1741:13 0 -10 *1740:12 *1742:30 0.00125604 -11 *1740:12 *2186:24 0.0759981 -12 *1740:29 *1742:5 4.24594e-05 -13 *1740:31 *1742:7 0.0655471 -14 *1740:31 *2185:27 8.8758e-05 -15 *2472:mprj_adr_o_core[6] *2472:mprj_dat_o_core[5] 0 -16 *99:10 *1740:31 0.000418593 -17 *480:17 *1740:29 0.000337843 -18 *864:10 *1740:12 0.000511918 -19 *866:15 *2472:mprj_dat_o_core[5] 0.0014697 -20 *1593:30 *1740:12 0.000214358 -21 *1594:24 *1740:12 0.00305581 -22 *1596:10 *1740:12 0.000144814 -23 *1607:31 *1740:29 0.00277355 -24 *1607:31 *1740:31 0 -25 *1609:18 *1740:11 0 -26 *1609:18 *1740:29 0 -27 *1658:14 *1740:12 0.000228981 -28 *1661:8 *1740:12 0.00024852 -29 *1663:12 *1740:12 0.000110257 -30 *1663:14 *1740:12 0.000157517 -31 *1676:5 *2472:mprj_dat_o_core[5] 0.000640321 -32 *1726:10 *1740:12 0.00291073 -33 *1738:10 *1740:12 0.0749683 -34 *1738:31 *1740:29 0.000743873 -35 *1738:33 *1740:29 0 -36 *1738:33 *1740:31 0.0651021 -37 *1739:19 *2471:wb_dat_i[5] 0 -*RES -1 *2478:mprj_dat_o[5] *1740:11 43.1109 -2 *1740:11 *1740:12 100.787 -3 *1740:12 *1740:14 3.36879 -4 *1740:14 *2472:mprj_dat_o_core[5] 53.2378 -5 *2478:mprj_dat_o[5] *1740:29 38.2738 -6 *1740:29 *1740:31 687.877 -7 *1740:31 *2471:wb_dat_i[5] 40.5414 -*END - -*D_NET *1741 0.316383 -*CONN -*I *2472:mprj_dat_o_core[6] I *D mgmt_protect -*I *2471:wb_dat_i[6] I *D housekeeping -*I *2478:mprj_dat_o[6] O *D mgmt_core_wrapper -*CAP -1 *2472:mprj_dat_o_core[6] 0.000788009 -2 *2471:wb_dat_i[6] 1.28869e-05 -3 *2478:mprj_dat_o[6] 0.00103182 -4 *1741:24 0.0228815 -5 *1741:23 0.0220935 -6 *1741:21 0.00224653 -7 *1741:13 0.00281638 -8 *1741:12 0.0028035 -9 *1741:10 0.0236734 -10 *1741:9 0.0236734 -11 *1741:7 0.00327835 -12 *1741:10 *2478:irq[0] 0.00593133 -13 *1741:10 *2478:irq[1] 2.48636e-05 -14 *1741:10 *2478:irq[2] 0.0010138 -15 *1741:10 *2186:10 0.000499585 -16 *1741:13 *2471:wb_dat_i[7] 0 -17 *1741:13 *1743:13 0 -18 *1741:24 *1743:24 0.000994708 -19 *2471:wb_dat_i[5] *1741:13 0 -20 *2472:mprj_adr_o_core[7] *2472:mprj_dat_o_core[6] 0 -21 *635:8 *1741:24 0.00352548 -22 *1145:10 *1741:24 0.00313436 -23 *1579:8 *1741:24 0.00369071 -24 *1581:24 *1741:24 0.00411816 -25 *1587:10 *1741:10 0.000605439 -26 *1587:24 *1741:24 0.00582633 -27 *1590:18 *1741:24 0.00724031 -28 *1610:7 *1741:7 0 -29 *1610:10 *1741:10 0.00443437 -30 *1610:23 *1741:21 0 -31 *1612:10 *1741:10 0.00126156 -32 *1612:30 *1741:24 0.00333812 -33 *1653:10 *1741:24 0.00426103 -34 *1677:7 *2472:mprj_dat_o_core[6] 0 -35 *1718:10 *1741:10 0 -36 *1719:10 *1741:10 0.00376542 -37 *1721:10 *1741:10 0.001053 -38 *1723:25 *1741:10 0.000332844 -39 *1725:10 *1741:10 0.000742466 -40 *1727:10 *1741:10 0.00221918 -41 *1735:10 *1741:10 0.0752583 -42 *1739:19 *1741:13 0 -43 *1739:30 *1741:24 0.0778123 -*RES -1 *2478:mprj_dat_o[6] *1741:7 24.622 -2 *1741:7 *1741:9 3.36879 -3 *1741:9 *1741:10 97.2689 -4 *1741:10 *1741:12 3.36879 -5 *1741:12 *1741:13 68.2723 -6 *1741:13 *2471:wb_dat_i[6] 0.366399 -7 *1741:7 *1741:21 46.6792 -8 *1741:21 *1741:23 3.36879 -9 *1741:23 *1741:24 102.011 -10 *1741:24 *2472:mprj_dat_o_core[6] 20.0644 -*END - -*D_NET *1742 0.335594 -*CONN -*I *2472:mprj_dat_o_core[7] I *D mgmt_protect -*I *2471:wb_dat_i[7] I *D housekeeping -*I *2478:mprj_dat_o[7] O *D mgmt_core_wrapper -*CAP -1 *2472:mprj_dat_o_core[7] 0.00235317 -2 *2471:wb_dat_i[7] 0.00133485 -3 *2478:mprj_dat_o[7] 0.00206654 -4 *1742:32 0.00235317 -5 *1742:30 0.0110241 -6 *1742:29 0.0130683 -7 *1742:7 0.00609102 -8 *1742:5 0.00477855 -9 *2471:wb_dat_i[7] *1743:13 0 -10 *1742:7 *1744:33 0.06254 -11 *1742:7 *2185:27 3.18408e-05 -12 *1742:30 *1744:10 0.0793401 -13 *1742:30 *2186:24 0.0745623 -14 *2472:mprj_adr_o_core[8] *2472:mprj_dat_o_core[7] 0 -15 *2478:mprj_dat_i[7] *1742:29 0 -16 *99:10 *1742:7 0.000463439 -17 *731:11 *2472:mprj_dat_o_core[7] 0.00165642 -18 *862:10 *1742:30 0.000252021 -19 *987:12 *1742:30 0.000293424 -20 *1246:12 *1742:30 2.61599e-05 -21 *1594:24 *1742:30 0.000100568 -22 *1596:10 *1742:30 0.000116596 -23 *1607:31 *1742:7 0 -24 *1663:14 *1742:30 0.000107429 -25 *1666:8 *1742:30 0.00316606 -26 *1678:5 *2472:mprj_dat_o_core[7] 0 -27 *1727:24 *1742:30 0.00302282 -28 *1740:12 *1742:30 0.00125604 -29 *1740:29 *1742:5 4.24594e-05 -30 *1740:31 *1742:7 0.0655471 -31 *1741:13 *2471:wb_dat_i[7] 0 -*RES -1 *2478:mprj_dat_o[7] *1742:5 5.48864 -2 *1742:5 *1742:7 692.591 -3 *1742:7 *2471:wb_dat_i[7] 40.9567 -4 *2478:mprj_dat_o[7] *1742:29 45.3337 -5 *1742:29 *1742:30 102.929 -6 *1742:30 *1742:32 3.36879 -7 *1742:32 *2472:mprj_dat_o_core[7] 54.8988 -*END - -*D_NET *1743 0.326433 -*CONN -*I *2472:mprj_dat_o_core[8] I *D mgmt_protect -*I *2471:wb_dat_i[8] I *D housekeeping -*I *2478:mprj_dat_o[8] O *D mgmt_core_wrapper -*CAP -1 *2472:mprj_dat_o_core[8] 0.00088156 -2 *2471:wb_dat_i[8] 1.28869e-05 -3 *2478:mprj_dat_o[8] 0.000995965 -4 *1743:24 0.00890632 -5 *1743:23 0.00802476 -6 *1743:21 0.00226847 -7 *1743:13 0.00267991 -8 *1743:12 0.00266702 -9 *1743:10 0.00650743 -10 *1743:9 0.00650743 -11 *1743:7 0.00326444 -12 *1743:10 *2184:25 0.00460762 -13 *1743:13 *2471:wb_dat_i[9] 0 -14 *2471:wb_dat_i[7] *1743:13 0 -15 *2472:mprj_adr_o_core[9] *2472:mprj_dat_o_core[8] 0 -16 *1593:10 *1743:10 0.042709 -17 *1594:10 *1743:10 0.000113197 -18 *1597:30 *1743:24 0.00039825 -19 *1657:8 *1743:24 0.00461545 -20 *1662:14 *1743:24 0.00414909 -21 *1679:7 *2472:mprj_dat_o_core[8] 0 -22 *1679:11 *1743:7 0 -23 *1679:11 *1743:21 0 -24 *1714:27 *1743:10 0.0052714 -25 *1714:30 *1743:13 0 -26 *1715:30 *1743:24 0.0798671 -27 *1720:10 *1743:10 0.0117089 -28 *1722:10 *1743:10 0.0143852 -29 *1731:10 *1743:10 0.038446 -30 *1735:24 *1743:24 0 -31 *1739:30 *1743:24 0.0764514 -32 *1741:13 *1743:13 0 -33 *1741:24 *1743:24 0.000994708 -*RES -1 *2478:mprj_dat_o[8] *1743:7 24.0236 -2 *1743:7 *1743:9 4.5 -3 *1743:9 *1743:10 681.777 -4 *1743:10 *1743:12 4.5 -5 *1743:12 *1743:13 65.9885 -6 *1743:13 *2471:wb_dat_i[8] 0.366399 -7 *1743:7 *1743:21 47.3021 -8 *1743:21 *1743:23 3.36879 -9 *1743:23 *1743:24 104.23 -10 *1743:24 *2472:mprj_dat_o_core[8] 21.7254 -*END - -*D_NET *1744 0.333947 -*CONN -*I *2471:wb_dat_i[9] I *D housekeeping -*I *2472:mprj_dat_o_core[9] I *D mgmt_protect -*I *2478:mprj_dat_o[9] O *D mgmt_core_wrapper -*CAP -1 *2471:wb_dat_i[9] 0.00134945 -2 *2472:mprj_dat_o_core[9] 0.00284618 -3 *2478:mprj_dat_o[9] 0.00213438 -4 *1744:33 0.00580797 -5 *1744:31 0.00497205 -6 *1744:12 0.00284618 -7 *1744:10 0.0115736 -8 *1744:9 0.0131945 -9 *1744:31 *2193:11 0.000436811 -10 *1744:33 *2185:27 0 -11 *2472:mprj_adr_o_core[10] *2472:mprj_dat_o_core[9] 0 -12 *2478:mprj_dat_i[9] *1744:9 0 -13 *99:10 *1744:33 0.000504093 -14 *610:14 *1744:10 0.000545583 -15 *1246:12 *1744:10 0.000162159 -16 *1596:10 *1744:10 0.000102518 -17 *1607:31 *1744:33 5.80259e-05 -18 *1607:35 *1744:33 0.0524965 -19 *1611:25 *1744:31 0.000432613 -20 *1650:5 *2472:mprj_dat_o_core[9] 0 -21 *1667:10 *1744:10 0.00304106 -22 *1680:5 *2472:mprj_dat_o_core[9] 0 -23 *1714:16 *1744:10 0.0819887 -24 *1714:30 *2471:wb_dat_i[9] 0 -25 *1716:27 *1744:33 0.00426676 -26 *1730:10 *1744:10 0.00330783 -27 *1742:7 *1744:33 0.06254 -28 *1742:30 *1744:10 0.0793401 -29 *1743:13 *2471:wb_dat_i[9] 0 -*RES -1 *2478:mprj_dat_o[9] *1744:9 38.8118 -2 *1744:9 *1744:10 105.377 -3 *1744:10 *1744:12 3.36879 -4 *1744:12 *2472:mprj_dat_o_core[9] 55.7293 -5 *2478:mprj_dat_o[9] *1744:31 26.6681 -6 *1744:31 *1744:33 661.256 -7 *1744:33 *2471:wb_dat_i[9] 41.372 -*END - -*D_NET *1745 0.0594405 -*CONN -*I *2473:wbs_dat_i[0] I *D user_analog_project_wrapper -*I *2472:mprj_dat_o_user[0] O *D mgmt_protect -*CAP -1 *2473:wbs_dat_i[0] 0.0023407 -2 *2472:mprj_dat_o_user[0] 0.000816953 -3 *1745:10 0.0023407 -4 *1745:8 0.0256412 -5 *1745:7 0.0264581 -6 *1745:7 *2187:7 0 -7 *1745:8 *1764:8 0 -8 *1745:8 *1768:8 0.000524873 -9 *2472:mprj_dat_i_user[0] *1745:7 0 -10 *989:8 *1745:8 0.000848219 -11 *1620:8 *1745:8 6.50586e-05 -12 *1632:8 *1745:8 0 -13 *1634:8 *1745:8 0 -14 *1636:8 *1745:8 4.35194e-05 -15 *1701:8 *1745:8 0 -16 *1705:8 *1745:8 0.000361169 -*RES -1 *2472:mprj_dat_o_user[0] *1745:7 26.3862 -2 *1745:7 *1745:8 733.909 -3 *1745:8 *1745:10 4.5 -4 *1745:10 *2473:wbs_dat_i[0] 62.1657 -*END - -*D_NET *1746 0.128128 -*CONN -*I *2473:wbs_dat_i[10] I *D user_analog_project_wrapper -*I *2472:mprj_dat_o_user[10] O *D mgmt_protect -*CAP -1 *2473:wbs_dat_i[10] 0.00206891 -2 *2472:mprj_dat_o_user[10] 0.00147385 -3 *1746:10 0.00206891 -4 *1746:8 0.00415993 -5 *1746:7 0.00563378 -6 *1746:8 *1771:8 0.00010238 -7 *1746:8 *2189:8 0.00477669 -8 *2472:mprj_dat_i_user[10] *1746:7 0 -9 *2472:mprj_dat_i_user[11] *1746:7 0 -10 *2473:la_oenb[5] *1746:7 2.71397e-05 -11 *1445:8 *1746:8 0.000128915 -12 *1614:8 *1746:8 0.0549294 -13 *1615:7 *1746:7 0 -14 *1683:8 *1746:8 0.0527584 -*RES -1 *2472:mprj_dat_o_user[10] *1746:7 38.4285 -2 *1746:7 *1746:8 583.057 -3 *1746:8 *1746:10 4.5 -4 *1746:10 *2473:wbs_dat_i[10] 50.1234 -*END - -*D_NET *1747 0.142845 -*CONN -*I *2473:wbs_dat_i[11] I *D user_analog_project_wrapper -*I *2472:mprj_dat_o_user[11] O *D mgmt_protect -*CAP -1 *2473:wbs_dat_i[11] 0.00174355 -2 *2472:mprj_dat_o_user[11] 0.001813 -3 *1747:8 0.0092667 -4 *1747:7 0.00933615 -5 *1747:8 *1775:8 0 -6 *1747:8 *1776:8 0.0600803 -7 *2472:mprj_dat_i_user[11] *1747:7 0 -8 *2473:la_data_in[6] *1747:7 0.000437695 -9 *1616:7 *1747:7 0 -10 *1616:8 *1747:8 0 -11 *1631:8 *1747:8 0.000748203 -12 *1682:8 *1747:8 0.000242717 -13 *1684:8 *1747:8 0.0587635 -14 *1698:8 *1747:8 0.000412718 -*RES -1 *2472:mprj_dat_o_user[11] *1747:7 45.81 -2 *1747:7 *1747:8 78.6053 -3 *1747:8 *2473:wbs_dat_i[11] 44.9795 -*END - -*D_NET *1748 0.121445 -*CONN -*I *2473:wbs_dat_i[12] I *D user_analog_project_wrapper -*I *2472:mprj_dat_o_user[12] O *D mgmt_protect -*CAP -1 *2473:wbs_dat_i[12] 0.00205777 -2 *2472:mprj_dat_o_user[12] 0.00146376 -3 *1748:10 0.00205777 -4 *1748:8 0.00407883 -5 *1748:7 0.00554259 -6 *1748:8 *1771:8 0.00414196 -7 *2472:mprj_dat_i_user[12] *1748:7 0 -8 *827:8 *1748:8 0.000312946 -9 *1456:8 *1748:8 4.92912e-05 -10 *1617:5 *1748:7 0 -11 *1618:14 *1748:8 0.0462289 -12 *1683:8 *1748:8 0.0518492 -13 *1685:8 *1748:8 0.00034733 -14 *1685:16 *1748:7 0.000140424 -15 *1691:14 *1748:8 0.00317414 -*RES -1 *2472:mprj_dat_o_user[12] *1748:7 39.2591 -2 *1748:7 *1748:8 556.436 -3 *1748:8 *1748:10 4.5 -4 *1748:10 *2473:wbs_dat_i[12] 49.2929 -*END - -*D_NET *1749 0.117115 -*CONN -*I *2473:wbs_dat_i[13] I *D user_analog_project_wrapper -*I *2472:mprj_dat_o_user[13] O *D mgmt_protect -*CAP -1 *2473:wbs_dat_i[13] 0.00140415 -2 *2472:mprj_dat_o_user[13] 0.00209736 -3 *1749:8 0.00545992 -4 *1749:7 0.00405577 -5 *1749:5 0.00209736 -6 *1749:8 *1767:10 0.00163396 -7 *2472:mprj_dat_i_user[13] *1749:5 0 -8 *2473:la_oenb[6] *1749:5 0.000325317 -9 *805:8 *1749:8 0.000114786 -10 *1617:5 *1749:5 0 -11 *1617:8 *1749:8 0.0510686 -12 *1618:7 *1749:5 0 -13 *1686:8 *1749:8 0.0488574 -14 *1686:11 *1749:5 0 -*RES -1 *2472:mprj_dat_o_user[13] *1749:5 48.8776 -2 *1749:5 *1749:7 4.5 -3 *1749:7 *1749:8 543.126 -4 *1749:8 *2473:wbs_dat_i[13] 39.6743 -*END - -*D_NET *1750 0.125488 -*CONN -*I *2473:wbs_dat_i[14] I *D user_analog_project_wrapper -*I *2472:mprj_dat_o_user[14] O *D mgmt_protect -*CAP -1 *2473:wbs_dat_i[14] 0.00249562 -2 *2472:mprj_dat_o_user[14] 0.00119476 -3 *1750:10 0.00249562 -4 *1750:8 0.0115464 -5 *1750:7 0.0127412 -6 *1750:8 *1754:8 0.0458542 -7 *1750:8 *1756:8 0.000104744 -8 *1750:8 *1758:8 0.00215785 -9 *1750:8 *1771:8 0 -10 *1750:8 *1773:8 0 -11 *1750:8 *1775:8 0 -12 *1750:8 *2187:8 0.000452673 -13 *1750:8 *2189:8 0 -14 *1750:8 *2196:8 0.043432 -15 *1619:5 *1750:7 0 -16 *1624:8 *1750:8 7.06288e-05 -17 *1626:8 *1750:8 0.0028485 -18 *1686:11 *1750:7 0 -19 *1703:8 *1750:8 9.37208e-05 -*RES -1 *2472:mprj_dat_o_user[14] *1750:7 31.6914 -2 *1750:7 *1750:8 73.098 -3 *1750:8 *1750:10 3.36879 -4 *1750:10 *2473:wbs_dat_i[14] 55.7293 -*END - -*D_NET *1751 0.112534 -*CONN -*I *2473:wbs_dat_i[15] I *D user_analog_project_wrapper -*I *2472:mprj_dat_o_user[15] O *D mgmt_protect -*CAP -1 *2473:wbs_dat_i[15] 0.00137405 -2 *2472:mprj_dat_o_user[15] 0.0022325 -3 *1751:8 0.00497523 -4 *1751:7 0.00360118 -5 *1751:5 0.0022325 -6 *1751:8 *2190:8 0.00010238 -7 *2472:mprj_dat_i_user[15] *1751:5 0 -8 *2472:mprj_dat_i_user[16] *1751:5 0 -9 *2473:la_oenb[7] *1751:5 0 -10 *805:8 *1751:8 7.09348e-05 -11 *1094:5 *1751:5 0 -12 *1619:5 *1751:5 0 -13 *1619:8 *1751:8 0.0485346 -14 *1620:7 *1751:5 0 -15 *1686:8 *1751:8 0.0478548 -16 *1688:8 *1751:8 0.000202245 -17 *1692:8 *1751:8 0.00135408 -*RES -1 *2472:mprj_dat_o_user[15] *1751:5 49.7081 -2 *1751:5 *1751:7 4.5 -3 *1751:7 *1751:8 516.505 -4 *1751:8 *2473:wbs_dat_i[15] 38.8438 -*END - -*D_NET *1752 0.111497 -*CONN -*I *2473:wbs_dat_i[16] I *D user_analog_project_wrapper -*I *2472:mprj_dat_o_user[16] O *D mgmt_protect -*CAP -1 *2473:wbs_dat_i[16] 0.00206497 -2 *2472:mprj_dat_o_user[16] 0.00170899 -3 *1752:14 0.00206497 -4 *1752:12 0.00360043 -5 *1752:10 0.00530942 -6 *2472:mprj_dat_i_user[16] *1752:10 0 -7 *1083:8 *1752:10 4.51518e-05 -8 *1083:8 *1752:12 0.00247657 -9 *1478:8 *1752:10 0.000426168 -10 *1618:8 *1752:12 0.00425295 -11 *1621:7 *1752:10 0 -12 *1685:8 *1752:12 0.0445541 -13 *1687:10 *1752:12 8.92241e-05 -14 *1687:12 *1752:12 0.0400836 -15 *1689:8 *1752:12 1.15389e-05 -16 *1689:12 *1752:10 0.000609798 -17 *1689:12 *1752:12 0.000351167 -18 *1691:14 *1752:12 3.83336e-05 -19 *1709:8 *1752:12 0.00380939 -*RES -1 *2472:mprj_dat_o_user[16] *1752:10 48.8707 -2 *1752:10 *1752:12 496.816 -3 *1752:12 *1752:14 4.5 -4 *1752:14 *2473:wbs_dat_i[16] 48.0471 -*END - -*D_NET *1753 0.104256 -*CONN -*I *2473:wbs_dat_i[17] I *D user_analog_project_wrapper -*I *2472:mprj_dat_o_user[17] O *D mgmt_protect -*CAP -1 *2473:wbs_dat_i[17] 0.00128943 -2 *2472:mprj_dat_o_user[17] 0.00228509 -3 *1753:8 0.00513762 -4 *1753:7 0.00384819 -5 *1753:5 0.00228509 -6 *1753:8 *1755:8 0.0424105 -7 *1753:8 *1770:8 0.000629962 -8 *2472:mprj_dat_i_user[17] *1753:5 0 -9 *2473:la_data_in[8] *1753:5 0 -10 *805:8 *1753:8 0 -11 *1105:5 *1753:5 0 -12 *1621:10 *1753:8 0.0459494 -13 *1622:7 *1753:5 0 -14 *1623:8 *1753:8 0.00028978 -15 *1690:8 *1753:8 0.000131218 -*RES -1 *2472:mprj_dat_o_user[17] *1753:5 51.3691 -2 *1753:5 *1753:7 4.5 -3 *1753:7 *1753:8 489.884 -4 *1753:8 *2473:wbs_dat_i[17] 37.1828 -*END - -*D_NET *1754 0.119861 -*CONN -*I *2473:wbs_dat_i[18] I *D user_analog_project_wrapper -*I *2472:mprj_dat_o_user[18] O *D mgmt_protect -*CAP -1 *2473:wbs_dat_i[18] 0.00192904 -2 *2472:mprj_dat_o_user[18] 0.0011334 -3 *1754:16 0.00340753 -4 *1754:8 0.00901391 -5 *1754:7 0.00866882 -6 *1754:8 *1760:8 0.00205572 -7 *2472:mprj_dat_i_user[18] *1754:7 0 -8 *1616:8 *1754:16 0.000199062 -9 *1620:14 *1754:16 0 -10 *1622:12 *1754:16 0 -11 *1623:5 *1754:7 0 -12 *1693:8 *1754:8 0.0461657 -13 *1697:8 *1754:8 0.00143374 -14 *1750:8 *1754:8 0.0458542 -*RES -1 *2472:mprj_dat_o_user[18] *1754:7 30.8609 -2 *1754:7 *1754:8 62.8483 -3 *1754:8 *1754:16 46.0334 -4 *1754:16 *2473:wbs_dat_i[18] 44.3099 -*END - -*D_NET *1755 0.101498 -*CONN -*I *2473:wbs_dat_i[19] I *D user_analog_project_wrapper -*I *2472:mprj_dat_o_user[19] O *D mgmt_protect -*CAP -1 *2473:wbs_dat_i[19] 0.00128646 -2 *2472:mprj_dat_o_user[19] 0.00176325 -3 *1755:8 0.00465959 -4 *1755:7 0.00337313 -5 *1755:5 0.00176325 -6 *2472:mprj_dat_i_user[19] *1755:5 0 -7 *2473:la_data_in[9] *1755:5 0.000916502 -8 *805:8 *1755:8 0 -9 *1623:5 *1755:5 0 -10 *1623:8 *1755:8 0.0434492 -11 *1625:7 *1755:5 0.000878557 -12 *1625:10 *1755:8 0.00020979 -13 *1641:8 *1755:8 0.000787603 -14 *1753:8 *1755:8 0.0424105 -*RES -1 *2472:mprj_dat_o_user[19] *1755:5 51.7844 -2 *1755:5 *1755:7 4.5 -3 *1755:7 *1755:8 463.263 -4 *1755:8 *2473:wbs_dat_i[19] 36.7675 -*END - -*D_NET *1756 0.183121 -*CONN -*I *2473:wbs_dat_i[1] I *D user_analog_project_wrapper -*I *2472:mprj_dat_o_user[1] O *D mgmt_protect -*CAP -1 *2473:wbs_dat_i[1] 0.00197422 -2 *2472:mprj_dat_o_user[1] 0.00138219 -3 *1756:10 0.00197422 -4 *1756:8 0.00610549 -5 *1756:7 0.00748768 -6 *1756:7 *2188:5 0 -7 *1624:8 *1756:8 0.0775824 -8 *1642:8 *1756:8 0.00560945 -9 *1692:11 *1756:7 0 -10 *1703:8 *1756:8 0.0742945 -11 *1707:8 *1756:8 0.00660562 -12 *1750:8 *1756:8 0.000104744 -*RES -1 *2472:mprj_dat_o_user[1] *1756:7 35.0134 -2 *1756:7 *1756:8 98.8752 -3 *1756:8 *1756:10 3.36879 -4 *1756:10 *2473:wbs_dat_i[1] 52.4073 -*END - -*D_NET *1757 0.0905265 -*CONN -*I *2473:wbs_dat_i[20] I *D user_analog_project_wrapper -*I *2472:mprj_dat_o_user[20] O *D mgmt_protect -*CAP -1 *2473:wbs_dat_i[20] 0.00119383 -2 *2472:mprj_dat_o_user[20] 0.00221319 -3 *1757:8 0.00505138 -4 *1757:7 0.00385755 -5 *1757:5 0.00221319 -6 *1757:8 *1759:14 0.029409 -7 *1757:8 *1774:8 0.00010238 -8 *2472:mprj_dat_i_user[20] *1757:5 0 -9 *2472:mprj_dat_i_user[21] *1757:5 0 -10 *1116:5 *1757:5 0.000385114 -11 *1625:10 *1757:8 0.000484464 -12 *1626:7 *1757:5 0 -13 *1690:8 *1757:8 0.0408833 -14 *1694:8 *1757:8 0.00473309 -*RES -1 *2472:mprj_dat_o_user[20] *1757:5 53.4454 -2 *1757:5 *1757:7 4.5 -3 *1757:7 *1757:8 449.952 -4 *1757:8 *2473:wbs_dat_i[20] 35.1065 -*END - -*D_NET *1758 0.0988924 -*CONN -*I *2473:wbs_dat_i[21] I *D user_analog_project_wrapper -*I *2472:mprj_dat_o_user[21] O *D mgmt_protect -*CAP -1 *2473:wbs_dat_i[21] 0.00261108 -2 *2472:mprj_dat_o_user[21] 0.000792237 -3 *1758:10 0.00261108 -4 *1758:8 0.003161 -5 *1758:7 0.00395324 -6 *1758:8 *2182:8 0.0226282 -7 *2472:mprj_dat_i_user[21] *1758:7 0 -8 *1373:8 *1758:8 0.0182208 -9 *1613:8 *1758:8 0.000106589 -10 *1626:8 *1758:8 0.0407286 -11 *1627:7 *1758:7 0.00169535 -12 *1697:8 *1758:8 0.000226394 -13 *1750:8 *1758:8 0.00215785 -*RES -1 *2472:mprj_dat_o_user[21] *1758:7 33.4455 -2 *1758:7 *1758:8 436.642 -3 *1758:8 *1758:10 4.5 -4 *1758:10 *2473:wbs_dat_i[21] 55.1064 -*END - -*D_NET *1759 0.0879461 -*CONN -*I *2473:wbs_dat_i[22] I *D user_analog_project_wrapper -*I *2472:mprj_dat_o_user[22] O *D mgmt_protect -*CAP -1 *2473:wbs_dat_i[22] 0.00118291 -2 *2472:mprj_dat_o_user[22] 0.00206912 -3 *1759:14 0.0032621 -4 *1759:13 0.00240758 -5 *1759:8 0.00150795 -6 *1759:7 0.00117956 -7 *1759:5 0.00206912 -8 *2472:mprj_dat_i_user[22] *1759:5 0 -9 *2472:mprj_dat_i_user[23] *1759:5 0 -10 *772:8 *1759:8 0.00470981 -11 *794:14 *1759:8 0.0106547 -12 *816:11 *1759:13 0 -13 *1445:11 *1759:13 8.29573e-05 -14 *1628:7 *1759:5 0 -15 *1694:8 *1759:14 0.0294113 -16 *1757:8 *1759:14 0.029409 -*RES -1 *2472:mprj_dat_o_user[22] *1759:5 46.8014 -2 *1759:5 *1759:7 4.5 -3 *1759:7 *1759:8 113.308 -4 *1759:8 *1759:13 16.2303 -5 *1759:13 *1759:14 310.192 -6 *1759:14 *2473:wbs_dat_i[22] 34.6913 -*END - -*D_NET *1760 0.0890054 -*CONN -*I *2473:wbs_dat_i[23] I *D user_analog_project_wrapper -*I *2472:mprj_dat_o_user[23] O *D mgmt_protect -*CAP -1 *2473:wbs_dat_i[23] 0.00270906 -2 *2472:mprj_dat_o_user[23] 0.00110217 -3 *1760:10 0.00270906 -4 *1760:8 0.00359917 -5 *1760:7 0.00470134 -6 *1760:8 *1762:8 0.0346106 -7 *2472:mprj_dat_i_user[23] *1760:7 0 -8 *1626:8 *1760:8 0.00122665 -9 *1629:7 *1760:7 0 -10 *1630:8 *1760:8 0.000309013 -11 *1697:8 *1760:8 0.0359827 -12 *1754:8 *1760:8 0.00205572 -*RES -1 *2472:mprj_dat_o_user[23] *1760:7 32.1998 -2 *1760:7 *1760:8 410.021 -3 *1760:8 *1760:10 4.5 -4 *1760:10 *2473:wbs_dat_i[23] 56.3522 -*END - -*D_NET *1761 0.087475 -*CONN -*I *2473:wbs_dat_i[24] I *D user_analog_project_wrapper -*I *2472:mprj_dat_o_user[24] O *D mgmt_protect -*CAP -1 *2473:wbs_dat_i[24] 0.00178266 -2 *2472:mprj_dat_o_user[24] 0.00194583 -3 *1761:8 0.00475069 -4 *1761:7 0.00491386 -5 *1761:8 *1763:8 0.000233938 -6 *2472:mprj_dat_i_user[24] *1761:7 0 -7 *2472:mprj_dat_i_user[25] *1761:7 0 -8 *772:8 *1761:8 0.000262266 -9 *1629:7 *1761:7 0 -10 *1629:8 *1761:8 0.0369113 -11 *1630:7 *1761:7 0 -12 *1682:8 *1761:8 0.000238938 -13 *1696:8 *1761:8 0.0364355 -*RES -1 *2472:mprj_dat_o_user[24] *1761:7 49.2251 -2 *1761:7 *1761:8 396.71 -3 *1761:8 *2473:wbs_dat_i[24] 43.8268 -*END - -*D_NET *1762 0.085911 -*CONN -*I *2473:wbs_dat_i[25] I *D user_analog_project_wrapper -*I *2472:mprj_dat_o_user[25] O *D mgmt_protect -*CAP -1 *2473:wbs_dat_i[25] 0.00276903 -2 *2472:mprj_dat_o_user[25] 0.00107388 -3 *1762:10 0.00276903 -4 *1762:8 0.00304413 -5 *1762:7 0.00411801 -6 *2472:mprj_dat_i_user[25] *1762:7 0 -7 *1373:8 *1762:8 6.58943e-05 -8 *1630:8 *1762:8 0.035598 -9 *1631:7 *1762:7 0 -10 *1693:8 *1762:8 0.00143374 -11 *1697:8 *1762:8 0.000202245 -12 *1699:8 *1762:8 0.000226394 -13 *1760:8 *1762:8 0.0346106 -*RES -1 *2472:mprj_dat_o_user[25] *1762:7 31.7845 -2 *1762:7 *1762:8 383.4 -3 *1762:8 *1762:10 4.5 -4 *1762:10 *2473:wbs_dat_i[25] 56.7674 -*END - -*D_NET *1763 0.0812645 -*CONN -*I *2473:wbs_dat_i[26] I *D user_analog_project_wrapper -*I *2472:mprj_dat_o_user[26] O *D mgmt_protect -*CAP -1 *2473:wbs_dat_i[26] 0.00183718 -2 *2472:mprj_dat_o_user[26] 0.00187905 -3 *1763:8 0.00478626 -4 *1763:7 0.00482812 -5 *1763:7 *1765:13 0 -6 *1763:8 *1776:8 0.000238938 -7 *2472:mprj_dat_i_user[26] *1763:7 0 -8 *2472:mprj_dat_i_user[27] *1763:7 0 -9 *772:8 *1763:8 0.000102959 -10 *1412:8 *1763:8 0.0200465 -11 *1620:14 *1763:8 0.0141561 -12 *1629:8 *1763:8 0.03285 -13 *1632:7 *1763:7 0 -14 *1698:8 *1763:8 0.000305446 -15 *1761:8 *1763:8 0.000233938 -*RES -1 *2472:mprj_dat_o_user[26] *1763:7 48.3946 -2 *1763:7 *1763:8 370.089 -3 *1763:8 *2473:wbs_dat_i[26] 44.6573 -*END - -*D_NET *1764 0.0792878 -*CONN -*I *2473:wbs_dat_i[27] I *D user_analog_project_wrapper -*I *2472:mprj_dat_o_user[27] O *D mgmt_protect -*CAP -1 *2473:wbs_dat_i[27] 0.00290263 -2 *2472:mprj_dat_o_user[27] 0.00100182 -3 *1764:10 0.00290263 -4 *1764:8 0.00329276 -5 *1764:7 0.00429457 -6 *2472:mprj_dat_i_user[27] *1764:7 0 -7 *1373:8 *1764:8 0 -8 *1628:8 *1764:8 0.00107168 -9 *1632:8 *1764:8 0.033006 -10 *1633:7 *1764:7 0 -11 *1701:8 *1764:8 0.0308157 -12 *1745:8 *1764:8 0 -*RES -1 *2472:mprj_dat_o_user[27] *1764:7 30.1235 -2 *1764:7 *1764:8 356.779 -3 *1764:8 *1764:10 4.5 -4 *1764:10 *2473:wbs_dat_i[27] 58.4284 -*END - -*D_NET *1765 0.0753266 -*CONN -*I *2473:wbs_dat_i[28] I *D user_analog_project_wrapper -*I *2472:mprj_dat_o_user[28] O *D mgmt_protect -*CAP -1 *2473:wbs_dat_i[28] 0.00196521 -2 *2472:mprj_dat_o_user[28] 0.00129358 -3 *1765:22 0.00264367 -4 *1765:20 0.000946128 -5 *1765:14 0.00191814 -6 *1765:13 0.00257647 -7 *1765:8 0.00221957 -8 *2472:mprj_dat_i_user[26] *1765:13 0.00023907 -9 *2472:mprj_dat_i_user[28] *1765:8 0 -10 *849:8 *1765:8 0.00182139 -11 *1631:7 *1765:13 0 -12 *1631:8 *1765:14 1.67988e-05 -13 *1631:8 *1765:20 0.00194244 -14 *1631:8 *1765:22 0.000426221 -15 *1633:8 *1765:14 0.0195894 -16 *1634:7 *1765:8 0 -17 *1645:10 *1765:22 0.00800919 -18 *1684:8 *1765:14 0.000220514 -19 *1684:8 *1765:20 0.00012309 -20 *1700:8 *1765:20 0.000826652 -21 *1700:8 *1765:22 0.00900087 -22 *1700:18 *1765:14 0.0195405 -23 *1702:8 *1765:20 7.6719e-06 -24 *1763:7 *1765:13 0 -*RES -1 *2472:mprj_dat_o_user[28] *1765:8 45.9662 -2 *1765:8 *1765:13 29.1031 -3 *1765:13 *1765:14 208.7 -4 *1765:14 *1765:20 21.8466 -5 *1765:20 *1765:22 95.006 -6 *1765:22 *2473:wbs_dat_i[28] 46.7336 -*END - -*D_NET *1766 0.0748821 -*CONN -*I *2473:wbs_dat_i[29] I *D user_analog_project_wrapper -*I *2472:mprj_dat_o_user[29] O *D mgmt_protect -*CAP -1 *2473:wbs_dat_i[29] 0.00298017 -2 *2472:mprj_dat_o_user[29] 0.000945262 -3 *1766:10 0.00298017 -4 *1766:8 0.00284241 -5 *1766:7 0.00378768 -6 *2472:mprj_dat_i_user[29] *1766:7 0 -7 *2472:mprj_dat_i_user[30] *1766:7 0 -8 *733:13 *1766:8 0 -9 *1628:8 *1766:8 0.000771867 -10 *1634:8 *1766:8 0.0304353 -11 *1636:7 *1766:7 0 -12 *1636:8 *1766:8 0.000226394 -13 *1701:8 *1766:8 0.0299129 -*RES -1 *2472:mprj_dat_o_user[29] *1766:7 29.293 -2 *1766:7 *1766:8 330.158 -3 *1766:8 *1766:10 4.5 -4 *1766:10 *2473:wbs_dat_i[29] 59.2589 -*END - -*D_NET *1767 0.17888 -*CONN -*I *2473:wbs_dat_i[2] I *D user_analog_project_wrapper -*I *2472:mprj_dat_o_user[2] O *D mgmt_protect -*CAP -1 *2473:wbs_dat_i[2] 0.00137299 -2 *2472:mprj_dat_o_user[2] 8.20467e-05 -3 *1767:10 0.00983146 -4 *1767:9 0.00845847 -5 *1767:7 0.00191103 -6 *1767:5 0.00199308 -7 *1767:7 *2189:7 0 -8 *1767:10 *2188:8 0.0749833 -9 *1767:10 *2190:8 0.000595257 -10 *2472:mprj_dat_i_user[2] *1767:7 0.00183936 -11 *2473:la_data_in[2] *1767:7 0 -12 *1039:9 *1767:7 0 -13 *1617:8 *1767:10 0.00186668 -14 *1635:5 *1767:7 0 -15 *1692:8 *1767:10 0.0742015 -16 *1712:8 *1767:10 0.000111022 -17 *1749:8 *1767:10 0.00163396 -*RES -1 *2472:mprj_dat_o_user[2] *1767:5 2.33274 -2 *1767:5 *1767:7 48.3402 -3 *1767:7 *1767:9 3.36879 -4 *1767:9 *1767:10 96.0451 -5 *1767:10 *2473:wbs_dat_i[2] 39.166 -*END - -*D_NET *1768 0.0707537 -*CONN -*I *2473:wbs_dat_i[30] I *D user_analog_project_wrapper -*I *2472:mprj_dat_o_user[30] O *D mgmt_protect -*CAP -1 *2473:wbs_dat_i[30] 0.00305298 -2 *2472:mprj_dat_o_user[30] 0.000887805 -3 *1768:10 0.00305298 -4 *1768:8 0.00288908 -5 *1768:7 0.00377688 -6 *2472:mprj_dat_i_user[30] *1768:7 0 -7 *1628:8 *1768:8 0.00043991 -8 *1636:8 *1768:8 0.0292096 -9 *1637:7 *1768:7 0 -10 *1705:8 *1768:8 0.0269195 -11 *1745:8 *1768:8 0.000524873 -*RES -1 *2472:mprj_dat_o_user[30] *1768:7 28.0472 -2 *1768:7 *1768:8 316.847 -3 *1768:8 *1768:10 4.5 -4 *1768:10 *2473:wbs_dat_i[30] 60.5047 -*END - -*D_NET *1769 0.0669165 -*CONN -*I *2473:wbs_dat_i[31] I *D user_analog_project_wrapper -*I *2472:mprj_dat_o_user[31] O *D mgmt_protect -*CAP -1 *2473:wbs_dat_i[31] 0.00207991 -2 *2472:mprj_dat_o_user[31] 0.00161788 -3 *1769:8 0.00461979 -4 *1769:7 0.00415775 -5 *2472:mprj_dat_i_user[31] *1769:7 0 -6 *733:7 *1769:7 0 -7 *733:14 *1769:8 0.0206364 -8 *733:20 *1769:8 9.17756e-05 -9 *1039:12 *1769:8 0.00115309 -10 *1412:8 *1769:8 0 -11 *1633:14 *1769:8 0.00482692 -12 *1637:7 *1769:7 0 -13 *1637:8 *1769:8 0.000233938 -14 *1704:8 *1769:8 0.027499 -*RES -1 *2472:mprj_dat_o_user[31] *1769:7 44.2421 -2 *1769:7 *1769:8 303.537 -3 *1769:8 *2473:wbs_dat_i[31] 48.8099 -*END - -*D_NET *1770 0.16762 -*CONN -*I *2473:wbs_dat_i[3] I *D user_analog_project_wrapper -*I *2472:mprj_dat_o_user[3] O *D mgmt_protect -*CAP -1 *2473:wbs_dat_i[3] 0.00127633 -2 *2472:mprj_dat_o_user[3] 0.0024672 -3 *1770:8 0.0101422 -4 *1770:7 0.00886589 -5 *1770:5 0.0024672 -6 *1770:5 *2190:5 0 -7 *1770:8 *1772:8 0.00066078 -8 *1770:8 *2190:8 0.0723506 -9 *2472:mprj_dat_i_user[3] *1770:5 0 -10 *2473:la_oenb[2] *1770:5 0 -11 *1621:10 *1770:8 0.00118142 -12 *1641:8 *1770:8 0.0669177 -13 *1692:8 *1770:8 0.00066078 -14 *1753:8 *1770:8 0.000629962 -*RES -1 *2472:mprj_dat_o_user[3] *1770:5 50.7463 -2 *1770:5 *1770:7 3.36879 -3 *1770:7 *1770:8 93.9033 -4 *1770:8 *2473:wbs_dat_i[3] 36.6745 -*END - -*D_NET *1771 0.168703 -*CONN -*I *2473:wbs_dat_i[4] I *D user_analog_project_wrapper -*I *2472:mprj_dat_o_user[4] O *D mgmt_protect -*CAP -1 *2473:wbs_dat_i[4] 0.00195615 -2 *2472:mprj_dat_o_user[4] 0.00145007 -3 *1771:10 0.00195615 -4 *1771:8 0.0069964 -5 *1771:7 0.00844648 -6 *1771:8 *1773:8 0.0676124 -7 *1771:8 *2189:8 0.0702528 -8 *2472:mprj_dat_i_user[4] *1771:7 0 -9 *2472:mprj_dat_i_user[5] *1771:7 0 -10 *1050:5 *1771:7 0.000166394 -11 *1640:7 *1771:7 0 -12 *1683:8 *1771:8 0.00521143 -13 *1707:8 *1771:8 0.000410779 -14 *1746:8 *1771:8 0.00010238 -15 *1748:8 *1771:8 0.00414196 -16 *1750:8 *1771:8 0 -*RES -1 *2472:mprj_dat_o_user[4] *1771:7 37.505 -2 *1771:7 *1771:8 91.5321 -3 *1771:8 *1771:10 3.36879 -4 *1771:10 *2473:wbs_dat_i[4] 49.9158 -*END - -*D_NET *1772 0.161391 -*CONN -*I *2473:wbs_dat_i[5] I *D user_analog_project_wrapper -*I *2472:mprj_dat_o_user[5] O *D mgmt_protect -*CAP -1 *2473:wbs_dat_i[5] 0.00121791 -2 *2472:mprj_dat_o_user[5] 0.00238311 -3 *1772:8 0.00986561 -4 *1772:7 0.00864771 -5 *1772:5 0.00238311 -6 *1772:8 *1774:8 0.0658606 -7 *2472:mprj_dat_i_user[5] *1772:5 0 -8 *2473:la_oenb[3] *1772:5 0.000385299 -9 *1625:10 *1772:8 0.000685206 -10 *1641:5 *1772:5 0 -11 *1641:8 *1772:8 0.0689468 -12 *1690:8 *1772:8 0.000354801 -13 *1770:8 *1772:8 0.00066078 -*RES -1 *2472:mprj_dat_o_user[5] *1772:5 52.4073 -2 *1772:5 *1772:7 3.36879 -3 *1772:7 *1772:8 89.6199 -4 *1772:8 *2473:wbs_dat_i[5] 35.0134 -*END - -*D_NET *1773 0.164014 -*CONN -*I *2473:wbs_dat_i[6] I *D user_analog_project_wrapper -*I *2472:mprj_dat_o_user[6] O *D mgmt_protect -*CAP -1 *2473:wbs_dat_i[6] 0.00195314 -2 *2472:mprj_dat_o_user[6] 0.00153688 -3 *1773:10 0.00195314 -4 *1773:8 0.00643152 -5 *1773:7 0.0079684 -6 *1773:8 *1775:8 0.000121359 -7 *2472:mprj_dat_i_user[6] *1773:7 0 -8 *2472:mprj_dat_i_user[7] *1773:7 0 -9 *1618:14 *1773:8 0.00460768 -10 *1642:7 *1773:7 0 -11 *1685:8 *1773:8 0.00358909 -12 *1709:8 *1773:8 0.0682402 -13 *1750:8 *1773:8 0 -14 *1771:8 *1773:8 0.0676124 -*RES -1 *2472:mprj_dat_o_user[6] *1773:7 38.3355 -2 *1773:7 *1773:8 87.8606 -3 *1773:8 *1773:10 3.36879 -4 *1773:10 *2473:wbs_dat_i[6] 49.0853 -*END - -*D_NET *1774 0.157081 -*CONN -*I *2473:wbs_dat_i[7] I *D user_analog_project_wrapper -*I *2472:mprj_dat_o_user[7] O *D mgmt_protect -*CAP -1 *2473:wbs_dat_i[7] 0.0011887 -2 *2472:mprj_dat_o_user[7] 0.00253313 -3 *1774:8 0.0099539 -4 *1774:7 0.0087652 -5 *1774:5 0.00253313 -6 *2472:mprj_dat_i_user[7] *1774:5 0 -7 *1061:5 *1774:5 0 -8 *1641:8 *1774:8 0.000121359 -9 *1643:5 *1774:5 0 -10 *1643:8 *1774:8 0.0660229 -11 *1712:8 *1774:8 0 -12 *1757:8 *1774:8 0.00010238 -13 *1772:8 *1774:8 0.0658606 -*RES -1 *2472:mprj_dat_o_user[7] *1774:5 53.2378 -2 *1774:5 *1774:7 3.36879 -3 *1774:7 *1774:8 85.9484 -4 *1774:8 *2473:wbs_dat_i[7] 34.1829 -*END - -*D_NET *1775 0.151469 -*CONN -*I *2473:wbs_dat_i[8] I *D user_analog_project_wrapper -*I *2472:mprj_dat_o_user[8] O *D mgmt_protect -*CAP -1 *2473:wbs_dat_i[8] 0.00192092 -2 *2472:mprj_dat_o_user[8] 0.00162122 -3 *1775:10 0.00192092 -4 *1775:8 0.00762077 -5 *1775:7 0.009242 -6 *1775:8 *1776:8 0 -7 *2472:mprj_dat_i_user[8] *1775:7 0 -8 *816:11 *1775:7 0 -9 *1445:11 *1775:7 0 -10 *1616:8 *1775:8 0.0577811 -11 *1622:12 *1775:8 0.00305068 -12 *1644:7 *1775:7 0 -13 *1687:12 *1775:8 0.000202397 -14 *1689:8 *1775:8 0.00362033 -15 *1691:13 *1775:7 1.47632e-05 -16 *1709:8 *1775:8 0.0643524 -17 *1747:8 *1775:8 0 -18 *1750:8 *1775:8 0 -19 *1773:8 *1775:8 0.000121359 -*RES -1 *2472:mprj_dat_o_user[8] *1775:7 39.9965 -2 *1775:7 *1775:8 84.1891 -3 *1775:8 *1775:10 3.36879 -4 *1775:10 *2473:wbs_dat_i[8] 47.4242 -*END - -*D_NET *1776 0.150694 -*CONN -*I *2473:wbs_dat_i[9] I *D user_analog_project_wrapper -*I *2472:mprj_dat_o_user[9] O *D mgmt_protect -*CAP -1 *2473:wbs_dat_i[9] 0.00168724 -2 *2472:mprj_dat_o_user[9] 0.00199364 -3 *1776:8 0.00997829 -4 *1776:7 0.0102847 -5 *2472:mprj_dat_i_user[9] *1776:7 0 -6 *1072:5 *1776:7 0 -7 *1412:8 *1776:8 0.000220514 -8 *1614:7 *1776:7 0 -9 *1620:14 *1776:8 0.00339983 -10 *1648:8 *1776:8 0.000193654 -11 *1682:8 *1776:8 0.0616548 -12 *1712:8 *1776:8 0.000961946 -13 *1747:8 *1776:8 0.0600803 -14 *1763:8 *1776:8 0.000238938 -15 *1775:8 *1776:8 0 -*RES -1 *2472:mprj_dat_o_user[9] *1776:7 46.6405 -2 *1776:7 *1776:8 82.2768 -3 *1776:8 *2473:wbs_dat_i[9] 44.149 -*END - -*D_NET *1777 0.0121411 -*CONN -*I *2474:mprj_io_analog_en[0] I *D chip_io_alt -*I *2444:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_en[0] 0.000297244 -2 *2444:pad_gpio_ana_en 0.000518252 -3 *1777:14 0.00271839 -4 *1777:13 0.0029394 -5 *2474:mprj_io_analog_en[0] *2474:mprj_io_dm[1] 0.000548997 -6 *1777:13 *1804:13 0.00161422 -7 *1777:13 *2074:8 0 -8 *1777:14 *1804:14 0.00259258 -9 *1777:14 *1869:14 0 -10 *1777:14 *1993:17 0 -11 *1777:14 *1993:30 0 -12 *1777:14 *2047:14 0 -13 *1533:15 *1777:13 0.000912063 -*RES -1 *2444:pad_gpio_ana_en *1777:13 35.234 -2 *1777:13 *1777:14 92.7722 -3 *1777:14 *2474:mprj_io_analog_en[0] 19.4392 -*END - -*D_NET *1778 0.0535179 -*CONN -*I *2474:mprj_io_analog_en[10] I *D chip_io_alt -*I *2451:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_en[10] 0.000611081 -2 *2451:pad_gpio_ana_en 0.000832831 -3 *1778:16 0.00892524 -4 *1778:15 0.00914699 -5 *2474:mprj_io_analog_en[10] *2474:mprj_io_dm[30] 0 -6 *2474:mprj_io_analog_en[10] *2304:19 0.000159409 -7 *2474:mprj_io_analog_en[10] *2322:13 0.000101437 -8 *1778:15 *1879:32 0 -9 *1778:15 *1881:13 0 -10 *1778:16 *1857:20 0.00405865 -11 *1778:16 *1881:14 0.000362696 -12 *1778:16 *1883:14 0.0105541 -13 *1778:16 *2075:8 0.00617163 -14 *1778:16 *2100:8 0.00010448 -15 *1778:16 *2127:14 0 -16 *1778:16 *2127:23 0.00242582 -17 *501:14 *1778:16 0.00736799 -18 *511:14 *1778:16 0.00269555 -*RES -1 *2451:pad_gpio_ana_en *1778:15 30.335 -2 *1778:15 *1778:16 439.093 -3 *1778:16 *2474:mprj_io_analog_en[10] 24.1547 -*END - -*D_NET *1779 0.0610701 -*CONN -*I *2474:mprj_io_analog_en[11] I *D chip_io_alt -*I *2452:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_en[11] 0.000537962 -2 *2452:pad_gpio_ana_en 0.00334058 -3 *1779:20 0.00127806 -4 *1779:19 0.00124487 -5 *1779:14 0.00320589 -6 *1779:13 0.0060417 -7 *2474:mprj_io_analog_en[11] *2474:mprj_io_dm[33] 0.000108501 -8 *2474:mprj_io_analog_en[11] *1889:18 5.5787e-05 -9 *1779:13 *2474:mprj_io_analog_pol[10] 0.00416612 -10 *1779:13 *2474:mprj_io_ib_mode_sel[10] 0.000424014 -11 *1779:13 *1806:13 0.0165757 -12 *1779:13 *1832:8 8.21151e-05 -13 *1779:13 *1833:20 0 -14 *1779:13 *1967:20 0.000274287 -15 *1779:14 *1806:14 0.00379303 -16 *1779:14 *1806:18 0 -17 *1779:14 *1833:20 0.000722314 -18 *1779:14 *1834:13 0 -19 *1779:14 *1887:13 0 -20 *1779:14 *1888:13 0 -21 *1779:14 *1889:8 0.00377537 -22 *1779:14 *1942:14 0 -23 *1779:14 *2021:7 2.5386e-05 -24 *1779:19 *1885:19 0.000240073 -25 *1779:20 *1834:14 0.00574178 -26 *1779:20 *1885:20 0.00515915 -27 *1779:20 *1942:22 9.71323e-06 -28 *1779:20 *1942:26 0.000570713 -29 *1779:20 *1969:13 0.000730668 -30 *2452:serial_data_in *1779:13 0.00296635 -31 *2453:mgmt_gpio_out *1779:14 0 -*RES -1 *2452:pad_gpio_ana_en *1779:13 36.9409 -2 *1779:13 *1779:14 119.971 -3 *1779:14 *1779:19 21.3701 -4 *1779:19 *1779:20 91.9417 -5 *1779:20 *2474:mprj_io_analog_en[11] 21.2074 -*END - -*D_NET *1780 0.0518387 -*CONN -*I *2474:mprj_io_analog_en[12] I *D chip_io_alt -*I *2453:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_en[12] 0.000505432 -2 *2453:pad_gpio_ana_en 0.00584052 -3 *1780:18 0.0048923 -4 *1780:17 0.0102274 -5 *2474:mprj_io_analog_en[12] *2474:mprj_io_dm[36] 0 -6 *2474:mprj_io_analog_en[12] *2324:13 0.000162075 -7 *1780:17 *1807:19 0.0174273 -8 *1780:17 *1833:20 0 -9 *1780:18 *1887:14 0 -10 *1780:18 *2104:14 0.0127501 -11 *2453:mgmt_gpio_out *1780:17 3.36517e-05 -*RES -1 *2453:pad_gpio_ana_en *1780:17 40.0662 -2 *1780:17 *1780:18 203.437 -3 *1780:18 *2474:mprj_io_analog_en[12] 22.4953 -*END - -*D_NET *1781 0.0161764 -*CONN -*I *2474:mprj_io_analog_en[13] I *D chip_io_alt -*I *2454:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_en[13] 0.000280674 -2 *2454:pad_gpio_ana_en 0.000677698 -3 *1781:22 0.000872686 -4 *1781:14 0.00224659 -5 *1781:13 0.00233227 -6 *2474:mprj_io_analog_en[13] *2474:mprj_io_dm[39] 0 -7 *2474:mprj_io_analog_en[13] *2325:9 0.000202472 -8 *1781:13 *1808:13 0.00136509 -9 *1781:13 *1997:19 0 -10 *1781:14 *1890:14 0.00475978 -11 *1781:22 *2474:mprj_io_dm[39] 0.00111694 -12 *1781:22 *1892:14 0.00186719 -13 *1781:22 *1893:17 5.04829e-06 -14 *1781:22 *1997:13 0 -15 *1781:22 *2051:14 0.000449909 -16 *502:22 *1781:14 0 -*RES -1 *2454:pad_gpio_ana_en *1781:13 33.9939 -2 *1781:13 *1781:14 76.1621 -3 *1781:14 *1781:22 49.284 -4 *1781:22 *2474:mprj_io_analog_en[13] 12.4909 -*END - -*D_NET *1782 0.00792777 -*CONN -*I *2474:mprj_io_analog_en[14] I *D chip_io_alt -*I *2461:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_en[14] 0.00350171 -2 *2461:pad_gpio_ana_en 0.00350171 -3 *2474:mprj_io_analog_en[14] *2474:mprj_io_inp_dis[14] 0 -4 *2474:mprj_io_analog_en[14] *1809:13 5.19526e-05 -5 *2474:mprj_io_analog_en[14] *1894:11 0.000113603 -6 *2474:mprj_io_analog_en[14] *1998:7 0.000527776 -7 *2474:mprj_io_analog_en[14] *2308:9 0.000180791 -8 *2461:mgmt_gpio_out *2474:mprj_io_analog_en[14] 5.02212e-05 -9 *100:42 *2474:mprj_io_analog_en[14] 0 -10 *467:12 *2474:mprj_io_analog_en[14] 0 -*RES -1 *2461:pad_gpio_ana_en *2474:mprj_io_analog_en[14] 18.0274 -*END - -*D_NET *1783 0.00837823 -*CONN -*I *2474:mprj_io_analog_en[15] I *D chip_io_alt -*I *2462:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_en[15] 0.000214054 -2 *2462:pad_gpio_ana_en 0.00130669 -3 *1783:18 0.00173923 -4 *1783:15 0.00246422 -5 *1783:12 0.00224574 -6 *2474:mprj_io_analog_en[15] *2474:mprj_io_inp_dis[15] 0 -7 *2474:mprj_io_analog_en[15] *2107:14 0.000162254 -8 *2474:mprj_io_analog_en[15] *2327:11 0 -9 *1783:12 *2462:pad_gpio_in 4.89469e-06 -10 *1783:12 *1972:12 0 -11 *1783:15 *1810:15 3.75095e-05 -12 *1783:15 *2053:13 5.04829e-06 -13 *1783:18 *2474:mprj_io_dm[45] 0 -14 *1783:18 *1837:8 0.00019354 -15 *1783:18 *1897:8 0 -16 *1783:18 *1898:8 0 -17 *1783:18 *2107:8 0 -18 *1783:18 *2107:14 0 -19 *1783:18 *2134:8 0 -20 *1783:18 *2327:11 0 -21 *489:13 *2474:mprj_io_analog_en[15] 5.04829e-06 -*RES -1 *2462:pad_gpio_ana_en *1783:12 42.109 -2 *1783:12 *1783:15 29.626 -3 *1783:15 *1783:18 48.6877 -4 *1783:18 *2474:mprj_io_analog_en[15] 10.7333 -*END - -*D_NET *1784 0.0128891 -*CONN -*I *2474:mprj_io_analog_en[16] I *D chip_io_alt -*I *2463:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_en[16] 0.000492991 -2 *2463:pad_gpio_ana_en 0.000544026 -3 *1784:8 0.00125725 -4 *1784:7 0.00130829 -5 *2474:mprj_io_analog_en[16] *2474:mprj_io_dm[48] 8.1645e-05 -6 *1784:7 *1811:7 0.000133918 -7 *1784:7 *1838:7 0 -8 *1784:8 *1903:16 0.00339015 -9 *1784:8 *1946:8 0.000743997 -10 *2463:mgmt_gpio_out *1784:7 3.09106e-06 -11 *518:8 *1784:8 0.00493371 -*RES -1 *2463:pad_gpio_ana_en *1784:7 5.19125 -2 *1784:7 *1784:8 79.2765 -3 *1784:8 *2474:mprj_io_analog_en[16] 20.8271 -*END - -*D_NET *1785 0.0134712 -*CONN -*I *2474:mprj_io_analog_en[17] I *D chip_io_alt -*I *2464:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_en[17] 0.000354366 -2 *2464:pad_gpio_ana_en 0.000574705 -3 *1785:20 0.000725787 -4 *1785:16 0.000665882 -5 *1785:13 0.000869167 -6 *2474:mprj_io_analog_en[17] *2474:mprj_io_dm[51] 0 -7 *2474:mprj_io_analog_en[17] *2312:9 9.29258e-05 -8 *1785:13 *2464:pad_gpio_in 0.000628637 -9 *1785:13 *1812:13 4.80336e-05 -10 *1785:13 *2055:8 0.000625055 -11 *1785:16 *1839:36 1.3813e-05 -12 *1785:16 *2055:14 0.000202472 -13 *1785:16 *2163:8 0.00176033 -14 *1785:20 *2474:mprj_io_analog_pol[17] 0.000962164 -15 *1785:20 *1839:36 0.00254725 -16 *1785:20 *1906:22 0.000282607 -17 *1785:20 *1974:17 0.00149895 -18 *1785:20 *2055:14 3.10929e-05 -19 *2464:mgmt_gpio_out *1785:13 3.44774e-05 -20 *473:8 *1785:16 0 -21 *519:22 *1785:16 0.00155346 -*RES -1 *2464:pad_gpio_ana_en *1785:13 31.494 -2 *1785:13 *1785:16 29.7638 -3 *1785:16 *1785:20 45.5733 -4 *1785:20 *2474:mprj_io_analog_en[17] 12.8629 -*END - -*D_NET *1786 0.0104016 -*CONN -*I *2474:mprj_io_analog_en[18] I *D chip_io_alt -*I *2465:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_en[18] 0.000342041 -2 *2465:pad_gpio_ana_en 0.00134049 -3 *1786:18 0.00130965 -4 *1786:15 0.00203273 -5 *1786:12 0.00240561 -6 *2474:mprj_io_analog_en[18] *2474:mprj_io_dm[54] 0 -7 *2474:mprj_io_analog_en[18] *2295:13 5.23318e-05 -8 *2474:mprj_io_analog_en[18] *2313:15 0 -9 *1786:15 *2164:15 5.04829e-06 -10 *1786:18 *2474:mprj_io_inp_dis[18] 0.000247781 -11 *1786:18 *1909:10 0 -12 *1786:18 *1948:22 0.00266595 -13 *1786:18 *2137:10 0 -14 *1786:18 *2295:13 0 -15 *1786:18 *2313:15 0 -16 *107:51 *1786:18 0 -*RES -1 *2465:pad_gpio_ana_en *1786:12 42.996 -2 *1786:12 *1786:15 32.399 -3 *1786:15 *1786:18 47.442 -4 *1786:18 *2474:mprj_io_analog_en[18] 14.5283 -*END - -*D_NET *1787 0.0133288 -*CONN -*I *2474:mprj_io_analog_en[19] I *D chip_io_alt -*I *2466:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_en[19] 0.000248051 -2 *2466:pad_gpio_ana_en 0.000403797 -3 *1787:8 0.000975818 -4 *1787:7 0.00113156 -5 *2474:mprj_io_analog_en[19] *2474:mprj_io_analog_pol[19] 0.000217643 -6 *2474:mprj_io_analog_en[19] *2474:mprj_io_dm[57] 3.27332e-05 -7 *1787:7 *1814:7 0.000242299 -8 *1787:8 *1912:14 1.87125e-05 -9 *1787:8 *2057:14 0.00254879 -10 *1787:8 *2057:29 0.00116524 -11 *1787:8 *2138:12 0.00373293 -12 *1787:8 *2165:28 0.00121846 -13 *1787:8 *2296:13 0.0013897 -14 *2466:mgmt_gpio_out *1787:7 3.09106e-06 -15 *475:16 *1787:8 0 -*RES -1 *2466:pad_gpio_ana_en *1787:7 4.8088 -2 *1787:7 *1787:8 80.5223 -3 *1787:8 *2474:mprj_io_analog_en[19] 16.8083 -*END - -*D_NET *1788 0.0166377 -*CONN -*I *2474:mprj_io_analog_en[1] I *D chip_io_alt -*I *2445:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_en[1] 0.000501359 -2 *2445:pad_gpio_ana_en 0.000584024 -3 *1788:18 0.00132577 -4 *1788:16 0.00136309 -5 *1788:13 0.0011227 -6 *2474:mprj_io_analog_en[1] *2474:mprj_io_dm[3] 0 -7 *1788:13 *1815:13 0.000875346 -8 *1788:13 *1842:13 0 -9 *1788:13 *1891:13 0 -10 *1788:16 *1891:14 1.2366e-05 -11 *1788:16 *1902:19 0.00140854 -12 *1788:16 *2058:14 0 -13 *1788:18 *1891:14 0.00480754 -14 *1788:18 *2004:11 0 -15 *1788:18 *2058:14 0 -16 *1788:18 *2058:20 0.00430323 -17 *1788:18 *2139:14 0.00012693 -18 *467:55 *2474:mprj_io_analog_en[1] 0.000202472 -19 *485:53 *1788:13 4.3116e-06 -*RES -1 *2445:pad_gpio_ana_en *1788:13 27.2733 -2 *1788:13 *1788:16 23.9503 -3 *1788:16 *1788:18 80.9375 -4 *1788:18 *2474:mprj_io_analog_en[1] 22.5297 -*END - -*D_NET *1789 0.0135122 -*CONN -*I *2474:mprj_io_analog_en[20] I *D chip_io_alt -*I *2467:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_en[20] 0.00031457 -2 *2467:pad_gpio_ana_en 0.000491895 -3 *1789:16 0.00112314 -4 *1789:15 0.00130047 -5 *2474:mprj_io_analog_en[20] *2474:mprj_io_dm[60] 0 -6 *2474:mprj_io_analog_en[20] *1916:18 9.29258e-05 -7 *1789:15 *1816:15 0.00024656 -8 *1789:15 *1843:15 4.97938e-05 -9 *1789:15 *1914:15 4.15236e-05 -10 *1789:16 *1843:16 2.18442e-05 -11 *1789:16 *1915:8 0.00431494 -12 *1789:16 *2059:14 0.00121359 -13 *1789:16 *2086:13 0.000670231 -14 *1789:16 *2140:8 0.00362454 -15 *2467:mgmt_gpio_out *1789:15 6.21488e-06 -16 *474:48 *1789:16 0 -*RES -1 *2467:pad_gpio_ana_en *1789:15 24.0951 -2 *1789:15 *1789:16 78.6536 -3 *1789:16 *2474:mprj_io_analog_en[20] 17.3629 -*END - -*D_NET *1790 0.0138248 -*CONN -*I *2474:mprj_io_analog_en[21] I *D chip_io_alt -*I *2468:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_en[21] 0.00036721 -2 *2468:pad_gpio_ana_en 0.000376211 -3 *1790:8 0.00106453 -4 *1790:7 0.00107353 -5 *2474:mprj_io_analog_en[21] *2474:mprj_io_dm[63] 0 -6 *2474:mprj_io_analog_en[21] *2298:21 1.64263e-05 -7 *2474:mprj_io_analog_en[21] *2316:13 9.7442e-05 -8 *1790:7 *1817:7 0.000263857 -9 *1790:8 *1817:8 0.00499598 -10 *1790:8 *1917:14 0.00330122 -11 *1790:8 *2087:8 0 -12 *2468:mgmt_gpio_out *1790:7 5.36925e-05 -13 *477:8 *1790:8 0.00154711 -14 *494:20 *1790:8 0.000667635 -*RES -1 *2468:pad_gpio_ana_en *1790:7 4.88529 -2 *1790:7 *1790:8 80.107 -3 *1790:8 *2474:mprj_io_analog_en[21] 17.9175 -*END - -*D_NET *1791 0.00948161 -*CONN -*I *2474:mprj_io_analog_en[22] I *D chip_io_alt -*I *2469:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_en[22] 4.41996e-05 -2 *2469:pad_gpio_ana_en 0.000864002 -3 *1791:19 0.00168455 -4 *1791:15 0.00195406 -5 *1791:12 0.00117772 -6 *2474:mprj_io_analog_en[22] *2317:12 4.35659e-05 -7 *1791:12 *1818:15 0.000958982 -8 *1791:12 *1845:12 0.00186867 -9 *1791:12 *1922:8 0 -10 *1791:19 *2474:mprj_io_dm[66] 0 -11 *1791:19 *1922:25 0 -12 *1791:19 *2115:8 0 -13 *1791:19 *2142:14 0 -14 *1791:19 *2299:21 4.05482e-05 -15 *1791:19 *2317:12 0 -16 *2469:mgmt_gpio_out *1791:12 1.53622e-05 -17 *477:26 *1791:19 0.000411592 -18 *496:17 *1791:12 0.00041836 -*RES -1 *2469:pad_gpio_ana_en *1791:12 46.6767 -2 *1791:12 *1791:15 11.8786 -3 *1791:15 *1791:19 48.8621 -4 *1791:19 *2474:mprj_io_analog_en[22] 6.03888 -*END - -*D_NET *1792 0.0139111 -*CONN -*I *2474:mprj_io_analog_en[23] I *D chip_io_alt -*I *2470:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_en[23] 0.000357666 -2 *2470:pad_gpio_ana_en 0.000588549 -3 *1792:8 0.00110287 -4 *1792:7 0.00133375 -5 *2474:mprj_io_analog_en[23] *2474:mprj_io_dm[69] 0.000223427 -6 *2474:mprj_io_analog_en[23] *2300:18 0 -7 *1792:7 *1819:7 0.000229469 -8 *1792:8 *1819:8 0.000655145 -9 *1792:8 *1926:16 0.00366586 -10 *2470:mgmt_gpio_out *1792:7 3.28957e-06 -11 *494:20 *1792:8 0.000729048 -12 *513:14 *1792:8 0.00502203 -*RES -1 *2470:pad_gpio_ana_en *1792:7 5.03827 -2 *1792:7 *1792:8 80.5223 -3 *1792:8 *2474:mprj_io_analog_en[23] 18.4721 -*END - -*D_NET *1793 0.00819775 -*CONN -*I *2474:mprj_io_analog_en[24] I *D chip_io_alt -*I *2446:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_en[24] 0.000255799 -2 *2446:pad_gpio_ana_en 0.000598812 -3 *1793:14 0.00248608 -4 *1793:13 0.00282909 -5 *2474:mprj_io_analog_en[24] *1927:15 8.1645e-05 -6 *2474:mprj_io_analog_en[24] *1982:19 5.04829e-06 -7 *2474:mprj_io_analog_en[24] *2319:10 0 -8 *1793:13 *1820:13 3.81792e-05 -9 *1793:13 *2009:11 0.000618491 -10 *1793:14 *2474:mprj_io_analog_pol[24] 0 -11 *1793:14 *1927:15 0 -12 *1793:14 *1928:8 0 -13 *1793:14 *1955:8 0.000567179 -14 *1793:14 *1955:14 0 -15 *1793:14 *2301:11 0 -16 *2446:mgmt_gpio_out *1793:13 3.81792e-05 -17 *477:26 *1793:14 0.00067925 -18 *514:14 *1793:14 0 -*RES -1 *2446:pad_gpio_ana_en *1793:13 28.7209 -2 *1793:13 *1793:14 68.6876 -3 *1793:14 *2474:mprj_io_analog_en[24] 15.8357 -*END - -*D_NET *1794 0.0124749 -*CONN -*I *2474:mprj_io_analog_en[25] I *D chip_io_alt -*I *2447:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_en[25] 0.000386268 -2 *2447:pad_gpio_ana_en 0.000802776 -3 *1794:16 0.00102565 -4 *1794:15 0.00144216 -5 *2474:mprj_io_analog_en[25] *2474:mprj_io_analog_pol[25] 0.000159956 -6 *1794:16 *1848:16 0.00384819 -7 *1794:16 *1930:10 7.8756e-07 -8 *1794:16 *1930:12 1.7607e-05 -9 *1794:16 *2064:8 0.000267062 -10 *1794:16 *2118:8 0.000514271 -11 *1794:16 *2118:21 0.000323277 -12 *1794:16 *2172:14 0.00351467 -13 *2447:mgmt_gpio_oeb *1794:15 0.00014929 -14 *2447:mgmt_gpio_out *1794:15 2.29355e-05 -15 *515:12 *1794:15 0 -*RES -1 *2447:pad_gpio_ana_en *1794:15 31.2937 -2 *1794:15 *1794:16 70.7639 -3 *1794:16 *2474:mprj_io_analog_en[25] 18.9862 -*END - -*D_NET *1795 0.00919868 -*CONN -*I *2474:mprj_io_analog_en[26] I *D chip_io_alt -*I *2448:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_en[26] 4.75854e-05 -2 *2448:pad_gpio_ana_en 0.000152619 -3 *1795:8 0.00217907 -4 *1795:7 0.0022841 -5 *1795:7 *1822:7 0.000145223 -6 *1795:7 *1984:21 4.79986e-05 -7 *1795:8 *2474:mprj_io_dm[79] 6.55232e-05 -8 *1795:8 *2474:mprj_io_slow_sel[26] 0.0006713 -9 *1795:8 *2474:mprj_io_vtrip_sel[26] 0.000272159 -10 *1795:8 *1822:8 0 -11 *1795:8 *1934:8 0 -12 *1795:8 *1984:21 0.000301444 -13 *1795:8 *2011:7 0.00292714 -14 *2448:mgmt_gpio_out *1795:7 0.000104522 -*RES -1 *2448:pad_gpio_ana_en *1795:7 4.12039 -2 *1795:7 *1795:8 81.9757 -3 *1795:8 *2474:mprj_io_analog_en[26] 1.20912 -*END - -*D_NET *1796 0.0150472 -*CONN -*I *2474:mprj_io_analog_en[2] I *D chip_io_alt -*I *2455:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_en[2] 0.00020644 -2 *2455:pad_gpio_ana_en 0.00105917 -3 *1796:14 0.000775732 -4 *1796:13 0.000740014 -5 *1796:8 0.00122989 -6 *1796:8 *1823:13 0.000254349 -7 *1796:8 *1850:13 4.3116e-06 -8 *1796:13 *2147:13 0.000160617 -9 *2455:mgmt_gpio_out *1796:8 3.36517e-05 -10 *100:80 *1796:14 0.00365668 -11 *104:40 *1796:8 0.00284392 -12 *104:55 *2474:mprj_io_analog_en[2] 5.04829e-06 -13 *471:40 *2474:mprj_io_analog_en[2] 0.00019711 -14 *503:20 *1796:14 0.00366386 -15 *503:25 *1796:13 0.000211492 -16 *1555:29 *1796:8 4.89469e-06 -*RES -1 *2455:pad_gpio_ana_en *1796:8 49.4555 -2 *1796:8 *1796:13 13.6056 -3 *1796:13 *1796:14 59.5521 -4 *1796:14 *2474:mprj_io_analog_en[2] 14.5871 -*END - -*D_NET *1797 0.0139714 -*CONN -*I *2474:mprj_io_analog_en[3] I *D chip_io_alt -*I *2456:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_en[3] 0.000718899 -2 *2456:pad_gpio_ana_en 0.000690269 -3 *1797:22 0.00178139 -4 *1797:19 0.00137766 -5 *1797:13 0.00100544 -6 *2474:mprj_io_analog_en[3] *2474:mprj_io_analog_pol[3] 0.00015919 -7 *2474:mprj_io_analog_en[3] *2474:mprj_io_dm[9] 0.000118972 -8 *2474:mprj_io_analog_en[3] *2474:mprj_io_inp_dis[3] 0.000208218 -9 *2474:mprj_io_analog_en[3] *2013:7 0.00160635 -10 *2474:mprj_io_analog_en[3] *2040:20 0.000225379 -11 *1797:13 *1824:13 3.84497e-05 -12 *1797:13 *2094:8 0.000396678 -13 *1797:19 *1824:17 5.04829e-06 -14 *1797:19 *1860:16 0.00174863 -15 *1797:19 *2121:14 0.00175222 -16 *1797:22 *1824:20 0 -17 *1797:22 *1860:23 8.21849e-06 -18 *1797:22 *2121:14 0.000471395 -19 *1797:22 *2148:26 0.000754971 -20 *1797:22 *2175:14 0 -21 *2455:resetn_out *2474:mprj_io_analog_en[3] 0.000106863 -22 *1564:25 *1797:13 0.00079721 -*RES -1 *2456:pad_gpio_ana_en *1797:13 34.8788 -2 *1797:13 *1797:19 38.8255 -3 *1797:19 *1797:22 45.781 -4 *1797:22 *2474:mprj_io_analog_en[3] 37.3273 -*END - -*D_NET *1798 0.0186909 -*CONN -*I *2474:mprj_io_analog_en[4] I *D chip_io_alt -*I *2457:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_en[4] 0.000377159 -2 *2457:pad_gpio_ana_en 0.000689833 -3 *1798:14 0.00131306 -4 *1798:13 0.00162573 -5 *2474:mprj_io_analog_en[4] *2474:mprj_io_dm[13] 0.00020879 -6 *2474:mprj_io_analog_en[4] *2014:18 0.000471151 -7 *1798:13 *1825:15 0.000249186 -8 *1798:13 *1852:13 0 -9 *1798:13 *1861:15 0 -10 *1798:13 *2014:31 0 -11 *1798:14 *1861:16 0.00624292 -12 *1798:14 *1862:16 0.0064983 -13 *1798:14 *2014:18 9.34396e-06 -14 *1798:14 *2014:21 0 -15 *477:32 *1798:14 0.00100548 -16 *505:14 *1798:14 0 -*RES -1 *2457:pad_gpio_ana_en *1798:13 27.828 -2 *1798:13 *1798:14 104.815 -3 *1798:14 *2474:mprj_io_analog_en[4] 21.521 -*END - -*D_NET *1799 0.01809 -*CONN -*I *2474:mprj_io_analog_en[5] I *D chip_io_alt -*I *2458:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_en[5] 0.000524766 -2 *2458:pad_gpio_ana_en 0.000730295 -3 *1799:14 0.00143534 -4 *1799:13 0.00164087 -5 *2474:mprj_io_analog_en[5] *2474:mprj_io_dm[15] 0 -6 *2474:mprj_io_analog_en[5] *2474:mprj_io_dm[16] 0 -7 *1799:13 *1826:13 0.00117647 -8 *1799:13 *2150:8 0 -9 *1799:14 *1864:20 0.000553587 -10 *1799:14 *2015:11 0.0061995 -11 *1799:14 *2069:14 0.00479451 -12 *1799:14 *2150:14 0 -13 *2458:mgmt_gpio_out *1799:13 3.36517e-05 -14 *478:32 *1799:14 0.000904028 -15 *495:64 *2474:mprj_io_analog_en[5] 9.69513e-05 -16 *1566:29 *1799:13 0 -*RES -1 *2458:pad_gpio_ana_en *1799:13 32.4094 -2 *1799:13 *1799:14 99.001 -3 *1799:14 *2474:mprj_io_analog_en[5] 23.0988 -*END - -*D_NET *1800 0.0146865 -*CONN -*I *2474:mprj_io_analog_en[6] I *D chip_io_alt -*I *2459:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_en[6] 0.000534604 -2 *2459:pad_gpio_ana_en 0.000820463 -3 *1800:14 0.00261113 -4 *1800:13 0.00289699 -5 *2474:mprj_io_analog_en[6] *2474:mprj_io_dm[18] 0 -6 *1800:13 *1827:13 3.84497e-05 -7 *1800:13 *2097:8 0.000268051 -8 *1800:14 *1827:14 2.6506e-05 -9 *1800:14 *1827:20 0.00254154 -10 *1800:14 *1867:14 9.4939e-05 -11 *1800:14 *1868:14 0 -12 *1800:14 *2016:19 0 -13 *1800:14 *2043:13 0 -14 *1800:14 *2151:16 0.0026848 -15 *477:32 *1800:14 0.000876323 -16 *507:18 *2474:mprj_io_analog_en[6] 9.4338e-05 -17 *1567:25 *1800:13 0.00119837 -*RES -1 *2459:pad_gpio_ana_en *1800:13 38.9004 -2 *1800:13 *1800:14 91.9417 -3 *1800:14 *2474:mprj_io_analog_en[6] 22.3515 -*END - -*D_NET *1801 0.0440476 -*CONN -*I *2474:mprj_io_analog_en[7] I *D chip_io_alt -*I *2460:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_en[7] 0.000598517 -2 *2460:pad_gpio_ana_en 0.00044638 -3 *1801:20 0.00109126 -4 *1801:19 0.000643941 -5 *1801:14 0.0102202 -6 *1801:13 0.0105154 -7 *2474:mprj_io_analog_en[7] *2474:mprj_io_dm[21] 3.31733e-05 -8 *2474:mprj_io_analog_en[7] *2293:9 1.15509e-05 -9 *2474:mprj_io_analog_en[7] *2311:13 4.65615e-06 -10 *1801:13 *1828:13 0.00106567 -11 *1801:13 *1855:13 0 -12 *1801:14 *1802:14 0.00319876 -13 *1801:14 *1828:14 0 -14 *1801:14 *1872:14 0.00539242 -15 *1801:14 *1873:14 0 -16 *1801:14 *2179:14 0.00301963 -17 *1801:19 *1828:23 5.04829e-06 -18 *1801:19 *1873:19 0 -19 *1801:20 *1873:14 0.00021551 -20 *1801:20 *1873:20 0 -21 *1801:20 *1874:22 0.000628648 -22 *1801:20 *1876:14 0.00353265 -23 *1801:20 *1963:16 0.00260008 -24 *1801:20 *2099:16 0.000522055 -25 *2460:mgmt_gpio_out *1801:13 3.84497e-05 -26 *110:98 *1801:14 0 -27 *477:32 *1801:14 0.000263647 -*RES -1 *2460:pad_gpio_ana_en *1801:13 27.4071 -2 *1801:13 *1801:14 376.389 -3 *1801:14 *1801:19 12.4964 -4 *1801:19 *1801:20 56.6453 -5 *1801:20 *2474:mprj_io_analog_en[7] 21.3845 -*END - -*D_NET *1802 0.0552278 -*CONN -*I *2474:mprj_io_analog_en[8] I *D chip_io_alt -*I *2449:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_en[8] 0.000676077 -2 *2449:pad_gpio_ana_en 0.000578169 -3 *1802:20 0.00170559 -4 *1802:19 0.00126274 -5 *1802:14 0.00571852 -6 *1802:13 0.00606346 -7 *2474:mprj_io_analog_en[8] *2474:mprj_io_dm[24] 0 -8 *2474:mprj_io_analog_en[8] *2019:11 0 -9 *2474:mprj_io_analog_en[8] *2320:15 6.38108e-05 -10 *1802:14 *1829:14 0.00219694 -11 *1802:14 *1856:19 0.00185323 -12 *1802:14 *1872:14 0.00942161 -13 *1802:14 *1873:14 0 -14 *1802:14 *1873:20 0.00239578 -15 *1802:14 *1874:22 0 -16 *1802:14 *1875:14 0.00271408 -17 *1802:14 *1876:14 0 -18 *1802:14 *1877:14 0.00412641 -19 *1802:14 *1963:20 0.0022711 -20 *1802:14 *1964:16 0.000164008 -21 *1802:14 *2179:14 0.000751736 -22 *1802:19 *1875:19 0.000202749 -23 *1802:20 *1875:20 0.0051382 -24 *1802:20 *1876:14 2.01595e-05 -25 *1802:20 *1991:16 0.000825644 -26 *1802:20 *2073:37 0.000502001 -27 *110:123 *1802:13 0.000298093 -28 *495:87 *1802:13 0.000306656 -29 *511:14 *1802:20 0.0027723 -30 *1801:14 *1802:14 0.00319876 -*RES -1 *2449:pad_gpio_ana_en *1802:13 27.1284 -2 *1802:13 *1802:14 346.076 -3 *1802:14 *1802:19 15.2694 -4 *1802:19 *1802:20 90.696 -5 *1802:20 *2474:mprj_io_analog_en[8] 22.9089 -*END - -*D_NET *1803 0.0533982 -*CONN -*I *2474:mprj_io_analog_en[9] I *D chip_io_alt -*I *2450:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_en[9] 0.000143051 -2 *2450:pad_gpio_ana_en 0.00530494 -3 *1803:27 0.000665697 -4 *1803:14 0.00291236 -5 *1803:13 0.00769466 -6 *2474:mprj_io_analog_en[9] *2303:13 4.40471e-05 -7 *2474:mprj_io_analog_en[9] *2321:13 0.000110297 -8 *1803:13 *1829:13 6.55666e-06 -9 *1803:13 *1830:13 0.0174418 -10 *1803:14 *1879:32 0.00352789 -11 *1803:14 *1965:8 0.00142959 -12 *1803:14 *2019:11 1.23804e-05 -13 *1803:14 *2073:44 0.0105013 -14 *1803:27 *2474:mprj_io_slow_sel[9] 8.0872e-06 -15 *1803:27 *1879:32 0 -16 *1803:27 *1879:42 0.000765261 -17 *1803:27 *1879:44 0.000291627 -18 *1803:27 *1965:8 0.000237656 -19 *1803:27 *1994:44 0.00129905 -20 *1803:27 *2019:11 0.000197391 -21 *1803:27 *2154:14 1.2693e-05 -22 *1803:27 *2303:13 0.000747954 -23 *2450:resetn *1803:13 4.38142e-05 -*RES -1 *2450:pad_gpio_ana_en *1803:13 38.5425 -2 *1803:13 *1803:14 170.424 -3 *1803:14 *1803:27 48.7341 -4 *1803:27 *2474:mprj_io_analog_en[9] 8.86012 -*END - -*D_NET *1804 0.0131608 -*CONN -*I *2474:mprj_io_analog_pol[0] I *D chip_io_alt -*I *2444:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_pol[0] 0.000320712 -2 *2444:pad_gpio_ana_pol 0.000724069 -3 *1804:14 0.00140364 -4 *1804:13 0.001807 -5 *2474:mprj_io_analog_pol[0] *2474:mprj_io_dm[0] 5.65975e-05 -6 *2474:mprj_io_analog_pol[0] *2474:mprj_io_inp_dis[0] 0 -7 *1804:13 *1831:19 3.84497e-05 -8 *1804:13 *2074:8 0 -9 *1804:14 *1993:17 0.000375822 -10 *1804:14 *1993:21 0.00419553 -11 *1804:14 *1993:30 4.80103e-06 -12 *1533:15 *1804:13 2.73318e-05 -13 *1777:13 *1804:13 0.00161422 -14 *1777:14 *1804:14 0.00259258 -*RES -1 *2444:pad_gpio_ana_pol *1804:13 37.5097 -2 *1804:13 *1804:14 85.2977 -3 *1804:14 *2474:mprj_io_analog_pol[0] 17.4995 -*END - -*D_NET *1805 0.0508868 -*CONN -*I *2474:mprj_io_analog_pol[10] I *D chip_io_alt -*I *2451:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_pol[10] 0.00132971 -2 *2451:pad_gpio_ana_pol 3.60638e-05 -3 *1805:8 0.00933322 -4 *1805:7 0.00803957 -5 *2474:mprj_io_analog_pol[10] *2474:mprj_io_ib_mode_sel[10] 0.00131349 -6 *2474:mprj_io_analog_pol[10] *2474:mprj_io_inp_dis[10] 0 -7 *2474:mprj_io_analog_pol[10] *2322:13 0 -8 *1805:8 *1832:8 0.0266686 -9 *1779:13 *2474:mprj_io_analog_pol[10] 0.00416612 -*RES -1 *2451:pad_gpio_ana_pol *1805:7 0.51465 -2 *1805:7 *1805:8 53.2018 -3 *1805:8 *2474:mprj_io_analog_pol[10] 15.5486 -*END - -*D_NET *1806 0.0641132 -*CONN -*I *2474:mprj_io_analog_pol[11] I *D chip_io_alt -*I *2452:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_pol[11] 0.000543388 -2 *2452:pad_gpio_ana_pol 0.00242096 -3 *1806:26 0.000806239 -4 *1806:18 0.00199604 -5 *1806:16 0.00181966 -6 *1806:14 0.0010521 -7 *1806:13 0.00338658 -8 *2474:mprj_io_analog_pol[11] *2474:mprj_io_dm[33] 0 -9 *2474:mprj_io_analog_pol[11] *1889:18 0.000210531 -10 *2474:mprj_io_analog_pol[11] *1969:17 0.000159945 -11 *2474:mprj_io_analog_pol[11] *1996:32 0.000113028 -12 *2474:mprj_io_analog_pol[11] *2049:30 0.00156107 -13 *2474:mprj_io_analog_pol[11] *2050:18 0.000254307 -14 *2474:mprj_io_analog_pol[11] *2305:13 0.00103372 -15 *1806:13 *1832:8 0.0130952 -16 *1806:14 *2021:7 0.00171314 -17 *1806:14 *2103:18 0.000523547 -18 *1806:14 *2130:24 0 -19 *1806:18 *2474:mprj_io_out[11] 0.00091007 -20 *1806:18 *1942:14 0.0049269 -21 *1806:18 *2050:14 0.00309845 -22 *1806:18 *2050:18 0.00139239 -23 *1806:18 *2130:24 9.89388e-06 -24 *1806:18 *2130:28 0.000338349 -25 *1806:26 *1942:22 0.000158451 -26 *1806:26 *2049:30 2.62399e-05 -27 *1806:26 *2050:18 0.00106255 -28 *1806:26 *2077:10 0.000127672 -29 *1806:26 *2130:32 0.00100412 -30 *1779:13 *1806:13 0.0165757 -31 *1779:14 *1806:14 0.00379303 -32 *1779:14 *1806:18 0 -*RES -1 *2452:pad_gpio_ana_pol *1806:13 36.9417 -2 *1806:13 *1806:14 60.8711 -3 *1806:14 *1806:16 2.41823 -4 *1806:16 *1806:18 113.254 -5 *1806:18 *1806:26 28.9694 -6 *1806:26 *2474:mprj_io_analog_pol[11] 41.7584 -*END - -*D_NET *1807 0.0552105 -*CONN -*I *2474:mprj_io_analog_pol[12] I *D chip_io_alt -*I *2453:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_pol[12] 0.000310612 -2 *2453:pad_gpio_ana_pol 0.00551146 -3 *1807:20 0.00322764 -4 *1807:19 0.00842849 -5 *2474:mprj_io_analog_pol[12] *2474:mprj_io_inp_dis[12] 2.09084e-05 -6 *2474:mprj_io_analog_pol[12] *2324:13 0 -7 *1807:19 *2474:mprj_io_analog_sel[11] 0 -8 *1807:19 *2474:mprj_io_out[11] 0 -9 *1807:19 *1833:20 6.68192e-05 -10 *1807:19 *1834:13 3.54846e-05 -11 *1807:19 *2077:15 0 -12 *1807:19 *2131:10 0.000460632 -13 *1807:20 *1887:14 0.00022421 -14 *1807:20 *1888:14 0.0122959 -15 *1807:20 *1942:40 0.00114814 -16 *1807:20 *1969:17 0.00194344 -17 *1807:20 *1996:15 0.00284633 -18 *1807:20 *1996:19 0.00104769 -19 *1807:20 *2050:18 0 -20 *1807:20 *2104:14 0 -21 *1807:20 *2324:13 0.000215495 -22 *1780:17 *1807:19 0.0174273 -*RES -1 *2453:pad_gpio_ana_pol *1807:19 38.7399 -2 *1807:19 *1807:20 213.403 -3 *1807:20 *2474:mprj_io_analog_pol[12] 15.8385 -*END - -*D_NET *1808 0.0148332 -*CONN -*I *2474:mprj_io_analog_pol[13] I *D chip_io_alt -*I *2454:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_pol[13] 0.00034576 -2 *2454:pad_gpio_ana_pol 0.000595771 -3 *1808:14 0.00149947 -4 *1808:13 0.00174948 -5 *2474:mprj_io_analog_pol[13] *2474:mprj_io_dm[39] 0 -6 *2474:mprj_io_analog_pol[13] *2474:mprj_io_inp_dis[13] 0 -7 *2474:mprj_io_analog_pol[13] *2325:9 0.000176426 -8 *1808:13 *1890:13 0 -9 *1808:14 *1892:14 0.00612497 -10 *1808:14 *2132:14 0.00297624 -11 *1781:13 *1808:13 0.00136509 -*RES -1 *2454:pad_gpio_ana_pol *1808:13 32.9419 -2 *1808:13 *1808:14 98.1705 -3 *1808:14 *2474:mprj_io_analog_pol[13] 17.6849 -*END - -*D_NET *1809 0.0149098 -*CONN -*I *2474:mprj_io_analog_pol[14] I *D chip_io_alt -*I *2461:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_pol[14] 0.000471667 -2 *2461:pad_gpio_ana_pol 0.000568875 -3 *1809:14 0.00118513 -4 *1809:13 0.00128234 -5 *2474:mprj_io_analog_pol[14] *2474:mprj_io_dm[42] 0 -6 *2474:mprj_io_analog_pol[14] *2474:mprj_io_inp_dis[14] 0.00019711 -7 *1809:13 *1836:13 7.03696e-05 -8 *1809:14 *2160:8 0.00554117 -9 *2474:mprj_io_analog_en[14] *1809:13 5.19526e-05 -10 *516:8 *1809:14 0.00554117 -*RES -1 *2461:pad_gpio_ana_pol *1809:13 23.7463 -2 *1809:13 *1809:14 88.6197 -3 *1809:14 *2474:mprj_io_analog_pol[14] 20.6877 -*END - -*D_NET *1810 0.0126627 -*CONN -*I *2474:mprj_io_analog_pol[15] I *D chip_io_alt -*I *2462:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_pol[15] 0.000491875 -2 *2462:pad_gpio_ana_pol 0.00121303 -3 *1810:16 0.000956093 -4 *1810:15 0.000977582 -5 *1810:12 0.00172639 -6 *2474:mprj_io_analog_pol[15] *2474:mprj_io_dm[45] 0 -7 *2474:mprj_io_analog_pol[15] *2474:mprj_io_inp_dis[15] 9.4338e-05 -8 *1810:12 *1837:7 5.16691e-06 -9 *1810:12 *1972:19 0 -10 *1810:12 *1999:9 0 -11 *1810:12 *2134:8 0 -12 *1810:15 *2053:13 7.65239e-05 -13 *1810:16 *1837:16 0.00261386 -14 *1810:16 *2080:8 0.000658277 -15 *2462:mgmt_gpio_out *1810:12 0.000461064 -16 *471:16 *1810:16 0.00331202 -17 *517:20 *1810:16 1.87269e-05 -18 *1551:35 *1810:12 2.02035e-05 -19 *1783:15 *1810:15 3.75095e-05 -*RES -1 *2462:pad_gpio_ana_pol *1810:12 42.5242 -2 *1810:12 *1810:15 16.8701 -3 *1810:15 *1810:16 53.3233 -4 *1810:16 *2474:mprj_io_analog_pol[15] 21.2423 -*END - -*D_NET *1811 0.011356 -*CONN -*I *2474:mprj_io_analog_pol[16] I *D chip_io_alt -*I *2463:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_pol[16] 0.000464019 -2 *2463:pad_gpio_ana_pol 0.000138698 -3 *1811:8 0.00169273 -4 *1811:7 0.00136741 -5 *2474:mprj_io_analog_pol[16] *2474:mprj_io_dm[48] 0.00105183 -6 *2474:mprj_io_analog_pol[16] *2474:mprj_io_inp_dis[16] 0 -7 *2474:mprj_io_analog_pol[16] *1838:8 0 -8 *2474:mprj_io_analog_pol[16] *1838:14 0.00042737 -9 *2474:mprj_io_analog_pol[16] *1900:8 1.38204e-05 -10 *2474:mprj_io_analog_pol[16] *1901:8 1.3813e-05 -11 *1811:7 *1838:7 0.000133918 -12 *1811:8 *1900:8 0 -13 *1811:8 *1901:8 0.00440576 -14 *1811:8 *2310:13 0.00151273 -15 *1784:7 *1811:7 0.000133918 -*RES -1 *2463:pad_gpio_ana_pol *1811:7 4.12039 -2 *1811:7 *1811:8 70.7639 -3 *1811:8 *2474:mprj_io_analog_pol[16] 26.4418 -*END - -*D_NET *1812 0.0132587 -*CONN -*I *2474:mprj_io_analog_pol[17] I *D chip_io_alt -*I *2464:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_pol[17] 0.000569973 -2 *2464:pad_gpio_ana_pol 0.000761564 -3 *1812:23 0.00114072 -4 *1812:16 0.00174057 -5 *1812:13 0.00193139 -6 *2474:mprj_io_analog_pol[17] *2474:mprj_io_dm[51] 0 -7 *2474:mprj_io_analog_pol[17] *1839:36 9.15115e-06 -8 *2474:mprj_io_analog_pol[17] *1906:22 0.000479286 -9 *2474:mprj_io_analog_pol[17] *2055:14 0.00133853 -10 *2474:mprj_io_analog_pol[17] *2312:9 0.00019711 -11 *1812:13 *2464:pad_gpio_in 4.51062e-05 -12 *1812:13 *1904:7 0 -13 *1812:13 *2055:8 4.15236e-05 -14 *1812:16 *2082:12 0.00119282 -15 *1812:16 *2109:16 0.00041783 -16 *1812:23 *1947:17 0.00112352 -17 *1812:23 *1974:17 5.04829e-06 -18 *1812:23 *2109:16 2.01595e-05 -19 *1812:23 *2109:18 0.00123416 -20 *1785:13 *1812:13 4.80336e-05 -21 *1785:20 *2474:mprj_io_analog_pol[17] 0.000962164 -*RES -1 *2464:pad_gpio_ana_pol *1812:13 27.4892 -2 *1812:13 *1812:16 46.7892 -3 *1812:16 *1812:23 35.9954 -4 *1812:23 *2474:mprj_io_analog_pol[17] 40.2334 -*END - -*D_NET *1813 0.0153169 -*CONN -*I *2474:mprj_io_analog_pol[18] I *D chip_io_alt -*I *2465:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_pol[18] 0.000494765 -2 *2465:pad_gpio_ana_pol 0.000465288 -3 *1813:16 0.000901653 -4 *1813:10 0.00085545 -5 *1813:9 0.00091385 -6 *2474:mprj_io_analog_pol[18] *2474:mprj_io_analog_sel[18] 3.87462e-05 -7 *2474:mprj_io_analog_pol[18] *2474:mprj_io_inp_dis[18] 0 -8 *1813:9 *1840:13 0.000284075 -9 *1813:10 *1907:10 0.00321974 -10 *1813:10 *1908:10 0.00322332 -11 *1813:16 *2474:mprj_io_inp_dis[18] 0.000277634 -12 *1813:16 *1909:15 5.04829e-06 -13 *1813:16 *1975:22 0.00231656 -14 *1813:16 *2313:16 1.19726e-05 -15 *2465:mgmt_gpio_out *1813:9 0 -16 *474:14 *1813:16 0.00230879 -*RES -1 *2465:pad_gpio_ana_pol *1813:9 5.18127 -2 *1813:9 *1813:10 51.8699 -3 *1813:10 *1813:16 47.961 -4 *1813:16 *2474:mprj_io_analog_pol[18] 20.5512 -*END - -*D_NET *1814 0.0147986 -*CONN -*I *2474:mprj_io_analog_pol[19] I *D chip_io_alt -*I *2466:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_pol[19] 0.000696806 -2 *2466:pad_gpio_ana_pol 0.000445725 -3 *1814:8 0.0014416 -4 *1814:7 0.00119051 -5 *2474:mprj_io_analog_pol[19] *2474:mprj_io_dm[57] 0.00019711 -6 *1814:7 *1841:7 0.000295951 -7 *1814:8 *1912:8 0.00325775 -8 *1814:8 *1949:8 0.000276812 -9 *1814:8 *1949:17 0.000588095 -10 *1814:8 *2057:29 0.000205067 -11 *1814:8 *2084:8 0.00509 -12 *1814:8 *2165:27 0.00065321 -13 *2474:mprj_io_analog_en[19] *2474:mprj_io_analog_pol[19] 0.000217643 -14 *475:16 *2474:mprj_io_analog_pol[19] 0 -15 *1787:7 *1814:7 0.000242299 -*RES -1 *2466:pad_gpio_ana_pol *1814:7 5.19125 -2 *1814:7 *1814:8 81.768 -3 *1814:8 *2474:mprj_io_analog_pol[19] 28.718 -*END - -*D_NET *1815 0.0124517 -*CONN -*I *2474:mprj_io_analog_pol[1] I *D chip_io_alt -*I *2445:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_pol[1] 0.000669129 -2 *2445:pad_gpio_ana_pol 0.000438355 -3 *1815:20 0.00201304 -4 *1815:19 0.00172168 -5 *1815:13 0.000816131 -6 *2474:mprj_io_analog_pol[1] *2474:mprj_io_analog_sel[1] 0.000286651 -7 *2474:mprj_io_analog_pol[1] *2474:mprj_io_dm[3] 0 -8 *2474:mprj_io_analog_pol[1] *2474:mprj_io_inp_dis[1] 0.00019711 -9 *1815:13 *1842:13 0.000129429 -10 *1815:19 *1950:17 5.04829e-06 -11 *1815:19 *2004:11 0.00158217 -12 *1815:19 *2058:14 0.000740845 -13 *1815:19 *2058:19 5.04829e-06 -14 *1815:19 *2058:20 0 -15 *1815:20 *1950:20 0.00138386 -16 *1815:20 *2004:11 0.000152386 -17 *1815:20 *2058:20 0 -18 *467:55 *2474:mprj_io_analog_pol[1] 0.00134341 -19 *485:53 *1815:13 9.20603e-05 -20 *1788:13 *1815:13 0.000875346 -*RES -1 *2445:pad_gpio_ana_pol *1815:13 24.9893 -2 *1815:13 *1815:19 35.7794 -3 *1815:19 *1815:20 52.908 -4 *1815:20 *2474:mprj_io_analog_pol[1] 37.4732 -*END - -*D_NET *1816 0.0121537 -*CONN -*I *2474:mprj_io_analog_pol[20] I *D chip_io_alt -*I *2467:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_pol[20] 0.000441716 -2 *2467:pad_gpio_ana_pol 0.000599218 -3 *1816:16 0.00173753 -4 *1816:15 0.00189504 -5 *2474:mprj_io_analog_pol[20] *2474:mprj_io_dm[60] 0 -6 *2474:mprj_io_analog_pol[20] *2474:mprj_io_inp_dis[20] 0 -7 *2474:mprj_io_analog_pol[20] *1916:18 0.000145018 -8 *1816:15 *1843:15 0.00013145 -9 *1816:15 *1914:15 0.000119662 -10 *1816:16 *1843:16 0.00220484 -11 *1816:16 *1914:16 0.00434304 -12 *1816:16 *2140:8 0.000289658 -13 *494:8 *1816:16 0 -14 *1789:15 *1816:15 0.00024656 -*RES -1 *2467:pad_gpio_ana_pol *1816:15 27.5592 -2 *1816:15 *1816:16 86.9587 -3 *1816:16 *2474:mprj_io_analog_pol[20] 20.4118 -*END - -*D_NET *1817 0.0152627 -*CONN -*I *2474:mprj_io_analog_pol[21] I *D chip_io_alt -*I *2468:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_pol[21] 0.000398227 -2 *2468:pad_gpio_ana_pol 0.000317115 -3 *1817:8 0.00119678 -4 *1817:7 0.00111567 -5 *2474:mprj_io_analog_pol[21] *2474:mprj_io_inp_dis[21] 0 -6 *2474:mprj_io_analog_pol[21] *2298:21 5.6259e-05 -7 *1817:7 *1844:10 0.000279125 -8 *1817:8 *1917:14 7.12527e-05 -9 *1817:8 *1952:14 0.000102508 -10 *1817:8 *2168:8 0.00562108 -11 *477:8 *1817:8 6.80434e-05 -12 *494:20 *1817:8 0.000776783 -13 *1790:7 *1817:7 0.000263857 -14 *1790:8 *1817:8 0.00499598 -*RES -1 *2468:pad_gpio_ana_pol *1817:7 4.96178 -2 *1817:7 *1817:8 90.0731 -3 *1817:8 *2474:mprj_io_analog_pol[21] 18.8874 -*END - -*D_NET *1818 0.015055 -*CONN -*I *2474:mprj_io_analog_pol[22] I *D chip_io_alt -*I *2469:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_pol[22] 0.00034857 -2 *2469:pad_gpio_ana_pol 0.000908267 -3 *1818:16 0.00092726 -4 *1818:15 0.00148696 -5 *2474:mprj_io_analog_pol[22] *2474:mprj_io_dm[66] 8.47119e-05 -6 *2474:mprj_io_analog_pol[22] *2474:mprj_io_inp_dis[22] 0 -7 *2474:mprj_io_analog_pol[22] *1922:33 6.50727e-05 -8 *2474:mprj_io_analog_pol[22] *2317:12 0.000107031 -9 *1818:15 *1845:12 7.93742e-05 -10 *1818:15 *2007:12 0.000464193 -11 *1818:16 *1921:8 0.00343653 -12 *1818:16 *1922:33 0.000884026 -13 *1818:16 *2115:8 1.72799e-05 -14 *1818:16 *2169:16 0.00436911 -15 *478:10 *1818:16 0.00060648 -16 *495:44 *1818:15 0.000311148 -17 *1791:12 *1818:15 0.000958982 -*RES -1 *2469:pad_gpio_ana_pol *1818:15 41.675 -2 *1818:15 *1818:16 69.9334 -3 *1818:16 *2474:mprj_io_analog_pol[22] 19.4392 -*END - -*D_NET *1819 0.0127857 -*CONN -*I *2474:mprj_io_analog_pol[23] I *D chip_io_alt -*I *2470:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_pol[23] 0.00039288 -2 *2470:pad_gpio_ana_pol 0.000589907 -3 *1819:8 0.00232802 -4 *1819:7 0.00252505 -5 *2474:mprj_io_analog_pol[23] *2474:mprj_io_inp_dis[23] 0.000365085 -6 *1819:7 *1846:7 0.000138555 -7 *1819:7 *1923:7 0 -8 *1819:8 *1926:16 0.00410865 -9 *1819:8 *2300:21 0.000602602 -10 *494:20 *1819:8 0.000804228 -11 *513:14 *1819:8 4.61488e-05 -12 *1792:7 *1819:7 0.000229469 -13 *1792:8 *1819:8 0.000655145 -*RES -1 *2470:pad_gpio_ana_pol *1819:7 5.19125 -2 *1819:7 *1819:8 90.0731 -3 *1819:8 *2474:mprj_io_analog_pol[23] 20.4118 -*END - -*D_NET *1820 0.0145279 -*CONN -*I *2474:mprj_io_analog_pol[24] I *D chip_io_alt -*I *2446:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_pol[24] 0.000913463 -2 *2446:pad_gpio_ana_pol 0.000562988 -3 *1820:14 0.00151193 -4 *1820:13 0.00116145 -5 *2474:mprj_io_analog_pol[24] *2474:mprj_io_dm[72] 4.81588e-05 -6 *2474:mprj_io_analog_pol[24] *2474:mprj_io_dm[73] 0 -7 *2474:mprj_io_analog_pol[24] *1927:15 0.0010243 -8 *2474:mprj_io_analog_pol[24] *1955:14 0 -9 *2474:mprj_io_analog_pol[24] *1982:19 0 -10 *1820:13 *1847:7 3.81792e-05 -11 *1820:13 *2009:11 1.66771e-05 -12 *1820:14 *2063:8 0.00434582 -13 *1820:14 *2319:11 0.00434582 -14 *494:20 *1820:14 0.000520968 -15 *1793:13 *1820:13 3.81792e-05 -16 *1793:14 *2474:mprj_io_analog_pol[24] 0 -*RES -1 *2446:pad_gpio_ana_pol *1820:13 23.1917 -2 *1820:13 *1820:14 69.9334 -3 *1820:14 *2474:mprj_io_analog_pol[24] 39.0049 -*END - -*D_NET *1821 0.0152151 -*CONN -*I *2474:mprj_io_analog_pol[25] I *D chip_io_alt -*I *2447:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_pol[25] 0.000503722 -2 *2447:pad_gpio_ana_pol 0.000522449 -3 *1821:16 0.00175641 -4 *1821:15 0.00190939 -5 *1821:12 0.00117915 -6 *2474:mprj_io_analog_pol[25] *2474:mprj_io_dm[75] 0.000118972 -7 *1821:12 *1848:12 0.0011938 -8 *1821:15 *1848:15 0.00279443 -9 *1821:16 *1930:12 0.00356168 -10 *1821:16 *1931:8 0 -11 *2448:serial_load *2474:mprj_io_analog_pol[25] 0.000127577 -12 *2448:serial_load *1821:16 0.000478558 -13 *2474:mprj_io_analog_en[25] *2474:mprj_io_analog_pol[25] 0.000159956 -14 *515:8 *2474:mprj_io_analog_pol[25] 0.000908956 -15 *515:8 *1821:16 0 -*RES -1 *2447:pad_gpio_ana_pol *1821:12 27.5751 -2 *1821:12 *1821:15 34.0628 -3 *1821:15 *1821:16 57.0605 -4 *1821:16 *2474:mprj_io_analog_pol[25] 29.5184 -*END - -*D_NET *1822 0.00954265 -*CONN -*I *2474:mprj_io_analog_pol[26] I *D chip_io_alt -*I *2448:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_pol[26] 0.000433309 -2 *2448:pad_gpio_ana_pol 0.00024693 -3 *1822:8 0.00253752 -4 *1822:7 0.00235114 -5 *2474:mprj_io_analog_pol[26] *2474:mprj_io_dm[78] 0.000152048 -6 *2474:mprj_io_analog_pol[26] *2474:mprj_io_inp_dis[26] 0 -7 *2474:mprj_io_analog_pol[26] *1933:8 0 -8 *1822:7 *1849:7 0.000261617 -9 *1822:8 *1933:8 0.00174438 -10 *1822:8 *1934:8 0 -11 *1822:8 *2146:21 0.00167049 -12 *2448:mgmt_gpio_out *1822:7 0 -13 *1795:7 *1822:7 0.000145223 -14 *1795:8 *1822:8 0 -*RES -1 *2448:pad_gpio_ana_pol *1822:7 4.73231 -2 *1822:7 *1822:8 85.0901 -3 *1822:8 *2474:mprj_io_analog_pol[26] 22.2526 -*END - -*D_NET *1823 0.0167538 -*CONN -*I *2474:mprj_io_analog_pol[2] I *D chip_io_alt -*I *2455:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_pol[2] 0.000619379 -2 *2455:pad_gpio_ana_pol 0.00073543 -3 *1823:20 0.00123507 -4 *1823:19 0.00106974 -5 *1823:13 0.00118948 -6 *2474:mprj_io_analog_pol[2] *2474:mprj_io_dm[6] 0 -7 *1823:13 *1850:13 0.00176225 -8 *1823:19 *1935:14 0.00203363 -9 *1823:20 *1935:23 0.00106726 -10 *1823:20 *2012:11 0.00361469 -11 *104:40 *1823:13 5.35541e-05 -12 *471:40 *2474:mprj_io_analog_pol[2] 4.35659e-05 -13 *480:14 *1823:19 0.000990376 -14 *480:14 *1823:20 0.00124392 -15 *485:50 *1823:19 0.000327945 -16 *485:50 *1823:20 0.000513186 -17 *1796:8 *1823:13 0.000254349 -*RES -1 *2455:pad_gpio_ana_pol *1823:13 37.3187 -2 *1823:13 *1823:19 43.3691 -3 *1823:19 *1823:20 57.891 -4 *1823:20 *2474:mprj_io_analog_pol[2] 22.9089 -*END - -*D_NET *1824 0.0128556 -*CONN -*I *2474:mprj_io_analog_pol[3] I *D chip_io_alt -*I *2456:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_pol[3] 0.000506471 -2 *2456:pad_gpio_ana_pol 0.000611737 -3 *1824:20 0.0015368 -4 *1824:19 0.00103033 -5 *1824:17 0.00118699 -6 *1824:13 0.00179872 -7 *2474:mprj_io_analog_pol[3] *2474:mprj_io_inp_dis[3] 0 -8 *1824:13 *1851:15 4.69062e-05 -9 *1824:13 *1938:13 0 -10 *1824:13 *1986:13 0 -11 *1824:13 *2094:8 5.88867e-05 -12 *1824:17 *2121:14 0.00123731 -13 *1824:17 *2175:14 0 -14 *1824:20 *1938:18 0.00358983 -15 *1824:20 *2148:26 0 -16 *1824:20 *2175:14 0.00104897 -17 *2474:mprj_io_analog_en[3] *2474:mprj_io_analog_pol[3] 0.00015919 -18 *1797:13 *1824:13 3.84497e-05 -19 *1797:19 *1824:17 5.04829e-06 -20 *1797:22 *1824:20 0 -*RES -1 *2456:pad_gpio_ana_pol *1824:13 25.3308 -2 *1824:13 *1824:17 48.8621 -3 *1824:17 *1824:19 4.5 -4 *1824:19 *1824:20 57.4758 -5 *1824:20 *2474:mprj_io_analog_pol[3] 21.747 -*END - -*D_NET *1825 0.0108986 -*CONN -*I *2474:mprj_io_analog_pol[4] I *D chip_io_alt -*I *2457:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_pol[4] 0.000736212 -2 *2457:pad_gpio_ana_pol 0.000548526 -3 *1825:16 0.0028623 -4 *1825:15 0.00267462 -5 *2474:mprj_io_analog_pol[4] *2474:mprj_io_analog_sel[4] 0 -6 *2474:mprj_io_analog_pol[4] *2474:mprj_io_dm[12] 0 -7 *2474:mprj_io_analog_pol[4] *2474:mprj_io_inp_dis[4] 7.44489e-05 -8 *1825:15 *1852:13 0.000116529 -9 *1825:15 *1861:15 0 -10 *1825:15 *2014:31 0 -11 *1825:16 *1861:16 0 -12 *1825:16 *2014:21 0.000455641 -13 *1825:16 *2122:14 0.00181483 -14 *110:98 *1825:16 0 -15 *475:37 *1825:16 0.000819002 -16 *495:56 *2474:mprj_io_analog_pol[4] 0.000545108 -17 *495:62 *2474:mprj_io_analog_pol[4] 2.1558e-06 -18 *1798:13 *1825:15 0.000249186 -*RES -1 *2457:pad_gpio_ana_pol *1825:15 25.5567 -2 *1825:15 *1825:16 82.3909 -3 *1825:16 *2474:mprj_io_analog_pol[4] 34.1656 -*END - -*D_NET *1826 0.0143828 -*CONN -*I *2474:mprj_io_analog_pol[5] I *D chip_io_alt -*I *2458:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_pol[5] 0.000329224 -2 *2458:pad_gpio_ana_pol 0.000410237 -3 *1826:23 0.00145206 -4 *1826:19 0.001635 -5 *1826:13 0.000922404 -6 *2474:mprj_io_analog_pol[5] *2474:mprj_io_analog_sel[5] 7.08723e-06 -7 *2474:mprj_io_analog_pol[5] *2474:mprj_io_dm[15] 0 -8 *2474:mprj_io_analog_pol[5] *2474:mprj_io_inp_dis[5] 0.000905713 -9 *2474:mprj_io_analog_pol[5] *2042:11 5.05252e-05 -10 *1826:13 *1853:13 0.00111034 -11 *1826:13 *2150:8 0 -12 *1826:19 *1864:14 0.00112654 -13 *1826:19 *1864:19 5.04829e-06 -14 *1826:19 *1864:20 0 -15 *1826:19 *1866:14 0.00236865 -16 *1826:23 *2474:mprj_io_dm[17] 0.000912777 -17 *1826:23 *2474:mprj_io_inp_dis[5] 0.000458361 -18 *1826:23 *1864:20 0 -19 *1826:23 *1866:14 8.35615e-06 -20 *1826:23 *2150:14 0.000157419 -21 *108:49 *2474:mprj_io_analog_pol[5] 0.000138177 -22 *475:37 *1826:23 0.00030076 -23 *493:51 *1826:19 0.000382316 -24 *495:64 *2474:mprj_io_analog_pol[5] 0.000525313 -25 *1799:13 *1826:13 0.00117647 -*RES -1 *2458:pad_gpio_ana_pol *1826:13 30.8028 -2 *1826:13 *1826:19 48.2369 -3 *1826:19 *1826:23 47.6192 -4 *1826:23 *2474:mprj_io_analog_pol[5] 24.5054 -*END - -*D_NET *1827 0.0175628 -*CONN -*I *2474:mprj_io_analog_pol[6] I *D chip_io_alt -*I *2459:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_pol[6] 0.000555492 -2 *2459:pad_gpio_ana_pol 0.000789226 -3 *1827:20 0.000925066 -4 *1827:14 0.00083477 -5 *1827:13 0.00125442 -6 *2474:mprj_io_analog_pol[6] *2474:mprj_io_inp_dis[6] 0 -7 *1827:13 *1854:13 3.84497e-05 -8 *1827:13 *1989:13 0 -9 *1827:13 *2097:8 4.05974e-05 -10 *1827:14 *1867:14 0.00358188 -11 *1827:14 *2151:16 0.00351317 -12 *1827:20 *1867:14 0.000126618 -13 *1827:20 *2070:28 0.00226954 -14 *477:32 *1827:20 0.000409501 -15 *478:32 *1827:14 0.000535945 -16 *507:18 *2474:mprj_io_analog_pol[6] 8.1645e-05 -17 *1800:13 *1827:13 3.84497e-05 -18 *1800:14 *1827:14 2.6506e-05 -19 *1800:14 *1827:20 0.00254154 -*RES -1 *2459:pad_gpio_ana_pol *1827:13 29.2131 -2 *1827:13 *1827:14 57.2682 -3 *1827:14 *1827:20 45.8909 -4 *1827:20 *2474:mprj_io_analog_pol[6] 17.9909 -*END - -*D_NET *1828 0.0612442 -*CONN -*I *2474:mprj_io_analog_pol[7] I *D chip_io_alt -*I *2460:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_pol[7] 0.000580597 -2 *2460:pad_gpio_ana_pol 0.000467651 -3 *1828:28 0.000861603 -4 *1828:23 0.00101895 -5 *1828:14 0.00506883 -6 *1828:13 0.00479854 -7 *2474:mprj_io_analog_pol[7] *2018:24 5.04829e-06 -8 *2474:mprj_io_analog_pol[7] *2153:16 2.22735e-05 -9 *2474:mprj_io_analog_pol[7] *2293:9 7.39195e-05 -10 *2474:mprj_io_analog_pol[7] *2293:10 0.000199558 -11 *1828:13 *1855:13 3.84497e-05 -12 *1828:14 *1855:14 0.00862865 -13 *1828:14 *1873:14 0.0224395 -14 *1828:14 *1876:14 0.00555205 -15 *1828:14 *2099:16 0.000518598 -16 *1828:23 *2474:mprj_io_slow_sel[7] 5.04829e-06 -17 *1828:23 *1874:21 0.00052298 -18 *1828:23 *1991:16 0.000255037 -19 *1828:28 *1855:14 0.00213423 -20 *1828:28 *1991:16 0.000344674 -21 *477:32 *1828:14 0.000320798 -22 *478:32 *1828:14 0.0024402 -23 *510:14 *1828:23 0.00173846 -24 *510:14 *1828:28 0.00213783 -25 *1570:20 *1828:23 0 -26 *1801:13 *1828:13 0.00106567 -27 *1801:14 *1828:14 0 -28 *1801:19 *1828:23 5.04829e-06 -*RES -1 *2460:pad_gpio_ana_pol *1828:13 27.3498 -2 *1828:13 *1828:14 375.974 -3 *1828:14 *1828:23 45.7127 -4 *1828:23 *1828:28 43.2217 -5 *1828:28 *2474:mprj_io_analog_pol[7] 18.5078 -*END - -*D_NET *1829 0.0506618 -*CONN -*I *2474:mprj_io_analog_pol[8] I *D chip_io_alt -*I *2449:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_pol[8] 0.000399618 -2 *2449:pad_gpio_ana_pol 0.00735869 -3 *1829:14 0.00490395 -4 *1829:13 0.011863 -5 *2474:mprj_io_analog_pol[8] *2474:mprj_io_inp_dis[8] 0 -6 *2474:mprj_io_analog_pol[8] *1879:25 7.77744e-05 -7 *2474:mprj_io_analog_pol[8] *2302:15 5.62734e-05 -8 *1829:13 *1856:10 0.00151839 -9 *1829:13 *1857:13 2.02814e-05 -10 *1829:13 *1874:10 0.000953202 -11 *1829:13 *1990:8 0 -12 *1829:14 *1856:19 0.000142472 -13 *1829:14 *1857:14 0 -14 *1829:14 *1876:14 0 -15 *1829:14 *1877:14 0.000717961 -16 *1829:14 *2072:24 0.00195445 -17 *1829:14 *2181:8 0.00142495 -18 *2450:resetn *1829:13 0.016466 -19 *509:16 *1829:13 0.000601249 -20 *1802:14 *1829:14 0.00219694 -21 *1803:13 *1829:13 6.55666e-06 -*RES -1 *2449:pad_gpio_ana_pol *1829:13 44.1651 -2 *1829:13 *1829:14 172.708 -3 *1829:14 *2474:mprj_io_analog_pol[8] 18.3328 -*END - -*D_NET *1830 0.0604958 -*CONN -*I *2474:mprj_io_analog_pol[9] I *D chip_io_alt -*I *2450:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_pol[9] 0.000549935 -2 *2450:pad_gpio_ana_pol 0.00508838 -3 *1830:22 0.00154592 -4 *1830:19 0.00123074 -5 *1830:14 0.00168229 -6 *1830:13 0.00653591 -7 *2474:mprj_io_analog_pol[9] *2474:mprj_io_dm[27] 0 -8 *2474:mprj_io_analog_pol[9] *2474:mprj_io_inp_dis[9] 0 -9 *2474:mprj_io_analog_pol[9] *2303:13 9.43525e-05 -10 *1830:14 *1877:14 0.0102848 -11 *1830:14 *1878:14 0.0102724 -12 *1830:14 *1940:10 0.000994168 -13 *1830:14 *2181:8 0.000445769 -14 *1830:19 *1857:19 5.04829e-06 -15 *1830:22 *1877:22 0.00232164 -16 *1830:22 *1881:14 3.74394e-05 -17 *1830:22 *2048:14 0 -18 *1830:22 *2100:8 0.000453415 -19 *1830:22 *2127:14 0.000267587 -20 *1830:22 *2127:28 0.000137372 -21 *2450:resetn *1830:13 0.000997457 -22 *2450:serial_clock *1830:13 0.000109401 -23 *2450:serial_load *1830:13 0 -24 *1803:13 *1830:13 0.0174418 -*RES -1 *2450:pad_gpio_ana_pol *1830:13 38.6963 -2 *1830:13 *1830:14 165.649 -3 *1830:14 *1830:19 14.1602 -4 *1830:19 *1830:22 49.5182 -5 *1830:22 *2474:mprj_io_analog_pol[9] 17.2969 -*END - -*D_NET *1831 0.012093 -*CONN -*I *2474:mprj_io_analog_sel[0] I *D chip_io_alt -*I *2444:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_sel[0] 0.000117778 -2 *2444:pad_gpio_ana_sel 0.000452659 -3 *1831:20 0.00108336 -4 *1831:19 0.00141824 -5 *2474:mprj_io_analog_sel[0] *2474:mprj_io_dm[2] 0 -6 *1831:19 *1858:13 0.000181113 -7 *1831:19 *1880:10 5.66868e-06 -8 *1831:19 *1966:13 0.000565216 -9 *1831:19 *1966:14 0.00106334 -10 *1831:19 *2074:8 0 -11 *1831:19 *2101:14 0.00108938 -12 *1831:19 *2155:19 3.98241e-05 -13 *1831:20 *1880:12 0.000783503 -14 *1831:20 *1966:14 0.00234978 -15 *1831:20 *1993:17 6.86916e-05 -16 *1831:20 *1993:21 0 -17 *1831:20 *2101:14 0.00283599 -18 *1804:13 *1831:19 3.84497e-05 -*RES -1 *2444:pad_gpio_ana_sel *1831:19 48.1448 -2 *1831:19 *1831:20 67.2342 -3 *1831:20 *2474:mprj_io_analog_sel[0] 2.89455 -*END - -*D_NET *1832 0.0577922 -*CONN -*I *2474:mprj_io_analog_sel[10] I *D chip_io_alt -*I *2451:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_sel[10] 0.00051449 -2 *2451:pad_gpio_ana_sel 3.60638e-05 -3 *1832:8 0.00643947 -4 *1832:7 0.00596104 -5 *2474:mprj_io_analog_sel[10] *2474:mprj_io_dm[32] 0 -6 *2452:serial_data_in *1832:8 0.00499525 -7 *1779:13 *1832:8 8.21151e-05 -8 *1805:8 *1832:8 0.0266686 -9 *1806:13 *1832:8 0.0130952 -*RES -1 *2451:pad_gpio_ana_sel *1832:7 0.51465 -2 *1832:7 *1832:8 63.4891 -3 *1832:8 *2474:mprj_io_analog_sel[10] 7.14266 -*END - -*D_NET *1833 0.0474161 -*CONN -*I *2474:mprj_io_analog_sel[11] I *D chip_io_alt -*I *2452:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_sel[11] 0.00291454 -2 *2452:pad_gpio_ana_sel 0.00893349 -3 *1833:20 0.011848 -4 *2474:mprj_io_analog_sel[11] *2474:mprj_io_dm[35] 2.07556e-06 -5 *2474:mprj_io_analog_sel[11] *2474:mprj_io_out[11] 0.00787088 -6 *2474:mprj_io_analog_sel[11] *1889:18 0.000130916 -7 *2474:mprj_io_analog_sel[11] *1942:14 0.000538784 -8 *1833:20 *2474:mprj_io_ib_mode_sel[10] 0.00332417 -9 *1833:20 *2474:mprj_io_out[11] 0.000393304 -10 *1833:20 *1884:13 2.89493e-05 -11 *1833:20 *1886:7 0 -12 *1833:20 *1889:8 0.000324993 -13 *1833:20 *1967:20 0.0024642 -14 *1833:20 *2103:18 0.00759354 -15 *1833:20 *2131:10 0.000130395 -16 *1833:20 *2156:17 0.00012875 -17 *1779:13 *1833:20 0 -18 *1779:14 *1833:20 0.000722314 -19 *1780:17 *1833:20 0 -20 *1807:19 *2474:mprj_io_analog_sel[11] 0 -21 *1807:19 *1833:20 6.68192e-05 -*RES -1 *2452:pad_gpio_ana_sel *1833:20 49.8061 -2 *1833:20 *2474:mprj_io_analog_sel[11] 22.7038 -*END - -*D_NET *1834 0.0573272 -*CONN -*I *2474:mprj_io_analog_sel[12] I *D chip_io_alt -*I *2453:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_sel[12] 0.000839282 -2 *2453:pad_gpio_ana_sel 0.000758738 -3 *1834:14 0.00644145 -4 *1834:13 0.00636091 -5 *2474:mprj_io_analog_sel[12] *2474:mprj_io_dm[38] 0.000317293 -6 *2474:mprj_io_analog_sel[12] *2474:mprj_io_inp_dis[12] 2.01653e-05 -7 *2474:mprj_io_analog_sel[12] *2077:15 0.000132415 -8 *1834:13 *1887:13 2.72062e-05 -9 *1834:14 *1884:14 0.00067385 -10 *1834:14 *1885:20 2.19276e-05 -11 *1834:14 *1941:8 0.00021747 -12 *1834:14 *1942:26 0.00106572 -13 *1834:14 *1942:33 0.00172233 -14 *1834:14 *1969:13 0.00111891 -15 *1834:14 *2104:14 0.0264855 -16 *501:14 *1834:14 0 -17 *502:16 *1834:14 0.000527146 -18 *502:22 *1834:14 0.00481959 -19 *1779:14 *1834:13 0 -20 *1779:20 *1834:14 0.00574178 -21 *1807:19 *1834:13 3.54846e-05 -*RES -1 *2453:pad_gpio_ana_sel *1834:13 29.2131 -2 *1834:13 *1834:14 446.567 -3 *1834:14 *2474:mprj_io_analog_sel[12] 34.7186 -*END - -*D_NET *1835 0.0159499 -*CONN -*I *2474:mprj_io_analog_sel[13] I *D chip_io_alt -*I *2454:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_sel[13] 8.43645e-05 -2 *2454:pad_gpio_ana_sel 2.68958e-05 -3 *1835:18 0.000667516 -4 *1835:13 0.00117523 -5 *1835:8 0.00121933 -6 *1835:7 0.000654149 -7 *2474:mprj_io_analog_sel[13] *2474:mprj_io_dm[41] 0 -8 *2474:mprj_io_analog_sel[13] *1997:13 7.20173e-06 -9 *2474:mprj_io_analog_sel[13] *2051:14 0 -10 *1835:8 *1970:5 0.00191642 -11 *1835:8 *2105:5 0.00294341 -12 *1835:13 *1893:13 1.58551e-05 -13 *1835:13 *1943:13 1.65872e-05 -14 *1835:13 *2105:10 0.00222312 -15 *1835:18 *2474:mprj_io_dm[41] 0 -16 *1835:18 *1997:19 0.00293508 -17 *1835:18 *2051:14 0.000864566 -18 *1835:18 *2159:19 0.00120018 -*RES -1 *2454:pad_gpio_ana_sel *1835:7 3.43197 -2 *1835:7 *1835:8 47.3021 -3 *1835:8 *1835:13 34.126 -4 *1835:13 *1835:18 49.5982 -5 *1835:18 *2474:mprj_io_analog_sel[13] 3.11439 -*END - -*D_NET *1836 0.0166904 -*CONN -*I *2474:mprj_io_analog_sel[14] I *D chip_io_alt -*I *2461:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_sel[14] 0.000384956 -2 *2461:pad_gpio_ana_sel 0.000694749 -3 *1836:14 0.00141209 -4 *1836:13 0.00172188 -5 *2474:mprj_io_analog_sel[14] *2474:mprj_io_dm[44] 0 -6 *2474:mprj_io_analog_sel[14] *2474:mprj_io_oeb[14] 0.000171064 -7 *1836:13 *1894:11 0.0011317 -8 *1836:14 *1894:14 0.00382722 -9 *1836:14 *2079:8 0.000414631 -10 *1836:14 *2106:21 0 -11 *1836:14 *2160:8 0.00582768 -12 *2461:mgmt_gpio_out *1836:13 0.000470189 -13 *485:17 *1836:14 0 -14 *1550:34 *1836:13 0.00056387 -15 *1809:13 *1836:13 7.03696e-05 -*RES -1 *2461:pad_gpio_ana_sel *1836:13 39.1843 -2 *1836:13 *1836:14 93.1875 -3 *1836:14 *2474:mprj_io_analog_sel[14] 19.1633 -*END - -*D_NET *1837 0.0160146 -*CONN -*I *2474:mprj_io_analog_sel[15] I *D chip_io_alt -*I *2462:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_sel[15] 0.000659764 -2 *2462:pad_gpio_ana_sel 0.000195253 -3 *1837:16 0.00105721 -4 *1837:13 0.000750267 -5 *1837:8 0.00100825 -6 *1837:7 0.00085068 -7 *2474:mprj_io_analog_sel[15] *2474:mprj_io_dm[47] 0.000171064 -8 *2474:mprj_io_analog_sel[15] *2309:14 0 -9 *1837:7 *1897:7 0.000154819 -10 *1837:8 *2053:8 0.000824553 -11 *1837:8 *2107:8 0 -12 *1837:8 *2134:8 0.00305119 -13 *1837:13 *1972:19 0 -14 *1837:13 *2080:13 5.04829e-06 -15 *471:16 *2474:mprj_io_analog_sel[15] 0.00115603 -16 *471:16 *1837:16 1.2366e-05 -17 *517:16 *2474:mprj_io_analog_sel[15] 0.000182084 -18 *517:20 *2474:mprj_io_analog_sel[15] 0.000466976 -19 *517:20 *1837:16 0.00265651 -20 *1783:18 *1837:8 0.00019354 -21 *1810:12 *1837:7 5.16691e-06 -22 *1810:16 *1837:16 0.00261386 -*RES -1 *2462:pad_gpio_ana_sel *1837:7 4.19688 -2 *1837:7 *1837:8 48.9631 -3 *1837:8 *1837:13 16.3786 -4 *1837:13 *1837:16 43.4671 -5 *1837:16 *2474:mprj_io_analog_sel[15] 38.9222 -*END - -*D_NET *1838 0.0140512 -*CONN -*I *2474:mprj_io_analog_sel[16] I *D chip_io_alt -*I *2463:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_sel[16] 0.000247254 -2 *2463:pad_gpio_ana_sel 0.000349358 -3 *1838:14 0.00149678 -4 *1838:8 0.00225687 -5 *1838:7 0.0013567 -6 *2474:mprj_io_analog_sel[16] *2474:mprj_io_dm[50] 6.8952e-05 -7 *2474:mprj_io_analog_sel[16] *2027:10 0 -8 *1838:7 *1900:7 0.00019495 -9 *1838:8 *1900:8 0 -10 *1838:8 *1946:8 0.000287034 -11 *1838:8 *2135:8 0.00405056 -12 *1838:8 *2162:8 0.00311799 -13 *1838:14 *2474:mprj_io_dm[48] 5.04829e-06 -14 *1838:14 *2474:mprj_io_dm[50] 0 -15 *1838:14 *2474:mprj_io_holdover[16] 0 -16 *1838:14 *1946:8 0 -17 *1838:14 *1946:17 0 -18 *1838:14 *2054:20 5.84352e-05 -19 *1838:14 *2108:16 0 -20 *2474:mprj_io_analog_pol[16] *1838:8 0 -21 *2474:mprj_io_analog_pol[16] *1838:14 0.00042737 -22 *1784:7 *1838:7 0 -23 *1811:7 *1838:7 0.000133918 -*RES -1 *2463:pad_gpio_ana_sel *1838:7 4.88529 -2 *1838:7 *1838:8 74.7088 -3 *1838:8 *1838:14 48.7943 -4 *1838:14 *2474:mprj_io_analog_sel[16] 15.4204 -*END - -*D_NET *1839 0.0560718 -*CONN -*I *2474:mprj_io_analog_sel[17] I *D chip_io_alt -*I *2464:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_sel[17] 0.000388751 -2 *2464:pad_gpio_ana_sel 0.000876342 -3 *1839:36 0.00115514 -4 *1839:35 0.000766389 -5 *1839:33 0.00519919 -6 *1839:32 0.00565163 -7 *1839:17 0.00586306 -8 *1839:16 0.00628696 -9 *2474:mprj_io_analog_sel[17] *2474:mprj_io_dm[53] 0 -10 *2474:mprj_io_analog_sel[17] *2312:9 0.000171064 -11 *1839:36 *1906:22 0.00154711 -12 *1839:36 *2109:8 0.00101784 -13 *1839:36 *2163:8 0.00106635 -14 *1839:36 *2163:14 0.00365753 -15 *1839:36 *2163:23 0.000246295 -16 *2474:mprj_io_analog_pol[17] *1839:36 9.15115e-06 -17 *491:17 *1839:33 0.0195892 -18 *519:21 *1839:36 1.87269e-05 -19 *1785:16 *1839:36 1.3813e-05 -20 *1785:20 *1839:36 0.00254725 -*RES -1 *2464:pad_gpio_ana_sel *1839:16 13.0377 -2 *1839:16 *1839:17 151.021 -3 *1839:17 *1839:32 27.6306 -4 *1839:32 *1839:33 228.665 -5 *1839:33 *1839:35 4.5 -6 *1839:35 *1839:36 84.0519 -7 *1839:36 *2474:mprj_io_analog_sel[17] 19.1633 -*END - -*D_NET *1840 0.0147879 -*CONN -*I *2474:mprj_io_analog_sel[18] I *D chip_io_alt -*I *2465:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_sel[18] 0.000657147 -2 *2465:pad_gpio_ana_sel 0.00109932 -3 *1840:27 0.0029858 -4 *1840:13 0.00342797 -5 *2474:mprj_io_analog_sel[18] *2474:mprj_io_holdover[18] 0.000674897 -6 *2474:mprj_io_analog_sel[18] *2474:mprj_io_inp_dis[18] 2.98205e-05 -7 *2474:mprj_io_analog_sel[18] *2295:13 0 -8 *1840:13 *1907:9 0.000299342 -9 *1840:13 *1975:19 0.000173182 -10 *1840:13 *2056:10 0.00183361 -11 *1840:13 *2110:15 0.000298318 -12 *1840:27 *2474:mprj_io_inp_dis[18] 0 -13 *1840:27 *1909:10 0.000441815 -14 *1840:27 *2137:10 0.00133683 -15 *1840:27 *2295:13 0.000102556 -16 *1840:27 *2313:15 0.000113119 -17 *2474:mprj_io_analog_pol[18] *2474:mprj_io_analog_sel[18] 3.87462e-05 -18 *107:51 *1840:27 0.000104535 -19 *520:16 *1840:13 0.00088682 -20 *1813:9 *1840:13 0.000284075 -*RES -1 *2465:pad_gpio_ana_sel *1840:13 47.3667 -2 *1840:13 *1840:27 39.2606 -3 *1840:27 *2474:mprj_io_analog_sel[18] 22.558 -*END - -*D_NET *1841 0.0157469 -*CONN -*I *2474:mprj_io_analog_sel[19] I *D chip_io_alt -*I *2466:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_sel[19] 0.000492813 -2 *2466:pad_gpio_ana_sel 0.000578892 -3 *1841:19 0.0012735 -4 *1841:8 0.00212548 -5 *1841:7 0.00192369 -6 *2474:mprj_io_analog_sel[19] *2474:mprj_io_holdover[19] 0.000674897 -7 *2474:mprj_io_analog_sel[19] *2474:mprj_io_inp_dis[19] 9.29258e-05 -8 *1841:7 *1910:7 0.000320815 -9 *1841:8 *2111:8 0.00470439 -10 *1841:19 *1976:16 0.000560261 -11 *1841:19 *2057:29 0.000116789 -12 *474:42 *2474:mprj_io_analog_sel[19] 0.000126093 -13 *475:16 *2474:mprj_io_analog_sel[19] 0 -14 *493:16 *1841:8 0.00153368 -15 *493:20 *1841:19 0.000700943 -16 *493:22 *1841:19 9.71323e-06 -17 *521:8 *1841:19 0.000204856 -18 *521:14 *1841:8 1.1246e-05 -19 *1814:7 *1841:7 0.000295951 -*RES -1 *2466:pad_gpio_ana_sel *1841:7 5.5737 -2 *1841:7 *1841:8 75.9545 -3 *1841:8 *1841:19 49.1015 -4 *1841:19 *2474:mprj_io_analog_sel[19] 18.4665 -*END - -*D_NET *1842 0.0121662 -*CONN -*I *2474:mprj_io_analog_sel[1] I *D chip_io_alt -*I *2445:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_sel[1] 0.000223677 -2 *2445:pad_gpio_ana_sel 0.000406835 -3 *1842:18 0.000809374 -4 *1842:16 0.000973029 -5 *1842:13 0.000794166 -6 *1842:13 *1891:13 0.000228387 -7 *1842:16 *1913:19 0.0018151 -8 *1842:16 *2112:14 1.2366e-05 -9 *1842:18 *1977:19 0.00146422 -10 *1842:18 *2004:11 0 -11 *1842:18 *2112:14 0.0024272 -12 *2474:mprj_io_analog_pol[1] *2474:mprj_io_analog_sel[1] 0.000286651 -13 *100:90 *1842:16 0.000153978 -14 *100:90 *1842:18 3.39725e-05 -15 *467:55 *2474:mprj_io_analog_sel[1] 4.3116e-06 -16 *467:55 *1842:16 0.000819535 -17 *485:53 *1842:13 8.35615e-06 -18 *485:61 *1842:18 0.00157562 -19 *1788:13 *1842:13 0 -20 *1815:13 *1842:13 0.000129429 -*RES -1 *2445:pad_gpio_ana_sel *1842:13 22.555 -2 *1842:13 *1842:16 30.5943 -3 *1842:16 *1842:18 50.2089 -4 *1842:18 *2474:mprj_io_analog_sel[1] 15.6963 -*END - -*D_NET *1843 0.0147392 -*CONN -*I *2474:mprj_io_analog_sel[20] I *D chip_io_alt -*I *2467:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_sel[20] 0.000418245 -2 *2467:pad_gpio_ana_sel 0.00090274 -3 *1843:16 0.00190706 -4 *1843:15 0.00239156 -5 *2474:mprj_io_analog_sel[20] *2474:mprj_io_holdover[20] 0.000158424 -6 *1843:15 *1914:15 0.00124649 -7 *1843:15 *2005:11 0 -8 *1843:16 *2059:14 0.00182853 -9 *1843:16 *2086:13 0.000153237 -10 *1843:16 *2113:16 0 -11 *1843:16 *2140:8 0.00283238 -12 *2467:mgmt_gpio_out *1843:15 0.000468828 -13 *474:48 *1843:16 0 -14 *494:8 *1843:16 0 -15 *1557:33 *1843:15 2.37478e-05 -16 *1789:15 *1843:15 4.97938e-05 -17 *1789:16 *1843:16 2.18442e-05 -18 *1816:15 *1843:15 0.00013145 -19 *1816:16 *1843:16 0.00220484 -*RES -1 *2467:pad_gpio_ana_sel *1843:15 43.6147 -2 *1843:15 *1843:16 91.1112 -3 *1843:16 *2474:mprj_io_analog_sel[20] 19.5164 -*END - -*D_NET *1844 0.0173843 -*CONN -*I *2474:mprj_io_analog_sel[21] I *D chip_io_alt -*I *2468:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_sel[21] 0.000570201 -2 *2468:pad_gpio_ana_sel 0.00136304 -3 *1844:18 0.000934297 -4 *1844:12 0.00139338 -5 *1844:10 0.00239233 -6 *2474:mprj_io_analog_sel[21] *2474:mprj_io_dm[65] 0 -7 *2474:mprj_io_analog_sel[21] *2474:mprj_io_holdover[21] 0.000171064 -8 *1844:10 *1917:10 7.85438e-05 -9 *1844:10 *1919:10 0.00172667 -10 *1844:12 *1919:10 2.01595e-05 -11 *1844:12 *1919:12 0.00290619 -12 *1844:18 *1919:12 0.00223842 -13 *1844:18 *1979:17 5.04829e-06 -14 *474:54 *1844:10 0.000270979 -15 *474:54 *1844:12 0.00045085 -16 *476:22 *1844:18 0.000342008 -17 *477:14 *1844:18 0.00224201 -18 *1817:7 *1844:10 0.000279125 -*RES -1 *2468:pad_gpio_ana_sel *1844:10 33.8841 -2 *1844:10 *1844:12 47.3021 -3 *1844:12 *1844:18 46.1607 -4 *1844:18 *2474:mprj_io_analog_sel[21] 23.0455 -*END - -*D_NET *1845 0.0179606 -*CONN -*I *2474:mprj_io_analog_sel[22] I *D chip_io_alt -*I *2469:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_sel[22] 0.000771547 -2 *2469:pad_gpio_ana_sel 0.000873423 -3 *1845:16 0.00120709 -4 *1845:15 0.0011231 -5 *1845:12 0.00156098 -6 *2474:mprj_io_analog_sel[22] *2474:mprj_io_dm[68] 0.0011915 -7 *2474:mprj_io_analog_sel[22] *2474:mprj_io_holdover[22] 6.30048e-06 -8 *2474:mprj_io_analog_sel[22] *2474:mprj_io_out[22] 0 -9 *2474:mprj_io_analog_sel[22] *1953:17 3.6583e-05 -10 *2474:mprj_io_analog_sel[22] *1980:16 0.00122908 -11 *2474:mprj_io_analog_sel[22] *2299:24 0 -12 *1845:12 *1920:7 1.41809e-05 -13 *1845:12 *1922:8 0 -14 *1845:16 *1953:8 0.00338952 -15 *1845:16 *1980:16 1.2366e-05 -16 *2469:mgmt_gpio_out *1845:12 0.00022036 -17 *476:22 *2474:mprj_io_analog_sel[22] 0.00019411 -18 *476:22 *1845:16 0.000522092 -19 *496:17 *1845:12 0.000207875 -20 *524:16 *2474:mprj_io_analog_sel[22] 1.23804e-05 -21 *524:16 *1845:16 0.00343217 -22 *1559:37 *1845:12 7.86825e-06 -23 *1791:12 *1845:12 0.00186867 -24 *1818:15 *1845:12 7.93742e-05 -*RES -1 *2469:pad_gpio_ana_sel *1845:12 43.4312 -2 *1845:12 *1845:15 19.0885 -3 *1845:15 *1845:16 54.7766 -4 *1845:16 *2474:mprj_io_analog_sel[22] 43.2585 -*END - -*D_NET *1846 0.0106864 -*CONN -*I *2474:mprj_io_analog_sel[23] I *D chip_io_alt -*I *2470:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_sel[23] 0.000406779 -2 *2470:pad_gpio_ana_sel 0.000336222 -3 *1846:8 0.00411357 -4 *1846:7 0.00404301 -5 *2474:mprj_io_analog_sel[23] *2474:mprj_io_dm[71] 0 -6 *2474:mprj_io_analog_sel[23] *1954:16 0 -7 *2474:mprj_io_analog_sel[23] *2318:9 0.000528062 -8 *1846:7 *1923:7 0.000138555 -9 *1846:8 *2474:mprj_io_dm[70] 0 -10 *1846:8 *1925:8 0 -11 *1846:8 *1954:16 0 -12 *1846:8 *2062:8 0 -13 *1846:8 *2300:18 0 -14 *1846:8 *2318:9 0 -15 *477:26 *1846:8 0.000981609 -16 *1819:7 *1846:7 0.000138555 -*RES -1 *2470:pad_gpio_ana_sel *1846:7 4.42635 -2 *1846:7 *1846:8 102.946 -3 *1846:8 *2474:mprj_io_analog_sel[23] 23.1864 -*END - -*D_NET *1847 0.0141714 -*CONN -*I *2474:mprj_io_analog_sel[24] I *D chip_io_alt -*I *2446:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_sel[24] 0.000571172 -2 *2446:pad_gpio_ana_sel 0.000934634 -3 *1847:16 0.00215634 -4 *1847:14 0.00164951 -5 *1847:10 0.00114917 -6 *1847:7 0.00201946 -7 *2474:mprj_io_analog_sel[24] *2474:mprj_io_holdover[24] 0.000171064 -8 *1847:7 *1928:7 0 -9 *1847:7 *1929:7 0 -10 *1847:10 *1929:8 0.00300408 -11 *1847:14 *1929:8 2.82741e-05 -12 *1847:14 *1929:19 4.61962e-05 -13 *1847:14 *1982:8 9.71323e-06 -14 *1847:16 *1929:19 0.00047253 -15 *1847:16 *1982:8 0.00104673 -16 *1847:16 *2117:12 0.000206886 -17 *2446:mgmt_gpio_out *1847:7 0 -18 *474:54 *1847:10 0.000329532 -19 *474:54 *1847:16 0.000337909 -20 *514:8 *1847:16 0 -21 *1820:13 *1847:7 3.81792e-05 -*RES -1 *2446:pad_gpio_ana_sel *1847:7 5.5737 -2 *1847:7 *1847:10 49.073 -3 *1847:10 *1847:14 2.56479 -4 *1847:14 *1847:16 60.5902 -5 *1847:16 *2474:mprj_io_analog_sel[24] 23.6001 -*END - -*D_NET *1848 0.019838 -*CONN -*I *2474:mprj_io_analog_sel[25] I *D chip_io_alt -*I *2447:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_sel[25] 0.000591812 -2 *2447:pad_gpio_ana_sel 0.000585783 -3 *1848:16 0.00132492 -4 *1848:15 0.00138377 -5 *1848:12 0.00123645 -6 *2474:mprj_io_analog_sel[25] *2474:mprj_io_inp_dis[25] 0.000241594 -7 *1848:15 *2118:21 5.04829e-06 -8 *1848:16 *1930:12 0.00412961 -9 *1848:16 *2172:14 0.000337806 -10 *2448:serial_load *2474:mprj_io_analog_sel[25] 0.000284916 -11 *2448:serial_load *1848:16 0.000516867 -12 *515:8 *2474:mprj_io_analog_sel[25] 0.001109 -13 *515:8 *1848:16 0 -14 *1572:16 *1848:15 0.000254007 -15 *1794:16 *1848:16 0.00384819 -16 *1821:12 *1848:12 0.0011938 -17 *1821:15 *1848:15 0.00279443 -*RES -1 *2447:pad_gpio_ana_sel *1848:12 29.6514 -2 *1848:12 *1848:15 35.7266 -3 *1848:15 *1848:16 72.8401 -4 *1848:16 *2474:mprj_io_analog_sel[25] 33.7359 -*END - -*D_NET *1849 0.0173804 -*CONN -*I *2474:mprj_io_analog_sel[26] I *D chip_io_alt -*I *2448:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_sel[26] 0.000522637 -2 *2448:pad_gpio_ana_sel 0.000289368 -3 *1849:8 0.0015014 -4 *1849:7 0.00126813 -5 *2474:mprj_io_analog_sel[26] *2474:mprj_io_dm[80] 0.00154439 -6 *2474:mprj_io_analog_sel[26] *2474:mprj_io_inp_dis[26] 0 -7 *2474:mprj_io_analog_sel[26] *2474:mprj_io_vtrip_sel[26] 0.000242864 -8 *1849:7 *1933:7 0.000292127 -9 *1849:8 *1933:8 0.000274013 -10 *1849:8 *1957:16 0.00581992 -11 *1849:8 *2065:16 0.00536393 -12 *2448:mgmt_gpio_out *1849:7 0 -13 *1822:7 *1849:7 0.000261617 -*RES -1 *2448:pad_gpio_ana_sel *1849:7 5.03827 -2 *1849:7 *1849:8 98.7934 -3 *1849:8 *2474:mprj_io_analog_sel[26] 31.7124 -*END - -*D_NET *1850 0.0129844 -*CONN -*I *2474:mprj_io_analog_sel[2] I *D chip_io_alt -*I *2455:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_sel[2] 0.000516328 -2 *2455:pad_gpio_ana_sel 0.000815203 -3 *1850:14 0.00213033 -4 *1850:13 0.00242921 -5 *1850:13 *1924:13 3.84497e-05 -6 *1850:14 *1924:14 0.000152316 -7 *1850:14 *1937:14 0.00420358 -8 *1850:14 *2066:14 0 -9 *2455:mgmt_gpio_out *1850:13 0.000105034 -10 *104:40 *1850:13 0 -11 *467:48 *1850:14 0.000682375 -12 *471:40 *2474:mprj_io_analog_sel[2] 0.000145018 -13 *1555:29 *1850:13 0 -14 *1796:8 *1850:13 4.3116e-06 -15 *1823:13 *1850:13 0.00176225 -*RES -1 *2455:pad_gpio_ana_sel *1850:13 38.4852 -2 *1850:13 *1850:14 72.4249 -3 *1850:14 *2474:mprj_io_analog_sel[2] 20.9664 -*END - -*D_NET *1851 0.0149269 -*CONN -*I *2474:mprj_io_analog_sel[3] I *D chip_io_alt -*I *2456:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_sel[3] 0.000310983 -2 *2456:pad_gpio_ana_sel 0.000243061 -3 *1851:22 0.000709998 -4 *1851:16 0.000791603 -5 *1851:15 0.000635648 -6 *2474:mprj_io_analog_sel[3] *1860:23 0 -7 *2474:mprj_io_analog_sel[3] *2013:7 2.2862e-06 -8 *2474:mprj_io_analog_sel[3] *2040:19 0.000185867 -9 *2474:mprj_io_analog_sel[3] *2040:20 0 -10 *1851:15 *1860:13 0.000614839 -11 *1851:15 *1938:13 1.67063e-05 -12 *1851:15 *1959:15 3.58044e-05 -13 *1851:15 *1986:13 0.000584129 -14 *1851:16 *1959:18 0.00290903 -15 *1851:16 *2013:13 0.00290543 -16 *1851:22 *2474:mprj_io_holdover[3] 0.000102664 -17 *1851:22 *1860:23 0.0020546 -18 *1851:22 *1959:18 4.61962e-05 -19 *1851:22 *2148:26 8.88511e-05 -20 *2455:resetn_out *1851:16 0.000404814 -21 *2455:resetn_out *1851:22 0.000266116 -22 *472:38 *1851:22 0.00197138 -23 *1824:13 *1851:15 4.69062e-05 -*RES -1 *2456:pad_gpio_ana_sel *1851:15 20.9833 -2 *1851:15 *1851:16 46.6792 -3 *1851:16 *1851:22 46.1607 -4 *1851:22 *2474:mprj_io_analog_sel[3] 16.8055 -*END - -*D_NET *1852 0.0138556 -*CONN -*I *2474:mprj_io_analog_sel[4] I *D chip_io_alt -*I *2457:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_sel[4] 0.000291616 -2 *2457:pad_gpio_ana_sel 0.00035628 -3 *1852:14 0.000972169 -4 *1852:13 0.00103683 -5 *2474:mprj_io_analog_sel[4] *2474:mprj_io_dm[14] 0 -6 *1852:13 *1861:15 0.000460415 -7 *1852:13 *2014:31 0 -8 *2474:mprj_io_analog_pol[4] *2474:mprj_io_analog_sel[4] 0 -9 *108:47 *1852:14 0.00072038 -10 *110:98 *1852:14 0.00491881 -11 *495:62 *2474:mprj_io_analog_sel[4] 6.73609e-05 -12 *495:64 *1852:14 0.00491521 -13 *1798:13 *1852:13 0 -14 *1825:15 *1852:13 0.000116529 -*RES -1 *2457:pad_gpio_ana_sel *1852:13 23.249 -2 *1852:13 *1852:14 79.0689 -3 *1852:14 *2474:mprj_io_analog_sel[4] 17.2875 -*END - -*D_NET *1853 0.0136097 -*CONN -*I *2474:mprj_io_analog_sel[5] I *D chip_io_alt -*I *2458:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_sel[5] 0.000555322 -2 *2458:pad_gpio_ana_sel 0.000561133 -3 *1853:17 0.000944404 -4 *1853:13 0.000950215 -5 *2474:mprj_io_analog_sel[5] *2474:mprj_io_dm[17] 0.000202472 -6 *2474:mprj_io_analog_sel[5] *2474:mprj_io_oeb[5] 4.80635e-06 -7 *2474:mprj_io_analog_sel[5] *1961:14 0.00137549 -8 *2474:mprj_io_analog_sel[5] *2042:11 0.00187288 -9 *1853:13 *1864:13 3.84497e-05 -10 *1853:13 *2150:8 0 -11 *1853:17 *1961:14 9.2346e-06 -12 *1853:17 *2042:11 5.04829e-06 -13 *1853:17 *2096:14 0.00265365 -14 *2474:mprj_io_analog_pol[5] *2474:mprj_io_analog_sel[5] 7.08723e-06 -15 *108:49 *2474:mprj_io_analog_sel[5] 0.000211107 -16 *108:49 *1853:17 0.000428321 -17 *495:64 *1853:17 0.00267971 -18 *1566:29 *1853:13 0 -19 *1826:13 *1853:13 0.00111034 -*RES -1 *2458:pad_gpio_ana_sel *1853:13 30.8601 -2 *1853:13 *1853:17 48.1654 -3 *1853:17 *2474:mprj_io_analog_sel[5] 41.8028 -*END - -*D_NET *1854 0.0141078 -*CONN -*I *2474:mprj_io_analog_sel[6] I *D chip_io_alt -*I *2459:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_sel[6] 0.000184097 -2 *2459:pad_gpio_ana_sel 0.000175312 -3 *1854:14 0.000935515 -4 *1854:13 0.00092673 -5 *2474:mprj_io_analog_sel[6] *2016:18 5.04829e-06 -6 *1854:13 *1867:13 4.2387e-05 -7 *1854:13 *1962:15 0.000470666 -8 *1854:13 *1989:13 0.000460471 -9 *1854:13 *2097:8 1.48603e-05 -10 *1854:14 *1962:16 0.00468588 -11 *1854:14 *1989:14 0.00348054 -12 *1854:14 *2151:22 0.0013897 -13 *2459:serial_clock *1854:14 0.000747342 -14 *495:64 *1854:14 0.000210876 -15 *507:18 *2474:mprj_io_analog_sel[6] 0.000223156 -16 *507:18 *1854:14 0.000116798 -17 *1827:13 *1854:13 3.84497e-05 -*RES -1 *2459:pad_gpio_ana_sel *1854:13 18.6155 -2 *1854:13 *1854:14 82.3909 -3 *1854:14 *2474:mprj_io_analog_sel[6] 14.4477 -*END - -*D_NET *1855 0.061636 -*CONN -*I *2474:mprj_io_analog_sel[7] I *D chip_io_alt -*I *2460:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_sel[7] 0.00143766 -2 *2460:pad_gpio_ana_sel 0.000715054 -3 *1855:14 0.00672939 -4 *1855:13 0.00600679 -5 *2474:mprj_io_analog_sel[7] *2474:mprj_io_inp_dis[7] 9.29408e-05 -6 *2474:mprj_io_analog_sel[7] *1990:8 0.000274373 -7 *2474:mprj_io_analog_sel[7] *2153:16 0.00115167 -8 *1855:13 *1871:13 4.31122e-05 -9 *1855:14 *1876:14 0.00944397 -10 *1855:14 *1991:16 0.000677528 -11 *1855:14 *2098:14 0.00196678 -12 *1855:14 *2099:16 0.000490766 -13 *1855:14 *2152:14 0.0215134 -14 *2450:serial_load *2474:mprj_io_analog_sel[7] 8.99001e-06 -15 *478:32 *1855:14 0.000245504 -16 *496:44 *1855:14 0 -17 *510:14 *1855:14 3.67391e-05 -18 *1801:13 *1855:13 0 -19 *1828:13 *1855:13 3.84497e-05 -20 *1828:14 *1855:14 0.00862865 -21 *1828:28 *1855:14 0.00213423 -*RES -1 *2460:pad_gpio_ana_sel *1855:13 29.7677 -2 *1855:13 *1855:14 435.148 -3 *1855:14 *2474:mprj_io_analog_sel[7] 28.4888 -*END - -*D_NET *1856 0.0728627 -*CONN -*I *2474:mprj_io_analog_sel[8] I *D chip_io_alt -*I *2449:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_sel[8] 0.000236415 -2 *2449:pad_gpio_ana_sel 8.61076e-05 -3 *1856:19 0.00426861 -4 *1856:15 0.00512522 -5 *1856:10 0.00320831 -6 *1856:9 0.00220139 -7 *2474:mprj_io_analog_sel[8] *2019:11 0.000500922 -8 *1856:9 *1874:9 9.94897e-06 -9 *1856:10 *1874:10 0.00714383 -10 *1856:19 *1872:14 0.000311509 -11 *1856:19 *1877:14 0.00134382 -12 *1856:19 *1963:20 0.000341996 -13 *1856:19 *1964:16 0.0233698 -14 *1856:19 *1992:8 0.000471203 -15 *1856:19 *2072:24 0.000679262 -16 *1856:19 *2098:14 0.000292074 -17 *1856:19 *2099:16 0.000473012 -18 *1856:19 *2179:14 0.0062272 -19 *1856:19 *2181:8 0.0107257 -20 *509:16 *1856:10 0.00233223 -21 *1802:14 *1856:19 0.00185323 -22 *1829:13 *1856:10 0.00151839 -23 *1829:14 *1856:19 0.000142472 -*RES -1 *2449:pad_gpio_ana_sel *1856:9 3.65147 -2 *1856:9 *1856:10 117.272 -3 *1856:10 *1856:15 6.35773 -4 *1856:15 *1856:19 47.6488 -5 *1856:19 *2474:mprj_io_analog_sel[8] 12.6387 -*END - -*D_NET *1857 0.0581293 -*CONN -*I *2474:mprj_io_analog_sel[9] I *D chip_io_alt -*I *2450:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_analog_sel[9] 0.000635865 -2 *2450:pad_gpio_ana_sel 0.000719895 -3 *1857:20 0.0011677 -4 *1857:19 0.000664546 -5 *1857:14 0.00898836 -6 *1857:13 0.00957555 -7 *2474:mprj_io_analog_sel[9] *2321:13 5.66111e-05 -8 *1857:13 *1877:13 2.89493e-05 -9 *1857:13 *2018:13 0 -10 *1857:14 *1876:14 0.000812353 -11 *1857:14 *1877:14 0 -12 *1857:14 *1879:26 0 -13 *1857:14 *1882:14 0 -14 *1857:14 *2048:14 0 -15 *1857:14 *2072:24 0 -16 *1857:14 *2099:16 0.00202293 -17 *1857:14 *2100:8 0.00172017 -18 *1857:14 *2127:14 0.0229467 -19 *1857:20 *1883:14 0.00405147 -20 *1857:20 *2075:8 0.000654168 -21 *1778:16 *1857:20 0.00405865 -22 *1829:13 *1857:13 2.02814e-05 -23 *1829:14 *1857:14 0 -24 *1830:19 *1857:19 5.04829e-06 -*RES -1 *2450:pad_gpio_ana_sel *1857:13 28.1039 -2 *1857:13 *1857:14 395.491 -3 *1857:14 *1857:19 11.3872 -4 *1857:19 *1857:20 64.9503 -5 *1857:20 *2474:mprj_io_analog_sel[9] 23.6001 -*END - -*D_NET *1858 0.0165964 -*CONN -*I *2474:mprj_io_dm[0] I *D chip_io_alt -*I *2444:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[0] 0.00118633 -2 *2444:pad_gpio_dm[0] 0.000648196 -3 *1858:14 0.00189134 -4 *1858:13 0.0013532 -5 *2474:mprj_io_dm[0] *2474:mprj_io_inp_dis[0] 7.44489e-05 -6 *2474:mprj_io_dm[0] *1993:17 0 -7 *1858:13 *1869:13 4.80459e-05 -8 *1858:13 *1966:13 0.000119662 -9 *1858:13 *2074:8 0 -10 *1858:14 *1869:14 0.00552231 -11 *1858:14 *2047:14 0.00551513 -12 *2474:mprj_io_analog_pol[0] *2474:mprj_io_dm[0] 5.65975e-05 -13 *1831:19 *1858:13 0.000181113 -*RES -1 *2444:pad_gpio_dm[0] *1858:13 28.1803 -2 *1858:13 *1858:14 88.2045 -3 *1858:14 *2474:mprj_io_dm[0] 41.2305 -*END - -*D_NET *1859 0.0176671 -*CONN -*I *2474:mprj_io_dm[10] I *D chip_io_alt -*I *2456:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[10] 0.000643432 -2 *2456:pad_gpio_dm[1] 0.000736461 -3 *1859:18 0.0020433 -4 *1859:16 0.00183748 -5 *1859:13 0.00117408 -6 *2474:mprj_io_dm[10] *2013:7 0.00041084 -7 *1859:13 *1860:13 4.2387e-05 -8 *1859:13 *1938:13 7.11756e-05 -9 *1859:16 *1938:16 0.00273444 -10 *1859:16 *2067:16 0.0028707 -11 *1859:16 *2148:25 1.67037e-05 -12 *1859:16 *2175:14 8.53782e-05 -13 *1859:18 *1938:16 9.71323e-06 -14 *1859:18 *1938:18 0.000266553 -15 *1859:18 *2067:22 0.00341258 -16 *1859:18 *2148:25 0.00119223 -17 *105:32 *1859:13 0.000119662 -18 *472:32 *1859:13 0 -19 *1565:20 *1859:18 0 -*RES -1 *2456:pad_gpio_dm[1] *1859:13 27.3006 -2 *1859:13 *1859:16 47.7662 -3 *1859:16 *1859:18 70.5562 -4 *1859:18 *2474:mprj_io_dm[10] 27.5156 -*END - -*D_NET *1860 0.0150112 -*CONN -*I *2474:mprj_io_dm[11] I *D chip_io_alt -*I *2456:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[11] 5.07885e-05 -2 *2456:pad_gpio_dm[2] 0.000315517 -3 *1860:23 0.000610982 -4 *1860:16 0.0010355 -5 *1860:13 0.000790826 -6 *2474:mprj_io_dm[11] *2040:19 0.00013008 -7 *1860:13 *1938:13 1.65872e-05 -8 *1860:13 *1959:15 0.000704799 -9 *1860:13 *1986:13 0.000489728 -10 *1860:13 *2094:8 0.000494416 -11 *1860:16 *1959:18 0.00286995 -12 *1860:16 *2121:14 0.000535662 -13 *1860:23 *1959:18 2.6506e-05 -14 *1860:23 *2013:12 0 -15 *1860:23 *2121:14 0.000931774 -16 *1860:23 *2148:26 0.00115315 -17 *2455:resetn_out *1860:16 0.000386267 -18 *2474:mprj_io_analog_sel[3] *1860:23 0 -19 *1797:19 *1860:16 0.00174863 -20 *1797:22 *1860:23 8.21849e-06 -21 *1851:15 *1860:13 0.000614839 -22 *1851:22 *1860:23 0.0020546 -23 *1859:13 *1860:13 4.2387e-05 -*RES -1 *2456:pad_gpio_dm[2] *1860:13 28.8631 -2 *1860:13 *1860:16 46.7892 -3 *1860:16 *1860:23 48.1743 -4 *1860:23 *2474:mprj_io_dm[11] 2.13122 -*END - -*D_NET *1861 0.0150675 -*CONN -*I *2474:mprj_io_dm[12] I *D chip_io_alt -*I *2457:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[12] 0.000530515 -2 *2457:pad_gpio_dm[0] 0.000741769 -3 *1861:16 0.00279926 -4 *1861:15 0.00301051 -5 *2474:mprj_io_dm[12] *2474:mprj_io_slow_sel[4] 7.08723e-06 -6 *1861:15 *1862:15 2.81691e-05 -7 *1861:15 *1863:13 7.22572e-05 -8 *1861:15 *2014:31 0 -9 *1861:16 *1862:16 0.000114237 -10 *1861:16 *2014:21 0 -11 *1861:16 *2122:14 0 -12 *2474:mprj_io_analog_pol[4] *2474:mprj_io_dm[12] 0 -13 *477:32 *1861:16 0.000963396 -14 *495:56 *2474:mprj_io_dm[12] 9.69513e-05 -15 *1798:13 *1861:15 0 -16 *1798:14 *1861:16 0.00624292 -17 *1825:15 *1861:15 0 -18 *1825:16 *1861:16 0 -19 *1852:13 *1861:15 0.000460415 -*RES -1 *2457:pad_gpio_dm[0] *1861:15 30.8212 -2 *1861:15 *1861:16 103.569 -3 *1861:16 *2474:mprj_io_dm[12] 24.1635 -*END - -*D_NET *1862 0.0211098 -*CONN -*I *2474:mprj_io_dm[13] I *D chip_io_alt -*I *2457:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[13] 0.000420935 -2 *2457:pad_gpio_dm[1] 0.000761551 -3 *1862:16 0.00144306 -4 *1862:15 0.00178367 -5 *2474:mprj_io_dm[13] *2474:mprj_io_slow_sel[4] 0.00146502 -6 *2474:mprj_io_dm[13] *2014:18 0.000517809 -7 *1862:15 *1863:13 0.000228916 -8 *1862:16 *2068:16 0.00630011 -9 *1862:16 *2122:14 0 -10 *1862:16 *2149:14 0.000339253 -11 *2474:mprj_io_analog_en[4] *2474:mprj_io_dm[13] 0.00020879 -12 *478:32 *1862:16 0.000991102 -13 *505:14 *1862:16 8.92568e-06 -14 *1798:14 *1862:16 0.0064983 -15 *1861:15 *1862:15 2.81691e-05 -16 *1861:16 *1862:16 0.000114237 -*RES -1 *2457:pad_gpio_dm[1] *1862:15 29.8541 -2 *1862:15 *1862:16 112.289 -3 *1862:16 *2474:mprj_io_dm[13] 31.4943 -*END - -*D_NET *1863 0.0125632 -*CONN -*I *2474:mprj_io_dm[14] I *D chip_io_alt -*I *2457:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[14] 0.000100985 -2 *2457:pad_gpio_dm[2] 0.00050585 -3 *1863:14 0.00106746 -4 *1863:13 0.00147233 -5 *2474:mprj_io_dm[14] *2474:mprj_io_holdover[4] 0 -6 *1863:13 *1960:13 3.84497e-05 -7 *1863:13 *2014:31 0 -8 *1863:14 *1960:14 0.00157622 -9 *1863:14 *2014:30 0.00165376 -10 *2474:mprj_io_analog_sel[4] *2474:mprj_io_dm[14] 0 -11 *108:47 *1863:14 0.00066488 -12 *495:64 *1863:14 0.00518213 -13 *1861:15 *1863:13 7.22572e-05 -14 *1862:15 *1863:13 0.000228916 -*RES -1 *2457:pad_gpio_dm[2] *1863:13 25.877 -2 *1863:13 *1863:14 83.4291 -3 *1863:14 *2474:mprj_io_dm[14] 3.6762 -*END - -*D_NET *1864 0.0132292 -*CONN -*I *2474:mprj_io_dm[15] I *D chip_io_alt -*I *2458:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[15] 0.000488958 -2 *2458:pad_gpio_dm[0] 0.000621244 -3 *1864:20 0.00180367 -4 *1864:19 0.0014353 -5 *1864:14 0.00138632 -6 *1864:13 0.00188697 -7 *1864:13 *1865:13 3.84497e-05 -8 *1864:13 *2150:8 0 -9 *1864:14 *1866:14 0.000250987 -10 *1864:14 *2069:14 0 -11 *1864:20 *2069:14 0.00245546 -12 *1864:20 *2150:14 0 -13 *2474:mprj_io_analog_en[5] *2474:mprj_io_dm[15] 0 -14 *2474:mprj_io_analog_pol[5] *2474:mprj_io_dm[15] 0 -15 *475:37 *1864:14 0.000495239 -16 *477:32 *1864:20 0.000539902 -17 *495:64 *2474:mprj_io_dm[15] 0.000103111 -18 *1799:14 *1864:20 0.000553587 -19 *1826:19 *1864:14 0.00112654 -20 *1826:19 *1864:19 5.04829e-06 -21 *1826:19 *1864:20 0 -22 *1826:23 *1864:20 0 -23 *1853:13 *1864:13 3.84497e-05 -*RES -1 *2458:pad_gpio_dm[0] *1864:13 25.3308 -2 *1864:13 *1864:14 49.1707 -3 *1864:14 *1864:19 11.9418 -4 *1864:19 *1864:20 57.4758 -5 *1864:20 *2474:mprj_io_dm[15] 22.1911 -*END - -*D_NET *1865 0.0220035 -*CONN -*I *2474:mprj_io_dm[16] I *D chip_io_alt -*I *2458:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[16] 0.000668563 -2 *2458:pad_gpio_dm[1] 0.000496548 -3 *1865:14 0.00161864 -4 *1865:13 0.00144663 -5 *1865:13 *2458:pad_gpio_in 0.000528767 -6 *1865:13 *1866:13 0.000791854 -7 *1865:13 *1961:13 5.94319e-06 -8 *1865:13 *1988:7 0 -9 *1865:13 *2150:8 0 -10 *1865:14 *2015:11 0.00749462 -11 *2474:mprj_io_analog_en[5] *2474:mprj_io_dm[16] 0 -12 *478:32 *1865:14 0.00120624 -13 *495:64 *2474:mprj_io_dm[16] 0.000205402 -14 *506:14 *1865:14 0.0075018 -15 *1864:13 *1865:13 3.84497e-05 -*RES -1 *2458:pad_gpio_dm[1] *1865:13 28.5355 -2 *1865:13 *1865:14 119.764 -3 *1865:14 *2474:mprj_io_dm[16] 27.7559 -*END - -*D_NET *1866 0.0145048 -*CONN -*I *2474:mprj_io_dm[17] I *D chip_io_alt -*I *2458:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[17] 0.000723113 -2 *2458:pad_gpio_dm[2] 0.000297858 -3 *1866:14 0.00131858 -4 *1866:13 0.000893328 -5 *2474:mprj_io_dm[17] *2474:mprj_io_holdover[5] 0 -6 *2474:mprj_io_dm[17] *2150:14 0.00180431 -7 *2474:mprj_io_dm[17] *2177:14 2.6506e-05 -8 *1866:13 *2458:pad_gpio_in 4.62112e-05 -9 *1866:13 *1961:13 0.000575575 -10 *1866:13 *2150:8 0 -11 *1866:14 *2069:14 0 -12 *1866:14 *2177:14 0.00346392 -13 *2474:mprj_io_analog_sel[5] *2474:mprj_io_dm[17] 0.000202472 -14 *493:51 *2474:mprj_io_dm[17] 0.000290304 -15 *493:51 *1866:14 0.000530008 -16 *1826:19 *1866:14 0.00236865 -17 *1826:23 *2474:mprj_io_dm[17] 0.000912777 -18 *1826:23 *1866:14 8.35615e-06 -19 *1864:14 *1866:14 0.000250987 -20 *1865:13 *1866:13 0.000791854 -*RES -1 *2458:pad_gpio_dm[2] *1866:13 23.1889 -2 *1866:13 *1866:14 55.6072 -3 *1866:14 *2474:mprj_io_dm[17] 48.6944 -*END - -*D_NET *1867 0.0195308 -*CONN -*I *2474:mprj_io_dm[18] I *D chip_io_alt -*I *2459:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[18] 0.000553395 -2 *2459:pad_gpio_dm[0] 0.000602405 -3 *1867:14 0.00146534 -4 *1867:13 0.00151435 -5 *1867:13 *1868:13 5.19832e-05 -6 *1867:13 *1870:13 0.000685728 -7 *1867:13 *1962:15 0.000472707 -8 *1867:13 *1989:13 7.77309e-06 -9 *1867:13 *2097:8 0.000145299 -10 *1867:14 *1868:14 0.0066893 -11 *1867:14 *2070:28 0.00227313 -12 *1867:14 *2151:16 0.00013615 -13 *2474:mprj_io_analog_en[6] *2474:mprj_io_dm[18] 0 -14 *478:32 *1867:14 0.000942381 -15 *507:18 *2474:mprj_io_dm[18] 0.000145018 -16 *1800:14 *1867:14 9.4939e-05 -17 *1827:14 *1867:14 0.00358188 -18 *1827:20 *1867:14 0.000126618 -19 *1854:13 *1867:13 4.2387e-05 -*RES -1 *2459:pad_gpio_dm[0] *1867:13 29.2267 -2 *1867:13 *1867:14 106.891 -3 *1867:14 *2474:mprj_io_dm[18] 22.6302 -*END - -*D_NET *1868 0.0169035 -*CONN -*I *2474:mprj_io_dm[19] I *D chip_io_alt -*I *2459:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[19] 0.000584591 -2 *2459:pad_gpio_dm[1] 0.000787155 -3 *1868:14 0.00323511 -4 *1868:13 0.00343767 -5 *1868:13 *1870:13 0.000162049 -6 *1868:13 *2097:8 0.000124349 -7 *1868:14 *2070:25 0.000498418 -8 *1868:14 *2151:16 5.92227e-05 -9 *478:32 *1868:14 0.00107658 -10 *496:44 *1868:14 0 -11 *507:18 *2474:mprj_io_dm[19] 0.00019711 -12 *1567:20 *1868:14 0 -13 *1800:14 *1868:14 0 -14 *1867:13 *1868:13 5.19832e-05 -15 *1867:14 *1868:14 0.0066893 -*RES -1 *2459:pad_gpio_dm[1] *1868:13 29.366 -2 *1868:13 *1868:14 120.179 -3 *1868:14 *2474:mprj_io_dm[19] 24.0154 -*END - -*D_NET *1869 0.0188943 -*CONN -*I *2474:mprj_io_dm[1] I *D chip_io_alt -*I *2444:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[1] 0.000710446 -2 *2444:pad_gpio_dm[1] 0.000716308 -3 *1869:14 0.00182001 -4 *1869:13 0.00182587 -5 *2474:mprj_io_dm[1] *2474:mprj_io_slow_sel[0] 8.10016e-06 -6 *2474:mprj_io_dm[1] *1993:17 0 -7 *1869:13 *1880:10 4.80459e-05 -8 *1869:13 *1966:13 0.000119662 -9 *1869:13 *2074:8 0 -10 *1869:14 *2047:14 0.000415397 -11 *1869:14 *2128:14 0.00711111 -12 *2474:mprj_io_analog_en[0] *2474:mprj_io_dm[1] 0.000548997 -13 *1777:14 *1869:14 0 -14 *1858:13 *1869:13 4.80459e-05 -15 *1858:14 *1869:14 0.00552231 -*RES -1 *2444:pad_gpio_dm[1] *1869:13 28.7349 -2 *1869:13 *1869:14 113.535 -3 *1869:14 *2474:mprj_io_dm[1] 32.332 -*END - -*D_NET *1870 0.0149915 -*CONN -*I *2474:mprj_io_dm[20] I *D chip_io_alt -*I *2459:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[20] 0.000259928 -2 *2459:pad_gpio_dm[2] 0.000375949 -3 *1870:14 0.000944936 -4 *1870:13 0.00106096 -5 *2474:mprj_io_dm[20] *2474:mprj_io_holdover[6] 0 -6 *1870:13 *1962:15 6.02815e-05 -7 *1870:13 *1989:13 0.000416687 -8 *1870:13 *2097:8 8.85241e-05 -9 *2459:resetn *1870:14 0.000804732 -10 *110:98 *1870:14 0.00497089 -11 *495:64 *1870:14 0.00496369 -12 *507:18 *2474:mprj_io_dm[20] 0.00019711 -13 *1867:13 *1870:13 0.000685728 -14 *1868:13 *1870:13 0.000162049 -*RES -1 *2459:pad_gpio_dm[2] *1870:13 26.5081 -2 *1870:13 *1870:14 79.8994 -3 *1870:14 *2474:mprj_io_dm[20] 15.6963 -*END - -*D_NET *1871 0.0578335 -*CONN -*I *2474:mprj_io_dm[21] I *D chip_io_alt -*I *2460:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[21] 0.00028567 -2 *2460:pad_gpio_dm[0] 0.000572873 -3 *1871:18 0.000746016 -4 *1871:16 0.000487242 -5 *1871:14 0.00416351 -6 *1871:13 0.00470949 -7 *2474:mprj_io_dm[21] *2293:9 9.29054e-05 -8 *2474:mprj_io_dm[21] *2311:13 5.481e-05 -9 *1871:13 *2460:pad_gpio_in 3.99086e-06 -10 *1871:13 *1872:13 0.000616236 -11 *1871:13 *1873:13 0.00020489 -12 *1871:14 *1963:8 0 -13 *1871:14 *1990:8 0.00264862 -14 *1871:14 *2017:13 0.00852792 -15 *1871:14 *2071:8 0.0223522 -16 *1871:14 *2072:18 0.0045506 -17 *1871:14 *2126:16 0.000518598 -18 *1871:14 *2153:16 1.23804e-05 -19 *1871:18 *2072:18 3.7752e-05 -20 *1871:18 *2125:20 0.00312553 -21 *1871:18 *2126:16 0.000394625 -22 *1871:18 *2153:16 0.00321668 -23 *2474:mprj_io_analog_en[7] *2474:mprj_io_dm[21] 3.31733e-05 -24 *495:64 *1871:14 0.000434634 -25 *1855:13 *1871:13 4.31122e-05 -*RES -1 *2460:pad_gpio_dm[0] *1871:13 30.8601 -2 *1871:13 *1871:14 362.894 -3 *1871:14 *1871:16 0.732798 -4 *1871:16 *1871:18 51.8699 -5 *1871:18 *2474:mprj_io_dm[21] 17.2154 -*END - -*D_NET *1872 0.0493843 -*CONN -*I *2474:mprj_io_dm[22] I *D chip_io_alt -*I *2460:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[22] 0.000351844 -2 *2460:pad_gpio_dm[1] 0.000374806 -3 *1872:14 0.0059102 -4 *1872:13 0.00593317 -5 *2474:mprj_io_dm[22] *1963:20 5.04829e-06 -6 *2474:mprj_io_dm[22] *2293:9 0.000197125 -7 *1872:13 *2460:pad_gpio_in 0.000671753 -8 *1872:13 *1873:13 0.00123707 -9 *1872:14 *1875:14 0.00835879 -10 *1872:14 *1964:16 0.000173309 -11 *1872:14 *2017:13 0.000591336 -12 *1872:14 *2125:14 0.00680143 -13 *1872:14 *2179:14 0.00250173 -14 *110:98 *1872:14 0.000534875 -15 *1801:14 *1872:14 0.00539242 -16 *1802:14 *1872:14 0.00942161 -17 *1856:19 *1872:14 0.000311509 -18 *1871:13 *1872:13 0.000616236 -*RES -1 *2460:pad_gpio_dm[1] *1872:13 31.9092 -2 *1872:13 *1872:14 404.627 -3 *1872:14 *2474:mprj_io_dm[22] 17.3601 -*END - -*D_NET *1873 0.0529102 -*CONN -*I *2474:mprj_io_dm[23] I *D chip_io_alt -*I *2460:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[23] 0.000359766 -2 *2460:pad_gpio_dm[2] 0.000568975 -3 *1873:20 0.00245766 -4 *1873:19 0.00218422 -5 *1873:14 0.00803375 -6 *1873:13 0.00851639 -7 *2474:mprj_io_dm[23] *2474:mprj_io_holdover[7] 0.000228269 -8 *2474:mprj_io_dm[23] *2311:14 0 -9 *1873:13 *1963:7 6.23168e-05 -10 *1873:13 *1963:8 9.55228e-05 -11 *1873:14 *1876:14 1.87269e-05 -12 *1873:14 *2099:16 0.000490766 -13 *1873:19 *1963:13 0.000114639 -14 *1873:20 *1874:22 0 -15 *1873:20 *1963:16 0 -16 *1873:20 *2179:14 0.000800538 -17 *477:32 *1873:14 0.000303582 -18 *478:32 *1873:14 0.0021823 -19 *495:64 *1873:13 0 -20 *1801:14 *1873:14 0 -21 *1801:19 *1873:19 0 -22 *1801:20 *1873:14 0.00021551 -23 *1801:20 *1873:20 0 -24 *1802:14 *1873:14 0 -25 *1802:14 *1873:20 0.00239578 -26 *1828:14 *1873:14 0.0224395 -27 *1871:13 *1873:13 0.00020489 -28 *1872:13 *1873:13 0.00123707 -*RES -1 *2460:pad_gpio_dm[2] *1873:13 32.9612 -2 *1873:13 *1873:14 363.517 -3 *1873:14 *1873:19 11.9418 -4 *1873:19 *1873:20 80.3147 -5 *1873:20 *2474:mprj_io_dm[23] 18.1934 -*END - -*D_NET *1874 0.0569596 -*CONN -*I *2474:mprj_io_dm[24] I *D chip_io_alt -*I *2449:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[24] 0.000211934 -2 *2449:pad_gpio_dm[0] 0.000107152 -3 *1874:34 0.000921109 -4 *1874:33 0.000831019 -5 *1874:28 0.00184598 -6 *1874:27 0.00211055 -7 *1874:22 0.00227121 -8 *1874:21 0.00242625 -9 *1874:15 0.00170411 -10 *1874:10 0.00394193 -11 *1874:9 0.00288643 -12 *2474:mprj_io_dm[24] *2302:15 0.000197125 -13 *2474:mprj_io_dm[24] *2320:15 0.000111722 -14 *1874:15 *2018:33 4.66705e-05 -15 *1874:21 *1963:20 5.04829e-06 -16 *1874:21 *1991:16 0.000169255 -17 *1874:21 *2018:27 0.00162199 -18 *1874:22 *1876:14 0.00195728 -19 *1874:22 *2099:16 0.000706122 -20 *1874:28 *1965:8 0.000742919 -21 *1874:28 *2018:13 0.00778558 -22 *1874:28 *2019:11 0.00104861 -23 *1874:28 *2044:7 0.0016133 -24 *1874:28 *2126:16 0.000475757 -25 *1874:28 *2153:20 0.00175222 -26 *1874:28 *2153:24 0.00363083 -27 *1874:33 *2072:23 5.04829e-06 -28 *1874:34 *1878:14 0.00177041 -29 *1874:34 *2154:14 0.00365062 -30 *1874:34 *2180:14 0.000559692 -31 *2474:mprj_io_analog_en[8] *2474:mprj_io_dm[24] 0 -32 *510:14 *1874:21 0.000593099 -33 *1570:20 *1874:21 0 -34 *1801:20 *1874:22 0.000628648 -35 *1802:14 *1874:22 0 -36 *1828:23 *1874:21 0.00052298 -37 *1829:13 *1874:10 0.000953202 -38 *1856:9 *1874:9 9.94897e-06 -39 *1856:10 *1874:10 0.00714383 -40 *1873:20 *1874:22 0 -*RES -1 *2449:pad_gpio_dm[0] *1874:9 3.65147 -2 *1874:9 *1874:10 121.632 -3 *1874:10 *1874:15 35.7898 -4 *1874:15 *1874:21 41.0439 -5 *1874:21 *1874:22 74.9164 -6 *1874:22 *1874:27 18.0424 -7 *1874:27 *1874:28 152.569 -8 *1874:28 *1874:33 11.3872 -9 *1874:33 *1874:34 58.7215 -10 *1874:34 *2474:mprj_io_dm[24] 15.1417 -*END - -*D_NET *1875 0.0611211 -*CONN -*I *2474:mprj_io_dm[25] I *D chip_io_alt -*I *2449:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[25] 0.000528377 -2 *2449:pad_gpio_dm[1] 0.000478977 -3 *1875:20 0.00118689 -4 *1875:19 0.000921161 -5 *1875:14 0.00406498 -6 *1875:13 0.0042813 -7 *2474:mprj_io_dm[25] *2073:31 0.000341824 -8 *2474:mprj_io_dm[25] *2302:15 0.000197125 -9 *1875:13 *1876:13 0.000647616 -10 *1875:14 *1877:14 0.00407582 -11 *1875:14 *1878:14 0.0037408 -12 *1875:14 *1963:20 0.0022675 -13 *1875:14 *1964:16 0.00194598 -14 *1875:14 *2071:16 2.2599e-05 -15 *1875:14 *2071:18 0.00377433 -16 *1875:14 *2072:18 0.00235155 -17 *1875:14 *2125:14 0.00577452 -18 *1875:14 *2179:14 0.000117376 -19 *1875:14 *2180:14 0.000490766 -20 *1875:19 *2153:29 5.04829e-06 -21 *1875:20 *1876:14 0.00518161 -22 *1875:20 *1991:16 0.000834009 -23 *110:123 *1875:13 0.000725336 -24 *495:87 *1875:13 0.0007339 -25 *511:14 *1875:20 1.78514e-05 -26 *1802:14 *1875:14 0.00271408 -27 *1802:19 *1875:19 0.000202749 -28 *1802:20 *1875:20 0.0051382 -29 *1872:14 *1875:14 0.00835879 -*RES -1 *2449:pad_gpio_dm[1] *1875:13 33.0785 -2 *1875:13 *1875:14 328.22 -3 *1875:14 *1875:19 15.824 -4 *1875:19 *1875:20 82.8062 -5 *1875:20 *2474:mprj_io_dm[25] 23.4607 -*END - -*D_NET *1876 0.0619613 -*CONN -*I *2474:mprj_io_dm[26] I *D chip_io_alt -*I *2449:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[26] 0.000586915 -2 *2449:pad_gpio_dm[2] 0.000750718 -3 *1876:14 0.00662866 -4 *1876:13 0.00679246 -5 *2474:mprj_io_dm[26] *2019:11 0 -6 *1876:13 *1963:8 0.000274653 -7 *1876:14 *1991:16 0.00332707 -8 *1876:14 *2018:19 0.0026061 -9 *1876:14 *2073:37 0.00119658 -10 *1876:14 *2099:16 0.000518598 -11 *1876:14 *2127:14 0.0101619 -12 *477:46 *1876:13 0.000558552 -13 *478:32 *1876:14 0.00013735 -14 *510:14 *1876:14 0.00125535 -15 *511:14 *1876:14 0 -16 *1801:20 *1876:14 0.00353265 -17 *1802:14 *1876:14 0 -18 *1802:20 *1876:14 2.01595e-05 -19 *1828:14 *1876:14 0.00555205 -20 *1829:14 *1876:14 0 -21 *1855:14 *1876:14 0.00944397 -22 *1857:14 *1876:14 0.000812353 -23 *1873:14 *1876:14 1.87269e-05 -24 *1874:22 *1876:14 0.00195728 -25 *1875:13 *1876:13 0.000647616 -26 *1875:20 *1876:14 0.00518161 -*RES -1 *2449:pad_gpio_dm[2] *1876:13 34.838 -2 *1876:13 *1876:14 449.889 -3 *1876:14 *2474:mprj_io_dm[26] 22.215 -*END - -*D_NET *1877 0.0625968 -*CONN -*I *2474:mprj_io_dm[27] I *D chip_io_alt -*I *2450:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[27] 0.000554518 -2 *2450:pad_gpio_dm[0] 0.000539028 -3 *1877:22 0.000848074 -4 *1877:19 0.000526475 -5 *1877:14 0.00500725 -6 *1877:13 0.00531335 -7 *2474:mprj_io_dm[27] *2127:23 5.04829e-06 -8 *2474:mprj_io_dm[27] *2303:13 6.35532e-05 -9 *2474:mprj_io_dm[27] *2321:13 0 -10 *1877:13 *1878:13 2.72062e-05 -11 *1877:13 *2018:13 0 -12 *1877:14 *2474:mprj_io_dm[28] 0.00028061 -13 *1877:14 *1878:14 0.00190112 -14 *1877:14 *1879:25 0.00170584 -15 *1877:14 *1879:26 0.000503515 -16 *1877:14 *1882:14 0.00752764 -17 *1877:14 *2072:24 0.00419759 -18 *1877:14 *2073:43 0.00177769 -19 *1877:14 *2102:10 0.000940813 -20 *1877:14 *2153:30 0.00443346 -21 *1877:14 *2181:8 0.000851878 -22 *1877:19 *2127:19 5.04829e-06 -23 *1877:22 *1881:14 0.00232882 -24 *1877:22 *2100:8 0.000353882 -25 *2474:mprj_io_analog_pol[9] *2474:mprj_io_dm[27] 0 -26 *101:15 *1877:19 5.04829e-06 -27 *1802:14 *1877:14 0.00412641 -28 *1829:14 *1877:14 0.000717961 -29 *1830:14 *1877:14 0.0102848 -30 *1830:22 *1877:22 0.00232164 -31 *1856:19 *1877:14 0.00134382 -32 *1857:13 *1877:13 2.89493e-05 -33 *1857:14 *1877:14 0 -34 *1875:14 *1877:14 0.00407582 -*RES -1 *2450:pad_gpio_dm[0] *1877:13 23.667 -2 *1877:13 *1877:14 398.813 -3 *1877:14 *1877:19 14.1602 -4 *1877:19 *1877:22 41.6284 -5 *1877:22 *2474:mprj_io_dm[27] 16.8439 -*END - -*D_NET *1878 0.0524176 -*CONN -*I *2474:mprj_io_dm[28] I *D chip_io_alt -*I *2450:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[28] 0.000576925 -2 *2450:pad_gpio_dm[1] 0.000616562 -3 *1878:14 0.00589057 -4 *1878:13 0.00593021 -5 *2474:mprj_io_dm[28] *1882:14 1.87269e-05 -6 *2474:mprj_io_dm[28] *1940:10 0.000229279 -7 *2474:mprj_io_dm[28] *1994:20 9.43616e-05 -8 *2474:mprj_io_dm[28] *2073:52 0.00163939 -9 *2474:mprj_io_dm[28] *2129:14 5.43416e-05 -10 *2474:mprj_io_dm[28] *2303:13 0.000210531 -11 *1878:13 *1879:7 2.89493e-05 -12 *1878:13 *1879:8 0 -13 *1878:13 *2018:13 0 -14 *1878:14 *1879:25 0.00170225 -15 *1878:14 *1940:10 0.000892259 -16 *1878:14 *1964:16 0.00129469 -17 *1878:14 *2072:18 0.00283158 -18 *1878:14 *2129:14 0.00251945 -19 *1878:14 *2153:30 0.00442628 -20 *1878:14 *2154:14 0.00310705 -21 *1878:14 *2180:14 0.000323246 -22 *1878:14 *2181:8 0.000550239 -23 *101:16 *2474:mprj_io_dm[28] 0.00148821 -24 *1830:14 *1878:14 0.0102724 -25 *1874:34 *1878:14 0.00177041 -26 *1875:14 *1878:14 0.0037408 -27 *1877:13 *1878:13 2.72062e-05 -28 *1877:14 *2474:mprj_io_dm[28] 0.00028061 -29 *1877:14 *1878:14 0.00190112 -*RES -1 *2450:pad_gpio_dm[1] *1878:13 25.4646 -2 *1878:13 *1878:14 389.055 -3 *1878:14 *2474:mprj_io_dm[28] 46.7831 -*END - -*D_NET *1879 0.0499538 -*CONN -*I *2474:mprj_io_dm[29] I *D chip_io_alt -*I *2450:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[29] 0.000106013 -2 *2450:pad_gpio_dm[2] 0.000158109 -3 *1879:44 0.00061762 -4 *1879:42 0.000849119 -5 *1879:32 0.00410073 -6 *1879:31 0.00411836 -7 *1879:26 0.0018325 -8 *1879:25 0.00182431 -9 *1879:19 0.00072634 -10 *1879:8 0.00360443 -11 *1879:7 0.00338315 -12 *1879:7 *1965:7 9.71042e-05 -13 *1879:8 *2450:pad_gpio_in 0 -14 *1879:8 *2450:user_gpio_oeb 0 -15 *1879:8 *2450:user_gpio_out 0 -16 *1879:8 *1965:8 0.000628619 -17 *1879:8 *2018:11 2.1558e-06 -18 *1879:8 *2018:13 0.00362062 -19 *1879:8 *2127:13 0 -20 *1879:19 *2474:mprj_io_slow_sel[8] 0.000110949 -21 *1879:19 *1965:8 0.000118828 -22 *1879:19 *2018:11 0.000112116 -23 *1879:19 *2019:11 0.000861563 -24 *1879:19 *2073:21 9.09602e-06 -25 *1879:19 *2073:31 0.000731333 -26 *1879:25 *2474:mprj_io_inp_dis[8] 3.24705e-06 -27 *1879:25 *1964:16 0.000277757 -28 *1879:25 *2073:37 0 -29 *1879:26 *2072:24 6.52332e-05 -30 *1879:26 *2073:43 0.000862855 -31 *1879:26 *2181:8 0.000421174 -32 *1879:32 *1881:13 0 -33 *1879:32 *1882:13 0 -34 *1879:32 *1883:13 0 -35 *1879:32 *1965:8 0.00104233 -36 *1879:32 *1994:50 0.000252395 -37 *1879:32 *2045:7 0.00147263 -38 *1879:32 *2048:13 0 -39 *1879:32 *2073:44 0 -40 *1879:42 *1994:44 7.52188e-05 -41 *1879:42 *2019:10 3.88655e-06 -42 *1879:42 *2129:14 1.3813e-05 -43 *1879:42 *2154:14 0.000654967 -44 *1879:44 *1994:44 0.000549011 -45 *1879:44 *2129:14 0.00319977 -46 *1879:44 *2156:17 0.000315398 -47 *1879:44 *2303:13 1.41761e-05 -48 *1879:44 *2321:13 0.00193517 -49 *2450:serial_clock_out *1879:8 0 -50 *2450:serial_data_in *1879:8 0 -51 *2450:serial_load_out *1879:8 0 -52 *2451:serial_clock *1879:32 0 -53 *2474:mprj_io_analog_pol[8] *1879:25 7.77744e-05 -54 *101:10 *1879:32 0.00257857 -55 *101:33 *1879:32 0 -56 *497:49 *1879:32 0 -57 *511:13 *1879:8 0 -58 *1778:15 *1879:32 0 -59 *1803:14 *1879:32 0.00352789 -60 *1803:27 *1879:32 0 -61 *1803:27 *1879:42 0.000765261 -62 *1803:27 *1879:44 0.000291627 -63 *1857:14 *1879:26 0 -64 *1877:14 *1879:25 0.00170584 -65 *1877:14 *1879:26 0.000503515 -66 *1878:13 *1879:7 2.89493e-05 -67 *1878:13 *1879:8 0 -68 *1878:14 *1879:25 0.00170225 -*RES -1 *2450:pad_gpio_dm[2] *1879:7 3.9674 -2 *1879:7 *1879:8 121.632 -3 *1879:8 *1879:19 37.7579 -4 *1879:19 *1879:25 38.9648 -5 *1879:25 *1879:26 55.3995 -6 *1879:26 *1879:31 16.9332 -7 *1879:31 *1879:32 178.522 -8 *1879:32 *1879:42 17.5139 -9 *1879:42 *1879:44 51.6623 -10 *1879:44 *2474:mprj_io_dm[29] 2.33274 -*END - -*D_NET *1880 0.0117492 -*CONN -*I *2474:mprj_io_dm[2] I *D chip_io_alt -*I *2444:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[2] 8.7495e-05 -2 *2444:pad_gpio_dm[2] 0.000687593 -3 *1880:12 0.000828034 -4 *1880:10 0.00142813 -5 *2474:mprj_io_dm[2] *2474:mprj_io_holdover[0] 0 -6 *1880:10 *1939:7 0.000149197 -7 *1880:10 *1939:10 0.00156229 -8 *1880:10 *1966:14 0.000497776 -9 *1880:10 *2101:14 0 -10 *1880:12 *2474:mprj_io_holdover[0] 0.00264709 -11 *1880:12 *1939:10 0.000564466 -12 *1880:12 *1966:14 0.00245989 -13 *2474:mprj_io_analog_sel[0] *2474:mprj_io_dm[2] 0 -14 *1831:19 *1880:10 5.66868e-06 -15 *1831:20 *1880:12 0.000783503 -16 *1869:13 *1880:10 4.80459e-05 -*RES -1 *2444:pad_gpio_dm[2] *1880:10 30.5564 -2 *1880:10 *1880:12 65.3167 -3 *1880:12 *2474:mprj_io_dm[2] 3.9449 -*END - -*D_NET *1881 0.0622782 -*CONN -*I *2474:mprj_io_dm[30] I *D chip_io_alt -*I *2451:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[30] 0.00061695 -2 *2451:pad_gpio_dm[0] 0.000659283 -3 *1881:14 0.00586491 -4 *1881:13 0.00590724 -5 *2474:mprj_io_dm[30] *2304:19 9.43525e-05 -6 *1881:13 *1882:13 0.000395612 -7 *1881:14 *1883:14 0.0258006 -8 *1881:14 *1884:14 0.00317982 -9 *1881:14 *2048:14 0 -10 *1881:14 *2048:20 0.0033569 -11 *1881:14 *2075:8 0.00394811 -12 *1881:14 *2100:8 0.000161557 -13 *1881:14 *2127:14 0.00754048 -14 *1881:14 *2127:28 0.00202348 -15 *2474:mprj_io_analog_en[10] *2474:mprj_io_dm[30] 0 -16 *501:14 *1881:14 0 -17 *1778:15 *1881:13 0 -18 *1778:16 *1881:14 0.000362696 -19 *1830:22 *1881:14 3.74394e-05 -20 *1877:22 *1881:14 0.00232882 -21 *1879:32 *1881:13 0 -*RES -1 *2451:pad_gpio_dm[0] *1881:13 29.2131 -2 *1881:13 *1881:14 434.11 -3 *1881:14 *2474:mprj_io_dm[30] 23.4607 -*END - -*D_NET *1882 0.0509701 -*CONN -*I *2474:mprj_io_dm[31] I *D chip_io_alt -*I *2451:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[31] 0.000363669 -2 *2451:pad_gpio_dm[1] 0.000384552 -3 *1882:14 0.00724841 -4 *1882:13 0.00726929 -5 *2474:mprj_io_dm[31] *1883:19 0 -6 *2474:mprj_io_dm[31] *2304:19 9.43525e-05 -7 *1882:13 *1883:13 3.84497e-05 -8 *1882:14 *2474:mprj_io_out[10] 0.000617419 -9 *1882:14 *1884:14 0 -10 *1882:14 *1885:14 0.000294807 -11 *1882:14 *1886:8 0.00120743 -12 *1882:14 *1968:25 0.000591672 -13 *1882:14 *1994:20 1.2693e-05 -14 *1882:14 *2048:14 0.0108848 -15 *1882:14 *2049:14 0.00811381 -16 *1882:14 *2102:10 0.00170271 -17 *1882:14 *2129:14 0 -18 *1882:14 *2181:8 0.00012951 -19 *2474:mprj_io_dm[28] *1882:14 1.87269e-05 -20 *101:16 *1882:14 0.00407454 -21 *1857:14 *1882:14 0 -22 *1877:14 *1882:14 0.00752764 -23 *1879:32 *1882:13 0 -24 *1881:13 *1882:13 0.000395612 -*RES -1 *2451:pad_gpio_dm[1] *1882:13 22.5606 -2 *1882:13 *1882:14 422.067 -3 *1882:14 *2474:mprj_io_dm[31] 17.9147 -*END - -*D_NET *1883 0.0615254 -*CONN -*I *2474:mprj_io_dm[32] I *D chip_io_alt -*I *2451:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[32] 0.000632696 -2 *2451:pad_gpio_dm[2] 0.0010904 -3 *1883:19 0.00107598 -4 *1883:14 0.00511029 -5 *1883:13 0.00575741 -6 *2474:mprj_io_dm[32] *2474:mprj_io_holdover[10] 0 -7 *2474:mprj_io_dm[32] *2474:mprj_io_vtrip_sel[10] 0.000243061 -8 *2474:mprj_io_dm[32] *1940:18 0 -9 *2474:mprj_io_dm[32] *2130:20 0.00112596 -10 *2474:mprj_io_dm[32] *2304:19 0.000315402 -11 *2474:mprj_io_dm[32] *2322:13 0.00158069 -12 *1883:13 *1940:10 4.31122e-05 -13 *1883:14 *2075:8 0.00410583 -14 *2474:mprj_io_analog_sel[10] *2474:mprj_io_dm[32] 0 -15 *2474:mprj_io_dm[31] *1883:19 0 -16 *1778:16 *1883:14 0.0105541 -17 *1857:20 *1883:14 0.00405147 -18 *1879:32 *1883:13 0 -19 *1881:14 *1883:14 0.0258006 -20 *1882:13 *1883:13 3.84497e-05 -*RES -1 *2451:pad_gpio_dm[2] *1883:13 37.2422 -2 *1883:13 *1883:14 413.762 -3 *1883:14 *1883:19 18.5971 -4 *1883:19 *2474:mprj_io_dm[32] 39.5222 -*END - -*D_NET *1884 0.0459857 -*CONN -*I *2474:mprj_io_dm[33] I *D chip_io_alt -*I *2452:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[33] 0.000492565 -2 *2452:pad_gpio_dm[0] 0.000703282 -3 *1884:14 0.0120334 -4 *1884:13 0.0122441 -5 *2474:mprj_io_dm[33] *1889:18 0.000197125 -6 *1884:13 *1885:13 2.72062e-05 -7 *1884:14 *1887:14 0 -8 *1884:14 *1941:8 0.00397172 -9 *1884:14 *1968:25 0 -10 *1884:14 *2048:14 0 -11 *1884:14 *2048:20 0.00373384 -12 *1884:14 *2049:14 0 -13 *1884:14 *2104:14 0.00859136 -14 *2474:mprj_io_analog_en[11] *2474:mprj_io_dm[33] 0.000108501 -15 *2474:mprj_io_analog_pol[11] *2474:mprj_io_dm[33] 0 -16 *101:22 *1884:13 0 -17 *501:14 *1884:14 0 -18 *1833:20 *1884:13 2.89493e-05 -19 *1834:14 *1884:14 0.00067385 -20 *1881:14 *1884:14 0.00317982 -21 *1882:14 *1884:14 0 -*RES -1 *2452:pad_gpio_dm[0] *1884:13 28.1039 -2 *1884:13 *1884:14 434.11 -3 *1884:14 *2474:mprj_io_dm[33] 21.7969 -*END - -*D_NET *1885 0.0636663 -*CONN -*I *2474:mprj_io_dm[34] I *D chip_io_alt -*I *2452:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[34] 0.000647474 -2 *2452:pad_gpio_dm[1] 0.000694406 -3 *1885:20 0.00162193 -4 *1885:19 0.00124679 -5 *1885:14 0.00324957 -6 *1885:13 0.00367165 -7 *2474:mprj_io_dm[34] *1889:12 0.000197125 -8 *2474:mprj_io_dm[34] *2305:13 3.6695e-05 -9 *1885:13 *1886:7 2.89493e-05 -10 *1885:14 *2474:mprj_io_out[10] 0.00170512 -11 *1885:14 *1886:8 0.000809598 -12 *1885:14 *1995:15 0.0203174 -13 *1885:14 *2049:14 0.020006 -14 *1885:14 *2129:14 9.4939e-05 -15 *1885:14 *2158:10 0.00040864 -16 *1885:20 *1942:22 0.000826814 -17 *1885:20 *1969:13 0.000736708 -18 *101:22 *1885:13 0 -19 *502:16 *1885:20 0.000636594 -20 *502:18 *1885:20 0.000986812 -21 *1779:19 *1885:19 0.000240073 -22 *1779:20 *1885:20 0.00515915 -23 *1834:14 *1885:20 2.19276e-05 -24 *1882:14 *1885:14 0.000294807 -25 *1884:13 *1885:13 2.72062e-05 -*RES -1 *2452:pad_gpio_dm[1] *1885:13 28.0954 -2 *1885:13 *1885:14 332.373 -3 *1885:14 *1885:19 16.3786 -4 *1885:19 *1885:20 83.2214 -5 *1885:20 *2474:mprj_io_dm[34] 24.0154 -*END - -*D_NET *1886 0.0765968 -*CONN -*I *2474:mprj_io_dm[35] I *D chip_io_alt -*I *2452:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[35] 0.000460513 -2 *2452:pad_gpio_dm[2] 0.000539154 -3 *1886:8 0.00584502 -4 *1886:7 0.00592366 -5 *2474:mprj_io_dm[35] *2474:mprj_io_holdover[11] 8.36001e-05 -6 *2474:mprj_io_dm[35] *1889:18 0.000130916 -7 *1886:7 *1941:7 0.00020453 -8 *1886:8 *2474:mprj_io_out[10] 0.0131539 -9 *1886:8 *2474:mprj_io_vtrip_sel[10] 0.00276321 -10 *1886:8 *2474:mprj_io_out[11] 0.00024345 -11 *1886:8 *1888:14 0.00168596 -12 *1886:8 *1941:8 0.000415645 -13 *1886:8 *1969:17 0.00334896 -14 *1886:8 *1995:15 0.00213143 -15 *1886:8 *2048:14 0.00057784 -16 *1886:8 *2049:14 0.00348021 -17 *1886:8 *2075:8 0 -18 *1886:8 *2077:10 0.000163684 -19 *1886:8 *2103:18 0.000566432 -20 *1886:8 *2131:16 0.000387163 -21 *1886:8 *2157:18 0.000124478 -22 *1886:8 *2157:20 0.024397 -23 *1886:8 *2158:10 0.00791016 -24 *1886:8 *2158:15 1.18005e-05 -25 *2474:mprj_io_analog_sel[11] *2474:mprj_io_dm[35] 2.07556e-06 -26 *1833:20 *1886:7 0 -27 *1882:14 *1886:8 0.00120743 -28 *1885:13 *1886:7 2.89493e-05 -29 *1885:14 *1886:8 0.000809598 -*RES -1 *2452:pad_gpio_dm[2] *1886:7 1.93138 -2 *1886:7 *1886:8 63.1462 -3 *1886:8 *2474:mprj_io_dm[35] 6.76021 -*END - -*D_NET *1887 0.0410367 -*CONN -*I *2474:mprj_io_dm[36] I *D chip_io_alt -*I *2453:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[36] 0.000408852 -2 *2453:pad_gpio_dm[0] 0.000595749 -3 *1887:14 0.0115037 -4 *1887:13 0.0116906 -5 *2474:mprj_io_dm[36] *2474:mprj_io_inp_dis[12] 4.79594e-06 -6 *2474:mprj_io_dm[36] *1889:30 1.7607e-05 -7 *2474:mprj_io_dm[36] *2324:13 0.000185867 -8 *1887:13 *1888:13 4.31122e-05 -9 *1887:14 *1888:14 0.0126174 -10 *1887:14 *1969:17 0.00169149 -11 *1887:14 *2049:14 7.70877e-05 -12 *1887:14 *2104:14 0 -13 *1887:14 *2157:20 0.00194894 -14 *2474:mprj_io_analog_en[12] *2474:mprj_io_dm[36] 0 -15 *1779:14 *1887:13 0 -16 *1780:18 *1887:14 0 -17 *1807:20 *1887:14 0.00022421 -18 *1834:13 *1887:13 2.72062e-05 -19 *1884:14 *1887:14 0 -*RES -1 *2453:pad_gpio_dm[0] *1887:13 25.3308 -2 *1887:13 *1887:14 432.864 -3 *1887:14 *2474:mprj_io_dm[36] 19.6246 -*END - -*D_NET *1888 0.0533531 -*CONN -*I *2474:mprj_io_dm[37] I *D chip_io_alt -*I *2453:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[37] 0.000383231 -2 *2453:pad_gpio_dm[1] 0.000594492 -3 *1888:14 0.0056685 -4 *1888:13 0.00587976 -5 *2474:mprj_io_dm[37] *1889:30 0 -6 *1888:13 *1889:7 3.84497e-05 -7 *1888:13 *1889:8 0 -8 *1888:14 *1969:17 0.00210186 -9 *1888:14 *1995:11 1.87269e-05 -10 *1888:14 *1996:19 0.00100336 -11 *1888:14 *1996:32 0.000295225 -12 *1888:14 *2049:14 0.00723843 -13 *1888:14 *2131:16 0.00348866 -14 *1779:14 *1888:13 0 -15 *1807:20 *1888:14 0.0122959 -16 *1886:8 *1888:14 0.00168596 -17 *1887:13 *1888:13 4.31122e-05 -18 *1887:14 *1888:14 0.0126174 -*RES -1 *2453:pad_gpio_dm[1] *1888:13 25.4674 -2 *1888:13 *1888:14 418.33 -3 *1888:14 *2474:mprj_io_dm[37] 17.9147 -*END - -*D_NET *1889 0.0566894 -*CONN -*I *2474:mprj_io_dm[38] I *D chip_io_alt -*I *2453:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[38] 0.000709386 -2 *2453:pad_gpio_dm[2] 0.000144678 -3 *1889:30 0.00125736 -4 *1889:25 0.00157076 -5 *1889:18 0.00489238 -6 *1889:17 0.00395565 -7 *1889:12 0.00190044 -8 *1889:10 0.00192565 -9 *1889:8 0.000995335 -10 *1889:7 0.00102875 -11 *2474:mprj_io_dm[38] *2474:mprj_io_holdover[12] 0 -12 *2474:mprj_io_dm[38] *2474:mprj_io_inp_dis[12] 0.000138756 -13 *2474:mprj_io_dm[38] *1942:40 0.000689779 -14 *2474:mprj_io_dm[38] *2158:15 0.000142135 -15 *1889:7 *1942:11 7.68331e-05 -16 *1889:8 *2453:user_gpio_oeb 2.04806e-05 -17 *1889:8 *2453:user_gpio_out 0.000530922 -18 *1889:8 *1942:11 0.00213893 -19 *1889:8 *1942:14 0 -20 *1889:8 *2131:10 0 -21 *1889:12 *2474:mprj_io_out[11] 0.000474719 -22 *1889:12 *2474:mprj_io_slow_sel[11] 0.000197125 -23 *1889:12 *1942:14 0.00342862 -24 *1889:12 *1995:10 0.000195687 -25 *1889:12 *1996:35 0.00201497 -26 *1889:12 *2050:18 0 -27 *1889:12 *2131:10 0 -28 *1889:12 *2305:13 0.000171064 -29 *1889:12 *2323:11 6.51149e-06 -30 *1889:18 *2474:mprj_io_holdover[11] 0.000209054 -31 *1889:18 *2474:mprj_io_ib_mode_sel[11] 7.88241e-05 -32 *1889:18 *2474:mprj_io_inp_dis[11] 9.29408e-05 -33 *1889:18 *2474:mprj_io_oeb[11] 0.000156962 -34 *1889:18 *2474:mprj_io_out[11] 0.000156962 -35 *1889:18 *2474:mprj_io_vtrip_sel[11] 6.11904e-05 -36 *1889:18 *1942:34 0 -37 *1889:18 *2022:7 0.00155693 -38 *1889:18 *2050:18 0 -39 *1889:18 *2077:15 0.00126517 -40 *1889:18 *2131:22 0.0114219 -41 *1889:18 *2323:11 0.0012032 -42 *1889:25 *1942:34 0.00232445 -43 *1889:25 *1942:39 0.00036939 -44 *1889:25 *1996:14 0 -45 *1889:25 *2131:22 0 -46 *1889:30 *2050:18 0.00240759 -47 *1889:30 *2077:15 0.000129653 -48 *1889:30 *2131:22 0.000388578 -49 *1889:30 *2306:9 0.000436901 -50 *1889:30 *2324:13 0.000426723 -51 *2474:mprj_io_analog_en[11] *1889:18 5.5787e-05 -52 *2474:mprj_io_analog_pol[11] *1889:18 0.000210531 -53 *2474:mprj_io_analog_sel[11] *1889:18 0.000130916 -54 *2474:mprj_io_dm[33] *1889:18 0.000197125 -55 *2474:mprj_io_dm[34] *1889:12 0.000197125 -56 *2474:mprj_io_dm[35] *1889:18 0.000130916 -57 *2474:mprj_io_analog_sel[12] *2474:mprj_io_dm[38] 0.000317293 -58 *2474:mprj_io_dm[36] *1889:30 1.7607e-05 -59 *2474:mprj_io_dm[37] *1889:30 0 -60 *1779:14 *1889:8 0.00377537 -61 *1833:20 *1889:8 0.000324993 -62 *1888:13 *1889:7 3.84497e-05 -63 *1888:13 *1889:8 0 -*RES -1 *2453:pad_gpio_dm[2] *1889:7 3.89091 -2 *1889:7 *1889:8 62.4588 -3 *1889:8 *1889:10 2.41823 -4 *1889:10 *1889:12 88.8273 -5 *1889:12 *1889:17 11.2184 -6 *1889:17 *1889:18 204.487 -7 *1889:18 *1889:25 48.5007 -8 *1889:25 *1889:30 47.7894 -9 *1889:30 *2474:mprj_io_dm[38] 36.7387 -*END - -*D_NET *1890 0.0143033 -*CONN -*I *2474:mprj_io_dm[39] I *D chip_io_alt -*I *2454:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[39] 0.000704172 -2 *2454:pad_gpio_dm[0] 0.000764431 -3 *1890:14 0.0030276 -4 *1890:13 0.00308786 -5 *2474:mprj_io_dm[39] *2474:mprj_io_inp_dis[13] 5.04829e-06 -6 *2474:mprj_io_dm[39] *1997:13 0.000371778 -7 *2474:mprj_io_dm[39] *2325:9 0.00021358 -8 *2474:mprj_io_dm[39] *2325:10 0.000213674 -9 *1890:13 *1892:13 3.84497e-05 -10 *1890:14 *2132:14 0 -11 *2474:mprj_io_analog_en[13] *2474:mprj_io_dm[39] 0 -12 *2474:mprj_io_analog_pol[13] *2474:mprj_io_dm[39] 0 -13 *502:22 *1890:14 0 -14 *1781:14 *1890:14 0.00475978 -15 *1781:22 *2474:mprj_io_dm[39] 0.00111694 -16 *1808:13 *1890:13 0 -*RES -1 *2454:pad_gpio_dm[0] *1890:13 30.5954 -2 *1890:13 *1890:14 94.8485 -3 *1890:14 *2474:mprj_io_dm[39] 49.3551 -*END - -*D_NET *1891 0.0180475 -*CONN -*I *2474:mprj_io_dm[3] I *D chip_io_alt -*I *2445:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[3] 0.000536944 -2 *2445:pad_gpio_dm[0] 0.000783126 -3 *1891:14 0.00137379 -4 *1891:13 0.00161997 -5 *1891:13 *1902:13 4.80459e-05 -6 *1891:13 *1913:13 0.000119662 -7 *1891:14 *1902:19 0.00166541 -8 *1891:14 *2139:14 0.00650697 -9 *2474:mprj_io_analog_en[1] *2474:mprj_io_dm[3] 0 -10 *2474:mprj_io_analog_pol[1] *2474:mprj_io_dm[3] 0 -11 *467:55 *2474:mprj_io_dm[3] 0.000215112 -12 *485:53 *1891:13 0.000130139 -13 *1788:13 *1891:13 0 -14 *1788:16 *1891:14 1.2366e-05 -15 *1788:18 *1891:14 0.00480754 -16 *1842:13 *1891:13 0.000228387 -*RES -1 *2445:pad_gpio_dm[0] *1891:13 31.2265 -2 *1891:13 *1891:14 103.984 -3 *1891:14 *2474:mprj_io_dm[3] 23.2859 -*END - -*D_NET *1892 0.017962 -*CONN -*I *2474:mprj_io_dm[40] I *D chip_io_alt -*I *2454:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[40] 0.000293275 -2 *2454:pad_gpio_dm[1] 0.000369494 -3 *1892:14 0.00181121 -4 *1892:13 0.00188743 -5 *2474:mprj_io_dm[40] *1997:13 0.000215112 -6 *2474:mprj_io_dm[40] *2325:9 0 -7 *1892:13 *2454:pad_gpio_in 0.000610609 -8 *1892:14 *1893:17 0.00248509 -9 *1892:14 *1997:13 0 -10 *1892:14 *2051:14 0.00159002 -11 *1892:14 *2132:14 0.00066915 -12 *1781:22 *1892:14 0.00186719 -13 *1808:14 *1892:14 0.00612497 -14 *1890:13 *1892:13 3.84497e-05 -*RES -1 *2454:pad_gpio_dm[1] *1892:13 22.3584 -2 *1892:13 *1892:14 129.73 -3 *1892:14 *2474:mprj_io_dm[40] 17.7542 -*END - -*D_NET *1893 0.0165826 -*CONN -*I *2474:mprj_io_dm[41] I *D chip_io_alt -*I *2454:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[41] 0.000143856 -2 *2454:pad_gpio_dm[2] 2.68958e-05 -3 *1893:17 0.000609216 -4 *1893:13 0.00122488 -5 *1893:8 0.00163481 -6 *1893:7 0.000902185 -7 *2474:mprj_io_dm[41] *2474:mprj_io_holdover[13] 8.10016e-06 -8 *2474:mprj_io_dm[41] *1997:19 0.000228518 -9 *1893:8 *1943:8 0.000510588 -10 *1893:8 *2078:5 0.00240355 -11 *1893:8 *2159:8 0.000837412 -12 *1893:13 *1943:13 0.00237598 -13 *1893:17 *2051:14 0.00247791 -14 *2474:mprj_io_analog_sel[13] *2474:mprj_io_dm[41] 0 -15 *1537:32 *1893:8 0.000692673 -16 *1781:22 *1893:17 5.04829e-06 -17 *1835:13 *1893:13 1.58551e-05 -18 *1835:18 *2474:mprj_io_dm[41] 0 -19 *1892:14 *1893:17 0.00248509 -*RES -1 *2454:pad_gpio_dm[2] *1893:7 3.43197 -2 *1893:7 *1893:8 56.0224 -3 *1893:8 *1893:13 38.0082 -4 *1893:13 *1893:17 47.0618 -5 *1893:17 *2474:mprj_io_dm[41] 9.97156 -*END - -*D_NET *1894 0.0120645 -*CONN -*I *2474:mprj_io_dm[42] I *D chip_io_alt -*I *2461:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[42] 0.000367804 -2 *2461:pad_gpio_dm[0] 0.00102948 -3 *1894:14 0.00107795 -4 *1894:13 0.000710147 -5 *1894:11 0.00102948 -6 *2474:mprj_io_dm[42] *2474:mprj_io_inp_dis[14] 0.000145018 -7 *2474:mprj_io_dm[42] *2106:17 0 -8 *1894:11 *1895:7 8.42027e-05 -9 *1894:11 *1998:7 0.000612939 -10 *1894:14 *2079:8 0.00185926 -11 *2474:mprj_io_analog_en[14] *1894:11 0.000113603 -12 *2474:mprj_io_analog_pol[14] *2474:mprj_io_dm[42] 0 -13 *485:14 *1894:11 7.56549e-05 -14 *1836:13 *1894:11 0.0011317 -15 *1836:14 *1894:14 0.00382722 -*RES -1 *2461:pad_gpio_dm[0] *1894:11 46.1092 -2 *1894:11 *1894:13 4.5 -3 *1894:13 *1894:14 61.2131 -4 *1894:14 *2474:mprj_io_dm[42] 18.1934 -*END - -*D_NET *1895 0.00973378 -*CONN -*I *2474:mprj_io_dm[43] I *D chip_io_alt -*I *2461:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[43] 0.000365821 -2 *2461:pad_gpio_dm[1] 0.000192222 -3 *1895:8 0.00188911 -4 *1895:7 0.00171551 -5 *2474:mprj_io_dm[43] *2106:17 0 -6 *2474:mprj_io_dm[43] *2326:13 0 -7 *1895:7 *1896:7 0.000185342 -8 *1895:8 *1998:7 0 -9 *1895:8 *2106:8 1.2366e-05 -10 *1895:8 *2106:17 0.000905728 -11 *1895:8 *2133:8 0.00389187 -12 *1895:8 *2308:9 0.00045549 -13 *1550:40 *1895:8 3.61259e-05 -14 *1894:11 *1895:7 8.42027e-05 -*RES -1 *2461:pad_gpio_dm[1] *1895:7 4.34986 -2 *1895:7 *1895:8 77.6155 -3 *1895:8 *2474:mprj_io_dm[43] 18.8873 -*END - -*D_NET *1896 0.0156519 -*CONN -*I *2474:mprj_io_dm[44] I *D chip_io_alt -*I *2461:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[44] 0.000562168 -2 *2461:pad_gpio_dm[2] 0.000480827 -3 *1896:8 0.00318952 -4 *1896:7 0.00310818 -5 *2474:mprj_io_dm[44] *2474:mprj_io_oeb[14] 0.00019711 -6 *1896:7 *1944:7 0.000344082 -7 *1896:8 *1944:8 0.00758469 -8 *2474:mprj_io_analog_sel[14] *2474:mprj_io_dm[44] 0 -9 *1895:7 *1896:7 0.000185342 -*RES -1 *2461:pad_gpio_dm[2] *1896:7 5.42072 -2 *1896:7 *1896:8 121.217 -3 *1896:8 *2474:mprj_io_dm[44] 22.9061 -*END - -*D_NET *1897 0.0128414 -*CONN -*I *2474:mprj_io_dm[45] I *D chip_io_alt -*I *2462:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[45] 0.000769894 -2 *2462:pad_gpio_dm[0] 0.000317437 -3 *1897:8 0.00155803 -4 *1897:7 0.00110557 -5 *2474:mprj_io_dm[45] *2474:mprj_io_dm[46] 0.000340658 -6 *2474:mprj_io_dm[45] *2474:mprj_io_inp_dis[15] 0.00034475 -7 *2474:mprj_io_dm[45] *2053:14 9.71323e-06 -8 *2474:mprj_io_dm[45] *2107:14 0 -9 *2474:mprj_io_dm[45] *2309:13 0.000353381 -10 *1897:7 *1898:7 0.000265567 -11 *1897:8 *1898:8 0.00444243 -12 *1897:8 *2053:14 0.00193231 -13 *2474:mprj_io_analog_pol[15] *2474:mprj_io_dm[45] 0 -14 *471:16 *1897:8 0.00124681 -15 *489:14 *2474:mprj_io_dm[45] 0 -16 *1783:18 *2474:mprj_io_dm[45] 0 -17 *1783:18 *1897:8 0 -18 *1837:7 *1897:7 0.000154819 -*RES -1 *2462:pad_gpio_dm[0] *1897:7 4.88529 -2 *1897:7 *1897:8 72.4249 -3 *1897:8 *2474:mprj_io_dm[45] 36.6133 -*END - -*D_NET *1898 0.0131662 -*CONN -*I *2474:mprj_io_dm[46] I *D chip_io_alt -*I *2462:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[46] 0.000468645 -2 *2462:pad_gpio_dm[1] 0.000241309 -3 *1898:8 0.00113123 -4 *1898:7 0.000903894 -5 *2474:mprj_io_dm[46] *2053:14 0.000112805 -6 *2474:mprj_io_dm[46] *2309:10 8.17577e-05 -7 *2474:mprj_io_dm[46] *2309:13 0.000107729 -8 *2474:mprj_io_dm[46] *2327:11 0.00019711 -9 *1898:7 *1899:7 0.000265567 -10 *1898:8 *2107:8 0.00456132 -11 *2474:mprj_io_dm[45] *2474:mprj_io_dm[46] 0.000340658 -12 *471:16 *1898:8 4.61488e-05 -13 *1783:18 *1898:8 0 -14 *1897:7 *1898:7 0.000265567 -15 *1897:8 *1898:8 0.00444243 -*RES -1 *2462:pad_gpio_dm[1] *1898:7 4.8088 -2 *1898:7 *1898:8 73.8783 -3 *1898:8 *2474:mprj_io_dm[46] 34.8471 -*END - -*D_NET *1899 0.0193394 -*CONN -*I *2474:mprj_io_dm[47] I *D chip_io_alt -*I *2462:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[47] 0.00083048 -2 *2462:pad_gpio_dm[2] 0.000398552 -3 *1899:8 0.00171084 -4 *1899:7 0.00127891 -5 *2474:mprj_io_dm[47] *2474:mprj_io_holdover[15] 0 -6 *2474:mprj_io_dm[47] *2107:14 0.00114845 -7 *1899:7 *1945:7 0.0003554 -8 *1899:8 *1945:8 0.003426 -9 *1899:8 *2080:14 0.00313478 -10 *2474:mprj_io_analog_sel[15] *2474:mprj_io_dm[47] 0.000171064 -11 *489:8 *1899:8 1.87269e-05 -12 *517:20 *1899:8 0.00660067 -13 *1898:7 *1899:7 0.000265567 -*RES -1 *2462:pad_gpio_dm[2] *1899:7 5.34423 -2 *1899:7 *1899:8 105.853 -3 *1899:8 *2474:mprj_io_dm[47] 37.6925 -*END - -*D_NET *1900 0.00866916 -*CONN -*I *2474:mprj_io_dm[48] I *D chip_io_alt -*I *2463:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[48] 0.000397619 -2 *2463:pad_gpio_dm[0] 0.000201778 -3 *1900:8 0.00292714 -4 *1900:7 0.0027313 -5 *2474:mprj_io_dm[48] *2474:mprj_io_dm[49] 6.06421e-05 -6 *2474:mprj_io_dm[48] *1901:8 0.000180024 -7 *2474:mprj_io_dm[48] *2328:19 0.000188784 -8 *1900:7 *1901:7 0.000124321 -9 *1900:7 *1946:7 0 -10 *1900:8 *1901:8 0 -11 *1900:8 *2162:8 0 -12 *1900:8 *2310:13 0.000510264 -13 *2474:mprj_io_analog_en[16] *2474:mprj_io_dm[48] 8.1645e-05 -14 *2474:mprj_io_analog_pol[16] *2474:mprj_io_dm[48] 0.00105183 -15 *2474:mprj_io_analog_pol[16] *1900:8 1.38204e-05 -16 *1811:8 *1900:8 0 -17 *1838:7 *1900:7 0.00019495 -18 *1838:8 *1900:8 0 -19 *1838:14 *2474:mprj_io_dm[48] 5.04829e-06 -*RES -1 *2463:pad_gpio_dm[0] *1900:7 4.42635 -2 *1900:7 *1900:8 76.3698 -3 *1900:8 *2474:mprj_io_dm[48] 29.585 -*END - -*D_NET *1901 0.00938881 -*CONN -*I *2474:mprj_io_dm[49] I *D chip_io_alt -*I *2463:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[49] 0.000123716 -2 *2463:pad_gpio_dm[1] 0.000153714 -3 *1901:8 0.00197301 -4 *1901:7 0.00200301 -5 *2474:mprj_io_dm[49] *2328:19 0 -6 *1901:7 *1903:12 1.9775e-05 -7 *1901:7 *1946:7 0 -8 *1901:8 *2474:mprj_io_slow_sel[16] 0.00019711 -9 *1901:8 *2000:10 0 -10 *1901:8 *2000:11 0 -11 *1901:8 *2162:8 0 -12 *1901:8 *2310:13 0.00013391 -13 *2474:mprj_io_analog_pol[16] *1901:8 1.3813e-05 -14 *2474:mprj_io_dm[48] *2474:mprj_io_dm[49] 6.06421e-05 -15 *2474:mprj_io_dm[48] *1901:8 0.000180024 -16 *1811:8 *1901:8 0.00440576 -17 *1900:7 *1901:7 0.000124321 -18 *1900:8 *1901:8 0 -*RES -1 *2463:pad_gpio_dm[1] *1901:7 4.04389 -2 *1901:7 *1901:8 81.5604 -3 *1901:8 *2474:mprj_io_dm[49] 4.28687 -*END - -*D_NET *1902 0.0198726 -*CONN -*I *2474:mprj_io_dm[4] I *D chip_io_alt -*I *2445:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[4] 0.000550231 -2 *2445:pad_gpio_dm[1] 0.000714837 -3 *1902:20 0.00125105 -4 *1902:19 0.00121422 -5 *1902:13 0.00122824 -6 *1902:13 *1913:13 0.000158111 -7 *1902:19 *2058:14 0 -8 *1902:19 *2139:14 0.000152316 -9 *1902:20 *2139:14 0.00554626 -10 *100:95 *2474:mprj_io_dm[4] 0.000111708 -11 *100:96 *2474:mprj_io_dm[4] 0.000211282 -12 *485:53 *1902:13 5.88867e-05 -13 *499:16 *1902:20 0.00555344 -14 *1788:16 *1902:19 0.00140854 -15 *1891:13 *1902:13 4.80459e-05 -16 *1891:14 *1902:19 0.00166541 -*RES -1 *2445:pad_gpio_dm[1] *1902:13 28.1803 -2 *1902:13 *1902:19 42.5628 -3 *1902:19 *1902:20 88.6197 -4 *1902:20 *2474:mprj_io_dm[4] 24.2413 -*END - -*D_NET *1903 0.0168017 -*CONN -*I *2474:mprj_io_dm[50] I *D chip_io_alt -*I *2463:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[50] 0.000733303 -2 *2463:pad_gpio_dm[2] 0.00111028 -3 *1903:16 0.00139426 -4 *1903:15 0.00107721 -5 *1903:12 0.00152654 -6 *2474:mprj_io_dm[50] *2474:mprj_io_holdover[16] 0.00106051 -7 *2474:mprj_io_dm[50] *1946:17 8.99726e-05 -8 *2474:mprj_io_dm[50] *2054:20 0 -9 *1903:12 *1946:7 2.44479e-06 -10 *1903:12 *2000:11 0 -11 *1903:16 *1946:8 0.00287504 -12 *1903:16 *1946:17 9.71323e-06 -13 *1903:16 *2054:20 0.0011864 -14 *1903:16 *2108:16 9.66387e-05 -15 *2463:mgmt_gpio_out *1903:12 0.000468828 -16 *2474:mprj_io_analog_sel[16] *2474:mprj_io_dm[50] 6.8952e-05 -17 *518:8 *1903:16 0.00043935 -18 *1552:30 *1903:15 0.000473242 -19 *1552:33 *1903:12 0.000779081 -20 *1784:8 *1903:16 0.00339015 -21 *1838:14 *2474:mprj_io_dm[50] 0 -22 *1901:7 *1903:12 1.9775e-05 -*RES -1 *2463:pad_gpio_dm[2] *1903:12 43.8831 -2 *1903:12 *1903:15 17.4247 -3 *1903:15 *1903:16 69.1029 -4 *1903:16 *2474:mprj_io_dm[50] 36.2303 -*END - -*D_NET *1904 0.0135467 -*CONN -*I *2474:mprj_io_dm[51] I *D chip_io_alt -*I *2464:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[51] 0.000460996 -2 *2464:pad_gpio_dm[0] 0.000247203 -3 *1904:16 0.000826694 -4 *1904:13 0.000497298 -5 *1904:8 0.000906869 -6 *1904:7 0.00102247 -7 *2474:mprj_io_dm[51] *2312:9 0.000132378 -8 *1904:7 *1905:7 0.00013222 -9 *1904:8 *1974:8 0.00293058 -10 *1904:8 *2136:8 0.000185169 -11 *1904:8 *2294:13 3.04407e-05 -12 *1904:13 *2294:14 0.00060197 -13 *1904:16 *1905:8 0.00153009 -14 *1904:16 *2163:14 0.00230639 -15 *2474:mprj_io_analog_en[17] *2474:mprj_io_dm[51] 0 -16 *2474:mprj_io_analog_pol[17] *2474:mprj_io_dm[51] 0 -17 *473:8 *1904:8 0.00111559 -18 *491:25 *1904:13 0.000244445 -19 *491:28 *1904:16 0.000375885 -20 *1812:13 *1904:7 0 -*RES -1 *2464:pad_gpio_dm[0] *1904:7 4.19688 -2 *1904:7 *1904:8 53.9461 -3 *1904:8 *1904:13 15.824 -4 *1904:13 *1904:16 41.6284 -5 *1904:16 *2474:mprj_io_dm[51] 15.1557 -*END - -*D_NET *1905 0.0127988 -*CONN -*I *2474:mprj_io_dm[52] I *D chip_io_alt -*I *2464:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[52] 0.000508283 -2 *2464:pad_gpio_dm[1] 0.000526017 -3 *1905:8 0.00137849 -4 *1905:7 0.00139622 -5 *2474:mprj_io_dm[52] *1974:22 0.000208984 -6 *1905:7 *1906:7 8.81646e-05 -7 *1905:7 *1947:7 0 -8 *1905:8 *1947:8 0.00353321 -9 *1905:8 *2109:8 0.00135784 -10 *1905:8 *2163:14 1.3807e-05 -11 *106:14 *1905:8 1.5254e-05 -12 *491:28 *1905:8 0.00146064 -13 *519:21 *1905:8 0.000649613 -14 *1904:7 *1905:7 0.00013222 -15 *1904:16 *1905:8 0.00153009 -*RES -1 *2464:pad_gpio_dm[1] *1905:7 5.19125 -2 *1905:7 *1905:8 80.9375 -3 *1905:8 *2474:mprj_io_dm[52] 21.4316 -*END - -*D_NET *1906 0.0156438 -*CONN -*I *2474:mprj_io_dm[53] I *D chip_io_alt -*I *2464:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[53] 0.000366408 -2 *2464:pad_gpio_dm[2] 0.000109575 -3 *1906:22 0.00280216 -4 *1906:8 0.0033442 -5 *1906:7 0.00101802 -6 *2474:mprj_io_dm[53] *2312:9 0.00019711 -7 *1906:7 *1947:7 8.81646e-05 -8 *1906:8 *2001:13 0.000227605 -9 *1906:8 *2055:8 0.00141539 -10 *1906:8 *2136:8 0.0009813 -11 *1906:22 *1974:17 0.000146784 -12 *1906:22 *2055:14 0.000425511 -13 *1906:22 *2136:8 0.000141177 -14 *1906:22 *2163:23 6.68049e-05 -15 *2474:mprj_io_analog_pol[17] *1906:22 0.000479286 -16 *2474:mprj_io_analog_sel[17] *2474:mprj_io_dm[53] 0 -17 *473:8 *1906:22 0.000322335 -18 *491:25 *1906:8 0.0015941 -19 *1785:20 *1906:22 0.000282607 -20 *1839:36 *1906:22 0.00154711 -21 *1905:7 *1906:7 8.81646e-05 -*RES -1 *2464:pad_gpio_dm[2] *1906:7 3.89091 -2 *1906:7 *1906:8 59.1368 -3 *1906:8 *1906:22 46.0224 -4 *1906:22 *2474:mprj_io_dm[53] 14.5239 -*END - -*D_NET *1907 0.0150087 -*CONN -*I *2474:mprj_io_dm[54] I *D chip_io_alt -*I *2465:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[54] 0.000499419 -2 *2465:pad_gpio_dm[0] 0.000379381 -3 *1907:10 0.00130621 -4 *1907:9 0.00118617 -5 *2474:mprj_io_dm[54] *2295:13 0 -6 *1907:9 *1908:9 0.000274478 -7 *1907:10 *2474:mprj_io_inp_dis[18] 0.000292752 -8 *1907:10 *1908:10 0.000154814 -9 *1907:10 *1909:16 0.0019181 -10 *2474:mprj_io_analog_en[18] *2474:mprj_io_dm[54] 0 -11 *474:14 *1907:10 0.00547833 -12 *1813:10 *1907:10 0.00321974 -13 *1840:13 *1907:9 0.000299342 -*RES -1 *2465:pad_gpio_dm[0] *1907:9 5.25776 -2 *1907:9 *1907:10 88.4121 -3 *1907:10 *2474:mprj_io_dm[54] 20.7338 -*END - -*D_NET *1908 0.0135299 -*CONN -*I *2474:mprj_io_dm[55] I *D chip_io_alt -*I *2465:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[55] 0.000348309 -2 *2465:pad_gpio_dm[1] 0.000362423 -3 *1908:10 0.00110865 -4 *1908:9 0.00112276 -5 *2474:mprj_io_dm[55] *2295:13 0.00019711 -6 *1908:9 *1909:9 0.000199912 -7 *1908:10 *2474:mprj_io_inp_dis[18] 0.000183155 -8 *1908:10 *1909:16 0.00124867 -9 *1908:10 *1948:22 0.00277522 -10 *1908:10 *2110:10 0.00214383 -11 *1908:10 *2164:10 1.3807e-05 -12 *107:51 *2474:mprj_io_dm[55] 0.000127271 -13 *474:14 *1908:10 4.61488e-05 -14 *1813:10 *1908:10 0.00322332 -15 *1907:9 *1908:9 0.000274478 -16 *1907:10 *1908:10 0.000154814 -*RES -1 *2465:pad_gpio_dm[1] *1908:9 5.10478 -2 *1908:9 *1908:10 80.107 -3 *1908:10 *2474:mprj_io_dm[55] 19.0239 -*END - -*D_NET *1909 0.0143241 -*CONN -*I *2474:mprj_io_dm[56] I *D chip_io_alt -*I *2465:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[56] 0.00043808 -2 *2465:pad_gpio_dm[2] 0.00030034 -3 *1909:16 0.0011071 -4 *1909:15 0.000813269 -5 *1909:10 0.00224338 -6 *1909:9 0.00239947 -7 *2474:mprj_io_dm[56] *2474:mprj_io_holdover[18] 0 -8 *1909:9 *2465:pad_gpio_in 0 -9 *1909:10 *1948:22 0 -10 *1909:10 *2137:10 0 -11 *1909:10 *2164:10 0 -12 *1909:15 *2313:16 0.000143859 -13 *1909:16 *2474:mprj_io_inp_dis[18] 0.000264014 -14 *1909:16 *1948:22 1.72799e-05 -15 *107:57 *1909:16 0.00198608 -16 *107:58 *1909:16 0 -17 *474:14 *1909:16 0.000797637 -18 *1786:18 *1909:10 0 -19 *1813:16 *1909:15 5.04829e-06 -20 *1840:27 *1909:10 0.000441815 -21 *1907:10 *1909:16 0.0019181 -22 *1908:9 *1909:9 0.000199912 -23 *1908:10 *1909:16 0.00124867 -*RES -1 *2465:pad_gpio_dm[2] *1909:9 4.64584 -2 *1909:9 *1909:10 62.6664 -3 *1909:10 *1909:15 13.051 -4 *1909:15 *1909:16 57.4758 -5 *1909:16 *2474:mprj_io_dm[56] 20.1331 -*END - -*D_NET *1910 0.0156812 -*CONN -*I *2474:mprj_io_dm[57] I *D chip_io_alt -*I *2466:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[57] 0.00052819 -2 *2466:pad_gpio_dm[0] 0.000562682 -3 *1910:8 0.00130586 -4 *1910:7 0.00134035 -5 *1910:7 *1912:7 0 -6 *1910:8 *2057:29 0.000205067 -7 *1910:8 *2084:8 0.00559031 -8 *2474:mprj_io_analog_en[19] *2474:mprj_io_dm[57] 3.27332e-05 -9 *2474:mprj_io_analog_pol[19] *2474:mprj_io_dm[57] 0.00019711 -10 *521:14 *1910:8 0.00559807 -11 *1841:7 *1910:7 0.000320815 -*RES -1 *2466:pad_gpio_dm[0] *1910:7 5.34423 -2 *1910:7 *1910:8 90.0731 -3 *1910:8 *2474:mprj_io_dm[57] 22.3515 -*END - -*D_NET *1911 0.0100473 -*CONN -*I *2474:mprj_io_dm[58] I *D chip_io_alt -*I *2466:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[58] 7.88462e-05 -2 *2466:pad_gpio_dm[1] 0.001291 -3 *1911:18 0.00102327 -4 *1911:15 0.001799 -5 *1911:12 0.00214558 -6 *2474:mprj_io_dm[58] *2314:19 0.000138011 -7 *1911:18 *1976:8 0.00138248 -8 *1911:18 *2003:9 0.000297231 -9 *1911:18 *2003:11 0 -10 *1911:18 *2314:19 0.000856481 -11 *474:42 *1911:18 0.00038975 -12 *475:16 *2474:mprj_io_dm[58] 0 -13 *475:16 *1911:18 0 -14 *493:8 *1911:18 0.000645639 -*RES -1 *2466:pad_gpio_dm[1] *1911:12 41.8068 -2 *1911:12 *1911:15 27.4075 -3 *1911:15 *1911:18 47.7662 -4 *1911:18 *2474:mprj_io_dm[58] 2.99226 -*END - -*D_NET *1912 0.0199665 -*CONN -*I *2474:mprj_io_dm[59] I *D chip_io_alt -*I *2466:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[59] 0.000333815 -2 *2466:pad_gpio_dm[2] 0.000486296 -3 *1912:14 0.000874501 -4 *1912:13 0.000627036 -5 *1912:8 0.000721891 -6 *1912:7 0.00112184 -7 *2474:mprj_io_dm[59] *2474:mprj_io_holdover[19] 4.35659e-05 -8 *2474:mprj_io_dm[59] *2030:16 8.35282e-05 -9 *1912:7 *1949:7 0.000282418 -10 *1912:8 *1949:8 0.00385448 -11 *1912:8 *2084:8 0.000275383 -12 *1912:14 *1949:8 0.00124608 -13 *1912:14 *1949:17 1.3813e-05 -14 *1912:14 *2057:29 0.000134073 -15 *1912:14 *2111:14 0.00250819 -16 *1912:14 *2138:12 0.000640187 -17 *1912:14 *2165:28 0.00310365 -18 *474:42 *1912:14 0.000339251 -19 *1787:8 *1912:14 1.87125e-05 -20 *1814:8 *1912:8 0.00325775 -21 *1910:7 *1912:7 0 -*RES -1 *2466:pad_gpio_dm[2] *1912:7 5.11476 -2 *1912:7 *1912:8 62.4588 -3 *1912:8 *1912:13 6.94044 -4 *1912:13 *1912:14 61.0054 -5 *1912:14 *2474:mprj_io_dm[59] 17.9175 -*END - -*D_NET *1913 0.0133806 -*CONN -*I *2474:mprj_io_dm[5] I *D chip_io_alt -*I *2445:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[5] 0.000272285 -2 *2445:pad_gpio_dm[2] 0.000558818 -3 *1913:23 0.000817819 -4 *1913:19 0.000966099 -5 *1913:13 0.000979382 -6 *2474:mprj_io_dm[5] *2474:mprj_io_holdover[1] 0.000104034 -7 *2474:mprj_io_dm[5] *2474:mprj_io_out[1] 9.4338e-05 -8 *1913:13 *1950:13 3.84497e-05 -9 *1913:19 *1950:17 5.04829e-06 -10 *1913:19 *2112:14 0.00207048 -11 *1913:23 *2474:mprj_io_out[1] 7.47435e-06 -12 *1913:23 *2004:11 0.00234978 -13 *1913:23 *2112:14 0.000237709 -14 *1913:23 *2166:14 0.00185131 -15 *467:55 *2474:mprj_io_dm[5] 0.000791367 -16 *467:55 *1913:19 8.13993e-05 -17 *485:53 *1913:13 6.19102e-05 -18 *1842:16 *1913:19 0.0018151 -19 *1891:13 *1913:13 0.000119662 -20 *1902:13 *1913:13 0.000158111 -*RES -1 *2445:pad_gpio_dm[2] *1913:13 26.4316 -2 *1913:13 *1913:19 44.2238 -3 *1913:19 *1913:23 46.0947 -4 *1913:23 *2474:mprj_io_dm[5] 17.9835 -*END - -*D_NET *1914 0.0128483 -*CONN -*I *2474:mprj_io_dm[60] I *D chip_io_alt -*I *2467:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[60] 0.00049557 -2 *2467:pad_gpio_dm[0] 0.000860122 -3 *1914:16 0.00201232 -4 *1914:15 0.00237687 -5 *2474:mprj_io_dm[60] *1916:18 5.6259e-05 -6 *1914:15 *2474:mprj_io_holdover[20] 0.000192761 -7 *1914:15 *1915:7 7.42816e-06 -8 *1914:15 *2005:11 0.000610456 -9 *2467:mgmt_gpio_out *1914:15 0.000469124 -10 *2474:mprj_io_analog_en[20] *2474:mprj_io_dm[60] 0 -11 *2474:mprj_io_analog_pol[20] *2474:mprj_io_dm[60] 0 -12 *494:8 *1914:16 0 -13 *1557:33 *1914:15 1.66771e-05 -14 *1789:15 *1914:15 4.15236e-05 -15 *1816:15 *1914:15 0.000119662 -16 *1816:16 *1914:16 0.00434304 -17 *1843:15 *1914:15 0.00124649 -*RES -1 *2467:pad_gpio_dm[0] *1914:15 46.3221 -2 *1914:15 *1914:16 69.5181 -3 *1914:16 *2474:mprj_io_dm[60] 21.1058 -*END - -*D_NET *1915 0.0121647 -*CONN -*I *2474:mprj_io_dm[61] I *D chip_io_alt -*I *2467:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[61] 0.000370077 -2 *2467:pad_gpio_dm[1] 0.000627727 -3 *1915:8 0.00198545 -4 *1915:7 0.0022431 -5 *2474:mprj_io_dm[61] *2315:13 9.4338e-05 -6 *1915:7 *1916:18 3.02784e-05 -7 *1915:8 *2086:13 0.00104796 -8 *1915:8 *2140:8 0.00033782 -9 *1915:8 *2315:13 0.00110558 -10 *474:48 *1915:8 0 -11 *1789:16 *1915:8 0.00431494 -12 *1914:15 *1915:7 7.42816e-06 -*RES -1 *2467:pad_gpio_dm[1] *1915:7 4.8088 -2 *1915:7 *1915:8 80.9375 -3 *1915:8 *2474:mprj_io_dm[61] 19.0239 -*END - -*D_NET *1916 0.0187768 -*CONN -*I *2474:mprj_io_dm[62] I *D chip_io_alt -*I *2467:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[62] 0.00012308 -2 *2467:pad_gpio_dm[2] 0.00171633 -3 *1916:18 0.00183941 -4 *2474:mprj_io_dm[62] *2474:mprj_io_holdover[20] 8.1662e-05 -5 *1916:18 *2474:mprj_io_holdover[20] 0.000777418 -6 *1916:18 *2474:mprj_io_ib_mode_sel[20] 0.00645122 -7 *1916:18 *2474:mprj_io_inp_dis[20] 0.000171064 -8 *1916:18 *2474:mprj_io_vtrip_sel[20] 0.000796463 -9 *1916:18 *2113:16 0.000158917 -10 *1916:18 *2167:19 0.00633676 -11 *2474:mprj_io_analog_en[20] *1916:18 9.29258e-05 -12 *2474:mprj_io_analog_pol[20] *1916:18 0.000145018 -13 *2474:mprj_io_dm[60] *1916:18 5.6259e-05 -14 *474:48 *1916:18 0 -15 *1915:7 *1916:18 3.02784e-05 -*RES -1 *2467:pad_gpio_dm[2] *1916:18 49.482 -2 *1916:18 *2474:mprj_io_dm[62] 12.2321 -*END - -*D_NET *1917 0.0142563 -*CONN -*I *2474:mprj_io_dm[63] I *D chip_io_alt -*I *2468:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[63] 0.00035368 -2 *2468:pad_gpio_dm[0] 0.000581911 -3 *1917:14 0.000869794 -4 *1917:13 0.000719784 -5 *1917:10 0.000785581 -6 *2474:mprj_io_dm[63] *2298:21 0.00019711 -7 *2474:mprj_io_dm[63] *2316:13 9.19632e-06 -8 *1917:10 *1918:10 0.00222145 -9 *1917:10 *2006:9 0.000714438 -10 *1917:13 *1918:16 0.000629893 -11 *1917:14 *1918:16 0.0020561 -12 *1917:14 *1952:14 0.00122262 -13 *1917:14 *2087:8 0 -14 *2474:mprj_io_analog_en[21] *2474:mprj_io_dm[63] 0 -15 *494:20 *1917:14 0.000443731 -16 *1790:8 *1917:14 0.00330122 -17 *1817:8 *1917:14 7.12527e-05 -18 *1844:10 *1917:10 7.85438e-05 -*RES -1 *2468:pad_gpio_dm[0] *1917:10 43.159 -2 *1917:10 *1917:13 11.8786 -3 *1917:13 *1917:14 55.3995 -4 *1917:14 *2474:mprj_io_dm[63] 18.4693 -*END - -*D_NET *1918 0.0107753 -*CONN -*I *2474:mprj_io_dm[64] I *D chip_io_alt -*I *2468:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[64] 0.000652201 -2 *2468:pad_gpio_dm[1] 0.000867782 -3 *1918:16 0.00158096 -4 *1918:10 0.00179655 -5 *2474:mprj_io_dm[64] *2474:mprj_io_slow_sel[21] 8.86525e-05 -6 *2474:mprj_io_dm[64] *2087:8 0 -7 *2474:mprj_io_dm[64] *2298:21 0.000327004 -8 *1918:10 *1919:10 6.89476e-05 -9 *1918:10 *2006:9 0 -10 *1918:16 *2087:8 0 -11 *2468:mgmt_gpio_out *1918:10 0.000469124 -12 *1558:35 *1918:10 1.66771e-05 -13 *1917:10 *1918:10 0.00222145 -14 *1917:13 *1918:16 0.000629893 -15 *1917:14 *1918:16 0.0020561 -*RES -1 *2468:pad_gpio_dm[1] *1918:10 45.9892 -2 *1918:10 *1918:16 49.3545 -3 *1918:16 *2474:mprj_io_dm[64] 24.5677 -*END - -*D_NET *1919 0.0196635 -*CONN -*I *2474:mprj_io_dm[65] I *D chip_io_alt -*I *2468:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[65] 0.000603587 -2 *2468:pad_gpio_dm[2] 0.00108007 -3 *1919:12 0.00185259 -4 *1919:10 0.00232907 -5 *2474:mprj_io_dm[65] *2474:mprj_io_holdover[21] 0.000208984 -6 *2474:mprj_io_dm[65] *2316:14 0 -7 *1919:10 *1952:7 0.000332207 -8 *1919:10 *1979:10 0.00223982 -9 *1919:12 *1979:10 2.01595e-05 -10 *1919:12 *1979:14 0.002802 -11 *2474:mprj_io_analog_sel[21] *2474:mprj_io_dm[65] 0 -12 *474:54 *1919:12 0.000861194 -13 *476:22 *1919:10 0.000258066 -14 *477:14 *1919:12 0.000115351 -15 *1844:10 *1919:10 0.00172667 -16 *1844:12 *1919:10 2.01595e-05 -17 *1844:12 *1919:12 0.00290619 -18 *1844:18 *1919:12 0.00223842 -19 *1918:10 *1919:10 6.89476e-05 -*RES -1 *2468:pad_gpio_dm[2] *1919:10 42.1127 -2 *1919:10 *1919:12 85.5053 -3 *1919:12 *2474:mprj_io_dm[65] 24.2047 -*END - -*D_NET *1920 0.0159501 -*CONN -*I *2474:mprj_io_dm[66] I *D chip_io_alt -*I *2469:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[66] 0.000359132 -2 *2469:pad_gpio_dm[0] 0.000525502 -3 *1920:8 0.0010976 -4 *1920:7 0.00126397 -5 *2474:mprj_io_dm[66] *1922:33 3.16065e-06 -6 *2474:mprj_io_dm[66] *1953:17 5.04829e-06 -7 *2474:mprj_io_dm[66] *2299:21 0 -8 *2474:mprj_io_dm[66] *2317:12 7.22422e-05 -9 *1920:7 *1921:7 0.000222549 -10 *1920:7 *1953:7 0 -11 *1920:8 *2061:16 0.00573543 -12 *1920:8 *2169:16 0.00573543 -13 *2474:mprj_io_analog_pol[22] *2474:mprj_io_dm[66] 8.47119e-05 -14 *494:20 *1920:8 0.000831196 -15 *1791:19 *2474:mprj_io_dm[66] 0 -16 *1845:12 *1920:7 1.41809e-05 -*RES -1 *2469:pad_gpio_dm[0] *1920:7 5.03827 -2 *1920:7 *1920:8 91.7341 -3 *1920:8 *2474:mprj_io_dm[66] 18.2351 -*END - -*D_NET *1921 0.0136092 -*CONN -*I *2474:mprj_io_dm[67] I *D chip_io_alt -*I *2469:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[67] 0.000298025 -2 *2469:pad_gpio_dm[1] 0.000405137 -3 *1921:8 0.00106235 -4 *1921:7 0.00116946 -5 *2474:mprj_io_dm[67] *1922:25 0.000196126 -6 *2474:mprj_io_dm[67] *2299:21 0.00019711 -7 *1921:7 *1922:7 6.86894e-05 -8 *1921:7 *1953:7 0 -9 *1921:8 *2061:14 7.59805e-05 -10 *1921:8 *2061:16 0 -11 *1921:8 *2115:8 0.00504539 -12 *1921:8 *2169:16 0.000721742 -13 *478:10 *1921:8 0.000710132 -14 *1818:16 *1921:8 0.00343653 -15 *1920:7 *1921:7 0.000222549 -*RES -1 *2469:pad_gpio_dm[1] *1921:7 4.8088 -2 *1921:7 *1921:8 80.9375 -3 *1921:8 *2474:mprj_io_dm[67] 18.4693 -*END - -*D_NET *1922 0.015863 -*CONN -*I *2474:mprj_io_dm[68] I *D chip_io_alt -*I *2469:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[68] 0.00076281 -2 *2469:pad_gpio_dm[2] 0.000104325 -3 *1922:33 0.00114675 -4 *1922:25 0.00134441 -5 *1922:8 0.00277408 -6 *1922:7 0.00191793 -7 *2474:mprj_io_dm[68] *2474:mprj_io_holdover[22] 6.8952e-05 -8 *2474:mprj_io_dm[68] *1953:17 3.8034e-05 -9 *2474:mprj_io_dm[68] *1980:16 0.000122025 -10 *1922:7 *1953:7 6.86894e-05 -11 *1922:8 *2007:9 0.000656029 -12 *1922:8 *2142:8 0 -13 *1922:25 *2474:mprj_io_slow_sel[22] 0.00019711 -14 *1922:25 *2142:14 0.00047597 -15 *1922:25 *2299:21 0.000317812 -16 *1922:33 *2474:mprj_io_inp_dis[22] 0 -17 *1922:33 *1953:17 4.20506e-05 -18 *1922:33 *2115:8 0.000908573 -19 *1922:33 *2169:16 7.77309e-06 -20 *2469:mgmt_gpio_out *1922:8 0.000223181 -21 *2474:mprj_io_analog_pol[22] *1922:33 6.50727e-05 -22 *2474:mprj_io_analog_sel[22] *2474:mprj_io_dm[68] 0.0011915 -23 *2474:mprj_io_dm[66] *1922:33 3.16065e-06 -24 *2474:mprj_io_dm[67] *1922:25 0.000196126 -25 *476:22 *2474:mprj_io_dm[68] 0.000223271 -26 *478:10 *1922:33 0.000130189 -27 *496:17 *1922:8 0.000467083 -28 *524:16 *2474:mprj_io_dm[68] 0.00145196 -29 *1559:37 *1922:8 5.39635e-06 -30 *1791:12 *1922:8 0 -31 *1791:19 *1922:25 0 -32 *1818:16 *1922:33 0.000884026 -33 *1845:12 *1922:8 0 -34 *1921:7 *1922:7 6.86894e-05 -*RES -1 *2469:pad_gpio_dm[2] *1922:7 3.81442 -2 *1922:7 *1922:8 59.3444 -3 *1922:8 *1922:25 46.7511 -4 *1922:25 *1922:33 33.365 -5 *1922:33 *2474:mprj_io_dm[68] 46.0554 -*END - -*D_NET *1923 0.0156294 -*CONN -*I *2474:mprj_io_dm[69] I *D chip_io_alt -*I *2470:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[69] 0.000347835 -2 *2470:pad_gpio_dm[0] 0.00050832 -3 *1923:8 0.0010825 -4 *1923:7 0.00124298 -5 *2474:mprj_io_dm[69] *2300:18 0.000126443 -6 *2474:mprj_io_dm[69] *2318:9 0.000417632 -7 *1923:7 *1925:7 8.66526e-05 -8 *1923:8 *2062:8 0.00539719 -9 *2474:mprj_io_analog_en[23] *2474:mprj_io_dm[69] 0.000223427 -10 *477:26 *1923:8 0.00066067 -11 *513:14 *1923:8 0.00539719 -12 *1819:7 *1923:7 0 -13 *1846:7 *1923:7 0.000138555 -*RES -1 *2470:pad_gpio_dm[0] *1923:7 4.88529 -2 *1923:7 *1923:8 86.7511 -3 *1923:8 *2474:mprj_io_dm[69] 22.7134 -*END - -*D_NET *1924 0.0181271 -*CONN -*I *2474:mprj_io_dm[6] I *D chip_io_alt -*I *2455:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[6] 0.000562889 -2 *2455:pad_gpio_dm[0] 0.00081509 -3 *1924:14 0.00175916 -4 *1924:13 0.00201136 -5 *1924:13 *1935:13 3.84497e-05 -6 *1924:13 *1985:13 0 -7 *1924:14 *1935:14 0.0028526 -8 *1924:14 *1935:23 9.71323e-06 -9 *1924:14 *1935:24 0 -10 *1924:14 *1937:14 0.00500591 -11 *1924:14 *2012:11 0.00379191 -12 *1924:14 *2066:14 0 -13 *2474:mprj_io_analog_pol[2] *2474:mprj_io_dm[6] 0 -14 *104:40 *1924:13 0 -15 *471:40 *2474:mprj_io_dm[6] 0.00019711 -16 *485:50 *1924:14 0.000892143 -17 *1850:13 *1924:13 3.84497e-05 -18 *1850:14 *1924:14 0.000152316 -*RES -1 *2455:pad_gpio_dm[0] *1924:13 29.2131 -2 *1924:13 *1924:14 106.891 -3 *1924:14 *2474:mprj_io_dm[6] 22.9061 -*END - -*D_NET *1925 0.00899989 -*CONN -*I *2474:mprj_io_dm[70] I *D chip_io_alt -*I *2470:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[70] 0.000159542 -2 *2470:pad_gpio_dm[1] 0.000296138 -3 *1925:8 0.00258179 -4 *1925:7 0.00271838 -5 *2474:mprj_io_dm[70] *2300:18 8.6956e-05 -6 *1925:7 *1926:12 1.58718e-05 -7 *1925:7 *1954:10 1.60578e-06 -8 *1925:8 *2474:mprj_io_out[23] 0.000261286 -9 *1925:8 *2474:mprj_io_slow_sel[23] 0.00216912 -10 *1925:8 *2474:mprj_io_vtrip_sel[23] 0.000390434 -11 *1925:8 *2008:11 0 -12 *1925:8 *2062:8 0 -13 *1925:8 *2300:18 0.000232116 -14 *1846:8 *2474:mprj_io_dm[70] 0 -15 *1846:8 *1925:8 0 -16 *1923:7 *1925:7 8.66526e-05 -*RES -1 *2470:pad_gpio_dm[1] *1925:7 4.12039 -2 *1925:7 *1925:8 81.5604 -3 *1925:8 *2474:mprj_io_dm[70] 5.19065 -*END - -*D_NET *1926 0.0185865 -*CONN -*I *2474:mprj_io_dm[71] I *D chip_io_alt -*I *2470:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[71] 0.000352335 -2 *2470:pad_gpio_dm[2] 0.000873857 -3 *1926:16 0.0013783 -4 *1926:15 0.0015974 -5 *1926:12 0.00144529 -6 *2474:mprj_io_dm[71] *2474:mprj_io_holdover[23] 0.000555807 -7 *2474:mprj_io_dm[71] *2318:10 0 -8 *1926:12 *1954:10 0.00205058 -9 *1926:16 *2300:21 0.000315878 -10 *2474:mprj_io_analog_sel[23] *2474:mprj_io_dm[71] 0 -11 *494:20 *1926:16 0.000737797 -12 *495:44 *1926:12 0.000615079 -13 *513:14 *1926:16 0.000873795 -14 *1792:8 *1926:16 0.00366586 -15 *1819:8 *1926:16 0.00410865 -16 *1925:7 *1926:12 1.58718e-05 -*RES -1 *2470:pad_gpio_dm[2] *1926:12 40.9763 -2 *1926:12 *1926:15 17.4247 -3 *1926:15 *1926:16 87.7892 -4 *1926:16 *2474:mprj_io_dm[71] 20.4579 -*END - -*D_NET *1927 0.0186285 -*CONN -*I *2474:mprj_io_dm[72] I *D chip_io_alt -*I *2446:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[72] 6.87872e-05 -2 *2446:pad_gpio_dm[0] 0.000882926 -3 *1927:26 2.68684e-05 -4 *1927:15 0.00135082 -5 *1927:14 0.00128203 -6 *1927:12 0.00377319 -7 *1927:10 0.00443223 -8 *1927:7 0.0015151 -9 *1927:15 *2474:mprj_io_dm[73] 0.00019711 -10 *1927:15 *2474:mprj_io_slow_sel[24] 0.000223156 -11 *1927:15 *2009:10 0 -12 *1927:15 *2009:11 0 -13 *1927:15 *2301:10 0.00019711 -14 *1927:15 *2301:11 0.00281143 -15 *1927:15 *2319:10 0.00019711 -16 *2474:mprj_io_analog_en[24] *1927:15 8.1645e-05 -17 *2474:mprj_io_analog_pol[24] *2474:mprj_io_dm[72] 4.81588e-05 -18 *2474:mprj_io_analog_pol[24] *1927:15 0.0010243 -19 *477:26 *1927:15 0.000140228 -20 *486:44 *1927:15 0.000376332 -21 *1793:14 *1927:15 0 -*RES -1 *2446:pad_gpio_dm[0] *1927:7 31.9168 -2 *1927:7 *1927:10 34.1322 -3 *1927:10 *1927:12 105.266 -4 *1927:12 *1927:14 4.5 -5 *1927:14 *1927:15 65.158 -6 *1927:15 *2474:mprj_io_dm[72] 2.4793 -7 *2446:pad_gpio_dm[0] *1927:26 0.0631875 -*END - -*D_NET *1928 0.0140516 -*CONN -*I *2474:mprj_io_dm[73] I *D chip_io_alt -*I *2446:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[73] 0.000356304 -2 *2446:pad_gpio_dm[1] 0.000441046 -3 *1928:8 0.00108068 -4 *1928:7 0.00116542 -5 *2474:mprj_io_dm[73] *2319:10 0 -6 *1928:7 *1929:7 0.000213351 -7 *1928:8 *1955:14 0.0021552 -8 *1928:8 *2090:8 0.00504306 -9 *2446:serial_load_out *1928:8 0.000555017 -10 *2474:mprj_io_analog_pol[24] *2474:mprj_io_dm[73] 0 -11 *514:14 *1928:8 0.0028444 -12 *1793:14 *1928:8 0 -13 *1847:7 *1928:7 0 -14 *1927:15 *2474:mprj_io_dm[73] 0.00019711 -*RES -1 *2446:pad_gpio_dm[1] *1928:7 4.8088 -2 *1928:7 *1928:8 81.3528 -3 *1928:8 *2474:mprj_io_dm[73] 18.4693 -*END - -*D_NET *1929 0.0197507 -*CONN -*I *2474:mprj_io_dm[74] I *D chip_io_alt -*I *2446:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[74] 0.000458863 -2 *2446:pad_gpio_dm[2] 0.000731532 -3 *1929:20 0.00114864 -4 *1929:19 0.00140298 -5 *1929:8 0.00131691 -6 *1929:7 0.00133523 -7 *2474:mprj_io_dm[74] *2474:mprj_io_holdover[24] 5.6259e-05 -8 *1929:7 *1955:7 0.000133659 -9 *1929:7 *1982:7 0 -10 *1929:8 *1982:8 0.00352518 -11 *1929:19 *2474:mprj_io_slow_sel[24] 5.04829e-06 -12 *1929:20 *2063:8 0.00191662 -13 *1929:20 *2090:8 0 -14 *2446:serial_load_out *1929:20 0.000237742 -15 *474:54 *1929:19 0.000149105 -16 *476:22 *1929:8 0.000313476 -17 *494:20 *1929:20 0.000182793 -18 *514:8 *1929:20 0.00307228 -19 *1847:7 *1929:7 0 -20 *1847:10 *1929:8 0.00300408 -21 *1847:14 *1929:8 2.82741e-05 -22 *1847:14 *1929:19 4.61962e-05 -23 *1847:16 *1929:19 0.00047253 -24 *1928:7 *1929:7 0.000213351 -*RES -1 *2446:pad_gpio_dm[2] *1929:7 5.49721 -2 *1929:7 *1929:8 56.8529 -3 *1929:8 *1929:19 40.2494 -4 *1929:19 *1929:20 49.586 -5 *1929:20 *2474:mprj_io_dm[74] 20.5512 -*END - -*D_NET *1930 0.0136294 -*CONN -*I *2474:mprj_io_dm[75] I *D chip_io_alt -*I *2447:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[75] 0.000309724 -2 *2447:pad_gpio_dm[0] 0.00108372 -3 *1930:12 0.00100311 -4 *1930:10 0.0017771 -5 *1930:10 *1931:7 0.000163911 -6 *1930:10 *1931:8 0 -7 *1930:10 *1932:7 0 -8 *1930:10 *2118:8 0.000710539 -9 *1930:12 *1931:8 0 -10 *2448:serial_load *1930:10 0.000197477 -11 *2448:serial_load *1930:12 0.000555176 -12 *2474:mprj_io_analog_pol[25] *2474:mprj_io_dm[75] 0.000118972 -13 *515:8 *1930:12 0 -14 *1794:16 *1930:10 7.8756e-07 -15 *1794:16 *1930:12 1.7607e-05 -16 *1821:16 *1930:12 0.00356168 -17 *1848:16 *1930:12 0.00412961 -*RES -1 *2447:pad_gpio_dm[0] *1930:10 29.7207 -2 *1930:10 *1930:12 66.819 -3 *1930:12 *2474:mprj_io_dm[75] 17.2236 -*END - -*D_NET *1931 0.00883908 -*CONN -*I *2474:mprj_io_dm[76] I *D chip_io_alt -*I *2447:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[76] 0.000595594 -2 *2447:pad_gpio_dm[1] 0.000247746 -3 *1931:8 0.00285106 -4 *1931:7 0.00250321 -5 *2474:mprj_io_dm[76] *2474:mprj_io_slow_sel[25] 0.00019711 -6 *1931:7 *1932:7 0.000163911 -7 *1931:8 *2118:8 0 -8 *1931:8 *2172:8 0 -9 *2448:serial_load *2474:mprj_io_dm[76] 0.000190544 -10 *2448:serial_load *1931:8 0.000556537 -11 *515:8 *2474:mprj_io_dm[76] 0.00136946 -12 *515:8 *1931:8 0 -13 *515:12 *1931:8 0 -14 *1821:16 *1931:8 0 -15 *1930:10 *1931:7 0.000163911 -16 *1930:10 *1931:8 0 -17 *1930:12 *1931:8 0 -*RES -1 *2447:pad_gpio_dm[1] *1931:7 4.42635 -2 *1931:7 *1931:8 62.2512 -3 *1931:8 *2474:mprj_io_dm[76] 34.5608 -*END - -*D_NET *1932 0.0161829 -*CONN -*I *2474:mprj_io_dm[77] I *D chip_io_alt -*I *2447:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[77] 0.000457476 -2 *2447:pad_gpio_dm[2] 0.000549435 -3 *1932:8 0.00199689 -4 *1932:7 0.00208885 -5 *2474:mprj_io_dm[77] *2474:mprj_io_holdover[25] 0 -6 *2474:mprj_io_dm[77] *2474:mprj_io_out[25] 0.000351956 -7 *1932:7 *1956:11 0.000314753 -8 *1932:8 *1956:14 0.00555134 -9 *1932:8 *2145:8 0.00100072 -10 *102:44 *1932:8 0.00370754 -11 *1930:10 *1932:7 0 -12 *1931:7 *1932:7 0.000163911 -*RES -1 *2447:pad_gpio_dm[2] *1932:7 5.49721 -2 *1932:7 *1932:8 122.048 -3 *1932:8 *2474:mprj_io_dm[77] 22.6302 -*END - -*D_NET *1933 0.0127151 -*CONN -*I *2474:mprj_io_dm[78] I *D chip_io_alt -*I *2448:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[78] 0.000307373 -2 *2448:pad_gpio_dm[0] 0.00024976 -3 *1933:8 0.00146456 -4 *1933:7 0.00140695 -5 *1933:7 *1934:7 0.000206243 -6 *1933:8 *1934:8 0 -7 *1933:8 *2065:16 0.0049472 -8 *1933:8 *2146:21 0.00167049 -9 *2474:mprj_io_analog_pol[26] *2474:mprj_io_dm[78] 0.000152048 -10 *2474:mprj_io_analog_pol[26] *1933:8 0 -11 *1822:8 *1933:8 0.00174438 -12 *1849:7 *1933:7 0.000292127 -13 *1849:8 *1933:8 0.000274013 -*RES -1 *2448:pad_gpio_dm[0] *1933:7 4.88529 -2 *1933:7 *1933:8 91.3188 -3 *1933:8 *2474:mprj_io_dm[78] 18.3328 -*END - -*D_NET *1934 0.00712937 -*CONN -*I *2474:mprj_io_dm[79] I *D chip_io_alt -*I *2448:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[79] 0.000260813 -2 *2448:pad_gpio_dm[1] 0.000216748 -3 *1934:8 0.00321205 -4 *1934:7 0.00316799 -5 *1795:8 *2474:mprj_io_dm[79] 6.55232e-05 -6 *1795:8 *1934:8 0 -7 *1822:8 *1934:8 0 -8 *1933:7 *1934:7 0.000206243 -9 *1933:8 *1934:8 0 -*RES -1 *2448:pad_gpio_dm[1] *1934:7 4.42635 -2 *1934:7 *1934:8 81.3528 -3 *1934:8 *2474:mprj_io_dm[79] 16.2509 -*END - -*D_NET *1935 0.0185692 -*CONN -*I *2474:mprj_io_dm[7] I *D chip_io_alt -*I *2455:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[7] 0.000361343 -2 *2455:pad_gpio_dm[1] 0.000576536 -3 *1935:24 0.00146837 -4 *1935:23 0.00165075 -5 *1935:14 0.00107152 -6 *1935:13 0.00110434 -7 *1935:13 *2455:pad_gpio_in 1.75554e-05 -8 *1935:13 *1937:13 0.0012027 -9 *1935:13 *1958:13 5.94319e-06 -10 *1935:13 *1985:13 1.27831e-06 -11 *1935:14 *1937:14 0.000152316 -12 *1935:14 *2066:14 0 -13 *1935:23 *2474:mprj_io_vtrip_sel[2] 5.04829e-06 -14 *1935:23 *2012:11 1.2693e-05 -15 *1935:24 *1958:14 0.000918736 -16 *1935:24 *2012:11 0 -17 *1935:24 *2066:14 0.00108008 -18 *104:40 *1935:13 6.09999e-05 -19 *104:50 *1935:24 0.000691052 -20 *471:40 *2474:mprj_io_dm[7] 0.00019711 -21 *480:14 *1935:14 0.000393517 -22 *480:14 *1935:23 0.00113596 -23 *485:50 *1935:14 0.000459689 -24 *489:45 *1935:24 0 -25 *1823:19 *1935:14 0.00203363 -26 *1823:20 *1935:23 0.00106726 -27 *1924:13 *1935:13 3.84497e-05 -28 *1924:14 *1935:14 0.0028526 -29 *1924:14 *1935:23 9.71323e-06 -30 *1924:14 *1935:24 0 -*RES -1 *2455:pad_gpio_dm[1] *1935:13 27.5028 -2 *1935:13 *1935:14 51.0394 -3 *1935:14 *1935:23 35.3531 -4 *1935:23 *1935:24 54.569 -5 *1935:24 *2474:mprj_io_dm[7] 17.9147 -*END - -*D_NET *1936 0.0191221 -*CONN -*I *2474:mprj_io_dm[80] I *D chip_io_alt -*I *2448:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[80] 0.000532378 -2 *2448:pad_gpio_dm[2] 0.000461169 -3 *1936:16 0.00207946 -4 *1936:15 0.00293486 -5 *1936:12 0.00184895 -6 *2474:mprj_io_dm[80] *2474:mprj_io_holdover[26] 0 -7 *2474:mprj_io_dm[80] *2474:mprj_io_out[26] 0.000909311 -8 *2474:mprj_io_dm[80] *2474:mprj_io_vtrip_sel[26] 0.000121586 -9 *1936:12 *2146:10 0.00209916 -10 *1936:12 *2173:10 0.00210743 -11 *1936:15 *2146:15 0 -12 *1936:16 *2119:16 0.00448345 -13 *2474:mprj_io_analog_sel[26] *2474:mprj_io_dm[80] 0.00154439 -*RES -1 *2448:pad_gpio_dm[2] *1936:12 41.8068 -2 *1936:12 *1936:15 39.6088 -3 *1936:15 *1936:16 71.5944 -4 *1936:16 *2474:mprj_io_dm[80] 36.9314 -*END - -*D_NET *1937 0.0163621 -*CONN -*I *2474:mprj_io_dm[8] I *D chip_io_alt -*I *2455:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[8] 0.000557226 -2 *2455:pad_gpio_dm[2] 0.000383523 -3 *1937:14 0.00153217 -4 *1937:13 0.00135847 -5 *2474:mprj_io_dm[8] *2474:mprj_io_holdover[2] 1.67745e-05 -6 *1937:13 *1958:13 0.000858636 -7 *1937:14 *2066:14 0 -8 *104:40 *1937:13 4.2993e-05 -9 *467:48 *1937:14 0.000850726 -10 *471:40 *2474:mprj_io_dm[8] 0.00019711 -11 *1850:14 *1937:14 0.00420358 -12 *1924:14 *1937:14 0.00500591 -13 *1935:13 *1937:13 0.0012027 -14 *1935:14 *1937:14 0.000152316 -*RES -1 *2455:pad_gpio_dm[2] *1937:13 27.7022 -2 *1937:13 *1937:14 85.2977 -3 *1937:14 *2474:mprj_io_dm[8] 22.3515 -*END - -*D_NET *1938 0.0179777 -*CONN -*I *2474:mprj_io_dm[9] I *D chip_io_alt -*I *2456:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2474:mprj_io_dm[9] 0.000512478 -2 *2456:pad_gpio_dm[0] 0.000638006 -3 *1938:18 0.00112205 -4 *1938:16 0.000990358 -5 *1938:13 0.00101879 -6 *1938:13 *1959:15 0.000521541 -7 *1938:16 *2067:22 6.34651e-06 -8 *1938:16 *2175:14 0.00277594 -9 *1938:18 *2067:22 0.00339598 -10 *1938:18 *2148:26 0 -11 *1938:18 *2175:14 2.6506e-05 -12 *2474:mprj_io_analog_en[3] *2474:mprj_io_dm[9] 0.000118972 -13 *105:32 *1938:13 0.000145708 -14 *472:32 *1938:13 0 -15 *1824:13 *1938:13 0 -16 *1824:20 *1938:18 0.00358983 -17 *1851:15 *1938:13 1.67063e-05 -18 *1859:13 *1938:13 7.11756e-05 -19 *1859:16 *1938:16 0.00273444 -20 *1859:18 *1938:16 9.71323e-06 -21 *1859:18 *1938:18 0.000266553 -22 *1860:13 *1938:13 1.65872e-05 -*RES -1 *2456:pad_gpio_dm[0] *1938:13 27.1613 -2 *1938:13 *1938:16 45.1281 -3 *1938:16 *1938:18 63.0817 -4 *1938:18 *2474:mprj_io_dm[9] 21.6604 -*END - -*D_NET *1939 0.0111597 -*CONN -*I *2474:mprj_io_holdover[0] I *D chip_io_alt -*I *2444:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2474:mprj_io_holdover[0] 0.000478212 -2 *2444:pad_gpio_holdover 0.000208113 -3 *1939:10 0.00126155 -4 *1939:7 0.000991449 -5 *2474:mprj_io_holdover[0] *2474:mprj_io_ib_mode_sel[0] 0.000215112 -6 *2474:mprj_io_holdover[0] *2474:mprj_io_oeb[0] 0.00120376 -7 *2474:mprj_io_holdover[0] *2474:mprj_io_out[0] 0.0006713 -8 *2474:mprj_io_holdover[0] *2474:mprj_io_vtrip_sel[0] 0.000202472 -9 *2474:mprj_io_holdover[0] *2074:8 0 -10 *1939:7 *1966:13 3.84497e-05 -11 *1939:7 *2047:13 0 -12 *1939:10 *2474:mprj_io_oeb[0] 6.99044e-06 -13 *1939:10 *2074:8 0.00095923 -14 *1939:10 *2101:14 0 -15 *2474:mprj_io_dm[2] *2474:mprj_io_holdover[0] 0 -16 *1880:10 *1939:7 0.000149197 -17 *1880:10 *1939:10 0.00156229 -18 *1880:12 *2474:mprj_io_holdover[0] 0.00264709 -19 *1880:12 *1939:10 0.000564466 -*RES -1 *2444:pad_gpio_holdover *1939:7 4.19688 -2 *1939:7 *1939:10 47.412 -3 *1939:10 *2474:mprj_io_holdover[0] 43.7358 -*END - -*D_NET *1940 0.0745467 -*CONN -*I *2474:mprj_io_holdover[10] I *D chip_io_alt -*I *2451:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2474:mprj_io_holdover[10] 6.57896e-05 -2 *2451:pad_gpio_holdover 0.00201333 -3 *1940:18 0.00307069 -4 *1940:10 0.00501823 -5 *2474:mprj_io_holdover[10] *2474:mprj_io_out[10] 3.5534e-06 -6 *1940:10 *1965:8 4.73471e-05 -7 *1940:10 *1967:13 0.00064178 -8 *1940:10 *2073:52 0.000216974 -9 *1940:10 *2102:10 0.00887763 -10 *1940:10 *2156:17 0.00624268 -11 *1940:10 *2181:8 0.000327914 -12 *1940:18 *2474:mprj_io_out[10] 0.0184682 -13 *1940:18 *2474:mprj_io_vtrip_sel[10] 0.00604591 -14 *1940:18 *1994:11 0.000796897 -15 *1940:18 *1994:20 0.000393461 -16 *1940:18 *2073:52 0.000220926 -17 *1940:18 *2076:14 0.000163367 -18 *1940:18 *2102:10 0.00195299 -19 *1940:18 *2103:18 0.00200001 -20 *1940:18 *2129:14 0.00210671 -21 *1940:18 *2156:17 0.0137134 -22 *2474:mprj_io_dm[32] *2474:mprj_io_holdover[10] 0 -23 *2474:mprj_io_dm[32] *1940:18 0 -24 *2474:mprj_io_dm[28] *1940:10 0.000229279 -25 *1830:14 *1940:10 0.000994168 -26 *1878:14 *1940:10 0.000892259 -27 *1883:13 *1940:10 4.31122e-05 -*RES -1 *2451:pad_gpio_holdover *1940:10 21.0084 -2 *1940:10 *1940:18 49.9866 -3 *1940:18 *2474:mprj_io_holdover[10] 2.65029 -*END - -*D_NET *1941 0.071898 -*CONN -*I *2474:mprj_io_holdover[11] I *D chip_io_alt -*I *2452:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2474:mprj_io_holdover[11] 0.00076212 -2 *2452:pad_gpio_holdover 0.000827887 -3 *1941:8 0.00684389 -4 *1941:7 0.00690965 -5 *2474:mprj_io_holdover[11] *2474:mprj_io_out[11] 9.56772e-06 -6 *1941:7 *1968:13 2.72062e-05 -7 *1941:8 *2474:mprj_io_vtrip_sel[10] 0.000638173 -8 *1941:8 *1968:29 0.0219919 -9 *1941:8 *1969:13 0.00899269 -10 *1941:8 *2048:20 0.00060398 -11 *1941:8 *2075:8 0.014545 -12 *1941:8 *2076:29 0.000273717 -13 *1941:8 *2104:14 0.00138607 -14 *1941:8 *2157:18 0.000450023 -15 *1941:8 *2157:20 0.000630129 -16 *2474:mprj_io_dm[35] *2474:mprj_io_holdover[11] 8.36001e-05 -17 *501:14 *1941:8 0.0014791 -18 *502:18 *1941:8 0.000424884 -19 *1834:14 *1941:8 0.00021747 -20 *1884:14 *1941:8 0.00397172 -21 *1886:7 *1941:7 0.00020453 -22 *1886:8 *1941:8 0.000415645 -23 *1889:18 *2474:mprj_io_holdover[11] 0.000209054 -*RES -1 *2452:pad_gpio_holdover *1941:7 2.5433 -2 *1941:7 *1941:8 63.1462 -3 *1941:8 *2474:mprj_io_holdover[11] 8.61788 -*END - -*D_NET *1942 0.0523181 -*CONN -*I *2474:mprj_io_holdover[12] I *D chip_io_alt -*I *2453:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2474:mprj_io_holdover[12] 0.000144466 -2 *2453:pad_gpio_holdover 0.000760007 -3 *1942:40 0.00124948 -4 *1942:39 0.00132589 -5 *1942:34 0.00601519 -6 *1942:33 0.00670708 -7 *1942:26 0.00163504 -8 *1942:22 0.00159643 -9 *1942:14 0.00188408 -10 *1942:13 0.00100993 -11 *1942:11 0.000760007 -12 *2474:mprj_io_holdover[12] *2474:mprj_io_out[12] 0 -13 *1942:11 *1969:13 8.24797e-05 -14 *1942:11 *2050:13 0.000304291 -15 *1942:11 *2131:10 0 -16 *1942:11 *2359:13 0.000313481 -17 *1942:14 *2474:mprj_io_out[11] 0.000220988 -18 *1942:14 *2050:18 4.3116e-06 -19 *1942:22 *1969:13 0.000163237 -20 *1942:22 *1996:38 5.04829e-06 -21 *1942:22 *2049:27 6.08467e-05 -22 *1942:22 *2131:15 6.46773e-05 -23 *1942:26 *1968:29 0.000295104 -24 *1942:34 *2022:7 0 -25 *1942:40 *2474:mprj_io_inp_dis[12] 0 -26 *1942:40 *1969:17 0.000575174 -27 *1942:40 *2050:18 0.00120212 -28 *1942:40 *2324:13 0.00163428 -29 *2453:serial_data_in *1942:11 4.89469e-06 -30 *2453:serial_load *1942:11 0.00032161 -31 *2474:mprj_io_analog_sel[11] *1942:14 0.000538784 -32 *2474:mprj_io_dm[38] *2474:mprj_io_holdover[12] 0 -33 *2474:mprj_io_dm[38] *1942:40 0.000689779 -34 *102:60 *1942:11 0.00039558 -35 *103:15 *1942:11 5.35541e-05 -36 *502:13 *1942:11 4.88112e-06 -37 *502:18 *1942:22 0.00174278 -38 *502:18 *1942:26 0.000939007 -39 *502:22 *1942:26 1.07248e-05 -40 *502:22 *1942:33 0.000835872 -41 *1779:14 *1942:14 0 -42 *1779:20 *1942:22 9.71323e-06 -43 *1779:20 *1942:26 0.000570713 -44 *1806:18 *1942:14 0.0049269 -45 *1806:26 *1942:22 0.000158451 -46 *1807:20 *1942:40 0.00114814 -47 *1834:14 *1942:26 0.00106572 -48 *1834:14 *1942:33 0.00172233 -49 *1885:20 *1942:22 0.000826814 -50 *1889:7 *1942:11 7.68331e-05 -51 *1889:8 *1942:11 0.00213893 -52 *1889:8 *1942:14 0 -53 *1889:12 *1942:14 0.00342862 -54 *1889:18 *1942:34 0 -55 *1889:25 *1942:34 0.00232445 -56 *1889:25 *1942:39 0.00036939 -*RES -1 *2453:pad_gpio_holdover *1942:11 47.0706 -2 *1942:11 *1942:13 4.5 -3 *1942:13 *1942:14 79.4842 -4 *1942:14 *1942:22 49.8953 -5 *1942:22 *1942:26 45.1892 -6 *1942:26 *1942:33 49.9958 -7 *1942:33 *1942:34 178.314 -8 *1942:34 *1942:39 15.2694 -9 *1942:39 *1942:40 69.3105 -10 *1942:40 *2474:mprj_io_holdover[12] 4.01818 -*END - -*D_NET *1943 0.018437 -*CONN -*I *2474:mprj_io_holdover[13] I *D chip_io_alt -*I *2454:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2474:mprj_io_holdover[13] 0.00050888 -2 *2454:pad_gpio_holdover 2.68958e-05 -3 *1943:13 0.000701379 -4 *1943:8 0.000749 -5 *1943:7 0.000583396 -6 *2474:mprj_io_holdover[13] *2474:mprj_io_out[13] 0.00205535 -7 *2474:mprj_io_holdover[13] *1997:19 0.00247028 -8 *1943:8 *2078:5 0.00255514 -9 *1943:8 *2105:5 0.00359925 -10 *1943:13 *2105:10 0.00227622 -11 *2474:mprj_io_dm[41] *2474:mprj_io_holdover[13] 8.10016e-06 -12 *1835:13 *1943:13 1.65872e-05 -13 *1893:8 *1943:8 0.000510588 -14 *1893:13 *1943:13 0.00237598 -*RES -1 *2454:pad_gpio_holdover *1943:7 3.43197 -2 *1943:7 *1943:8 58.0987 -3 *1943:8 *1943:13 34.126 -4 *1943:13 *2474:mprj_io_holdover[13] 43.3572 -*END - -*D_NET *1944 0.0210705 -*CONN -*I *2474:mprj_io_holdover[14] I *D chip_io_alt -*I *2461:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2474:mprj_io_holdover[14] 0.00036066 -2 *2461:pad_gpio_holdover 0.000389738 -3 *1944:8 0.00152836 -4 *1944:7 0.00155744 -5 *2474:mprj_io_holdover[14] *2474:mprj_io_oeb[14] 0.000118972 -6 *2474:mprj_io_holdover[14] *2025:10 1.92336e-05 -7 *2474:mprj_io_holdover[14] *2106:21 0 -8 *2474:mprj_io_holdover[14] *2326:14 0.000776313 -9 *1944:7 *1971:7 0.000334486 -10 *1944:8 *1971:8 0.00805653 -11 *1896:7 *1944:7 0.000344082 -12 *1896:8 *1944:8 0.00758469 -*RES -1 *2461:pad_gpio_holdover *1944:7 5.34423 -2 *1944:7 *1944:8 129.107 -3 *1944:8 *2474:mprj_io_holdover[14] 21.1058 -*END - -*D_NET *1945 0.020881 -*CONN -*I *2474:mprj_io_holdover[15] I *D chip_io_alt -*I *2462:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2474:mprj_io_holdover[15] 9.83336e-05 -2 *2462:pad_gpio_holdover 0.00055349 -3 *1945:23 0.000802393 -4 *1945:16 0.0011612 -5 *1945:13 0.000558586 -6 *1945:8 0.00058313 -7 *1945:7 0.00103517 -8 *2474:mprj_io_holdover[15] *2474:mprj_io_out[15] 0 -9 *2474:mprj_io_holdover[15] *2107:14 0.000202472 -10 *1945:7 *2053:7 0 -11 *1945:13 *2080:13 5.04829e-06 -12 *1945:16 *1972:24 0.00236312 -13 *1945:16 *2080:14 1.72818e-05 -14 *1945:16 *2161:8 0.00254589 -15 *1945:23 *1972:24 1.41761e-05 -16 *1945:23 *1972:26 0.00173412 -17 *1945:23 *2080:14 0.00178621 -18 *2474:mprj_io_dm[47] *2474:mprj_io_holdover[15] 0 -19 *489:8 *1945:8 0.00357093 -20 *517:20 *1945:8 6.80434e-05 -21 *1899:7 *1945:7 0.0003554 -22 *1899:8 *1945:8 0.003426 -*RES -1 *2462:pad_gpio_holdover *1945:7 5.42072 -2 *1945:7 *1945:8 57.2682 -3 *1945:8 *1945:13 10.8326 -4 *1945:13 *1945:16 43.1984 -5 *1945:16 *1945:23 48.322 -6 *1945:23 *2474:mprj_io_holdover[15] 3.93268 -*END - -*D_NET *1946 0.0175228 -*CONN -*I *2474:mprj_io_holdover[16] I *D chip_io_alt -*I *2463:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2474:mprj_io_holdover[16] 0.000457123 -2 *2463:pad_gpio_holdover 0.000387705 -3 *1946:17 0.00126732 -4 *1946:8 0.00173704 -5 *1946:7 0.00131455 -6 *2474:mprj_io_holdover[16] *2474:mprj_io_out[16] 0 -7 *2474:mprj_io_holdover[16] *2027:9 0.000505268 -8 *1946:7 *1973:7 0.000311332 -9 *1946:8 *2135:8 0.00467566 -10 *1946:17 *2054:20 1.2693e-05 -11 *1946:17 *2108:16 0.00147144 -12 *2474:mprj_io_dm[50] *2474:mprj_io_holdover[16] 0.00106051 -13 *2474:mprj_io_dm[50] *1946:17 8.99726e-05 -14 *518:8 *1946:8 0.000313919 -15 *1784:8 *1946:8 0.000743997 -16 *1838:8 *1946:8 0.000287034 -17 *1838:14 *2474:mprj_io_holdover[16] 0 -18 *1838:14 *1946:8 0 -19 *1838:14 *1946:17 0 -20 *1900:7 *1946:7 0 -21 *1901:7 *1946:7 0 -22 *1903:12 *1946:7 2.44479e-06 -23 *1903:16 *1946:8 0.00287504 -24 *1903:16 *1946:17 9.71323e-06 -*RES -1 *2463:pad_gpio_holdover *1946:7 5.03827 -2 *1946:7 *1946:8 85.7129 -3 *1946:8 *1946:17 40.8879 -4 *1946:17 *2474:mprj_io_holdover[16] 23.2785 -*END - -*D_NET *1947 0.0193727 -*CONN -*I *2474:mprj_io_holdover[17] I *D chip_io_alt -*I *2464:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2474:mprj_io_holdover[17] 5.39416e-05 -2 *2464:pad_gpio_holdover 0.000567772 -3 *1947:23 0.000559455 -4 *1947:17 0.00161149 -5 *1947:13 0.0012607 -6 *1947:8 0.000719481 -7 *1947:7 0.00113253 -8 *2474:mprj_io_holdover[17] *1974:24 6.11344e-05 -9 *1947:7 *1974:7 0.000128271 -10 *1947:8 *2109:8 9.97028e-05 -11 *1947:13 *2294:14 0.000104572 -12 *1947:17 *2109:18 0.000591666 -13 *1947:23 *2474:mprj_io_out[17] 0.000130106 -14 *1947:23 *2163:14 0.00039759 -15 *1947:23 *2163:23 0.00110851 -16 *1947:23 *2312:10 0.0003295 -17 *106:14 *1947:8 0.00383441 -18 *491:25 *1947:13 1.61631e-05 -19 *491:28 *1947:23 0.00192077 -20 *1812:23 *1947:17 0.00112352 -21 *1905:7 *1947:7 0 -22 *1905:8 *1947:8 0.00353321 -23 *1906:7 *1947:7 8.81646e-05 -*RES -1 *2464:pad_gpio_holdover *1947:7 5.26774 -2 *1947:7 *1947:8 61.8359 -3 *1947:8 *1947:13 12.4964 -4 *1947:13 *1947:17 46.0947 -5 *1947:17 *1947:23 48.3875 -6 *1947:23 *2474:mprj_io_holdover[17] 6.17933 -*END - -*D_NET *1948 0.0417914 -*CONN -*I *2474:mprj_io_holdover[18] I *D chip_io_alt -*I *2465:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2474:mprj_io_holdover[18] 0.000621255 -2 *2465:pad_gpio_holdover 0.00194073 -3 *1948:22 0.00135421 -4 *1948:21 0.000732959 -5 *1948:19 0.000788359 -6 *1948:18 0.00121471 -7 *1948:15 0.00236708 -8 *2474:mprj_io_holdover[18] *2295:13 0.000125378 -9 *1948:15 *1975:12 0.00181004 -10 *1948:15 *1975:13 0.000897912 -11 *1948:19 *1975:19 0.0105905 -12 *1948:22 *2474:mprj_io_inp_dis[18] 0.000925994 -13 *1948:22 *2164:10 0.000524464 -14 *1948:22 *2295:13 0 -15 *2474:mprj_io_analog_sel[18] *2474:mprj_io_holdover[18] 0.000674897 -16 *2474:mprj_io_dm[56] *2474:mprj_io_holdover[18] 0 -17 *107:27 *1948:19 0.00993659 -18 *107:57 *2474:mprj_io_holdover[18] 0 -19 *107:57 *1948:22 0.00157442 -20 *107:58 *2474:mprj_io_holdover[18] 0.000253457 -21 *1786:18 *1948:22 0.00266595 -22 *1908:10 *1948:22 0.00277522 -23 *1909:10 *1948:22 0 -24 *1909:16 *1948:22 1.72799e-05 -*RES -1 *2465:pad_gpio_holdover *1948:15 44.114 -2 *1948:15 *1948:18 16.0904 -3 *1948:18 *1948:19 111.09 -4 *1948:19 *1948:21 4.5 -5 *1948:21 *1948:22 70.7639 -6 *1948:22 *2474:mprj_io_holdover[18] 34.0263 -*END - -*D_NET *1949 0.0194929 -*CONN -*I *2474:mprj_io_holdover[19] I *D chip_io_alt -*I *2466:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2474:mprj_io_holdover[19] 0.000449568 -2 *2466:pad_gpio_holdover 0.000401991 -3 *1949:17 0.00111751 -4 *1949:8 0.00149511 -5 *1949:7 0.00122916 -6 *2474:mprj_io_holdover[19] *2474:mprj_io_out[19] 0 -7 *2474:mprj_io_holdover[19] *2030:15 0.000435402 -8 *1949:7 *1976:7 0.000149185 -9 *1949:7 *2057:13 0 -10 *1949:8 *2057:13 0.000520871 -11 *1949:8 *2084:8 4.61488e-05 -12 *1949:8 *2138:10 1.2819e-05 -13 *1949:8 *2138:12 1.3813e-05 -14 *1949:8 *2165:14 0.00340576 -15 *1949:8 *2165:27 0.00065321 -16 *1949:17 *2084:8 0.000543776 -17 *1949:17 *2111:14 0.00168129 -18 *2474:mprj_io_analog_sel[19] *2474:mprj_io_holdover[19] 0.000674897 -19 *2474:mprj_io_dm[59] *2474:mprj_io_holdover[19] 4.35659e-05 -20 *474:42 *1949:8 0.000357167 -21 *475:16 *2474:mprj_io_holdover[19] 0 -22 *1814:8 *1949:8 0.000276812 -23 *1814:8 *1949:17 0.000588095 -24 *1912:7 *1949:7 0.000282418 -25 *1912:8 *1949:8 0.00385448 -26 *1912:14 *1949:8 0.00124608 -27 *1912:14 *1949:17 1.3813e-05 -*RES -1 *2466:pad_gpio_holdover *1949:7 5.03827 -2 *1949:7 *1949:8 84.8824 -3 *1949:8 *1949:17 44.8967 -4 *1949:17 *2474:mprj_io_holdover[19] 19.8588 -*END - -*D_NET *1950 0.0135376 -*CONN -*I *2474:mprj_io_holdover[1] I *D chip_io_alt -*I *2445:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2474:mprj_io_holdover[1] 0.000329486 -2 *2445:pad_gpio_holdover 0.000319206 -3 *1950:20 0.000855119 -4 *1950:17 0.000962702 -5 *1950:13 0.000756274 -6 *1950:13 *2445:pad_gpio_in 0.000710303 -7 *1950:13 *1977:7 3.84497e-05 -8 *1950:13 *2112:13 1.92172e-05 -9 *1950:17 *2004:11 0.00258632 -10 *1950:17 *2166:14 0.00258272 -11 *1950:20 *2004:11 0.00283747 -12 *2474:mprj_io_dm[5] *2474:mprj_io_holdover[1] 0.000104034 -13 *485:53 *1950:13 3.93117e-06 -14 *1815:19 *1950:17 5.04829e-06 -15 *1815:20 *1950:20 0.00138386 -16 *1913:13 *1950:13 3.84497e-05 -17 *1913:19 *1950:17 5.04829e-06 -*RES -1 *2445:pad_gpio_holdover *1950:13 21.4514 -2 *1950:13 *1950:17 47.4742 -3 *1950:17 *1950:20 49.9335 -4 *1950:20 *2474:mprj_io_holdover[1] 16.4308 -*END - -*D_NET *1951 0.0165626 -*CONN -*I *2474:mprj_io_holdover[20] I *D chip_io_alt -*I *2467:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2474:mprj_io_holdover[20] 0.00346868 -2 *2467:pad_gpio_holdover 0.00346868 -3 *2474:mprj_io_holdover[20] *2474:mprj_io_ib_mode_sel[20] 0.000304054 -4 *2474:mprj_io_holdover[20] *2474:mprj_io_vtrip_sel[20] 0.000144219 -5 *2474:mprj_io_holdover[20] *2167:19 0.00796673 -6 *2474:mprj_io_analog_sel[20] *2474:mprj_io_holdover[20] 0.000158424 -7 *2474:mprj_io_dm[62] *2474:mprj_io_holdover[20] 8.1662e-05 -8 *109:16 *2474:mprj_io_holdover[20] 0 -9 *1914:15 *2474:mprj_io_holdover[20] 0.000192761 -10 *1916:18 *2474:mprj_io_holdover[20] 0.000777418 -*RES -1 *2467:pad_gpio_holdover *2474:mprj_io_holdover[20] 42.2408 -*END - -*D_NET *1952 0.01978 -*CONN -*I *2474:mprj_io_holdover[21] I *D chip_io_alt -*I *2468:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2474:mprj_io_holdover[21] 0.000563067 -2 *2468:pad_gpio_holdover 0.000441727 -3 *1952:14 0.00169721 -4 *1952:8 0.00179556 -5 *1952:7 0.00110315 -6 *2474:mprj_io_holdover[21] *2474:mprj_io_oeb[21] 2.01595e-05 -7 *2474:mprj_io_holdover[21] *2474:mprj_io_out[21] 0.000258162 -8 *2474:mprj_io_holdover[21] *2087:13 9.09602e-06 -9 *2474:mprj_io_holdover[21] *2298:22 0.000199733 -10 *2474:mprj_io_holdover[21] *2316:13 0.000986711 -11 *1952:7 *1979:10 0.000332207 -12 *1952:8 *1979:10 0.00243375 -13 *1952:8 *1979:14 1.23804e-05 -14 *1952:14 *2087:8 0 -15 *1952:14 *2168:8 0 -16 *2474:mprj_io_analog_sel[21] *2474:mprj_io_holdover[21] 0.000171064 -17 *2474:mprj_io_dm[65] *2474:mprj_io_holdover[21] 0.000208984 -18 *477:14 *1952:8 0.00239469 -19 *494:20 *1952:8 0.000639653 -20 *523:8 *1952:8 0.0048553 -21 *1817:8 *1952:14 0.000102508 -22 *1917:14 *1952:14 0.00122262 -23 *1919:10 *1952:7 0.000332207 -*RES -1 *2468:pad_gpio_holdover *1952:7 5.34423 -2 *1952:7 *1952:8 78.0308 -3 *1952:8 *1952:14 46.1663 -4 *1952:14 *2474:mprj_io_holdover[21] 35.5335 -*END - -*D_NET *1953 0.0204426 -*CONN -*I *2474:mprj_io_holdover[22] I *D chip_io_alt -*I *2469:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2474:mprj_io_holdover[22] 0.000203923 -2 *2469:pad_gpio_holdover 0.000684724 -3 *1953:17 0.000882346 -4 *1953:8 0.0017259 -5 *1953:7 0.0017322 -6 *2474:mprj_io_holdover[22] *2474:mprj_io_out[22] 0.000509942 -7 *1953:7 *1980:7 0.000289454 -8 *1953:8 *1980:16 0.00274197 -9 *1953:17 *2061:16 0.000311015 -10 *1953:17 *2088:12 0.000513485 -11 *1953:17 *2169:16 0.00138822 -12 *2474:mprj_io_analog_sel[22] *2474:mprj_io_holdover[22] 6.30048e-06 -13 *2474:mprj_io_analog_sel[22] *1953:17 3.6583e-05 -14 *2474:mprj_io_dm[66] *1953:17 5.04829e-06 -15 *2474:mprj_io_dm[68] *2474:mprj_io_holdover[22] 6.8952e-05 -16 *2474:mprj_io_dm[68] *1953:17 3.8034e-05 -17 *111:12 *1953:8 0.00347587 -18 *111:16 *1953:8 1.3813e-05 -19 *476:22 *1953:8 0.000946932 -20 *494:20 *1953:17 0.000158897 -21 *524:16 *1953:8 0.00120872 -22 *1845:16 *1953:8 0.00338952 -23 *1920:7 *1953:7 0 -24 *1921:7 *1953:7 0 -25 *1922:7 *1953:7 6.86894e-05 -26 *1922:33 *1953:17 4.20506e-05 -*RES -1 *2469:pad_gpio_holdover *1953:7 5.42072 -2 *1953:7 *1953:8 100.039 -3 *1953:8 *1953:17 45.8605 -4 *1953:17 *2474:mprj_io_holdover[22] 13.9775 -*END - -*D_NET *1954 0.0158747 -*CONN -*I *2474:mprj_io_holdover[23] I *D chip_io_alt -*I *2470:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2474:mprj_io_holdover[23] 0.000403006 -2 *2470:pad_gpio_holdover 0.000835788 -3 *1954:16 0.00230793 -4 *1954:15 0.002245 -5 *1954:10 0.00117587 -6 *2474:mprj_io_holdover[23] *2318:10 0 -7 *1954:10 *2474:mprj_io_ib_mode_sel[23] 1.48814e-06 -8 *1954:10 *2008:11 0.000671123 -9 *1954:15 *2143:13 0.000181266 -10 *1954:16 *2062:8 0.00362966 -11 *2470:mgmt_gpio_out *1954:10 0.000461064 -12 *2474:mprj_io_analog_sel[23] *1954:16 0 -13 *2474:mprj_io_dm[71] *2474:mprj_io_holdover[23] 0.000555807 -14 *112:14 *1954:10 0.000166051 -15 *477:26 *1954:16 0.00070011 -16 *513:14 *2474:mprj_io_holdover[23] 0 -17 *513:14 *1954:16 0.000468185 -18 *1560:35 *1954:10 2.02035e-05 -19 *1846:8 *1954:16 0 -20 *1925:7 *1954:10 1.60578e-06 -21 *1926:12 *1954:10 0.00205058 -*RES -1 *2470:pad_gpio_holdover *1954:10 45.6118 -2 *1954:10 *1954:15 18.5971 -3 *1954:15 *1954:16 80.7299 -4 *1954:16 *2474:mprj_io_holdover[23] 22.0583 -*END - -*D_NET *1955 0.016648 -*CONN -*I *2474:mprj_io_holdover[24] I *D chip_io_alt -*I *2446:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2474:mprj_io_holdover[24] 0.000614091 -2 *2446:pad_gpio_holdover 0.000183525 -3 *1955:14 0.00190319 -4 *1955:13 0.00146004 -5 *1955:8 0.00112826 -6 *1955:7 0.00114084 -7 *2474:mprj_io_holdover[24] *2474:mprj_io_inp_dis[24] 0.000245826 -8 *2474:mprj_io_holdover[24] *2474:mprj_io_out[24] 0.00201554 -9 *1955:7 *1982:7 0.000133659 -10 *1955:8 *2301:11 0.00319213 -11 *1955:14 *1982:19 0 -12 *1955:14 *2090:8 0.000556128 -13 *2446:serial_load_out *1955:14 0.000435822 -14 *2474:mprj_io_analog_pol[24] *1955:14 0 -15 *2474:mprj_io_analog_sel[24] *2474:mprj_io_holdover[24] 0.000171064 -16 *2474:mprj_io_dm[74] *2474:mprj_io_holdover[24] 5.6259e-05 -17 *477:26 *1955:8 0.000229405 -18 *486:44 *2474:mprj_io_holdover[24] 0.000321121 -19 *514:13 *1955:13 5.04829e-06 -20 *514:14 *1955:8 0 -21 *1793:14 *1955:8 0.000567179 -22 *1793:14 *1955:14 0 -23 *1928:8 *1955:14 0.0021552 -24 *1929:7 *1955:7 0.000133659 -*RES -1 *2446:pad_gpio_holdover *1955:7 4.19688 -2 *1955:7 *1955:8 51.8699 -3 *1955:8 *1955:13 13.051 -4 *1955:13 *1955:14 53.7385 -5 *1955:14 *2474:mprj_io_holdover[24] 40.5165 -*END - -*D_NET *1956 0.0194028 -*CONN -*I *2474:mprj_io_holdover[25] I *D chip_io_alt -*I *2447:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2474:mprj_io_holdover[25] 0.000517571 -2 *2447:pad_gpio_holdover 0.000795655 -3 *1956:14 0.00146289 -4 *1956:13 0.000945319 -5 *1956:11 0.000795655 -6 *2474:mprj_io_holdover[25] *2474:mprj_io_out[25] 0.00019711 -7 *1956:11 *1983:7 0.000305156 -8 *1956:11 *1983:8 0.00223599 -9 *1956:11 *2118:21 5.04829e-06 -10 *1956:11 *2145:8 0.00223241 -11 *1956:14 *1983:8 8.00558e-05 -12 *1956:14 *2118:22 0.00148018 -13 *1956:14 *2145:8 0.00242582 -14 *2474:mprj_io_dm[77] *2474:mprj_io_holdover[25] 0 -15 *102:44 *1956:14 5.78792e-05 -16 *1932:7 *1956:11 0.000314753 -17 *1932:8 *1956:14 0.00555134 -*RES -1 *2447:pad_gpio_holdover *1956:11 47.136 -2 *1956:11 *1956:13 4.5 -3 *1956:13 *1956:14 91.5265 -4 *1956:14 *2474:mprj_io_holdover[25] 22.9061 -*END - -*D_NET *1957 0.0205421 -*CONN -*I *2474:mprj_io_holdover[26] I *D chip_io_alt -*I *2448:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2474:mprj_io_holdover[26] 0.000424114 -2 *2448:pad_gpio_holdover 0.000306531 -3 *1957:16 0.00186475 -4 *1957:15 0.00261547 -5 *1957:12 0.00148137 -6 *2474:mprj_io_holdover[26] *2474:mprj_io_out[26] 0.000215165 -7 *1957:12 *2448:pad_gpio_in 0.000874995 -8 *1957:12 *1984:21 0.000883265 -9 *1957:15 *1984:21 0.000202763 -10 *1957:15 *2119:15 0 -11 *1957:16 *2119:16 0.00585373 -12 *2474:mprj_io_dm[80] *2474:mprj_io_holdover[26] 0 -13 *1849:8 *1957:16 0.00581992 -*RES -1 *2448:pad_gpio_holdover *1957:12 22.2899 -2 *1957:12 *1957:15 35.172 -3 *1957:15 *1957:16 112.289 -4 *1957:16 *2474:mprj_io_holdover[26] 22.2166 -*END - -*D_NET *1958 0.0148403 -*CONN -*I *2474:mprj_io_holdover[2] I *D chip_io_alt -*I *2455:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2474:mprj_io_holdover[2] 0.000305473 -2 *2455:pad_gpio_holdover 0.000315514 -3 *1958:14 0.00103409 -4 *1958:13 0.00104413 -5 *1958:13 *2455:pad_gpio_in 0.000124349 -6 *1958:13 *1985:13 0.000934133 -7 *1958:14 *2066:14 1.28326e-05 -8 *1958:14 *2120:14 0.00481341 -9 *1958:14 *2174:14 0.0041934 -10 *2474:mprj_io_dm[8] *2474:mprj_io_holdover[2] 1.67745e-05 -11 *104:40 *1958:13 8.35615e-06 -12 *104:50 *1958:14 0.000161551 -13 *471:40 *2474:mprj_io_holdover[2] 9.29258e-05 -14 *1935:13 *1958:13 5.94319e-06 -15 *1935:24 *1958:14 0.000918736 -16 *1937:13 *1958:13 0.000858636 -*RES -1 *2455:pad_gpio_holdover *1958:13 26.0957 -2 *1958:13 *1958:14 82.8062 -3 *1958:14 *2474:mprj_io_holdover[2] 15.6991 -*END - -*D_NET *1959 0.0147563 -*CONN -*I *2474:mprj_io_holdover[3] I *D chip_io_alt -*I *2456:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2474:mprj_io_holdover[3] 0.000497567 -2 *2456:pad_gpio_holdover 0.000497056 -3 *1959:18 0.000946242 -4 *1959:15 0.00094573 -5 *2474:mprj_io_holdover[3] *2013:12 1.31872e-05 -6 *2474:mprj_io_holdover[3] *2013:13 0.00172394 -7 *2474:mprj_io_holdover[3] *2040:19 0.000151159 -8 *1959:15 *1986:13 0.000586594 -9 *1959:15 *2067:15 0 -10 *1959:18 *2013:13 2.93592e-05 -11 *1959:18 *2121:14 8.92568e-06 -12 *2455:resetn_out *2474:mprj_io_holdover[3] 0.000240961 -13 *2455:resetn_out *1959:18 0.000391491 -14 *472:38 *2474:mprj_io_holdover[3] 0.00150762 -15 *1851:15 *1959:15 3.58044e-05 -16 *1851:16 *1959:18 0.00290903 -17 *1851:22 *2474:mprj_io_holdover[3] 0.000102664 -18 *1851:22 *1959:18 4.61962e-05 -19 *1860:13 *1959:15 0.000704799 -20 *1860:16 *1959:18 0.00286995 -21 *1860:23 *1959:18 2.6506e-05 -22 *1938:13 *1959:15 0.000521541 -*RES -1 *2456:pad_gpio_holdover *1959:15 30.6734 -2 *1959:15 *1959:18 48.8654 -3 *1959:18 *2474:mprj_io_holdover[3] 43.0663 -*END - -*D_NET *1960 0.0119896 -*CONN -*I *2474:mprj_io_holdover[4] I *D chip_io_alt -*I *2457:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2474:mprj_io_holdover[4] 4.25268e-05 -2 *2457:pad_gpio_holdover 0.000330698 -3 *1960:14 0.00109417 -4 *1960:13 0.00138234 -5 *2474:mprj_io_holdover[4] *2474:mprj_io_out[4] 0 -6 *1960:13 *1987:13 3.84497e-05 -7 *1960:13 *2014:31 0 -8 *1960:13 *2068:15 2.64207e-05 -9 *1960:14 *2474:mprj_io_out[4] 0.0006713 -10 *1960:14 *2474:mprj_io_vtrip_sel[4] 0.00045785 -11 *1960:14 *2014:30 0.00165016 -12 *1960:14 *2095:8 0.0039855 -13 *2474:mprj_io_dm[14] *2474:mprj_io_holdover[4] 0 -14 *108:47 *1960:14 0.000539504 -15 *495:64 *1960:14 0.000156062 -16 *1863:13 *1960:13 3.84497e-05 -17 *1863:14 *1960:14 0.00157622 -*RES -1 *2457:pad_gpio_holdover *1960:13 19.7848 -2 *1960:13 *1960:14 86.7511 -3 *1960:14 *2474:mprj_io_holdover[4] 1.20912 -*END - -*D_NET *1961 0.0133351 -*CONN -*I *2474:mprj_io_holdover[5] I *D chip_io_alt -*I *2458:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2474:mprj_io_holdover[5] 4.25268e-05 -2 *2458:pad_gpio_holdover 0.0003361 -3 *1961:14 0.00100016 -4 *1961:13 0.00129373 -5 *1961:13 *2458:pad_gpio_in 1.2366e-05 -6 *1961:13 *1988:7 3.84497e-05 -7 *1961:13 *2069:13 0.000124349 -8 *1961:14 *2474:mprj_io_out[5] 6.55232e-05 -9 *1961:14 *2474:mprj_io_vtrip_sel[5] 3.87462e-05 -10 *1961:14 *1988:8 0.00406869 -11 *1961:14 *2042:11 3.31736e-05 -12 *1961:14 *2096:14 0.00370478 -13 *2474:mprj_io_analog_sel[5] *1961:14 0.00137549 -14 *2474:mprj_io_dm[17] *2474:mprj_io_holdover[5] 0 -15 *108:49 *1961:14 0.000597423 -16 *495:64 *1961:14 1.2819e-05 -17 *1853:17 *1961:14 9.2346e-06 -18 *1865:13 *1961:13 5.94319e-06 -19 *1866:13 *1961:13 0.000575575 -*RES -1 *2458:pad_gpio_holdover *1961:13 22.6916 -2 *1961:13 *1961:14 84.0214 -3 *1961:14 *2474:mprj_io_holdover[5] 1.20912 -*END - -*D_NET *1962 0.014549 -*CONN -*I *2474:mprj_io_holdover[6] I *D chip_io_alt -*I *2459:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2474:mprj_io_holdover[6] 0.000195827 -2 *2459:pad_gpio_holdover 0.000460382 -3 *1962:16 0.000844236 -4 *1962:15 0.00110879 -5 *1962:15 *1989:13 0.000599247 -6 *2459:serial_clock *1962:16 0.000758727 -7 *2474:mprj_io_dm[20] *2474:mprj_io_holdover[6] 0 -8 *495:64 *1962:16 0.00471551 -9 *507:18 *2474:mprj_io_holdover[6] 0.000171064 -10 *507:18 *1962:16 5.66868e-06 -11 *1854:13 *1962:15 0.000470666 -12 *1854:14 *1962:16 0.00468588 -13 *1867:13 *1962:15 0.000472707 -14 *1870:13 *1962:15 6.02815e-05 -*RES -1 *2459:pad_gpio_holdover *1962:15 29.0096 -2 *1962:15 *1962:16 75.7469 -3 *1962:16 *2474:mprj_io_holdover[6] 14.1718 -*END - -*D_NET *1963 0.0458167 -*CONN -*I *2474:mprj_io_holdover[7] I *D chip_io_alt -*I *2460:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2474:mprj_io_holdover[7] 0.000361012 -2 *2460:pad_gpio_holdover 0.000142333 -3 *1963:20 0.000894646 -4 *1963:16 0.00145494 -5 *1963:13 0.00139495 -6 *1963:8 0.0103403 -7 *1963:7 0.010009 -8 *2474:mprj_io_holdover[7] *2153:16 0.000457865 -9 *2474:mprj_io_holdover[7] *2311:14 0 -10 *1963:7 *1990:7 9.77607e-05 -11 *1963:8 *2449:user_gpio_oeb 0 -12 *1963:8 *2449:user_gpio_out 0 -13 *1963:8 *1990:8 0.00221107 -14 *1963:8 *2017:10 0.000189832 -15 *1963:8 *2018:33 0.00028839 -16 *1963:8 *2071:8 0 -17 *1963:8 *2098:13 0.00015794 -18 *1963:8 *2125:8 5.65317e-05 -19 *1963:8 *2152:10 0.00199571 -20 *1963:8 *2153:16 0 -21 *1963:8 *2179:13 0.00139699 -22 *1963:13 *2125:19 5.481e-05 -23 *1963:16 *2099:16 0.000397418 -24 *2474:mprj_io_dm[22] *1963:20 5.04829e-06 -25 *2474:mprj_io_dm[23] *2474:mprj_io_holdover[7] 0.000228269 -26 *477:44 *1963:8 0.000965381 -27 *477:46 *1963:8 0.00468359 -28 *478:49 *1963:8 0 -29 *495:64 *1963:8 0 -30 *1801:20 *1963:16 0.00260008 -31 *1802:14 *1963:20 0.0022711 -32 *1856:19 *1963:20 0.000341996 -33 *1871:14 *1963:8 0 -34 *1873:13 *1963:7 6.23168e-05 -35 *1873:13 *1963:8 9.55228e-05 -36 *1873:19 *1963:13 0.000114639 -37 *1873:20 *1963:16 0 -38 *1874:21 *1963:20 5.04829e-06 -39 *1875:14 *1963:20 0.0022675 -40 *1876:13 *1963:8 0.000274653 -*RES -1 *2460:pad_gpio_holdover *1963:7 3.9674 -2 *1963:7 *1963:8 369.538 -3 *1963:8 *1963:13 19.7063 -4 *1963:13 *1963:16 46.1962 -5 *1963:16 *1963:20 45.8188 -6 *1963:20 *2474:mprj_io_holdover[7] 21.6908 -*END - -*D_NET *1964 0.0760231 -*CONN -*I *2474:mprj_io_holdover[8] I *D chip_io_alt -*I *2449:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2474:mprj_io_holdover[8] 0.00033709 -2 *2449:pad_gpio_holdover 7.38657e-05 -3 *1964:16 0.00345475 -4 *1964:15 0.00401672 -5 *1964:10 0.00280512 -6 *1964:9 0.00197992 -7 *2474:mprj_io_holdover[8] *2474:mprj_io_out[8] 1.19195e-05 -8 *2474:mprj_io_holdover[8] *2474:mprj_io_vtrip_sel[8] 5.3432e-06 -9 *1964:10 *1991:10 0.00209893 -10 *1964:10 *2018:37 9.87197e-06 -11 *1964:10 *2072:15 7.12702e-05 -12 *1964:10 *2099:15 0.00109997 -13 *1964:10 *2126:15 0.000939016 -14 *1964:10 *2354:12 0.000364561 -15 *1964:15 *1991:15 0.00288071 -16 *1964:15 *2018:36 0.000580103 -17 *1964:16 *2071:18 0.000467019 -18 *1964:16 *2073:43 0.000148188 -19 *1964:16 *2153:30 0.000712721 -20 *1964:16 *2179:14 0.000573166 -21 *1964:16 *2180:14 0.0256478 -22 *1964:16 *2181:8 0.000519516 -23 *1802:14 *1964:16 0.000164008 -24 *1856:19 *1964:16 0.0233698 -25 *1872:14 *1964:16 0.000173309 -26 *1875:14 *1964:16 0.00194598 -27 *1878:14 *1964:16 0.00129469 -28 *1879:25 *1964:16 0.000277757 -*RES -1 *2449:pad_gpio_holdover *1964:9 3.65147 -2 *1964:9 *1964:10 89.8655 -3 *1964:10 *1964:15 7.58157 -4 *1964:15 *1964:16 51.1443 -5 *1964:16 *2474:mprj_io_holdover[8] 5.50161 -*END - -*D_NET *1965 0.0629088 -*CONN -*I *2474:mprj_io_holdover[9] I *D chip_io_alt -*I *2450:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2474:mprj_io_holdover[9] 0.000173116 -2 *2450:pad_gpio_holdover 0.000311762 -3 *1965:8 0.0131344 -4 *1965:7 0.013273 -5 *1965:7 *1992:7 0.000142487 -6 *1965:8 *1967:13 0.00520981 -7 *1965:8 *1967:20 0 -8 *1965:8 *2018:13 0.000920114 -9 *1965:8 *2019:11 0.00135541 -10 *1965:8 *2045:7 0.000134799 -11 *1965:8 *2073:44 0.00160563 -12 *1965:8 *2126:16 0.0131984 -13 *1965:8 *2153:24 0.000437916 -14 *1965:8 *2154:14 0.000736132 -15 *1965:8 *2156:17 0.00732577 -16 *1965:8 *2180:14 1.83572e-05 -17 *1965:8 *2181:8 0 -18 *1965:8 *2320:15 0.000173198 -19 *2450:serial_load *1965:8 0 -20 *2452:serial_data_in *1965:8 0 -21 *101:10 *1965:8 0.000309229 -22 *101:22 *2474:mprj_io_holdover[9] 0.00010487 -23 *1803:14 *1965:8 0.00142959 -24 *1803:27 *1965:8 0.000237656 -25 *1874:28 *1965:8 0.000742919 -26 *1879:7 *1965:7 9.71042e-05 -27 *1879:8 *1965:8 0.000628619 -28 *1879:19 *1965:8 0.000118828 -29 *1879:32 *1965:8 0.00104233 -30 *1940:10 *1965:8 4.73471e-05 -*RES -1 *2450:pad_gpio_holdover *1965:7 1.31946 -2 *1965:7 *1965:8 63.4891 -3 *1965:8 *2474:mprj_io_holdover[9] 5.73303 -*END - -*D_NET *1966 0.0104159 -*CONN -*I *2474:mprj_io_ib_mode_sel[0] I *D chip_io_alt -*I *2444:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_ib_mode_sel[0] 0.000202202 -2 *2444:pad_gpio_ib_mode_sel 0.000693847 -3 *1966:14 0.000757665 -4 *1966:13 0.00124931 -5 *2474:mprj_io_ib_mode_sel[0] *2474:mprj_io_oeb[0] 0 -6 *2474:mprj_io_ib_mode_sel[0] *2474:mprj_io_vtrip_sel[0] 0 -7 *2474:mprj_io_ib_mode_sel[0] *2155:23 0 -8 *1966:13 *2444:pad_gpio_in 3.84497e-05 -9 *1966:13 *2074:8 0 -10 *1966:14 *2101:14 4.55455e-05 -11 *2474:mprj_io_holdover[0] *2474:mprj_io_ib_mode_sel[0] 0.000215112 -12 *1831:19 *1966:13 0.000565216 -13 *1831:19 *1966:14 0.00106334 -14 *1831:20 *1966:14 0.00234978 -15 *1858:13 *1966:13 0.000119662 -16 *1869:13 *1966:13 0.000119662 -17 *1880:10 *1966:14 0.000497776 -18 *1880:12 *1966:14 0.00245989 -19 *1939:7 *1966:13 3.84497e-05 -*RES -1 *2444:pad_gpio_ib_mode_sel *1966:13 32.5211 -2 *1966:13 *1966:14 56.23 -3 *1966:14 *2474:mprj_io_ib_mode_sel[0] 14.9668 -*END - -*D_NET *1967 0.0478443 -*CONN -*I *2474:mprj_io_ib_mode_sel[10] I *D chip_io_alt -*I *2451:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_ib_mode_sel[10] 0.00285028 -2 *2451:pad_gpio_ib_mode_sel 0.00150982 -3 *1967:20 0.010306 -4 *1967:13 0.00896553 -5 *2474:mprj_io_ib_mode_sel[10] *2130:20 0 -6 *1967:13 *2019:11 0.000569924 -7 *1967:13 *2048:13 0 -8 *1967:13 *2102:10 0 -9 *1967:13 *2154:14 0.000602245 -10 *1967:13 *2156:17 0.00422128 -11 *1967:20 *2076:14 0 -12 *1967:20 *2156:17 0 -13 *2452:serial_data_in *1967:20 0.00483617 -14 *2474:mprj_io_analog_pol[10] *2474:mprj_io_ib_mode_sel[10] 0.00131349 -15 *101:10 *1967:20 0.000331297 -16 *1779:13 *2474:mprj_io_ib_mode_sel[10] 0.000424014 -17 *1779:13 *1967:20 0.000274287 -18 *1833:20 *2474:mprj_io_ib_mode_sel[10] 0.00332417 -19 *1833:20 *1967:20 0.0024642 -20 *1940:10 *1967:13 0.00064178 -21 *1965:8 *1967:13 0.00520981 -22 *1965:8 *1967:20 0 -*RES -1 *2451:pad_gpio_ib_mode_sel *1967:13 13.6456 -2 *1967:13 *1967:20 49.8821 -3 *1967:20 *2474:mprj_io_ib_mode_sel[10] 27.9705 -*END - -*D_NET *1968 0.0742888 -*CONN -*I *2474:mprj_io_ib_mode_sel[11] I *D chip_io_alt -*I *2452:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_ib_mode_sel[11] 3.4318e-05 -2 *2452:pad_gpio_ib_mode_sel 0.000298868 -3 *1968:29 0.00315062 -4 *1968:25 0.00405758 -5 *1968:14 0.00195868 -6 *1968:13 0.00131627 -7 *1968:13 *2452:pad_gpio_in 0.000639189 -8 *1968:14 *2474:mprj_io_vtrip_sel[10] 0.000199522 -9 *1968:14 *1994:11 0.00701036 -10 *1968:14 *2076:14 4.72992e-05 -11 *1968:14 *2103:18 0.000202954 -12 *1968:14 *2129:14 0.00711454 -13 *1968:14 *2156:17 0.000546645 -14 *1968:25 *2474:mprj_io_slow_sel[10] 5.04829e-06 -15 *1968:25 *2157:20 0.000111681 -16 *1968:29 *2474:mprj_io_vtrip_sel[11] 0 -17 *1968:29 *2076:29 0.023558 -18 *1968:29 *2104:14 0.000477845 -19 *101:22 *1968:13 0 -20 *487:13 *1968:13 0.000574696 -21 *1882:14 *1968:25 0.000591672 -22 *1884:14 *1968:25 0 -23 *1889:18 *2474:mprj_io_ib_mode_sel[11] 7.88241e-05 -24 *1941:7 *1968:13 2.72062e-05 -25 *1941:8 *1968:29 0.0219919 -26 *1942:26 *1968:29 0.000295104 -*RES -1 *2452:pad_gpio_ib_mode_sel *1968:13 23.3855 -2 *1968:13 *1968:14 114.781 -3 *1968:14 *1968:25 37.6406 -4 *1968:25 *1968:29 48.2607 -5 *1968:29 *2474:mprj_io_ib_mode_sel[11] 4.70004 -*END - -*D_NET *1969 0.0717128 -*CONN -*I *2474:mprj_io_ib_mode_sel[12] I *D chip_io_alt -*I *2453:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_ib_mode_sel[12] 0.00016888 -2 *2453:pad_gpio_ib_mode_sel 0.00432339 -3 *1969:17 0.00705231 -4 *1969:13 0.0112068 -5 *2474:mprj_io_ib_mode_sel[12] *2474:mprj_io_oeb[12] 0 -6 *2474:mprj_io_ib_mode_sel[12] *2474:mprj_io_vtrip_sel[12] 0 -7 *1969:13 *2050:13 0 -8 *1969:17 *1996:19 0.000407139 -9 *1969:17 *1996:32 0.000117573 -10 *1969:17 *2049:30 0.000241346 -11 *1969:17 *2076:29 0.000146522 -12 *1969:17 *2077:15 0.000100472 -13 *1969:17 *2157:20 0.000580672 -14 *1969:17 *2158:15 0.0236601 -15 *1969:17 *2324:13 0.00026521 -16 *2474:mprj_io_analog_pol[11] *1969:17 0.000159945 -17 *501:14 *1969:13 0.000500505 -18 *502:16 *1969:13 0.000585884 -19 *502:18 *1969:13 0.000710384 -20 *1779:20 *1969:13 0.000730668 -21 *1807:20 *1969:17 0.00194344 -22 *1834:14 *1969:13 0.00111891 -23 *1885:20 *1969:13 0.000736708 -24 *1886:8 *1969:17 0.00334896 -25 *1887:14 *1969:17 0.00169149 -26 *1888:14 *1969:17 0.00210186 -27 *1941:8 *1969:13 0.00899269 -28 *1942:11 *1969:13 8.24797e-05 -29 *1942:22 *1969:13 0.000163237 -30 *1942:40 *1969:17 0.000575174 -*RES -1 *2453:pad_gpio_ib_mode_sel *1969:13 22.2992 -2 *1969:13 *1969:17 48.3338 -3 *1969:17 *2474:mprj_io_ib_mode_sel[12] 8.01595 -*END - -*D_NET *1970 0.0134335 -*CONN -*I *2474:mprj_io_ib_mode_sel[13] I *D chip_io_alt -*I *2454:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_ib_mode_sel[13] 0.000555689 -2 *2454:pad_gpio_ib_mode_sel 0.00101604 -3 *1970:22 2.68684e-05 -4 *1970:10 0.000710125 -5 *1970:5 0.00114361 -6 *2474:mprj_io_ib_mode_sel[13] *2474:mprj_io_oeb[13] 0 -7 *2474:mprj_io_ib_mode_sel[13] *2474:mprj_io_out[13] 0.00114231 -8 *2474:mprj_io_ib_mode_sel[13] *2474:mprj_io_vtrip_sel[13] 0.000171064 -9 *2474:mprj_io_ib_mode_sel[13] *1997:19 0.000356752 -10 *2474:mprj_io_ib_mode_sel[13] *2024:7 0.00191674 -11 *1970:5 *2105:5 0.000375891 -12 *1970:10 *2078:10 0.00187072 -13 *1970:10 *2159:13 0.000105636 -14 *1537:23 *1970:10 0.00212559 -15 *1835:8 *1970:5 0.00191642 -*RES -1 *2454:pad_gpio_ib_mode_sel *1970:5 46.5184 -2 *1970:5 *1970:10 31.3529 -3 *1970:10 *2474:mprj_io_ib_mode_sel[13] 39.4001 -4 *2454:pad_gpio_ib_mode_sel *1970:22 0.0631875 -*END - -*D_NET *1971 0.0227969 -*CONN -*I *2474:mprj_io_ib_mode_sel[14] I *D chip_io_alt -*I *2461:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_ib_mode_sel[14] 0.000477343 -2 *2461:pad_gpio_ib_mode_sel 0.000389272 -3 *1971:8 0.00200072 -4 *1971:7 0.00191265 -5 *2474:mprj_io_ib_mode_sel[14] *2474:mprj_io_oeb[14] 5.6259e-05 -6 *1971:7 *2461:pad_gpio_in 0.000129968 -7 *2461:resetn *1971:7 9.37015e-05 -8 *516:8 *1971:8 0.00934598 -9 *1944:7 *1971:7 0.000334486 -10 *1944:8 *1971:8 0.00805653 -*RES -1 *2461:pad_gpio_ib_mode_sel *1971:7 5.26774 -2 *1971:7 *1971:8 149.869 -3 *1971:8 *2474:mprj_io_ib_mode_sel[14] 21.1058 -*END - -*D_NET *1972 0.0193371 -*CONN -*I *2474:mprj_io_ib_mode_sel[15] I *D chip_io_alt -*I *2462:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_ib_mode_sel[15] 0.000481425 -2 *2462:pad_gpio_ib_mode_sel 0.00107071 -3 *1972:26 0.00160469 -4 *1972:24 0.00199432 -5 *1972:19 0.00217361 -6 *1972:15 0.00219797 -7 *1972:12 0.00196613 -8 *2474:mprj_io_ib_mode_sel[15] *2474:mprj_io_oeb[15] 0.000284651 -9 *1972:12 *2462:pad_gpio_in 0.00111299 -10 *1972:19 *1999:9 0.00179055 -11 *1972:26 *2080:14 0.000548682 -12 *517:16 *1972:26 0 -13 *1783:12 *1972:12 0 -14 *1810:12 *1972:19 0 -15 *1837:13 *1972:19 0 -16 *1945:16 *1972:24 0.00236312 -17 *1945:23 *1972:24 1.41761e-05 -18 *1945:23 *1972:26 0.00173412 -*RES -1 *2462:pad_gpio_ib_mode_sel *1972:12 42.9395 -2 *1972:12 *1972:15 28.5167 -3 *1972:15 *1972:19 46.2481 -4 *1972:19 *1972:24 43.9612 -5 *1972:24 *1972:26 47.3021 -6 *1972:26 *2474:mprj_io_ib_mode_sel[15] 23.1848 -*END - -*D_NET *1973 0.0227569 -*CONN -*I *2474:mprj_io_ib_mode_sel[16] I *D chip_io_alt -*I *2463:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_ib_mode_sel[16] 0.000477837 -2 *2463:pad_gpio_ib_mode_sel 0.000554185 -3 *1973:16 0.00110441 -4 *1973:8 0.00137318 -5 *1973:7 0.00130078 -6 *2474:mprj_io_ib_mode_sel[16] *2474:mprj_io_oeb[16] 0 -7 *2474:mprj_io_ib_mode_sel[16] *2474:mprj_io_out[16] 0 -8 *2474:mprj_io_ib_mode_sel[16] *2474:mprj_io_vtrip_sel[16] 0.00100478 -9 *2474:mprj_io_ib_mode_sel[16] *2027:9 0.000926678 -10 *1973:7 *2463:pad_gpio_in 5.36925e-05 -11 *1973:7 *2054:7 0 -12 *1973:8 *2108:8 0.00556391 -13 *1973:8 *2328:19 8.35615e-06 -14 *1973:16 *2081:20 0.0014944 -15 *1973:16 *2328:19 0.000124349 -16 *472:8 *1973:8 0.00560656 -17 *472:8 *1973:16 9.71323e-06 -18 *518:8 *1973:16 0.00284277 -19 *1946:7 *1973:7 0.000311332 -*RES -1 *2463:pad_gpio_ib_mode_sel *1973:7 5.5737 -2 *1973:7 *1973:8 89.8655 -3 *1973:8 *1973:16 49.9158 -4 *1973:16 *2474:mprj_io_ib_mode_sel[16] 34.164 -*END - -*D_NET *1974 0.020475 -*CONN -*I *2474:mprj_io_ib_mode_sel[17] I *D chip_io_alt -*I *2464:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_ib_mode_sel[17] 5.36865e-05 -2 *2464:pad_gpio_ib_mode_sel 0.000179716 -3 *1974:24 0.00136915 -4 *1974:22 0.0016586 -5 *1974:17 0.00104229 -6 *1974:8 0.00135736 -7 *1974:7 0.000837924 -8 *1974:7 *2464:pad_gpio_in 6.67357e-05 -9 *1974:7 *2055:7 0 -10 *1974:7 *2109:7 0 -11 *1974:8 *2136:8 0.00353598 -12 *1974:17 *2055:13 0 -13 *1974:17 *2055:14 0.00149895 -14 *1974:17 *2294:13 2.16355e-05 -15 *1974:22 *2294:13 0.000200654 -16 *1974:22 *2312:9 0 -17 *1974:24 *2474:mprj_io_out[17] 8.1645e-05 -18 *1974:24 *2474:mprj_io_vtrip_sel[17] 8.1645e-05 -19 *1974:24 *2028:9 0.00127967 -20 *1974:24 *2312:9 0.00222963 -21 *2474:mprj_io_dm[52] *1974:22 0.000208984 -22 *2474:mprj_io_holdover[17] *1974:24 6.11344e-05 -23 *473:8 *1974:8 0 -24 *473:8 *1974:22 0 -25 *473:8 *1974:24 0 -26 *1785:20 *1974:17 0.00149895 -27 *1812:23 *1974:17 5.04829e-06 -28 *1904:8 *1974:8 0.00293058 -29 *1906:22 *1974:17 0.000146784 -30 *1947:7 *1974:7 0.000128271 -*RES -1 *2464:pad_gpio_ib_mode_sel *1974:7 4.12039 -2 *1974:7 *1974:8 57.2682 -3 *1974:8 *1974:17 48.6307 -4 *1974:17 *1974:22 16.408 -5 *1974:22 *1974:24 59.5521 -6 *1974:24 *2474:mprj_io_ib_mode_sel[17] 1.20912 -*END - -*D_NET *1975 0.0432914 -*CONN -*I *2474:mprj_io_ib_mode_sel[18] I *D chip_io_alt -*I *2465:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_ib_mode_sel[18] 0.000482264 -2 *2465:pad_gpio_ib_mode_sel 0.000810667 -3 *1975:22 0.00135472 -4 *1975:21 0.000872458 -5 *1975:19 0.00298954 -6 *1975:18 0.00339952 -7 *1975:13 0.00251026 -8 *1975:12 0.00291095 -9 *2474:mprj_io_ib_mode_sel[18] *2474:mprj_io_oeb[18] 0.00188275 -10 *2474:mprj_io_ib_mode_sel[18] *2474:mprj_io_out[18] 0.000459083 -11 *2474:mprj_io_ib_mode_sel[18] *2474:mprj_io_vtrip_sel[18] 0.000171064 -12 *1975:19 *2110:15 0.000298304 -13 *1975:22 *2474:mprj_io_inp_dis[18] 0.00027027 -14 *1975:22 *2056:10 0.00144292 -15 *1975:22 *2110:16 0.00565346 -16 *107:27 *1975:19 0.00108667 -17 *474:14 *1975:22 0.00090832 -18 *1813:16 *1975:22 0.00231656 -19 *1840:13 *1975:19 0.000173182 -20 *1948:15 *1975:12 0.00181004 -21 *1948:15 *1975:13 0.000897912 -22 *1948:19 *1975:19 0.0105905 -*RES -1 *2465:pad_gpio_ib_mode_sel *1975:12 39.674 -2 *1975:12 *1975:13 57.8476 -3 *1975:13 *1975:18 20.3828 -4 *1975:18 *1975:19 139.374 -5 *1975:19 *1975:21 4.5 -6 *1975:21 *1975:22 90.696 -7 *1975:22 *2474:mprj_io_ib_mode_sel[18] 36.3074 -*END - -*D_NET *1976 0.0172311 -*CONN -*I *2474:mprj_io_ib_mode_sel[19] I *D chip_io_alt -*I *2466:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_ib_mode_sel[19] 0.000295278 -2 *2466:pad_gpio_ib_mode_sel 0.00018158 -3 *1976:21 0.00154275 -4 *1976:16 0.00234056 -5 *1976:13 0.00154713 -6 *1976:8 0.00159228 -7 *1976:7 0.00131981 -8 *2474:mprj_io_ib_mode_sel[19] *2474:mprj_io_oeb[19] 0.000669816 -9 *2474:mprj_io_ib_mode_sel[19] *2474:mprj_io_vtrip_sel[19] 6.8952e-05 -10 *2474:mprj_io_ib_mode_sel[19] *2030:15 5.99922e-05 -11 *1976:7 *2466:pad_gpio_in 5.36925e-05 -12 *1976:7 *2057:13 0 -13 *1976:13 *2165:27 3.24705e-06 -14 *1976:21 *2474:mprj_io_oeb[19] 4.57934e-05 -15 *474:42 *1976:8 0.000405863 -16 *475:16 *1976:8 0.00133027 -17 *493:8 *1976:8 0.000860035 -18 *493:16 *1976:13 0.000356844 -19 *493:16 *1976:16 0.00152574 -20 *493:20 *1976:16 9.71323e-06 -21 *521:8 *1976:16 0 -22 *521:8 *1976:21 0.000929799 -23 *1841:19 *1976:16 0.000560261 -24 *1911:18 *1976:8 0.00138248 -25 *1949:7 *1976:7 0.000149185 -*RES -1 *2466:pad_gpio_ib_mode_sel *1976:7 4.19688 -2 *1976:7 *1976:8 64.7427 -3 *1976:8 *1976:13 20.2609 -4 *1976:13 *1976:16 45.69 -5 *1976:16 *1976:21 46.4529 -6 *1976:21 *2474:mprj_io_ib_mode_sel[19] 17.9835 -*END - -*D_NET *1977 0.0112935 -*CONN -*I *2474:mprj_io_ib_mode_sel[1] I *D chip_io_alt -*I *2445:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_ib_mode_sel[1] 0.000113227 -2 *2445:pad_gpio_ib_mode_sel 0.000169222 -3 *1977:19 0.000584964 -4 *1977:8 0.00094612 -5 *1977:7 0.000643605 -6 *2474:mprj_io_ib_mode_sel[1] *2474:mprj_io_oeb[1] 0 -7 *1977:7 *2445:pad_gpio_in 4.80459e-05 -8 *1977:8 *2474:mprj_io_oeb[1] 0 -9 *1977:8 *2085:8 0.00272074 -10 *100:89 *1977:19 0 -11 *100:90 *1977:19 0.00145704 -12 *467:55 *2474:mprj_io_ib_mode_sel[1] 0.000197391 -13 *485:53 *1977:8 0.00285572 -14 *485:60 *1977:19 5.481e-05 -15 *1842:18 *1977:19 0.00146422 -16 *1950:13 *1977:7 3.84497e-05 -*RES -1 *2445:pad_gpio_ib_mode_sel *1977:7 3.89091 -2 *1977:7 *1977:8 46.4716 -3 *1977:8 *1977:19 47.3087 -4 *1977:19 *2474:mprj_io_ib_mode_sel[1] 3.93268 -*END - -*D_NET *1978 0.0216388 -*CONN -*I *2474:mprj_io_ib_mode_sel[20] I *D chip_io_alt -*I *2467:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_ib_mode_sel[20] 0.00255042 -2 *2467:pad_gpio_ib_mode_sel 0.00255042 -3 *2474:mprj_io_ib_mode_sel[20] *2467:pad_gpio_in 3.81792e-05 -4 *2474:mprj_io_ib_mode_sel[20] *2474:mprj_io_out[20] 0.000107864 -5 *2474:mprj_io_ib_mode_sel[20] *2474:mprj_io_vtrip_sel[20] 0.000726163 -6 *2474:mprj_io_ib_mode_sel[20] *2059:14 0 -7 *2474:mprj_io_ib_mode_sel[20] *2113:16 0.00783784 -8 *2474:mprj_io_ib_mode_sel[20] *2167:19 0.000717549 -9 *2474:mprj_io_holdover[20] *2474:mprj_io_ib_mode_sel[20] 0.000304054 -10 *474:48 *2474:mprj_io_ib_mode_sel[20] 0 -11 *522:32 *2474:mprj_io_ib_mode_sel[20] 0.000355114 -12 *1916:18 *2474:mprj_io_ib_mode_sel[20] 0.00645122 -*RES -1 *2467:pad_gpio_ib_mode_sel *2474:mprj_io_ib_mode_sel[20] 45.9906 -*END - -*D_NET *1979 0.025054 -*CONN -*I *2474:mprj_io_ib_mode_sel[21] I *D chip_io_alt -*I *2468:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_ib_mode_sel[21] 0.00048416 -2 *2468:pad_gpio_ib_mode_sel 0.00103088 -3 *1979:18 0.00100667 -4 *1979:17 0.000592704 -5 *1979:14 0.000435732 -6 *1979:10 0.00139642 -7 *2474:mprj_io_ib_mode_sel[21] *2474:mprj_io_oeb[21] 0.00021741 -8 *2474:mprj_io_ib_mode_sel[21] *2474:mprj_io_vtrip_sel[21] 0 -9 *1979:10 *2468:pad_gpio_in 0.000129968 -10 *1979:10 *2060:7 0 -11 *476:22 *1979:10 0.000281066 -12 *476:22 *1979:14 0.000427103 -13 *477:14 *1979:14 0.00275934 -14 *477:14 *1979:18 0.00389012 -15 *494:20 *1979:18 0.000595313 -16 *523:8 *1979:10 6.80434e-05 -17 *523:8 *1979:18 0.00389371 -18 *1844:18 *1979:17 5.04829e-06 -19 *1919:10 *1979:10 0.00223982 -20 *1919:12 *1979:10 2.01595e-05 -21 *1919:12 *1979:14 0.002802 -22 *1952:7 *1979:10 0.000332207 -23 *1952:8 *1979:10 0.00243375 -24 *1952:8 *1979:14 1.23804e-05 -*RES -1 *2468:pad_gpio_ib_mode_sel *1979:10 47.8497 -2 *1979:10 *1979:14 49.3106 -3 *1979:14 *1979:17 5.778 -4 *1979:17 *1979:18 62.4588 -5 *1979:18 *2474:mprj_io_ib_mode_sel[21] 22.7751 -*END - -*D_NET *1980 0.0247503 -*CONN -*I *2474:mprj_io_ib_mode_sel[22] I *D chip_io_alt -*I *2469:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_ib_mode_sel[22] 0.00037338 -2 *2469:pad_gpio_ib_mode_sel 0.000595756 -3 *1980:16 0.00124672 -4 *1980:15 0.000970938 -5 *1980:8 0.000586478 -6 *1980:7 0.00108463 -7 *2474:mprj_io_ib_mode_sel[22] *2474:mprj_io_oeb[22] 0.00089474 -8 *2474:mprj_io_ib_mode_sel[22] *2474:mprj_io_vtrip_sel[22] 0 -9 *1980:7 *2061:14 0 -10 *1980:8 *2088:12 0.0035904 -11 *2474:mprj_io_analog_sel[22] *1980:16 0.00122908 -12 *2474:mprj_io_dm[68] *1980:16 0.000122025 -13 *111:12 *1980:8 0.00358681 -14 *111:16 *1980:16 0.00560258 -15 *494:20 *1980:8 0.000550827 -16 *494:20 *1980:16 0.000812647 -17 *524:16 *1980:16 0.000459535 -18 *1845:16 *1980:16 1.2366e-05 -19 *1953:7 *1980:7 0.000289454 -20 *1953:8 *1980:16 0.00274197 -*RES -1 *2469:pad_gpio_ib_mode_sel *1980:7 5.26774 -2 *1980:7 *1980:8 57.6834 -3 *1980:8 *1980:15 11.122 -4 *1980:15 *1980:16 89.8655 -5 *1980:16 *2474:mprj_io_ib_mode_sel[22] 22.5369 -*END - -*D_NET *1981 0.0231131 -*CONN -*I *2474:mprj_io_ib_mode_sel[23] I *D chip_io_alt -*I *2470:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_ib_mode_sel[23] 0.00158366 -2 *2470:pad_gpio_ib_mode_sel 0.00158366 -3 *2474:mprj_io_ib_mode_sel[23] *2470:pad_gpio_in 2.69096e-05 -4 *2474:mprj_io_ib_mode_sel[23] *2474:mprj_io_oeb[23] 0.01029 -5 *2474:mprj_io_ib_mode_sel[23] *2474:mprj_io_out[23] 0.00927152 -6 *2474:mprj_io_ib_mode_sel[23] *2474:mprj_io_vtrip_sel[23] 0.000355832 -7 *477:26 *2474:mprj_io_ib_mode_sel[23] 0 -8 *1954:10 *2474:mprj_io_ib_mode_sel[23] 1.48814e-06 -*RES -1 *2470:pad_gpio_ib_mode_sel *2474:mprj_io_ib_mode_sel[23] 27.7432 -*END - -*D_NET *1982 0.0210177 -*CONN -*I *2474:mprj_io_ib_mode_sel[24] I *D chip_io_alt -*I *2446:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_ib_mode_sel[24] 0.0010832 -2 *2446:pad_gpio_ib_mode_sel 0.000720896 -3 *1982:19 0.00216795 -4 *1982:8 0.00212593 -5 *1982:7 0.00176208 -6 *2474:mprj_io_ib_mode_sel[24] *2474:mprj_io_oeb[24] 0 -7 *2474:mprj_io_ib_mode_sel[24] *2474:mprj_io_out[24] 0.00136798 -8 *2474:mprj_io_ib_mode_sel[24] *2474:mprj_io_vtrip_sel[24] 0 -9 *2474:mprj_io_ib_mode_sel[24] *2090:8 0 -10 *1982:7 *2446:pad_gpio_in 5.34343e-05 -11 *1982:7 *2063:7 0 -12 *1982:7 *2117:10 0 -13 *1982:8 *2117:12 0.00602476 -14 *1982:19 *2474:mprj_io_out[24] 0 -15 *1982:19 *2090:8 0 -16 *2446:serial_load_out *2474:mprj_io_ib_mode_sel[24] 0.000309803 -17 *2474:mprj_io_analog_en[24] *1982:19 5.04829e-06 -18 *2474:mprj_io_analog_pol[24] *1982:19 0 -19 *476:22 *1982:8 0.000681341 -20 *1847:14 *1982:8 9.71323e-06 -21 *1847:16 *1982:8 0.00104673 -22 *1929:7 *1982:7 0 -23 *1929:8 *1982:8 0.00352518 -24 *1955:7 *1982:7 0.000133659 -25 *1955:14 *1982:19 0 -*RES -1 *2446:pad_gpio_ib_mode_sel *1982:7 5.42072 -2 *1982:7 *1982:8 97.1324 -3 *1982:8 *1982:19 45.2381 -4 *1982:19 *2474:mprj_io_ib_mode_sel[24] 38.881 -*END - -*D_NET *1983 0.0213675 -*CONN -*I *2474:mprj_io_ib_mode_sel[25] I *D chip_io_alt -*I *2447:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_ib_mode_sel[25] 0.000435463 -2 *2447:pad_gpio_ib_mode_sel 0.000483813 -3 *1983:8 0.00215603 -4 *1983:7 0.00220438 -5 *2474:mprj_io_ib_mode_sel[25] *2474:mprj_io_vtrip_sel[25] 0 -6 *1983:7 *2064:7 0 -7 *1983:8 *2064:8 0.00677253 -8 *1983:8 *2118:22 0.00541603 -9 *1983:8 *2145:8 8.67703e-05 -10 *1983:8 *2172:14 0.00109736 -11 *102:44 *1983:8 0 -12 *515:8 *2474:mprj_io_ib_mode_sel[25] 9.39647e-05 -13 *1956:11 *1983:7 0.000305156 -14 *1956:11 *1983:8 0.00223599 -15 *1956:14 *1983:8 8.00558e-05 -*RES -1 *2447:pad_gpio_ib_mode_sel *1983:7 5.19125 -2 *1983:7 *1983:8 148.624 -3 *1983:8 *2474:mprj_io_ib_mode_sel[25] 21.2301 -*END - -*D_NET *1984 0.0241744 -*CONN -*I *2474:mprj_io_ib_mode_sel[26] I *D chip_io_alt -*I *2448:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_ib_mode_sel[26] 6.68716e-05 -2 *2448:pad_gpio_ib_mode_sel 0.00252529 -3 *1984:21 0.00259216 -4 *1984:21 *2448:pad_gpio_in 9.81028e-05 -5 *1984:21 *2474:mprj_io_oeb[26] 0.00896083 -6 *1984:21 *2474:mprj_io_vtrip_sel[26] 0.0061949 -7 *1984:21 *2011:7 0.000566034 -8 *1984:21 *2092:15 0.00160258 -9 *2448:mgmt_gpio_out *1984:21 0.00013217 -10 *1795:7 *1984:21 4.79986e-05 -11 *1795:8 *1984:21 0.000301444 -12 *1957:12 *1984:21 0.000883265 -13 *1957:15 *1984:21 0.000202763 -*RES -1 *2448:pad_gpio_ib_mode_sel *1984:21 49.8508 -2 *1984:21 *2474:mprj_io_ib_mode_sel[26] 1.7465 -*END - -*D_NET *1985 0.0115459 -*CONN -*I *2474:mprj_io_ib_mode_sel[2] I *D chip_io_alt -*I *2455:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_ib_mode_sel[2] 0.000253573 -2 *2455:pad_gpio_ib_mode_sel 0.000498603 -3 *1985:14 0.000838562 -4 *1985:13 0.00108359 -5 *1985:13 *2455:pad_gpio_in 5.08157e-05 -6 *1985:13 *2066:13 0.000124349 -7 *1985:14 *2012:17 0.00313477 -8 *1985:14 *2093:14 0.00369853 -9 *1985:14 *2120:14 2.01595e-05 -10 *100:80 *1985:14 9.31501e-05 -11 *104:50 *1985:14 0.000193047 -12 *471:40 *2474:mprj_io_ib_mode_sel[2] 0.000111209 -13 *503:20 *1985:14 0.000510121 -14 *1924:13 *1985:13 0 -15 *1935:13 *1985:13 1.27831e-06 -16 *1958:13 *1985:13 0.000934133 -*RES -1 *2455:pad_gpio_ib_mode_sel *1985:13 27.8167 -2 *1985:13 *1985:14 63.2893 -3 *1985:14 *2474:mprj_io_ib_mode_sel[2] 14.4505 -*END - -*D_NET *1986 0.0118538 -*CONN -*I *2474:mprj_io_ib_mode_sel[3] I *D chip_io_alt -*I *2456:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_ib_mode_sel[3] 0.000212619 -2 *2456:pad_gpio_ib_mode_sel 0.000478996 -3 *1986:14 0.000687396 -4 *1986:13 0.000953773 -5 *1986:13 *2456:pad_gpio_in 3.84497e-05 -6 *1986:13 *2094:8 0.000167862 -7 *1986:14 *2013:13 0.00353264 -8 *2455:resetn_out *1986:14 0.000539902 -9 *105:52 *2474:mprj_io_ib_mode_sel[3] 5.6259e-05 -10 *504:12 *1986:14 0.00352546 -11 *1824:13 *1986:13 0 -12 *1851:15 *1986:13 0.000584129 -13 *1860:13 *1986:13 0.000489728 -14 *1959:15 *1986:13 0.000586594 -*RES -1 *2456:pad_gpio_ib_mode_sel *1986:13 32.9363 -2 *1986:13 *1986:14 56.6453 -3 *1986:14 *2474:mprj_io_ib_mode_sel[3] 13.8959 -*END - -*D_NET *1987 0.0128523 -*CONN -*I *2474:mprj_io_ib_mode_sel[4] I *D chip_io_alt -*I *2457:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_ib_mode_sel[4] 0.000306691 -2 *2457:pad_gpio_ib_mode_sel 0.000347824 -3 *1987:14 0.000902301 -4 *1987:13 0.000943433 -5 *2474:mprj_io_ib_mode_sel[4] *2474:mprj_io_vtrip_sel[4] 0.000194827 -6 *1987:13 *2457:pad_gpio_in 3.27908e-05 -7 *1987:13 *2014:31 0 -8 *1987:13 *2068:15 0.00094487 -9 *1987:13 *2122:13 0 -10 *1987:14 *2122:14 0.00427274 -11 *1987:14 *2176:14 0.00419099 -12 *110:98 *1987:14 3.46206e-05 -13 *493:51 *1987:14 0.000642807 -14 *1960:13 *1987:13 3.84497e-05 -*RES -1 *2457:pad_gpio_ib_mode_sel *1987:13 23.667 -2 *1987:13 *1987:14 68.6876 -3 *1987:14 *2474:mprj_io_ib_mode_sel[4] 16.3403 -*END - -*D_NET *1988 0.0111484 -*CONN -*I *2474:mprj_io_ib_mode_sel[5] I *D chip_io_alt -*I *2458:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_ib_mode_sel[5] 3.05493e-05 -2 *2458:pad_gpio_ib_mode_sel 0.000252909 -3 *1988:8 0.000817235 -4 *1988:7 0.00103959 -5 *1988:7 *2458:pad_gpio_in 5.76421e-05 -6 *1988:8 *2474:mprj_io_oeb[5] 1.49792e-05 -7 *1988:8 *2042:11 0.000165997 -8 *1988:8 *2096:14 0.000197586 -9 *1988:8 *2150:8 0.00391851 -10 *108:49 *1988:8 0.000546308 -11 *1865:13 *1988:7 0 -12 *1961:13 *1988:7 3.84497e-05 -13 *1961:14 *1988:8 0.00406869 -*RES -1 *2458:pad_gpio_ib_mode_sel *1988:7 4.19688 -2 *1988:7 *1988:8 72.8401 -3 *1988:8 *2474:mprj_io_ib_mode_sel[5] 0.647305 -*END - -*D_NET *1989 0.0114757 -*CONN -*I *2474:mprj_io_ib_mode_sel[6] I *D chip_io_alt -*I *2459:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_ib_mode_sel[6] 0.000141319 -2 *2459:pad_gpio_ib_mode_sel 0.000449783 -3 *1989:14 0.000609647 -4 *1989:13 0.00091811 -5 *2474:mprj_io_ib_mode_sel[6] *2043:12 9.19632e-06 -6 *1989:13 *2459:pad_gpio_in 3.84497e-05 -7 *1989:13 *2097:8 0.0001118 -8 *2459:serial_load *1989:14 0.000562156 -9 *507:18 *2474:mprj_io_ib_mode_sel[6] 0.00019711 -10 *507:18 *1989:14 0.00347336 -11 *1827:13 *1989:13 0 -12 *1854:13 *1989:13 0.000460471 -13 *1854:14 *1989:14 0.00348054 -14 *1867:13 *1989:13 7.77309e-06 -15 *1870:13 *1989:13 0.000416687 -16 *1962:15 *1989:13 0.000599247 -*RES -1 *2459:pad_gpio_ib_mode_sel *1989:13 31.4119 -2 *1989:13 *1989:14 55.8148 -3 *1989:14 *2474:mprj_io_ib_mode_sel[6] 13.4779 -*END - -*D_NET *1990 0.0590093 -*CONN -*I *2474:mprj_io_ib_mode_sel[7] I *D chip_io_alt -*I *2460:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_ib_mode_sel[7] 8.74041e-05 -2 *2460:pad_gpio_ib_mode_sel 0.000302309 -3 *1990:8 0.0160139 -4 *1990:7 0.0162288 -5 *2474:mprj_io_ib_mode_sel[7] *2474:mprj_io_oeb[7] 2.18561e-05 -6 *2474:mprj_io_ib_mode_sel[7] *2474:mprj_io_vtrip_sel[7] 0 -7 *1990:7 *2460:pad_gpio_in 3.84497e-05 -8 *1990:7 *2071:7 0 -9 *1990:8 *2474:mprj_io_slow_sel[7] 0.000102556 -10 *1990:8 *2017:10 0.000158304 -11 *1990:8 *2017:13 0.00237421 -12 *1990:8 *2071:8 0.00243534 -13 *1990:8 *2126:16 0.0123083 -14 *1990:8 *2152:10 0.000100822 -15 *1990:8 *2153:16 0.00124035 -16 *1990:8 *2179:14 0 -17 *1990:8 *2180:14 4.52006e-05 -18 *2450:resetn *1990:8 0 -19 *2450:serial_clock *1990:8 0 -20 *2450:serial_load *1990:8 0 -21 *2474:mprj_io_analog_sel[7] *1990:8 0.000274373 -22 *110:98 *1990:8 0.000144223 -23 *477:32 *1990:8 0 -24 *477:44 *1990:8 0.00017403 -25 *477:46 *1990:8 0.00179192 -26 *478:32 *1990:8 0 -27 *478:49 *1990:8 0.000209508 -28 *1829:13 *1990:8 0 -29 *1871:14 *1990:8 0.00264862 -30 *1963:7 *1990:7 9.77607e-05 -31 *1963:8 *1990:8 0.00221107 -*RES -1 *2460:pad_gpio_ib_mode_sel *1990:7 1.31946 -2 *1990:7 *1990:8 64.8607 -3 *1990:8 *2474:mprj_io_ib_mode_sel[7] 4.55735 -*END - -*D_NET *1991 0.0744976 -*CONN -*I *2474:mprj_io_ib_mode_sel[8] I *D chip_io_alt -*I *2449:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_ib_mode_sel[8] 0.000738323 -2 *2449:pad_gpio_ib_mode_sel 9.19008e-05 -3 *1991:16 0.00899538 -4 *1991:15 0.00964965 -5 *1991:10 0.00235916 -6 *1991:9 0.00105847 -7 *2474:mprj_io_ib_mode_sel[8] *2474:mprj_io_oeb[8] 0 -8 *2474:mprj_io_ib_mode_sel[8] *2474:mprj_io_vtrip_sel[8] 0 -9 *2474:mprj_io_ib_mode_sel[8] *2073:44 7.15466e-05 -10 *1991:9 *2449:pad_gpio_in 8.11597e-06 -11 *1991:10 *2018:37 0.00526732 -12 *1991:10 *2099:15 0.00109529 -13 *1991:16 *2018:19 0.000867468 -14 *1991:16 *2018:27 0.000346217 -15 *1991:16 *2073:37 0.00019411 -16 *1991:16 *2099:16 0.0270327 -17 *1991:16 *2152:14 0.00033102 -18 *510:14 *1991:16 0.00340367 -19 *511:14 *1991:16 0.0015744 -20 *1802:20 *1991:16 0.000825644 -21 *1828:23 *1991:16 0.000255037 -22 *1828:28 *1991:16 0.000344674 -23 *1855:14 *1991:16 0.000677528 -24 *1874:21 *1991:16 0.000169255 -25 *1875:20 *1991:16 0.000834009 -26 *1876:14 *1991:16 0.00332707 -27 *1964:10 *1991:10 0.00209893 -28 *1964:15 *1991:15 0.00288071 -*RES -1 *2449:pad_gpio_ib_mode_sel *1991:9 3.65147 -2 *1991:9 *1991:10 86.5434 -3 *1991:10 *1991:15 8.65243 -4 *1991:15 *1991:16 53.659 -5 *1991:16 *2474:mprj_io_ib_mode_sel[8] 7.14939 -*END - -*D_NET *1992 0.0746172 -*CONN -*I *2474:mprj_io_ib_mode_sel[9] I *D chip_io_alt -*I *2450:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_ib_mode_sel[9] 0.000492948 -2 *2450:pad_gpio_ib_mode_sel 0.00079236 -3 *1992:8 0.00382486 -4 *1992:7 0.00412427 -5 *2474:mprj_io_ib_mode_sel[9] *2474:mprj_io_oeb[9] 6.34849e-05 -6 *2474:mprj_io_ib_mode_sel[9] *2474:mprj_io_vtrip_sel[9] 8.32935e-05 -7 *1992:7 *2450:pad_gpio_in 2.89493e-05 -8 *1992:7 *2127:13 0 -9 *1992:8 *2474:mprj_io_out[10] 6.55656e-05 -10 *1992:8 *2099:16 0.000328461 -11 *1992:8 *2100:8 0.0323591 -12 *1992:8 *2181:8 0.0317917 -13 *101:22 *2474:mprj_io_ib_mode_sel[9] 4.84974e-05 -14 *1856:19 *1992:8 0.000471203 -15 *1965:7 *1992:7 0.000142487 -*RES -1 *2450:pad_gpio_ib_mode_sel *1992:7 2.23734 -2 *1992:7 *1992:8 65.6609 -3 *1992:8 *2474:mprj_io_ib_mode_sel[9] 6.72741 -*END - -*D_NET *1993 0.0166796 -*CONN -*I *2444:pad_gpio_in I *D gpio_control_block -*I *2474:mprj_io_in[0] O *D chip_io_alt -*CAP -1 *2444:pad_gpio_in 0.000119332 -2 *2474:mprj_io_in[0] 0.000435012 -3 *1993:30 0.00121172 -4 *1993:21 0.00206073 -5 *1993:19 0.00101388 -6 *1993:17 0.00170597 -7 *1993:15 0.00209545 -8 *2444:pad_gpio_in *2047:13 3.84497e-05 -9 *2444:pad_gpio_in *2074:8 0 -10 *1993:17 *2474:mprj_io_slow_sel[0] 0 -11 *1993:21 *2101:14 0.000103433 -12 *1993:21 *2155:19 1.75462e-05 -13 *1993:21 *2155:23 0.00126962 -14 *1993:30 *2047:14 0 -15 *1993:30 *2101:13 0 -16 *1993:30 *2155:19 0.00192518 -17 *2474:mprj_io_dm[0] *1993:17 0 -18 *2474:mprj_io_dm[1] *1993:17 0 -19 *1777:14 *1993:17 0 -20 *1777:14 *1993:30 0 -21 *1804:14 *1993:17 0.000375822 -22 *1804:14 *1993:21 0.00419553 -23 *1804:14 *1993:30 4.80103e-06 -24 *1831:20 *1993:17 6.86916e-05 -25 *1831:20 *1993:21 0 -26 *1966:13 *2444:pad_gpio_in 3.84497e-05 -*RES -1 *2474:mprj_io_in[0] *1993:15 13.4957 -2 *1993:15 *1993:17 51.3447 -3 *1993:17 *1993:19 1.29461 -4 *1993:19 *1993:21 66.9777 -5 *1993:21 *1993:30 49.3768 -6 *1993:30 *2444:pad_gpio_in 5.62458 -*END - -*D_NET *1994 0.0469678 -*CONN -*I *2451:pad_gpio_in I *D gpio_control_block -*I *2474:mprj_io_in[10] O *D chip_io_alt -*CAP -1 *2451:pad_gpio_in 6.94771e-05 -2 *2474:mprj_io_in[10] 0.000176997 -3 *1994:51 0.0037086 -4 *1994:50 0.00445067 -5 *1994:44 0.00150467 -6 *1994:20 0.00130133 -7 *1994:16 0.000694081 -8 *1994:11 0.00275001 -9 *1994:10 0.00284113 -10 *1994:10 *2130:18 0.000197125 -11 *1994:11 *2474:mprj_io_vtrip_sel[10] 0.000194519 -12 *1994:11 *2076:14 0.00599219 -13 *1994:11 *2103:18 0.00011174 -14 *1994:11 *2129:14 0.00294747 -15 *1994:11 *2156:17 0.000364708 -16 *1994:16 *2474:mprj_io_out[9] 0 -17 *1994:20 *2073:52 0.001143 -18 *1994:20 *2129:14 0.00046924 -19 *1994:44 *2474:mprj_io_slow_sel[9] 0.000185867 -20 *1994:44 *2019:10 0.00017134 -21 *1994:51 *2451:user_gpio_out 0.000744765 -22 *2474:mprj_io_dm[28] *1994:20 9.43616e-05 -23 *101:10 *1994:50 0.000248812 -24 *101:16 *1994:20 0.00253423 -25 *101:21 *1994:16 0.000263135 -26 *101:22 *1994:11 0.0034193 -27 *1803:27 *1994:44 0.00129905 -28 *1879:32 *1994:50 0.000252395 -29 *1879:42 *1994:44 7.52188e-05 -30 *1879:44 *1994:44 0.000549011 -31 *1882:14 *1994:20 1.2693e-05 -32 *1940:18 *1994:11 0.000796897 -33 *1940:18 *1994:20 0.000393461 -34 *1968:14 *1994:11 0.00701036 -*RES -1 *2474:mprj_io_in[10] *1994:10 14.0325 -2 *1994:10 *1994:11 211.95 -3 *1994:11 *1994:16 11.9418 -4 *1994:16 *1994:20 48.7228 -5 *1994:20 *1994:44 46.5766 -6 *1994:44 *1994:50 33.805 -7 *1994:50 *1994:51 107.514 -8 *1994:51 *2451:pad_gpio_in 3.59493 -*END - -*D_NET *1995 0.0533493 -*CONN -*I *2452:pad_gpio_in I *D gpio_control_block -*I *2474:mprj_io_in[11] O *D chip_io_alt -*CAP -1 *2452:pad_gpio_in 0.000344971 -2 *2474:mprj_io_in[11] 0.000307296 -3 *1995:15 0.00430927 -4 *1995:13 0.00399447 -5 *1995:11 0.000533863 -6 *1995:10 0.00081098 -7 *2452:pad_gpio_in *2049:13 3.96052e-05 -8 *1995:10 *2049:27 5.04829e-06 -9 *1995:10 *2131:15 0 -10 *1995:11 *2049:14 0.00350013 -11 *1995:11 *2130:28 1.6267e-05 -12 *1995:11 *2130:32 0.00370852 -13 *1995:11 *2131:16 0.000210414 -14 *1995:11 *2158:10 0.000597706 -15 *1995:13 *2130:30 6.67835e-06 -16 *1995:15 *2474:mprj_io_slow_sel[10] 4.46284e-06 -17 *1995:15 *2049:14 1.23804e-05 -18 *1995:15 *2129:14 0.00345841 -19 *1995:15 *2130:18 0 -20 *1995:15 *2130:20 0.00309151 -21 *1995:15 *2130:24 0.00106015 -22 *1995:15 *2130:28 0.00356722 -23 *1995:15 *2158:10 0.000392646 -24 *487:13 *2452:pad_gpio_in 7.49541e-05 -25 *1885:14 *1995:15 0.0203174 -26 *1886:8 *1995:15 0.00213143 -27 *1888:14 *1995:11 1.87269e-05 -28 *1889:12 *1995:10 0.000195687 -29 *1968:13 *2452:pad_gpio_in 0.000639189 -*RES -1 *2474:mprj_io_in[11] *1995:10 16.8055 -2 *1995:10 *1995:11 60.1749 -3 *1995:11 *1995:13 0.732798 -4 *1995:13 *1995:15 328.428 -5 *1995:15 *2452:pad_gpio_in 21.9531 -*END - -*D_NET *1996 0.0439824 -*CONN -*I *2453:pad_gpio_in I *D gpio_control_block -*I *2474:mprj_io_in[12] O *D chip_io_alt -*CAP -1 *2453:pad_gpio_in 1.65112e-05 -2 *2474:mprj_io_in[12] 0.000801757 -3 *1996:43 1.65112e-05 -4 *1996:39 0.00389394 -5 *1996:38 0.00465942 -6 *1996:35 0.0012315 -7 *1996:32 0.00167891 -8 *1996:19 0.00204541 -9 *1996:17 0.000895249 -10 *1996:15 0.0017282 -11 *1996:14 0.00246723 -12 *1996:14 *2077:15 0.000199547 -13 *1996:14 *2131:22 0.00161958 -14 *1996:15 *2050:18 0.00831406 -15 *1996:15 *2158:15 0.00133626 -16 *1996:19 *2050:18 0.00104057 -17 *1996:32 *2474:mprj_io_out[11] 0.000599172 -18 *1996:32 *2049:30 0.000306656 -19 *1996:32 *2050:18 0.0004821 -20 *1996:32 *2131:16 0.000291334 -21 *1996:32 *2305:13 0.000910387 -22 *1996:32 *2323:11 0.000144289 -23 *1996:35 *2474:mprj_io_out[11] 0.000243056 -24 *1996:35 *2050:18 0.000677993 -25 *1996:38 *2131:15 0.000532369 -26 *2474:mprj_io_analog_pol[11] *1996:32 0.000113028 -27 *1807:20 *1996:15 0.00284633 -28 *1807:20 *1996:19 0.00104769 -29 *1888:14 *1996:19 0.00100336 -30 *1888:14 *1996:32 0.000295225 -31 *1889:12 *1996:35 0.00201497 -32 *1889:25 *1996:14 0 -33 *1942:22 *1996:38 5.04829e-06 -34 *1969:17 *1996:19 0.000407139 -35 *1969:17 *1996:32 0.000117573 -*RES -1 *2474:mprj_io_in[12] *1996:14 40.5454 -2 *1996:14 *1996:15 132.673 -3 *1996:15 *1996:17 1.29461 -4 *1996:17 *1996:19 50.734 -5 *1996:19 *1996:32 38.7536 -6 *1996:32 *1996:35 37.2683 -7 *1996:35 *1996:38 27.4075 -8 *1996:38 *1996:39 110.42 -9 *1996:39 *2453:pad_gpio_in 3.36879 -10 *2453:pad_gpio_in *1996:43 0.0631875 -*END - -*D_NET *1997 0.0183871 -*CONN -*I *2454:pad_gpio_in I *D gpio_control_block -*I *2474:mprj_io_in[13] O *D chip_io_alt -*CAP -1 *2454:pad_gpio_in 0.000617978 -2 *2474:mprj_io_in[13] 9.78394e-05 -3 *1997:19 0.0022747 -4 *1997:18 0.00171355 -5 *1997:13 0.00132021 -6 *1997:11 0.00136122 -7 *2454:pad_gpio_in *2051:13 3.84497e-05 -8 *1997:13 *2474:mprj_io_inp_dis[13] 4.58666e-05 -9 *1997:13 *2051:14 9.35753e-06 -10 *1997:13 *2132:14 0 -11 *1997:13 *2307:9 0.000770417 -12 *1997:13 *2325:9 0.00172394 -13 *1997:19 *2474:mprj_io_out[13] 1.2693e-05 -14 *1997:19 *2024:7 0 -15 *1997:19 *2051:14 0.000726727 -16 *1997:19 *2159:19 0.000478862 -17 *2474:mprj_io_analog_sel[13] *1997:13 7.20173e-06 -18 *2474:mprj_io_dm[39] *1997:13 0.000371778 -19 *2474:mprj_io_dm[40] *1997:13 0.000215112 -20 *2474:mprj_io_dm[41] *1997:19 0.000228518 -21 *2474:mprj_io_holdover[13] *1997:19 0.00247028 -22 *2474:mprj_io_ib_mode_sel[13] *1997:19 0.000356752 -23 *1781:13 *1997:19 0 -24 *1781:22 *1997:13 0 -25 *1835:18 *1997:19 0.00293508 -26 *1892:13 *2454:pad_gpio_in 0.000610609 -27 *1892:14 *1997:13 0 -*RES -1 *2474:mprj_io_in[13] *1997:11 3.6762 -2 *1997:11 *1997:13 56.4377 -3 *1997:13 *1997:18 10.3986 -4 *1997:18 *1997:19 97.7553 -5 *1997:19 *2454:pad_gpio_in 30.4448 -*END - -*D_NET *1998 0.00666821 -*CONN -*I *2461:pad_gpio_in I *D gpio_control_block -*I *2474:mprj_io_in[14] O *D chip_io_alt -*CAP -1 *2461:pad_gpio_in 8.62931e-05 -2 *2474:mprj_io_in[14] 2.27669e-05 -3 *1998:7 0.00232037 -4 *1998:5 0.00225684 -5 *2461:pad_gpio_in *2052:7 4.84183e-05 -6 *1998:7 *2133:8 0 -7 *1998:7 *2308:9 0.000400265 -8 *2461:resetn *2461:pad_gpio_in 0.000139329 -9 *2474:mprj_io_analog_en[14] *1998:7 0.000527776 -10 *1550:40 *1998:7 0.000123244 -11 *1894:11 *1998:7 0.000612939 -12 *1895:8 *1998:7 0 -13 *1971:7 *2461:pad_gpio_in 0.000129968 -*RES -1 *2474:mprj_io_in[14] *1998:5 0.647305 -2 *1998:5 *1998:7 71.7837 -3 *1998:7 *2461:pad_gpio_in 4.04389 -*END - -*D_NET *1999 0.0104076 -*CONN -*I *2462:pad_gpio_in I *D gpio_control_block -*I *2474:mprj_io_in[15] O *D chip_io_alt -*CAP -1 *2462:pad_gpio_in 0.000548424 -2 *2474:mprj_io_in[15] 0.000109238 -3 *1999:14 0.00144603 -4 *1999:9 0.00156623 -5 *1999:7 0.000777855 -6 *1999:7 *2134:8 8.99711e-05 -7 *1999:7 *2327:11 1.83854e-05 -8 *1999:9 *2134:8 0.00294302 -9 *2462:mgmt_gpio_out *1999:9 0 -10 *1783:12 *2462:pad_gpio_in 4.89469e-06 -11 *1810:12 *1999:9 0 -12 *1972:12 *2462:pad_gpio_in 0.00111299 -13 *1972:19 *1999:9 0.00179055 -*RES -1 *2474:mprj_io_in[15] *1999:7 4.14031 -2 *1999:7 *1999:9 47.3021 -3 *1999:9 *1999:14 33.0167 -4 *1999:14 *2462:pad_gpio_in 23.9056 -*END - -*D_NET *2000 0.00552254 -*CONN -*I *2463:pad_gpio_in I *D gpio_control_block -*I *2474:mprj_io_in[16] O *D chip_io_alt -*CAP -1 *2463:pad_gpio_in 4.98012e-05 -2 *2474:mprj_io_in[16] 0.000181661 -3 *2000:11 0.00231858 -4 *2000:10 0.00245044 -5 *2463:pad_gpio_in *2054:7 5.36925e-05 -6 *2463:mgmt_gpio_out *2000:11 0.000155405 -7 *1552:33 *2000:11 0.000259268 -8 *1901:8 *2000:10 0 -9 *1901:8 *2000:11 0 -10 *1903:12 *2000:11 0 -11 *1973:7 *2463:pad_gpio_in 5.36925e-05 -*RES -1 *2474:mprj_io_in[16] *2000:10 14.0084 -2 *2000:10 *2000:11 67.2342 -3 *2000:11 *2463:pad_gpio_in 3.66144 -*END - -*D_NET *2001 0.00702294 -*CONN -*I *2464:pad_gpio_in I *D gpio_control_block -*I *2474:mprj_io_in[17] O *D chip_io_alt -*CAP -1 *2464:pad_gpio_in 0.000911634 -2 *2474:mprj_io_in[17] 0.000167046 -3 *2001:13 0.0018013 -4 *2001:10 0.00105671 -5 *2464:pad_gpio_in *2055:7 2.82227e-05 -6 *2464:pad_gpio_in *2055:8 0.000518308 -7 *2001:10 *2136:8 0 -8 *2001:13 *2055:8 0.00157164 -9 *491:25 *2001:13 0 -10 *1785:13 *2464:pad_gpio_in 0.000628637 -11 *1812:13 *2464:pad_gpio_in 4.51062e-05 -12 *1906:8 *2001:13 0.000227605 -13 *1974:7 *2464:pad_gpio_in 6.67357e-05 -*RES -1 *2474:mprj_io_in[17] *2001:10 13.5985 -2 *2001:10 *2001:13 37.2383 -3 *2001:13 *2464:pad_gpio_in 33.8171 -*END - -*D_NET *2002 0.00828838 -*CONN -*I *2465:pad_gpio_in I *D gpio_control_block -*I *2474:mprj_io_in[18] O *D chip_io_alt -*CAP -1 *2465:pad_gpio_in 0.000198215 -2 *2474:mprj_io_in[18] 0.000105047 -3 *2002:9 0.00158469 -4 *2002:7 0.00149152 -5 *2465:pad_gpio_in *2056:9 0.000134942 -6 *2002:7 *2137:10 1.3813e-05 -7 *2002:9 *2137:10 0.00416125 -8 *2465:mgmt_gpio_out *2002:9 0 -9 *107:39 *2002:9 0.00042425 -10 *107:51 *2002:7 0.000174661 -11 *1909:9 *2465:pad_gpio_in 0 -*RES -1 *2474:mprj_io_in[18] *2002:7 4.14031 -2 *2002:7 *2002:9 67.4418 -3 *2002:9 *2465:pad_gpio_in 4.26339 -*END - -*D_NET *2003 0.00591618 -*CONN -*I *2466:pad_gpio_in I *D gpio_control_block -*I *2474:mprj_io_in[19] O *D chip_io_alt -*CAP -1 *2466:pad_gpio_in 4.98012e-05 -2 *2474:mprj_io_in[19] 0.000219638 -3 *2003:11 0.00240914 -4 *2003:9 0.00257897 -5 *2466:pad_gpio_in *2057:13 5.36925e-05 -6 *2466:mgmt_gpio_out *2003:11 0.000155405 -7 *493:8 *2003:11 0 -8 *1556:33 *2003:11 9.86056e-05 -9 *1911:18 *2003:9 0.000297231 -10 *1911:18 *2003:11 0 -11 *1976:7 *2466:pad_gpio_in 5.36925e-05 -*RES -1 *2474:mprj_io_in[19] *2003:9 8.36611 -2 *2003:9 *2003:11 67.4418 -3 *2003:11 *2466:pad_gpio_in 3.66144 -*END - -*D_NET *2004 0.0193674 -*CONN -*I *2445:pad_gpio_in I *D gpio_control_block -*I *2474:mprj_io_in[1] O *D chip_io_alt -*CAP -1 *2445:pad_gpio_in 0.000308812 -2 *2474:mprj_io_in[1] 0.000316005 -3 *2004:11 0.00338976 -4 *2004:10 0.00339695 -5 *2445:pad_gpio_in *2058:13 4.80459e-05 -6 *2445:pad_gpio_in *2112:13 0.000880967 -7 *2004:11 *2058:14 0.000329975 -8 *2004:11 *2058:20 0 -9 *2004:11 *2112:14 4.46284e-05 -10 *2004:11 *2166:14 2.79326e-05 -11 *100:96 *2004:10 0.000223156 -12 *485:53 *2445:pad_gpio_in 0.000134703 -13 *485:61 *2004:11 0 -14 *1788:18 *2004:11 0 -15 *1815:19 *2004:11 0.00158217 -16 *1815:20 *2004:11 0.000152386 -17 *1842:18 *2004:11 0 -18 *1913:23 *2004:11 0.00234978 -19 *1950:13 *2445:pad_gpio_in 0.000710303 -20 *1950:17 *2004:11 0.00258632 -21 *1950:20 *2004:11 0.00283747 -22 *1977:7 *2445:pad_gpio_in 4.80459e-05 -*RES -1 *2474:mprj_io_in[1] *2004:10 17.7754 -2 *2004:10 *2004:11 149.662 -3 *2004:11 *2445:pad_gpio_in 26.0957 -*END - -*D_NET *2005 0.00649594 -*CONN -*I *2467:pad_gpio_in I *D gpio_control_block -*I *2474:mprj_io_in[20] O *D chip_io_alt -*CAP -1 *2467:pad_gpio_in 8.4932e-05 -2 *2474:mprj_io_in[20] 0.000179341 -3 *2005:11 0.00230313 -4 *2005:10 0.00239754 -5 *2467:pad_gpio_in *2059:14 3.81792e-05 -6 *2005:10 *2297:12 0 -7 *2005:11 *2167:19 0.000844182 -8 *2005:11 *2297:12 0 -9 *2474:mprj_io_ib_mode_sel[20] *2467:pad_gpio_in 3.81792e-05 -10 *1843:15 *2005:11 0 -11 *1914:15 *2005:11 0.000610456 -*RES -1 *2474:mprj_io_in[20] *2005:10 14.0084 -2 *2005:10 *2005:11 66.819 -3 *2005:11 *2467:pad_gpio_in 3.66144 -*END - -*D_NET *2006 0.00833676 -*CONN -*I *2468:pad_gpio_in I *D gpio_control_block -*I *2474:mprj_io_in[21] O *D chip_io_alt -*CAP -1 *2468:pad_gpio_in 9.82838e-05 -2 *2474:mprj_io_in[21] 9.03492e-05 -3 *2006:9 0.0013781 -4 *2006:7 0.00137016 -5 *2468:pad_gpio_in *2060:7 0.000129968 -6 *2006:7 *2474:mprj_io_slow_sel[21] 0.000142583 -7 *2006:7 *2141:8 2.01595e-05 -8 *2006:9 *2141:8 0.00426275 -9 *1917:10 *2006:9 0.000714438 -10 *1918:10 *2006:9 0 -11 *1979:10 *2468:pad_gpio_in 0.000129968 -*RES -1 *2474:mprj_io_in[21] *2006:7 3.72506 -2 *2006:7 *2006:9 69.1029 -3 *2006:9 *2468:pad_gpio_in 4.04389 -*END - -*D_NET *2007 0.00904013 -*CONN -*I *2469:pad_gpio_in I *D gpio_control_block -*I *2474:mprj_io_in[22] O *D chip_io_alt -*CAP -1 *2469:pad_gpio_in 0.00130527 -2 *2474:mprj_io_in[22] 0.000759102 -3 *2007:12 0.00216151 -4 *2007:9 0.00161535 -5 *2007:9 *2142:8 0 -6 *2007:9 *2142:14 0.00183172 -7 *477:26 *2007:9 0.000246961 -8 *1818:15 *2007:12 0.000464193 -9 *1922:8 *2007:9 0.000656029 -*RES -1 *2474:mprj_io_in[22] *2007:9 41.2376 -2 *2007:9 *2007:12 29.0714 -3 *2007:12 *2469:pad_gpio_in 38.4395 -*END - -*D_NET *2008 0.00640139 -*CONN -*I *2470:pad_gpio_in I *D gpio_control_block -*I *2474:mprj_io_in[23] O *D chip_io_alt -*CAP -1 *2470:pad_gpio_in 0.000110652 -2 *2474:mprj_io_in[23] 0.000167046 -3 *2008:11 0.00226087 -4 *2008:10 0.00231727 -5 *2470:pad_gpio_in *2062:7 3.04141e-05 -6 *2008:10 *2474:mprj_io_slow_sel[23] 0 -7 *2008:11 *2474:mprj_io_oeb[23] 0.000588526 -8 *2008:11 *2474:mprj_io_slow_sel[23] 0 -9 *2470:mgmt_gpio_out *2008:11 0.000223181 -10 *2474:mprj_io_ib_mode_sel[23] *2470:pad_gpio_in 2.69096e-05 -11 *1560:35 *2008:11 5.39635e-06 -12 *1925:8 *2008:11 0 -13 *1954:10 *2008:11 0.000671123 -*RES -1 *2474:mprj_io_in[23] *2008:10 13.5985 -2 *2008:10 *2008:11 67.6495 -3 *2008:11 *2470:pad_gpio_in 3.66144 -*END - -*D_NET *2009 0.00655883 -*CONN -*I *2446:pad_gpio_in I *D gpio_control_block -*I *2474:mprj_io_in[24] O *D chip_io_alt -*CAP -1 *2446:pad_gpio_in 9.46286e-05 -2 *2474:mprj_io_in[24] 0.000175423 -3 *2009:11 0.00243269 -4 *2009:10 0.00251348 -5 *2446:pad_gpio_in *2063:7 5.34343e-05 -6 *2009:11 *2474:mprj_io_vtrip_sel[24] 0.000377203 -7 *2009:11 *2301:11 0 -8 *486:44 *2009:11 0.000223362 -9 *1793:13 *2009:11 0.000618491 -10 *1820:13 *2009:11 1.66771e-05 -11 *1927:15 *2009:10 0 -12 *1927:15 *2009:11 0 -13 *1982:7 *2446:pad_gpio_in 5.34343e-05 -*RES -1 *2474:mprj_io_in[24] *2009:10 13.8366 -2 *2009:10 *2009:11 67.2342 -3 *2009:11 *2446:pad_gpio_in 3.73793 -*END - -*D_NET *2010 0.0200695 -*CONN -*I *2447:pad_gpio_in I *D gpio_control_block -*I *2474:mprj_io_in[25] O *D chip_io_alt -*CAP -1 *2447:pad_gpio_in 0.00127606 -2 *2474:mprj_io_in[25] 0.000624313 -3 *2010:16 0.00331385 -4 *2010:15 0.00237474 -5 *2010:10 0.00498604 -6 *2010:9 0.0052734 -7 *2448:serial_load *2010:9 0.000481922 -8 *515:8 *2010:9 0.00173918 -*RES -1 *2474:mprj_io_in[25] *2010:9 32.9326 -2 *2010:9 *2010:10 129.946 -3 *2010:10 *2010:15 18.3065 -4 *2010:15 *2010:16 56.7384 -5 *2010:16 *2447:pad_gpio_in 42.109 -*END - -*D_NET *2011 0.0118943 -*CONN -*I *2448:pad_gpio_in I *D gpio_control_block -*I *2474:mprj_io_in[26] O *D chip_io_alt -*CAP -1 *2448:pad_gpio_in 0.00076025 -2 *2474:mprj_io_in[26] 0.00104862 -3 *2011:12 0.00123904 -4 *2011:7 0.00152741 -5 *2448:pad_gpio_in *2065:12 0 -6 *2448:pad_gpio_in *2092:15 9.91584e-05 -7 *2448:pad_gpio_in *2146:10 0 -8 *2011:7 *2474:mprj_io_vtrip_sel[26] 0.000220432 -9 *2011:12 *2065:15 0.00212337 -10 *2011:12 *2092:15 0.000409792 -11 *1795:8 *2011:7 0.00292714 -12 *1957:12 *2448:pad_gpio_in 0.000874995 -13 *1984:21 *2448:pad_gpio_in 9.81028e-05 -14 *1984:21 *2011:7 0.000566034 -*RES -1 *2474:mprj_io_in[26] *2011:7 47.5342 -2 *2011:7 *2011:12 31.3529 -3 *2011:12 *2448:pad_gpio_in 27.3407 -*END - -*D_NET *2012 0.0219252 -*CONN -*I *2455:pad_gpio_in I *D gpio_control_block -*I *2474:mprj_io_in[2] O *D chip_io_alt -*CAP -1 *2455:pad_gpio_in 0.000493176 -2 *2474:mprj_io_in[2] 0.000548854 -3 *2012:17 0.00118942 -4 *2012:16 0.00111715 -5 *2012:11 0.00182382 -6 *2012:10 0.00195177 -7 *2455:pad_gpio_in *2066:13 0.000318902 -8 *2012:17 *2093:14 8.67988e-05 -9 *100:80 *2012:17 0.00159639 -10 *104:40 *2455:pad_gpio_in 0.000201392 -11 *471:46 *2012:10 0.00019711 -12 *480:14 *2012:11 0.000776534 -13 *485:50 *2012:11 0.00087705 -14 *1823:20 *2012:11 0.00361469 -15 *1924:14 *2012:11 0.00379191 -16 *1935:13 *2455:pad_gpio_in 1.75554e-05 -17 *1935:23 *2012:11 1.2693e-05 -18 *1935:24 *2012:11 0 -19 *1958:13 *2455:pad_gpio_in 0.000124349 -20 *1985:13 *2455:pad_gpio_in 5.08157e-05 -21 *1985:14 *2012:17 0.00313477 -*RES -1 *2474:mprj_io_in[2] *2012:10 23.4607 -2 *2012:10 *2012:11 94.4332 -3 *2012:11 *2012:16 17.4878 -4 *2012:16 *2012:17 53.7385 -5 *2012:17 *2455:pad_gpio_in 25.4754 -*END - -*D_NET *2013 0.0230528 -*CONN -*I *2456:pad_gpio_in I *D gpio_control_block -*I *2474:mprj_io_in[3] O *D chip_io_alt -*CAP -1 *2456:pad_gpio_in 0.000404441 -2 *2474:mprj_io_in[3] 4.30859e-05 -3 *2013:13 0.00146232 -4 *2013:12 0.00114904 -5 *2013:7 0.000867091 -6 *2013:5 0.000819013 -7 *2456:pad_gpio_in *2067:15 4.69062e-05 -8 *2456:pad_gpio_in *2094:8 5.88867e-05 -9 *2456:pad_gpio_in *2121:13 0 -10 *2013:7 *2474:mprj_io_slow_sel[3] 0.0006713 -11 *2013:7 *2040:19 0.000540347 -12 *2013:13 *2121:14 0 -13 *2455:resetn_out *2013:7 0.000482261 -14 *2455:resetn_out *2013:13 0.00080517 -15 *2474:mprj_io_analog_en[3] *2013:7 0.00160635 -16 *2474:mprj_io_analog_sel[3] *2013:7 2.2862e-06 -17 *2474:mprj_io_dm[10] *2013:7 0.00041084 -18 *2474:mprj_io_holdover[3] *2013:12 1.31872e-05 -19 *2474:mprj_io_holdover[3] *2013:13 0.00172394 -20 *105:52 *2013:13 0.00105977 -21 *472:38 *2013:13 5.07721e-05 -22 *504:8 *2013:7 0.000185169 -23 *504:12 *2013:7 0.00365762 -24 *504:12 *2013:13 0.000487144 -25 *1851:16 *2013:13 0.00290543 -26 *1860:23 *2013:12 0 -27 *1959:18 *2013:13 2.93592e-05 -28 *1986:13 *2456:pad_gpio_in 3.84497e-05 -29 *1986:14 *2013:13 0.00353264 -*RES -1 *2474:mprj_io_in[3] *2013:5 1.20912 -2 *2013:5 *2013:7 65.158 -3 *2013:7 *2013:12 10.8326 -4 *2013:12 *2013:13 90.696 -5 *2013:13 *2456:pad_gpio_in 21.4486 -*END - -*D_NET *2014 0.0208152 -*CONN -*I *2457:pad_gpio_in I *D gpio_control_block -*I *2474:mprj_io_in[4] O *D chip_io_alt -*CAP -1 *2457:pad_gpio_in 0.000224492 -2 *2474:mprj_io_in[4] 0.000707935 -3 *2014:31 0.00147753 -4 *2014:30 0.00174545 -5 *2014:21 0.00146386 -6 *2014:18 0.00158219 -7 *2014:12 0.00131869 -8 *2457:pad_gpio_in *2068:15 4.10643e-05 -9 *2457:pad_gpio_in *2095:7 0 -10 *2014:18 *2474:mprj_io_slow_sel[4] 4.17605e-05 -11 *2014:30 *2474:mprj_io_out[4] 5.57839e-05 -12 *2014:30 *2041:8 5.481e-05 -13 *2014:31 *2068:15 0 -14 *2014:31 *2095:8 0.00335023 -15 *2014:31 *2122:13 0 -16 *2474:mprj_io_analog_en[4] *2014:18 0.000471151 -17 *2474:mprj_io_dm[13] *2014:18 0.000517809 -18 *108:47 *2014:30 0.000224324 -19 *110:98 *2014:21 0.00133189 -20 *478:32 *2014:18 0.000230029 -21 *493:51 *2014:21 0.000425124 -22 *495:56 *2014:12 0.000280067 -23 *505:14 *2014:18 0.0014693 -24 *1798:13 *2014:31 0 -25 *1798:14 *2014:18 9.34396e-06 -26 *1798:14 *2014:21 0 -27 *1825:15 *2014:31 0 -28 *1825:16 *2014:21 0.000455641 -29 *1852:13 *2014:31 0 -30 *1861:15 *2014:31 0 -31 *1861:16 *2014:21 0 -32 *1863:13 *2014:31 0 -33 *1863:14 *2014:30 0.00165376 -34 *1960:13 *2014:31 0 -35 *1960:14 *2014:30 0.00165016 -36 *1987:13 *2457:pad_gpio_in 3.27908e-05 -37 *1987:13 *2014:31 0 -*RES -1 *2474:mprj_io_in[4] *2014:12 30.1986 -2 *2014:12 *2014:18 39.2491 -3 *2014:18 *2014:21 49.103 -4 *2014:21 *2014:30 45.5761 -5 *2014:30 *2014:31 54.3614 -6 *2014:31 *2457:pad_gpio_in 4.12039 -*END - -*D_NET *2015 0.0238245 -*CONN -*I *2458:pad_gpio_in I *D gpio_control_block -*I *2474:mprj_io_in[5] O *D chip_io_alt -*CAP -1 *2458:pad_gpio_in 0.000651625 -2 *2474:mprj_io_in[5] 0.00057844 -3 *2015:11 0.00238163 -4 *2015:10 0.00230844 -5 *2458:pad_gpio_in *2069:13 0.000741548 -6 *2458:pad_gpio_in *2150:8 0 -7 *2015:11 *2069:14 0.000537421 -8 *478:32 *2015:11 0.00147391 -9 *495:64 *2015:10 0 -10 *506:14 *2015:11 0.000812353 -11 *1799:14 *2015:11 0.0061995 -12 *1865:13 *2458:pad_gpio_in 0.000528767 -13 *1865:14 *2015:11 0.00749462 -14 *1866:13 *2458:pad_gpio_in 4.62112e-05 -15 *1961:13 *2458:pad_gpio_in 1.2366e-05 -16 *1988:7 *2458:pad_gpio_in 5.76421e-05 -*RES -1 *2474:mprj_io_in[5] *2015:10 23.876 -2 *2015:10 *2015:11 146.34 -3 *2015:11 *2458:pad_gpio_in 35.0402 -*END - -*D_NET *2016 0.0177924 -*CONN -*I *2459:pad_gpio_in I *D gpio_control_block -*I *2474:mprj_io_in[6] O *D chip_io_alt -*CAP -1 *2459:pad_gpio_in 0.000581879 -2 *2474:mprj_io_in[6] 8.17845e-05 -3 *2016:19 0.00309238 -4 *2016:18 0.00274326 -5 *2016:13 0.00104353 -6 *2016:11 0.000892549 -7 *2459:pad_gpio_in *2070:13 3.84497e-05 -8 *2459:pad_gpio_in *2097:8 4.05974e-05 -9 *2459:pad_gpio_in *2124:13 0 -10 *2459:pad_gpio_in *2151:15 0 -11 *2016:13 *2151:22 0.00301099 -12 *2016:19 *2043:13 0.000469817 -13 *2016:19 *2151:16 0 -14 *2016:19 *2178:14 0.00225214 -15 *2459:serial_clock *2016:13 0.000462724 -16 *2474:mprj_io_analog_sel[6] *2016:18 5.04829e-06 -17 *494:26 *2016:19 0.000883061 -18 *495:64 *2016:13 0.00140631 -19 *507:12 *2016:13 0.000749438 -20 *1800:14 *2016:19 0 -21 *1989:13 *2459:pad_gpio_in 3.84497e-05 -*RES -1 *2474:mprj_io_in[6] *2016:11 3.11439 -2 *2016:11 *2016:13 58.9292 -3 *2016:13 *2016:18 14.1602 -4 *2016:18 *2016:19 96.9248 -5 *2016:19 *2459:pad_gpio_in 25.3308 -*END - -*D_NET *2017 0.0506982 -*CONN -*I *2460:pad_gpio_in I *D gpio_control_block -*I *2474:mprj_io_in[7] O *D chip_io_alt -*CAP -1 *2460:pad_gpio_in 0.000289673 -2 *2474:mprj_io_in[7] 0.000677784 -3 *2017:13 0.0043113 -4 *2017:12 0.00402163 -5 *2017:10 0.000677784 -6 *2460:pad_gpio_in *2071:7 3.84497e-05 -7 *2017:10 *2018:33 0.00162737 -8 *2017:10 *2153:16 0.00250808 -9 *2017:13 *2072:18 0.00352908 -10 *2017:13 *2125:14 0.0186026 -11 *2017:13 *2180:14 0.000437916 -12 *110:98 *2017:13 0.00107501 -13 *495:64 *2017:13 0.00034574 -14 *1871:13 *2460:pad_gpio_in 3.99086e-06 -15 *1871:14 *2017:13 0.00852792 -16 *1872:13 *2460:pad_gpio_in 0.000671753 -17 *1872:14 *2017:13 0.000591336 -18 *1963:8 *2017:10 0.000189832 -19 *1990:7 *2460:pad_gpio_in 3.84497e-05 -20 *1990:8 *2017:10 0.000158304 -21 *1990:8 *2017:13 0.00237421 -*RES -1 *2474:mprj_io_in[7] *2017:10 48.7472 -2 *2017:10 *2017:12 4.5 -3 *2017:12 *2017:13 344.415 -4 *2017:13 *2460:pad_gpio_in 21.1727 -*END - -*D_NET *2018 0.0507291 -*CONN -*I *2449:pad_gpio_in I *D gpio_control_block -*I *2474:mprj_io_in[8] O *D chip_io_alt -*CAP -1 *2449:pad_gpio_in 8.87904e-05 -2 *2474:mprj_io_in[8] 0.000171188 -3 *2018:37 0.00194087 -4 *2018:36 0.00278263 -5 *2018:33 0.00213695 -6 *2018:27 0.00217165 -7 *2018:24 0.00103527 -8 *2018:19 0.00108369 -9 *2018:18 0.00138088 -10 *2018:13 0.00262099 -11 *2018:11 0.00242498 -12 *2018:11 *2019:11 6.34651e-06 -13 *2018:11 *2073:31 0.000171079 -14 *2018:13 *2019:11 0.000490356 -15 *2018:13 *2126:16 0.000138272 -16 *2018:18 *2044:8 0.000450929 -17 *2018:24 *2293:10 0.000213725 -18 *2474:mprj_io_analog_pol[7] *2018:24 5.04829e-06 -19 *510:14 *2018:19 0.0053783 -20 *510:14 *2018:27 0.000326993 -21 *1570:20 *2018:27 0 -22 *1857:13 *2018:13 0 -23 *1874:15 *2018:33 4.66705e-05 -24 *1874:21 *2018:27 0.00162199 -25 *1874:28 *2018:13 0.00778558 -26 *1876:14 *2018:19 0.0026061 -27 *1877:13 *2018:13 0 -28 *1878:13 *2018:13 0 -29 *1879:8 *2018:11 2.1558e-06 -30 *1879:8 *2018:13 0.00362062 -31 *1879:19 *2018:11 0.000112116 -32 *1963:8 *2018:33 0.00028839 -33 *1964:10 *2018:37 9.87197e-06 -34 *1964:15 *2018:36 0.000580103 -35 *1965:8 *2018:13 0.000920114 -36 *1991:9 *2449:pad_gpio_in 8.11597e-06 -37 *1991:10 *2018:37 0.00526732 -38 *1991:16 *2018:19 0.000867468 -39 *1991:16 *2018:27 0.000346217 -40 *2017:10 *2018:33 0.00162737 -*RES -1 *2474:mprj_io_in[8] *2018:11 6.7051 -2 *2018:11 *2018:13 149.039 -3 *2018:13 *2018:18 20.8155 -4 *2018:18 *2018:19 86.1282 -5 *2018:19 *2018:24 11.3872 -6 *2018:24 *2018:27 45.781 -7 *2018:27 *2018:33 49.3658 -8 *2018:33 *2018:36 29.0714 -9 *2018:36 *2018:37 85.0901 -10 *2018:37 *2449:pad_gpio_in 3.65147 -*END - -*D_NET *2019 0.0566566 -*CONN -*I *2450:pad_gpio_in I *D gpio_control_block -*I *2474:mprj_io_in[9] O *D chip_io_alt -*CAP -1 *2450:pad_gpio_in 0.000644065 -2 *2474:mprj_io_in[9] 0.000186687 -3 *2019:11 0.00456722 -4 *2019:10 0.00410985 -5 *2450:pad_gpio_in *2127:13 0.000268171 -6 *2019:11 *2072:18 0.000126603 -7 *2019:11 *2073:31 0.000531716 -8 *2019:11 *2073:44 0.0123589 -9 *2019:11 *2126:16 0.000714515 -10 *2019:11 *2153:24 0.00288812 -11 *2019:11 *2154:14 0.023282 -12 *2019:11 *2156:17 0.000338167 -13 *2019:11 *2302:15 0.000260215 -14 *2019:11 *2320:15 0.00108964 -15 *2474:mprj_io_analog_en[8] *2019:11 0 -16 *2474:mprj_io_analog_sel[8] *2019:11 0.000500922 -17 *2474:mprj_io_dm[26] *2019:11 0 -18 *101:15 *2019:10 4.35979e-05 -19 *1803:14 *2019:11 1.23804e-05 -20 *1803:27 *2019:11 0.000197391 -21 *1874:28 *2019:11 0.00104861 -22 *1879:8 *2450:pad_gpio_in 0 -23 *1879:19 *2019:11 0.000861563 -24 *1879:42 *2019:10 3.88655e-06 -25 *1965:8 *2019:11 0.00135541 -26 *1967:13 *2019:11 0.000569924 -27 *1992:7 *2450:pad_gpio_in 2.89493e-05 -28 *1994:44 *2019:10 0.00017134 -29 *2018:11 *2019:11 6.34651e-06 -30 *2018:13 *2019:11 0.000490356 -*RES -1 *2474:mprj_io_in[9] *2019:10 14.5871 -2 *2019:10 *2019:11 380.957 -3 *2019:11 *2450:pad_gpio_in 27.8139 -*END - -*D_NET *2020 0.143904 -*CONN -*I *2473:io_in_3v3[0] I *D user_analog_project_wrapper -*I *2474:mprj_io_in_3v3[0] O *D chip_io_alt -*CAP -1 *2473:io_in_3v3[0] 0.00166688 -2 *2474:mprj_io_in_3v3[0] 3.80717e-05 -3 *2020:24 0.00338624 -4 *2020:23 0.00171936 -5 *2020:21 0.00786169 -6 *2020:20 0.00791852 -7 *2020:15 0.00272962 -8 *2020:13 0.00269857 -9 *2020:11 0.00101628 -10 *2020:10 0.00099051 -11 *2020:8 0.00212067 -12 *2020:7 0.00215875 -13 *2473:io_in_3v3[0] *2473:io_in[0] 0 -14 *2473:io_in_3v3[0] *2375:10 0 -15 *2020:7 *2474:mprj_io_oeb[0] 9.29258e-05 -16 *2020:11 *2222:12 0.000973065 -17 *2020:15 *2031:11 0.000549956 -18 *2020:15 *2222:12 0.0159439 -19 *2020:21 *2031:11 1.3813e-05 -20 *2020:21 *2031:15 0.0383253 -21 *2020:21 *2222:16 0.00293839 -22 *2020:21 *2222:30 0.0231806 -23 *2471:mgmt_gpio_in[10] *2020:15 0.00130366 -24 *2471:mgmt_gpio_in[11] *2020:15 0.00130366 -25 *2471:mgmt_gpio_in[12] *2020:21 0.00130366 -26 *2471:mgmt_gpio_in[14] *2020:21 0.00130366 -27 *2471:mgmt_gpio_in[15] *2020:21 0.00130366 -28 *2471:mgmt_gpio_in[17] *2020:21 0.00130366 -29 *2471:mgmt_gpio_in[18] *2020:21 0.00130366 -30 *2471:mgmt_gpio_in[19] *2020:21 0.00130366 -31 *2471:mgmt_gpio_in[2] *2020:11 0.00130366 -32 *2471:mgmt_gpio_in[3] *2020:11 0.00130366 -33 *2471:mgmt_gpio_in[4] *2020:15 0.00130366 -34 *2471:mgmt_gpio_in[6] *2020:15 0.00130366 -35 *2471:mgmt_gpio_in[7] *2020:15 0.00125157 -36 *2471:mgmt_gpio_in[8] *2020:15 0.00130366 -37 *2471:mgmt_gpio_in[9] *2020:15 0.00130366 -38 *470:16 *2020:21 0.000137254 -39 *477:29 *2473:io_in_3v3[0] 0 -40 *477:29 *2020:24 0.000726008 -41 *492:25 *2020:24 0.00303298 -42 *525:8 *2020:11 0.00225124 -43 *525:8 *2020:15 0.00193015 -44 *1573:7 *2020:21 0 -45 *1576:9 *2020:21 0 -*RES -1 *2474:mprj_io_in_3v3[0] *2020:7 6.03888 -2 *2020:7 *2020:8 52.3015 -3 *2020:8 *2020:10 4.5 -4 *2020:10 *2020:11 74.2935 -5 *2020:11 *2020:13 0.732798 -6 *2020:13 *2020:15 256.174 -7 *2020:15 *2020:20 10.3986 -8 *2020:20 *2020:21 663.329 -9 *2020:21 *2020:23 4.5 -10 *2020:23 *2020:24 71.1581 -11 *2020:24 *2473:io_in_3v3[0] 18.3398 -*END - -*D_NET *2021 0.0268933 -*CONN -*I *2473:io_in_3v3[10] I *D user_analog_project_wrapper -*I *2474:mprj_io_in_3v3[10] O *D chip_io_alt -*CAP -1 *2473:io_in_3v3[10] 0.00119884 -2 *2474:mprj_io_in_3v3[10] 0.000689618 -3 *2021:11 0.00343132 -4 *2021:10 0.00223248 -5 *2021:8 0.00434925 -6 *2021:7 0.00503886 -7 *2473:io_in_3v3[10] *2473:gpio_noesd[3] 0 -8 *2473:io_in_3v3[10] *2473:io_in[10] 0.00152279 -9 *2021:7 *2103:18 0.000249362 -10 *2021:7 *2130:20 0 -11 *2021:7 *2130:24 0 -12 *2021:11 *2385:10 0.00644227 -13 *1779:14 *2021:7 2.5386e-05 -14 *1806:14 *2021:7 0.00171314 -*RES -1 *2474:mprj_io_in_3v3[10] *2021:7 34.8684 -2 *2021:7 *2021:8 117.19 -3 *2021:8 *2021:10 4.5 -4 *2021:10 *2021:11 102.946 -5 *2021:11 *2473:io_in_3v3[10] 8.92763 -*END - -*D_NET *2022 0.0269346 -*CONN -*I *2473:io_in_3v3[11] I *D user_analog_project_wrapper -*I *2474:mprj_io_in_3v3[11] O *D chip_io_alt -*CAP -1 *2473:io_in_3v3[11] 0.00100808 -2 *2474:mprj_io_in_3v3[11] 0.000572397 -3 *2022:11 0.00306864 -4 *2022:10 0.00206056 -5 *2022:8 0.0043779 -6 *2022:7 0.0049503 -7 *2473:io_in_3v3[11] *2473:gpio_noesd[4] 0 -8 *2473:io_in_3v3[11] *2473:io_in[11] 0.00368994 -9 *2022:7 *2077:15 0.000131317 -10 *2022:11 *2305:17 0.00215484 -11 *2022:11 *2332:14 0.00284146 -12 *2022:11 *2385:10 0.000522281 -13 *1889:18 *2022:7 0.00155693 -14 *1942:34 *2022:7 0 -*RES -1 *2474:mprj_io_in_3v3[11] *2022:7 29.7083 -2 *2022:7 *2022:8 117.19 -3 *2022:8 *2022:10 4.5 -4 *2022:10 *2022:11 101.7 -5 *2022:11 *2473:io_in_3v3[11] 8.85612 -*END - -*D_NET *2023 0.0212576 -*CONN -*I *2473:io_in_3v3[12] I *D user_analog_project_wrapper -*I *2474:mprj_io_in_3v3[12] O *D chip_io_alt -*CAP -1 *2473:io_in_3v3[12] 0.00103693 -2 *2474:mprj_io_in_3v3[12] 0.00071618 -3 *2023:11 0.00428047 -4 *2023:10 0.00324354 -5 *2023:8 0.00434923 -6 *2023:7 0.00506541 -7 *2473:io_in_3v3[12] *2473:gpio_noesd[5] 0 -8 *2473:io_in_3v3[12] *2473:io_in[12] 0.000921588 -9 *2023:11 *2359:10 0.0010787 -10 *2023:11 *2386:10 0.000565545 -*RES -1 *2474:mprj_io_in_3v3[12] *2023:7 24.7252 -2 *2023:7 *2023:8 119.963 -3 *2023:8 *2023:10 4.5 -4 *2023:10 *2023:11 101.7 -5 *2023:11 *2473:io_in_3v3[12] 8.2891 -*END - -*D_NET *2024 0.0308248 -*CONN -*I *2473:io_in_3v3[13] I *D user_analog_project_wrapper -*I *2474:mprj_io_in_3v3[13] O *D chip_io_alt -*CAP -1 *2473:io_in_3v3[13] 0.00137912 -2 *2474:mprj_io_in_3v3[13] 0.000748514 -3 *2024:11 0.00246536 -4 *2024:10 0.00108625 -5 *2024:8 0.00408658 -6 *2024:7 0.00483509 -7 *2473:io_in_3v3[13] *2473:io_in[13] 0 -8 *2024:7 *2474:mprj_io_oeb[13] 0 -9 *2024:11 *2325:13 0.000651922 -10 *2024:11 *2333:14 0.000194974 -11 *2024:11 *2360:10 0.00553722 -12 *2024:11 *2387:10 0.00600188 -13 *2474:mprj_io_ib_mode_sel[13] *2024:7 0.00191674 -14 *1537:23 *2024:8 0.00192115 -15 *1997:19 *2024:7 0 -*RES -1 *2474:mprj_io_in_3v3[13] *2024:7 36.3523 -2 *2024:7 *2024:8 119.409 -3 *2024:8 *2024:10 4.5 -4 *2024:10 *2024:11 110.005 -5 *2024:11 *2473:io_in_3v3[13] 8.64661 -*END - -*D_NET *2025 0.0199094 -*CONN -*I *2473:io_in_3v3[14] I *D user_analog_project_wrapper -*I *2474:mprj_io_in_3v3[14] O *D chip_io_alt -*CAP -1 *2473:io_in_3v3[14] 0.00187014 -2 *2474:mprj_io_in_3v3[14] 0.000725423 -3 *2025:10 0.00392779 -4 *2025:9 0.00278307 -5 *2473:io_in_3v3[14] *2473:gpio_noesd[7] 0 -6 *2473:io_in_3v3[14] *2473:io_in[14] 0 -7 *2025:9 *2474:mprj_io_oeb[14] 0.00156618 -8 *2025:9 *2326:13 3.31733e-05 -9 *2025:10 *2326:14 0.00898443 -10 *2474:mprj_io_holdover[14] *2025:10 1.92336e-05 -11 *485:23 *2025:9 0 -*RES -1 *2474:mprj_io_in_3v3[14] *2025:9 34.3249 -2 *2025:9 *2025:10 95.006 -3 *2025:10 *2473:io_in_3v3[14] 19.6219 -*END - -*D_NET *2026 0.0181317 -*CONN -*I *2473:io_in_3v3[15] I *D user_analog_project_wrapper -*I *2474:mprj_io_in_3v3[15] O *D chip_io_alt -*CAP -1 *2473:io_in_3v3[15] 0.00159439 -2 *2474:mprj_io_in_3v3[15] 0.000435574 -3 *2026:10 0.0039197 -4 *2026:9 0.00276088 -5 *2473:io_in_3v3[15] *2473:io_in[15] 9.3612e-05 -6 *2026:9 *2474:mprj_io_oeb[15] 0.00045785 -7 *2026:9 *2474:mprj_io_vtrip_sel[15] 0.0006713 -8 *2026:9 *2107:14 2.37478e-05 -9 *2026:10 *2161:17 0.000231442 -10 *2026:10 *2335:19 0.00747011 -11 *489:14 *2026:9 0.000473066 -*RES -1 *2474:mprj_io_in_3v3[15] *2026:9 29.0732 -2 *2026:9 *2026:10 96.6698 -3 *2026:10 *2473:io_in_3v3[15] 15.3309 -*END - -*D_NET *2027 0.0148862 -*CONN -*I *2473:io_in_3v3[16] I *D user_analog_project_wrapper -*I *2474:mprj_io_in_3v3[16] O *D chip_io_alt -*CAP -1 *2473:io_in_3v3[16] 0.00203445 -2 *2474:mprj_io_in_3v3[16] 0.000871721 -3 *2027:10 0.00565327 -4 *2027:9 0.00449055 -5 *2473:io_in_3v3[16] *2473:gpio_noesd[9] 0 -6 *2473:io_in_3v3[16] *2473:io_in[16] 0 -7 *2473:io_in_3v3[16] *2363:10 0 -8 *2027:9 *2474:mprj_io_oeb[16] 0.000215165 -9 *2027:9 *2474:mprj_io_out[16] 0.000189119 -10 *2027:9 *2108:16 0 -11 *2474:mprj_io_analog_sel[16] *2027:10 0 -12 *2474:mprj_io_holdover[16] *2027:9 0.000505268 -13 *2474:mprj_io_ib_mode_sel[16] *2027:9 0.000926678 -*RES -1 *2474:mprj_io_in_3v3[16] *2027:9 40.0835 -2 *2027:9 *2027:10 97.2244 -3 *2027:10 *2473:io_in_3v3[16] 24.2923 -*END - -*D_NET *2028 0.0203598 -*CONN -*I *2473:io_in_3v3[17] I *D user_analog_project_wrapper -*I *2474:mprj_io_in_3v3[17] O *D chip_io_alt -*CAP -1 *2473:io_in_3v3[17] 0.00163685 -2 *2474:mprj_io_in_3v3[17] 0.000545431 -3 *2028:10 0.00380624 -4 *2028:9 0.00271483 -5 *2473:io_in_3v3[17] *2473:gpio_noesd[10] 0.000488429 -6 *2473:io_in_3v3[17] *2473:io_in[17] 0.000122042 -7 *2028:9 *2474:mprj_io_oeb[17] 4.76719e-05 -8 *2028:10 *2312:10 0.00913874 -9 *473:8 *2028:9 0.000579885 -10 *1974:24 *2028:9 0.00127967 -*RES -1 *2474:mprj_io_in_3v3[17] *2028:9 34.4714 -2 *2028:9 *2028:10 96.6698 -3 *2028:10 *2473:io_in_3v3[17] 18.9489 -*END - -*D_NET *2029 0.0158823 -*CONN -*I *2473:io_in_3v3[18] I *D user_analog_project_wrapper -*I *2474:mprj_io_in_3v3[18] O *D chip_io_alt -*CAP -1 *2473:io_in_3v3[18] 0.00166839 -2 *2474:mprj_io_in_3v3[18] 0.000395047 -3 *2029:10 0.00464133 -4 *2029:9 0.00336798 -5 *2473:io_in_3v3[18] *2473:gpio_noesd[11] 0 -6 *2473:io_in_3v3[18] *2473:io_in[18] 0 -7 *2029:9 *2474:mprj_io_oeb[18] 0.00116469 -8 *2029:10 *2474:mprj_io_oeb[18] 0 -9 *2029:10 *2474:mprj_io_out[18] 4.96363e-05 -10 *2029:10 *2338:17 0.00413078 -11 *107:58 *2029:9 0.000464416 -*RES -1 *2474:mprj_io_in_3v3[18] *2029:9 28.2427 -2 *2029:9 *2029:10 97.2244 -3 *2029:10 *2473:io_in_3v3[18] 13.7547 -*END - -*D_NET *2030 0.0151471 -*CONN -*I *2473:io_in_3v3[19] I *D user_analog_project_wrapper -*I *2474:mprj_io_in_3v3[19] O *D chip_io_alt -*CAP -1 *2473:io_in_3v3[19] 0.00162492 -2 *2474:mprj_io_in_3v3[19] 0.000626301 -3 *2030:16 0.00576754 -4 *2030:15 0.00476892 -5 *2473:io_in_3v3[19] *2473:gpio_noesd[12] 0 -6 *2473:io_in_3v3[19] *2473:io_in[19] 0 -7 *2030:15 *2474:mprj_io_oeb[19] 0.000913325 -8 *2030:15 *2474:mprj_io_out[19] 0.000189119 -9 *2474:mprj_io_dm[59] *2030:16 8.35282e-05 -10 *2474:mprj_io_holdover[19] *2030:15 0.000435402 -11 *2474:mprj_io_ib_mode_sel[19] *2030:15 5.99922e-05 -12 *475:16 *2030:15 0.000678081 -*RES -1 *2474:mprj_io_in_3v3[19] *2030:15 40.3827 -2 *2030:15 *2030:16 109.98 -3 *2030:16 *2473:io_in_3v3[19] 22.4682 -*END - -*D_NET *2031 0.118019 -*CONN -*I *2473:io_in_3v3[1] I *D user_analog_project_wrapper -*I *2474:mprj_io_in_3v3[1] O *D chip_io_alt -*CAP -1 *2473:io_in_3v3[1] 0.00124433 -2 *2474:mprj_io_in_3v3[1] 2.02418e-05 -3 *2031:18 0.00349186 -4 *2031:17 0.00224752 -5 *2031:15 0.00570498 -6 *2031:13 0.00573357 -7 *2031:11 0.000974799 -8 *2031:10 0.000946212 -9 *2031:8 0.00223872 -10 *2031:7 0.00225897 -11 *2473:io_in_3v3[1] *2473:io_in[1] 0 -12 *2473:io_in_3v3[1] *2356:12 0 -13 *2031:7 *2474:mprj_io_oeb[1] 4.08338e-05 -14 *2031:11 *2222:12 9.71323e-06 -15 *2031:11 *2222:16 0.00297387 -16 *2031:15 *2222:24 0.0147182 -17 *2031:15 *2394:20 0.025779 -18 *2031:15 *2394:24 7.44441e-06 -19 *2031:18 *2340:17 0.00121204 -20 *2471:mgmt_gpio_in[10] *2031:11 0.000982761 -21 *2471:mgmt_gpio_in[11] *2031:11 0.00130366 -22 *470:16 *2031:15 0.000758132 -23 *525:8 *2031:11 0.00646948 -24 *525:8 *2031:15 1.3813e-05 -25 *2020:15 *2031:11 0.000549956 -26 *2020:21 *2031:11 1.3813e-05 -27 *2020:21 *2031:15 0.0383253 -*RES -1 *2474:mprj_io_in_3v3[1] *2031:7 5.20837 -2 *2031:7 *2031:8 51.7469 -3 *2031:8 *2031:10 4.5 -4 *2031:10 *2031:11 103.776 -5 *2031:11 *2031:13 0.732798 -6 *2031:13 *2031:15 651.909 -7 *2031:15 *2031:17 4.5 -8 *2031:17 *2031:18 73.9311 -9 *2031:18 *2473:io_in_3v3[1] 12.3829 -*END - -*D_NET *2032 0.0168879 -*CONN -*I *2473:io_in_3v3[20] I *D user_analog_project_wrapper -*I *2474:mprj_io_in_3v3[20] O *D chip_io_alt -*CAP -1 *2473:io_in_3v3[20] 0.00184639 -2 *2474:mprj_io_in_3v3[20] 0.000954074 -3 *2032:14 0.00464537 -4 *2032:13 0.00375306 -5 *2473:io_in_3v3[20] *2473:gpio_noesd[13] 0 -6 *2473:io_in_3v3[20] *2473:io_in[20] 9.69513e-05 -7 *2032:13 *2474:mprj_io_oeb[20] 0.00136153 -8 *2032:14 *2341:19 0.00423054 -9 *474:48 *2032:13 0 -10 *494:8 *2032:13 0 -*RES -1 *2474:mprj_io_in_3v3[20] *2032:13 47.7795 -2 *2032:13 *2032:14 92.233 -3 *2032:14 *2473:io_in_3v3[20] 17.2064 -*END - -*D_NET *2033 0.0150202 -*CONN -*I *2473:io_in_3v3[21] I *D user_analog_project_wrapper -*I *2474:mprj_io_in_3v3[21] O *D chip_io_alt -*CAP -1 *2473:io_in_3v3[21] 0.00149591 -2 *2474:mprj_io_in_3v3[21] 0.00071616 -3 *2033:13 0.00283076 -4 *2033:8 0.00511769 -5 *2033:7 0.004499 -6 *2473:io_in_3v3[21] *2473:io_in[21] 0.000360677 -7 *2473:io_in_3v3[21] *2396:15 0 -8 *2033:13 *2342:14 0 -9 *2033:13 *2369:10 0 -10 *2033:13 *2396:15 0 -*RES -1 *2474:mprj_io_in_3v3[21] *2033:7 24.7252 -2 *2033:7 *2033:8 101.107 -3 *2033:8 *2033:13 45.2048 -4 *2033:13 *2473:io_in_3v3[21] 5.23958 -*END - -*D_NET *2034 0.0189315 -*CONN -*I *2473:io_in_3v3[22] I *D user_analog_project_wrapper -*I *2474:mprj_io_in_3v3[22] O *D chip_io_alt -*CAP -1 *2473:io_in_3v3[22] 0.00110427 -2 *2474:mprj_io_in_3v3[22] 0.000913974 -3 *2034:11 0.00263044 -4 *2034:8 0.00526379 -5 *2034:7 0.00465159 -6 *2473:io_in_3v3[22] *2473:io_in[22] 0.00387569 -7 *2034:11 *2473:io_in[22] 5.81406e-05 -8 *2034:11 *2370:12 0 -9 *496:17 *2034:7 0.00043359 -*RES -1 *2474:mprj_io_in_3v3[22] *2034:7 30.3494 -2 *2034:7 *2034:8 99.4428 -3 *2034:8 *2034:11 47.2343 -4 *2034:11 *2473:io_in_3v3[22] 8.85945 -*END - -*D_NET *2035 0.0175545 -*CONN -*I *2473:io_in_3v3[23] I *D user_analog_project_wrapper -*I *2474:mprj_io_in_3v3[23] O *D chip_io_alt -*CAP -1 *2473:io_in_3v3[23] 0.00153483 -2 *2474:mprj_io_in_3v3[23] 0.0011251 -3 *2035:11 0.00326449 -4 *2035:10 0.00172966 -5 *2035:8 0.00372105 -6 *2035:7 0.00484615 -7 *2473:io_in_3v3[23] *2473:io_in[23] 0.000411455 -8 *2035:11 *2473:io_in[23] 9.69513e-05 -9 *2035:11 *2371:12 8.10016e-06 -10 *2035:11 *2398:12 0.000282459 -11 *110:87 *2473:io_in_3v3[23] 0 -12 *112:14 *2035:7 0.00053428 -*RES -1 *2474:mprj_io_in_3v3[23] *2035:7 36.3523 -2 *2035:7 *2035:8 98.3336 -3 *2035:8 *2035:10 4.5 -4 *2035:10 *2035:11 51.8699 -5 *2035:11 *2473:io_in_3v3[23] 8.65825 -*END - -*D_NET *2036 0.0431773 -*CONN -*I *2473:io_in_3v3[24] I *D user_analog_project_wrapper -*I *2474:mprj_io_in_3v3[24] O *D chip_io_alt -*CAP -1 *2473:io_in_3v3[24] 0.0012687 -2 *2474:mprj_io_in_3v3[24] 0.000791535 -3 *2036:11 0.00414443 -4 *2036:10 0.00287573 -5 *2036:8 0.00236278 -6 *2036:7 0.00315432 -7 *2473:io_in_3v3[24] *2473:gpio_noesd[17] 0 -8 *2473:io_in_3v3[24] *2473:io_in[24] 0.00126211 -9 *2036:11 *2347:16 0.000452049 -10 *2036:11 *2374:10 0.00563266 -11 *2036:11 *2401:10 0.00609841 -12 *101:40 *2036:8 0.00976513 -13 *101:48 *2036:7 7.86825e-06 -14 *109:52 *2036:11 0.00282321 -15 *468:21 *2036:8 0.00218674 -16 *486:29 *2036:7 5.94052e-06 -17 *486:44 *2036:7 0.000345713 -*RES -1 *2474:mprj_io_in_3v3[24] *2036:7 26.3862 -2 *2036:7 *2036:8 104.434 -3 *2036:8 *2036:10 4.5 -4 *2036:10 *2036:11 171.047 -5 *2036:11 *2473:io_in_3v3[24] 8.24753 -*END - -*D_NET *2037 0.0492241 -*CONN -*I *2473:io_in_3v3[25] I *D user_analog_project_wrapper -*I *2474:mprj_io_in_3v3[25] O *D chip_io_alt -*CAP -1 *2473:io_in_3v3[25] 0.00241549 -2 *2474:mprj_io_in_3v3[25] 0.000682458 -3 *2037:11 0.0148636 -4 *2037:10 0.0124481 -5 *2037:8 0.00330174 -6 *2037:7 0.00398419 -7 *2473:io_in_3v3[25] *2473:io_in[25] 0 -8 *2473:io_in_3v3[25] *2346:19 0.000861684 -9 *2037:7 *2401:16 0 -10 *2448:serial_load *2037:7 0.000376127 -11 *515:8 *2037:7 0.000812949 -12 *1156:7 *2037:11 0 -13 *1562:16 *2037:11 7.77309e-06 -14 *1572:13 *2037:11 0.00947008 -*RES -1 *2474:mprj_io_in_3v3[25] *2037:7 31.3693 -2 *2037:7 *2037:8 86.687 -3 *2037:8 *2037:10 4.5 -4 *2037:10 *2037:11 405.457 -5 *2037:11 *2473:io_in_3v3[25] 32.9021 -*END - -*D_NET *2038 0.0697658 -*CONN -*I *2473:io_in_3v3[26] I *D user_analog_project_wrapper -*I *2474:mprj_io_in_3v3[26] O *D chip_io_alt -*CAP -1 *2473:io_in_3v3[26] 0.00249792 -2 *2474:mprj_io_in_3v3[26] 0.00144424 -3 *2038:14 0.0046358 -4 *2038:13 0.00213789 -5 *2038:11 0.0155378 -6 *2038:10 0.016982 -7 *2473:io_in_3v3[26] *2473:io_in[26] 0 -8 *2473:io_in_3v3[26] *2373:12 0 -9 *2038:11 *2222:36 9.99386e-06 -10 *1554:27 *2038:11 0.0015027 -11 *1561:8 *2038:11 0.0236341 -12 *1576:13 *2038:11 0.00138332 -*RES -1 *2474:mprj_io_in_3v3[26] *2038:10 48.9753 -2 *2038:10 *2038:11 607.27 -3 *2038:11 *2038:13 4.5 -4 *2038:13 *2038:14 57.293 -5 *2038:14 *2473:io_in_3v3[26] 24.3692 -*END - -*D_NET *2039 0.0559885 -*CONN -*I *2473:io_in_3v3[2] I *D user_analog_project_wrapper -*I *2474:mprj_io_in_3v3[2] O *D chip_io_alt -*CAP -1 *2473:io_in_3v3[2] 0.00130267 -2 *2474:mprj_io_in_3v3[2] 0.00240167 -3 *2039:18 0.00418361 -4 *2039:17 0.00288094 -5 *2039:15 0.0100403 -6 *2039:13 0.0100661 -7 *2039:11 0.00310111 -8 *2039:10 0.005477 -9 *2473:io_in_3v3[2] *2473:io_in[2] 0 -10 *2473:io_in_3v3[2] *2367:12 0 -11 *2039:11 *2394:20 0.00119953 -12 *2039:11 *2394:24 0.00410849 -13 *2039:15 *2394:20 0.0111892 -14 *470:16 *2039:15 0 -15 *471:40 *2039:10 3.78286e-05 -*RES -1 *2474:mprj_io_in_3v3[2] *2039:10 14.3494 -2 *2039:10 *2039:11 124.429 -3 *2039:11 *2039:13 0.732798 -4 *2039:13 *2039:15 383.559 -5 *2039:15 *2039:17 4.5 -6 *2039:17 *2039:18 77.8133 -7 *2039:18 *2473:io_in_3v3[2] 12.6917 -*END - -*D_NET *2040 0.0583824 -*CONN -*I *2473:io_in_3v3[3] I *D user_analog_project_wrapper -*I *2474:mprj_io_in_3v3[3] O *D chip_io_alt -*CAP -1 *2473:io_in_3v3[3] 0.00157237 -2 *2474:mprj_io_in_3v3[3] 0.000485331 -3 *2040:23 0.00441105 -4 *2040:22 0.00283868 -5 *2040:20 0.0045797 -6 *2040:19 0.00506503 -7 *2473:io_in_3v3[3] *2473:io_in[3] 0 -8 *2473:io_in_3v3[3] *2375:9 0 -9 *2040:19 *2474:mprj_io_out[3] 0.000879367 -10 *2040:23 *2348:14 0.0169694 -11 *2040:23 *2375:10 0.00022036 -12 *2040:23 *2402:10 0.017382 -13 *2040:23 *2403:10 0.000651922 -14 *2455:resetn_out *2040:19 0.000141831 -15 *2474:mprj_io_analog_en[3] *2040:20 0.000225379 -16 *2474:mprj_io_analog_sel[3] *2040:19 0.000185867 -17 *2474:mprj_io_analog_sel[3] *2040:20 0 -18 *2474:mprj_io_dm[11] *2040:19 0.00013008 -19 *2474:mprj_io_holdover[3] *2040:19 0.000151159 -20 *105:52 *2040:19 0.000444842 -21 *504:12 *2040:19 0.00150769 -22 *2013:7 *2040:19 0.000540347 -*RES -1 *2474:mprj_io_in_3v3[3] *2040:19 41.5552 -2 *2040:19 *2040:20 118.854 -3 *2040:20 *2040:22 4.5 -4 *2040:22 *2040:23 295.623 -5 *2040:23 *2473:io_in_3v3[3] 8.59506 -*END - -*D_NET *2041 0.0142977 -*CONN -*I *2473:io_in_3v3[4] I *D user_analog_project_wrapper -*I *2474:mprj_io_in_3v3[4] O *D chip_io_alt -*CAP -1 *2473:io_in_3v3[4] 0.00156534 -2 *2474:mprj_io_in_3v3[4] 0.000278774 -3 *2041:8 0.00644461 -4 *2041:7 0.00515804 -5 *2473:io_in_3v3[4] *2376:9 0 -6 *2041:7 *2095:8 0.000796167 -7 *2014:30 *2041:8 5.481e-05 -*RES -1 *2474:mprj_io_in_3v3[4] *2041:7 17.2507 -2 *2041:7 *2041:8 122.736 -3 *2041:8 *2473:io_in_3v3[4] 16.3101 -*END - -*D_NET *2042 0.0218996 -*CONN -*I *2473:io_in_3v3[5] I *D user_analog_project_wrapper -*I *2474:mprj_io_in_3v3[5] O *D chip_io_alt -*CAP -1 *2473:io_in_3v3[5] 0.0013887 -2 *2474:mprj_io_in_3v3[5] 0.000471709 -3 *2042:23 1.43386e-05 -4 *2042:17 0.00347668 -5 *2042:16 0.00210232 -6 *2042:14 0.00435454 -7 *2042:13 0.00435454 -8 *2042:11 0.000471709 -9 *2042:11 *2474:mprj_io_oeb[5] 0.000115378 -10 *2042:14 *2474:mprj_io_inp_dis[5] 0.000713063 -11 *2042:17 *2351:14 0 -12 *2042:17 *2405:10 0 -13 *2474:mprj_io_analog_pol[5] *2042:11 5.05252e-05 -14 *2474:mprj_io_analog_sel[5] *2042:11 0.00187288 -15 *108:49 *2042:11 0.00030076 -16 *495:64 *2042:11 0.00200821 -17 *1853:17 *2042:11 5.04829e-06 -18 *1961:14 *2042:11 3.31736e-05 -19 *1988:8 *2042:11 0.000165997 -*RES -1 *2474:mprj_io_in_3v3[5] *2042:11 46.7325 -2 *2042:11 *2042:13 4.5 -3 *2042:13 *2042:14 114.972 -4 *2042:14 *2042:16 4.5 -5 *2042:16 *2042:17 59.3444 -6 *2042:17 *2473:io_in_3v3[5] 8.42878 -7 *2473:io_in_3v3[5] *2042:23 0.0548734 -*END - -*D_NET *2043 0.0217344 -*CONN -*I *2473:io_in_3v3[6] I *D user_analog_project_wrapper -*I *2474:mprj_io_in_3v3[6] O *D chip_io_alt -*CAP -1 *2473:io_in_3v3[6] 0.00167901 -2 *2474:mprj_io_in_3v3[6] 0.000358311 -3 *2043:16 0.00625668 -4 *2043:15 0.00457767 -5 *2043:13 0.00175833 -6 *2043:12 0.00211664 -7 *2473:io_in_3v3[6] *2473:io_in[6] 0 -8 *2473:io_in_3v3[6] *2352:14 0 -9 *2043:12 *2474:mprj_io_oeb[6] 0 -10 *2043:12 *2070:25 5.04829e-06 -11 *2043:13 *2124:14 0.0010388 -12 *2043:13 *2178:14 0.000418796 -13 *2459:resetn *2043:13 0.000988992 -14 *2474:mprj_io_ib_mode_sel[6] *2043:12 9.19632e-06 -15 *110:98 *2043:13 0.00194722 -16 *507:18 *2043:12 0.000109929 -17 *1800:14 *2043:13 0 -18 *2016:19 *2043:13 0.000469817 -*RES -1 *2474:mprj_io_in_3v3[6] *2043:12 16.9015 -2 *2043:12 *2043:13 81.5604 -3 *2043:13 *2043:15 4.5 -4 *2043:15 *2043:16 121.072 -5 *2043:16 *2473:io_in_3v3[6] 22.1532 -*END - -*D_NET *2044 0.0311313 -*CONN -*I *2473:io_in_3v3[7] I *D user_analog_project_wrapper -*I *2474:mprj_io_in_3v3[7] O *D chip_io_alt -*CAP -1 *2473:io_in_3v3[7] 0.00115446 -2 *2474:mprj_io_in_3v3[7] 0.00072823 -3 *2044:11 0.00351175 -4 *2044:10 0.00235729 -5 *2044:8 0.00401256 -6 *2044:7 0.00474079 -7 *2473:io_in_3v3[7] *2473:io_in[7] 0.00366812 -8 *2044:7 *2126:16 0.00026714 -9 *2044:7 *2153:20 0.000126228 -10 *2044:11 *2381:10 0.00680691 -11 *1570:25 *2044:8 0.00169355 -12 *1874:28 *2044:7 0.0016133 -13 *2018:18 *2044:8 0.000450929 -*RES -1 *2474:mprj_io_in_3v3[7] *2044:7 36.3523 -2 *2044:7 *2044:8 117.19 -3 *2044:8 *2044:10 4.5 -4 *2044:10 *2044:11 108.759 -5 *2044:11 *2473:io_in_3v3[7] 8.86943 -*END - -*D_NET *2045 0.029126 -*CONN -*I *2473:io_in_3v3[8] I *D user_analog_project_wrapper -*I *2474:mprj_io_in_3v3[8] O *D chip_io_alt -*CAP -1 *2473:io_in_3v3[8] 0.000977758 -2 *2474:mprj_io_in_3v3[8] 0.000602253 -3 *2045:11 0.00331638 -4 *2045:10 0.00233862 -5 *2045:8 0.00468406 -6 *2045:7 0.00528631 -7 *2473:io_in_3v3[8] *2473:gpio_noesd[1] 0 -8 *2473:io_in_3v3[8] *2473:io_in[8] 0.00362715 -9 *2045:7 *2073:44 0 -10 *2045:11 *2382:10 0.00668599 -11 *1879:32 *2045:7 0.00147263 -12 *1965:8 *2045:7 0.000134799 -*RES -1 *2474:mprj_io_in_3v3[8] *2045:7 30.1235 -2 *2045:7 *2045:8 122.182 -3 *2045:8 *2045:10 4.5 -4 *2045:10 *2045:11 107.098 -5 *2045:11 *2473:io_in_3v3[8] 8.45206 -*END - -*D_NET *2046 0.0287303 -*CONN -*I *2473:io_in_3v3[9] I *D user_analog_project_wrapper -*I *2474:mprj_io_in_3v3[9] O *D chip_io_alt -*CAP -1 *2473:io_in_3v3[9] 0.00111022 -2 *2474:mprj_io_in_3v3[9] 0.000430328 -3 *2046:11 0.00193935 -4 *2046:10 0.000829126 -5 *2046:8 0.00445119 -6 *2046:7 0.00488152 -7 *2473:io_in_3v3[9] *2473:gpio_noesd[2] 0 -8 *2473:io_in_3v3[9] *2473:io_in[9] 0.00092763 -9 *2046:7 *2156:17 0.000166143 -10 *2046:11 *2357:10 0.00637973 -11 *2046:11 *2384:10 0.00637613 -12 *101:22 *2046:7 0.00123895 -*RES -1 *2474:mprj_io_in_3v3[9] *2046:7 24.31 -2 *2046:7 *2046:8 118.854 -3 *2046:8 *2046:10 4.5 -4 *2046:10 *2046:11 102.115 -5 *2046:11 *2473:io_in_3v3[9] 8.39718 -*END - -*D_NET *2047 0.0143729 -*CONN -*I *2474:mprj_io_inp_dis[0] I *D chip_io_alt -*I *2444:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_inp_dis[0] 0.0005038 -2 *2444:pad_gpio_inenb 0.000414389 -3 *2047:14 0.00312559 -4 *2047:13 0.00303618 -5 *2047:13 *2074:8 0 -6 *2047:13 *2101:13 0.000748829 -7 *2047:13 *2128:13 0.000215101 -8 *2047:14 *2128:14 0.000285622 -9 *2047:14 *2155:19 0 -10 *2444:pad_gpio_in *2047:13 3.84497e-05 -11 *2474:mprj_io_analog_pol[0] *2474:mprj_io_inp_dis[0] 0 -12 *2474:mprj_io_dm[0] *2474:mprj_io_inp_dis[0] 7.44489e-05 -13 *1777:14 *2047:14 0 -14 *1858:14 *2047:14 0.00551513 -15 *1869:14 *2047:14 0.000415397 -16 *1939:7 *2047:13 0 -17 *1993:30 *2047:14 0 -*RES -1 *2444:pad_gpio_inenb *2047:13 26.7952 -2 *2047:13 *2047:14 115.196 -3 *2047:14 *2474:mprj_io_inp_dis[0] 22.2122 -*END - -*D_NET *2048 0.0457622 -*CONN -*I *2474:mprj_io_inp_dis[10] I *D chip_io_alt -*I *2451:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_inp_dis[10] 0.000457258 -2 *2451:pad_gpio_inenb 0.000548028 -3 *2048:20 0.00104178 -4 *2048:19 0.00073756 -5 *2048:14 0.00954045 -6 *2048:13 0.00993545 -7 *2474:mprj_io_inp_dis[10] *2304:20 0.000344312 -8 *2474:mprj_io_inp_dis[10] *2322:13 0.000280625 -9 *2048:13 *2102:10 4.31122e-05 -10 *2048:14 *2474:mprj_io_out[10] 0.000563795 -11 *2048:14 *2474:mprj_io_vtrip_sel[10] 0.000284387 -12 *2048:14 *2127:14 0 -13 *2048:14 *2127:28 0 -14 *2048:14 *2181:8 0.00187368 -15 *2474:mprj_io_analog_pol[10] *2474:mprj_io_inp_dis[10] 0 -16 *486:13 *2048:13 0.00095438 -17 *501:14 *2048:20 0 -18 *1830:22 *2048:14 0 -19 *1857:14 *2048:14 0 -20 *1879:32 *2048:13 0 -21 *1881:14 *2048:14 0 -22 *1881:14 *2048:20 0.0033569 -23 *1882:14 *2048:14 0.0108848 -24 *1884:14 *2048:14 0 -25 *1884:14 *2048:20 0.00373384 -26 *1886:8 *2048:14 0.00057784 -27 *1941:8 *2048:20 0.00060398 -28 *1967:13 *2048:13 0 -*RES -1 *2451:pad_gpio_inenb *2048:13 27.8223 -2 *2048:13 *2048:14 363.101 -3 *2048:14 *2048:19 12.4964 -4 *2048:19 *2048:20 59.9673 -5 *2048:20 *2474:mprj_io_inp_dis[10] 23.7755 -*END - -*D_NET *2049 0.0615727 -*CONN -*I *2474:mprj_io_inp_dis[11] I *D chip_io_alt -*I *2452:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_inp_dis[11] 0.000275925 -2 *2452:pad_gpio_inenb 0.000629819 -3 *2049:30 0.000650825 -4 *2049:27 0.000635768 -5 *2049:14 0.00529508 -6 *2049:13 0.00566403 -7 *2049:13 *2103:18 2.89493e-05 -8 *2049:27 *2131:15 1.92459e-05 -9 *2049:27 *2131:16 0.000106031 -10 *2049:30 *2130:32 0.000658292 -11 *2049:30 *2131:16 0.00261158 -12 *2049:30 *2158:10 0.000106281 -13 *2049:30 *2305:13 1.3813e-05 -14 *2452:pad_gpio_in *2049:13 3.96052e-05 -15 *2452:resetn *2049:13 0.000115266 -16 *2474:mprj_io_analog_pol[11] *2049:30 0.00156107 -17 *101:22 *2049:13 0 -18 *486:66 *2049:13 0 -19 *1806:26 *2049:30 2.62399e-05 -20 *1882:14 *2049:14 0.00811381 -21 *1884:14 *2049:14 0 -22 *1885:14 *2049:14 0.020006 -23 *1886:8 *2049:14 0.00348021 -24 *1887:14 *2049:14 7.70877e-05 -25 *1888:14 *2049:14 0.00723843 -26 *1889:18 *2474:mprj_io_inp_dis[11] 9.29408e-05 -27 *1942:22 *2049:27 6.08467e-05 -28 *1969:17 *2049:30 0.000241346 -29 *1995:10 *2049:27 5.04829e-06 -30 *1995:11 *2049:14 0.00350013 -31 *1995:15 *2049:14 1.23804e-05 -32 *1996:32 *2049:30 0.000306656 -*RES -1 *2452:pad_gpio_inenb *2049:13 26.1585 -2 *2049:13 *2049:14 378.881 -3 *2049:14 *2049:27 16.4269 -4 *2049:27 *2049:30 46.6115 -5 *2049:30 *2474:mprj_io_inp_dis[11] 10.6445 -*END - -*D_NET *2050 0.0487499 -*CONN -*I *2474:mprj_io_inp_dis[12] I *D chip_io_alt -*I *2453:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_inp_dis[12] 0.000323905 -2 *2453:pad_gpio_inenb 0.000453763 -3 *2050:18 0.005935 -4 *2050:16 0.00563799 -5 *2050:14 0.000708222 -6 *2050:13 0.00113509 -7 *2050:13 *2077:10 0 -8 *2050:13 *2104:13 0.000315959 -9 *2050:13 *2131:10 8.88366e-05 -10 *2050:14 *2474:mprj_io_out[11] 0.000507909 -11 *2050:14 *2130:28 0.00122198 -12 *2050:14 *2130:32 0.000179564 -13 *2050:18 *2474:mprj_io_out[11] 0.000459935 -14 *2050:18 *2130:32 0.00139586 -15 *2050:18 *2131:22 0.00466604 -16 *2050:18 *2158:15 0.00254909 -17 *2050:18 *2305:13 0.00184568 -18 *2050:18 *2323:11 0.000416432 -19 *2453:resetn *2050:13 1.92172e-05 -20 *2474:mprj_io_analog_pol[11] *2050:18 0.000254307 -21 *2474:mprj_io_analog_pol[12] *2474:mprj_io_inp_dis[12] 2.09084e-05 -22 *2474:mprj_io_analog_sel[12] *2474:mprj_io_inp_dis[12] 2.01653e-05 -23 *2474:mprj_io_dm[36] *2474:mprj_io_inp_dis[12] 4.79594e-06 -24 *2474:mprj_io_dm[38] *2474:mprj_io_inp_dis[12] 0.000138756 -25 *102:60 *2050:13 0.000464113 -26 *1806:18 *2050:14 0.00309845 -27 *1806:18 *2050:18 0.00139239 -28 *1806:26 *2050:18 0.00106255 -29 *1807:20 *2050:18 0 -30 *1889:12 *2050:18 0 -31 *1889:18 *2050:18 0 -32 *1889:30 *2050:18 0.00240759 -33 *1942:11 *2050:13 0.000304291 -34 *1942:14 *2050:18 4.3116e-06 -35 *1942:40 *2474:mprj_io_inp_dis[12] 0 -36 *1942:40 *2050:18 0.00120212 -37 *1969:13 *2050:13 0 -38 *1996:15 *2050:18 0.00831406 -39 *1996:19 *2050:18 0.00104057 -40 *1996:32 *2050:18 0.0004821 -41 *1996:35 *2050:18 0.000677993 -*RES -1 *2453:pad_gpio_inenb *2050:13 29.1362 -2 *2050:13 *2050:14 50.4287 -3 *2050:14 *2050:16 0.732798 -4 *2050:16 *2050:18 363.712 -5 *2050:18 *2474:mprj_io_inp_dis[12] 11.224 -*END - -*D_NET *2051 0.0145819 -*CONN -*I *2474:mprj_io_inp_dis[13] I *D chip_io_alt -*I *2454:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_inp_dis[13] 0.000499435 -2 *2454:pad_gpio_inenb 0.000433232 -3 *2051:14 0.00222916 -4 *2051:13 0.00216296 -5 *2474:mprj_io_inp_dis[13] *2325:9 0.000827576 -6 *2474:mprj_io_inp_dis[13] *2325:10 2.81824e-05 -7 *2051:13 *2132:13 0 -8 *2051:14 *2132:14 0 -9 *2051:14 *2159:19 0.00219351 -10 *2454:pad_gpio_in *2051:13 3.84497e-05 -11 *2474:mprj_io_analog_pol[13] *2474:mprj_io_inp_dis[13] 0 -12 *2474:mprj_io_analog_sel[13] *2051:14 0 -13 *2474:mprj_io_dm[39] *2474:mprj_io_inp_dis[13] 5.04829e-06 -14 *1781:22 *2051:14 0.000449909 -15 *1835:18 *2051:14 0.000864566 -16 *1892:14 *2051:14 0.00159002 -17 *1893:17 *2051:14 0.00247791 -18 *1997:13 *2474:mprj_io_inp_dis[13] 4.58666e-05 -19 *1997:13 *2051:14 9.35753e-06 -20 *1997:19 *2051:14 0.000726727 -*RES -1 *2454:pad_gpio_inenb *2051:13 22.5578 -2 *2051:13 *2051:14 111.459 -3 *2051:14 *2474:mprj_io_inp_dis[13] 28.0634 -*END - -*D_NET *2052 0.0161245 -*CONN -*I *2474:mprj_io_inp_dis[14] I *D chip_io_alt -*I *2461:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_inp_dis[14] 0.000437666 -2 *2461:pad_gpio_inenb 0.000189171 -3 *2052:8 0.00157403 -4 *2052:7 0.00132553 -5 *2474:mprj_io_inp_dis[14] *2106:17 4.58003e-05 -6 *2474:mprj_io_inp_dis[14] *2326:13 0.000869504 -7 *2052:7 *2106:7 0.000198219 -8 *2052:8 *2079:8 0.00126019 -9 *2052:8 *2106:8 0.00468484 -10 *2052:8 *2106:17 0.000777162 -11 *2461:pad_gpio_in *2052:7 4.84183e-05 -12 *2461:resetn *2052:7 0.000732038 -13 *2474:mprj_io_analog_en[14] *2474:mprj_io_inp_dis[14] 0 -14 *2474:mprj_io_analog_pol[14] *2474:mprj_io_inp_dis[14] 0.00019711 -15 *2474:mprj_io_dm[42] *2474:mprj_io_inp_dis[14] 0.000145018 -16 *485:17 *2052:8 0.00363982 -*RES -1 *2461:pad_gpio_inenb *2052:7 4.57933 -2 *2052:7 *2052:8 101.285 -3 *2052:8 *2474:mprj_io_inp_dis[14] 27.7803 -*END - -*D_NET *2053 0.0140227 -*CONN -*I *2474:mprj_io_inp_dis[15] I *D chip_io_alt -*I *2462:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_inp_dis[15] 0.000441576 -2 *2462:pad_gpio_inenb 0.000230691 -3 *2053:14 0.00087631 -4 *2053:13 0.000597878 -5 *2053:8 0.00186959 -6 *2053:7 0.00193713 -7 *2474:mprj_io_inp_dis[15] *2107:14 0.000648497 -8 *2474:mprj_io_inp_dis[15] *2161:13 5.04829e-06 -9 *2053:7 *2107:7 0.000200597 -10 *2053:8 *2474:porb_h 4.88112e-06 -11 *2053:8 *2107:8 0 -12 *2053:8 *2134:8 0 -13 *2053:14 *2309:13 0.000805888 -14 *2474:mprj_io_analog_en[15] *2474:mprj_io_inp_dis[15] 0 -15 *2474:mprj_io_analog_pol[15] *2474:mprj_io_inp_dis[15] 9.4338e-05 -16 *2474:mprj_io_dm[45] *2474:mprj_io_inp_dis[15] 0.00034475 -17 *2474:mprj_io_dm[45] *2053:14 9.71323e-06 -18 *2474:mprj_io_dm[46] *2053:14 0.000112805 -19 *471:16 *2053:14 0.00300455 -20 *489:13 *2474:mprj_io_inp_dis[15] 0 -21 *1783:15 *2053:13 5.04829e-06 -22 *1810:15 *2053:13 7.65239e-05 -23 *1837:8 *2053:8 0.000824553 -24 *1897:8 *2053:14 0.00193231 -25 *1945:7 *2053:7 0 -*RES -1 *2462:pad_gpio_inenb *2053:7 4.42635 -2 *2053:7 *2053:8 56.4377 -3 *2053:8 *2053:13 13.051 -4 *2053:13 *2053:14 48.3402 -5 *2053:14 *2474:mprj_io_inp_dis[15] 27.0297 -*END - -*D_NET *2054 0.0186629 -*CONN -*I *2474:mprj_io_inp_dis[16] I *D chip_io_alt -*I *2463:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_inp_dis[16] 6.54432e-05 -2 *2463:pad_gpio_inenb 0.000453267 -3 *2054:20 0.00070252 -4 *2054:8 0.00142407 -5 *2054:7 0.00124026 -6 *2054:7 *2108:7 0.000381948 -7 *2054:8 *2081:8 0.00494121 -8 *2054:8 *2108:8 0.00584265 -9 *2054:8 *2328:19 0.000863062 -10 *2054:20 *2108:13 0.000251669 -11 *2054:20 *2108:16 0.00116545 -12 *2463:pad_gpio_in *2054:7 5.36925e-05 -13 *2474:mprj_io_analog_pol[16] *2474:mprj_io_inp_dis[16] 0 -14 *2474:mprj_io_dm[50] *2054:20 0 -15 *518:8 *2054:8 2.01595e-05 -16 *1838:14 *2054:20 5.84352e-05 -17 *1903:16 *2054:20 0.0011864 -18 *1946:17 *2054:20 1.2693e-05 -19 *1973:7 *2054:7 0 -*RES -1 *2463:pad_gpio_inenb *2054:7 5.42072 -2 *2054:7 *2054:8 93.8104 -3 *2054:8 *2054:20 49.354 -4 *2054:20 *2474:mprj_io_inp_dis[16] 1.77093 -*END - -*D_NET *2055 0.0139458 -*CONN -*I *2474:mprj_io_inp_dis[17] I *D chip_io_alt -*I *2464:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_inp_dis[17] 0.000291203 -2 *2464:pad_gpio_inenb 7.78142e-05 -3 *2055:14 0.00158715 -4 *2055:13 0.00164099 -5 *2055:8 0.00137611 -6 *2055:7 0.00110888 -7 *2474:mprj_io_inp_dis[17] *2312:9 9.29258e-05 -8 *2055:7 *2109:7 6.89476e-05 -9 *2055:8 *2136:8 0 -10 *2464:pad_gpio_in *2055:7 2.82227e-05 -11 *2464:pad_gpio_in *2055:8 0.000518308 -12 *2474:mprj_io_analog_pol[17] *2055:14 0.00133853 -13 *473:8 *2055:14 0 -14 *519:21 *2055:13 5.04829e-06 -15 *1785:13 *2055:8 0.000625055 -16 *1785:16 *2055:14 0.000202472 -17 *1785:20 *2055:14 3.10929e-05 -18 *1812:13 *2055:8 4.15236e-05 -19 *1906:8 *2055:8 0.00141539 -20 *1906:22 *2055:14 0.000425511 -21 *1974:7 *2055:7 0 -22 *1974:17 *2055:13 0 -23 *1974:17 *2055:14 0.00149895 -24 *2001:13 *2055:8 0.00157164 -*RES -1 *2464:pad_gpio_inenb *2055:7 3.73793 -2 *2055:7 *2055:8 58.5139 -3 *2055:8 *2055:13 16.3786 -4 *2055:13 *2055:14 55.3995 -5 *2055:14 *2474:mprj_io_inp_dis[17] 16.2537 -*END - -*D_NET *2056 0.0188358 -*CONN -*I *2474:mprj_io_inp_dis[18] I *D chip_io_alt -*I *2465:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_inp_dis[18] 0.00200051 -2 *2465:pad_gpio_inenb 0.00045886 -3 *2056:10 0.00270394 -4 *2056:9 0.00116229 -5 *2474:mprj_io_inp_dis[18] *2083:10 0.000253824 -6 *2474:mprj_io_inp_dis[18] *2110:16 0.000262462 -7 *2474:mprj_io_inp_dis[18] *2164:16 0.000253824 -8 *2474:mprj_io_inp_dis[18] *2295:13 0 -9 *2056:9 *2083:9 0 -10 *2056:9 *2110:9 0.000276188 -11 *2056:10 *2110:16 7.77309e-06 -12 *2465:pad_gpio_in *2056:9 0.000134942 -13 *2474:mprj_io_analog_pol[18] *2474:mprj_io_inp_dis[18] 0 -14 *2474:mprj_io_analog_sel[18] *2474:mprj_io_inp_dis[18] 2.98205e-05 -15 *474:14 *2474:mprj_io_inp_dis[18] 0.000287352 -16 *474:14 *2056:10 0.00438821 -17 *492:10 *2474:mprj_io_inp_dis[18] 0.000253824 -18 *520:16 *2474:mprj_io_inp_dis[18] 0.000253824 -19 *520:16 *2056:10 0.000370009 -20 *1786:18 *2474:mprj_io_inp_dis[18] 0.000247781 -21 *1813:16 *2474:mprj_io_inp_dis[18] 0.000277634 -22 *1840:13 *2056:10 0.00183361 -23 *1840:27 *2474:mprj_io_inp_dis[18] 0 -24 *1907:10 *2474:mprj_io_inp_dis[18] 0.000292752 -25 *1908:10 *2474:mprj_io_inp_dis[18] 0.000183155 -26 *1909:16 *2474:mprj_io_inp_dis[18] 0.000264014 -27 *1948:22 *2474:mprj_io_inp_dis[18] 0.000925994 -28 *1975:22 *2474:mprj_io_inp_dis[18] 0.00027027 -29 *1975:22 *2056:10 0.00144292 -*RES -1 *2465:pad_gpio_inenb *2056:9 5.41074 -2 *2056:9 *2056:10 70.7639 -3 *2056:10 *2474:mprj_io_inp_dis[18] 41.0289 -*END - -*D_NET *2057 0.0153626 -*CONN -*I *2474:mprj_io_inp_dis[19] I *D chip_io_alt -*I *2466:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_inp_dis[19] 3.80717e-05 -2 *2466:pad_gpio_inenb 0.000814742 -3 *2057:29 0.00194818 -4 *2057:14 0.0029701 -5 *2057:13 0.00187474 -6 *2057:13 *2084:8 0 -7 *2057:13 *2111:7 0.000284115 -8 *2057:13 *2138:10 0.000461205 -9 *2057:13 *2165:13 5.04829e-06 -10 *2057:14 *2138:10 0.000343222 -11 *2057:14 *2138:12 1.69447e-05 -12 *2057:29 *2084:8 0.000205067 -13 *2057:29 *2165:28 0.000327995 -14 *2057:29 *2296:13 0.000227569 -15 *2466:pad_gpio_in *2057:13 5.36925e-05 -16 *2474:mprj_io_analog_sel[19] *2474:mprj_io_inp_dis[19] 9.29258e-05 -17 *474:42 *2057:29 0.000803122 -18 *475:16 *2057:14 0 -19 *475:16 *2057:29 0 -20 *1787:8 *2057:14 0.00254879 -21 *1787:8 *2057:29 0.00116524 -22 *1814:8 *2057:29 0.000205067 -23 *1841:19 *2057:29 0.000116789 -24 *1910:8 *2057:29 0.000205067 -25 *1912:14 *2057:29 0.000134073 -26 *1949:7 *2057:13 0 -27 *1949:8 *2057:13 0.000520871 -28 *1976:7 *2057:13 0 -*RES -1 *2466:pad_gpio_inenb *2057:13 31.9526 -2 *2057:13 *2057:14 47.3021 -3 *2057:14 *2057:29 48.2019 -4 *2057:29 *2474:mprj_io_inp_dis[19] 6.03888 -*END - -*D_NET *2058 0.0136044 -*CONN -*I *2474:mprj_io_inp_dis[1] I *D chip_io_alt -*I *2445:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_inp_dis[1] 0.000488242 -2 *2445:pad_gpio_inenb 0.000535042 -3 *2058:20 0.00197259 -4 *2058:19 0.00160235 -5 *2058:14 0.00137394 -6 *2058:13 0.00179098 -7 *2058:13 *2112:13 0.000158111 -8 *2058:14 *2139:14 0 -9 *2058:14 *2166:14 0 -10 *2445:pad_gpio_in *2058:13 4.80459e-05 -11 *2474:mprj_io_analog_pol[1] *2474:mprj_io_inp_dis[1] 0.00019711 -12 *485:53 *2058:13 5.88867e-05 -13 *1788:16 *2058:14 0 -14 *1788:18 *2058:14 0 -15 *1788:18 *2058:20 0.00430323 -16 *1815:19 *2058:14 0.000740845 -17 *1815:19 *2058:19 5.04829e-06 -18 *1815:19 *2058:20 0 -19 *1815:20 *2058:20 0 -20 *1902:19 *2058:14 0 -21 *2004:11 *2058:14 0.000329975 -22 *2004:11 *2058:20 0 -*RES -1 *2445:pad_gpio_inenb *2058:13 24.8527 -2 *2058:13 *2058:14 45.8487 -3 *2058:14 *2058:19 11.9418 -4 *2058:19 *2058:20 68.6876 -5 *2058:20 *2474:mprj_io_inp_dis[1] 21.7969 -*END - -*D_NET *2059 0.0188522 -*CONN -*I *2474:mprj_io_inp_dis[20] I *D chip_io_alt -*I *2467:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_inp_dis[20] 0.000368368 -2 *2467:pad_gpio_inenb 0.00161753 -3 *2059:14 0.00198589 -4 *2059:14 *2113:16 0.00587008 -5 *2467:pad_gpio_in *2059:14 3.81792e-05 -6 *2474:mprj_io_analog_pol[20] *2474:mprj_io_inp_dis[20] 0 -7 *2474:mprj_io_ib_mode_sel[20] *2059:14 0 -8 *474:48 *2059:14 0 -9 *522:32 *2059:14 0.00575901 -10 *1789:16 *2059:14 0.00121359 -11 *1843:16 *2059:14 0.00182853 -12 *1916:18 *2474:mprj_io_inp_dis[20] 0.000171064 -*RES -1 *2467:pad_gpio_inenb *2059:14 46.692 -2 *2059:14 *2474:mprj_io_inp_dis[20] 19.1633 -*END - -*D_NET *2060 0.0193196 -*CONN -*I *2474:mprj_io_inp_dis[21] I *D chip_io_alt -*I *2468:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_inp_dis[21] 0.000444788 -2 *2468:pad_gpio_inenb 0.000415713 -3 *2060:8 0.00140912 -4 *2060:7 0.00138005 -5 *2474:mprj_io_inp_dis[21] *2298:21 0.0001048 -6 *2474:mprj_io_inp_dis[21] *2298:22 0 -7 *2060:7 *2114:7 0.00032094 -8 *2060:8 *2114:8 0.00707465 -9 *2468:pad_gpio_in *2060:7 0.000129968 -10 *2474:mprj_io_analog_pol[21] *2474:mprj_io_inp_dis[21] 0 -11 *494:20 *2060:8 0.000964879 -12 *523:8 *2060:8 0.00707465 -13 *1979:10 *2060:7 0 -*RES -1 *2468:pad_gpio_inenb *2060:7 5.19125 -2 *2060:7 *2060:8 113.742 -3 *2060:8 *2474:mprj_io_inp_dis[21] 19.7706 -*END - -*D_NET *2061 0.0184048 -*CONN -*I *2474:mprj_io_inp_dis[22] I *D chip_io_alt -*I *2469:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_inp_dis[22] 0.000452164 -2 *2469:pad_gpio_inenb 0.000777455 -3 *2061:16 0.00127478 -4 *2061:14 0.00160007 -5 *2474:mprj_io_inp_dis[22] *2317:12 8.1645e-05 -6 *2061:14 *2088:10 0 -7 *2061:14 *2088:12 1.3813e-05 -8 *2061:14 *2115:7 0.000226364 -9 *2061:14 *2115:8 0.000356446 -10 *2061:14 *2169:15 0.000371234 -11 *2061:16 *2088:12 0.00613893 -12 *2061:16 *2169:16 3.10643e-05 -13 *2474:mprj_io_analog_pol[22] *2474:mprj_io_inp_dis[22] 0 -14 *494:20 *2061:16 0.00092497 -15 *524:22 *2061:14 3.3461e-05 -16 *1920:8 *2061:16 0.00573543 -17 *1921:8 *2061:14 7.59805e-05 -18 *1921:8 *2061:16 0 -19 *1922:33 *2474:mprj_io_inp_dis[22] 0 -20 *1953:17 *2061:16 0.000311015 -21 *1980:7 *2061:14 0 -*RES -1 *2469:pad_gpio_inenb *2061:14 21.3732 -2 *2061:14 *2061:16 98.3781 -3 *2061:16 *2474:mprj_io_inp_dis[22] 20.2725 -*END - -*D_NET *2062 0.0164454 -*CONN -*I *2474:mprj_io_inp_dis[23] I *D chip_io_alt -*I *2470:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_inp_dis[23] 0.000325678 -2 *2470:pad_gpio_inenb 0.000456214 -3 *2062:8 0.00204754 -4 *2062:7 0.00217807 -5 *2474:mprj_io_inp_dis[23] *2318:9 0.000405758 -6 *2062:7 *2474:mprj_io_out[23] 6.29293e-05 -7 *2470:pad_gpio_in *2062:7 3.04141e-05 -8 *2474:mprj_io_analog_pol[23] *2474:mprj_io_inp_dis[23] 0.000365085 -9 *477:26 *2062:8 0.000879339 -10 *513:14 *2062:8 0.000667497 -11 *1846:8 *2062:8 0 -12 *1923:8 *2062:8 0.00539719 -13 *1925:8 *2062:8 0 -14 *1954:16 *2062:8 0.00362966 -*RES -1 *2470:pad_gpio_inenb *2062:7 4.8088 -2 *2062:7 *2062:8 109.175 -3 *2062:8 *2474:mprj_io_inp_dis[23] 21.9695 -*END - -*D_NET *2063 0.0178678 -*CONN -*I *2474:mprj_io_inp_dis[24] I *D chip_io_alt -*I *2446:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_inp_dis[24] 0.000343599 -2 *2446:pad_gpio_inenb 0.000543513 -3 *2063:8 0.00156928 -4 *2063:7 0.0017692 -5 *2474:mprj_io_inp_dis[24] *2474:mprj_io_out[24] 6.04131e-05 -6 *2063:7 *2117:10 0.000280042 -7 *2063:8 *2090:8 0.000651251 -8 *2063:8 *2144:8 0.00508979 -9 *2063:8 *2319:11 0.000337479 -10 *2446:pad_gpio_in *2063:7 5.34343e-05 -11 *2474:mprj_io_holdover[24] *2474:mprj_io_inp_dis[24] 0.000245826 -12 *494:20 *2063:8 0.000642796 -13 *514:8 *2063:8 1.87125e-05 -14 *1820:14 *2063:8 0.00434582 -15 *1929:20 *2063:8 0.00191662 -16 *1982:7 *2063:7 0 -*RES -1 *2446:pad_gpio_inenb *2063:7 5.11476 -2 *2063:7 *2063:8 113.742 -3 *2063:8 *2474:mprj_io_inp_dis[24] 19.5813 -*END - -*D_NET *2064 0.0168883 -*CONN -*I *2474:mprj_io_inp_dis[25] I *D chip_io_alt -*I *2447:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_inp_dis[25] 0.0003391 -2 *2447:pad_gpio_inenb 0.000469035 -3 *2064:8 0.00153736 -4 *2064:7 0.0016673 -5 *2064:7 *2118:8 0.000255442 -6 *2064:7 *2145:7 0 -7 *2064:8 *2118:8 0.000623406 -8 *2064:8 *2118:21 0.000319694 -9 *2064:8 *2145:8 9.97028e-05 -10 *2064:8 *2172:14 0.00429605 -11 *2474:mprj_io_analog_sel[25] *2474:mprj_io_inp_dis[25] 0.000241594 -12 *1794:16 *2064:8 0.000267062 -13 *1983:7 *2064:7 0 -14 *1983:8 *2064:8 0.00677253 -*RES -1 *2447:pad_gpio_inenb *2064:7 5.11476 -2 *2064:7 *2064:8 113.742 -3 *2064:8 *2474:mprj_io_inp_dis[25] 19.0267 -*END - -*D_NET *2065 0.0226964 -*CONN -*I *2474:mprj_io_inp_dis[26] I *D chip_io_alt -*I *2448:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_inp_dis[26] 0.000382448 -2 *2448:pad_gpio_inenb 0.000389645 -3 *2065:16 0.00115938 -4 *2065:15 0.00117646 -5 *2065:12 0.000789179 -6 *2065:12 *2119:12 0.00158808 -7 *2065:12 *2146:10 0.00160479 -8 *2065:15 *2092:15 0.000226847 -9 *2065:15 *2119:15 0.00294505 -10 *2448:pad_gpio_in *2065:12 0 -11 *2474:mprj_io_analog_pol[26] *2474:mprj_io_inp_dis[26] 0 -12 *2474:mprj_io_analog_sel[26] *2474:mprj_io_inp_dis[26] 0 -13 *1849:8 *2065:16 0.00536393 -14 *1933:8 *2065:16 0.0049472 -15 *2011:12 *2065:15 0.00212337 -*RES -1 *2448:pad_gpio_inenb *2065:12 33.917 -2 *2065:12 *2065:15 36.2812 -3 *2065:15 *2065:16 85.7129 -4 *2065:16 *2474:mprj_io_inp_dis[26] 19.3487 -*END - -*D_NET *2066 0.0129335 -*CONN -*I *2474:mprj_io_inp_dis[2] I *D chip_io_alt -*I *2455:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_inp_dis[2] 0.000423834 -2 *2455:pad_gpio_inenb 0.000649225 -3 *2066:14 0.00325553 -4 *2066:13 0.00348092 -5 *2066:13 *2120:13 0.000306624 -6 *2066:14 *2174:14 0.00218055 -7 *2455:pad_gpio_in *2066:13 0.000318902 -8 *100:77 *2066:13 2.42128e-05 -9 *104:40 *2066:13 5.35541e-05 -10 *467:48 *2066:14 0.000825799 -11 *471:40 *2474:mprj_io_inp_dis[2] 0.00019711 -12 *1850:14 *2066:14 0 -13 *1924:14 *2066:14 0 -14 *1935:14 *2066:14 0 -15 *1935:24 *2066:14 0.00108008 -16 *1937:14 *2066:14 0 -17 *1958:14 *2066:14 1.28326e-05 -18 *1985:13 *2066:13 0.000124349 -*RES -1 *2455:pad_gpio_inenb *2066:13 29.8357 -2 *2066:13 *2066:14 109.382 -3 *2066:14 *2474:mprj_io_inp_dis[2] 19.0239 -*END - -*D_NET *2067 0.019373 -*CONN -*I *2474:mprj_io_inp_dis[3] I *D chip_io_alt -*I *2456:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_inp_dis[3] 0.000585768 -2 *2456:pad_gpio_inenb 0.000471265 -3 *2067:22 0.00103232 -4 *2067:21 0.000503382 -5 *2067:16 0.000590217 -6 *2067:15 0.00100465 -7 *2067:15 *2121:13 0.00094554 -8 *2067:15 *2148:15 0.000354276 -9 *2067:16 *2148:16 0.0036651 -10 *2067:16 *2148:25 6.34651e-06 -11 *2067:16 *2175:14 0.000273439 -12 *2456:pad_gpio_in *2067:15 4.69062e-05 -13 *2474:mprj_io_analog_en[3] *2474:mprj_io_inp_dis[3] 0.000208218 -14 *2474:mprj_io_analog_pol[3] *2474:mprj_io_inp_dis[3] 0 -15 *1859:16 *2067:16 0.0028707 -16 *1859:18 *2067:22 0.00341258 -17 *1938:16 *2067:22 6.34651e-06 -18 *1938:18 *2067:22 0.00339598 -19 *1959:15 *2067:15 0 -*RES -1 *2456:pad_gpio_inenb *2067:15 29.163 -2 *2067:15 *2067:16 58.7215 -3 *2067:16 *2067:21 10.3986 -4 *2067:21 *2067:22 54.569 -5 *2067:22 *2474:mprj_io_inp_dis[3] 23.6378 -*END - -*D_NET *2068 0.0201495 -*CONN -*I *2474:mprj_io_inp_dis[4] I *D chip_io_alt -*I *2457:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_inp_dis[4] 0.00060275 -2 *2457:pad_gpio_inenb 0.000782677 -3 *2068:16 0.00157367 -4 *2068:15 0.00175359 -5 *2068:15 *2122:13 0.000237476 -6 *2068:16 *2122:14 0 -7 *2068:16 *2149:14 0.00679856 -8 *2457:pad_gpio_in *2068:15 4.10643e-05 -9 *2474:mprj_io_analog_pol[4] *2474:mprj_io_inp_dis[4] 7.44489e-05 -10 *478:32 *2068:16 0.00101385 -11 *1862:16 *2068:16 0.00630011 -12 *1960:13 *2068:15 2.64207e-05 -13 *1987:13 *2068:15 0.00094487 -14 *2014:31 *2068:15 0 -*RES -1 *2457:pad_gpio_inenb *2068:15 34.9765 -2 *2068:15 *2068:16 108.552 -3 *2068:16 *2474:mprj_io_inp_dis[4] 23.876 -*END - -*D_NET *2069 0.0180109 -*CONN -*I *2474:mprj_io_inp_dis[5] I *D chip_io_alt -*I *2458:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_inp_dis[5] 0.00056259 -2 *2458:pad_gpio_inenb 0.000710278 -3 *2069:14 0.00219215 -4 *2069:13 0.00233984 -5 *2069:13 *2123:13 0.000455137 -6 *2069:13 *2150:8 0 -7 *2069:14 *2177:14 0 -8 *2458:pad_gpio_in *2069:13 0.000741548 -9 *2474:mprj_io_analog_pol[5] *2474:mprj_io_inp_dis[5] 0.000905713 -10 *108:49 *2474:mprj_io_inp_dis[5] 0.000132081 -11 *477:32 *2069:14 0.000888379 -12 *506:14 *2069:14 0 -13 *1799:14 *2069:14 0.00479451 -14 *1826:23 *2474:mprj_io_inp_dis[5] 0.000458361 -15 *1864:14 *2069:14 0 -16 *1864:20 *2069:14 0.00245546 -17 *1866:14 *2069:14 0 -18 *1961:13 *2069:13 0.000124349 -19 *2015:11 *2069:14 0.000537421 -20 *2042:14 *2474:mprj_io_inp_dis[5] 0.000713063 -*RES -1 *2458:pad_gpio_inenb *2069:13 35.6549 -2 *2069:13 *2069:14 95.679 -3 *2069:14 *2474:mprj_io_inp_dis[5] 33.7488 -*END - -*D_NET *2070 0.0208434 -*CONN -*I *2474:mprj_io_inp_dis[6] I *D chip_io_alt -*I *2459:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_inp_dis[6] 0.000561668 -2 *2459:pad_gpio_inenb 0.000333707 -3 *2070:28 0.000851398 -4 *2070:25 0.000929801 -5 *2070:14 0.00125726 -6 *2070:13 0.000950893 -7 *2070:13 *2097:8 2.08076e-05 -8 *2070:13 *2124:13 7.43144e-05 -9 *2070:13 *2151:15 0.000774126 -10 *2070:13 *2178:13 0 -11 *2070:14 *2124:14 0.00437841 -12 *2070:14 *2178:14 0.00442542 -13 *2459:pad_gpio_in *2070:13 3.84497e-05 -14 *2459:resetn *2070:14 0.000640931 -15 *2474:mprj_io_analog_pol[6] *2474:mprj_io_inp_dis[6] 0 -16 *110:98 *2070:14 1.69932e-05 -17 *478:32 *2070:28 0.000345966 -18 *507:18 *2474:mprj_io_inp_dis[6] 0.00019711 -19 *1567:20 *2070:25 0 -20 *1827:20 *2070:28 0.00226954 -21 *1867:14 *2070:28 0.00227313 -22 *1868:14 *2070:25 0.000498418 -23 *2043:12 *2070:25 5.04829e-06 -*RES -1 *2459:pad_gpio_inenb *2070:13 21.9432 -2 *2070:13 *2070:14 71.1791 -3 *2070:14 *2070:25 35.272 -4 *2070:25 *2070:28 40.7979 -5 *2070:28 *2474:mprj_io_inp_dis[6] 18.4061 -*END - -*D_NET *2071 0.05348 -*CONN -*I *2474:mprj_io_inp_dis[7] I *D chip_io_alt -*I *2460:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_inp_dis[7] 0.000255505 -2 *2460:pad_gpio_inenb 0.000341798 -3 *2071:18 0.00103012 -4 *2071:16 0.00095277 -5 *2071:8 0.00692531 -6 *2071:7 0.00708896 -7 *2474:mprj_io_inp_dis[7] *2293:10 0 -8 *2071:7 *2098:13 0 -9 *2071:7 *2125:8 7.36223e-05 -10 *2071:7 *2152:10 0 -11 *2071:8 *2126:16 0.000490766 -12 *2071:8 *2152:10 0 -13 *2071:8 *2153:16 0.00453366 -14 *2071:16 *2072:18 0.000192324 -15 *2071:16 *2125:14 0.000137357 -16 *2071:16 *2125:19 3.24705e-06 -17 *2071:18 *2072:18 0.00180549 -18 *2460:pad_gpio_in *2071:7 3.84497e-05 -19 *2474:mprj_io_analog_sel[7] *2474:mprj_io_inp_dis[7] 9.29408e-05 -20 *110:104 *2071:8 9.41515e-05 -21 *110:118 *2071:8 0.000372007 -22 *1871:14 *2071:8 0.0223522 -23 *1875:14 *2071:16 2.2599e-05 -24 *1875:14 *2071:18 0.00377433 -25 *1963:8 *2071:8 0 -26 *1964:16 *2071:18 0.000467019 -27 *1990:7 *2071:7 0 -28 *1990:8 *2071:8 0.00243534 -*RES -1 *2460:pad_gpio_inenb *2071:7 4.34986 -2 *2071:7 *2071:8 359.157 -3 *2071:8 *2071:16 14.8508 -4 *2071:16 *2071:18 61.0054 -5 *2071:18 *2474:mprj_io_inp_dis[7] 14.5899 -*END - -*D_NET *2072 0.0563483 -*CONN -*I *2474:mprj_io_inp_dis[8] I *D chip_io_alt -*I *2449:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_inp_dis[8] 0.000376118 -2 *2449:pad_gpio_inenb 0.000982978 -3 *2072:24 0.00118749 -4 *2072:23 0.000964478 -5 *2072:18 0.00484266 -6 *2072:17 0.00468956 -7 *2072:15 0.000982978 -8 *2474:mprj_io_inp_dis[8] *2073:37 5.04829e-06 -9 *2474:mprj_io_inp_dis[8] *2302:15 6.89664e-05 -10 *2072:15 *2126:15 0.000841122 -11 *2072:15 *2153:15 0.000572602 -12 *2072:15 *2354:13 0.00227755 -13 *2072:18 *2125:14 0.00047356 -14 *2072:18 *2125:20 0.00545238 -15 *2072:18 *2153:16 1.86389e-05 -16 *2072:18 *2153:20 0.00262697 -17 *2072:18 *2153:24 0.000243744 -18 *2072:18 *2154:14 0.00472779 -19 *2072:18 *2180:14 0.00261262 -20 *2474:mprj_io_analog_pol[8] *2474:mprj_io_inp_dis[8] 0 -21 *1829:14 *2072:24 0.00195445 -22 *1856:19 *2072:24 0.000679262 -23 *1857:14 *2072:24 0 -24 *1871:14 *2072:18 0.0045506 -25 *1871:18 *2072:18 3.7752e-05 -26 *1874:33 *2072:23 5.04829e-06 -27 *1875:14 *2072:18 0.00235155 -28 *1877:14 *2072:24 0.00419759 -29 *1878:14 *2072:18 0.00283158 -30 *1879:25 *2474:mprj_io_inp_dis[8] 3.24705e-06 -31 *1879:26 *2072:24 6.52332e-05 -32 *1964:10 *2072:15 7.12702e-05 -33 *2017:13 *2072:18 0.00352908 -34 *2019:11 *2072:18 0.000126603 -35 *2071:16 *2072:18 0.000192324 -36 *2071:18 *2072:18 0.00180549 -*RES -1 *2449:pad_gpio_inenb *2072:15 49.0445 -2 *2072:15 *2072:17 4.5 -3 *2072:17 *2072:18 344.83 -4 *2072:18 *2072:23 11.9418 -5 *2072:23 *2072:24 67.4418 -6 *2072:24 *2474:mprj_io_inp_dis[8] 17.6388 -*END - -*D_NET *2073 0.0567217 -*CONN -*I *2474:mprj_io_inp_dis[9] I *D chip_io_alt -*I *2450:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_inp_dis[9] 0.000281228 -2 *2450:pad_gpio_inenb 9.89961e-05 -3 *2073:52 0.00084523 -4 *2073:49 0.000718294 -5 *2073:44 0.00220939 -6 *2073:43 0.00264458 -7 *2073:37 0.00106975 -8 *2073:31 0.00128013 -9 *2073:21 0.00177336 -10 *2073:10 0.00477962 -11 *2073:9 0.00390512 -12 *2474:mprj_io_inp_dis[9] *2303:13 8.16595e-05 -13 *2474:mprj_io_inp_dis[9] *2303:14 0 -14 *2073:31 *2474:mprj_io_slow_sel[8] 0.000197125 -15 *2073:31 *2302:15 0.000334611 -16 *2073:44 *2474:mprj_io_vtrip_sel[8] 0.000656134 -17 *2073:52 *2129:14 0.00135608 -18 *2474:mprj_io_dm[25] *2073:31 0.000341824 -19 *2474:mprj_io_ib_mode_sel[8] *2073:44 7.15466e-05 -20 *2474:mprj_io_inp_dis[8] *2073:37 5.04829e-06 -21 *2474:mprj_io_analog_pol[9] *2474:mprj_io_inp_dis[9] 0 -22 *2474:mprj_io_dm[28] *2073:52 0.00163939 -23 *101:15 *2073:49 0 -24 *101:16 *2073:52 2.01595e-05 -25 *511:14 *2073:37 0.000240993 -26 *1802:20 *2073:37 0.000502001 -27 *1803:14 *2073:44 0.0105013 -28 *1876:14 *2073:37 0.00119658 -29 *1877:14 *2073:43 0.00177769 -30 *1879:19 *2073:21 9.09602e-06 -31 *1879:19 *2073:31 0.000731333 -32 *1879:25 *2073:37 0 -33 *1879:26 *2073:43 0.000862855 -34 *1879:32 *2073:44 0 -35 *1940:10 *2073:52 0.000216974 -36 *1940:18 *2073:52 0.000220926 -37 *1964:16 *2073:43 0.000148188 -38 *1965:8 *2073:44 0.00160563 -39 *1991:16 *2073:37 0.00019411 -40 *1994:20 *2073:52 0.001143 -41 *2018:11 *2073:31 0.000171079 -42 *2019:11 *2073:31 0.000531716 -43 *2019:11 *2073:44 0.0123589 -44 *2045:7 *2073:44 0 -*RES -1 *2450:pad_gpio_inenb *2073:9 3.708 -2 *2073:9 *2073:10 107.929 -3 *2073:10 *2073:21 35.9586 -4 *2073:21 *2073:31 43.0653 -5 *2073:31 *2073:37 33.9874 -6 *2073:37 *2073:43 42.429 -7 *2073:43 *2073:44 199.907 -8 *2073:44 *2073:49 11.9418 -9 *2073:49 *2073:52 49.9335 -10 *2073:52 *2474:mprj_io_inp_dis[9] 11.3357 -*END - -*D_NET *2074 0.00727374 -*CONN -*I *2474:mprj_io_oeb[0] I *D chip_io_alt -*I *2444:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_oeb[0] 0.000484544 -2 *2444:pad_gpio_outenb 0.000169835 -3 *2074:8 0.00229233 -4 *2074:7 0.00197763 -5 *2074:7 *2101:13 3.84497e-05 -6 *2074:7 *2128:13 4.80459e-05 -7 *2074:8 *2101:13 0 -8 *2074:8 *2101:14 0 -9 *2074:8 *2128:13 0 -10 *2074:8 *2155:19 0 -11 *2444:pad_gpio_in *2074:8 0 -12 *2474:mprj_io_holdover[0] *2474:mprj_io_oeb[0] 0.00120376 -13 *2474:mprj_io_holdover[0] *2074:8 0 -14 *2474:mprj_io_ib_mode_sel[0] *2474:mprj_io_oeb[0] 0 -15 *1777:13 *2074:8 0 -16 *1804:13 *2074:8 0 -17 *1831:19 *2074:8 0 -18 *1858:13 *2074:8 0 -19 *1869:13 *2074:8 0 -20 *1939:10 *2474:mprj_io_oeb[0] 6.99044e-06 -21 *1939:10 *2074:8 0.00095923 -22 *1966:13 *2074:8 0 -23 *2020:7 *2474:mprj_io_oeb[0] 9.29258e-05 -24 *2047:13 *2074:8 0 -*RES -1 *2444:pad_gpio_outenb *2074:7 3.9674 -2 *2074:7 *2074:8 59.9673 -3 *2074:8 *2474:mprj_io_oeb[0] 21.7763 -*END - -*D_NET *2075 0.0665987 -*CONN -*I *2474:mprj_io_oeb[10] I *D chip_io_alt -*I *2451:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_oeb[10] 0.00068226 -2 *2451:pad_gpio_outenb 0.00123454 -3 *2075:8 0.0121666 -4 *2075:7 0.0127189 -5 *2474:mprj_io_oeb[10] *2130:20 7.48753e-06 -6 *2075:7 *2102:10 0.000218037 -7 *2075:7 *2129:13 4.31122e-05 -8 *2075:7 *2156:17 0 -9 *2075:8 *2474:mprj_io_out[10] 0 -10 *2075:8 *2100:8 0.0064004 -11 *2075:8 *2127:23 0.000706804 -12 *501:14 *2075:8 0.00268445 -13 *511:14 *2075:8 0.000311341 -14 *1778:16 *2075:8 0.00617163 -15 *1857:20 *2075:8 0.000654168 -16 *1881:14 *2075:8 0.00394811 -17 *1883:14 *2075:8 0.00410583 -18 *1886:8 *2075:8 0 -19 *1941:8 *2075:8 0.014545 -*RES -1 *2451:pad_gpio_outenb *2075:7 2.69628 -2 *2075:7 *2075:8 64.9751 -3 *2075:8 *2474:mprj_io_oeb[10] 7.2651 -*END - -*D_NET *2076 0.0697847 -*CONN -*I *2474:mprj_io_oeb[11] I *D chip_io_alt -*I *2452:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_oeb[11] 6.10627e-05 -2 *2452:pad_gpio_outenb 0.000580836 -3 *2076:29 0.00301465 -4 *2076:25 0.00381029 -5 *2076:14 0.00261668 -6 *2076:13 0.00234081 -7 *2076:13 *2103:18 2.15596e-05 -8 *2076:14 *2452:user_gpio_oeb 0 -9 *2076:14 *2103:18 0.000307033 -10 *2076:14 *2130:18 0.001907 -11 *2076:25 *2474:mprj_io_slow_sel[10] 0.000678361 -12 *2076:29 *2157:20 0.0236384 -13 *2452:resetn *2076:13 0.000178041 -14 *101:22 *2076:13 0 -15 *101:22 *2076:14 8.70609e-05 -16 *468:54 *2076:13 0.000204874 -17 *469:12 *2076:14 0 -18 *501:13 *2076:14 0 -19 *1889:18 *2474:mprj_io_oeb[11] 0.000156962 -20 *1940:18 *2076:14 0.000163367 -21 *1941:8 *2076:29 0.000273717 -22 *1967:20 *2076:14 0 -23 *1968:14 *2076:14 4.72992e-05 -24 *1968:29 *2076:29 0.023558 -25 *1969:17 *2076:29 0.000146522 -26 *1994:11 *2076:14 0.00599219 -*RES -1 *2452:pad_gpio_outenb *2076:13 28.9203 -2 *2076:13 *2076:14 98.1705 -3 *2076:14 *2076:25 25.484 -4 *2076:25 *2076:29 49.5937 -5 *2076:29 *2474:mprj_io_oeb[11] 5.94579 -*END - -*D_NET *2077 0.0659583 -*CONN -*I *2474:mprj_io_oeb[12] I *D chip_io_alt -*I *2453:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_oeb[12] 6.22868e-05 -2 *2453:pad_gpio_outenb 0.00145245 -3 *2077:15 0.0076404 -4 *2077:10 0.00903057 -5 *2077:10 *2474:mprj_io_out[11] 0.00778354 -6 *2077:10 *2104:13 4.31122e-05 -7 *2077:10 *2130:28 0.000422048 -8 *2077:10 *2131:8 3.84497e-05 -9 *2077:10 *2158:10 0.00761133 -10 *2077:15 *2474:mprj_io_out[11] 0.00413264 -11 *2077:15 *2131:22 0.00169189 -12 *2077:15 *2158:10 0.000124783 -13 *2077:15 *2158:15 0.0236749 -14 *2474:mprj_io_analog_sel[12] *2077:15 0.000132415 -15 *2474:mprj_io_ib_mode_sel[12] *2474:mprj_io_oeb[12] 0 -16 *1806:26 *2077:10 0.000127672 -17 *1807:19 *2077:15 0 -18 *1886:8 *2077:10 0.000163684 -19 *1889:18 *2077:15 0.00126517 -20 *1889:30 *2077:15 0.000129653 -21 *1969:17 *2077:15 0.000100472 -22 *1996:14 *2077:15 0.000199547 -23 *2022:7 *2077:15 0.000131317 -24 *2050:13 *2077:10 0 -*RES -1 *2453:pad_gpio_outenb *2077:10 17.6549 -2 *2077:10 *2077:15 49.6281 -3 *2077:15 *2474:mprj_io_oeb[12] 5.13971 -*END - -*D_NET *2078 0.0136553 -*CONN -*I *2474:mprj_io_oeb[13] I *D chip_io_alt -*I *2454:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_oeb[13] 0.00125126 -2 *2454:pad_gpio_outenb 2.68684e-05 -3 *2078:24 2.68684e-05 -4 *2078:10 0.00141863 -5 *2078:5 0.000760147 -6 *2078:4 0.000592776 -7 *2078:5 *2105:5 0.000299733 -8 *2078:5 *2159:8 0.000316853 -9 *2078:10 *2159:13 0.00206379 -10 *2474:mprj_io_ib_mode_sel[13] *2474:mprj_io_oeb[13] 0 -11 *1537:23 *2078:10 6.89789e-05 -12 *1893:8 *2078:5 0.00240355 -13 *1943:8 *2078:5 0.00255514 -14 *1970:10 *2078:10 0.00187072 -15 *2024:7 *2474:mprj_io_oeb[13] 0 -*RES -1 *2454:pad_gpio_outenb *2078:4 3.36879 -2 *2078:4 *2078:5 53.5309 -3 *2078:5 *2078:10 30.7983 -4 *2078:10 *2474:mprj_io_oeb[13] 43.2204 -5 *2454:pad_gpio_outenb *2078:24 0.0631875 -*END - -*D_NET *2079 0.0188152 -*CONN -*I *2474:mprj_io_oeb[14] I *D chip_io_alt -*I *2461:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_oeb[14] 0.000892952 -2 *2461:pad_gpio_outenb 0.000300631 -3 *2079:13 0.00112634 -4 *2079:8 0.00235653 -5 *2079:7 0.00242378 -6 *2474:mprj_io_oeb[14] *2474:mprj_io_out[14] 0.000171064 -7 *2474:mprj_io_oeb[14] *2474:mprj_io_vtrip_sel[14] 0.000171064 -8 *2474:mprj_io_oeb[14] *2326:13 0.000754914 -9 *2079:7 *2106:7 0.000198219 -10 *2079:7 *2133:7 0.000188622 -11 *2079:7 *2160:7 0 -12 *2079:8 *2106:8 4.61488e-05 -13 *2079:8 *2133:8 0 -14 *2079:8 *2160:8 0 -15 *2461:resetn *2079:7 0 -16 *2474:mprj_io_analog_sel[14] *2474:mprj_io_oeb[14] 0.000171064 -17 *2474:mprj_io_dm[44] *2474:mprj_io_oeb[14] 0.00019711 -18 *2474:mprj_io_holdover[14] *2474:mprj_io_oeb[14] 0.000118972 -19 *2474:mprj_io_ib_mode_sel[14] *2474:mprj_io_oeb[14] 5.6259e-05 -20 *485:17 *2079:8 0.00454127 -21 *1836:14 *2079:8 0.000414631 -22 *1894:14 *2079:8 0.00185926 -23 *2025:9 *2474:mprj_io_oeb[14] 0.00156618 -24 *2052:8 *2079:8 0.00126019 -*RES -1 *2461:pad_gpio_outenb *2079:7 4.73231 -2 *2079:7 *2079:8 120.802 -3 *2079:8 *2079:13 14.1602 -4 *2079:13 *2474:mprj_io_oeb[14] 45.8732 -*END - -*D_NET *2080 0.0223597 -*CONN -*I *2474:mprj_io_oeb[15] I *D chip_io_alt -*I *2462:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_oeb[15] 0.000513324 -2 *2462:pad_gpio_outenb 0.000378317 -3 *2080:14 0.00151652 -4 *2080:13 0.00110281 -5 *2080:8 0.00091286 -6 *2080:7 0.00119156 -7 *2080:7 *2107:7 0.000261617 -8 *2080:7 *2134:7 0.000145223 -9 *2080:7 *2161:7 0 -10 *2080:14 *2161:8 9.66387e-05 -11 *2474:mprj_io_ib_mode_sel[15] *2474:mprj_io_oeb[15] 0.000284651 -12 *471:16 *2080:8 0.00180177 -13 *489:8 *2080:14 0.00228543 -14 *517:16 *2080:14 0.000598039 -15 *517:20 *2080:8 0.00439156 -16 *517:20 *2080:14 0.000266226 -17 *1810:16 *2080:8 0.000658277 -18 *1837:13 *2080:13 5.04829e-06 -19 *1899:8 *2080:14 0.00313478 -20 *1945:13 *2080:13 5.04829e-06 -21 *1945:16 *2080:14 1.72818e-05 -22 *1945:23 *2080:14 0.00178621 -23 *1972:26 *2080:14 0.000548682 -24 *2026:9 *2474:mprj_io_oeb[15] 0.00045785 -*RES -1 *2462:pad_gpio_outenb *2080:7 5.19125 -2 *2080:7 *2080:8 70.5562 -3 *2080:8 *2080:13 10.8326 -4 *2080:13 *2080:14 87.7892 -5 *2080:14 *2474:mprj_io_oeb[15] 27.2441 -*END - -*D_NET *2081 0.0241644 -*CONN -*I *2474:mprj_io_oeb[16] I *D chip_io_alt -*I *2463:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_oeb[16] 0.000534438 -2 *2463:pad_gpio_outenb 0.000332109 -3 *2081:20 0.0013356 -4 *2081:19 0.00114876 -5 *2081:8 0.00111242 -6 *2081:7 0.00109693 -7 *2081:7 *2108:7 0.000372352 -8 *2081:7 *2135:7 0.000307382 -9 *2081:8 *2108:8 6.80434e-05 -10 *2081:19 *2108:13 2.41274e-06 -11 *2081:19 *2162:16 0.00101426 -12 *2081:19 *2162:19 0.000111722 -13 *2081:19 *2328:20 8.79845e-05 -14 *2081:20 *2162:20 0.0029713 -15 *2474:mprj_io_ib_mode_sel[16] *2474:mprj_io_oeb[16] 0 -16 *472:8 *2081:8 0 -17 *472:8 *2081:19 0.00101426 -18 *472:8 *2081:20 0.00044857 -19 *518:8 *2081:8 0.00523405 -20 *518:8 *2081:20 0.000321049 -21 *1973:16 *2081:20 0.0014944 -22 *2027:9 *2474:mprj_io_oeb[16] 0.000215165 -23 *2054:8 *2081:8 0.00494121 -*RES -1 *2463:pad_gpio_outenb *2081:7 5.34423 -2 *2081:7 *2081:8 84.2596 -3 *2081:8 *2081:19 39.1402 -4 *2081:19 *2081:20 62.4588 -5 *2081:20 *2474:mprj_io_oeb[16] 24.9896 -*END - -*D_NET *2082 0.0236427 -*CONN -*I *2474:mprj_io_oeb[17] I *D chip_io_alt -*I *2464:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_oeb[17] 0.000510759 -2 *2464:pad_gpio_outenb 0.000655446 -3 *2082:12 0.00212581 -4 *2082:10 0.0022705 -5 *2082:10 *2109:7 0.000305698 -6 *2082:10 *2109:8 0.000579049 -7 *2082:10 *2136:7 0.000129968 -8 *2082:10 *2163:7 0 -9 *2082:10 *2163:8 4.61488e-05 -10 *2082:12 *2109:8 0 -11 *2082:12 *2109:16 0.000462932 -12 *2082:12 *2109:18 1.23804e-05 -13 *2464:resetn *2082:10 0 -14 *106:13 *2082:10 0.000228329 -15 *106:14 *2082:12 0.00942927 -16 *519:10 *2082:12 0.00564597 -17 *1812:16 *2082:12 0.00119282 -18 *2028:9 *2474:mprj_io_oeb[17] 4.76719e-05 -*RES -1 *2464:pad_gpio_outenb *2082:10 19.331 -2 *2082:10 *2082:12 151.946 -3 *2082:12 *2474:mprj_io_oeb[17] 22.0756 -*END - -*D_NET *2083 0.0248083 -*CONN -*I *2474:mprj_io_oeb[18] I *D chip_io_alt -*I *2465:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_oeb[18] 0.000665339 -2 *2465:pad_gpio_outenb 0.000559895 -3 *2083:10 0.00202871 -4 *2083:9 0.00192326 -5 *2474:mprj_io_oeb[18] *2474:mprj_io_out[18] 1.00846e-05 -6 *2083:9 *2110:9 0.000276188 -7 *2083:9 *2137:9 0.000150197 -8 *2083:9 *2164:9 0 -9 *2083:10 *2164:16 0.00509839 -10 *2474:mprj_io_ib_mode_sel[18] *2474:mprj_io_oeb[18] 0.00188275 -11 *2474:mprj_io_inp_dis[18] *2083:10 0.000253824 -12 *107:58 *2474:mprj_io_oeb[18] 0 -13 *492:10 *2083:10 0.008934 -14 *520:16 *2083:10 0.00186098 -15 *2029:9 *2474:mprj_io_oeb[18] 0.00116469 -16 *2029:10 *2474:mprj_io_oeb[18] 0 -17 *2056:9 *2083:9 0 -*RES -1 *2465:pad_gpio_outenb *2083:9 5.79319 -2 *2083:9 *2083:10 142.81 -3 *2083:10 *2474:mprj_io_oeb[18] 42.8871 -*END - -*D_NET *2084 0.0232074 -*CONN -*I *2474:mprj_io_oeb[19] I *D chip_io_alt -*I *2466:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_oeb[19] 0.000564748 -2 *2466:pad_gpio_outenb 0.00036613 -3 *2084:8 0.00246549 -4 *2084:7 0.00226687 -5 *2474:mprj_io_oeb[19] *2474:mprj_io_vtrip_sel[19] 0 -6 *2084:7 *2111:7 0.000345135 -7 *2084:7 *2138:10 0.000259251 -8 *2084:7 *2165:13 0 -9 *2084:8 *2111:14 0.00040138 -10 *2084:8 *2138:10 0 -11 *2084:8 *2165:28 0 -12 *2474:mprj_io_ib_mode_sel[19] *2474:mprj_io_oeb[19] 0.000669816 -13 *493:20 *2084:8 8.35615e-06 -14 *493:22 *2084:8 0.00255743 -15 *521:14 *2084:8 0.000593035 -16 *1814:8 *2084:8 0.00509 -17 *1910:8 *2084:8 0.00559031 -18 *1912:8 *2084:8 0.000275383 -19 *1949:8 *2084:8 4.61488e-05 -20 *1949:17 *2084:8 0.000543776 -21 *1976:21 *2474:mprj_io_oeb[19] 4.57934e-05 -22 *2030:15 *2474:mprj_io_oeb[19] 0.000913325 -23 *2057:13 *2084:8 0 -24 *2057:29 *2084:8 0.000205067 -*RES -1 *2466:pad_gpio_outenb *2084:7 5.26774 -2 *2084:7 *2084:8 151.53 -3 *2084:8 *2474:mprj_io_oeb[19] 34.5376 -*END - -*D_NET *2085 0.00944164 -*CONN -*I *2474:mprj_io_oeb[1] I *D chip_io_alt -*I *2445:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_oeb[1] 0.000640108 -2 *2445:pad_gpio_outenb 0.000187187 -3 *2085:8 0.00140082 -4 *2085:7 0.0009479 -5 *2085:7 *2112:13 3.84497e-05 -6 *2085:7 *2139:13 3.84497e-05 -7 *2445:resetn *2085:7 0 -8 *2474:mprj_io_ib_mode_sel[1] *2474:mprj_io_oeb[1] 0 -9 *100:84 *2085:8 0.00157391 -10 *467:55 *2474:mprj_io_oeb[1] 0.00156332 -11 *467:55 *2085:8 0 -12 *485:50 *2085:7 0 -13 *485:53 *2474:mprj_io_oeb[1] 0 -14 *485:53 *2085:8 0.000289922 -15 *1977:8 *2474:mprj_io_oeb[1] 0 -16 *1977:8 *2085:8 0.00272074 -17 *2031:7 *2474:mprj_io_oeb[1] 4.08338e-05 -*RES -1 *2445:pad_gpio_outenb *2085:7 3.9674 -2 *2085:7 *2085:8 54.1538 -3 *2085:8 *2474:mprj_io_oeb[1] 28.1761 -*END - -*D_NET *2086 0.0215687 -*CONN -*I *2474:mprj_io_oeb[20] I *D chip_io_alt -*I *2467:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_oeb[20] 0.000871385 -2 *2467:pad_gpio_outenb 0.00378136 -3 *2086:13 0.00465275 -4 *2474:mprj_io_oeb[20] *2113:16 0.000484305 -5 *2086:13 *2113:16 0.000101205 -6 *2086:13 *2140:7 0.000192185 -7 *2086:13 *2140:8 0.000731869 -8 *2086:13 *2315:13 0.000129446 -9 *474:42 *2086:13 0.00518522 -10 *474:48 *2474:mprj_io_oeb[20] 0 -11 *522:32 *2474:mprj_io_oeb[20] 0.000182532 -12 *522:32 *2086:13 0.00202352 -13 *1789:16 *2086:13 0.000670231 -14 *1843:16 *2086:13 0.000153237 -15 *1915:8 *2086:13 0.00104796 -16 *2032:13 *2474:mprj_io_oeb[20] 0.00136153 -*RES -1 *2467:pad_gpio_outenb *2086:13 24.5225 -2 *2086:13 *2474:mprj_io_oeb[20] 44.0012 -*END - -*D_NET *2087 0.0141696 -*CONN -*I *2474:mprj_io_oeb[21] I *D chip_io_alt -*I *2468:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_oeb[21] 0.00112652 -2 *2468:pad_gpio_outenb 0.000216421 -3 *2087:13 0.00120265 -4 *2087:8 0.00463505 -5 *2087:7 0.00477534 -6 *2474:mprj_io_oeb[21] *2474:mprj_io_out[21] 0.000462932 -7 *2474:mprj_io_oeb[21] *2474:mprj_io_vtrip_sel[21] 0.000332702 -8 *2474:mprj_io_oeb[21] *2316:13 0.000856496 -9 *2087:7 *2114:7 0.000185083 -10 *2087:7 *2141:7 0.00012971 -11 *2087:7 *2168:7 0 -12 *2087:8 *2474:mprj_io_slow_sel[21] 0 -13 *2087:8 *2141:8 0 -14 *2087:8 *2168:8 0 -15 *2087:8 *2298:21 0 -16 *2087:8 *2316:13 0 -17 *2474:mprj_io_dm[64] *2087:8 0 -18 *2474:mprj_io_holdover[21] *2474:mprj_io_oeb[21] 2.01595e-05 -19 *2474:mprj_io_holdover[21] *2087:13 9.09602e-06 -20 *2474:mprj_io_ib_mode_sel[21] *2474:mprj_io_oeb[21] 0.00021741 -21 *477:8 *2087:8 0 -22 *1790:8 *2087:8 0 -23 *1917:14 *2087:8 0 -24 *1918:16 *2087:8 0 -25 *1952:14 *2087:8 0 -*RES -1 *2468:pad_gpio_outenb *2087:7 4.42635 -2 *2087:7 *2087:8 124.954 -3 *2087:8 *2087:13 10.8326 -4 *2087:13 *2474:mprj_io_oeb[21] 42.8443 -*END - -*D_NET *2088 0.0255416 -*CONN -*I *2474:mprj_io_oeb[22] I *D chip_io_alt -*I *2469:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_oeb[22] 0.000497623 -2 *2469:pad_gpio_outenb 0.00077045 -3 *2088:12 0.00204682 -4 *2088:10 0.00231964 -5 *2088:10 *2115:7 0.000226364 -6 *2088:10 *2142:7 0.000195024 -7 *2088:10 *2169:15 0 -8 *2088:12 *2169:16 0.000249644 -9 *2474:mprj_io_ib_mode_sel[22] *2474:mprj_io_oeb[22] 0.00089474 -10 *111:10 *2088:10 0 -11 *111:12 *2088:10 1.07248e-05 -12 *111:12 *2088:12 3.29976e-05 -13 *111:16 *2088:12 0.00561561 -14 *494:20 *2088:12 0.00157095 -15 *524:22 *2088:10 0.000272107 -16 *524:22 *2088:12 0.000582247 -17 *1953:17 *2088:12 0.000513485 -18 *1980:8 *2088:12 0.0035904 -19 *2061:14 *2088:10 0 -20 *2061:14 *2088:12 1.3813e-05 -21 *2061:16 *2088:12 0.00613893 -*RES -1 *2469:pad_gpio_outenb *2088:10 15.2338 -2 *2088:10 *2088:12 149.112 -3 *2088:12 *2474:mprj_io_oeb[22] 27.7614 -*END - -*D_NET *2089 0.0261491 -*CONN -*I *2474:mprj_io_oeb[23] I *D chip_io_alt -*I *2470:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_oeb[23] 0.00189404 -2 *2470:pad_gpio_outenb 0.00189404 -3 *2474:mprj_io_oeb[23] *2474:mprj_io_out[23] 0.000334336 -4 *2474:mprj_io_ib_mode_sel[23] *2474:mprj_io_oeb[23] 0.01029 -5 *112:14 *2474:mprj_io_oeb[23] 0.0111481 -6 *477:26 *2474:mprj_io_oeb[23] 0 -7 *2008:11 *2474:mprj_io_oeb[23] 0.000588526 -*RES -1 *2470:pad_gpio_outenb *2474:mprj_io_oeb[23] 28.9411 -*END - -*D_NET *2090 0.0208591 -*CONN -*I *2474:mprj_io_oeb[24] I *D chip_io_alt -*I *2446:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_oeb[24] 0.000380676 -2 *2446:pad_gpio_outenb 0.000325718 -3 *2090:8 0.00343596 -4 *2090:7 0.003381 -5 *2090:7 *2117:10 0.00024557 -6 *2090:7 *2144:7 0.00024557 -7 *2090:8 *2144:8 0 -8 *2090:8 *2319:11 0.00506077 -9 *2446:serial_load_out *2090:8 0.0010458 -10 *2474:mprj_io_ib_mode_sel[24] *2474:mprj_io_oeb[24] 0 -11 *2474:mprj_io_ib_mode_sel[24] *2090:8 0 -12 *514:8 *2090:8 0 -13 *514:14 *2090:8 0.000487628 -14 *1928:8 *2090:8 0.00504306 -15 *1929:20 *2090:8 0 -16 *1955:14 *2090:8 0.000556128 -17 *1982:19 *2090:8 0 -18 *2063:8 *2090:8 0.000651251 -*RES -1 *2446:pad_gpio_outenb *2090:7 4.88529 -2 *2090:7 *2090:8 162.742 -3 *2090:8 *2474:mprj_io_oeb[24] 19.2165 -*END - -*D_NET *2091 0.0129516 -*CONN -*I *2474:mprj_io_oeb[25] I *D chip_io_alt -*I *2447:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_oeb[25] 0.00644286 -2 *2447:pad_gpio_outenb 0.00644286 -3 *2474:mprj_io_oeb[25] *2118:8 4.79986e-05 -4 *2474:mprj_io_oeb[25] *2145:7 1.78739e-05 -5 *2448:serial_load *2474:mprj_io_oeb[25] 0 -6 *515:8 *2474:mprj_io_oeb[25] 0 -*RES -1 *2447:pad_gpio_outenb *2474:mprj_io_oeb[25] 28.8281 -*END - -*D_NET *2092 0.0215227 -*CONN -*I *2474:mprj_io_oeb[26] I *D chip_io_alt -*I *2448:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_oeb[26] 0.00301117 -2 *2448:pad_gpio_outenb 0.00108957 -3 *2092:15 0.00410073 -4 *2092:15 *2119:12 0.00171627 -5 *2092:15 *2119:15 0.000246747 -6 *2092:15 *2146:10 5.35541e-05 -7 *2448:mgmt_gpio_out *2092:15 5.48652e-06 -8 *2448:pad_gpio_in *2092:15 9.91584e-05 -9 *1984:21 *2474:mprj_io_oeb[26] 0.00896083 -10 *1984:21 *2092:15 0.00160258 -11 *2011:12 *2092:15 0.000409792 -12 *2065:15 *2092:15 0.000226847 -*RES -1 *2448:pad_gpio_outenb *2092:15 40.2114 -2 *2092:15 *2474:mprj_io_oeb[26] 23.9471 -*END - -*D_NET *2093 0.0118525 -*CONN -*I *2474:mprj_io_oeb[2] I *D chip_io_alt -*I *2455:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_oeb[2] 0.000209846 -2 *2455:pad_gpio_outenb 0.000400353 -3 *2093:14 0.0011321 -4 *2093:13 0.0013226 -5 *2093:13 *2120:13 3.84497e-05 -6 *2093:13 *2147:7 3.84497e-05 -7 *2093:13 *2174:13 0.000105936 -8 *2093:14 *2120:14 0.00419398 -9 *2093:14 *2174:14 0.000178513 -10 *100:80 *2093:14 0 -11 *104:40 *2093:13 0 -12 *104:49 *2474:mprj_io_oeb[2] 0.000344954 -13 *471:40 *2474:mprj_io_oeb[2] 0.000102001 -14 *471:40 *2093:14 0 -15 *1985:14 *2093:14 0.00369853 -16 *2012:17 *2093:14 8.67988e-05 -*RES -1 *2455:pad_gpio_outenb *2093:13 21.7273 -2 *2093:13 *2093:14 76.1621 -3 *2093:14 *2474:mprj_io_oeb[2] 14.8158 -*END - -*D_NET *2094 0.00920627 -*CONN -*I *2474:mprj_io_oeb[3] I *D chip_io_alt -*I *2456:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_oeb[3] 0.000477464 -2 *2456:pad_gpio_outenb 0.000110452 -3 *2094:8 0.00153196 -4 *2094:7 0.00116494 -5 *2094:7 *2121:13 3.27908e-05 -6 *2094:7 *2148:15 4.10643e-05 -7 *2094:8 *2121:13 0.000125219 -8 *2094:8 *2148:15 8.35615e-06 -9 *2094:8 *2175:13 5.39868e-05 -10 *2455:resetn_out *2474:mprj_io_oeb[3] 0.000113186 -11 *2455:resetn_out *2094:8 0.000273081 -12 *2456:pad_gpio_in *2094:8 5.88867e-05 -13 *2456:resetn *2094:7 0 -14 *105:32 *2094:8 0.00249431 -15 *105:43 *2474:mprj_io_oeb[3] 0.00102781 -16 *105:43 *2094:8 0.000574919 -17 *105:52 *2474:mprj_io_oeb[3] 0 -18 *1797:13 *2094:8 0.000396678 -19 *1824:13 *2094:8 5.88867e-05 -20 *1860:13 *2094:8 0.000494416 -21 *1986:13 *2094:8 0.000167862 -*RES -1 *2456:pad_gpio_outenb *2094:7 3.73793 -2 *2094:7 *2094:8 59.9673 -3 *2094:8 *2474:mprj_io_oeb[3] 28.7965 -*END - -*D_NET *2095 0.0114695 -*CONN -*I *2474:mprj_io_oeb[4] I *D chip_io_alt -*I *2457:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_oeb[4] 2.27669e-05 -2 *2457:pad_gpio_outenb 0.00025717 -3 *2095:8 0.00109175 -4 *2095:7 0.00132615 -5 *2095:7 *2122:13 3.84497e-05 -6 *2095:7 *2149:13 3.84497e-05 -7 *2095:8 *2122:13 0 -8 *2095:8 *2149:13 0 -9 *2457:pad_gpio_in *2095:7 0 -10 *108:47 *2095:8 0.000562858 -11 *495:64 *2095:8 0 -12 *1960:14 *2095:8 0.0039855 -13 *2014:31 *2095:8 0.00335023 -14 *2041:7 *2095:8 0.000796167 -*RES -1 *2457:pad_gpio_outenb *2095:7 4.19688 -2 *2095:7 *2095:8 78.6536 -3 *2095:8 *2474:mprj_io_oeb[4] 0.647305 -*END - -*D_NET *2096 0.0112729 -*CONN -*I *2474:mprj_io_oeb[5] I *D chip_io_alt -*I *2458:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_oeb[5] 0.000169344 -2 *2458:pad_gpio_outenb 0.000259075 -3 *2096:14 0.00105064 -4 *2096:13 0.00114038 -5 *2474:mprj_io_oeb[5] *2150:13 0 -6 *2096:13 *2123:13 3.84497e-05 -7 *2096:13 *2150:7 3.84497e-05 -8 *2096:13 *2150:8 0 -9 *2096:13 *2177:13 0.000131328 -10 *2096:14 *2150:8 0.000197571 -11 *2474:mprj_io_analog_sel[5] *2474:mprj_io_oeb[5] 4.80635e-06 -12 *108:49 *2096:14 0.000567608 -13 *495:64 *2096:14 0.000988862 -14 *1853:17 *2096:14 0.00265365 -15 *1961:14 *2096:14 0.00370478 -16 *1988:8 *2474:mprj_io_oeb[5] 1.49792e-05 -17 *1988:8 *2096:14 0.000197586 -18 *2042:11 *2474:mprj_io_oeb[5] 0.000115378 -*RES -1 *2458:pad_gpio_outenb *2096:13 19.0936 -2 *2096:13 *2096:14 76.1621 -3 *2096:14 *2474:mprj_io_oeb[5] 13.5048 -*END - -*D_NET *2097 0.0067807 -*CONN -*I *2474:mprj_io_oeb[6] I *D chip_io_alt -*I *2459:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_oeb[6] 0.000212005 -2 *2459:pad_gpio_outenb 0.000138453 -3 *2097:8 0.00254698 -4 *2097:7 0.00247343 -5 *2097:7 *2124:13 3.27908e-05 -6 *2097:7 *2151:15 4.10643e-05 -7 *2097:8 *2124:13 6.21773e-05 -8 *2097:8 *2151:15 0 -9 *2097:8 *2178:13 3.61259e-05 -10 *2459:pad_gpio_in *2097:8 4.05974e-05 -11 *2459:resetn *2097:7 0 -12 *2459:serial_load *2097:8 0.000382785 -13 *507:18 *2474:mprj_io_oeb[6] 0 -14 *507:18 *2097:8 0 -15 *1800:13 *2097:8 0.000268051 -16 *1827:13 *2097:8 4.05974e-05 -17 *1854:13 *2097:8 1.48603e-05 -18 *1867:13 *2097:8 0.000145299 -19 *1868:13 *2097:8 0.000124349 -20 *1870:13 *2097:8 8.85241e-05 -21 *1989:13 *2097:8 0.0001118 -22 *2043:12 *2474:mprj_io_oeb[6] 0 -23 *2070:13 *2097:8 2.08076e-05 -*RES -1 *2459:pad_gpio_outenb *2097:7 3.81442 -2 *2097:7 *2097:8 73.0477 -3 *2097:8 *2474:mprj_io_oeb[6] 14.8122 -*END - -*D_NET *2098 0.0606152 -*CONN -*I *2474:mprj_io_oeb[7] I *D chip_io_alt -*I *2460:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_oeb[7] 0.000583557 -2 *2460:pad_gpio_outenb 0.000876583 -3 *2098:14 0.0056144 -4 *2098:13 0.00590742 -5 *2474:mprj_io_oeb[7] *2153:20 0 -6 *2098:13 *2125:8 0.00180224 -7 *2098:13 *2152:10 7.28972e-05 -8 *2098:13 *2179:13 0.00229407 -9 *2098:14 *2099:16 0.00576239 -10 *2098:14 *2179:14 0.029532 -11 *2474:mprj_io_ib_mode_sel[7] *2474:mprj_io_oeb[7] 2.18561e-05 -12 *477:35 *2098:13 2.93105e-05 -13 *477:44 *2098:13 3.52699e-05 -14 *478:32 *2098:14 0.00566643 -15 *1855:14 *2098:14 0.00196678 -16 *1856:19 *2098:14 0.000292074 -17 *1963:8 *2098:13 0.00015794 -18 *2071:7 *2098:13 0 -*RES -1 *2460:pad_gpio_outenb *2098:13 37.4329 -2 *2098:13 *2098:14 59.9457 -3 *2098:14 *2474:mprj_io_oeb[7] 6.31215 -*END - -*D_NET *2099 0.0754638 -*CONN -*I *2474:mprj_io_oeb[8] I *D chip_io_alt -*I *2449:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_oeb[8] 0.00063975 -2 *2449:pad_gpio_outenb 0.00195053 -3 *2099:16 0.00618331 -4 *2099:15 0.0074941 -5 *2099:15 *2126:15 0 -6 *2099:15 *2354:12 0 -7 *2099:16 *2100:8 0.0137166 -8 *2099:16 *2127:14 0.00187663 -9 *2099:16 *2152:14 0.000473354 -10 *2449:serial_clock_out *2099:15 0.000163509 -11 *2449:serial_data_in *2099:15 1.29018e-05 -12 *2449:serial_load *2099:15 1.61139e-05 -13 *2449:serial_load_out *2099:15 0 -14 *2474:mprj_io_ib_mode_sel[8] *2474:mprj_io_oeb[8] 0 -15 *478:32 *2099:16 0.000477461 -16 *478:35 *2099:15 0 -17 *510:13 *2099:15 9.93625e-05 -18 *510:14 *2099:16 0.00090114 -19 *1801:20 *2099:16 0.000522055 -20 *1828:14 *2099:16 0.000518598 -21 *1855:14 *2099:16 0.000490766 -22 *1856:19 *2099:16 0.000473012 -23 *1857:14 *2099:16 0.00202293 -24 *1873:14 *2099:16 0.000490766 -25 *1874:22 *2099:16 0.000706122 -26 *1876:14 *2099:16 0.000518598 -27 *1963:16 *2099:16 0.000397418 -28 *1964:10 *2099:15 0.00109997 -29 *1991:10 *2099:15 0.00109529 -30 *1991:16 *2099:16 0.0270327 -31 *1992:8 *2099:16 0.000328461 -32 *2098:14 *2099:16 0.00576239 -*RES -1 *2449:pad_gpio_outenb *2099:15 29.686 -2 *2099:15 *2099:16 62.4604 -3 *2099:16 *2474:mprj_io_oeb[8] 5.78119 -*END - -*D_NET *2100 0.0707687 -*CONN -*I *2474:mprj_io_oeb[9] I *D chip_io_alt -*I *2450:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2474:mprj_io_oeb[9] 0.000532153 -2 *2450:pad_gpio_outenb 0.000921506 -3 *2100:8 0.00571626 -4 *2100:7 0.00610561 -5 *2100:7 *2127:13 3.60838e-05 -6 *2100:7 *2154:13 2.72062e-05 -7 *2100:7 *2181:7 0 -8 *2100:8 *2474:mprj_io_out[10] 2.59783e-05 -9 *2100:8 *2127:14 0.00167697 -10 *2100:8 *2127:28 0.000288926 -11 *2474:mprj_io_ib_mode_sel[9] *2474:mprj_io_oeb[9] 6.34849e-05 -12 *101:22 *2474:mprj_io_oeb[9] 0.00010487 -13 *1778:16 *2100:8 0.00010448 -14 *1830:22 *2100:8 0.000453415 -15 *1857:14 *2100:8 0.00172017 -16 *1877:22 *2100:8 0.000353882 -17 *1881:14 *2100:8 0.000161557 -18 *1992:8 *2100:8 0.0323591 -19 *2075:8 *2100:8 0.0064004 -20 *2099:16 *2100:8 0.0137166 -*RES -1 *2450:pad_gpio_outenb *2100:7 2.39032 -2 *2100:7 *2100:8 64.8607 -3 *2100:8 *2474:mprj_io_oeb[9] 6.8039 -*END - -*D_NET *2101 0.0138606 -*CONN -*I *2474:mprj_io_out[0] I *D chip_io_alt -*I *2444:pad_gpio_out O *D gpio_control_block -*CAP -1 *2474:mprj_io_out[0] 0.000413095 -2 *2444:pad_gpio_out 0.000346549 -3 *2101:14 0.00138077 -4 *2101:13 0.00131423 -5 *2474:mprj_io_out[0] *2155:23 0 -6 *2101:13 *2128:13 0.000124349 -7 *2101:14 *2155:19 0.00214202 -8 *2101:14 *2155:23 0.00260666 -9 *2474:mprj_io_holdover[0] *2474:mprj_io_out[0] 0.0006713 -10 *1831:19 *2101:14 0.00108938 -11 *1831:20 *2101:14 0.00283599 -12 *1880:10 *2101:14 0 -13 *1939:10 *2101:14 0 -14 *1966:14 *2101:14 4.55455e-05 -15 *1993:21 *2101:14 0.000103433 -16 *1993:30 *2101:13 0 -17 *2047:13 *2101:13 0.000748829 -18 *2074:7 *2101:13 3.84497e-05 -19 *2074:8 *2101:13 0 -20 *2074:8 *2101:14 0 -*RES -1 *2444:pad_gpio_out *2101:13 24.0795 -2 *2101:13 *2101:14 81.1452 -3 *2101:14 *2474:mprj_io_out[0] 23.349 -*END - -*D_NET *2102 0.0730562 -*CONN -*I *2474:mprj_io_out[10] I *D chip_io_alt -*I *2451:pad_gpio_out O *D gpio_control_block -*CAP -1 *2474:mprj_io_out[10] 0.00330977 -2 *2451:pad_gpio_out 0.00216886 -3 *2102:10 0.00547864 -4 *2474:mprj_io_out[10] *2474:mprj_io_vtrip_sel[10] 1.83572e-05 -5 *2474:mprj_io_out[10] *2130:20 8.22832e-05 -6 *2474:mprj_io_out[10] *2181:8 4.8144e-05 -7 *2102:10 *2181:8 0.0130286 -8 *2474:mprj_io_holdover[10] *2474:mprj_io_out[10] 3.5534e-06 -9 *101:16 *2102:10 0.000582729 -10 *1877:14 *2102:10 0.000940813 -11 *1882:14 *2474:mprj_io_out[10] 0.000617419 -12 *1882:14 *2102:10 0.00170271 -13 *1885:14 *2474:mprj_io_out[10] 0.00170512 -14 *1886:8 *2474:mprj_io_out[10] 0.0131539 -15 *1940:10 *2102:10 0.00887763 -16 *1940:18 *2474:mprj_io_out[10] 0.0184682 -17 *1940:18 *2102:10 0.00195299 -18 *1967:13 *2102:10 0 -19 *1992:8 *2474:mprj_io_out[10] 6.55656e-05 -20 *2048:13 *2102:10 4.31122e-05 -21 *2048:14 *2474:mprj_io_out[10] 0.000563795 -22 *2075:7 *2102:10 0.000218037 -23 *2075:8 *2474:mprj_io_out[10] 0 -24 *2100:8 *2474:mprj_io_out[10] 2.59783e-05 -*RES -1 *2451:pad_gpio_out *2102:10 28.0095 -2 *2102:10 *2474:mprj_io_out[10] 45.3622 -*END - -*D_NET *2103 0.0643364 -*CONN -*I *2474:mprj_io_out[11] I *D chip_io_alt -*I *2452:pad_gpio_out O *D gpio_control_block -*CAP -1 *2474:mprj_io_out[11] 0.00299553 -2 *2452:pad_gpio_out 0.00557996 -3 *2103:18 0.0085755 -4 *2474:mprj_io_out[11] *2323:11 0.000128423 -5 *2103:18 *2474:mprj_io_vtrip_sel[10] 0.00642419 -6 *2103:18 *2130:18 0.000266098 -7 *2103:18 *2130:20 0.000463618 -8 *2103:18 *2130:24 0.000479242 -9 *2103:18 *2156:17 0.00358265 -10 *2103:18 *2322:13 0.000123379 -11 *2474:mprj_io_analog_sel[11] *2474:mprj_io_out[11] 0.00787088 -12 *2474:mprj_io_holdover[11] *2474:mprj_io_out[11] 9.56772e-06 -13 *101:22 *2103:18 0.000106514 -14 *1806:14 *2103:18 0.000523547 -15 *1806:18 *2474:mprj_io_out[11] 0.00091007 -16 *1807:19 *2474:mprj_io_out[11] 0 -17 *1833:20 *2474:mprj_io_out[11] 0.000393304 -18 *1833:20 *2103:18 0.00759354 -19 *1886:8 *2474:mprj_io_out[11] 0.00024345 -20 *1886:8 *2103:18 0.000566432 -21 *1889:12 *2474:mprj_io_out[11] 0.000474719 -22 *1889:18 *2474:mprj_io_out[11] 0.000156962 -23 *1940:18 *2103:18 0.00200001 -24 *1942:14 *2474:mprj_io_out[11] 0.000220988 -25 *1968:14 *2103:18 0.000202954 -26 *1994:11 *2103:18 0.00011174 -27 *1996:32 *2474:mprj_io_out[11] 0.000599172 -28 *1996:35 *2474:mprj_io_out[11] 0.000243056 -29 *2021:7 *2103:18 0.000249362 -30 *2049:13 *2103:18 2.89493e-05 -31 *2050:14 *2474:mprj_io_out[11] 0.000507909 -32 *2050:18 *2474:mprj_io_out[11] 0.000459935 -33 *2076:13 *2103:18 2.15596e-05 -34 *2076:14 *2103:18 0.000307033 -35 *2077:10 *2474:mprj_io_out[11] 0.00778354 -36 *2077:15 *2474:mprj_io_out[11] 0.00413264 -*RES -1 *2452:pad_gpio_out *2103:18 38.7876 -2 *2103:18 *2474:mprj_io_out[11] 32.4635 -*END - -*D_NET *2104 0.0634798 -*CONN -*I *2474:mprj_io_out[12] I *D chip_io_alt -*I *2453:pad_gpio_out O *D gpio_control_block -*CAP -1 *2474:mprj_io_out[12] 0.000489484 -2 *2453:pad_gpio_out 0.000799497 -3 *2104:14 0.00591542 -4 *2104:13 0.00622543 -5 *2104:14 *2324:13 0 -6 *2474:mprj_io_holdover[12] *2474:mprj_io_out[12] 0 -7 *102:60 *2104:13 0 -8 *502:22 *2104:14 0 -9 *1780:18 *2104:14 0.0127501 -10 *1807:20 *2104:14 0 -11 *1834:14 *2104:14 0.0264855 -12 *1884:14 *2104:14 0.00859136 -13 *1887:14 *2104:14 0 -14 *1941:8 *2104:14 0.00138607 -15 *1968:29 *2104:14 0.000477845 -16 *2050:13 *2104:13 0.000315959 -17 *2077:10 *2104:13 4.31122e-05 -*RES -1 *2453:pad_gpio_out *2104:13 31.15 -2 *2104:13 *2104:14 447.398 -3 *2104:14 *2474:mprj_io_out[12] 22.1289 -*END - -*D_NET *2105 0.0183945 -*CONN -*I *2474:mprj_io_out[13] I *D chip_io_alt -*I *2454:pad_gpio_out O *D gpio_control_block -*CAP -1 *2474:mprj_io_out[13] 0.000570536 -2 *2454:pad_gpio_out 2.68684e-05 -3 *2105:22 2.68684e-05 -4 *2105:10 0.000744737 -5 *2105:5 0.000930435 -6 *2105:4 0.000756235 -7 *2474:mprj_io_out[13] *2474:mprj_io_vtrip_sel[13] 0.00041084 -8 *2474:mprj_io_holdover[13] *2474:mprj_io_out[13] 0.00205535 -9 *2474:mprj_io_ib_mode_sel[13] *2474:mprj_io_out[13] 0.00114231 -10 *1835:8 *2105:5 0.00294341 -11 *1835:13 *2105:10 0.00222312 -12 *1943:8 *2105:5 0.00359925 -13 *1943:13 *2105:10 0.00227622 -14 *1970:5 *2105:5 0.000375891 -15 *1997:19 *2474:mprj_io_out[13] 1.2693e-05 -16 *2078:5 *2105:5 0.000299733 -*RES -1 *2454:pad_gpio_out *2105:4 3.36879 -2 *2105:4 *2105:5 67.6495 -3 *2105:5 *2105:10 33.0167 -4 *2105:10 *2474:mprj_io_out[13] 38.4475 -5 *2454:pad_gpio_out *2105:22 0.0631875 -*END - -*D_NET *2106 0.0189466 -*CONN -*I *2474:mprj_io_out[14] I *D chip_io_alt -*I *2461:pad_gpio_out O *D gpio_control_block -*CAP -1 *2474:mprj_io_out[14] 6.48164e-05 -2 *2461:pad_gpio_out 0.000224383 -3 *2106:21 0.0012153 -4 *2106:17 0.001696 -5 *2106:8 0.00127312 -6 *2106:7 0.000951993 -7 *2106:8 *2133:8 0.0047907 -8 *2106:17 *2326:13 0 -9 *2106:21 *2160:8 0 -10 *2106:21 *2326:13 0 -11 *2474:mprj_io_dm[42] *2106:17 0 -12 *2474:mprj_io_dm[43] *2106:17 0 -13 *2474:mprj_io_holdover[14] *2106:21 0 -14 *2474:mprj_io_inp_dis[14] *2106:17 4.58003e-05 -15 *2474:mprj_io_oeb[14] *2474:mprj_io_out[14] 0.000171064 -16 *485:17 *2106:17 1.14755e-05 -17 *485:17 *2106:21 0.00113432 -18 *485:23 *2106:21 0.000544925 -19 *1836:14 *2106:21 0 -20 *1895:8 *2106:8 1.2366e-05 -21 *1895:8 *2106:17 0.000905728 -22 *2052:7 *2106:7 0.000198219 -23 *2052:8 *2106:8 0.00468484 -24 *2052:8 *2106:17 0.000777162 -25 *2079:7 *2106:7 0.000198219 -26 *2079:8 *2106:8 4.61488e-05 -*RES -1 *2461:pad_gpio_out *2106:7 4.50284 -2 *2106:7 *2106:8 78.2384 -3 *2106:8 *2106:17 37.5503 -4 *2106:17 *2106:21 46.2341 -5 *2106:21 *2474:mprj_io_out[14] 7.28463 -*END - -*D_NET *2107 0.0161343 -*CONN -*I *2474:mprj_io_out[15] I *D chip_io_alt -*I *2462:pad_gpio_out O *D gpio_control_block -*CAP -1 *2474:mprj_io_out[15] 4.25268e-05 -2 *2462:pad_gpio_out 0.000226668 -3 *2107:14 0.00137612 -4 *2107:13 0.00152421 -5 *2107:8 0.00239727 -6 *2107:7 0.00243333 -7 *2107:8 *2134:8 0 -8 *2107:14 *2327:11 0.000925194 -9 *2474:mprj_io_analog_en[15] *2107:14 0.000162254 -10 *2474:mprj_io_dm[45] *2107:14 0 -11 *2474:mprj_io_dm[47] *2107:14 0.00114845 -12 *2474:mprj_io_holdover[15] *2474:mprj_io_out[15] 0 -13 *2474:mprj_io_holdover[15] *2107:14 0.000202472 -14 *2474:mprj_io_inp_dis[15] *2107:14 0.000648497 -15 *471:16 *2107:8 0 -16 *489:14 *2107:14 0 -17 *1783:18 *2107:8 0 -18 *1783:18 *2107:14 0 -19 *1837:8 *2107:8 0 -20 *1898:8 *2107:8 0.00456132 -21 *2026:9 *2107:14 2.37478e-05 -22 *2053:7 *2107:7 0.000200597 -23 *2053:8 *2107:8 0 -24 *2080:7 *2107:7 0.000261617 -*RES -1 *2462:pad_gpio_out *2107:7 4.73231 -2 *2107:7 *2107:8 88.4121 -3 *2107:8 *2107:13 13.6056 -4 *2107:13 *2107:14 55.6072 -5 *2107:14 *2474:mprj_io_out[15] 1.20912 -*END - -*D_NET *2108 0.0211841 -*CONN -*I *2474:mprj_io_out[16] I *D chip_io_alt -*I *2463:pad_gpio_out O *D gpio_control_block -*CAP -1 *2474:mprj_io_out[16] 0.000371909 -2 *2463:pad_gpio_out 0.000364258 -3 *2108:16 0.00138715 -4 *2108:13 0.00117921 -5 *2108:8 0.00102377 -6 *2108:7 0.00122406 -7 *2108:8 *2328:19 7.77309e-06 -8 *2474:mprj_io_holdover[16] *2474:mprj_io_out[16] 0 -9 *2474:mprj_io_ib_mode_sel[16] *2474:mprj_io_out[16] 0 -10 *472:8 *2108:8 0.00022036 -11 *518:8 *2108:16 0 -12 *1838:14 *2108:16 0 -13 *1903:16 *2108:16 9.66387e-05 -14 *1946:17 *2108:16 0.00147144 -15 *1973:8 *2108:8 0.00556391 -16 *2027:9 *2474:mprj_io_out[16] 0.000189119 -17 *2027:9 *2108:16 0 -18 *2054:7 *2108:7 0.000381948 -19 *2054:8 *2108:8 0.00584265 -20 *2054:20 *2108:13 0.000251669 -21 *2054:20 *2108:16 0.00116545 -22 *2081:7 *2108:7 0.000372352 -23 *2081:8 *2108:8 6.80434e-05 -24 *2081:19 *2108:13 2.41274e-06 -*RES -1 *2463:pad_gpio_out *2108:7 5.49721 -2 *2108:7 *2108:8 96.7171 -3 *2108:8 *2108:13 13.051 -4 *2108:13 *2108:16 49.5182 -5 *2108:16 *2474:mprj_io_out[16] 16.1921 -*END - -*D_NET *2109 0.0188511 -*CONN -*I *2474:mprj_io_out[17] I *D chip_io_alt -*I *2464:pad_gpio_out O *D gpio_control_block -*CAP -1 *2474:mprj_io_out[17] 0.00054924 -2 *2464:pad_gpio_out 0.000379058 -3 *2109:18 0.00167381 -4 *2109:16 0.00140891 -5 *2109:8 0.00119699 -6 *2109:7 0.0012917 -7 *2474:mprj_io_out[17] *2312:10 0 -8 *2109:8 *2163:8 0.00137969 -9 *2109:16 *2163:13 5.04829e-06 -10 *519:10 *2109:18 0.00442689 -11 *519:21 *2109:8 0.000159821 -12 *1812:16 *2109:16 0.00041783 -13 *1812:23 *2109:16 2.01595e-05 -14 *1812:23 *2109:18 0.00123416 -15 *1839:36 *2109:8 0.00101784 -16 *1905:8 *2109:8 0.00135784 -17 *1947:8 *2109:8 9.97028e-05 -18 *1947:17 *2109:18 0.000591666 -19 *1947:23 *2474:mprj_io_out[17] 0.000130106 -20 *1974:7 *2109:7 0 -21 *1974:24 *2474:mprj_io_out[17] 8.1645e-05 -22 *2055:7 *2109:7 6.89476e-05 -23 *2082:10 *2109:7 0.000305698 -24 *2082:10 *2109:8 0.000579049 -25 *2082:12 *2109:8 0 -26 *2082:12 *2109:16 0.000462932 -27 *2082:12 *2109:18 1.23804e-05 -*RES -1 *2464:pad_gpio_out *2109:7 5.03827 -2 *2109:7 *2109:8 62.6664 -3 *2109:8 *2109:16 20.6671 -4 *2109:16 *2109:18 71.802 -5 *2109:18 *2474:mprj_io_out[17] 23.6001 -*END - -*D_NET *2110 0.0228229 -*CONN -*I *2474:mprj_io_out[18] I *D chip_io_alt -*I *2465:pad_gpio_out O *D gpio_control_block -*CAP -1 *2474:mprj_io_out[18] 0.000320828 -2 *2465:pad_gpio_out 0.000279965 -3 *2110:16 0.00109535 -4 *2110:15 0.000867727 -5 *2110:10 0.000757388 -6 *2110:9 0.000944149 -7 *2110:10 *2164:10 0.00306955 -8 *2474:mprj_io_ib_mode_sel[18] *2474:mprj_io_out[18] 0.000459083 -9 *2474:mprj_io_inp_dis[18] *2110:16 0.000262462 -10 *2474:mprj_io_oeb[18] *2474:mprj_io_out[18] 1.00846e-05 -11 *474:14 *2110:10 0 -12 *474:14 *2110:16 1.32509e-05 -13 *520:16 *2110:16 0.00573938 -14 *1840:13 *2110:15 0.000298318 -15 *1908:10 *2110:10 0.00214383 -16 *1975:19 *2110:15 0.000298304 -17 *1975:22 *2110:16 0.00565346 -18 *2029:10 *2474:mprj_io_out[18] 4.96363e-05 -19 *2056:9 *2110:9 0.000276188 -20 *2056:10 *2110:16 7.77309e-06 -21 *2083:9 *2110:9 0.000276188 -*RES -1 *2465:pad_gpio_out *2110:9 5.02829 -2 *2110:9 *2110:10 49.7936 -3 *2110:10 *2110:15 13.051 -4 *2110:15 *2110:16 91.9417 -5 *2110:16 *2474:mprj_io_out[18] 20.6905 -*END - -*D_NET *2111 0.0222428 -*CONN -*I *2474:mprj_io_out[19] I *D chip_io_alt -*I *2466:pad_gpio_out O *D gpio_control_block -*CAP -1 *2474:mprj_io_out[19] 0.000418993 -2 *2466:pad_gpio_out 0.000447507 -3 *2111:14 0.000941728 -4 *2111:13 0.000719796 -5 *2111:8 0.00101514 -6 *2111:7 0.00126559 -7 *2111:7 *2165:13 0 -8 *2111:8 *2165:13 0.00121359 -9 *2111:14 *2165:28 0.000172797 -10 *2474:mprj_io_holdover[19] *2474:mprj_io_out[19] 0 -11 *521:14 *2111:8 0.00593399 -12 *1841:8 *2111:8 0.00470439 -13 *1912:14 *2111:14 0.00250819 -14 *1949:17 *2111:14 0.00168129 -15 *2030:15 *2474:mprj_io_out[19] 0.000189119 -16 *2057:13 *2111:7 0.000284115 -17 *2084:7 *2111:7 0.000345135 -18 *2084:8 *2111:14 0.00040138 -*RES -1 *2466:pad_gpio_out *2111:7 5.49721 -2 *2111:7 *2111:8 95.4714 -3 *2111:8 *2111:13 12.4964 -4 *2111:13 *2111:14 46.264 -5 *2111:14 *2474:mprj_io_out[19] 21.2467 -*END - -*D_NET *2112 0.0140162 -*CONN -*I *2474:mprj_io_out[1] I *D chip_io_alt -*I *2445:pad_gpio_out O *D gpio_control_block -*CAP -1 *2474:mprj_io_out[1] 0.000298651 -2 *2445:pad_gpio_out 0.000520125 -3 *2112:14 0.00120183 -4 *2112:13 0.00142331 -5 *2112:14 *2166:14 0.00451942 -6 *2445:pad_gpio_in *2112:13 0.000880967 -7 *2474:mprj_io_dm[5] *2474:mprj_io_out[1] 9.4338e-05 -8 *467:55 *2112:14 0 -9 *485:53 *2112:13 6.19102e-05 -10 *1842:16 *2112:14 1.2366e-05 -11 *1842:18 *2112:14 0.0024272 -12 *1913:19 *2112:14 0.00207048 -13 *1913:23 *2474:mprj_io_out[1] 7.47435e-06 -14 *1913:23 *2112:14 0.000237709 -15 *1950:13 *2112:13 1.92172e-05 -16 *2004:11 *2112:14 4.46284e-05 -17 *2058:13 *2112:13 0.000158111 -18 *2085:7 *2112:13 3.84497e-05 -*RES -1 *2445:pad_gpio_out *2112:13 29.4778 -2 *2112:13 *2112:14 82.8062 -3 *2112:14 *2474:mprj_io_out[1] 16.2509 -*END - -*D_NET *2113 0.0216025 -*CONN -*I *2474:mprj_io_out[20] I *D chip_io_alt -*I *2467:pad_gpio_out O *D gpio_control_block -*CAP -1 *2474:mprj_io_out[20] 0.000297584 -2 *2467:pad_gpio_out 0.00232479 -3 *2113:16 0.00262237 -4 *2113:16 *2167:19 0.000297056 -5 *2113:16 *2297:12 0.000169016 -6 *2113:16 *2315:13 0.000137648 -7 *2474:mprj_io_ib_mode_sel[20] *2474:mprj_io_out[20] 0.000107864 -8 *2474:mprj_io_ib_mode_sel[20] *2113:16 0.00783784 -9 *2474:mprj_io_oeb[20] *2113:16 0.000484305 -10 *474:48 *2113:16 0 -11 *522:32 *2113:16 0.00119378 -12 *1843:16 *2113:16 0 -13 *1916:18 *2113:16 0.000158917 -14 *2059:14 *2113:16 0.00587008 -15 *2086:13 *2113:16 0.000101205 -*RES -1 *2467:pad_gpio_out *2113:16 48.6317 -2 *2113:16 *2474:mprj_io_out[20] 11.9919 -*END - -*D_NET *2114 0.0228729 -*CONN -*I *2474:mprj_io_out[21] I *D chip_io_alt -*I *2468:pad_gpio_out O *D gpio_control_block -*CAP -1 *2474:mprj_io_out[21] 0.000356904 -2 *2468:pad_gpio_out 0.000355109 -3 *2114:8 0.00163769 -4 *2114:7 0.00163589 -5 *2474:mprj_io_out[21] *2316:14 0.000296309 -6 *2114:7 *2168:7 0 -7 *2114:8 *2168:8 0.00850005 -8 *2474:mprj_io_holdover[21] *2474:mprj_io_out[21] 0.000258162 -9 *2474:mprj_io_oeb[21] *2474:mprj_io_out[21] 0.000462932 -10 *494:20 *2114:8 0.00111099 -11 *523:8 *2114:8 0.000678167 -12 *2060:7 *2114:7 0.00032094 -13 *2060:8 *2114:8 0.00707465 -14 *2087:7 *2114:7 0.000185083 -*RES -1 *2468:pad_gpio_out *2114:7 5.11476 -2 *2114:7 *2114:8 136.997 -3 *2114:8 *2474:mprj_io_out[21] 25.0257 -*END - -*D_NET *2115 0.0170668 -*CONN -*I *2474:mprj_io_out[22] I *D chip_io_alt -*I *2469:pad_gpio_out O *D gpio_control_block -*CAP -1 *2474:mprj_io_out[22] 0.000884466 -2 *2469:pad_gpio_out 0.000290813 -3 *2115:8 0.003795 -4 *2115:7 0.00320134 -5 *2474:mprj_io_out[22] *2474:mprj_io_vtrip_sel[22] 1.1573e-05 -6 *2474:mprj_io_out[22] *2169:16 0 -7 *2474:mprj_io_out[22] *2299:21 7.61489e-05 -8 *2474:mprj_io_out[22] *2317:12 0.000140955 -9 *2474:mprj_io_out[22] *2317:14 0 -10 *2115:8 *2142:8 0 -11 *2115:8 *2142:14 0 -12 *2115:8 *2169:15 0 -13 *2115:8 *2169:16 0.000233149 -14 *2115:8 *2299:21 0 -15 *2115:8 *2317:12 0 -16 *2474:mprj_io_analog_sel[22] *2474:mprj_io_out[22] 0 -17 *2474:mprj_io_holdover[22] *2474:mprj_io_out[22] 0.000509942 -18 *477:26 *2474:mprj_io_out[22] 0.00015305 -19 *478:10 *2115:8 0.000989926 -20 *1791:19 *2115:8 0 -21 *1818:16 *2115:8 1.72799e-05 -22 *1921:8 *2115:8 0.00504539 -23 *1922:33 *2115:8 0.000908573 -24 *2061:14 *2115:7 0.000226364 -25 *2061:14 *2115:8 0.000356446 -26 *2088:10 *2115:7 0.000226364 -*RES -1 *2469:pad_gpio_out *2115:7 4.73231 -2 *2115:7 *2115:8 122.463 -3 *2115:8 *2474:mprj_io_out[22] 38.5524 -*END - -*D_NET *2116 0.0206276 -*CONN -*I *2474:mprj_io_out[23] I *D chip_io_alt -*I *2470:pad_gpio_out O *D gpio_control_block -*CAP -1 *2474:mprj_io_out[23] 0.00217253 -2 *2470:pad_gpio_out 0.00217253 -3 *2474:mprj_io_out[23] *2474:mprj_io_vtrip_sel[23] 0.00598936 -4 *2474:mprj_io_ib_mode_sel[23] *2474:mprj_io_out[23] 0.00927152 -5 *2474:mprj_io_oeb[23] *2474:mprj_io_out[23] 0.000334336 -6 *110:87 *2474:mprj_io_out[23] 6.88661e-06 -7 *477:26 *2474:mprj_io_out[23] 0.000356237 -8 *1925:8 *2474:mprj_io_out[23] 0.000261286 -9 *2062:7 *2474:mprj_io_out[23] 6.29293e-05 -*RES -1 *2470:pad_gpio_out *2474:mprj_io_out[23] 26.8675 -*END - -*D_NET *2117 0.0216268 -*CONN -*I *2474:mprj_io_out[24] I *D chip_io_alt -*I *2446:pad_gpio_out O *D gpio_control_block -*CAP -1 *2474:mprj_io_out[24] 0.000770923 -2 *2446:pad_gpio_out 0.000564153 -3 *2117:12 0.00212284 -4 *2117:10 0.00191607 -5 *2117:10 *2144:7 0 -6 *2117:10 *2144:8 0.000106672 -7 *2117:12 *2144:8 0.00174776 -8 *2474:mprj_io_holdover[24] *2474:mprj_io_out[24] 0.00201554 -9 *2474:mprj_io_ib_mode_sel[24] *2474:mprj_io_out[24] 0.00136798 -10 *2474:mprj_io_inp_dis[24] *2474:mprj_io_out[24] 6.04131e-05 -11 *486:44 *2474:mprj_io_out[24] 0.000184273 -12 *494:20 *2117:12 0.000649082 -13 *514:8 *2117:12 0.00336386 -14 *1847:16 *2117:12 0.000206886 -15 *1982:7 *2117:10 0 -16 *1982:8 *2117:12 0.00602476 -17 *1982:19 *2474:mprj_io_out[24] 0 -18 *2063:7 *2117:10 0.000280042 -19 *2090:7 *2117:10 0.00024557 -*RES -1 *2446:pad_gpio_out *2117:10 7.85696 -2 *2117:10 *2117:12 113.303 -3 *2117:12 *2474:mprj_io_out[24] 48.6978 -*END - -*D_NET *2118 0.0193089 -*CONN -*I *2474:mprj_io_out[25] I *D chip_io_alt -*I *2447:pad_gpio_out O *D gpio_control_block -*CAP -1 *2474:mprj_io_out[25] 0.000492911 -2 *2447:pad_gpio_out 0.00130721 -3 *2118:22 0.00135406 -4 *2118:21 0.00106942 -5 *2118:8 0.00151548 -6 *2118:8 *2145:7 0 -7 *2118:8 *2145:8 0 -8 *2118:8 *2172:8 0 -9 *2118:21 *2172:13 5.04829e-06 -10 *2118:22 *2145:8 0.00237373 -11 *2448:serial_load *2118:8 0.000168181 -12 *2474:mprj_io_dm[77] *2474:mprj_io_out[25] 0.000351956 -13 *2474:mprj_io_holdover[25] *2474:mprj_io_out[25] 0.00019711 -14 *2474:mprj_io_oeb[25] *2118:8 4.79986e-05 -15 *515:8 *2474:mprj_io_out[25] 0.000661137 -16 *1572:16 *2118:21 0.000111722 -17 *1794:16 *2118:8 0.000514271 -18 *1794:16 *2118:21 0.000323277 -19 *1848:15 *2118:21 5.04829e-06 -20 *1930:10 *2118:8 0.000710539 -21 *1931:8 *2118:8 0 -22 *1956:11 *2118:21 5.04829e-06 -23 *1956:14 *2118:22 0.00148018 -24 *1983:8 *2118:22 0.00541603 -25 *2064:7 *2118:8 0.000255442 -26 *2064:8 *2118:8 0.000623406 -27 *2064:8 *2118:21 0.000319694 -*RES -1 *2447:pad_gpio_out *2118:8 46.3739 -2 *2118:8 *2118:21 26.8433 -3 *2118:21 *2118:22 86.5434 -4 *2118:22 *2474:mprj_io_out[25] 29.4497 -*END - -*D_NET *2119 0.0244648 -*CONN -*I *2474:mprj_io_out[26] I *D chip_io_alt -*I *2448:pad_gpio_out O *D gpio_control_block -*CAP -1 *2474:mprj_io_out[26] 0.00087901 -2 *2448:pad_gpio_out 0.00039797 -3 *2119:16 0.00193216 -4 *2119:15 0.00184713 -5 *2119:12 0.00119195 -6 *2474:mprj_io_out[26] *2474:mprj_io_vtrip_sel[26] 0.000182583 -7 *2119:12 *2146:10 7.61581e-05 -8 *2474:mprj_io_dm[80] *2474:mprj_io_out[26] 0.000909311 -9 *2474:mprj_io_holdover[26] *2474:mprj_io_out[26] 0.000215165 -10 *1936:16 *2119:16 0.00448345 -11 *1957:15 *2119:15 0 -12 *1957:16 *2119:16 0.00585373 -13 *2065:12 *2119:12 0.00158808 -14 *2065:15 *2119:15 0.00294505 -15 *2092:15 *2119:12 0.00171627 -16 *2092:15 *2119:15 0.000246747 -*RES -1 *2448:pad_gpio_out *2119:12 35.9933 -2 *2119:12 *2119:15 37.3904 -3 *2119:15 *2119:16 93.6027 -4 *2119:16 *2474:mprj_io_out[26] 39.9198 -*END - -*D_NET *2120 0.0146108 -*CONN -*I *2474:mprj_io_out[2] I *D chip_io_alt -*I *2455:pad_gpio_out O *D gpio_control_block -*CAP -1 *2474:mprj_io_out[2] 0.000338159 -2 *2455:pad_gpio_out 0.000616867 -3 *2120:14 0.00113042 -4 *2120:13 0.00140913 -5 *2120:14 *2174:14 0.000221531 -6 *100:77 *2120:13 0.000266832 -7 *104:40 *2120:13 0 -8 *104:50 *2120:14 0.0010439 -9 *471:40 *2474:mprj_io_out[2] 0.000211282 -10 *1958:14 *2120:14 0.00481341 -11 *1985:14 *2120:14 2.01595e-05 -12 *2066:13 *2120:13 0.000306624 -13 *2093:13 *2120:13 3.84497e-05 -14 *2093:14 *2120:14 0.00419398 -*RES -1 *2455:pad_gpio_out *2120:13 28.0954 -2 *2120:13 *2120:14 85.2977 -3 *2120:14 *2474:mprj_io_out[2] 17.0315 -*END - -*D_NET *2121 0.0124262 -*CONN -*I *2474:mprj_io_out[3] I *D chip_io_alt -*I *2456:pad_gpio_out O *D gpio_control_block -*CAP -1 *2474:mprj_io_out[3] 0.00048502 -2 *2456:pad_gpio_out 0.000402759 -3 *2121:14 0.00189146 -4 *2121:13 0.0018092 -5 *2474:mprj_io_out[3] *2474:mprj_io_vtrip_sel[3] 0.00058327 -6 *2121:13 *2148:15 0.000171753 -7 *2121:14 *2175:14 0 -8 *2455:resetn_out *2474:mprj_io_out[3] 0.000107678 -9 *2456:pad_gpio_in *2121:13 0 -10 *105:52 *2474:mprj_io_out[3] 5.481e-05 -11 *504:12 *2121:14 0 -12 *1797:19 *2121:14 0.00175222 -13 *1797:22 *2121:14 0.000471395 -14 *1824:17 *2121:14 0.00123731 -15 *1860:16 *2121:14 0.000535662 -16 *1860:23 *2121:14 0.000931774 -17 *1959:18 *2121:14 8.92568e-06 -18 *2013:13 *2121:14 0 -19 *2040:19 *2474:mprj_io_out[3] 0.000879367 -20 *2067:15 *2121:13 0.00094554 -21 *2094:7 *2121:13 3.27908e-05 -22 *2094:8 *2121:13 0.000125219 -*RES -1 *2456:pad_gpio_out *2121:13 26.7131 -2 *2121:13 *2121:14 76.9926 -3 *2121:14 *2474:mprj_io_out[3] 28.8895 -*END - -*D_NET *2122 0.0127039 -*CONN -*I *2474:mprj_io_out[4] I *D chip_io_alt -*I *2457:pad_gpio_out O *D gpio_control_block -*CAP -1 *2474:mprj_io_out[4] 0.000509136 -2 *2457:pad_gpio_out 0.000685017 -3 *2122:14 0.00166959 -4 *2122:13 0.00184547 -5 *2122:14 *2176:14 7.26852e-05 -6 *2474:mprj_io_holdover[4] *2474:mprj_io_out[4] 0 -7 *110:98 *2122:14 0.000139567 -8 *493:51 *2122:14 0.000691809 -9 *1825:16 *2122:14 0.00181483 -10 *1861:16 *2122:14 0 -11 *1862:16 *2122:14 0 -12 *1960:14 *2474:mprj_io_out[4] 0.0006713 -13 *1987:13 *2122:13 0 -14 *1987:14 *2122:14 0.00427274 -15 *2014:30 *2474:mprj_io_out[4] 5.57839e-05 -16 *2014:31 *2122:13 0 -17 *2068:15 *2122:13 0.000237476 -18 *2068:16 *2122:14 0 -19 *2095:7 *2122:13 3.84497e-05 -20 *2095:8 *2122:13 0 -*RES -1 *2457:pad_gpio_out *2122:13 29.2047 -2 *2122:13 *2122:14 77.8232 -3 *2122:14 *2474:mprj_io_out[4] 25.5674 -*END - -*D_NET *2123 0.0149833 -*CONN -*I *2474:mprj_io_out[5] I *D chip_io_alt -*I *2458:pad_gpio_out O *D gpio_control_block -*CAP -1 *2474:mprj_io_out[5] 0.000295294 -2 *2458:pad_gpio_out 0.00066032 -3 *2123:14 0.00101771 -4 *2123:13 0.00138273 -5 *2123:13 *2150:8 0 -6 *108:49 *2123:14 0.000789249 -7 *110:98 *2123:14 0.00514303 -8 *495:64 *2123:14 0.00513585 -9 *1961:14 *2474:mprj_io_out[5] 6.55232e-05 -10 *2069:13 *2123:13 0.000455137 -11 *2096:13 *2123:13 3.84497e-05 -*RES -1 *2458:pad_gpio_out *2123:13 29.4778 -2 *2123:13 *2123:14 82.8062 -3 *2123:14 *2474:mprj_io_out[5] 16.2509 -*END - -*D_NET *2124 0.016051 -*CONN -*I *2474:mprj_io_out[6] I *D chip_io_alt -*I *2459:pad_gpio_out O *D gpio_control_block -*CAP -1 *2474:mprj_io_out[6] 0.000342223 -2 *2459:pad_gpio_out 0.000372087 -3 *2124:14 0.00110114 -4 *2124:13 0.001131 -5 *2124:13 *2151:15 0.000985982 -6 *2124:14 *2178:14 1.87125e-05 -7 *2459:pad_gpio_in *2124:13 0 -8 *2459:resetn *2124:14 0.000836744 -9 *110:98 *2124:14 0.0054535 -10 *507:18 *2474:mprj_io_out[6] 0.000223156 -11 *2043:13 *2124:14 0.0010388 -12 *2070:13 *2124:13 7.43144e-05 -13 *2070:14 *2124:14 0.00437841 -14 *2097:7 *2124:13 3.27908e-05 -15 *2097:8 *2124:13 6.21773e-05 -*RES -1 *2459:pad_gpio_out *2124:13 25.1887 -2 *2124:13 *2124:14 87.7892 -3 *2124:14 *2474:mprj_io_out[6] 17.2208 -*END - -*D_NET *2125 0.0613471 -*CONN -*I *2474:mprj_io_out[7] I *D chip_io_alt -*I *2460:pad_gpio_out O *D gpio_control_block -*CAP -1 *2474:mprj_io_out[7] 0.000181331 -2 *2460:pad_gpio_out 0.000538118 -3 *2125:20 0.00103074 -4 *2125:19 0.00093109 -5 *2125:14 0.00351017 -6 *2125:13 0.00374475 -7 *2125:8 0.000854386 -8 *2474:mprj_io_out[7] *2153:16 2.2746e-05 -9 *2474:mprj_io_out[7] *2153:20 0 -10 *2125:8 *2460:user_gpio_out 0.000262806 -11 *2125:8 *2353:10 1.75925e-05 -12 *2125:14 *2179:14 0.00198756 -13 *2125:14 *2180:14 0.000437916 -14 *2125:20 *2126:16 0.000712721 -15 *2125:20 *2153:16 0.000373541 -16 *2125:20 *2311:13 0.0015418 -17 *477:44 *2125:8 0.000681442 -18 *495:70 *2125:8 0.000674071 -19 *495:85 *2125:8 0.00105467 -20 *495:87 *2125:8 0.000431804 -21 *1871:18 *2125:20 0.00312553 -22 *1872:14 *2125:14 0.00680143 -23 *1875:14 *2125:14 0.00577452 -24 *1963:8 *2125:8 5.65317e-05 -25 *1963:13 *2125:19 5.481e-05 -26 *2017:13 *2125:14 0.0186026 -27 *2071:7 *2125:8 7.36223e-05 -28 *2071:16 *2125:14 0.000137357 -29 *2071:16 *2125:19 3.24705e-06 -30 *2072:18 *2125:14 0.00047356 -31 *2072:18 *2125:20 0.00545238 -32 *2098:13 *2125:8 0.00180224 -*RES -1 *2460:pad_gpio_out *2125:8 46.4723 -2 *2125:8 *2125:13 15.824 -3 *2125:13 *2125:14 316.178 -4 *2125:14 *2125:19 10.8326 -5 *2125:19 *2125:20 88.2045 -6 *2125:20 *2474:mprj_io_out[7] 13.4807 -*END - -*D_NET *2126 0.0773209 -*CONN -*I *2474:mprj_io_out[8] I *D chip_io_alt -*I *2449:pad_gpio_out O *D gpio_control_block -*CAP -1 *2474:mprj_io_out[8] 0.000143353 -2 *2449:pad_gpio_out 0.00111943 -3 *2126:16 0.00488508 -4 *2126:15 0.00586116 -5 *2474:mprj_io_out[8] *2474:mprj_io_vtrip_sel[8] 0.00015927 -6 *2126:15 *2180:13 0.000258632 -7 *2126:15 *2354:12 6.75696e-05 -8 *2126:16 *2153:20 0.00052917 -9 *2126:16 *2154:14 0.00168841 -10 *2126:16 *2180:14 0.0303189 -11 *2126:16 *2311:13 0.000165067 -12 *2449:serial_clock *2126:15 2.25102e-05 -13 *2449:serial_clock_out *2126:15 0.00107825 -14 *2449:serial_data_in *2126:15 1.29018e-05 -15 *2450:serial_load *2126:16 0 -16 *2474:mprj_io_holdover[8] *2474:mprj_io_out[8] 1.19195e-05 -17 *1871:14 *2126:16 0.000518598 -18 *1871:18 *2126:16 0.000394625 -19 *1874:28 *2126:16 0.000475757 -20 *1964:10 *2126:15 0.000939016 -21 *1965:8 *2126:16 0.0131984 -22 *1990:8 *2126:16 0.0123083 -23 *2018:13 *2126:16 0.000138272 -24 *2019:11 *2126:16 0.000714515 -25 *2044:7 *2126:16 0.00026714 -26 *2071:8 *2126:16 0.000490766 -27 *2072:15 *2126:15 0.000841122 -28 *2099:15 *2126:15 0 -29 *2125:20 *2126:16 0.000712721 -*RES -1 *2449:pad_gpio_out *2126:15 25.9707 -2 *2126:15 *2126:16 60.5172 -3 *2126:16 *2474:mprj_io_out[8] 5.19565 -*END - -*D_NET *2127 0.0666775 -*CONN -*I *2474:mprj_io_out[9] I *D chip_io_alt -*I *2450:pad_gpio_out O *D gpio_control_block -*CAP -1 *2474:mprj_io_out[9] 0.000512751 -2 *2450:pad_gpio_out 0.000783855 -3 *2127:28 0.00120996 -4 *2127:23 0.00170398 -5 *2127:19 0.00117074 -6 *2127:14 0.00456777 -7 *2127:13 0.00518766 -8 *2127:13 *2154:13 0.000730106 -9 *2450:pad_gpio_in *2127:13 0.000268171 -10 *2450:serial_clock_out *2127:13 0.000272655 -11 *2474:mprj_io_dm[27] *2127:23 5.04829e-06 -12 *101:21 *2474:mprj_io_out[9] 0 -13 *101:22 *2474:mprj_io_out[9] 0.000171079 -14 *511:14 *2127:14 0 -15 *1778:16 *2127:14 0 -16 *1778:16 *2127:23 0.00242582 -17 *1830:22 *2127:14 0.000267587 -18 *1830:22 *2127:28 0.000137372 -19 *1857:14 *2127:14 0.0229467 -20 *1876:14 *2127:14 0.0101619 -21 *1877:19 *2127:19 5.04829e-06 -22 *1879:8 *2127:13 0 -23 *1881:14 *2127:14 0.00754048 -24 *1881:14 *2127:28 0.00202348 -25 *1992:7 *2127:13 0 -26 *1994:16 *2474:mprj_io_out[9] 0 -27 *2048:14 *2127:14 0 -28 *2048:14 *2127:28 0 -29 *2075:8 *2127:23 0.000706804 -30 *2099:16 *2127:14 0.00187663 -31 *2100:7 *2127:13 3.60838e-05 -32 *2100:8 *2127:14 0.00167697 -33 *2100:8 *2127:28 0.000288926 -*RES -1 *2450:pad_gpio_out *2127:13 34.8244 -2 *2127:13 *2127:14 373.898 -3 *2127:14 *2127:19 11.9418 -4 *2127:19 *2127:23 46.2313 -5 *2127:23 *2127:28 41.5607 -6 *2127:28 *2474:mprj_io_out[9] 17.4363 -*END - -*D_NET *2128 0.0177128 -*CONN -*I *2474:mprj_io_slow_sel[0] I *D chip_io_alt -*I *2444:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_slow_sel[0] 0.000832564 -2 *2444:pad_gpio_slow_sel 0.000726487 -3 *2128:14 0.00408043 -4 *2128:13 0.00397436 -5 *2128:13 *2155:13 0.000306624 -6 *2474:mprj_io_dm[1] *2474:mprj_io_slow_sel[0] 8.10016e-06 -7 *1555:20 *2128:14 0 -8 *1869:14 *2128:14 0.00711111 -9 *1993:17 *2474:mprj_io_slow_sel[0] 0 -10 *2047:13 *2128:13 0.000215101 -11 *2047:14 *2128:14 0.000285622 -12 *2074:7 *2128:13 4.80459e-05 -13 *2074:8 *2128:13 0 -14 *2101:13 *2128:13 0.000124349 -*RES -1 *2444:pad_gpio_slow_sel *2128:13 34.2726 -2 *2128:13 *2128:14 139.28 -3 *2128:14 *2474:mprj_io_slow_sel[0] 32.4714 -*END - -*D_NET *2129 0.0470263 -*CONN -*I *2474:mprj_io_slow_sel[10] I *D chip_io_alt -*I *2451:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_slow_sel[10] 0.000319325 -2 *2451:pad_gpio_slow_sel 0.000478738 -3 *2129:14 0.00623105 -4 *2129:13 0.00639046 -5 *2474:mprj_io_slow_sel[10] *2130:18 3.35026e-05 -6 *2474:mprj_io_slow_sel[10] *2130:20 0.000320784 -7 *2474:mprj_io_slow_sel[10] *2304:19 0 -8 *2129:13 *2156:17 3.17944e-05 -9 *2129:13 *2330:11 1.92172e-05 -10 *2129:14 *2130:18 0 -11 *2129:14 *2154:14 0.00628425 -12 *2129:14 *2156:17 0.000968228 -13 *2451:serial_clock *2129:13 0.000519205 -14 *2451:serial_data_in *2129:13 0.000557425 -15 *2474:mprj_io_dm[28] *2129:14 5.43416e-05 -16 *101:10 *2129:13 2.79829e-05 -17 *101:33 *2129:13 0.000778619 -18 *1878:14 *2129:14 0.00251945 -19 *1879:42 *2129:14 1.3813e-05 -20 *1879:44 *2129:14 0.00319977 -21 *1882:14 *2129:14 0 -22 *1885:14 *2129:14 9.4939e-05 -23 *1940:18 *2129:14 0.00210671 -24 *1968:14 *2129:14 0.00711454 -25 *1968:25 *2474:mprj_io_slow_sel[10] 5.04829e-06 -26 *1994:11 *2129:14 0.00294747 -27 *1994:20 *2129:14 0.00046924 -28 *1995:15 *2474:mprj_io_slow_sel[10] 4.46284e-06 -29 *1995:15 *2129:14 0.00345841 -30 *2073:52 *2129:14 0.00135608 -31 *2075:7 *2129:13 4.31122e-05 -32 *2076:25 *2474:mprj_io_slow_sel[10] 0.000678361 -*RES -1 *2451:pad_gpio_slow_sel *2129:13 33.4909 -2 *2129:13 *2129:14 368.292 -3 *2129:14 *2474:mprj_io_slow_sel[10] 24.7642 -*END - -*D_NET *2130 0.0461286 -*CONN -*I *2474:mprj_io_slow_sel[11] I *D chip_io_alt -*I *2452:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_slow_sel[11] 0.000280327 -2 *2452:pad_gpio_slow_sel 8.87297e-05 -3 *2130:32 0.00105962 -4 *2130:30 0.000810647 -5 *2130:28 0.000868557 -6 *2130:26 0.000884157 -7 *2130:24 0.00141481 -8 *2130:22 0.00139363 -9 *2130:20 0.00204938 -10 *2130:18 0.00280815 -11 *2130:15 0.00162332 -12 *2130:10 0.00228441 -13 *2130:9 0.00153437 -14 *2130:10 *2157:10 0.00452251 -15 *2130:10 *2331:12 0.000848949 -16 *2130:15 *2157:18 0.000579288 -17 *2130:20 *2474:mprj_io_vtrip_sel[10] 0.000273425 -18 *2130:20 *2304:19 0.000150242 -19 *2130:32 *2131:16 1.87125e-05 -20 *2130:32 *2158:10 0.000556072 -21 *2474:mprj_io_dm[32] *2130:20 0.00112596 -22 *2474:mprj_io_ib_mode_sel[10] *2130:20 0 -23 *2474:mprj_io_oeb[10] *2130:20 7.48753e-06 -24 *2474:mprj_io_out[10] *2130:20 8.22832e-05 -25 *2474:mprj_io_slow_sel[10] *2130:18 3.35026e-05 -26 *2474:mprj_io_slow_sel[10] *2130:20 0.000320784 -27 *487:12 *2130:10 0.000318589 -28 *1806:14 *2130:24 0 -29 *1806:18 *2130:24 9.89388e-06 -30 *1806:18 *2130:28 0.000338349 -31 *1806:26 *2130:32 0.00100412 -32 *1889:12 *2474:mprj_io_slow_sel[11] 0.000197125 -33 *1994:10 *2130:18 0.000197125 -34 *1995:11 *2130:28 1.6267e-05 -35 *1995:11 *2130:32 0.00370852 -36 *1995:13 *2130:30 6.67835e-06 -37 *1995:15 *2130:18 0 -38 *1995:15 *2130:20 0.00309151 -39 *1995:15 *2130:24 0.00106015 -40 *1995:15 *2130:28 0.00356722 -41 *2021:7 *2130:20 0 -42 *2021:7 *2130:24 0 -43 *2049:30 *2130:32 0.000658292 -44 *2050:14 *2130:28 0.00122198 -45 *2050:14 *2130:32 0.000179564 -46 *2050:18 *2130:32 0.00139586 -47 *2076:14 *2130:18 0.001907 -48 *2077:10 *2130:28 0.000422048 -49 *2103:18 *2130:18 0.000266098 -50 *2103:18 *2130:20 0.000463618 -51 *2103:18 *2130:24 0.000479242 -52 *2129:14 *2130:18 0 -*RES -1 *2452:pad_gpio_slow_sel *2130:9 3.59493 -2 *2130:9 *2130:10 75.5393 -3 *2130:10 *2130:15 31.9075 -4 *2130:15 *2130:18 34.356 -5 *2130:18 *2130:20 103.325 -6 *2130:20 *2130:22 0.732798 -7 *2130:22 *2130:24 50.734 -8 *2130:24 *2130:26 1.29461 -9 *2130:26 *2130:28 59.0635 -10 *2130:28 *2130:30 0.732798 -11 *2130:30 *2130:32 70.5562 -12 *2130:32 *2474:mprj_io_slow_sel[11] 16.2509 -*END - -*D_NET *2131 0.0453437 -*CONN -*I *2474:mprj_io_slow_sel[12] I *D chip_io_alt -*I *2453:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_slow_sel[12] 5.83195e-05 -2 *2453:pad_gpio_slow_sel 7.68969e-05 -3 *2131:22 0.00348547 -4 *2131:21 0.00358981 -5 *2131:16 0.00070479 -6 *2131:15 0.000849594 -7 *2131:10 0.00377385 -8 *2131:8 0.00354328 -9 *2474:mprj_io_slow_sel[12] *2306:9 0 -10 *2131:8 *2158:10 4.31122e-05 -11 *2131:10 *2453:user_gpio_oeb 8.01847e-05 -12 *2131:10 *2453:user_gpio_out 0 -13 *2131:10 *2332:10 5.46727e-05 -14 *2131:21 *2323:12 5.22351e-05 -15 *2131:22 *2306:9 0.000202487 -16 *2453:resetn *2131:10 6.75696e-05 -17 *2453:serial_data_in *2131:10 1.66771e-05 -18 *2453:serial_load *2131:10 1.66771e-05 -19 *102:60 *2131:10 4.3116e-06 -20 *103:15 *2131:10 0.000416687 -21 *470:11 *2131:10 4.15201e-05 -22 *488:11 *2131:10 1.2366e-05 -23 *502:13 *2131:10 1.66626e-05 -24 *1807:19 *2131:10 0.000460632 -25 *1833:20 *2131:10 0.000130395 -26 *1886:8 *2131:16 0.000387163 -27 *1888:14 *2131:16 0.00348866 -28 *1889:8 *2131:10 0 -29 *1889:12 *2131:10 0 -30 *1889:18 *2131:22 0.0114219 -31 *1889:25 *2131:22 0 -32 *1889:30 *2131:22 0.000388578 -33 *1942:11 *2131:10 0 -34 *1942:22 *2131:15 6.46773e-05 -35 *1995:10 *2131:15 0 -36 *1995:11 *2131:16 0.000210414 -37 *1996:14 *2131:22 0.00161958 -38 *1996:32 *2131:16 0.000291334 -39 *1996:38 *2131:15 0.000532369 -40 *2049:27 *2131:15 1.92459e-05 -41 *2049:27 *2131:16 0.000106031 -42 *2049:30 *2131:16 0.00261158 -43 *2050:13 *2131:10 8.88366e-05 -44 *2050:18 *2131:22 0.00466604 -45 *2077:10 *2131:8 3.84497e-05 -46 *2077:15 *2131:22 0.00169189 -47 *2130:32 *2131:16 1.87125e-05 -*RES -1 *2453:pad_gpio_slow_sel *2131:8 4.31775 -2 *2131:8 *2131:10 100.87 -3 *2131:10 *2131:15 18.5971 -4 *2131:15 *2131:16 56.23 -5 *2131:16 *2131:21 13.051 -6 *2131:21 *2131:22 236.657 -7 *2131:22 *2474:mprj_io_slow_sel[12] 2.55258 -*END - -*D_NET *2132 0.0144929 -*CONN -*I *2474:mprj_io_slow_sel[13] I *D chip_io_alt -*I *2454:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_slow_sel[13] 0.000364615 -2 *2454:pad_gpio_slow_sel 0.000633112 -3 *2132:14 0.00468234 -4 *2132:13 0.00495083 -5 *2474:mprj_io_slow_sel[13] *2307:9 0.000216644 -6 *2454:resetn *2132:13 0 -7 *502:22 *2132:14 0 -8 *1808:14 *2132:14 0.00297624 -9 *1890:14 *2132:14 0 -10 *1892:14 *2132:14 0.00066915 -11 *1997:13 *2132:14 0 -12 *2051:13 *2132:13 0 -13 *2051:14 *2132:14 0 -*RES -1 *2454:pad_gpio_slow_sel *2132:13 27.8223 -2 *2132:13 *2132:14 157.552 -3 *2132:14 *2474:mprj_io_slow_sel[13] 19.4353 -*END - -*D_NET *2133 0.0131347 -*CONN -*I *2474:mprj_io_slow_sel[14] I *D chip_io_alt -*I *2461:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_slow_sel[14] 0.000397283 -2 *2461:pad_gpio_slow_sel 0.000199311 -3 *2133:8 0.00150879 -4 *2133:7 0.00131082 -5 *2474:mprj_io_slow_sel[14] *2308:9 0.00065866 -6 *2133:7 *2160:7 0.000188622 -7 *2133:8 *2160:8 0 -8 *2461:resetn *2133:8 0 -9 *1895:8 *2133:8 0.00389187 -10 *1998:7 *2133:8 0 -11 *2079:7 *2133:7 0.000188622 -12 *2079:8 *2133:8 0 -13 *2106:8 *2133:8 0.0047907 -*RES -1 *2461:pad_gpio_slow_sel *2133:7 4.42635 -2 *2133:7 *2133:8 82.5985 -3 *2133:8 *2474:mprj_io_slow_sel[14] 23.1475 -*END - -*D_NET *2134 0.0112425 -*CONN -*I *2474:mprj_io_slow_sel[15] I *D chip_io_alt -*I *2462:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_slow_sel[15] 0.00014576 -2 *2462:pad_gpio_slow_sel 0.00010798 -3 *2134:8 0.00195329 -4 *2134:7 0.00191551 -5 *2474:mprj_io_slow_sel[15] *2327:11 0.00019711 -6 *2134:7 *2161:7 0.000145223 -7 *2134:8 *2474:porb_h 2.37478e-05 -8 *2134:8 *2327:11 0.000524464 -9 *1783:18 *2134:8 0 -10 *1810:12 *2134:8 0 -11 *1837:8 *2134:8 0.00305119 -12 *1999:7 *2134:8 8.99711e-05 -13 *1999:9 *2134:8 0.00294302 -14 *2053:8 *2134:8 0 -15 *2080:7 *2134:7 0.000145223 -16 *2107:8 *2134:8 0 -*RES -1 *2462:pad_gpio_slow_sel *2134:7 4.12039 -2 *2134:7 *2134:8 89.6578 -3 *2134:8 *2474:mprj_io_slow_sel[15] 13.4779 -*END - -*D_NET *2135 0.013738 -*CONN -*I *2474:mprj_io_slow_sel[16] I *D chip_io_alt -*I *2463:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_slow_sel[16] 0.000409151 -2 *2463:pad_gpio_slow_sel 0.000238875 -3 *2135:8 0.00151705 -4 *2135:7 0.00134678 -5 *2135:7 *2162:7 0.000282519 -6 *2135:8 *2162:8 0.000712893 -7 *490:7 *2135:7 0 -8 *518:8 *2135:8 0 -9 *1838:8 *2135:8 0.00405056 -10 *1901:8 *2474:mprj_io_slow_sel[16] 0.00019711 -11 *1946:8 *2135:8 0.00467566 -12 *2081:7 *2135:7 0.000307382 -*RES -1 *2463:pad_gpio_slow_sel *2135:7 4.96178 -2 *2135:7 *2135:8 90.0731 -3 *2135:8 *2474:mprj_io_slow_sel[16] 19.5785 -*END - -*D_NET *2136 0.0113237 -*CONN -*I *2474:mprj_io_slow_sel[17] I *D chip_io_alt -*I *2464:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_slow_sel[17] 3.65242e-05 -2 *2464:pad_gpio_slow_sel 9.82838e-05 -3 *2136:8 0.00165915 -4 *2136:7 0.00172091 -5 *2136:7 *2163:7 0.000129968 -6 *2136:8 *2294:13 0.00107879 -7 *473:8 *2136:8 0 -8 *491:25 *2136:8 0.0016265 -9 *1904:8 *2136:8 0.000185169 -10 *1906:8 *2136:8 0.0009813 -11 *1906:22 *2136:8 0.000141177 -12 *1974:8 *2136:8 0.00353598 -13 *2001:10 *2136:8 0 -14 *2055:8 *2136:8 0 -15 *2082:10 *2136:7 0.000129968 -*RES -1 *2464:pad_gpio_slow_sel *2136:7 4.04389 -2 *2136:7 *2136:8 93.1875 -3 *2136:8 *2474:mprj_io_slow_sel[17] 1.23354 -*END - -*D_NET *2137 0.0110528 -*CONN -*I *2474:mprj_io_slow_sel[18] I *D chip_io_alt -*I *2465:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_slow_sel[18] 0.00014576 -2 *2465:pad_gpio_slow_sel 0.000167697 -3 *2137:10 0.0020306 -4 *2137:9 0.00205253 -5 *2137:9 *2164:9 0.000112677 -6 *2137:10 *2164:10 0 -7 *2137:10 *2313:15 0 -8 *107:51 *2474:mprj_io_slow_sel[18] 0.00019711 -9 *107:51 *2137:10 0.000684338 -10 *1786:18 *2137:10 0 -11 *1840:27 *2137:10 0.00133683 -12 *1909:10 *2137:10 0 -13 *2002:7 *2137:10 1.3813e-05 -14 *2002:9 *2137:10 0.00416125 -15 *2083:9 *2137:9 0.000150197 -*RES -1 *2465:pad_gpio_slow_sel *2137:9 4.33988 -2 *2137:9 *2137:10 88.8273 -3 *2137:10 *2474:mprj_io_slow_sel[18] 13.4779 -*END - -*D_NET *2138 0.0136189 -*CONN -*I *2474:mprj_io_slow_sel[19] I *D chip_io_alt -*I *2466:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_slow_sel[19] 0.000388188 -2 *2466:pad_gpio_slow_sel 0.00111717 -3 *2138:12 0.000918305 -4 *2138:10 0.00164729 -5 *2474:mprj_io_slow_sel[19] *2165:27 5.04829e-06 -6 *2474:mprj_io_slow_sel[19] *2296:13 0 -7 *2474:mprj_io_slow_sel[19] *2314:19 0.00019711 -8 *2474:mprj_io_slow_sel[19] *2314:20 0 -9 *2138:10 *2165:13 0.000259251 -10 *2138:10 *2165:14 0.00012974 -11 *2138:12 *2165:14 0.00312894 -12 *474:42 *2138:12 0.000347446 -13 *475:16 *2138:10 0 -14 *521:14 *2138:10 0 -15 *1787:8 *2138:12 0.00373293 -16 *1912:14 *2138:12 0.000640187 -17 *1949:8 *2138:10 1.2819e-05 -18 *1949:8 *2138:12 1.3813e-05 -19 *2057:13 *2138:10 0.000461205 -20 *2057:14 *2138:10 0.000343222 -21 *2057:14 *2138:12 1.69447e-05 -22 *2084:7 *2138:10 0.000259251 -23 *2084:8 *2138:10 0 -*RES -1 *2466:pad_gpio_slow_sel *2138:10 34.7802 -2 *2138:10 *2138:12 61.0054 -3 *2138:12 *2474:mprj_io_slow_sel[19] 19.0239 -*END - -*D_NET *2139 0.0210706 -*CONN -*I *2474:mprj_io_slow_sel[1] I *D chip_io_alt -*I *2445:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_slow_sel[1] 0.000544965 -2 *2445:pad_gpio_slow_sel 0.000791876 -3 *2139:14 0.00246599 -4 *2139:13 0.0027129 -5 *2139:13 *2166:13 3.84497e-05 -6 *100:96 *2474:mprj_io_slow_sel[1] 0.000223156 -7 *485:53 *2139:13 8.67462e-05 -8 *499:16 *2139:14 0.00183558 -9 *1788:18 *2139:14 0.00012693 -10 *1891:14 *2139:14 0.00650697 -11 *1902:19 *2139:14 0.000152316 -12 *1902:20 *2139:14 0.00554626 -13 *2058:14 *2139:14 0 -14 *2085:7 *2139:13 3.84497e-05 -*RES -1 *2445:pad_gpio_slow_sel *2139:13 32.2592 -2 *2139:13 *2139:14 148.831 -3 *2139:14 *2474:mprj_io_slow_sel[1] 23.876 -*END - -*D_NET *2140 0.0130243 -*CONN -*I *2474:mprj_io_slow_sel[20] I *D chip_io_alt -*I *2467:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_slow_sel[20] 0.000445372 -2 *2467:pad_gpio_slow_sel 0.000433569 -3 *2140:8 0.00200319 -4 *2140:7 0.00199139 -5 *2474:mprj_io_slow_sel[20] *2297:12 9.4338e-05 -6 *2140:7 *2167:19 4.79986e-05 -7 *494:7 *2140:7 0 -8 *494:8 *2140:8 0 -9 *1789:16 *2140:8 0.00362454 -10 *1816:16 *2140:8 0.000289658 -11 *1843:16 *2140:8 0.00283238 -12 *1915:8 *2140:8 0.00033782 -13 *2086:13 *2140:7 0.000192185 -14 *2086:13 *2140:8 0.000731869 -*RES -1 *2467:pad_gpio_slow_sel *2140:7 4.96178 -2 *2140:7 *2140:8 89.6578 -3 *2140:8 *2474:mprj_io_slow_sel[20] 20.1331 -*END - -*D_NET *2141 0.00994145 -*CONN -*I *2474:mprj_io_slow_sel[21] I *D chip_io_alt -*I *2468:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_slow_sel[21] 0.000459854 -2 *2468:pad_gpio_slow_sel 0.000143111 -3 *2141:8 0.00244083 -4 *2141:7 0.00212409 -5 *2141:7 *2168:7 0.00012971 -6 *2474:mprj_io_dm[64] *2474:mprj_io_slow_sel[21] 8.86525e-05 -7 *477:8 *2141:8 0 -8 *2006:7 *2474:mprj_io_slow_sel[21] 0.000142583 -9 *2006:7 *2141:8 2.01595e-05 -10 *2006:9 *2141:8 0.00426275 -11 *2087:7 *2141:7 0.00012971 -12 *2087:8 *2474:mprj_io_slow_sel[21] 0 -13 *2087:8 *2141:8 0 -*RES -1 *2468:pad_gpio_slow_sel *2141:7 4.12039 -2 *2141:7 *2141:8 79.8994 -3 *2141:8 *2474:mprj_io_slow_sel[21] 15.0101 -*END - -*D_NET *2142 0.00989595 -*CONN -*I *2474:mprj_io_slow_sel[22] I *D chip_io_alt -*I *2469:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_slow_sel[22] 0.00014576 -2 *2469:pad_gpio_slow_sel 0.000180604 -3 *2142:14 0.0010865 -4 *2142:8 0.00286961 -5 *2142:7 0.00210948 -6 *2142:7 *2169:15 0.000195024 -7 *2142:8 *2169:15 0 -8 *477:26 *2142:8 0.000295704 -9 *477:26 *2142:14 0.000313439 -10 *1791:19 *2142:14 0 -11 *1922:8 *2142:8 0 -12 *1922:25 *2474:mprj_io_slow_sel[22] 0.00019711 -13 *1922:25 *2142:14 0.00047597 -14 *2007:9 *2142:8 0 -15 *2007:9 *2142:14 0.00183172 -16 *2088:10 *2142:7 0.000195024 -17 *2115:8 *2142:8 0 -18 *2115:8 *2142:14 0 -*RES -1 *2469:pad_gpio_slow_sel *2142:7 4.42635 -2 *2142:7 *2142:8 52.2851 -3 *2142:8 *2142:14 48.9309 -4 *2142:14 *2474:mprj_io_slow_sel[22] 13.4779 -*END - -*D_NET *2143 0.0160013 -*CONN -*I *2474:mprj_io_slow_sel[23] I *D chip_io_alt -*I *2470:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_slow_sel[23] 0.000800188 -2 *2470:pad_gpio_slow_sel 8.56898e-05 -3 *2143:13 0.0027615 -4 *2143:12 0.00196131 -5 *2143:10 0.00130003 -6 *2143:9 0.00138572 -7 *2474:mprj_io_slow_sel[23] *2474:mprj_io_vtrip_sel[23] 0.000444177 -8 *2143:9 *2170:9 2.56241e-05 -9 *2143:13 *2474:mprj_io_vtrip_sel[23] 0.00111699 -10 *497:10 *2143:10 0.00376968 -11 *1925:8 *2474:mprj_io_slow_sel[23] 0.00216912 -12 *1954:15 *2143:13 0.000181266 -13 *2008:10 *2474:mprj_io_slow_sel[23] 0 -14 *2008:11 *2474:mprj_io_slow_sel[23] 0 -*RES -1 *2470:pad_gpio_slow_sel *2143:9 3.59493 -2 *2143:9 *2143:10 60.1749 -3 *2143:10 *2143:12 4.5 -4 *2143:12 *2143:13 55.6292 -5 *2143:13 *2474:mprj_io_slow_sel[23] 40.9933 -*END - -*D_NET *2144 0.0138915 -*CONN -*I *2474:mprj_io_slow_sel[24] I *D chip_io_alt -*I *2446:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_slow_sel[24] 0.000523429 -2 *2446:pad_gpio_slow_sel 0.000496709 -3 *2144:8 0.00172247 -4 *2144:7 0.00169575 -5 *2144:7 *2474:mprj_io_vtrip_sel[24] 5.04815e-05 -6 *2446:resetn *2144:7 0 -7 *494:20 *2144:8 0.000496457 -8 *514:8 *2144:8 0.00148817 -9 *514:14 *2144:8 0 -10 *1927:15 *2474:mprj_io_slow_sel[24] 0.000223156 -11 *1929:19 *2474:mprj_io_slow_sel[24] 5.04829e-06 -12 *2063:8 *2144:8 0.00508979 -13 *2090:7 *2144:7 0.00024557 -14 *2090:8 *2144:8 0 -15 *2117:10 *2144:7 0 -16 *2117:10 *2144:8 0.000106672 -17 *2117:12 *2144:8 0.00174776 -*RES -1 *2446:pad_gpio_slow_sel *2144:7 5.19125 -2 *2144:7 *2144:8 89.6578 -3 *2144:8 *2474:mprj_io_slow_sel[24] 21.6576 -*END - -*D_NET *2145 0.0133439 -*CONN -*I *2474:mprj_io_slow_sel[25] I *D chip_io_alt -*I *2447:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_slow_sel[25] 0.000566759 -2 *2447:pad_gpio_slow_sel 0.000548893 -3 *2145:8 0.00183619 -4 *2145:7 0.00181832 -5 *2145:7 *2172:7 0.000139564 -6 *2447:resetn_out *2145:7 0 -7 *2474:mprj_io_dm[76] *2474:mprj_io_slow_sel[25] 0.00019711 -8 *2474:mprj_io_oeb[25] *2145:7 1.78739e-05 -9 *102:44 *2145:8 0 -10 *1932:8 *2145:8 0.00100072 -11 *1956:11 *2145:8 0.00223241 -12 *1956:14 *2145:8 0.00242582 -13 *1983:8 *2145:8 8.67703e-05 -14 *2064:7 *2145:7 0 -15 *2064:8 *2145:8 9.97028e-05 -16 *2118:8 *2145:7 0 -17 *2118:8 *2145:8 0 -18 *2118:22 *2145:8 0.00237373 -*RES -1 *2447:pad_gpio_slow_sel *2145:7 5.34423 -2 *2145:7 *2145:8 89.6578 -3 *2145:8 *2474:mprj_io_slow_sel[25] 22.3515 -*END - -*D_NET *2146 0.0142779 -*CONN -*I *2474:mprj_io_slow_sel[26] I *D chip_io_alt -*I *2448:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_slow_sel[26] 0.000258802 -2 *2448:pad_gpio_slow_sel 0.000132912 -3 *2146:21 0.000730108 -4 *2146:15 0.00164803 -5 *2146:10 0.00203563 -6 *2146:9 0.000991821 -7 *2146:10 *2173:10 0.000634651 -8 *2448:pad_gpio_in *2146:10 0 -9 *1795:8 *2474:mprj_io_slow_sel[26] 0.0006713 -10 *1822:8 *2146:21 0.00167049 -11 *1933:8 *2146:21 0.00167049 -12 *1936:12 *2146:10 0.00209916 -13 *1936:15 *2146:15 0 -14 *2065:12 *2146:10 0.00160479 -15 *2092:15 *2146:10 5.35541e-05 -16 *2119:12 *2146:10 7.61581e-05 -*RES -1 *2448:pad_gpio_slow_sel *2146:9 3.708 -2 *2146:9 *2146:10 54.3614 -3 *2146:10 *2146:15 40.2266 -4 *2146:15 *2146:21 41.462 -5 *2146:21 *2474:mprj_io_slow_sel[26] 11.4072 -*END - -*D_NET *2147 0.0230161 -*CONN -*I *2474:mprj_io_slow_sel[2] I *D chip_io_alt -*I *2455:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_slow_sel[2] 0.000122622 -2 *2455:pad_gpio_slow_sel 0.000211328 -3 *2147:14 0.000861984 -4 *2147:13 0.000802351 -5 *2147:8 0.00078033 -6 *2147:7 0.000928669 -7 *2147:7 *2174:13 3.84497e-05 -8 *2455:resetn_out *2147:7 0 -9 *100:80 *2474:mprj_io_slow_sel[2] 9.71323e-06 -10 *100:80 *2147:14 0.00481274 -11 *104:40 *2147:8 2.57465e-06 -12 *104:49 *2147:13 0 -13 *471:40 *2147:8 1.55462e-05 -14 *471:40 *2147:14 0.00477008 -15 *471:46 *2474:mprj_io_slow_sel[2] 0.000148615 -16 *489:35 *2147:8 0.00466969 -17 *503:26 *2147:8 0.00464232 -18 *1796:13 *2147:13 0.000160617 -19 *2093:13 *2147:7 3.84497e-05 -*RES -1 *2455:pad_gpio_slow_sel *2147:7 4.04389 -2 *2147:7 *2147:8 75.9545 -3 *2147:8 *2147:13 10.8326 -4 *2147:13 *2147:14 78.0308 -5 *2147:14 *2474:mprj_io_slow_sel[2] 4.28687 -*END - -*D_NET *2148 0.0187644 -*CONN -*I *2474:mprj_io_slow_sel[3] I *D chip_io_alt -*I *2456:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_slow_sel[3] 0.000503621 -2 *2456:pad_gpio_slow_sel 0.000800661 -3 *2148:26 0.00175974 -4 *2148:25 0.00196443 -5 *2148:16 0.00201486 -6 *2148:15 0.00210721 -7 *2148:15 *2175:13 0.000237476 -8 *2148:16 *2175:14 0 -9 *2148:25 *2474:mprj_io_vtrip_sel[3] 0.000377259 -10 *2455:resetn_out *2474:mprj_io_slow_sel[3] 0.000102556 -11 *472:38 *2148:26 0.000772464 -12 *1565:20 *2148:16 0 -13 *1565:20 *2148:25 0 -14 *1797:22 *2148:26 0.000754971 -15 *1824:20 *2148:26 0 -16 *1851:22 *2148:26 8.88511e-05 -17 *1859:16 *2148:25 1.67037e-05 -18 *1859:18 *2148:25 0.00119223 -19 *1860:23 *2148:26 0.00115315 -20 *1938:18 *2148:26 0 -21 *2013:7 *2474:mprj_io_slow_sel[3] 0.0006713 -22 *2067:15 *2148:15 0.000354276 -23 *2067:16 *2148:16 0.0036651 -24 *2067:16 *2148:25 6.34651e-06 -25 *2094:7 *2148:15 4.10643e-05 -26 *2094:8 *2148:15 8.35615e-06 -27 *2121:13 *2148:15 0.000171753 -*RES -1 *2456:pad_gpio_slow_sel *2148:15 35.9464 -2 *2148:15 *2148:16 59.7597 -3 *2148:16 *2148:25 36.4523 -4 *2148:25 *2148:26 58.7215 -5 *2148:26 *2474:mprj_io_slow_sel[3] 25.5674 -*END - -*D_NET *2149 0.0245934 -*CONN -*I *2474:mprj_io_slow_sel[4] I *D chip_io_alt -*I *2457:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_slow_sel[4] 0.00081171 -2 *2457:pad_gpio_slow_sel 0.000846944 -3 *2149:14 0.00202446 -4 *2149:13 0.00205969 -5 *2149:13 *2176:13 3.84497e-05 -6 *2474:mprj_io_dm[12] *2474:mprj_io_slow_sel[4] 7.08723e-06 -7 *2474:mprj_io_dm[13] *2474:mprj_io_slow_sel[4] 0.00146502 -8 *478:32 *2149:14 0.0013108 -9 *495:56 *2474:mprj_io_slow_sel[4] 0.000658312 -10 *505:14 *2149:14 0.00815295 -11 *1862:16 *2149:14 0.000339253 -12 *2014:18 *2474:mprj_io_slow_sel[4] 4.17605e-05 -13 *2068:16 *2149:14 0.00679856 -14 *2095:7 *2149:13 3.84497e-05 -15 *2095:8 *2149:13 0 -*RES -1 *2457:pad_gpio_slow_sel *2149:13 32.8138 -2 *2149:13 *2149:14 130.145 -3 *2149:14 *2474:mprj_io_slow_sel[4] 45.0683 -*END - -*D_NET *2150 0.0184094 -*CONN -*I *2474:mprj_io_slow_sel[5] I *D chip_io_alt -*I *2458:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_slow_sel[5] 0.000354467 -2 *2458:pad_gpio_slow_sel 0.000214636 -3 *2150:14 0.00184951 -4 *2150:13 0.00178213 -5 *2150:8 0.00211211 -6 *2150:7 0.00203966 -7 *2150:7 *2177:13 3.84497e-05 -8 *2150:8 *2177:13 0 -9 *2150:14 *2177:14 0.000710369 -10 *2458:pad_gpio_in *2150:8 0 -11 *2458:resetn_out *2150:7 0 -12 *2474:mprj_io_dm[17] *2150:14 0.00180431 -13 *2474:mprj_io_oeb[5] *2150:13 0 -14 *108:49 *2150:8 0.000299508 -15 *110:98 *2150:14 0.00198036 -16 *493:51 *2150:14 0.000911944 -17 *495:64 *2474:mprj_io_slow_sel[5] 0 -18 *495:64 *2150:8 0 -19 *1566:29 *2150:8 0 -20 *1799:13 *2150:8 0 -21 *1799:14 *2150:14 0 -22 *1826:13 *2150:8 0 -23 *1826:23 *2150:14 0.000157419 -24 *1853:13 *2150:8 0 -25 *1864:13 *2150:8 0 -26 *1864:20 *2150:14 0 -27 *1865:13 *2150:8 0 -28 *1866:13 *2150:8 0 -29 *1988:8 *2150:8 0.00391851 -30 *2069:13 *2150:8 0 -31 *2096:13 *2150:7 3.84497e-05 -32 *2096:13 *2150:8 0 -33 *2096:14 *2150:8 0.000197571 -34 *2123:13 *2150:8 0 -*RES -1 *2458:pad_gpio_slow_sel *2150:7 4.12039 -2 *2150:7 *2150:8 75.9545 -3 *2150:8 *2150:13 14.1602 -4 *2150:13 *2150:14 77.4079 -5 *2150:14 *2474:mprj_io_slow_sel[5] 17.7754 -*END - -*D_NET *2151 0.025099 -*CONN -*I *2474:mprj_io_slow_sel[6] I *D chip_io_alt -*I *2459:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_slow_sel[6] 6.22015e-05 -2 *2459:pad_gpio_slow_sel 0.000655344 -3 *2151:22 0.000723209 -4 *2151:21 0.00108764 -5 *2151:16 0.00174583 -6 *2151:15 0.00197454 -7 *2151:15 *2178:13 0.000237476 -8 *2151:16 *2178:14 0 -9 *2151:21 *2474:mprj_io_vtrip_sel[6] 6.58333e-05 -10 *2459:pad_gpio_in *2151:15 0 -11 *2459:serial_load *2151:22 0.000708538 -12 *477:32 *2151:16 0.000875832 -13 *495:64 *2151:22 1.28326e-05 -14 *507:18 *2151:22 0.0043545 -15 *1800:14 *2151:16 0.0026848 -16 *1827:14 *2151:16 0.00351317 -17 *1854:14 *2151:22 0.0013897 -18 *1867:14 *2151:16 0.00013615 -19 *1868:14 *2151:16 5.92227e-05 -20 *2016:13 *2151:22 0.00301099 -21 *2016:19 *2151:16 0 -22 *2070:13 *2151:15 0.000774126 -23 *2097:7 *2151:15 4.10643e-05 -24 *2097:8 *2151:15 0 -25 *2124:13 *2151:15 0.000985982 -*RES -1 *2459:pad_gpio_slow_sel *2151:15 35.1131 -2 *2151:15 *2151:16 76.9926 -3 *2151:16 *2151:21 18.0424 -4 *2151:21 *2151:22 71.802 -5 *2151:22 *2474:mprj_io_slow_sel[6] 2.21061 -*END - -*D_NET *2152 0.0514434 -*CONN -*I *2474:mprj_io_slow_sel[7] I *D chip_io_alt -*I *2460:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_slow_sel[7] 0.000825863 -2 *2460:pad_gpio_slow_sel 0.000634001 -3 *2152:14 0.00705504 -4 *2152:13 0.00677893 -5 *2152:10 0.00118375 -6 *2474:mprj_io_slow_sel[7] *2153:16 0.000671315 -7 *2474:mprj_io_slow_sel[7] *2293:9 0 -8 *2152:10 *2179:13 8.71681e-05 -9 *110:104 *2152:10 0.000275937 -10 *110:118 *2152:10 0.00114904 -11 *110:118 *2152:13 1.03403e-05 -12 *111:48 *2152:14 0 -13 *478:32 *2152:14 0.00254347 -14 *496:44 *2152:14 0 -15 *510:14 *2152:14 0.00563371 -16 *1828:23 *2474:mprj_io_slow_sel[7] 5.04829e-06 -17 *1855:14 *2152:14 0.0215134 -18 *1963:8 *2152:10 0.00199571 -19 *1990:8 *2474:mprj_io_slow_sel[7] 0.000102556 -20 *1990:8 *2152:10 0.000100822 -21 *1991:16 *2152:14 0.00033102 -22 *2071:7 *2152:10 0 -23 *2071:8 *2152:10 0 -24 *2098:13 *2152:10 7.28972e-05 -25 *2099:16 *2152:14 0.000473354 -*RES -1 *2460:pad_gpio_slow_sel *2152:10 41.3122 -2 *2152:10 *2152:13 16.8701 -3 *2152:13 *2152:14 344 -4 *2152:14 *2474:mprj_io_slow_sel[7] 31.6681 -*END - -*D_NET *2153 0.0529495 -*CONN -*I *2474:mprj_io_slow_sel[8] I *D chip_io_alt -*I *2449:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_slow_sel[8] 0.000283636 -2 *2449:pad_gpio_slow_sel 0.000506908 -3 *2153:30 0.000845798 -4 *2153:29 0.000746522 -5 *2153:24 0.000819215 -6 *2153:22 0.000661751 -7 *2153:20 0.00168271 -8 *2153:18 0.00168741 -9 *2153:16 0.00248874 -10 *2153:15 0.00296406 -11 *2153:15 *2180:13 3.64213e-05 -12 *2153:16 *2293:9 0.0013295 -13 *2153:16 *2311:13 0.00153821 -14 *2153:20 *2474:mprj_io_vtrip_sel[7] 0 -15 *2449:resetn_out *2153:15 0 -16 *2474:mprj_io_analog_pol[7] *2153:16 2.22735e-05 -17 *2474:mprj_io_analog_sel[7] *2153:16 0.00115167 -18 *2474:mprj_io_holdover[7] *2153:16 0.000457865 -19 *2474:mprj_io_oeb[7] *2153:20 0 -20 *2474:mprj_io_out[7] *2153:16 2.2746e-05 -21 *2474:mprj_io_out[7] *2153:20 0 -22 *2474:mprj_io_slow_sel[7] *2153:16 0.000671315 -23 *110:123 *2153:15 0.000436015 -24 *1871:14 *2153:16 1.23804e-05 -25 *1871:18 *2153:16 0.00321668 -26 *1874:28 *2153:20 0.00175222 -27 *1874:28 *2153:24 0.00363083 -28 *1875:19 *2153:29 5.04829e-06 -29 *1877:14 *2153:30 0.00443346 -30 *1878:14 *2153:30 0.00442628 -31 *1879:19 *2474:mprj_io_slow_sel[8] 0.000110949 -32 *1963:8 *2153:16 0 -33 *1964:16 *2153:30 0.000712721 -34 *1965:8 *2153:24 0.000437916 -35 *1990:8 *2153:16 0.00124035 -36 *2017:10 *2153:16 0.00250808 -37 *2019:11 *2153:24 0.00288812 -38 *2044:7 *2153:20 0.000126228 -39 *2071:8 *2153:16 0.00453366 -40 *2072:15 *2153:15 0.000572602 -41 *2072:18 *2153:16 1.86389e-05 -42 *2072:18 *2153:20 0.00262697 -43 *2072:18 *2153:24 0.000243744 -44 *2073:31 *2474:mprj_io_slow_sel[8] 0.000197125 -45 *2125:20 *2153:16 0.000373541 -46 *2126:16 *2153:20 0.00052917 -*RES -1 *2449:pad_gpio_slow_sel *2153:15 27.1893 -2 *2153:15 *2153:16 164.818 -3 *2153:16 *2153:18 0.732798 -4 *2153:18 *2153:20 87.9358 -5 *2153:20 *2153:22 0.732798 -6 *2153:22 *2153:24 59.1979 -7 *2153:24 *2153:29 12.4964 -8 *2153:29 *2153:30 70.7639 -9 *2153:30 *2474:mprj_io_slow_sel[8] 16.8055 -*END - -*D_NET *2154 0.056253 -*CONN -*I *2474:mprj_io_slow_sel[9] I *D chip_io_alt -*I *2450:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_slow_sel[9] 0.00021742 -2 *2450:pad_gpio_slow_sel 0.000285317 -3 *2154:14 0.00445218 -4 *2154:13 0.00452008 -5 *2154:13 *2181:7 2.72062e-05 -6 *2154:14 *2156:17 0.00049364 -7 *2450:serial_clock_out *2154:13 0.000559658 -8 *1803:27 *2474:mprj_io_slow_sel[9] 8.0872e-06 -9 *1803:27 *2154:14 1.2693e-05 -10 *1874:34 *2154:14 0.00365062 -11 *1878:14 *2154:14 0.00310705 -12 *1879:42 *2154:14 0.000654967 -13 *1965:8 *2154:14 0.000736132 -14 *1967:13 *2154:14 0.000602245 -15 *1994:44 *2474:mprj_io_slow_sel[9] 0.000185867 -16 *2019:11 *2154:14 0.023282 -17 *2072:18 *2154:14 0.00472779 -18 *2100:7 *2154:13 2.72062e-05 -19 *2126:16 *2154:14 0.00168841 -20 *2127:13 *2154:13 0.000730106 -21 *2129:14 *2154:14 0.00628425 -*RES -1 *2450:pad_gpio_slow_sel *2154:13 22.9703 -2 *2154:13 *2154:14 387.601 -3 *2154:14 *2474:mprj_io_slow_sel[9] 14.7764 -*END - -*D_NET *2155 0.0123772 -*CONN -*I *2474:mprj_io_vtrip_sel[0] I *D chip_io_alt -*I *2444:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_vtrip_sel[0] 9.83336e-05 -2 *2444:pad_gpio_vtrip_sel 0.000584605 -3 *2155:23 0.000769422 -4 *2155:19 0.0012459 -5 *2155:13 0.00115942 -6 *2444:resetn *2155:13 9.52305e-06 -7 *2444:resetn_out *2155:13 0 -8 *2474:mprj_io_holdover[0] *2474:mprj_io_vtrip_sel[0] 0.000202472 -9 *2474:mprj_io_ib_mode_sel[0] *2474:mprj_io_vtrip_sel[0] 0 -10 *2474:mprj_io_ib_mode_sel[0] *2155:23 0 -11 *2474:mprj_io_out[0] *2155:23 0 -12 *1831:19 *2155:19 3.98241e-05 -13 *1993:21 *2155:19 1.75462e-05 -14 *1993:21 *2155:23 0.00126962 -15 *1993:30 *2155:19 0.00192518 -16 *2047:14 *2155:19 0 -17 *2074:8 *2155:19 0 -18 *2101:14 *2155:19 0.00214202 -19 *2101:14 *2155:23 0.00260666 -20 *2128:13 *2155:13 0.000306624 -*RES -1 *2444:pad_gpio_vtrip_sel *2155:13 27.5408 -2 *2155:13 *2155:19 49.6033 -3 *2155:19 *2155:23 49.6926 -4 *2155:23 *2474:mprj_io_vtrip_sel[0] 8.43268 -*END - -*D_NET *2156 0.0717159 -*CONN -*I *2474:mprj_io_vtrip_sel[10] I *D chip_io_alt -*I *2451:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_vtrip_sel[10] 0.00209632 -2 *2451:pad_gpio_vtrip_sel 0.00529476 -3 *2156:17 0.00739109 -4 *2474:mprj_io_vtrip_sel[10] *2157:18 0.00065167 -5 *2156:17 *2321:13 0.000231536 -6 *2451:resetn *2156:17 4.46752e-05 -7 *2474:mprj_io_dm[32] *2474:mprj_io_vtrip_sel[10] 0.000243061 -8 *2474:mprj_io_out[10] *2474:mprj_io_vtrip_sel[10] 1.83572e-05 -9 *101:22 *2156:17 0.000481816 -10 *1833:20 *2156:17 0.00012875 -11 *1879:44 *2156:17 0.000315398 -12 *1886:8 *2474:mprj_io_vtrip_sel[10] 0.00276321 -13 *1940:10 *2156:17 0.00624268 -14 *1940:18 *2474:mprj_io_vtrip_sel[10] 0.00604591 -15 *1940:18 *2156:17 0.0137134 -16 *1941:8 *2474:mprj_io_vtrip_sel[10] 0.000638173 -17 *1965:8 *2156:17 0.00732577 -18 *1967:13 *2156:17 0.00422128 -19 *1967:20 *2156:17 0 -20 *1968:14 *2474:mprj_io_vtrip_sel[10] 0.000199522 -21 *1968:14 *2156:17 0.000546645 -22 *1994:11 *2474:mprj_io_vtrip_sel[10] 0.000194519 -23 *1994:11 *2156:17 0.000364708 -24 *2019:11 *2156:17 0.000338167 -25 *2046:7 *2156:17 0.000166143 -26 *2048:14 *2474:mprj_io_vtrip_sel[10] 0.000284387 -27 *2075:7 *2156:17 0 -28 *2103:18 *2474:mprj_io_vtrip_sel[10] 0.00642419 -29 *2103:18 *2156:17 0.00358265 -30 *2129:13 *2156:17 3.17944e-05 -31 *2129:14 *2156:17 0.000968228 -32 *2130:20 *2474:mprj_io_vtrip_sel[10] 0.000273425 -33 *2154:14 *2156:17 0.00049364 -*RES -1 *2451:pad_gpio_vtrip_sel *2156:17 47.2391 -2 *2156:17 *2474:mprj_io_vtrip_sel[10] 34.3619 -*END - -*D_NET *2157 0.0713645 -*CONN -*I *2474:mprj_io_vtrip_sel[11] I *D chip_io_alt -*I *2452:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_vtrip_sel[11] 0.000543588 -2 *2452:pad_gpio_vtrip_sel 7.65711e-05 -3 *2157:20 0.00336023 -4 *2157:18 0.00464073 -5 *2157:10 0.00339746 -6 *2157:9 0.00164994 -7 *2474:mprj_io_vtrip_sel[10] *2157:18 0.00065167 -8 *1886:8 *2157:18 0.000124478 -9 *1886:8 *2157:20 0.024397 -10 *1887:14 *2157:20 0.00194894 -11 *1889:18 *2474:mprj_io_vtrip_sel[11] 6.11904e-05 -12 *1941:8 *2157:18 0.000450023 -13 *1941:8 *2157:20 0.000630129 -14 *1968:25 *2157:20 0.000111681 -15 *1968:29 *2474:mprj_io_vtrip_sel[11] 0 -16 *1969:17 *2157:20 0.000580672 -17 *2076:29 *2157:20 0.0236384 -18 *2130:10 *2157:10 0.00452251 -19 *2130:15 *2157:18 0.000579288 -*RES -1 *2452:pad_gpio_vtrip_sel *2157:9 3.59493 -2 *2157:9 *2157:10 72.4249 -3 *2157:10 *2157:18 10.1249 -4 *2157:18 *2157:20 51.03 -5 *2157:20 *2474:mprj_io_vtrip_sel[11] 6.98968 -*END - -*D_NET *2158 0.0781792 -*CONN -*I *2474:mprj_io_vtrip_sel[12] I *D chip_io_alt -*I *2453:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_vtrip_sel[12] 0.000146757 -2 *2453:pad_gpio_vtrip_sel 0.00156446 -3 *2158:15 0.00279669 -4 *2158:10 0.00421439 -5 *2158:15 *2305:13 0.000294474 -6 *2453:resetn *2158:10 3.74656e-05 -7 *2453:serial_clock *2158:10 0 -8 *2474:mprj_io_dm[38] *2158:15 0.000142135 -9 *2474:mprj_io_ib_mode_sel[12] *2474:mprj_io_vtrip_sel[12] 0 -10 *488:11 *2158:10 0 -11 *1885:14 *2158:10 0.00040864 -12 *1886:8 *2158:10 0.00791016 -13 *1886:8 *2158:15 1.18005e-05 -14 *1969:17 *2158:15 0.0236601 -15 *1995:11 *2158:10 0.000597706 -16 *1995:15 *2158:10 0.000392646 -17 *1996:15 *2158:15 0.00133626 -18 *2049:30 *2158:10 0.000106281 -19 *2050:18 *2158:15 0.00254909 -20 *2077:10 *2158:10 0.00761133 -21 *2077:15 *2158:10 0.000124783 -22 *2077:15 *2158:15 0.0236749 -23 *2130:32 *2158:10 0.000556072 -24 *2131:8 *2158:10 4.31122e-05 -*RES -1 *2453:pad_gpio_vtrip_sel *2158:10 17.6935 -2 *2158:10 *2158:15 47.8379 -3 *2158:15 *2474:mprj_io_vtrip_sel[12] 7.38696 -*END - -*D_NET *2159 0.0144309 -*CONN -*I *2474:mprj_io_vtrip_sel[13] I *D chip_io_alt -*I *2454:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_vtrip_sel[13] 0.000131707 -2 *2454:pad_gpio_vtrip_sel 2.68958e-05 -3 *2159:19 0.000638359 -4 *2159:13 0.00124973 -5 *2159:8 0.00227461 -6 *2159:7 0.00155843 -7 *2474:mprj_io_ib_mode_sel[13] *2474:mprj_io_vtrip_sel[13] 0.000171064 -8 *2474:mprj_io_out[13] *2474:mprj_io_vtrip_sel[13] 0.00041084 -9 *1537:23 *2159:13 8.03397e-05 -10 *1537:32 *2159:8 0.000692673 -11 *1835:18 *2159:19 0.00120018 -12 *1893:8 *2159:8 0.000837412 -13 *1970:10 *2159:13 0.000105636 -14 *1997:19 *2159:19 0.000478862 -15 *2051:14 *2159:19 0.00219351 -16 *2078:5 *2159:8 0.000316853 -17 *2078:10 *2159:13 0.00206379 -*RES -1 *2454:pad_gpio_vtrip_sel *2159:7 3.43197 -2 *2159:7 *2159:8 58.9292 -3 *2159:8 *2159:13 38.0082 -4 *2159:13 *2159:19 46.994 -5 *2159:19 *2474:mprj_io_vtrip_sel[13] 7.2547 -*END - -*D_NET *2160 0.0206442 -*CONN -*I *2474:mprj_io_vtrip_sel[14] I *D chip_io_alt -*I *2461:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_vtrip_sel[14] 0.000397039 -2 *2461:pad_gpio_vtrip_sel 0.000418725 -3 *2160:8 0.00295089 -4 *2160:7 0.00297257 -5 *2461:resetn *2160:7 5.02212e-05 -6 *2461:serial_clock *2160:7 0 -7 *2474:mprj_io_oeb[14] *2474:mprj_io_vtrip_sel[14] 0.000171064 -8 *485:23 *2160:8 0 -9 *516:8 *2160:8 0.0021262 -10 *1809:14 *2160:8 0.00554117 -11 *1836:14 *2160:8 0.00582768 -12 *2079:7 *2160:7 0 -13 *2079:8 *2160:8 0 -14 *2106:21 *2160:8 0 -15 *2133:7 *2160:7 0.000188622 -16 *2133:8 *2160:8 0 -*RES -1 *2461:pad_gpio_vtrip_sel *2160:7 5.03827 -2 *2160:7 *2160:8 160.251 -3 *2160:8 *2474:mprj_io_vtrip_sel[14] 19.7179 -*END - -*D_NET *2161 0.021974 -*CONN -*I *2474:mprj_io_vtrip_sel[15] I *D chip_io_alt -*I *2462:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_vtrip_sel[15] 0.000281932 -2 *2462:pad_gpio_vtrip_sel 0.000494538 -3 *2161:17 0.00103988 -4 *2161:13 0.00100418 -5 *2161:8 0.00222752 -6 *2161:7 0.00247583 -7 *2161:17 *2309:13 0.00122114 -8 *2462:resetn *2161:7 0.000271938 -9 *2474:mprj_io_inp_dis[15] *2161:13 5.04829e-06 -10 *471:16 *2161:17 0.0022651 -11 *489:7 *2161:7 0 -12 *489:8 *2161:8 0.00699644 -13 *489:13 *2161:13 0 -14 *489:14 *2161:17 0 -15 *1945:16 *2161:8 0.00254589 -16 *2026:9 *2474:mprj_io_vtrip_sel[15] 0.0006713 -17 *2026:10 *2161:17 0.000231442 -18 *2080:7 *2161:7 0 -19 *2080:14 *2161:8 9.66387e-05 -20 *2134:7 *2161:7 0.000145223 -*RES -1 *2462:pad_gpio_vtrip_sel *2161:7 5.5737 -2 *2161:7 *2161:8 115.403 -3 *2161:8 *2161:13 13.6056 -4 *2161:13 *2161:17 47.4826 -5 *2161:17 *2474:mprj_io_vtrip_sel[15] 16.469 -*END - -*D_NET *2162 0.0222694 -*CONN -*I *2474:mprj_io_vtrip_sel[16] I *D chip_io_alt -*I *2463:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_vtrip_sel[16] 0.000592292 -2 *2463:pad_gpio_vtrip_sel 0.000219817 -3 *2162:20 0.000976486 -4 *2162:19 0.000454047 -5 *2162:16 0.000764381 -6 *2162:8 0.00260757 -7 *2162:7 0.00213286 -8 *2162:16 *2310:14 0 -9 *2463:resetn *2162:7 0.000210918 -10 *2474:mprj_io_ib_mode_sel[16] *2474:mprj_io_vtrip_sel[16] 0.00100478 -11 *105:8 *2162:16 0.00176959 -12 *472:8 *2162:16 0.000358272 -13 *472:8 *2162:20 0.0029677 -14 *490:7 *2162:7 0 -15 *518:8 *2162:8 0 -16 *1838:8 *2162:8 0.00311799 -17 *1900:8 *2162:8 0 -18 *1901:8 *2162:8 0 -19 *2081:19 *2162:16 0.00101426 -20 *2081:19 *2162:19 0.000111722 -21 *2081:20 *2162:20 0.0029713 -22 *2135:7 *2162:7 0.000282519 -23 *2135:8 *2162:8 0.000712893 -*RES -1 *2463:pad_gpio_vtrip_sel *2162:7 4.8088 -2 *2162:7 *2162:8 77.6155 -3 *2162:8 *2162:16 49.2868 -4 *2162:16 *2162:19 6.3326 -5 *2162:19 *2162:20 47.5097 -6 *2162:20 *2474:mprj_io_vtrip_sel[16] 31.0269 -*END - -*D_NET *2163 0.0207814 -*CONN -*I *2474:mprj_io_vtrip_sel[17] I *D chip_io_alt -*I *2464:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_vtrip_sel[17] 7.53077e-05 -2 *2464:pad_gpio_vtrip_sel 0.000295701 -3 *2163:23 0.00108764 -4 *2163:14 0.00157191 -5 *2163:13 0.000629765 -6 *2163:8 0.0011196 -7 *2163:7 0.00134511 -8 *2464:resetn *2163:7 0.000286481 -9 *106:13 *2163:8 0 -10 *473:8 *2163:23 0 -11 *491:28 *2163:14 1.2819e-05 -12 *491:28 *2163:23 0.000383673 -13 *519:21 *2163:14 0.000449909 -14 *519:22 *2163:8 0.00125734 -15 *1785:16 *2163:8 0.00176033 -16 *1839:36 *2163:8 0.00106635 -17 *1839:36 *2163:14 0.00365753 -18 *1839:36 *2163:23 0.000246295 -19 *1904:16 *2163:14 0.00230639 -20 *1905:8 *2163:14 1.3807e-05 -21 *1906:22 *2163:23 6.68049e-05 -22 *1947:23 *2163:14 0.00039759 -23 *1947:23 *2163:23 0.00110851 -24 *1974:24 *2474:mprj_io_vtrip_sel[17] 8.1645e-05 -25 *2082:10 *2163:7 0 -26 *2082:10 *2163:8 4.61488e-05 -27 *2109:8 *2163:8 0.00137969 -28 *2109:16 *2163:13 5.04829e-06 -29 *2136:7 *2163:7 0.000129968 -*RES -1 *2464:pad_gpio_vtrip_sel *2163:7 4.88529 -2 *2163:7 *2163:8 70.9715 -3 *2163:8 *2163:13 10.278 -4 *2163:13 *2163:14 58.8559 -5 *2163:14 *2163:23 48.9859 -6 *2163:23 *2474:mprj_io_vtrip_sel[17] 2.78463 -*END - -*D_NET *2164 0.0227915 -*CONN -*I *2474:mprj_io_vtrip_sel[18] I *D chip_io_alt -*I *2465:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_vtrip_sel[18] 0.000550106 -2 *2465:pad_gpio_vtrip_sel 0.000295288 -3 *2164:16 0.00135199 -4 *2164:15 0.00112214 -5 *2164:10 0.00199537 -6 *2164:9 0.00197041 -7 *2465:resetn *2164:9 0.000132991 -8 *2474:mprj_io_ib_mode_sel[18] *2474:mprj_io_vtrip_sel[18] 0.000171064 -9 *2474:mprj_io_inp_dis[18] *2164:16 0.000253824 -10 *474:14 *2164:10 0 -11 *492:10 *2164:16 0.000332901 -12 *520:16 *2164:16 0.00579147 -13 *1786:15 *2164:15 5.04829e-06 -14 *1908:10 *2164:10 1.3807e-05 -15 *1909:10 *2164:10 0 -16 *1948:22 *2164:10 0.000524464 -17 *2083:9 *2164:9 0 -18 *2083:10 *2164:16 0.00509839 -19 *2110:10 *2164:10 0.00306955 -20 *2137:9 *2164:9 0.000112677 -21 *2137:10 *2164:10 0 -*RES -1 *2465:pad_gpio_vtrip_sel *2164:9 4.83873 -2 *2164:9 *2164:10 67.2342 -3 *2164:10 *2164:15 14.7148 -4 *2164:15 *2164:16 92.7722 -5 *2164:16 *2474:mprj_io_vtrip_sel[18] 23.0455 -*END - -*D_NET *2165 0.0230535 -*CONN -*I *2474:mprj_io_vtrip_sel[19] I *D chip_io_alt -*I *2466:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_vtrip_sel[19] 0.000389494 -2 *2466:pad_gpio_vtrip_sel 0.00131224 -3 *2165:28 0.00172106 -4 *2165:27 0.00165585 -5 *2165:14 0.000827182 -6 *2165:13 0.00181513 -7 *2466:resetn *2165:13 0.000406155 -8 *2474:mprj_io_ib_mode_sel[19] *2474:mprj_io_vtrip_sel[19] 6.8952e-05 -9 *2474:mprj_io_oeb[19] *2474:mprj_io_vtrip_sel[19] 0 -10 *2474:mprj_io_slow_sel[19] *2165:27 5.04829e-06 -11 *474:42 *2165:14 0.000357167 -12 *475:16 *2165:28 0 -13 *493:22 *2165:28 0 -14 *521:14 *2165:13 0.00022036 -15 *1787:8 *2165:28 0.00121846 -16 *1814:8 *2165:27 0.00065321 -17 *1912:14 *2165:28 0.00310365 -18 *1949:8 *2165:14 0.00340576 -19 *1949:8 *2165:27 0.00065321 -20 *1976:13 *2165:27 3.24705e-06 -21 *2057:13 *2165:13 5.04829e-06 -22 *2057:29 *2165:28 0.000327995 -23 *2084:7 *2165:13 0 -24 *2084:8 *2165:28 0 -25 *2111:7 *2165:13 0 -26 *2111:8 *2165:13 0.00121359 -27 *2111:14 *2165:28 0.000172797 -28 *2138:10 *2165:13 0.000259251 -29 *2138:10 *2165:14 0.00012974 -30 *2138:12 *2165:14 0.00312894 -*RES -1 *2466:pad_gpio_vtrip_sel *2165:13 46.1341 -2 *2165:13 *2165:14 54.9843 -3 *2165:14 *2165:27 33.0615 -4 *2165:27 *2165:28 67.4418 -5 *2165:28 *2474:mprj_io_vtrip_sel[19] 18.748 -*END - -*D_NET *2166 0.0129704 -*CONN -*I *2474:mprj_io_vtrip_sel[1] I *D chip_io_alt -*I *2445:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_vtrip_sel[1] 0.000293915 -2 *2445:pad_gpio_vtrip_sel 0.000401877 -3 *2166:14 0.0015279 -4 *2166:13 0.00163586 -5 *2445:resetn *2166:13 3.84497e-05 -6 *467:55 *2474:mprj_io_vtrip_sel[1] 3.87462e-05 -7 *467:55 *2166:14 0 -8 *485:53 *2166:13 1.38204e-05 -9 *485:60 *2474:mprj_io_vtrip_sel[1] 0 -10 *1913:23 *2166:14 0.00185131 -11 *1950:17 *2166:14 0.00258272 -12 *2004:11 *2166:14 2.79326e-05 -13 *2058:14 *2166:14 0 -14 *2112:14 *2166:14 0.00451942 -15 *2139:13 *2166:13 3.84497e-05 -*RES -1 *2445:pad_gpio_vtrip_sel *2166:13 21.3121 -2 *2166:13 *2166:14 89.035 -3 *2166:14 *2474:mprj_io_vtrip_sel[1] 15.5597 -*END - -*D_NET *2167 0.0241319 -*CONN -*I *2474:mprj_io_vtrip_sel[20] I *D chip_io_alt -*I *2467:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_vtrip_sel[20] 0.000624495 -2 *2467:pad_gpio_vtrip_sel 0.00203763 -3 *2167:19 0.00266213 -4 *2474:mprj_io_holdover[20] *2474:mprj_io_vtrip_sel[20] 0.000144219 -5 *2474:mprj_io_holdover[20] *2167:19 0.00796673 -6 *2474:mprj_io_ib_mode_sel[20] *2474:mprj_io_vtrip_sel[20] 0.000726163 -7 *2474:mprj_io_ib_mode_sel[20] *2167:19 0.000717549 -8 *474:48 *2474:mprj_io_vtrip_sel[20] 0.000642796 -9 *494:7 *2167:19 0 -10 *522:32 *2474:mprj_io_vtrip_sel[20] 0.00028775 -11 *522:32 *2167:19 0 -12 *1916:18 *2474:mprj_io_vtrip_sel[20] 0.000796463 -13 *1916:18 *2167:19 0.00633676 -14 *2005:11 *2167:19 0.000844182 -15 *2113:16 *2167:19 0.000297056 -16 *2140:7 *2167:19 4.79986e-05 -*RES -1 *2467:pad_gpio_vtrip_sel *2167:19 23.0291 -2 *2167:19 *2474:mprj_io_vtrip_sel[20] 44.3093 -*END - -*D_NET *2168 0.0232382 -*CONN -*I *2474:mprj_io_vtrip_sel[21] I *D chip_io_alt -*I *2468:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_vtrip_sel[21] 0.0004324 -2 *2468:pad_gpio_vtrip_sel 0.0003477 -3 *2168:8 0.00259527 -4 *2168:7 0.00251057 -5 *2468:resetn *2168:7 0.000307124 -6 *2474:mprj_io_ib_mode_sel[21] *2474:mprj_io_vtrip_sel[21] 0 -7 *2474:mprj_io_oeb[21] *2474:mprj_io_vtrip_sel[21] 0.000332702 -8 *477:8 *2168:8 0.000590812 -9 *494:20 *2168:8 0.00143652 -10 *523:8 *2168:8 0.000434278 -11 *1817:8 *2168:8 0.00562108 -12 *1952:14 *2168:8 0 -13 *2087:7 *2168:7 0 -14 *2087:8 *2168:8 0 -15 *2114:7 *2168:7 0 -16 *2114:8 *2168:8 0.00850005 -17 *2141:7 *2168:7 0.00012971 -*RES -1 *2468:pad_gpio_vtrip_sel *2168:7 5.03827 -2 *2168:7 *2168:8 157.759 -3 *2168:8 *2474:mprj_io_vtrip_sel[21] 22.3948 -*END - -*D_NET *2169 0.0223411 -*CONN -*I *2474:mprj_io_vtrip_sel[22] I *D chip_io_alt -*I *2469:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_vtrip_sel[22] 0.000679715 -2 *2469:pad_gpio_vtrip_sel 0.000984571 -3 *2169:16 0.00228206 -4 *2169:15 0.00258692 -5 *2169:16 *2299:21 0 -6 *2474:mprj_io_ib_mode_sel[22] *2474:mprj_io_vtrip_sel[22] 0 -7 *2474:mprj_io_out[22] *2474:mprj_io_vtrip_sel[22] 1.1573e-05 -8 *2474:mprj_io_out[22] *2169:16 0 -9 *494:20 *2169:16 0.00109157 -10 *524:22 *2169:15 0.00140225 -11 *1818:16 *2169:16 0.00436911 -12 *1920:8 *2169:16 0.00573543 -13 *1921:8 *2169:16 0.000721742 -14 *1922:33 *2169:16 7.77309e-06 -15 *1953:17 *2169:16 0.00138822 -16 *2061:14 *2169:15 0.000371234 -17 *2061:16 *2169:16 3.10643e-05 -18 *2088:10 *2169:15 0 -19 *2088:12 *2169:16 0.000249644 -20 *2115:8 *2169:15 0 -21 *2115:8 *2169:16 0.000233149 -22 *2142:7 *2169:15 0.000195024 -23 *2142:8 *2169:15 0 -*RES -1 *2469:pad_gpio_vtrip_sel *2169:15 39.3724 -2 *2169:15 *2169:16 127.653 -3 *2169:16 *2474:mprj_io_vtrip_sel[22] 28.5891 -*END - -*D_NET *2170 0.0257906 -*CONN -*I *2474:mprj_io_vtrip_sel[23] I *D chip_io_alt -*I *2470:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_vtrip_sel[23] 0.00415117 -2 *2470:pad_gpio_vtrip_sel 0.000116636 -3 *2170:10 0.00548574 -4 *2170:9 0.0014512 -5 *2474:mprj_io_vtrip_sel[23] *2318:9 0.000308715 -6 *2474:mprj_io_ib_mode_sel[23] *2474:mprj_io_vtrip_sel[23] 0.000355832 -7 *2474:mprj_io_out[23] *2474:mprj_io_vtrip_sel[23] 0.00598936 -8 *2474:mprj_io_slow_sel[23] *2474:mprj_io_vtrip_sel[23] 0.000444177 -9 *477:26 *2474:mprj_io_vtrip_sel[23] 0.00209855 -10 *497:10 *2170:10 0.00385617 -11 *1925:8 *2474:mprj_io_vtrip_sel[23] 0.000390434 -12 *2143:9 *2170:9 2.56241e-05 -13 *2143:13 *2474:mprj_io_vtrip_sel[23] 0.00111699 -*RES -1 *2470:pad_gpio_vtrip_sel *2170:9 3.708 -2 *2170:9 *2170:10 61.6283 -3 *2170:10 *2474:mprj_io_vtrip_sel[23] 31.8089 -*END - -*D_NET *2171 0.0212245 -*CONN -*I *2474:mprj_io_vtrip_sel[24] I *D chip_io_alt -*I *2446:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_vtrip_sel[24] 0.00387041 -2 *2446:pad_gpio_vtrip_sel 0.00387041 -3 *2446:resetn *2474:mprj_io_vtrip_sel[24] 5.04815e-05 -4 *2474:mprj_io_ib_mode_sel[24] *2474:mprj_io_vtrip_sel[24] 0 -5 *101:63 *2474:mprj_io_vtrip_sel[24] 0.00653006 -6 *477:26 *2474:mprj_io_vtrip_sel[24] 0 -7 *486:44 *2474:mprj_io_vtrip_sel[24] 0.00647548 -8 *2009:11 *2474:mprj_io_vtrip_sel[24] 0.000377203 -9 *2144:7 *2474:mprj_io_vtrip_sel[24] 5.04815e-05 -*RES -1 *2446:pad_gpio_vtrip_sel *2474:mprj_io_vtrip_sel[24] 28.7945 -*END - -*D_NET *2172 0.0206091 -*CONN -*I *2474:mprj_io_vtrip_sel[25] I *D chip_io_alt -*I *2447:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_vtrip_sel[25] 0.000398518 -2 *2447:pad_gpio_vtrip_sel 0.000149094 -3 *2172:14 0.0019584 -4 *2172:13 0.00187498 -5 *2172:8 0.00162706 -6 *2172:7 0.00146105 -7 *2447:resetn *2172:7 6.59948e-05 -8 *2447:resetn_out *2172:7 0 -9 *2448:serial_load *2172:8 0.000271272 -10 *2474:mprj_io_ib_mode_sel[25] *2474:mprj_io_vtrip_sel[25] 0 -11 *515:8 *2474:mprj_io_vtrip_sel[25] 4.76719e-05 -12 *515:12 *2172:8 0.0033645 -13 *1794:16 *2172:14 0.00351467 -14 *1848:16 *2172:14 0.000337806 -15 *1931:8 *2172:8 0 -16 *1983:8 *2172:14 0.00109736 -17 *2064:8 *2172:14 0.00429605 -18 *2118:8 *2172:8 0 -19 *2118:21 *2172:13 5.04829e-06 -20 *2145:7 *2172:7 0.000139564 -*RES -1 *2447:pad_gpio_vtrip_sel *2172:7 4.12039 -2 *2172:7 *2172:8 55.1919 -3 *2172:8 *2172:13 15.824 -4 *2172:13 *2172:14 105.23 -5 *2172:14 *2474:mprj_io_vtrip_sel[25] 19.8572 -*END - -*D_NET *2173 0.020419 -*CONN -*I *2474:mprj_io_vtrip_sel[26] I *D chip_io_alt -*I *2448:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_vtrip_sel[26] 0.00332384 -2 *2448:pad_gpio_vtrip_sel 9.90234e-05 -3 *2173:10 0.0051222 -4 *2173:9 0.00189738 -5 *2474:mprj_io_analog_sel[26] *2474:mprj_io_vtrip_sel[26] 0.000242864 -6 *2474:mprj_io_dm[80] *2474:mprj_io_vtrip_sel[26] 0.000121586 -7 *2474:mprj_io_out[26] *2474:mprj_io_vtrip_sel[26] 0.000182583 -8 *1795:8 *2474:mprj_io_vtrip_sel[26] 0.000272159 -9 *1936:12 *2173:10 0.00210743 -10 *1984:21 *2474:mprj_io_vtrip_sel[26] 0.0061949 -11 *2011:7 *2474:mprj_io_vtrip_sel[26] 0.000220432 -12 *2146:10 *2173:10 0.000634651 -*RES -1 *2448:pad_gpio_vtrip_sel *2173:9 3.708 -2 *2173:9 *2173:10 69.9334 -3 *2173:10 *2474:mprj_io_vtrip_sel[26] 26.0523 -*END - -*D_NET *2174 0.0115672 -*CONN -*I *2474:mprj_io_vtrip_sel[2] I *D chip_io_alt -*I *2455:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_vtrip_sel[2] 0.000371569 -2 *2455:pad_gpio_vtrip_sel 0.00058926 -3 *2174:14 0.00164761 -4 *2174:13 0.0018653 -5 *2455:resetn *2174:13 3.84497e-05 -6 *104:40 *2174:13 0 -7 *471:40 *2474:mprj_io_vtrip_sel[2] 0.000131612 -8 *471:40 *2174:14 0 -9 *1935:23 *2474:mprj_io_vtrip_sel[2] 5.04829e-06 -10 *1958:14 *2174:14 0.0041934 -11 *2066:14 *2174:14 0.00218055 -12 *2093:13 *2174:13 0.000105936 -13 *2093:14 *2174:14 0.000178513 -14 *2120:14 *2174:14 0.000221531 -15 *2147:7 *2174:13 3.84497e-05 -*RES -1 *2455:pad_gpio_vtrip_sel *2174:13 26.7131 -2 *2174:13 *2174:14 85.2977 -3 *2174:14 *2474:mprj_io_vtrip_sel[2] 16.8705 -*END - -*D_NET *2175 0.011738 -*CONN -*I *2474:mprj_io_vtrip_sel[3] I *D chip_io_alt -*I *2456:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_vtrip_sel[3] 0.000372389 -2 *2456:pad_gpio_vtrip_sel 0.000743522 -3 *2175:14 0.0023216 -4 *2175:13 0.00269274 -5 *2456:resetn *2175:13 3.84497e-05 -6 *2474:mprj_io_out[3] *2474:mprj_io_vtrip_sel[3] 0.00058327 -7 *105:32 *2175:13 0.000107108 -8 *1565:20 *2175:14 0 -9 *1797:22 *2175:14 0 -10 *1824:17 *2175:14 0 -11 *1824:20 *2175:14 0.00104897 -12 *1859:16 *2175:14 8.53782e-05 -13 *1938:16 *2175:14 0.00277594 -14 *1938:18 *2175:14 2.6506e-05 -15 *2067:16 *2175:14 0.000273439 -16 *2094:8 *2175:13 5.39868e-05 -17 *2121:14 *2175:14 0 -18 *2148:15 *2175:13 0.000237476 -19 *2148:16 *2175:14 0 -20 *2148:25 *2474:mprj_io_vtrip_sel[3] 0.000377259 -*RES -1 *2456:pad_gpio_vtrip_sel *2175:13 33.0869 -2 *2175:13 *2175:14 82.3909 -3 *2175:14 *2474:mprj_io_vtrip_sel[3] 20.9664 -*END - -*D_NET *2176 0.0146443 -*CONN -*I *2474:mprj_io_vtrip_sel[4] I *D chip_io_alt -*I *2457:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_vtrip_sel[4] 0.000364599 -2 *2457:pad_gpio_vtrip_sel 0.000365075 -3 *2176:14 0.00130054 -4 *2176:13 0.00130101 -5 *2457:resetn *2176:13 0.000155558 -6 *2474:mprj_io_ib_mode_sel[4] *2474:mprj_io_vtrip_sel[4] 0.000194827 -7 *108:47 *2176:14 0.000988756 -8 *110:98 *2176:14 0.005214 -9 *1960:14 *2474:mprj_io_vtrip_sel[4] 0.00045785 -10 *1987:14 *2176:14 0.00419099 -11 *2122:14 *2176:14 7.26852e-05 -12 *2149:13 *2176:13 3.84497e-05 -*RES -1 *2457:pad_gpio_vtrip_sel *2176:13 21.4514 -2 *2176:13 *2176:14 84.0519 -3 *2176:14 *2474:mprj_io_vtrip_sel[4] 21.1362 -*END - -*D_NET *2177 0.014287 -*CONN -*I *2474:mprj_io_vtrip_sel[5] I *D chip_io_alt -*I *2458:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_vtrip_sel[5] 0.000325173 -2 *2458:pad_gpio_vtrip_sel 0.000529092 -3 *2177:14 0.00130329 -4 *2177:13 0.00150721 -5 *2458:resetn *2177:13 3.84497e-05 -6 *2474:mprj_io_dm[17] *2177:14 2.6506e-05 -7 *110:98 *2177:14 0.00529365 -8 *493:51 *2177:14 0.000880813 -9 *1866:14 *2177:14 0.00346392 -10 *1961:14 *2474:mprj_io_vtrip_sel[5] 3.87462e-05 -11 *2069:14 *2177:14 0 -12 *2096:13 *2177:13 0.000131328 -13 *2150:7 *2177:13 3.84497e-05 -14 *2150:8 *2177:13 0 -15 *2150:14 *2177:14 0.000710369 -*RES -1 *2458:pad_gpio_vtrip_sel *2177:13 25.6039 -2 *2177:13 *2177:14 85.2977 -3 *2177:14 *2474:mprj_io_vtrip_sel[5] 16.1144 -*END - -*D_NET *2178 0.0125562 -*CONN -*I *2474:mprj_io_vtrip_sel[6] I *D chip_io_alt -*I *2459:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_vtrip_sel[6] 0.000346458 -2 *2459:pad_gpio_vtrip_sel 0.000664389 -3 *2178:14 0.0014535 -4 *2178:13 0.00177143 -5 *2459:resetn *2178:13 3.84497e-05 -6 *2459:resetn *2178:14 0.000617283 -7 *110:98 *2178:14 7.70741e-05 -8 *507:18 *2474:mprj_io_vtrip_sel[6] 0.000133144 -9 *507:18 *2178:13 0 -10 *2016:19 *2178:14 0.00225214 -11 *2043:13 *2178:14 0.000418796 -12 *2070:13 *2178:13 0 -13 *2070:14 *2178:14 0.00442542 -14 *2097:8 *2178:13 3.61259e-05 -15 *2124:14 *2178:14 1.87125e-05 -16 *2151:15 *2178:13 0.000237476 -17 *2151:16 *2178:14 0 -18 *2151:21 *2474:mprj_io_vtrip_sel[6] 6.58333e-05 -*RES -1 *2459:pad_gpio_vtrip_sel *2178:13 29.2047 -2 *2178:13 *2178:14 82.3909 -3 *2178:14 *2474:mprj_io_vtrip_sel[6] 16.8949 -*END - -*D_NET *2179 0.0678254 -*CONN -*I *2474:mprj_io_vtrip_sel[7] I *D chip_io_alt -*I *2460:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_vtrip_sel[7] 0.000602722 -2 *2460:pad_gpio_vtrip_sel 0.000659131 -3 *2179:14 0.00780575 -4 *2179:13 0.00786216 -5 *2179:14 *2180:14 0.00141636 -6 *2460:resetn_out *2179:13 0 -7 *2474:mprj_io_ib_mode_sel[7] *2474:mprj_io_vtrip_sel[7] 0 -8 *477:35 *2179:13 0.000184544 -9 *478:32 *2179:14 5.58573e-06 -10 *1801:14 *2179:14 0.00301963 -11 *1802:14 *2179:14 0.000751736 -12 *1856:19 *2179:14 0.0062272 -13 *1872:14 *2179:14 0.00250173 -14 *1873:20 *2179:14 0.000800538 -15 *1875:14 *2179:14 0.000117376 -16 *1963:8 *2179:13 0.00139699 -17 *1964:16 *2179:14 0.000573166 -18 *1990:8 *2179:14 0 -19 *2098:13 *2179:13 0.00229407 -20 *2098:14 *2179:14 0.029532 -21 *2125:14 *2179:14 0.00198756 -22 *2152:10 *2179:13 8.71681e-05 -23 *2153:20 *2474:mprj_io_vtrip_sel[7] 0 -*RES -1 *2460:pad_gpio_vtrip_sel *2179:13 31.4664 -2 *2179:13 *2179:14 58.8026 -3 *2179:14 *2474:mprj_io_vtrip_sel[7] 7.40493 -*END - -*D_NET *2180 0.0745831 -*CONN -*I *2474:mprj_io_vtrip_sel[8] I *D chip_io_alt -*I *2449:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_vtrip_sel[8] 0.000462753 -2 *2449:pad_gpio_vtrip_sel 0.000561918 -3 *2180:14 0.00456144 -4 *2180:13 0.00466061 -5 *2180:14 *2181:8 9.11676e-05 -6 *2449:resetn *2180:13 3.18067e-05 -7 *2449:resetn_out *2180:13 0.000120971 -8 *2449:serial_clock_out *2180:13 1.7455e-05 -9 *2474:mprj_io_holdover[8] *2474:mprj_io_vtrip_sel[8] 5.3432e-06 -10 *2474:mprj_io_ib_mode_sel[8] *2474:mprj_io_vtrip_sel[8] 0 -11 *2474:mprj_io_out[8] *2474:mprj_io_vtrip_sel[8] 0.00015927 -12 *110:123 *2180:13 0.000369539 -13 *477:46 *2180:13 0.000122412 -14 *495:87 *2180:13 0.000158426 -15 *1874:34 *2180:14 0.000559692 -16 *1875:14 *2180:14 0.000490766 -17 *1878:14 *2180:14 0.000323246 -18 *1964:16 *2180:14 0.0256478 -19 *1965:8 *2180:14 1.83572e-05 -20 *1990:8 *2180:14 4.52006e-05 -21 *2017:13 *2180:14 0.000437916 -22 *2072:18 *2180:14 0.00261262 -23 *2073:44 *2474:mprj_io_vtrip_sel[8] 0.000656134 -24 *2125:14 *2180:14 0.000437916 -25 *2126:15 *2180:13 0.000258632 -26 *2126:16 *2180:14 0.0303189 -27 *2153:15 *2180:13 3.64213e-05 -28 *2179:14 *2180:14 0.00141636 -*RES -1 *2449:pad_gpio_vtrip_sel *2180:13 15.6432 -2 *2180:13 *2180:14 60.8601 -3 *2180:14 *2474:mprj_io_vtrip_sel[8] 15.4156 -*END - -*D_NET *2181 0.0743254 -*CONN -*I *2474:mprj_io_vtrip_sel[9] I *D chip_io_alt -*I *2450:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2474:mprj_io_vtrip_sel[9] 0.000430673 -2 *2450:pad_gpio_vtrip_sel 0.000896649 -3 *2181:8 0.00505334 -4 *2181:7 0.00551932 -5 *2450:resetn_out *2181:7 6.19346e-06 -6 *2474:mprj_io_out[10] *2181:8 4.8144e-05 -7 *2474:mprj_io_ib_mode_sel[9] *2474:mprj_io_vtrip_sel[9] 8.32935e-05 -8 *101:22 *2474:mprj_io_vtrip_sel[9] 7.88241e-05 -9 *1829:14 *2181:8 0.00142495 -10 *1830:14 *2181:8 0.000445769 -11 *1856:19 *2181:8 0.0107257 -12 *1877:14 *2181:8 0.000851878 -13 *1878:14 *2181:8 0.000550239 -14 *1879:26 *2181:8 0.000421174 -15 *1882:14 *2181:8 0.00012951 -16 *1940:10 *2181:8 0.000327914 -17 *1964:16 *2181:8 0.000519516 -18 *1965:8 *2181:8 0 -19 *1992:8 *2181:8 0.0317917 -20 *2048:14 *2181:8 0.00187368 -21 *2100:7 *2181:7 0 -22 *2102:10 *2181:8 0.0130286 -23 *2154:13 *2181:7 2.72062e-05 -24 *2180:14 *2181:8 9.11676e-05 -*RES -1 *2450:pad_gpio_vtrip_sel *2181:7 2.08436 -2 *2181:7 *2181:8 63.1462 -3 *2181:8 *2474:mprj_io_vtrip_sel[9] 6.08268 -*END - -*D_NET *2182 0.104848 -*CONN -*I *2473:wb_rst_i I *D user_analog_project_wrapper -*I *2472:user_reset O *D mgmt_protect -*CAP -1 *2473:wb_rst_i 0.00203468 -2 *2472:user_reset 0.00127131 -3 *2182:10 0.00203468 -4 *2182:8 0.0142005 -5 *2182:7 0.0154718 -6 *2182:8 *2196:8 0.015635 -7 *2472:mprj_ack_i_user *2182:7 0 -8 *989:5 *2182:7 0 -9 *1613:8 *2182:8 0.0312624 -10 *1626:8 *2182:8 0.000309013 -11 *1645:9 *2182:7 0 -12 *1648:7 *2182:7 0 -13 *1758:8 *2182:8 0.0226282 -*RES -1 *2472:user_reset *2182:7 33.8608 -2 *2182:7 *2182:8 757.757 -3 *2182:8 *2182:10 4.5 -4 *2182:10 *2473:wb_rst_i 54.6912 -*END - -*D_NET *2183 0.348162 -*CONN -*I *2471:wb_sel_i[0] I *D housekeeping -*I *2472:mprj_sel_o_core[0] I *D mgmt_protect -*I *2478:mprj_sel_o[0] O *D mgmt_core_wrapper -*CAP -1 *2471:wb_sel_i[0] 0.00123357 -2 *2472:mprj_sel_o_core[0] 0.00127096 -3 *2478:mprj_sel_o[0] 1.28869e-05 -4 *2183:39 0.0066029 -5 *2183:37 0.00643685 -6 *2183:19 0.00830034 -7 *2183:18 0.00702939 -8 *2183:16 0.00274092 -9 *2183:14 0.00312286 -10 *2183:5 0.00146236 -11 *2471:wb_sel_i[0] *2184:28 0 -12 *2183:19 *2185:10 0.0715005 -13 *2183:39 *2185:27 0.0802503 -14 *2183:39 *2195:27 0.0811001 -15 *2472:mprj_adr_o_core[1] *2472:mprj_sel_o_core[0] 0 -16 *2472:mprj_dat_o_core[0] *2472:mprj_sel_o_core[0] 0 -17 *100:77 *2183:37 0.00027253 -18 *480:17 *2183:37 0.000664751 -19 *609:13 *2472:mprj_sel_o_core[0] 0.000138841 -20 *1257:16 *2183:19 0.000419943 -21 *1271:16 *2183:19 0.00203988 -22 *1582:16 *2183:19 0 -23 *1592:7 *2183:14 0 -24 *1592:7 *2183:16 0 -25 *1592:23 *2183:16 0 -26 *1713:7 *2183:14 0 -27 *1713:7 *2183:16 0 -28 *1713:10 *2183:19 0.0727757 -29 *1713:24 *2183:14 0 -30 *1724:27 *2183:37 0.000683502 -31 *1735:24 *2183:19 0.000102747 -32 *1737:28 *2471:wb_sel_i[0] 0 -*RES -1 *2478:mprj_sel_o[0] *2183:5 0.366399 -2 *2183:5 *2183:14 7.71881 -3 *2183:14 *2183:16 59.1368 -4 *2183:16 *2183:18 3.36879 -5 *2183:18 *2183:19 93.5209 -6 *2183:19 *2472:mprj_sel_o_core[0] 30.8609 -7 *2183:5 *2183:37 42.2538 -8 *2183:37 *2183:39 851.485 -9 *2183:39 *2471:wb_sel_i[0] 38.4652 -*END - -*D_NET *2184 0.35398 -*CONN -*I *2471:wb_sel_i[1] I *D housekeeping -*I *2472:mprj_sel_o_core[1] I *D mgmt_protect -*I *2478:mprj_sel_o[1] O *D mgmt_core_wrapper -*CAP -1 *2471:wb_sel_i[1] 1.28869e-05 -2 *2472:mprj_sel_o_core[1] 0.00229757 -3 *2478:mprj_sel_o[1] 0.000903294 -4 *2184:28 0.00257507 -5 *2184:27 0.00256218 -6 *2184:25 0.0325296 -7 *2184:18 0.00229757 -8 *2184:16 0.00762203 -9 *2184:15 0.00886013 -10 *2184:9 0.034671 -11 *2184:25 *2186:10 0.0893261 -12 *2184:28 *2471:wb_sel_i[2] 0 -13 *2184:28 *2186:13 0 -14 *2471:wb_sel_i[0] *2184:28 0 -15 *2472:mprj_adr_o_core[2] *2472:mprj_sel_o_core[1] 0 -16 *2472:mprj_dat_o_core[1] *2472:mprj_sel_o_core[1] 0 -17 *607:13 *2472:mprj_sel_o_core[1] 0.000699164 -18 *985:12 *2184:16 0.000281841 -19 *986:12 *2184:16 1.9628e-06 -20 *1593:10 *2184:25 0.0034499 -21 *1593:30 *2184:16 0.00248873 -22 *1594:10 *2184:25 0.00634336 -23 *1656:8 *2184:25 0.000709571 -24 *1659:8 *2184:16 0.000101365 -25 *1660:17 *2184:15 0.000682521 -26 *1718:10 *2184:15 0 -27 *1718:10 *2184:25 0 -28 *1720:10 *2184:25 0.000551302 -29 *1722:10 *2184:25 0.000557532 -30 *1724:9 *2184:15 0 -31 *1724:10 *2184:16 0.0734052 -32 *1731:10 *2184:25 0.00330201 -33 *1735:10 *2184:25 0.00132602 -34 *1738:10 *2184:16 0.0718148 -35 *1743:10 *2184:25 0.00460762 -*RES -1 *2478:mprj_sel_o[1] *2184:9 25.4993 -2 *2184:9 *2184:15 22.4538 -3 *2184:15 *2184:16 93.7504 -4 *2184:16 *2184:18 3.36879 -5 *2184:18 *2472:mprj_sel_o_core[1] 51.5768 -6 *2184:9 *2184:25 119.681 -7 *2184:25 *2184:27 3.36879 -8 *2184:27 *2184:28 65.7808 -9 *2184:28 *2471:wb_sel_i[1] 0.366399 -*END - -*D_NET *2185 0.341218 -*CONN -*I *2471:wb_sel_i[2] I *D housekeeping -*I *2472:mprj_sel_o_core[2] I *D mgmt_protect -*I *2478:mprj_sel_o[2] O *D mgmt_core_wrapper -*CAP -1 *2471:wb_sel_i[2] 0.00124816 -2 *2472:mprj_sel_o_core[2] 0.00128658 -3 *2478:mprj_sel_o[2] 0.00063488 -4 *2185:27 0.00818045 -5 *2185:25 0.00747183 -6 *2185:10 0.00840717 -7 *2185:9 0.00712059 -8 *2185:7 0.00279278 -9 *2185:5 0.00288812 -10 *2471:wb_sel_i[2] *2186:13 0 -11 *2185:27 *2195:27 0.000250542 -12 *2472:mprj_adr_o_core[3] *2472:mprj_sel_o_core[2] 0 -13 *2472:mprj_dat_o_core[2] *2472:mprj_sel_o_core[2] 0 -14 *1269:16 *2185:10 0.00152795 -15 *1273:16 *2185:10 0.00200575 -16 *1582:16 *2185:10 0 -17 *1606:9 *2185:25 0 -18 *1673:8 *2185:10 0.000224106 -19 *1713:33 *2185:27 0.0698415 -20 *1716:27 *2185:27 0 -21 *1724:33 *2185:27 0.000137345 -22 *1735:7 *2185:7 0 -23 *1735:23 *2185:7 0 -24 *1735:24 *2185:10 0.0752063 -25 *1738:33 *2185:27 0.000122714 -26 *1740:31 *2185:27 8.8758e-05 -27 *1742:7 *2185:27 3.18408e-05 -28 *1744:33 *2185:27 0 -29 *2183:19 *2185:10 0.0715005 -30 *2183:39 *2185:27 0.0802503 -31 *2184:28 *2471:wb_sel_i[2] 0 -*RES -1 *2478:mprj_sel_o[2] *2185:5 2.05183 -2 *2185:5 *2185:7 60.7978 -3 *2185:7 *2185:9 3.36879 -4 *2185:9 *2185:10 96.504 -5 *2185:10 *2472:mprj_sel_o_core[2] 30.0304 -6 *2478:mprj_sel_o[2] *2185:25 15.8884 -7 *2185:25 *2185:27 848.712 -8 *2185:27 *2471:wb_sel_i[2] 38.8804 -*END - -*D_NET *2186 0.392943 -*CONN -*I *2472:mprj_sel_o_core[3] I *D mgmt_protect -*I *2471:wb_sel_i[3] I *D housekeeping -*I *2478:mprj_sel_o[3] O *D mgmt_core_wrapper -*CAP -1 *2472:mprj_sel_o_core[3] 0.00268802 -2 *2471:wb_sel_i[3] 1.28869e-05 -3 *2478:mprj_sel_o[3] 0.000821321 -4 *2186:26 0.00268802 -5 *2186:24 0.0100937 -6 *2186:23 0.0106662 -7 *2186:13 0.00260117 -8 *2186:12 0.00258829 -9 *2186:10 0.0111215 -10 *2186:9 0.0111215 -11 *2186:7 0.00139384 -12 *2186:13 *2471:wb_we_i 0 -13 *2471:wb_sel_i[2] *2186:13 0 -14 *2472:mprj_adr_o_core[4] *2472:mprj_sel_o_core[3] 0 -15 *2472:mprj_dat_o_core[3] *2472:mprj_sel_o_core[3] 0 -16 *862:10 *2186:24 0.000209972 -17 *986:12 *2186:24 0.000753182 -18 *987:12 *2186:24 0.000177027 -19 *1121:10 *2186:24 0.000724555 -20 *1596:10 *2186:24 0.00275653 -21 *1606:24 *2186:23 0 -22 *1607:9 *2186:7 0.000591946 -23 *1607:9 *2186:23 8.3205e-05 -24 *1663:14 *2186:24 0.00253422 -25 *1674:5 *2472:mprj_sel_o_core[3] 0 -26 *1714:27 *2186:10 0.00434774 -27 *1715:10 *2186:10 0.0039392 -28 *1719:10 *2186:10 0.000156019 -29 *1735:10 *2186:10 0.079923 -30 *1738:10 *2186:24 0.000563713 -31 *1740:12 *2186:24 0.0759981 -32 *1741:10 *2186:10 0.000499585 -33 *1742:30 *2186:24 0.0745623 -34 *2184:25 *2186:10 0.0893261 -35 *2184:28 *2186:13 0 -*RES -1 *2478:mprj_sel_o[3] *2186:7 24.6464 -2 *2186:7 *2186:9 3.36879 -3 *2186:9 *2186:10 114.479 -4 *2186:10 *2186:12 3.36879 -5 *2186:12 *2186:13 66.6113 -6 *2186:13 *2471:wb_sel_i[3] 0.366399 -7 *2186:7 *2186:23 14.3363 -8 *2186:23 *2186:24 98.7222 -9 *2186:24 *2186:26 3.36879 -10 *2186:26 *2472:mprj_sel_o_core[3] 54.0683 -*END - -*D_NET *2187 0.190804 -*CONN -*I *2473:wbs_sel_i[0] I *D user_analog_project_wrapper -*I *2472:mprj_sel_o_user[0] O *D mgmt_protect -*CAP -1 *2473:wbs_sel_i[0] 0.00204751 -2 *2472:mprj_sel_o_user[0] 0.00130332 -3 *2187:10 0.00204751 -4 *2187:8 0.00558447 -5 *2187:7 0.00688778 -6 *2187:8 *2196:8 0.0777299 -7 *2472:mprj_dat_i_user[0] *2187:7 0 -8 *1613:8 *2187:8 0.00869571 -9 *1624:7 *2187:7 0 -10 *1624:8 *2187:8 0.078061 -11 *1639:13 *2187:7 0 -12 *1681:8 *2187:8 0.00799443 -13 *1745:7 *2187:7 0 -14 *1750:8 *2187:8 0.000452673 -*RES -1 *2472:mprj_sel_o_user[0] *2187:7 33.3524 -2 *2187:7 *2187:8 99.7166 -3 *2187:8 *2187:10 3.36879 -4 *2187:10 *2473:wbs_sel_i[0] 54.0683 -*END - -*D_NET *2188 0.181461 -*CONN -*I *2473:wbs_sel_i[1] I *D user_analog_project_wrapper -*I *2472:mprj_sel_o_user[1] O *D mgmt_protect -*CAP -1 *2473:wbs_sel_i[1] 0.00140219 -2 *2472:mprj_sel_o_user[1] 0.00224647 -3 *2188:8 0.010881 -4 *2188:7 0.00947886 -5 *2188:5 0.00224647 -6 *2188:8 *2192:8 0.0739916 -7 *2473:la_data_in[2] *2188:5 0.000157739 -8 *1633:13 *2188:5 0 -9 *1635:5 *2188:5 0 -10 *1635:8 *2188:8 0.000322757 -11 *1639:14 *2188:8 0.000199658 -12 *1692:8 *2188:8 0.00066078 -13 *1708:8 *2188:8 0.00236014 -14 *1710:8 *2188:8 0.00190188 -15 *1712:8 *2188:8 0.000627723 -16 *1756:7 *2188:5 0 -17 *1767:10 *2188:8 0.0749833 -*RES -1 *2472:mprj_sel_o_user[1] *2188:5 47.4242 -2 *2188:5 *2188:7 3.36879 -3 *2188:7 *2188:8 97.1924 -4 *2188:8 *2473:wbs_sel_i[1] 39.9965 -*END - -*D_NET *2189 0.174592 -*CONN -*I *2473:wbs_sel_i[2] I *D user_analog_project_wrapper -*I *2472:mprj_sel_o_user[2] O *D mgmt_protect -*CAP -1 *2473:wbs_sel_i[2] 0.00195102 -2 *2472:mprj_sel_o_user[2] 0.00146278 -3 *2189:10 0.00195102 -4 *2189:8 0.00640834 -5 *2189:7 0.00787112 -6 *2473:la_oenb[2] *2189:7 0 -7 *1614:8 *2189:8 0.00558952 -8 *1638:7 *2189:7 0 -9 *1703:8 *2189:8 0.074329 -10 *1746:8 *2189:8 0.00477669 -11 *1750:8 *2189:8 0 -12 *1767:7 *2189:7 0 -13 *1771:8 *2189:8 0.0702528 -*RES -1 *2472:mprj_sel_o_user[2] *2189:7 36.6745 -2 *2189:7 *2189:8 94.8212 -3 *2189:8 *2189:10 3.36879 -4 *2189:10 *2473:wbs_sel_i[2] 50.7463 -*END - -*D_NET *2190 0.170573 -*CONN -*I *2473:wbs_sel_i[3] I *D user_analog_project_wrapper -*I *2472:mprj_sel_o_user[3] O *D mgmt_protect -*CAP -1 *2473:wbs_sel_i[3] 0.00131457 -2 *2472:mprj_sel_o_user[3] 0.00237185 -3 *2190:8 0.0101578 -4 *2190:7 0.00884319 -5 *2190:5 0.00237185 -6 *2472:mprj_dat_i_user[4] *2190:5 0 -7 *2473:la_data_in[3] *2190:5 0.000143931 -8 *1619:8 *2190:8 0.00147798 -9 *1639:10 *2190:5 0 -10 *1641:8 *2190:8 0.000121359 -11 *1688:8 *2190:8 0.00117777 -12 *1692:8 *2190:8 0.069545 -13 *1712:8 *2190:8 0 -14 *1751:8 *2190:8 0.00010238 -15 *1767:10 *2190:8 0.000595257 -16 *1770:5 *2190:5 0 -17 *1770:8 *2190:8 0.0723506 -*RES -1 *2472:mprj_sel_o_user[3] *2190:5 49.9158 -2 *2190:5 *2190:7 3.36879 -3 *2190:7 *2190:8 92.297 -4 *2190:8 *2473:wbs_sel_i[3] 37.505 -*END - -*D_NET *2191 0.140597 -*CONN -*I *2472:mprj_stb_o_core I *D mgmt_protect -*I *2478:mprj_stb_o O *D mgmt_core_wrapper -*CAP -1 *2472:mprj_stb_o_core 0.000915139 -2 *2478:mprj_stb_o 0.00187889 -3 *2191:16 0.00603103 -4 *2191:15 0.00622532 -5 *2191:12 0.00189453 -6 *2191:9 0.00266398 -7 *2472:mprj_stb_o_core *2472:mprj_we_o_core 0 -8 *2472:mprj_cyc_o_core *2472:mprj_stb_o_core 0 -9 *606:8 *2191:16 0.00033964 -10 *608:8 *2191:16 0.000159331 -11 *635:11 *2191:15 0.00155927 -12 *730:8 *2191:16 0.000802118 -13 *863:15 *2472:mprj_stb_o_core 1.44467e-05 -14 *1147:7 *2191:15 0 -15 *1590:18 *2191:16 0 -16 *1647:9 *2191:9 0 -17 *1647:25 *2191:9 5.22654e-06 -18 *1649:8 *2191:16 0.00033203 -19 *1659:8 *2191:12 0.000422861 -20 *1660:8 *2191:16 0.055114 -21 *1662:14 *2191:16 0.000290632 -22 *1671:8 *2191:16 0.0574594 -23 *1717:24 *2191:16 0.00448941 -*RES -1 *2478:mprj_stb_o *2191:9 44.7184 -2 *2191:9 *2191:12 29.626 -3 *2191:12 *2191:15 34.5691 -4 *2191:15 *2191:16 631.862 -5 *2191:16 *2472:mprj_stb_o_core 23.8947 -*END - -*D_NET *2192 0.189208 -*CONN -*I *2473:wbs_stb_i I *D user_analog_project_wrapper -*I *2472:mprj_stb_o_user O *D mgmt_protect -*CAP -1 *2473:wbs_stb_i 0.00142238 -2 *2472:mprj_stb_o_user 0.00230516 -3 *2192:8 0.0120616 -4 *2192:7 0.0129444 -5 *2192:7 *2196:7 0 -6 *2473:la_data_in[1] *2192:7 0 -7 *1639:14 *2192:8 0.00253186 -8 *1648:7 *2192:7 0 -9 *1648:8 *2192:8 0.0806772 -10 *1692:8 *2192:8 0.000198316 -11 *1706:8 *2192:8 0.00295362 -12 *1712:8 *2192:8 0.000121359 -13 *2188:8 *2192:8 0.0739916 -*RES -1 *2472:mprj_stb_o_user *2192:7 49.9625 -2 *2192:7 *2192:8 102.776 -3 *2192:8 *2473:wbs_stb_i 40.827 -*END - -*D_NET *2193 0.262072 -*CONN -*I *2471:usr1_vcc_pwrgood I *D housekeeping -*I *2472:user1_vcc_powergood O *D mgmt_protect -*CAP -1 *2471:usr1_vcc_pwrgood 0.00159892 -2 *2472:user1_vcc_powergood 0.00138374 -3 *2193:11 0.0187183 -4 *2193:10 0.0171194 -5 *2193:8 0.00352767 -6 *2193:7 0.00491141 -7 *2193:8 *2194:8 0.00521643 -8 *2193:11 *2194:11 0.127205 -9 *2471:usr2_vcc_pwrgood *2471:usr1_vcc_pwrgood 0 -10 *2471:wb_cyc_i *2471:usr1_vcc_pwrgood 0 -11 *485:44 *2193:11 0.0158453 -12 *1127:9 *2193:8 0.000501462 -13 *1573:10 *2193:11 0 -14 *1577:16 *2193:8 0.000292789 -15 *1611:25 *2193:11 0.002931 -16 *1713:25 *2193:11 0.00625285 -17 *1720:24 *2193:11 0.0552514 -18 *1726:25 *2193:11 0.000597677 -19 *1728:31 *2193:11 0.000128915 -20 *1730:25 *2193:11 8.8758e-05 -21 *1734:25 *2193:11 6.36816e-05 -22 *1736:23 *2193:11 0 -23 *1744:31 *2193:11 0.000436811 -*RES -1 *2472:user1_vcc_powergood *2193:7 8.48033 -2 *2193:7 *2193:8 101.285 -3 *2193:8 *2193:10 4.5 -4 *2193:10 *2193:11 1333.44 -5 *2193:11 *2471:usr1_vcc_pwrgood 46.7702 -*END - -*D_NET *2194 0.305947 -*CONN -*I *2471:usr1_vdd_pwrgood I *D housekeeping -*I *2472:user1_vdd_powergood O *D mgmt_protect -*CAP -1 *2471:usr1_vdd_pwrgood 0.0015651 -2 *2472:user1_vdd_powergood 0.00135118 -3 *2194:11 0.00965808 -4 *2194:10 0.00809298 -5 *2194:8 0.00383651 -6 *2194:7 0.00518769 -7 *2471:usr2_vcc_pwrgood *2471:usr1_vdd_pwrgood 0 -8 *2471:usr2_vdd_pwrgood *2471:usr1_vdd_pwrgood 0 -9 *498:17 *2194:11 0.0146638 -10 *870:7 *2194:8 0 -11 *1573:10 *2194:11 0 -12 *1577:8 *2194:8 0.00158929 -13 *1577:16 *2194:8 6.1578e-06 -14 *1577:17 *2194:11 0.127414 -15 *1578:12 *2194:8 0 -16 *1578:15 *2194:11 0.000161493 -17 *2193:8 *2194:8 0.00521643 -18 *2193:11 *2194:11 0.127205 -*RES -1 *2472:user1_vdd_powergood *2194:7 8.40384 -2 *2194:7 *2194:8 120.802 -3 *2194:8 *2194:10 4.5 -4 *2194:10 *2194:11 1339.54 -5 *2194:11 *2471:usr1_vdd_pwrgood 46.355 -*END - -*D_NET *2195 0.353445 -*CONN -*I *2471:wb_we_i I *D housekeeping -*I *2472:mprj_we_o_core I *D mgmt_protect -*I *2478:mprj_we_o O *D mgmt_core_wrapper -*CAP -1 *2471:wb_we_i 0.00121214 -2 *2472:mprj_we_o_core 0.00239898 -3 *2478:mprj_we_o 0.00180199 -4 *2195:27 0.00769897 -5 *2195:25 0.00708402 -6 *2195:12 0.00239898 -7 *2195:10 0.0303593 -8 *2195:9 0.0315641 -9 *2471:wb_cyc_i *2471:wb_we_i 0 -10 *2472:mprj_adr_o_core[0] *2472:mprj_we_o_core 0 -11 *2472:mprj_stb_o_core *2472:mprj_we_o_core 0 -12 *728:11 *2472:mprj_we_o_core 0.00201616 -13 *863:15 *2472:mprj_we_o_core 0 -14 *1240:9 *2472:mprj_we_o_core 0 -15 *1246:12 *2195:10 0.00932531 -16 *1248:10 *2195:10 0.0137119 -17 *1581:7 *2195:9 0 -18 *1581:7 *2195:25 0 -19 *1581:21 *2195:9 0 -20 *1607:16 *2195:10 0.000369269 -21 *1609:19 *2195:10 0.000419621 -22 *1611:10 *2195:10 0.0018508 -23 *1647:27 *2195:27 0.0870754 -24 *1649:7 *2472:mprj_we_o_core 0 -25 *1650:8 *2195:10 0.00244147 -26 *1652:12 *2195:10 0.00191027 -27 *1654:10 *2195:10 0.00262915 -28 *1676:8 *2195:10 0.000643073 -29 *1678:8 *2195:10 0.00160888 -30 *1680:8 *2195:10 0.00215045 -31 *1714:16 *2195:10 0.000151238 -32 *1716:10 *2195:10 0.000576645 -33 *1718:10 *2195:10 0.0606962 -34 *2183:39 *2195:27 0.0811001 -35 *2185:27 *2195:27 0.000250542 -36 *2186:13 *2471:wb_we_i 0 -*RES -1 *2478:mprj_we_o *2195:9 32.1433 -2 *2195:9 *2195:10 91.9146 -3 *2195:10 *2195:12 3.36879 -4 *2195:12 *2472:mprj_we_o_core 59.0513 -5 *2478:mprj_we_o *2195:25 17.8319 -6 *2195:25 *2195:27 914.156 -7 *2195:27 *2471:wb_we_i 38.0499 -*END - -*D_NET *2196 0.164975 -*CONN -*I *2473:wbs_we_i I *D user_analog_project_wrapper -*I *2472:mprj_we_o_user O *D mgmt_protect -*CAP -1 *2473:wbs_we_i 0.00206991 -2 *2472:mprj_we_o_user 0.00125049 -3 *2196:10 0.00206991 -4 *2196:8 0.0107686 -5 *2196:7 0.0120191 -6 *1613:7 *2196:7 0 -7 *1750:8 *2196:8 0.043432 -8 *2182:8 *2196:8 0.015635 -9 *2187:8 *2196:8 0.0777299 -10 *2192:7 *2196:7 0 -*RES -1 *2472:mprj_we_o_user *2196:7 32.5219 -2 *2196:7 *2196:8 102.164 -3 *2196:8 *2196:10 3.36879 -4 *2196:10 *2473:wbs_we_i 54.8988 -*END - -*D_NET *2197 0.000177278 -*CONN -*I *2455:mgmt_gpio_oeb I *D gpio_control_block -*I *2455:one O *D gpio_control_block -*CAP -1 *2455:mgmt_gpio_oeb 8.86388e-05 -2 *2455:one 8.86388e-05 -*RES -1 *2455:one *2455:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2198 0.000177278 -*CONN -*I *2453:mgmt_gpio_oeb I *D gpio_control_block -*I *2453:one O *D gpio_control_block -*CAP -1 *2453:mgmt_gpio_oeb 8.86388e-05 -2 *2453:one 8.86388e-05 -*RES -1 *2453:one *2453:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2199 0.000177278 -*CONN -*I *2454:mgmt_gpio_oeb I *D gpio_control_block -*I *2454:one O *D gpio_control_block -*CAP -1 *2454:mgmt_gpio_oeb 8.86388e-05 -2 *2454:one 8.86388e-05 -*RES -1 *2454:one *2454:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2200 0.000177278 -*CONN -*I *2456:mgmt_gpio_oeb I *D gpio_control_block -*I *2456:one O *D gpio_control_block -*CAP -1 *2456:mgmt_gpio_oeb 8.86388e-05 -2 *2456:one 8.86388e-05 -*RES -1 *2456:one *2456:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2201 0.000177278 -*CONN -*I *2457:mgmt_gpio_oeb I *D gpio_control_block -*I *2457:one O *D gpio_control_block -*CAP -1 *2457:mgmt_gpio_oeb 8.86388e-05 -2 *2457:one 8.86388e-05 -*RES -1 *2457:one *2457:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2202 0.000177278 -*CONN -*I *2458:mgmt_gpio_oeb I *D gpio_control_block -*I *2458:one O *D gpio_control_block -*CAP -1 *2458:mgmt_gpio_oeb 8.86388e-05 -2 *2458:one 8.86388e-05 -*RES -1 *2458:one *2458:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2203 0.000177278 -*CONN -*I *2459:mgmt_gpio_oeb I *D gpio_control_block -*I *2459:one O *D gpio_control_block -*CAP -1 *2459:mgmt_gpio_oeb 8.86388e-05 -2 *2459:one 8.86388e-05 -*RES -1 *2459:one *2459:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2204 0.000177278 -*CONN -*I *2460:mgmt_gpio_oeb I *D gpio_control_block -*I *2460:one O *D gpio_control_block -*CAP -1 *2460:mgmt_gpio_oeb 8.86388e-05 -2 *2460:one 8.86388e-05 -*RES -1 *2460:one *2460:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2205 0.000177278 -*CONN -*I *2449:mgmt_gpio_oeb I *D gpio_control_block -*I *2449:one O *D gpio_control_block -*CAP -1 *2449:mgmt_gpio_oeb 8.86388e-05 -2 *2449:one 8.86388e-05 -*RES -1 *2449:one *2449:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2206 0.000177278 -*CONN -*I *2450:mgmt_gpio_oeb I *D gpio_control_block -*I *2450:one O *D gpio_control_block -*CAP -1 *2450:mgmt_gpio_oeb 8.86388e-05 -2 *2450:one 8.86388e-05 -*RES -1 *2450:one *2450:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2207 0.000295041 -*CONN -*I *2451:mgmt_gpio_oeb I *D gpio_control_block -*I *2451:one O *D gpio_control_block -*CAP -1 *2451:mgmt_gpio_oeb 0.00014752 -2 *2451:one 0.00014752 -*RES -1 *2451:one *2451:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2208 0.000177278 -*CONN -*I *2452:mgmt_gpio_oeb I *D gpio_control_block -*I *2452:one O *D gpio_control_block -*CAP -1 *2452:mgmt_gpio_oeb 8.86388e-05 -2 *2452:one 8.86388e-05 -*RES -1 *2452:one *2452:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2209 0.000177278 -*CONN -*I *2461:mgmt_gpio_oeb I *D gpio_control_block -*I *2461:one O *D gpio_control_block -*CAP -1 *2461:mgmt_gpio_oeb 8.86388e-05 -2 *2461:one 8.86388e-05 -*RES -1 *2461:one *2461:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2210 0.000177278 -*CONN -*I *2462:mgmt_gpio_oeb I *D gpio_control_block -*I *2462:one O *D gpio_control_block -*CAP -1 *2462:mgmt_gpio_oeb 8.86388e-05 -2 *2462:one 8.86388e-05 -*RES -1 *2462:one *2462:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2211 0.000177278 -*CONN -*I *2463:mgmt_gpio_oeb I *D gpio_control_block -*I *2463:one O *D gpio_control_block -*CAP -1 *2463:mgmt_gpio_oeb 8.86388e-05 -2 *2463:one 8.86388e-05 -*RES -1 *2463:one *2463:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2212 0.000177278 -*CONN -*I *2464:mgmt_gpio_oeb I *D gpio_control_block -*I *2464:one O *D gpio_control_block -*CAP -1 *2464:mgmt_gpio_oeb 8.86388e-05 -2 *2464:one 8.86388e-05 -*RES -1 *2464:one *2464:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2213 0.000280564 -*CONN -*I *2465:mgmt_gpio_oeb I *D gpio_control_block -*I *2465:one O *D gpio_control_block -*CAP -1 *2465:mgmt_gpio_oeb 0.000140282 -2 *2465:one 0.000140282 -*RES -1 *2465:one *2465:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2214 0.000280564 -*CONN -*I *2466:mgmt_gpio_oeb I *D gpio_control_block -*I *2466:one O *D gpio_control_block -*CAP -1 *2466:mgmt_gpio_oeb 0.000140282 -2 *2466:one 0.000140282 -*RES -1 *2466:one *2466:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2215 0.000177278 -*CONN -*I *2467:mgmt_gpio_oeb I *D gpio_control_block -*I *2467:one O *D gpio_control_block -*CAP -1 *2467:mgmt_gpio_oeb 8.86388e-05 -2 *2467:one 8.86388e-05 -*RES -1 *2467:one *2467:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2216 0.000177278 -*CONN -*I *2468:mgmt_gpio_oeb I *D gpio_control_block -*I *2468:one O *D gpio_control_block -*CAP -1 *2468:mgmt_gpio_oeb 8.86388e-05 -2 *2468:one 8.86388e-05 -*RES -1 *2468:one *2468:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2217 0.000280564 -*CONN -*I *2469:mgmt_gpio_oeb I *D gpio_control_block -*I *2469:one O *D gpio_control_block -*CAP -1 *2469:mgmt_gpio_oeb 0.000140282 -2 *2469:one 0.000140282 -*RES -1 *2469:one *2469:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2218 0.000177278 -*CONN -*I *2470:mgmt_gpio_oeb I *D gpio_control_block -*I *2470:one O *D gpio_control_block -*CAP -1 *2470:mgmt_gpio_oeb 8.86388e-05 -2 *2470:one 8.86388e-05 -*RES -1 *2470:one *2470:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2219 0.404578 -*CONN -*I *2416:pll_clk I *D caravel_clocking -*I *2475:clockp[1] O *D digital_pll -*CAP -1 *2416:pll_clk 0.00117109 -2 *2475:clockp[1] 0.00131123 -3 *2219:19 0.00156774 -4 *2219:14 0.00482306 -5 *2219:13 0.0044264 -6 *2219:11 0.0497636 -7 *2219:10 0.0497636 -8 *2219:8 0.00609354 -9 *2219:7 0.00740476 -10 *2219:7 *2475:div[0] 0 -11 *2219:7 *2220:7 0 -12 *2219:8 *2220:8 0.00711214 -13 *2219:8 *2232:13 0 -14 *2219:11 *2220:11 0.22847 -15 *2474:flash_io1_oeb_core *2219:11 0 -16 *78:16 *2219:11 0.0407366 -17 *84:22 *2219:11 0.0014102 -18 *484:10 *2219:11 0.000524076 -*RES -1 *2475:clockp[1] *2219:7 8.32734 -2 *2219:7 *2219:8 239.149 -3 *2219:8 *2219:10 4.5 -4 *2219:10 *2219:11 2404.38 -5 *2219:11 *2219:13 4.5 -6 *2219:13 *2219:14 125.577 -7 *2219:14 *2219:19 19.7063 -8 *2219:19 *2416:pll_clk 33.1591 -*END - -*D_NET *2220 0.538562 -*CONN -*I *2416:pll_clk90 I *D caravel_clocking -*I *2475:clockp[0] O *D digital_pll -*CAP -1 *2416:pll_clk90 0.00127332 -2 *2475:clockp[0] 0.00126607 -3 *2220:19 0.00165021 -4 *2220:14 0.00472248 -5 *2220:13 0.0043456 -6 *2220:11 0.0138787 -7 *2220:10 0.0138787 -8 *2220:8 0.00589444 -9 *2220:7 0.00716051 -10 *2220:11 *2232:16 2.01874e-05 -11 *2220:11 *2233:16 0.22532 -12 *2220:11 *2241:16 1.4091e-06 -13 *2220:11 *2242:10 3.31745e-05 -14 *78:16 *2220:11 0.02277 -15 *84:22 *2220:11 0.000765267 -16 *2219:7 *2220:7 0 -17 *2219:8 *2220:8 0.00711214 -18 *2219:11 *2220:11 0.22847 -*RES -1 *2475:clockp[0] *2220:7 8.17437 -2 *2220:7 *2220:8 233.75 -3 *2220:8 *2220:10 4.5 -4 *2220:10 *2220:11 2388.85 -5 *2220:11 *2220:13 4.5 -6 *2220:13 *2220:14 123.086 -7 *2220:14 *2220:19 19.1517 -8 *2220:19 *2416:pll_clk90 36.0659 -*END - -*D_NET *2221 0.210367 -*CONN -*I *2474:por I *D chip_io_alt -*I *2476:por_l I *D simple_por -*CAP -1 *2474:por 0.000530546 -2 *2476:por_l 0.000843475 -3 *2221:13 0.101064 -4 *2221:12 0.101377 -5 *2221:13 *2232:16 0 -6 *2221:13 *2241:16 0 -7 *2474:flash_csb_oeb_core *2221:13 0.000156624 -8 *76:21 *2221:13 0.000292937 -9 *91:28 *2221:13 0.00610192 -*RES -1 *2476:por_l *2221:12 29.3135 -2 *2221:12 *2221:13 2813.67 -3 *2221:13 *2474:por 19.2537 -*END - -*D_NET *2222 1.23477 -*CONN -*I *2474:porb_h I *D chip_io_alt -*I *2476:porb_h I *D simple_por -*CAP -1 *2474:porb_h 0.00141757 -2 *2476:porb_h 0.00113663 -3 *2222:36 0.0667575 -4 *2222:35 0.0653399 -5 *2222:33 0.0248595 -6 *2222:32 0.0248595 -7 *2222:30 0.00332641 -8 *2222:29 0.00339675 -9 *2222:24 0.00201983 -10 *2222:23 0.00204709 -11 *2222:16 0.000553747 -12 *2222:12 0.0224268 -13 *2222:11 0.0231073 -14 *2222:11 *2223:7 0 -15 *2222:24 *2394:24 0.000602507 -16 *2471:mgmt_gpio_in[2] *2222:12 0.00130366 -17 *2471:mgmt_gpio_in[3] *2222:12 0.00130366 -18 *100:77 *2222:29 0.000154145 -19 *105:27 *2222:33 0.353529 -20 *470:16 *2222:30 0.0221395 -21 *472:23 *2222:33 0.353525 -22 *475:34 *2222:33 0.00133075 -23 *525:8 *2222:12 0 -24 *525:8 *2222:24 0.0134757 -25 *1551:29 *2222:36 0.185368 -26 *1554:27 *2222:36 1.2693e-05 -27 *1573:7 *2222:30 0 -28 *2020:11 *2222:12 0.000973065 -29 *2020:15 *2222:12 0.0159439 -30 *2020:21 *2222:16 0.00293839 -31 *2020:21 *2222:30 0.0231806 -32 *2031:11 *2222:12 9.71323e-06 -33 *2031:11 *2222:16 0.00297387 -34 *2031:15 *2222:24 0.0147182 -35 *2038:11 *2222:36 9.99386e-06 -36 *2053:8 *2474:porb_h 4.88112e-06 -37 *2134:8 *2474:porb_h 2.37478e-05 -*RES -1 *2476:porb_h *2222:11 7.74037 -2 *2222:11 *2222:12 742.642 -3 *2222:12 *2222:16 49.012 -4 *2222:16 *2222:23 11.122 -5 *2222:23 *2222:24 235.204 -6 *2222:24 *2222:29 10.8326 -7 *2222:29 *2222:30 371.822 -8 *2222:30 *2222:32 4.5 -9 *2222:32 *2222:33 3727.66 -10 *2222:33 *2222:35 4.5 -11 *2222:35 *2222:36 2992.48 -12 *2222:36 *2474:porb_h 45.4706 -*END - -*D_NET *2223 0.0524904 -*CONN -*I *2471:porb I *D housekeeping -*I *2476:porb_l I *D simple_por -*CAP -1 *2471:porb 0.000618272 -2 *2476:porb_l 0.000835986 -3 *2223:15 0.00387092 -4 *2223:13 0.00339019 -5 *2223:11 0.00655926 -6 *2223:10 0.00642171 -7 *2223:8 0.0102854 -8 *2223:7 0.0111214 -9 *2223:11 *2475:ext_trim[20] 0 -10 *2223:11 *2257:15 0.000170642 -11 *2223:11 *2261:12 0 -12 *2223:15 *2475:ext_trim[10] 7.0656e-05 -13 *2223:15 *2475:ext_trim[11] 6.77202e-05 -14 *2223:15 *2233:10 0.000202245 -15 *2223:15 *2239:12 2.18041e-06 -16 *2223:15 *2240:12 0.00360771 -17 *2471:mask_rev_in[3] *2223:11 0.000785004 -18 *73:9 *2471:porb 0.00163502 -19 *1503:17 *2223:11 0.000181643 -20 *1504:16 *2223:11 0.000306662 -21 *1506:16 *2223:11 0 -22 *1507:17 *2223:11 0 -23 *1516:16 *2223:11 0.000470585 -24 *1527:13 *2223:11 0 -25 *1528:13 *2223:11 0.00163712 -26 *1528:19 *2223:11 0.000250096 -27 *1530:10 *2223:11 0 -28 *1531:16 *2223:11 0 -29 *2222:11 *2223:7 0 -*RES -1 *2476:porb_l *2223:7 6.56807 -2 *2223:7 *2223:8 291.886 -3 *2223:8 *2223:10 4.5 -4 *2223:10 *2223:11 200.103 -5 *2223:11 *2223:13 3.85811 -6 *2223:13 *2223:15 104.157 -7 *2223:15 *2471:porb 32.1143 -*END - -*D_NET *2224 0.0325299 -*CONN -*I *2471:qspi_enabled I *D housekeeping -*I *2478:qspi_enabled O *D mgmt_core_wrapper -*CAP -1 *2471:qspi_enabled 0.00162046 -2 *2478:qspi_enabled 0.000948465 -3 *2224:11 0.00416914 -4 *2224:10 0.00254868 -5 *2224:8 0.00104417 -6 *2224:7 0.00199264 -7 *2471:qspi_enabled *2471:uart_enabled 0.000177702 -8 *2471:qspi_enabled *2227:10 4.15236e-05 -9 *2224:8 *2227:14 0.00035377 -10 *2224:8 *2228:8 0.00429253 -11 *2224:8 *2271:14 0.00431709 -12 *2224:11 *2227:11 0.0109536 -13 *538:14 *2224:8 0 -14 *554:14 *2224:8 0 -15 *555:14 *2224:8 7.01502e-05 -*RES -1 *2478:qspi_enabled *2224:7 6.95052 -2 *2224:7 *2224:8 86.3358 -3 *2224:8 *2224:10 4.5 -4 *2224:10 *2224:11 117.19 -5 *2224:11 *2471:qspi_enabled 20.3089 -*END - -*D_NET *2225 0.00320091 -*CONN -*I *2477:A I *D xres_buf -*I *2474:resetb_core_h O *D chip_io_alt -*CAP -1 *2477:A 0.00160046 -2 *2474:resetb_core_h 0.00160046 -*RES -1 *2474:resetb_core_h *2477:A 45.2747 -*END - -*D_NET *2226 0.29742 -*CONN -*I *2475:resetb I *D digital_pll -*I *2416:resetb I *D caravel_clocking -*I *2477:X O *D xres_buf -*CAP -1 *2475:resetb 1.28869e-05 -2 *2416:resetb 3.26469e-05 -3 *2477:X 0.000467889 -4 *2226:30 0.00646409 -5 *2226:29 0.00645121 -6 *2226:27 0.0789541 -7 *2226:19 0.0072874 -8 *2226:18 0.00725475 -9 *2226:16 0.0800087 -10 *2226:15 0.00138378 -11 *2226:10 0.01181 -12 *2226:9 0.0119487 -13 *64:16 *2226:16 0.00484053 -14 *64:16 *2226:27 0.0039377 -15 *64:34 *2226:27 0.0765658 -*RES -1 *2477:X *2226:9 16.8965 -2 *2226:9 *2226:10 321.284 -3 *2226:10 *2226:15 17.8913 -4 *2226:15 *2226:16 50.6377 -5 *2226:16 *2226:18 4.5 -6 *2226:18 *2226:19 205.098 -7 *2226:19 *2416:resetb 0.928211 -8 *2226:16 *2226:27 2561.33 -9 *2226:27 *2226:29 4.5 -10 *2226:29 *2226:30 183.09 -11 *2226:30 *2475:resetb 0.366399 -*END - -*D_NET *2227 0.042264 -*CONN -*I *2478:ser_rx I *D mgmt_core_wrapper -*I *2471:ser_rx O *D housekeeping -*CAP -1 *2478:ser_rx 0.0010565 -2 *2471:ser_rx 0.00147563 -3 *2227:14 0.00272564 -4 *2227:13 0.00166914 -5 *2227:11 0.000733461 -6 *2227:10 0.00220909 -7 *2227:10 *2471:ser_tx 0 -8 *2227:10 *2471:uart_enabled 2.01653e-05 -9 *2227:11 *2275:11 0.0108007 -10 *2227:14 *2270:8 0.00550651 -11 *2227:14 *2271:14 0.000508484 -12 *2227:14 *2275:8 0.00285427 -13 *2471:qspi_enabled *2227:10 4.15236e-05 -14 *68:14 *2227:14 0.00135552 -15 *70:8 *2227:14 0 -16 *555:14 *2227:14 0 -17 *2224:8 *2227:14 0.00035377 -18 *2224:11 *2227:11 0.0109536 -*RES -1 *2471:ser_rx *2227:10 14.1566 -2 *2227:10 *2227:11 114.972 -3 *2227:11 *2227:13 4.5 -4 *2227:13 *2227:14 123.293 -5 *2227:14 *2478:ser_rx 7.17999 -*END - -*D_NET *2228 0.0315445 -*CONN -*I *2471:ser_tx I *D housekeeping -*I *2478:ser_tx O *D mgmt_core_wrapper -*CAP -1 *2471:ser_tx 0.0018226 -2 *2478:ser_tx 0.000915934 -3 *2228:11 0.00436345 -4 *2228:10 0.00254086 -5 *2228:8 0.00227554 -6 *2228:7 0.00319148 -7 *2471:ser_tx *2271:10 0.000332717 -8 *2228:8 *2271:14 0.000813395 -9 *2228:11 *2271:11 0.010996 -10 *538:14 *2228:8 0 -11 *2224:8 *2228:8 0.00429253 -12 *2227:10 *2471:ser_tx 0 -*RES -1 *2478:ser_tx *2228:7 6.87403 -2 *2228:7 *2228:8 96.7171 -3 *2228:8 *2228:10 4.5 -4 *2228:10 *2228:11 116.636 -5 *2228:11 *2471:ser_tx 25.0297 -*END - -*D_NET *2229 0.0327793 -*CONN -*I *2471:spi_csb I *D housekeeping -*I *2478:spi_csb O *D mgmt_core_wrapper -*CAP -1 *2471:spi_csb 0.00191358 -2 *2478:spi_csb 0.0015218 -3 *2229:11 0.00410645 -4 *2229:10 0.00219287 -5 *2229:8 0.00257533 -6 *2229:7 0.00409713 -7 *2471:spi_csb *2471:spi_sck 0.000203088 -8 *2471:spi_csb *2471:spi_sdo 0.000176441 -9 *2471:spi_csb *2271:10 0 -10 *2229:8 *2230:8 0.000820887 -11 *2229:11 *2272:11 0.0101555 -12 *549:14 *2229:8 0.000399583 -13 *602:14 *2229:8 0.00461661 -*RES -1 *2478:spi_csb *2229:7 8.32734 -2 *2229:7 *2229:8 108.759 -3 *2229:8 *2229:10 4.5 -4 *2229:10 *2229:11 106.098 -5 *2229:11 *2471:spi_csb 28.767 -*END - -*D_NET *2230 0.035289 -*CONN -*I *2471:spi_enabled I *D housekeeping -*I *2478:spi_enabled O *D mgmt_core_wrapper -*CAP -1 *2471:spi_enabled 0.00147116 -2 *2478:spi_enabled 0.00145461 -3 *2230:11 0.00372756 -4 *2230:10 0.0022564 -5 *2230:8 0.00198281 -6 *2230:7 0.00343742 -7 *2471:spi_enabled *2471:uart_enabled 0 -8 *2230:8 *2272:8 0.000332825 -9 *2230:8 *2273:8 0.00338167 -10 *2471:wb_stb_i *2471:spi_enabled 0.000119662 -11 *526:10 *2471:spi_enabled 0.000124349 -12 *526:11 *2230:11 0.0102446 -13 *549:14 *2230:8 0.00352242 -14 *557:18 *2230:8 8.70315e-05 -15 *559:8 *2230:8 0 -16 *559:11 *2230:11 2.41483e-05 -17 *602:14 *2230:8 0.00230153 -18 *2229:8 *2230:8 0.000820887 -*RES -1 *2478:spi_enabled *2230:7 8.17437 -2 *2230:7 *2230:8 122.048 -3 *2230:8 *2230:10 4.5 -4 *2230:10 *2230:11 107.762 -5 *2230:11 *2471:spi_enabled 15.4024 -*END - -*D_NET *2231 0.574623 -*CONN -*I *2416:sel2[0] I *D caravel_clocking -*I *2471:pll90_sel[0] O *D housekeeping -*CAP -1 *2416:sel2[0] 0.00117348 -2 *2471:pll90_sel[0] 0.00115631 -3 *2231:19 0.00316959 -4 *2231:18 0.00199611 -5 *2231:16 0.0131087 -6 *2231:15 0.0131087 -7 *2231:13 0.00258631 -8 *2231:12 0.00374262 -9 *2231:12 *2232:12 0.000326398 -10 *2231:12 *2233:10 3.31745e-05 -11 *2231:12 *2239:12 0.000470557 -12 *2231:12 *2240:12 7.92757e-06 -13 *2231:12 *2243:7 0 -14 *2231:13 *2233:13 0.0199096 -15 *2231:13 *2242:7 0.0199132 -16 *2231:16 *2232:16 0.225203 -17 *2231:16 *2233:16 2.41483e-05 -18 *2231:16 *2242:10 0.225163 -19 *2231:19 *2232:19 0.000291612 -20 *2231:19 *2233:19 0.0107285 -21 *2231:19 *2242:13 0.00018896 -22 *73:19 *2231:19 0.0102051 -23 *78:16 *2231:16 0.00767111 -24 *84:22 *2231:16 0.0144457 -*RES -1 *2471:pll90_sel[0] *2231:12 43.9973 -2 *2231:12 *2231:13 318.669 -3 *2231:13 *2231:15 4.5 -4 *2231:15 *2231:16 2353.35 -5 *2231:16 *2231:18 4.5 -6 *2231:18 *2231:19 190.564 -7 *2231:19 *2416:sel2[0] 7.71542 -*END - -*D_NET *2232 0.560752 -*CONN -*I *2416:sel2[1] I *D caravel_clocking -*I *2471:pll90_sel[1] O *D housekeeping -*CAP -1 *2416:sel2[1] 0.00114392 -2 *2471:pll90_sel[1] 0.00112396 -3 *2232:19 0.00264998 -4 *2232:18 0.00150606 -5 *2232:16 0.0134597 -6 *2232:15 0.0134597 -7 *2232:13 0.00699723 -8 *2232:12 0.00812119 -9 *2232:12 *2233:10 0.000685261 -10 *2232:12 *2239:12 0.000161493 -11 *2232:12 *2240:13 1.87469e-05 -12 *2232:13 *2233:13 0.000399219 -13 *2232:13 *2235:13 0 -14 *2232:13 *2243:7 0.019074 -15 *2232:16 *2233:16 1.00846e-05 -16 *2232:16 *2241:16 0.223627 -17 *2232:16 *2242:10 4.0752e-05 -18 *2232:19 *2233:19 0.0107321 -19 *2232:19 *2241:19 2.5386e-05 -20 *2232:19 *2242:13 0.0113198 -21 *73:19 *2232:19 5.66868e-06 -22 *78:16 *2232:16 0.00706908 -23 *84:22 *2232:16 0.0132807 -24 *2219:8 *2232:13 0 -25 *2220:11 *2232:16 2.01874e-05 -26 *2221:13 *2232:16 0 -27 *2231:12 *2232:12 0.000326398 -28 *2231:16 *2232:16 0.225203 -29 *2231:19 *2232:19 0.000291612 -*RES -1 *2471:pll90_sel[1] *2232:12 45.8005 -2 *2232:12 *2232:13 318.669 -3 *2232:13 *2232:15 4.5 -4 *2232:15 *2232:16 2356.12 -5 *2232:16 *2232:18 4.5 -6 *2232:18 *2232:19 181.844 -7 *2232:19 *2416:sel2[1] 7.56244 -*END - -*D_NET *2233 0.575 -*CONN -*I *2416:sel2[2] I *D caravel_clocking -*I *2471:pll90_sel[2] O *D housekeeping -*CAP -1 *2416:sel2[2] 0.00116606 -2 *2471:pll90_sel[2] 0.00119149 -3 *2233:19 0.00253111 -4 *2233:18 0.00136505 -5 *2233:16 0.0131218 -6 *2233:15 0.0131218 -7 *2233:13 0.0026917 -8 *2233:12 0.0026917 -9 *2233:10 0.00119149 -10 *2233:10 *2239:12 9.8904e-05 -11 *2233:10 *2240:12 0.000685247 -12 *2233:10 *2244:7 0 -13 *2233:13 *2242:7 3.31591e-05 -14 *2233:13 *2243:7 0.0190965 -15 *2233:16 *2242:10 0.225207 -16 *78:16 *2233:16 0.0227635 -17 *2220:11 *2233:16 0.22532 -18 *2223:15 *2233:10 0.000202245 -19 *2231:12 *2233:10 3.31745e-05 -20 *2231:13 *2233:13 0.0199096 -21 *2231:16 *2233:16 2.41483e-05 -22 *2231:19 *2233:19 0.0107285 -23 *2232:12 *2233:10 0.000685261 -24 *2232:13 *2233:13 0.000399219 -25 *2232:16 *2233:16 1.00846e-05 -26 *2232:19 *2233:19 0.0107321 -*RES -1 *2471:pll90_sel[2] *2233:10 45.8767 -2 *2233:10 *2233:12 4.5 -3 *2233:12 *2233:13 320.33 -4 *2233:13 *2233:15 4.5 -5 *2233:15 *2233:16 2354.46 -6 *2233:16 *2233:18 4.5 -7 *2233:18 *2233:19 171.463 -8 *2233:19 *2416:sel2[2] 7.63893 -*END - -*D_NET *2234 0.0133558 -*CONN -*I *2475:dco I *D digital_pll -*I *2471:pll_dco_ena O *D housekeeping -*CAP -1 *2475:dco 0.00151073 -2 *2471:pll_dco_ena 0.00119932 -3 *2234:12 0.00248869 -4 *2234:9 0.00217727 -5 *2475:dco *2475:enable 0 -6 *2475:dco *2475:ext_trim[0] 0 -7 *2475:dco *2236:13 0.00202569 -8 *2475:dco *2237:13 0.00225543 -9 *2475:dco *2239:13 2.04806e-05 -10 *2475:dco *2240:13 0 -11 *2234:9 *2235:9 0 -12 *2234:9 *2240:9 0 -13 *2234:12 *2235:12 0 -14 *2234:12 *2237:12 0.00112789 -15 *2234:12 *2238:12 0.000550309 -*RES -1 *2471:pll_dco_ena *2234:9 38.0744 -2 *2234:9 *2234:12 43.491 -3 *2234:12 *2475:dco 44.4832 -*END - -*D_NET *2235 0.0133879 -*CONN -*I *2475:div[0] I *D digital_pll -*I *2471:pll_div[0] O *D housekeeping -*CAP -1 *2475:div[0] 0.0013512 -2 *2471:pll_div[0] 0.00109468 -3 *2235:13 0.00401363 -4 *2235:12 0.00337363 -5 *2235:9 0.00180589 -6 *2475:div[0] *2475:div[1] 0 -7 *2235:9 *2236:9 0 -8 *2235:12 *2238:12 0.000267435 -9 *2235:12 *2239:12 0.00120114 -10 *2235:12 *2240:12 2.82142e-05 -11 *2235:12 *2241:12 0.000252046 -12 *2235:13 *2240:13 0 -13 *2219:7 *2475:div[0] 0 -14 *2232:13 *2235:13 0 -15 *2234:9 *2235:9 0 -16 *2234:12 *2235:12 0 -*RES -1 *2471:pll_div[0] *2235:9 35.1676 -2 *2235:9 *2235:12 32.9536 -3 *2235:12 *2235:13 74.7088 -4 *2235:13 *2475:div[0] 8.48033 -*END - -*D_NET *2236 0.0132061 -*CONN -*I *2475:div[1] I *D digital_pll -*I *2471:pll_div[1] O *D housekeeping -*CAP -1 *2475:div[1] 0.00112098 -2 *2471:pll_div[1] 0.00128653 -3 *2236:13 0.00262283 -4 *2236:12 0.0027021 -5 *2236:9 0.00248678 -6 *2475:div[1] *2475:div[2] 0 -7 *2236:9 *2237:9 0 -8 *2236:9 *2241:13 0.000258511 -9 *2236:12 *2237:12 0 -10 *2236:13 *2237:13 0.000702694 -11 *2236:13 *2240:13 0 -12 *2475:dco *2236:13 0.00202569 -13 *2475:div[0] *2475:div[1] 0 -14 *2235:9 *2236:9 0 -*RES -1 *2471:pll_div[1] *2236:9 42.6421 -2 *2236:9 *2236:12 35.172 -3 *2236:12 *2236:13 61.4207 -4 *2236:13 *2475:div[1] 7.63893 -*END - -*D_NET *2237 0.0147391 -*CONN -*I *2475:div[2] I *D digital_pll -*I *2471:pll_div[2] O *D housekeeping -*CAP -1 *2475:div[2] 0.00108101 -2 *2471:pll_div[2] 0.00124556 -3 *2237:13 0.00175148 -4 *2237:12 0.0014235 -5 *2237:9 0.00199859 -6 *2475:div[2] *2475:div[3] 0 -7 *2237:9 *2238:9 0 -8 *2237:9 *2241:13 0 -9 *2237:12 *2238:12 1.4091e-06 -10 *2237:13 *2238:13 0.000177702 -11 *2237:13 *2239:13 0.00297387 -12 *2475:dco *2237:13 0.00225543 -13 *2475:div[1] *2475:div[2] 0 -14 *2234:12 *2237:12 0.00112789 -15 *2236:9 *2237:9 0 -16 *2236:12 *2237:12 0 -17 *2236:13 *2237:13 0.000702694 -*RES -1 *2471:pll_div[2] *2237:9 38.9049 -2 *2237:9 *2237:12 32.399 -3 *2237:12 *2237:13 59.3444 -4 *2237:13 *2475:div[2] 7.48595 -*END - -*D_NET *2238 0.0125365 -*CONN -*I *2475:div[3] I *D digital_pll -*I *2471:pll_div[3] O *D housekeeping -*CAP -1 *2475:div[3] 0.00104104 -2 *2471:pll_div[3] 0.00116672 -3 *2238:13 0.00199308 -4 *2238:12 0.00163417 -5 *2238:9 0.00184884 -6 *2475:div[3] *2475:div[4] 0 -7 *2238:9 *2239:9 0 -8 *2238:12 *2239:12 4.63473e-05 -9 *2238:13 *2239:13 0.00309942 -10 *2238:13 *2244:7 0.000710009 -11 *2475:div[2] *2475:div[3] 0 -12 *2234:12 *2238:12 0.000550309 -13 *2235:12 *2238:12 0.000267435 -14 *2237:9 *2238:9 0 -15 *2237:12 *2238:12 1.4091e-06 -16 *2237:13 *2238:13 0.000177702 -*RES -1 *2471:pll_div[3] *2238:9 36.8286 -2 *2238:9 *2238:12 29.626 -3 *2238:12 *2238:13 55.6072 -4 *2238:13 *2475:div[3] 7.33297 -*END - -*D_NET *2239 0.0152957 -*CONN -*I *2475:div[4] I *D digital_pll -*I *2471:pll_div[4] O *D housekeeping -*CAP -1 *2475:div[4] 0.00107877 -2 *2471:pll_div[4] 0.00071264 -3 *2239:13 0.00160175 -4 *2239:12 0.000899571 -5 *2239:9 0.00108923 -6 *2475:div[4] *2475:enable 0 -7 *2239:9 *2241:12 0.00170298 -8 *2239:12 *2240:12 0.000109827 -9 *2239:12 *2241:12 2.65831e-05 -10 *2239:13 *2240:13 0 -11 *2239:13 *2244:7 0 -12 *2475:dco *2239:13 2.04806e-05 -13 *2475:div[3] *2475:div[4] 0 -14 *2223:15 *2239:12 2.18041e-06 -15 *2231:12 *2239:12 0.000470557 -16 *2232:12 *2239:12 0.000161493 -17 *2233:10 *2239:12 9.8904e-05 -18 *2235:12 *2239:12 0.00120114 -19 *2237:13 *2239:13 0.00297387 -20 *2238:9 *2239:9 0 -21 *2238:12 *2239:12 4.63473e-05 -22 *2238:13 *2239:13 0.00309942 -*RES -1 *2471:pll_div[4] *2239:9 34.7523 -2 *2239:9 *2239:12 25.1891 -3 *2239:12 *2239:13 51.8699 -4 *2239:13 *2475:div[4] 7.40946 -*END - -*D_NET *2240 0.0142408 -*CONN -*I *2475:enable I *D digital_pll -*I *2471:pll_ena O *D housekeeping -*CAP -1 *2475:enable 0.0013163 -2 *2471:pll_ena 0.00101724 -3 *2240:13 0.00304772 -4 *2240:12 0.00232911 -5 *2240:9 0.00161494 -6 *2240:12 *2241:12 0.000457819 -7 *2475:dco *2475:enable 0 -8 *2475:dco *2240:13 0 -9 *2475:div[4] *2475:enable 0 -10 *2223:15 *2240:12 0.00360771 -11 *2231:12 *2240:12 7.92757e-06 -12 *2232:12 *2240:13 1.87469e-05 -13 *2233:10 *2240:12 0.000685247 -14 *2234:9 *2240:9 0 -15 *2235:12 *2240:12 2.82142e-05 -16 *2235:13 *2240:13 0 -17 *2236:13 *2240:13 0 -18 *2239:12 *2240:12 0.000109827 -19 *2239:13 *2240:13 0 -*RES -1 *2471:pll_ena *2240:9 33.0913 -2 *2240:9 *2240:12 42.9364 -3 *2240:12 *2240:13 47.7174 -4 *2240:13 *2475:enable 8.17437 -*END - -*D_NET *2241 0.399408 -*CONN -*I *2416:sel[0] I *D caravel_clocking -*I *2471:pll_sel[0] O *D housekeeping -*CAP -1 *2416:sel[0] 0.00106623 -2 *2471:pll_sel[0] 0.000884902 -3 *2241:19 0.00322466 -4 *2241:18 0.00215843 -5 *2241:16 0.0482996 -6 *2241:15 0.0482996 -7 *2241:13 0.0111819 -8 *2241:12 0.0120668 -9 *2241:16 *2242:10 7.92757e-06 -10 *2241:19 *2242:13 0.0130269 -11 *2241:19 *2243:13 0.012532 -12 *2474:flash_csb_oeb_core *2241:16 5.76883e-05 -13 *73:19 *2241:19 0 -14 *76:21 *2241:16 0 -15 *78:16 *2241:16 0.00652931 -16 *82:22 *2241:16 0.013721 -17 *2220:11 *2241:16 1.4091e-06 -18 *2221:13 *2241:16 0 -19 *2232:16 *2241:16 0.223627 -20 *2232:19 *2241:19 2.5386e-05 -21 *2235:12 *2241:12 0.000252046 -22 *2236:9 *2241:13 0.000258511 -23 *2237:9 *2241:13 0 -24 *2239:9 *2241:12 0.00170298 -25 *2239:12 *2241:12 2.65831e-05 -26 *2240:12 *2241:12 0.000457819 -*RES -1 *2471:pll_sel[0] *2241:12 49.6827 -2 *2241:12 *2241:13 318.254 -3 *2241:13 *2241:15 4.5 -4 *2241:15 *2241:16 2337.82 -5 *2241:16 *2241:18 4.5 -6 *2241:18 *2241:19 218.801 -7 *2241:19 *2416:sel[0] 7.40946 -*END - -*D_NET *2242 0.564295 -*CONN -*I *2416:sel[1] I *D caravel_clocking -*I *2471:pll_sel[1] O *D housekeeping -*CAP -1 *2416:sel[1] 0.00108838 -2 *2471:pll_sel[1] 1.28869e-05 -3 *2242:13 0.00306618 -4 *2242:12 0.0019778 -5 *2242:10 0.0131471 -6 *2242:9 0.0131471 -7 *2242:7 0.00770439 -8 *2242:5 0.00771728 -9 *2242:7 *2243:7 0.000566491 -10 *78:16 *2242:10 0.0209337 -11 *2220:11 *2242:10 3.31745e-05 -12 *2231:13 *2242:7 0.0199132 -13 *2231:16 *2242:10 0.225163 -14 *2231:19 *2242:13 0.00018896 -15 *2232:16 *2242:10 4.0752e-05 -16 *2232:19 *2242:13 0.0113198 -17 *2233:13 *2242:7 3.31591e-05 -18 *2233:16 *2242:10 0.225207 -19 *2241:16 *2242:10 7.92757e-06 -20 *2241:19 *2242:13 0.0130269 -*RES -1 *2471:pll_sel[1] *2242:5 0.366399 -2 *2242:5 *2242:7 346.699 -3 *2242:7 *2242:9 4.5 -4 *2242:9 *2242:10 2354.46 -5 *2242:10 *2242:12 4.5 -6 *2242:12 *2242:13 208.42 -7 *2242:13 *2416:sel[1] 7.48595 -*END - -*D_NET *2243 0.503036 -*CONN -*I *2416:sel[2] I *D caravel_clocking -*I *2471:pll_sel[2] O *D housekeeping -*CAP -1 *2416:sel[2] 0.00104624 -2 *2471:pll_sel[2] 7.21667e-05 -3 *2243:13 0.00589525 -4 *2243:12 0.00484901 -5 *2243:10 0.0156432 -6 *2243:9 0.0156432 -7 *2243:7 0.00318159 -8 *2243:5 0.00325376 -9 *67:29 *2243:10 0.00070936 -10 *72:16 *2243:10 0.223986 -11 *73:16 *2243:10 0.0359745 -12 *73:19 *2243:13 0 -13 *465:22 *2243:10 0.141513 -14 *2231:12 *2243:7 0 -15 *2232:13 *2243:7 0.019074 -16 *2233:13 *2243:7 0.0190965 -17 *2241:19 *2243:13 0.012532 -18 *2242:7 *2243:7 0.000566491 -*RES -1 *2471:pll_sel[2] *2243:5 2.05183 -2 *2243:5 *2243:7 332.165 -3 *2243:7 *2243:9 4.5 -4 *2243:9 *2243:10 2357.23 -5 *2243:10 *2243:12 4.5 -6 *2243:12 *2243:13 213.818 -7 *2243:13 *2416:sel[2] 7.33297 -*END - -*D_NET *2244 0.00681891 -*CONN -*I *2475:ext_trim[0] I *D digital_pll -*I *2471:pll_trim[0] O *D housekeeping -*CAP -1 *2475:ext_trim[0] 0.000981075 -2 *2471:pll_trim[0] 0.000111687 -3 *2244:7 0.00289946 -4 *2244:5 0.00203007 -5 *2475:ext_trim[0] *2475:ext_trim[1] 0 -6 *2244:7 *2475:ext_trim[1] 8.66112e-05 -7 *2244:7 *2255:7 0 -8 *2475:dco *2475:ext_trim[0] 0 -9 *2233:10 *2244:7 0 -10 *2238:13 *2244:7 0.000710009 -11 *2239:13 *2244:7 0 -*RES -1 *2471:pll_trim[0] *2244:5 3.17546 -2 *2244:5 *2244:7 63.2893 -3 *2244:7 *2475:ext_trim[0] 7.1035 -*END - -*D_NET *2245 0.00252339 -*CONN -*I *2475:ext_trim[10] I *D digital_pll -*I *2471:pll_trim[10] O *D housekeeping -*CAP -1 *2475:ext_trim[10] 0.00118167 -2 *2471:pll_trim[10] 0.00118167 -3 *2475:ext_trim[10] *2475:ext_trim[11] 8.82488e-05 -4 *2475:ext_trim[10] *2475:ext_trim[12] 1.14973e-06 -5 *2475:ext_trim[10] *2475:ext_trim[9] 0 -6 *2223:15 *2475:ext_trim[10] 7.0656e-05 -*RES -1 *2471:pll_trim[10] *2475:ext_trim[10] 43.0461 -*END - -*D_NET *2246 0.00288471 -*CONN -*I *2475:ext_trim[11] I *D digital_pll -*I *2471:pll_trim[11] O *D housekeeping -*CAP -1 *2475:ext_trim[11] 0.00129071 -2 *2471:pll_trim[11] 0.00129071 -3 *2475:ext_trim[11] *2475:ext_trim[12] 0.000147308 -4 *2475:ext_trim[10] *2475:ext_trim[11] 8.82488e-05 -5 *2223:15 *2475:ext_trim[11] 6.77202e-05 -*RES -1 *2471:pll_trim[11] *2475:ext_trim[11] 46.5699 -*END - -*D_NET *2247 0.00295386 -*CONN -*I *2475:ext_trim[12] I *D digital_pll -*I *2471:pll_trim[12] O *D housekeeping -*CAP -1 *2475:ext_trim[12] 0.0014027 -2 *2471:pll_trim[12] 0.0014027 -3 *2475:ext_trim[12] *2475:ext_trim[13] 0 -4 *2475:ext_trim[12] *2248:12 0 -5 *2475:ext_trim[12] *2249:12 0 -6 *2475:ext_trim[10] *2475:ext_trim[12] 1.14973e-06 -7 *2475:ext_trim[11] *2475:ext_trim[12] 0.000147308 -*RES -1 *2471:pll_trim[12] *2475:ext_trim[12] 49.1467 -*END - -*D_NET *2248 0.00383686 -*CONN -*I *2475:ext_trim[13] I *D digital_pll -*I *2471:pll_trim[13] O *D housekeeping -*CAP -1 *2475:ext_trim[13] 0.00025048 -2 *2471:pll_trim[13] 0.00120066 -3 *2248:12 0.00145114 -4 *2475:ext_trim[13] *2251:9 8.99995e-05 -5 *2475:ext_trim[13] *2252:9 0 -6 *2248:12 *2249:12 0.000844584 -7 *2475:ext_trim[12] *2475:ext_trim[13] 0 -8 *2475:ext_trim[12] *2248:12 0 -*RES -1 *2471:pll_trim[13] *2248:12 45.6723 -2 *2248:12 *2475:ext_trim[13] 6.99822 -*END - -*D_NET *2249 0.00481883 -*CONN -*I *2475:ext_trim[14] I *D digital_pll -*I *2471:pll_trim[14] O *D housekeeping -*CAP -1 *2475:ext_trim[14] 0.000277005 -2 *2471:pll_trim[14] 0.00112575 -3 *2249:12 0.00140276 -4 *2249:12 *2475:ext_trim[18] 6.50586e-05 -5 *2249:12 *2250:10 0.00110368 -6 *2475:ext_trim[12] *2249:12 0 -7 *2248:12 *2249:12 0.000844584 -*RES -1 *2471:pll_trim[14] *2249:12 48.306 -2 *2249:12 *2475:ext_trim[14] 6.58297 -*END - -*D_NET *2250 0.00556456 -*CONN -*I *2475:ext_trim[15] I *D digital_pll -*I *2471:pll_trim[15] O *D housekeeping -*CAP -1 *2475:ext_trim[15] 0.000189893 -2 *2471:pll_trim[15] 0.00114825 -3 *2250:10 0.00133814 -4 *2475:ext_trim[15] *2256:9 0.000244386 -5 *2475:ext_trim[15] *2257:10 0 -6 *2250:10 *2475:ext_trim[16] 0 -7 *2250:10 *2475:ext_trim[17] 0.001057 -8 *2250:10 *2475:ext_trim[18] 0.000159075 -9 *2250:10 *2475:ext_trim[19] 0.000324137 -10 *2250:10 *2251:9 0 -11 *2249:12 *2250:10 0.00110368 -*RES -1 *2471:pll_trim[15] *2250:10 47.5489 -2 *2250:10 *2475:ext_trim[15] 10.6677 -*END - -*D_NET *2251 0.00396948 -*CONN -*I *2475:ext_trim[16] I *D digital_pll -*I *2471:pll_trim[16] O *D housekeeping -*CAP -1 *2475:ext_trim[16] 0.000897275 -2 *2471:pll_trim[16] 0.000926816 -3 *2251:9 0.00182409 -4 *2475:ext_trim[16] *2475:ext_trim[17] 0.000116221 -5 *2475:ext_trim[16] *2475:ext_trim[20] 5.07452e-05 -6 *2475:ext_trim[16] *2257:10 0 -7 *2475:ext_trim[16] *2258:9 5.00247e-05 -8 *2475:ext_trim[16] *2258:12 1.43041e-05 -9 *2475:ext_trim[16] *2259:9 0 -10 *2251:9 *2252:9 0 -11 *2475:ext_trim[13] *2251:9 8.99995e-05 -12 *2250:10 *2475:ext_trim[16] 0 -13 *2250:10 *2251:9 0 -*RES -1 *2471:pll_trim[16] *2251:9 31.0151 -2 *2251:9 *2475:ext_trim[16] 29.7783 -*END - -*D_NET *2252 0.00526663 -*CONN -*I *2475:ext_trim[17] I *D digital_pll -*I *2471:pll_trim[17] O *D housekeeping -*CAP -1 *2475:ext_trim[17] 0.000800243 -2 *2471:pll_trim[17] 0.000879026 -3 *2252:9 0.00167927 -4 *2475:ext_trim[17] *2475:ext_trim[19] 0.000573529 -5 *2475:ext_trim[17] *2258:12 0 -6 *2475:ext_trim[17] *2259:9 7.07361e-05 -7 *2475:ext_trim[17] *2260:9 9.06048e-05 -8 *2252:9 *2253:9 0 -9 *2475:ext_trim[13] *2252:9 0 -10 *2475:ext_trim[16] *2475:ext_trim[17] 0.000116221 -11 *2250:10 *2475:ext_trim[17] 0.001057 -12 *2251:9 *2252:9 0 -*RES -1 *2471:pll_trim[17] *2252:9 28.9388 -2 *2252:9 *2475:ext_trim[17] 35.3784 -*END - -*D_NET *2253 0.00628987 -*CONN -*I *2475:ext_trim[18] I *D digital_pll -*I *2471:pll_trim[18] O *D housekeeping -*CAP -1 *2475:ext_trim[18] 0.000962972 -2 *2471:pll_trim[18] 0.000798383 -3 *2253:9 0.00176135 -4 *2475:ext_trim[18] *2475:ext_trim[19] 0.00225269 -5 *2475:ext_trim[18] *2261:9 0.000290332 -6 *2253:9 *2254:9 0 -7 *72:12 *2475:ext_trim[18] 0 -8 *2249:12 *2475:ext_trim[18] 6.50586e-05 -9 *2250:10 *2475:ext_trim[18] 0.000159075 -10 *2252:9 *2253:9 0 -*RES -1 *2471:pll_trim[18] *2253:9 27.1312 -2 *2253:9 *2475:ext_trim[18] 39.9518 -*END - -*D_NET *2254 0.00685926 -*CONN -*I *2475:ext_trim[19] I *D digital_pll -*I *2471:pll_trim[19] O *D housekeeping -*CAP -1 *2475:ext_trim[19] 0.000835791 -2 *2471:pll_trim[19] 0.00084198 -3 *2254:9 0.00167777 -4 *2475:ext_trim[19] *2258:12 0 -5 *2475:ext_trim[19] *2260:15 0 -6 *2254:9 *2256:9 0 -7 *2471:wb_clk_i *2475:ext_trim[19] 0.000269383 -8 *2475:ext_trim[17] *2475:ext_trim[19] 0.000573529 -9 *2475:ext_trim[18] *2475:ext_trim[19] 0.00225269 -10 *66:17 *2475:ext_trim[19] 8.39733e-05 -11 *2250:10 *2475:ext_trim[19] 0.000324137 -12 *2253:9 *2254:9 0 -*RES -1 *2471:pll_trim[19] *2254:9 28.1083 -2 *2254:9 *2475:ext_trim[19] 42.2951 -*END - -*D_NET *2255 0.00651777 -*CONN -*I *2475:ext_trim[1] I *D digital_pll -*I *2471:pll_trim[1] O *D housekeeping -*CAP -1 *2475:ext_trim[1] 0.00121172 -2 *2471:pll_trim[1] 7.21667e-05 -3 *2255:7 0.00314341 -4 *2255:5 0.00200385 -5 *2475:ext_trim[1] *2475:ext_trim[2] 0 -6 *2475:ext_trim[0] *2475:ext_trim[1] 0 -7 *2244:7 *2475:ext_trim[1] 8.66112e-05 -8 *2244:7 *2255:7 0 -*RES -1 *2471:pll_trim[1] *2255:5 2.05183 -2 *2255:5 *2255:7 54.8133 -3 *2255:7 *2475:ext_trim[1] 13.8877 -*END - -*D_NET *2256 0.00626002 -*CONN -*I *2475:ext_trim[20] I *D digital_pll -*I *2471:pll_trim[20] O *D housekeeping -*CAP -1 *2475:ext_trim[20] 0.00149322 -2 *2471:pll_trim[20] 0.000949418 -3 *2256:9 0.00244264 -4 *2475:ext_trim[20] *2257:15 0.000343399 -5 *2475:ext_trim[20] *2258:12 0.000193186 -6 *2475:ext_trim[20] *2259:14 0.000543025 -7 *2256:9 *2257:10 0 -8 *2475:ext_trim[15] *2256:9 0.000244386 -9 *2475:ext_trim[16] *2475:ext_trim[20] 5.07452e-05 -10 *2223:11 *2475:ext_trim[20] 0 -11 *2254:9 *2256:9 0 -*RES -1 *2471:pll_trim[20] *2256:9 31.9677 -2 *2256:9 *2475:ext_trim[20] 29.2656 -*END - -*D_NET *2257 0.00754898 -*CONN -*I *2475:ext_trim[21] I *D digital_pll -*I *2471:pll_trim[21] O *D housekeeping -*CAP -1 *2475:ext_trim[21] 0.000263427 -2 *2471:pll_trim[21] 0.00109759 -3 *2257:15 0.00104626 -4 *2257:10 0.00188042 -5 *2257:10 *2258:9 0 -6 *2257:15 *2259:14 3.73029e-05 -7 *2257:15 *2261:12 0.000113033 -8 *2471:wb_clk_i *2257:15 0.000266632 -9 *2475:ext_trim[15] *2257:10 0 -10 *2475:ext_trim[16] *2257:10 0 -11 *2475:ext_trim[20] *2257:15 0.000343399 -12 *66:17 *2257:15 0.00108295 -13 *72:12 *2257:15 0.000169038 -14 *72:13 *2257:15 0.00107828 -15 *2223:11 *2257:15 0.000170642 -16 *2256:9 *2257:10 0 -*RES -1 *2471:pll_trim[21] *2257:10 34.5006 -2 *2257:10 *2257:15 48.6937 -3 *2257:15 *2475:ext_trim[21] 3.93415 -*END - -*D_NET *2258 0.0153262 -*CONN -*I *2475:ext_trim[22] I *D digital_pll -*I *2471:pll_trim[22] O *D housekeeping -*CAP -1 *2475:ext_trim[22] 0.00152799 -2 *2471:pll_trim[22] 0.000971517 -3 *2258:12 0.00198111 -4 *2258:9 0.00142464 -5 *2475:ext_trim[22] *2260:15 1.55462e-05 -6 *2475:ext_trim[22] *2261:13 0.00228719 -7 *2258:9 *2259:9 0 -8 *2258:12 *2259:14 0.00356749 -9 *2258:12 *2260:15 0.00329323 -10 *2475:ext_trim[16] *2258:9 5.00247e-05 -11 *2475:ext_trim[16] *2258:12 1.43041e-05 -12 *2475:ext_trim[17] *2258:12 0 -13 *2475:ext_trim[19] *2258:12 0 -14 *2475:ext_trim[20] *2258:12 0.000193186 -15 *2257:10 *2258:9 0 -*RES -1 *2471:pll_trim[22] *2258:9 31.699 -2 *2258:9 *2258:12 46.8187 -3 *2258:12 *2475:ext_trim[22] 43.5545 -*END - -*D_NET *2259 0.018597 -*CONN -*I *2475:ext_trim[23] I *D digital_pll -*I *2471:pll_trim[23] O *D housekeeping -*CAP -1 *2475:ext_trim[23] 0.000701175 -2 *2471:pll_trim[23] 0.000996848 -3 *2259:15 0.00113785 -4 *2259:14 0.000858132 -5 *2259:9 0.00141831 -6 *2259:9 *2260:9 0 -7 *2259:14 *2260:15 4.0752e-05 -8 *2259:14 *2261:12 0.00287268 -9 *2259:15 *2260:17 0.00317635 -10 *2259:15 *2261:13 0.00317635 -11 *2475:ext_trim[16] *2259:9 0 -12 *2475:ext_trim[17] *2259:9 7.07361e-05 -13 *2475:ext_trim[20] *2259:14 0.000543025 -14 *2257:15 *2259:14 3.73029e-05 -15 *2258:9 *2259:9 0 -16 *2258:12 *2259:14 0.00356749 -*RES -1 *2471:pll_trim[23] *2259:9 32.6761 -2 *2259:9 *2259:14 45.7095 -3 *2259:14 *2259:15 51.0394 -4 *2259:15 *2475:ext_trim[23] 5.95615 -*END - -*D_NET *2260 0.0155025 -*CONN -*I *2475:ext_trim[24] I *D digital_pll -*I *2471:pll_trim[24] O *D housekeeping -*CAP -1 *2475:ext_trim[24] 0.000708619 -2 *2471:pll_trim[24] 0.000911277 -3 *2260:17 0.00224764 -4 *2260:15 0.00254154 -5 *2260:9 0.00191379 -6 *2260:9 *2261:9 0 -7 *2260:15 *2261:13 7.22422e-05 -8 *2260:17 *2261:13 0.000457741 -9 *2471:mask_rev_in[4] *2260:15 3.31733e-05 -10 *2471:mask_rev_in[5] *2260:15 0 -11 *2471:mask_rev_in[5] *2260:17 0 -12 *2475:ext_trim[17] *2260:9 9.06048e-05 -13 *2475:ext_trim[19] *2260:15 0 -14 *2475:ext_trim[22] *2260:15 1.55462e-05 -15 *1531:11 *2260:17 0 -16 *2258:12 *2260:15 0.00329323 -17 *2259:9 *2260:9 0 -18 *2259:14 *2260:15 4.0752e-05 -19 *2259:15 *2260:17 0.00317635 -*RES -1 *2471:pll_trim[24] *2260:9 30.722 -2 *2260:9 *2260:15 43.9057 -3 *2260:15 *2260:17 66.6113 -4 *2260:17 *2475:ext_trim[24] 6.03264 -*END - -*D_NET *2261 0.0175663 -*CONN -*I *2475:ext_trim[25] I *D digital_pll -*I *2471:pll_trim[25] O *D housekeeping -*CAP -1 *2475:ext_trim[25] 0.000666486 -2 *2471:pll_trim[25] 0.000962254 -3 *2261:13 0.00226509 -4 *2261:12 0.00217091 -5 *2261:9 0.00153457 -6 *2471:wb_clk_i *2261:12 1.65872e-05 -7 *2475:ext_trim[18] *2261:9 0.000290332 -8 *2475:ext_trim[22] *2261:13 0.00228719 -9 *72:12 *2261:9 0 -10 *72:12 *2261:12 0.000680863 -11 *2223:11 *2261:12 0 -12 *2257:15 *2261:12 0.000113033 -13 *2259:14 *2261:12 0.00287268 -14 *2259:15 *2261:13 0.00317635 -15 *2260:9 *2261:9 0 -16 *2260:15 *2261:13 7.22422e-05 -17 *2260:17 *2261:13 0.000457741 -*RES -1 *2471:pll_trim[25] *2261:9 32.5295 -2 *2261:9 *2261:12 35.172 -3 *2261:12 *2261:13 82.5985 -4 *2261:13 *2475:ext_trim[25] 5.87966 -*END - -*D_NET *2262 0.00484567 -*CONN -*I *2475:ext_trim[2] I *D digital_pll -*I *2471:pll_trim[2] O *D housekeeping -*CAP -1 *2475:ext_trim[2] 0.000521369 -2 *2471:pll_trim[2] 7.21667e-05 -3 *2262:7 0.00235067 -4 *2262:5 0.00190146 -5 *2475:ext_trim[2] *2475:ext_trim[3] 0 -6 *2262:7 *2263:7 0 -7 *2475:ext_trim[1] *2475:ext_trim[2] 0 -*RES -1 *2471:pll_trim[2] *2262:5 2.05183 -2 *2262:5 *2262:7 51.6623 -3 *2262:7 *2475:ext_trim[2] 5.34423 -*END - -*D_NET *2263 0.00415193 -*CONN -*I *2475:ext_trim[3] I *D digital_pll -*I *2471:pll_trim[3] O *D housekeeping -*CAP -1 *2475:ext_trim[3] 0.000401446 -2 *2471:pll_trim[3] 0.00167452 -3 *2263:7 0.00207596 -4 *2475:ext_trim[3] *2475:ext_trim[4] 0 -5 *2263:7 *2475:ext_trim[4] 0 -6 *2475:ext_trim[2] *2475:ext_trim[3] 0 -7 *2262:7 *2263:7 0 -*RES -1 *2471:pll_trim[3] *2263:7 47.3387 -2 *2263:7 *2475:ext_trim[3] 4.88529 -*END - -*D_NET *2264 0.00342273 -*CONN -*I *2475:ext_trim[4] I *D digital_pll -*I *2471:pll_trim[4] O *D housekeeping -*CAP -1 *2475:ext_trim[4] 0.00171137 -2 *2471:pll_trim[4] 0.00171137 -3 *2475:ext_trim[4] *2475:ext_trim[5] 0 -4 *2475:ext_trim[3] *2475:ext_trim[4] 0 -5 *2263:7 *2475:ext_trim[4] 0 -*RES -1 *2471:pll_trim[4] *2475:ext_trim[4] 46.2839 -*END - -*D_NET *2265 0.00273397 -*CONN -*I *2475:ext_trim[5] I *D digital_pll -*I *2471:pll_trim[5] O *D housekeeping -*CAP -1 *2475:ext_trim[5] 0.00136698 -2 *2471:pll_trim[5] 0.00136698 -3 *2475:ext_trim[5] *2475:ext_trim[6] 0 -4 *2475:ext_trim[5] *2475:ext_trim[7] 0 -5 *2475:ext_trim[4] *2475:ext_trim[5] 0 -*RES -1 *2471:pll_trim[5] *2475:ext_trim[5] 39.9349 -*END - -*D_NET *2266 0.00211614 -*CONN -*I *2475:ext_trim[6] I *D digital_pll -*I *2471:pll_trim[6] O *D housekeeping -*CAP -1 *2475:ext_trim[6] 0.00105807 -2 *2471:pll_trim[6] 0.00105807 -3 *2475:ext_trim[6] *2475:ext_trim[7] 0 -4 *2475:ext_trim[5] *2475:ext_trim[6] 0 -*RES -1 *2471:pll_trim[6] *2475:ext_trim[6] 29.3867 -*END - -*D_NET *2267 0.00193193 -*CONN -*I *2475:ext_trim[7] I *D digital_pll -*I *2471:pll_trim[7] O *D housekeeping -*CAP -1 *2475:ext_trim[7] 0.000965966 -2 *2471:pll_trim[7] 0.000965966 -3 *2475:ext_trim[7] *2475:ext_trim[8] 0 -4 *2475:ext_trim[5] *2475:ext_trim[7] 0 -5 *2475:ext_trim[6] *2475:ext_trim[7] 0 -*RES -1 *2471:pll_trim[7] *2475:ext_trim[7] 27.2112 -*END - -*D_NET *2268 0.00198073 -*CONN -*I *2475:ext_trim[8] I *D digital_pll -*I *2471:pll_trim[8] O *D housekeeping -*CAP -1 *2475:ext_trim[8] 0.000990365 -2 *2471:pll_trim[8] 0.000990365 -3 *2475:ext_trim[8] *2475:ext_trim[9] 0 -4 *2475:ext_trim[7] *2475:ext_trim[8] 0 -*RES -1 *2471:pll_trim[8] *2475:ext_trim[8] 27.773 -*END - -*D_NET *2269 0.00226197 -*CONN -*I *2475:ext_trim[9] I *D digital_pll -*I *2471:pll_trim[9] O *D housekeeping -*CAP -1 *2475:ext_trim[9] 0.00113099 -2 *2471:pll_trim[9] 0.00113099 -3 *2475:ext_trim[10] *2475:ext_trim[9] 0 -4 *2475:ext_trim[8] *2475:ext_trim[9] 0 -*RES -1 *2471:pll_trim[9] *2475:ext_trim[9] 31.1439 -*END - -*D_NET *2270 0.0368092 -*CONN -*I *2471:spi_sck I *D housekeeping -*I *2478:spi_sck O *D mgmt_core_wrapper -*CAP -1 *2471:spi_sck 0.00169469 -2 *2478:spi_sck 0.00107459 -3 *2270:11 0.00429551 -4 *2270:10 0.00260082 -5 *2270:8 0.0014011 -6 *2270:7 0.00247569 -7 *2471:spi_sck *2471:spi_sdo 0.000197799 -8 *2270:8 *2271:14 0.00606759 -9 *2270:11 *2272:11 0.0104548 -10 *2471:spi_csb *2471:spi_sck 0.000203088 -11 *68:14 *2270:8 0.00083707 -12 *587:8 *2270:8 0 -13 *588:8 *2270:8 0 -14 *590:8 *2270:8 0 -15 *2227:14 *2270:8 0.00550651 -*RES -1 *2478:spi_sck *2270:7 7.1035 -2 *2270:7 *2270:8 117.064 -3 *2270:8 *2270:10 4.5 -4 *2270:10 *2270:11 116.081 -5 *2270:11 *2471:spi_sck 23.631 -*END - -*D_NET *2271 0.0360415 -*CONN -*I *2478:spi_sdi I *D mgmt_core_wrapper -*I *2471:spi_sdi O *D housekeeping -*CAP -1 *2478:spi_sdi 0.000980996 -2 *2471:spi_sdi 0.00156362 -3 *2271:14 0.00242989 -4 *2271:13 0.00144889 -5 *2271:11 0.0025096 -6 *2271:10 0.00407322 -7 *2471:ser_tx *2271:10 0.000332717 -8 *2471:spi_csb *2271:10 0 -9 *590:8 *2271:14 0 -10 *2224:8 *2271:14 0.00431709 -11 *2227:14 *2271:14 0.000508484 -12 *2228:8 *2271:14 0.000813395 -13 *2228:11 *2271:11 0.010996 -14 *2270:8 *2271:14 0.00606759 -*RES -1 *2471:spi_sdi *2271:10 18.7244 -2 *2271:10 *2271:11 116.081 -3 *2271:11 *2271:13 4.5 -4 *2271:13 *2271:14 115.403 -5 *2271:14 *2478:spi_sdi 7.02701 -*END - -*D_NET *2272 0.0443079 -*CONN -*I *2471:spi_sdo I *D housekeeping -*I *2478:spi_sdo O *D mgmt_core_wrapper -*CAP -1 *2471:spi_sdo 0.00150039 -2 *2478:spi_sdo 0.00139681 -3 *2272:11 0.00219037 -4 *2272:10 0.000689986 -5 *2272:8 0.00143166 -6 *2272:7 0.00282847 -7 *2471:spi_sdo *2471:spi_sdoenb 0 -8 *2272:8 *2273:8 0.0010134 -9 *2471:spi_csb *2471:spi_sdo 0.000176441 -10 *2471:spi_sck *2471:spi_sdo 0.000197799 -11 *69:8 *2272:8 0.00470526 -12 *71:8 *2272:8 0.00472983 -13 *526:14 *2272:8 0.000266226 -14 *559:8 *2272:8 0.00207973 -15 *604:14 *2272:8 0.000158505 -16 *2229:11 *2272:11 0.0101555 -17 *2230:8 *2272:8 0.000332825 -18 *2270:11 *2272:11 0.0104548 -*RES -1 *2478:spi_sdo *2272:7 7.94489 -2 *2272:7 *2272:8 126.615 -3 *2272:8 *2272:10 4.5 -4 *2272:10 *2272:11 109.426 -5 *2272:11 *2471:spi_sdo 16.6482 -*END - -*D_NET *2273 0.0365138 -*CONN -*I *2471:spi_sdoenb I *D housekeeping -*I *2478:spi_sdoenb O *D mgmt_core_wrapper -*CAP -1 *2471:spi_sdoenb 0.00185938 -2 *2478:spi_sdoenb 0.00155164 -3 *2273:11 0.00416297 -4 *2273:10 0.00230359 -5 *2273:8 0.00147312 -6 *2273:7 0.00302476 -7 *2471:spi_sdo *2471:spi_sdoenb 0 -8 *71:8 *2273:8 0.00527619 -9 *602:14 *2273:8 0.00190982 -10 *603:10 *2471:spi_sdoenb 6.75696e-05 -11 *603:11 *2273:11 1.92172e-05 -12 *604:10 *2471:spi_sdoenb 0.000172802 -13 *604:11 *2273:11 0.0102977 -14 *2230:8 *2273:8 0.00338167 -15 *2272:8 *2273:8 0.0010134 -*RES -1 *2478:spi_sdoenb *2273:7 8.09787 -2 *2273:7 *2273:8 119.556 -3 *2273:8 *2273:10 4.5 -4 *2273:10 *2273:11 108.871 -5 *2273:11 *2471:spi_sdoenb 26.953 -*END - -*D_NET *2274 0.040427 -*CONN -*I *2471:trap I *D housekeeping -*I *2478:trap O *D mgmt_core_wrapper -*CAP -1 *2471:trap 0.00160255 -2 *2478:trap 0.00132946 -3 *2274:11 0.00407771 -4 *2274:10 0.00247515 -5 *2274:8 0.00139742 -6 *2274:7 0.00272688 -7 *2471:debug_out *2471:trap 0 -8 *70:8 *2274:8 0.000562744 -9 *594:8 *2274:8 0.000266226 -10 *602:10 *2471:trap 0.000384809 -11 *602:11 *2274:11 0.0101979 -12 *603:14 *2274:8 0.00739229 -13 *604:14 *2274:8 0.0080138 -*RES -1 *2478:trap *2274:7 7.63893 -2 *2274:7 *2274:8 139.073 -3 *2274:8 *2274:10 4.5 -4 *2274:10 *2274:11 111.644 -5 *2274:11 *2471:trap 19.9702 -*END - -*D_NET *2275 0.0318787 -*CONN -*I *2471:uart_enabled I *D housekeeping -*I *2478:uart_enabled O *D mgmt_core_wrapper -*CAP -1 *2471:uart_enabled 0.00187217 -2 *2478:uart_enabled 0.00108609 -3 *2275:11 0.00428246 -4 *2275:10 0.00241029 -5 *2275:8 0.00138373 -6 *2275:7 0.00246982 -7 *2471:qspi_enabled *2471:uart_enabled 0.000177702 -8 *2471:spi_enabled *2471:uart_enabled 0 -9 *70:8 *2275:8 0.000336704 -10 *527:14 *2275:8 0.00363921 -11 *555:14 *2275:8 0 -12 *603:14 *2275:8 0.00054535 -13 *2227:10 *2471:uart_enabled 2.01653e-05 -14 *2227:11 *2275:11 0.0108007 -15 *2227:14 *2275:8 0.00285427 -*RES -1 *2478:uart_enabled *2275:7 7.33297 -2 *2275:7 *2275:8 96.3019 -3 *2275:8 *2275:10 4.5 -4 *2275:10 *2275:11 113.308 -5 *2275:11 *2471:uart_enabled 26.2754 -*END - -*D_NET *2276 0.0248643 -*CONN -*I *2473:io_analog[0] I *D user_analog_project_wrapper -*I *2474:mprj_analog[0] I *D chip_io_alt -*CAP -1 *2473:io_analog[0] 0.000136454 -2 *2474:mprj_analog[0] 4.99679e-05 -3 *2276:25 2.68684e-05 -4 *2276:11 0.00909556 -5 *2276:10 0.0089591 -6 *2276:8 0.00271007 -7 *2276:7 0.00273316 -8 *2276:11 *2280:13 0.00115315 -*RES -1 *2474:mprj_analog[0] *2276:7 8.2474 -2 *2276:7 *2276:8 75.5949 -3 *2276:8 *2276:10 4.5 -4 *2276:10 *2276:11 261.572 -5 *2276:11 *2473:io_analog[0] 4.4408 -6 *2474:mprj_analog[0] *2276:25 0.0631875 -*END - -*D_NET *2277 0.0155439 -*CONN -*I *2473:io_analog[10] I *D user_analog_project_wrapper -*I *2474:mprj_analog[10] I *D chip_io_alt -*CAP -1 *2473:io_analog[10] 0.0020929 -2 *2474:mprj_analog[10] 0.00118944 -3 *2277:13 0.00658251 -4 *2277:12 0.00567905 -*RES -1 *2474:mprj_analog[10] *2277:12 37.4652 -2 *2277:12 *2277:13 125.509 -3 *2277:13 *2473:io_analog[10] 45.2351 -*END - -*D_NET *2278 0.0539401 -*CONN -*I *2473:io_analog[1] I *D user_analog_project_wrapper -*I *2474:mprj_analog[1] I *D chip_io_alt -*CAP -1 *2473:io_analog[1] 0.00020678 -2 *2474:mprj_analog[1] 6.99824e-05 -3 *2278:30 0.00328283 -4 *2278:29 0.00307605 -5 *2278:27 0.0136642 -6 *2278:26 0.0145707 -7 *2278:23 0.00211389 -8 *2278:20 0.00243196 -9 *2278:11 0.00783919 -10 *2278:10 0.00668455 -*RES -1 *2474:mprj_analog[1] *2278:10 8.38708 -2 *2278:10 *2278:11 185.406 -3 *2278:11 *2278:20 43.1667 -4 *2278:20 *2278:23 37.945 -5 *2278:23 *2278:26 30.0014 -6 *2278:26 *2278:27 382.845 -7 *2278:27 *2278:29 4.5 -8 *2278:29 *2278:30 87.1663 -9 *2278:30 *2473:io_analog[1] 4.12039 -*END - -*D_NET *2279 0.0305584 -*CONN -*I *2473:io_analog[2] I *D user_analog_project_wrapper -*I *2474:mprj_analog[2] I *D chip_io_alt -*CAP -1 *2473:io_analog[2] 0.00162637 -2 *2474:mprj_analog[2] 4.99679e-05 -3 *2279:33 2.68684e-05 -4 *2279:19 0.00285096 -5 *2279:14 0.00391488 -6 *2279:13 0.00269029 -7 *2279:11 0.00179747 -8 *2279:10 0.00179747 -9 *2279:8 0.00789052 -10 *2279:7 0.00791362 -*RES -1 *2474:mprj_analog[2] *2279:7 8.2474 -2 *2279:7 *2279:8 220.901 -3 *2279:8 *2279:10 4.5 -4 *2279:10 *2279:11 50.8318 -5 *2279:11 *2279:13 4.5 -6 *2279:13 *2279:14 75.0403 -7 *2279:14 *2279:19 39.2468 -8 *2279:19 *2473:io_analog[2] 47.0652 -9 *2474:mprj_analog[2] *2279:33 0.0631875 -*END - -*D_NET *2280 0.0978136 -*CONN -*I *2473:io_analog[3] I *D user_analog_project_wrapper -*I *2474:mprj_analog[3] I *D chip_io_alt -*CAP -1 *2473:io_analog[3] 0.000524768 -2 *2474:mprj_analog[3] 2.68684e-05 -3 *2280:35 2.68684e-05 -4 *2280:22 0.00289808 -5 *2280:20 0.00239395 -6 *2280:18 0.0124784 -7 *2280:16 0.0124784 -8 *2280:14 0.0203986 -9 *2280:13 0.0207814 -10 *2280:8 0.00859047 -11 *2280:7 0.00818711 -12 *2280:5 0.00393776 -13 *2280:4 0.00393776 -14 *2276:11 *2280:13 0.00115315 -*RES -1 *2474:mprj_analog[3] *2280:4 3.36879 -2 *2280:4 *2280:5 111.666 -3 *2280:5 *2280:7 4.5 -4 *2280:7 *2280:8 229.22 -5 *2280:8 *2280:13 27.4421 -6 *2280:13 *2280:14 571.133 -7 *2280:14 *2280:16 0.578717 -8 *2280:16 *2280:18 349.569 -9 *2280:18 *2280:20 0.578717 -10 *2280:20 *2280:22 66.4439 -11 *2280:22 *2473:io_analog[3] 10.4851 -12 *2474:mprj_analog[3] *2280:35 0.0631875 -*END - -*D_NET *2281 0.00652429 -*CONN -*I *2473:io_analog[4] I *D user_analog_project_wrapper -*I *2474:mprj_analog[4] I *D chip_io_alt -*CAP -1 *2473:io_analog[4] 0.000166806 -2 *2474:mprj_analog[4] 9.89961e-05 -3 *2281:10 0.00316315 -4 *2281:9 0.00309534 -*RES -1 *2474:mprj_analog[4] *2281:9 3.708 -2 *2281:9 *2281:10 84.8824 -3 *2281:10 *2473:io_analog[4] 3.9674 -*END - -*D_NET *2282 0.00628664 -*CONN -*I *2473:io_analog[5] I *D user_analog_project_wrapper -*I *2474:mprj_analog[5] I *D chip_io_alt -*CAP -1 *2473:io_analog[5] 9.41481e-05 -2 *2474:mprj_analog[5] 9.90234e-05 -3 *2282:10 0.00303888 -4 *2282:9 0.00304376 -5 *2473:io_analog[5] *2288:10 1.08288e-05 -6 *2282:10 *2288:10 0 -*RES -1 *2474:mprj_analog[5] *2282:9 3.708 -2 *2282:9 *2282:10 83.2214 -3 *2282:10 *2473:io_analog[5] 3.708 -*END - -*D_NET *2283 0.00627194 -*CONN -*I *2473:io_analog[6] I *D user_analog_project_wrapper -*I *2474:mprj_analog[6] I *D chip_io_alt -*CAP -1 *2473:io_analog[6] 9.90234e-05 -2 *2474:mprj_analog[6] 9.90234e-05 -3 *2283:10 0.00303695 -4 *2283:9 0.00303695 -5 *2473:io_analog[6] *2289:9 0 -*RES -1 *2474:mprj_analog[6] *2283:9 3.708 -2 *2283:9 *2283:10 83.2214 -3 *2283:10 *2473:io_analog[6] 3.708 -*END - -*D_NET *2284 0.0108302 -*CONN -*I *2473:io_analog[7] I *D user_analog_project_wrapper -*I *2474:mprj_analog[7] I *D chip_io_alt -*CAP -1 *2473:io_analog[7] 0.00110065 -2 *2474:mprj_analog[7] 0.000946279 -3 *2284:8 0.0044688 -4 *2284:7 0.00431443 -*RES -1 *2474:mprj_analog[7] *2284:7 6.95052 -2 *2284:7 *2284:8 95.4714 -3 *2284:8 *2473:io_analog[7] 38.472 -*END - -*D_NET *2285 0.00789897 -*CONN -*I *2473:io_analog[8] I *D user_analog_project_wrapper -*I *2474:mprj_analog[8] I *D chip_io_alt -*CAP -1 *2473:io_analog[8] 0.000286702 -2 *2474:mprj_analog[8] 0.000286702 -3 *2285:8 0.00366278 -4 *2285:7 0.00366278 -*RES -1 *2474:mprj_analog[8] *2285:7 4.42635 -2 *2285:7 *2285:8 95.679 -3 *2285:8 *2473:io_analog[8] 4.42635 -*END - -*D_NET *2286 0.00845861 -*CONN -*I *2473:io_analog[9] I *D user_analog_project_wrapper -*I *2474:mprj_analog[9] I *D chip_io_alt -*CAP -1 *2473:io_analog[9] 0.000366651 -2 *2474:mprj_analog[9] 0.000486574 -3 *2286:8 0.00374273 -4 *2286:7 0.00386265 -*RES -1 *2474:mprj_analog[9] *2286:7 5.19125 -2 *2286:7 *2286:8 95.679 -3 *2286:8 *2473:io_analog[9] 4.73231 -*END - -*D_NET *2287 0.0070236 -*CONN -*I *2474:mprj_clamp_high[0] I *D chip_io_alt -*I *2473:io_clamp_high[0] I *D user_analog_project_wrapper -*CAP -1 *2474:mprj_clamp_high[0] 0.00075463 -2 *2473:io_clamp_high[0] 4.73466e-05 -3 *2287:8 0.00242287 -4 *2287:7 0.00171558 -5 *2474:mprj_clamp_high[0] *2290:10 0.000721797 -6 *2287:7 *2290:9 6.67357e-05 -7 *2287:8 *2290:10 0.00129464 -*RES -1 *2473:io_clamp_high[0] *2287:7 3.58495 -2 *2287:7 *2287:8 62.2512 -3 *2287:8 *2474:mprj_clamp_high[0] 36.3196 -*END - -*D_NET *2288 0.00881392 -*CONN -*I *2474:mprj_clamp_high[1] I *D chip_io_alt -*I *2473:io_clamp_high[1] I *D user_analog_project_wrapper -*CAP -1 *2474:mprj_clamp_high[1] 0.00152632 -2 *2473:io_clamp_high[1] 0.00163666 -3 *2288:15 0.00276489 -4 *2288:10 0.00287523 -5 *2473:io_analog[5] *2288:10 1.08288e-05 -6 *2282:10 *2288:10 0 -*RES -1 *2473:io_clamp_high[1] *2288:10 47.2728 -2 *2288:10 *2288:15 42.9996 -3 *2288:15 *2474:mprj_clamp_high[1] 43.2595 -*END - -*D_NET *2289 0.00639682 -*CONN -*I *2474:mprj_clamp_high[2] I *D chip_io_alt -*I *2473:io_clamp_high[2] I *D user_analog_project_wrapper -*CAP -1 *2474:mprj_clamp_high[2] 0.000957928 -2 *2473:io_clamp_high[2] 9.90234e-05 -3 *2289:10 0.00309938 -4 *2289:9 0.00224048 -5 *2473:io_analog[6] *2289:9 0 -*RES -1 *2473:io_clamp_high[2] *2289:9 3.708 -2 *2289:9 *2289:10 60.7978 -3 *2289:10 *2474:mprj_clamp_high[2] 27.3212 -*END - -*D_NET *2290 0.0102604 -*CONN -*I *2474:mprj_clamp_low[0] I *D chip_io_alt -*I *2473:io_clamp_low[0] I *D user_analog_project_wrapper -*CAP -1 *2474:mprj_clamp_low[0] 0.00113819 -2 *2473:io_clamp_low[0] 0.00075162 -3 *2290:10 0.00333701 -4 *2290:9 0.00295044 -5 *2474:mprj_clamp_high[0] *2290:10 0.000721797 -6 *2287:7 *2290:9 6.67357e-05 -7 *2287:8 *2290:10 0.00129464 -*RES -1 *2473:io_clamp_low[0] *2290:9 6.23218 -2 *2290:9 *2290:10 84.2596 -3 *2290:10 *2474:mprj_clamp_low[0] 40.3804 -*END - -*D_NET *2291 0.00781273 -*CONN -*I *2474:mprj_clamp_low[1] I *D chip_io_alt -*I *2473:io_clamp_low[1] I *D user_analog_project_wrapper -*CAP -1 *2474:mprj_clamp_low[1] 0.00298789 -2 *2473:io_clamp_low[1] 0.000918471 -3 *2291:9 0.00390636 -*RES -1 *2473:io_clamp_low[1] *2291:9 6.8441 -2 *2291:9 *2474:mprj_clamp_low[1] 84.7969 -*END - -*D_NET *2292 0.0081854 -*CONN -*I *2474:mprj_clamp_low[2] I *D chip_io_alt -*I *2473:io_clamp_low[2] I *D user_analog_project_wrapper -*CAP -1 *2474:mprj_clamp_low[2] 0.000872874 -2 *2473:io_clamp_low[2] 0.00107837 -3 *2292:10 0.00301433 -4 *2292:9 0.00321983 -*RES -1 *2473:io_clamp_low[2] *2292:9 7.45602 -2 *2292:9 *2292:10 60.7978 -3 *2292:10 *2474:mprj_clamp_low[2] 24.9029 -*END - -*D_NET *2293 0.0192116 -*CONN -*I *2473:gpio_analog[0] I *D user_analog_project_wrapper -*I *2474:mprj_gpio_analog[0] I *D chip_io_alt -*CAP -1 *2473:gpio_analog[0] 0.000258316 -2 *2474:mprj_gpio_analog[0] 0.000419499 -3 *2293:16 0.00200321 -4 *2293:10 0.00657838 -5 *2293:9 0.00525299 -6 *2293:9 *2311:13 0.000152576 -7 *2293:16 *2473:gpio_noesd[0] 0.000334189 -8 *2293:16 *2311:17 0.00209413 -9 *2474:mprj_io_analog_en[7] *2293:9 1.15509e-05 -10 *2474:mprj_io_analog_pol[7] *2293:9 7.39195e-05 -11 *2474:mprj_io_analog_pol[7] *2293:10 0.000199558 -12 *2474:mprj_io_dm[21] *2293:9 9.29054e-05 -13 *2474:mprj_io_dm[22] *2293:9 0.000197125 -14 *2474:mprj_io_inp_dis[7] *2293:10 0 -15 *2474:mprj_io_slow_sel[7] *2293:9 0 -16 *2018:24 *2293:10 0.000213725 -17 *2153:16 *2293:9 0.0013295 -*RES -1 *2474:mprj_gpio_analog[0] *2293:9 26.9297 -2 *2293:9 *2293:10 127.728 -3 *2293:10 *2293:16 49.5655 -4 *2293:16 *2473:gpio_analog[0] 0.483884 -*END - -*D_NET *2294 0.0190737 -*CONN -*I *2473:gpio_analog[10] I *D user_analog_project_wrapper -*I *2474:mprj_gpio_analog[10] I *D chip_io_alt -*CAP -1 *2473:gpio_analog[10] 0.00117175 -2 *2474:mprj_gpio_analog[10] 0.000554535 -3 *2294:17 0.00325465 -4 *2294:16 0.0020829 -5 *2294:14 0.00401308 -6 *2294:13 0.00456761 -7 *473:8 *2294:13 0 -8 *491:25 *2294:14 2.57111e-05 -9 *519:21 *2294:14 0.000251655 -10 *1553:33 *2294:17 0.0011138 -11 *1904:8 *2294:13 3.04407e-05 -12 *1904:13 *2294:14 0.00060197 -13 *1947:13 *2294:14 0.000104572 -14 *1974:17 *2294:13 2.16355e-05 -15 *1974:22 *2294:13 0.000200654 -16 *2136:8 *2294:13 0.00107879 -*RES -1 *2474:mprj_gpio_analog[10] *2294:13 36.0047 -2 *2294:13 *2294:14 110.535 -3 *2294:14 *2294:16 4.5 -4 *2294:16 *2294:17 58.9292 -5 *2294:17 *2473:gpio_analog[10] 7.20826 -*END - -*D_NET *2295 0.014458 -*CONN -*I *2473:gpio_analog[11] I *D user_analog_project_wrapper -*I *2474:mprj_gpio_analog[11] I *D chip_io_alt -*CAP -1 *2473:gpio_analog[11] 0.00183248 -2 *2474:mprj_gpio_analog[11] 0.00123685 -3 *2295:16 0.00534914 -4 *2295:15 0.00351665 -5 *2295:13 0.00123685 -6 *2473:gpio_analog[11] *2473:gpio_noesd[11] 0 -7 *2473:gpio_analog[11] *2313:19 0 -8 *2295:13 *2313:15 0.000666233 -9 *2474:mprj_io_analog_en[18] *2295:13 5.23318e-05 -10 *2474:mprj_io_analog_sel[18] *2295:13 0 -11 *2474:mprj_io_dm[54] *2295:13 0 -12 *2474:mprj_io_dm[55] *2295:13 0.00019711 -13 *2474:mprj_io_holdover[18] *2295:13 0.000125378 -14 *2474:mprj_io_inp_dis[18] *2295:13 0 -15 *107:57 *2295:13 0 -16 *107:57 *2295:16 0.000142393 -17 *1786:18 *2295:13 0 -18 *1840:27 *2295:13 0.000102556 -19 *1948:22 *2295:13 0 -*RES -1 *2474:mprj_gpio_analog[11] *2295:13 49.2657 -2 *2295:13 *2295:15 4.5 -3 *2295:15 *2295:16 93.3422 -4 *2295:16 *2473:gpio_analog[11] 16.5089 -*END - -*D_NET *2296 0.0186777 -*CONN -*I *2473:gpio_analog[12] I *D user_analog_project_wrapper -*I *2474:mprj_gpio_analog[12] I *D chip_io_alt -*CAP -1 *2473:gpio_analog[12] 0.00173875 -2 *2474:mprj_gpio_analog[12] 0.000852727 -3 *2296:17 0.00398912 -4 *2296:16 0.00225037 -5 *2296:14 0.00358386 -6 *2296:13 0.00443658 -7 *2473:gpio_analog[12] *2473:gpio_noesd[12] 0 -8 *2296:13 *2314:19 0.000208984 -9 *2296:17 *2366:10 0 -10 *2474:mprj_io_slow_sel[19] *2296:13 0 -11 *475:16 *2296:13 0 -12 *1787:8 *2296:13 0.0013897 -13 *2057:29 *2296:13 0.000227569 -*RES -1 *2474:mprj_gpio_analog[12] *2296:13 45.1986 -2 *2296:13 *2296:14 93.3422 -3 *2296:14 *2296:16 4.5 -4 *2296:16 *2296:17 63.4969 -5 *2296:17 *2473:gpio_analog[12] 9.03737 -*END - -*D_NET *2297 0.0236553 -*CONN -*I *2473:gpio_analog[13] I *D user_analog_project_wrapper -*I *2474:mprj_gpio_analog[13] I *D chip_io_alt -*CAP -1 *2473:gpio_analog[13] 0.0015225 -2 *2474:mprj_gpio_analog[13] 0.000716966 -3 *2297:17 0.00295067 -4 *2297:16 0.00142817 -5 *2297:14 0.00247728 -6 *2297:12 0.00319425 -7 *2473:gpio_analog[13] *2473:gpio_noesd[13] 0 -8 *2297:12 *2315:13 0.000148615 -9 *2297:14 *2315:16 0.00921915 -10 *2297:17 *2315:19 0.00173435 -11 *2474:mprj_io_slow_sel[20] *2297:12 9.4338e-05 -12 *474:48 *2297:12 0 -13 *2005:10 *2297:12 0 -14 *2005:11 *2297:12 0 -15 *2113:16 *2297:12 0.000169016 -*RES -1 *2474:mprj_gpio_analog[13] *2297:12 26.2077 -2 *2297:12 *2297:14 103.88 -3 *2297:14 *2297:16 4.5 -4 *2297:16 *2297:17 56.8529 -5 *2297:17 *2473:gpio_analog[13] 8.19764 -*END - -*D_NET *2298 0.0150494 -*CONN -*I *2473:gpio_analog[14] I *D user_analog_project_wrapper -*I *2474:mprj_gpio_analog[14] I *D chip_io_alt -*CAP -1 *2473:gpio_analog[14] 0.0021892 -2 *2474:mprj_gpio_analog[14] 0.000735177 -3 *2298:22 0.00583194 -4 *2298:21 0.00437792 -5 *2473:gpio_analog[14] *2473:gpio_noesd[14] 0 -6 *2298:21 *2316:13 0.00101381 -7 *2474:mprj_io_analog_en[21] *2298:21 1.64263e-05 -8 *2474:mprj_io_analog_pol[21] *2298:21 5.6259e-05 -9 *2474:mprj_io_dm[63] *2298:21 0.00019711 -10 *2474:mprj_io_dm[64] *2298:21 0.000327004 -11 *2474:mprj_io_holdover[21] *2298:22 0.000199733 -12 *2474:mprj_io_inp_dis[21] *2298:21 0.0001048 -13 *2474:mprj_io_inp_dis[21] *2298:22 0 -14 *2087:8 *2298:21 0 -*RES -1 *2474:mprj_gpio_analog[14] *2298:21 43.8835 -2 *2298:21 *2298:22 96.1152 -3 *2298:22 *2473:gpio_analog[14] 23.3276 -*END - -*D_NET *2299 0.0152277 -*CONN -*I *2473:gpio_analog[15] I *D user_analog_project_wrapper -*I *2474:mprj_gpio_analog[15] I *D chip_io_alt -*CAP -1 *2473:gpio_analog[15] 0.00191058 -2 *2474:mprj_gpio_analog[15] 0.00130152 -3 *2299:24 0.00474718 -4 *2299:23 0.00283661 -5 *2299:21 0.00130152 -6 *2473:gpio_analog[15] *2473:gpio_noesd[15] 7.93703e-05 -7 *2299:21 *2317:12 3.0329e-05 -8 *2299:24 *2317:14 0.00221995 -9 *2474:mprj_io_analog_sel[22] *2299:24 0 -10 *2474:mprj_io_dm[66] *2299:21 0 -11 *2474:mprj_io_dm[67] *2299:21 0.00019711 -12 *2474:mprj_io_out[22] *2299:21 7.61489e-05 -13 *477:26 *2299:21 0.000169016 -14 *1791:19 *2299:21 4.05482e-05 -15 *1922:25 *2299:21 0.000317812 -16 *2115:8 *2299:21 0 -17 *2169:16 *2299:21 0 -*RES -1 *2474:mprj_gpio_analog[15] *2299:21 49.1549 -2 *2299:21 *2299:23 4.5 -3 *2299:23 *2299:24 93.3422 -4 *2299:24 *2473:gpio_analog[15] 16.6619 -*END - -*D_NET *2300 0.014926 -*CONN -*I *2473:gpio_analog[16] I *D user_analog_project_wrapper -*I *2474:mprj_gpio_analog[16] I *D chip_io_alt -*CAP -1 *2473:gpio_analog[16] 0.00204491 -2 *2474:mprj_gpio_analog[16] 0.00074767 -3 *2300:22 0.00545025 -4 *2300:21 0.00388474 -5 *2300:18 0.00122706 -6 *2473:gpio_analog[16] *2473:gpio_noesd[16] 4.88112e-06 -7 *2474:mprj_io_analog_en[23] *2300:18 0 -8 *2474:mprj_io_dm[69] *2300:18 0.000126443 -9 *2474:mprj_io_dm[70] *2300:18 8.6956e-05 -10 *494:20 *2300:21 0.000202475 -11 *1819:8 *2300:21 0.000602602 -12 *1846:8 *2300:18 0 -13 *1925:8 *2300:18 0.000232116 -14 *1926:16 *2300:21 0.000315878 -*RES -1 *2474:mprj_gpio_analog[16] *2300:18 38.7735 -2 *2300:18 *2300:21 24.6031 -3 *2300:21 *2300:22 88.9054 -4 *2300:22 *2473:gpio_analog[16] 21.9982 -*END - -*D_NET *2301 0.0218031 -*CONN -*I *2473:gpio_analog[17] I *D user_analog_project_wrapper -*I *2474:mprj_gpio_analog[17] I *D chip_io_alt -*CAP -1 *2473:gpio_analog[17] 0.00179814 -2 *2474:mprj_gpio_analog[17] 0.00014576 -3 *2301:14 0.00554523 -4 *2301:13 0.00374709 -5 *2301:11 0.00186849 -6 *2301:10 0.00201425 -7 *2473:gpio_analog[17] *2473:io_in[24] 4.62112e-05 -8 *477:26 *2301:11 0.000437268 -9 *514:14 *2301:11 0 -10 *1793:14 *2301:11 0 -11 *1927:15 *2301:10 0.00019711 -12 *1927:15 *2301:11 0.00281143 -13 *1955:8 *2301:11 0.00319213 -14 *2009:11 *2301:11 0 -*RES -1 *2474:mprj_gpio_analog[17] *2301:10 13.4779 -2 *2301:10 *2301:11 87.3739 -3 *2301:11 *2301:13 4.5 -4 *2301:13 *2301:14 97.779 -5 *2301:14 *2473:gpio_analog[17] 14.0103 -*END - -*D_NET *2302 0.0294676 -*CONN -*I *2473:gpio_analog[1] I *D user_analog_project_wrapper -*I *2474:mprj_gpio_analog[1] I *D chip_io_alt -*CAP -1 *2473:gpio_analog[1] 0.00156681 -2 *2474:mprj_gpio_analog[1] 0.00066452 -3 *2302:19 0.00239282 -4 *2302:18 0.000826006 -5 *2302:16 0.00286311 -6 *2302:15 0.00352763 -7 *2473:gpio_analog[1] *2473:gpio_noesd[1] 0 -8 *2302:15 *2320:15 0.00126387 -9 *2302:16 *2320:16 0.0104591 -10 *2302:19 *2381:10 0.000153257 -11 *2302:19 *2382:10 0.00329586 -12 *2302:19 *2408:10 0.00134023 -13 *2302:19 *2409:10 0 -14 *2474:mprj_io_analog_pol[8] *2302:15 5.62734e-05 -15 *2474:mprj_io_dm[24] *2302:15 0.000197125 -16 *2474:mprj_io_dm[25] *2302:15 0.000197125 -17 *2474:mprj_io_inp_dis[8] *2302:15 6.89664e-05 -18 *2019:11 *2302:15 0.000260215 -19 *2073:31 *2302:15 0.000334611 -*RES -1 *2474:mprj_gpio_analog[1] *2302:15 46.8241 -2 *2302:15 *2302:16 118.854 -3 *2302:16 *2302:18 4.5 -4 *2302:18 *2302:19 53.1156 -5 *2302:19 *2473:gpio_analog[1] 8.64827 -*END - -*D_NET *2303 0.0188574 -*CONN -*I *2473:gpio_analog[2] I *D user_analog_project_wrapper -*I *2474:mprj_gpio_analog[2] I *D chip_io_alt -*CAP -1 *2473:gpio_analog[2] 0.00178557 -2 *2474:mprj_gpio_analog[2] 0.000544981 -3 *2303:17 0.00333419 -4 *2303:16 0.00154863 -5 *2303:14 0.00429281 -6 *2303:13 0.00483779 -7 *2473:gpio_analog[2] *2473:gpio_noesd[2] 0 -8 *2303:13 *2321:13 0.000941127 -9 *2303:17 *2355:16 0.000316067 -10 *2303:17 *2384:10 0 -11 *2303:17 *2409:10 0 -12 *2474:mprj_io_analog_en[9] *2303:13 4.40471e-05 -13 *2474:mprj_io_analog_pol[9] *2303:13 9.43525e-05 -14 *2474:mprj_io_dm[27] *2303:13 6.35532e-05 -15 *2474:mprj_io_dm[28] *2303:13 0.000210531 -16 *2474:mprj_io_inp_dis[9] *2303:13 8.16595e-05 -17 *2474:mprj_io_inp_dis[9] *2303:14 0 -18 *1803:27 *2303:13 0.000747954 -19 *1879:44 *2303:13 1.41761e-05 -*RES -1 *2474:mprj_gpio_analog[2] *2303:13 33.4211 -2 *2303:13 *2303:14 113.308 -3 *2303:14 *2303:16 4.5 -4 *2303:16 *2303:17 47.3021 -5 *2303:17 *2473:gpio_analog[2] 9.27848 -*END - -*D_NET *2304 0.0178974 -*CONN -*I *2473:gpio_analog[3] I *D user_analog_project_wrapper -*I *2474:mprj_gpio_analog[3] I *D chip_io_alt -*CAP -1 *2473:gpio_analog[3] 0.00197995 -2 *2474:mprj_gpio_analog[3] 0.000516141 -3 *2304:20 0.00668625 -4 *2304:19 0.00522244 -5 *2473:gpio_analog[3] *2473:gpio_noesd[3] 0 -6 *2473:gpio_analog[3] *2322:17 0.00159927 -7 *2304:19 *2322:13 0.000503531 -8 *2474:mprj_io_analog_en[10] *2304:19 0.000159409 -9 *2474:mprj_io_dm[30] *2304:19 9.43525e-05 -10 *2474:mprj_io_dm[31] *2304:19 9.43525e-05 -11 *2474:mprj_io_dm[32] *2304:19 0.000315402 -12 *2474:mprj_io_inp_dis[10] *2304:20 0.000344312 -13 *2474:mprj_io_slow_sel[10] *2304:19 0 -14 *103:31 *2473:gpio_analog[3] 0.000231696 -15 *2130:20 *2304:19 0.000150242 -*RES -1 *2474:mprj_gpio_analog[3] *2304:19 28.6823 -2 *2304:19 *2304:20 127.728 -3 *2304:20 *2473:gpio_analog[3] 46.1442 -*END - -*D_NET *2305 0.0289585 -*CONN -*I *2473:gpio_analog[4] I *D user_analog_project_wrapper -*I *2474:mprj_gpio_analog[4] I *D chip_io_alt -*CAP -1 *2473:gpio_analog[4] 0.00147153 -2 *2474:mprj_gpio_analog[4] 0.000510906 -3 *2305:27 0 -4 *2305:17 0.00264074 -5 *2305:16 0.00116921 -6 *2305:14 0.0024729 -7 *2305:13 0.0029838 -8 *2305:14 *2323:12 0.0107491 -9 *2305:17 *2385:10 0.000499606 -10 *2474:mprj_io_analog_pol[11] *2305:13 0.00103372 -11 *2474:mprj_io_dm[34] *2305:13 3.6695e-05 -12 *1889:12 *2305:13 0.000171064 -13 *1996:32 *2305:13 0.000910387 -14 *2022:11 *2305:17 0.00215484 -15 *2049:30 *2305:13 1.3813e-05 -16 *2050:18 *2305:13 0.00184568 -17 *2158:15 *2305:13 0.000294474 -*RES -1 *2474:mprj_gpio_analog[4] *2305:13 49.8502 -2 *2305:13 *2305:14 113.308 -3 *2305:14 *2305:16 4.5 -4 *2305:16 *2305:17 51.0394 -5 *2305:17 *2473:gpio_analog[4] 8.71811 -6 *2473:gpio_analog[4] *2305:27 0.0498849 -*END - -*D_NET *2306 0.0134966 -*CONN -*I *2473:gpio_analog[5] I *D user_analog_project_wrapper -*I *2474:mprj_gpio_analog[5] I *D chip_io_alt -*CAP -1 *2473:gpio_analog[5] 0.00169641 -2 *2474:mprj_gpio_analog[5] 0.000173258 -3 *2306:10 0.00625536 -4 *2306:9 0.00473221 -5 *2473:gpio_analog[5] *2473:gpio_noesd[5] 0 -6 *2473:gpio_analog[5] *2359:10 0 -7 *2474:mprj_io_slow_sel[12] *2306:9 0 -8 *1889:30 *2306:9 0.000436901 -9 *2131:22 *2306:9 0.000202487 -*RES -1 *2474:mprj_gpio_analog[5] *2306:9 13.2936 -2 *2306:9 *2306:10 121.627 -3 *2306:10 *2473:gpio_analog[5] 25.0205 -*END - -*D_NET *2307 0.0133395 -*CONN -*I *2473:gpio_analog[6] I *D user_analog_project_wrapper -*I *2474:mprj_gpio_analog[6] I *D chip_io_alt -*CAP -1 *2473:gpio_analog[6] 0.00185845 -2 *2474:mprj_gpio_analog[6] 0.000293167 -3 *2307:10 0.00588304 -4 *2307:9 0.00431775 -5 *2473:gpio_analog[6] *2473:gpio_noesd[6] 0 -6 *2474:mprj_io_slow_sel[13] *2307:9 0.000216644 -7 *1997:13 *2307:9 0.000770417 -*RES -1 *2474:mprj_gpio_analog[6] *2307:9 18.6919 -2 *2307:9 *2307:10 110.535 -3 *2307:10 *2473:gpio_analog[6] 21.6981 -*END - -*D_NET *2308 0.0170935 -*CONN -*I *2473:gpio_analog[7] I *D user_analog_project_wrapper -*I *2474:mprj_gpio_analog[7] I *D chip_io_alt -*CAP -1 *2473:gpio_analog[7] 0.0016178 -2 *2474:mprj_gpio_analog[7] 0.000387751 -3 *2308:13 0.00368609 -4 *2308:12 0.00206829 -5 *2308:10 0.00362532 -6 *2308:9 0.00401307 -7 *2474:mprj_io_analog_en[14] *2308:9 0.000180791 -8 *2474:mprj_io_slow_sel[14] *2308:9 0.00065866 -9 *1895:8 *2308:9 0.00045549 -10 *1998:7 *2308:9 0.000400265 -*RES -1 *2474:mprj_gpio_analog[7] *2308:9 27.2656 -2 *2308:9 *2308:10 96.1152 -3 *2308:10 *2308:12 4.5 -4 *2308:12 *2308:13 58.5139 -5 *2308:13 *2473:gpio_analog[7] 9.26186 -*END - -*D_NET *2309 0.0148987 -*CONN -*I *2473:gpio_analog[8] I *D user_analog_project_wrapper -*I *2474:mprj_gpio_analog[8] I *D chip_io_alt -*CAP -1 *2473:gpio_analog[8] 0.00168757 -2 *2474:mprj_gpio_analog[8] 0.000345609 -3 *2309:14 0.005105 -4 *2309:13 0.00403631 -5 *2309:10 0.000964495 -6 *2473:gpio_analog[8] *2473:gpio_noesd[8] 0 -7 *2473:gpio_analog[8] *2327:15 0 -8 *2309:10 *2327:11 0.000171079 -9 *2474:mprj_io_analog_sel[15] *2309:14 0 -10 *2474:mprj_io_dm[45] *2309:13 0.000353381 -11 *2474:mprj_io_dm[46] *2309:10 8.17577e-05 -12 *2474:mprj_io_dm[46] *2309:13 0.000107729 -13 *471:16 *2309:13 1.87125e-05 -14 *489:14 *2309:13 0 -15 *2053:14 *2309:13 0.000805888 -16 *2161:17 *2309:13 0.00122114 -*RES -1 *2474:mprj_gpio_analog[8] *2309:10 18.6087 -2 *2309:10 *2309:13 37.8912 -3 *2309:13 *2309:14 91.6784 -4 *2309:14 *2473:gpio_analog[8] 15.2116 -*END - -*D_NET *2310 0.0223825 -*CONN -*I *2473:gpio_analog[9] I *D user_analog_project_wrapper -*I *2474:mprj_gpio_analog[9] I *D chip_io_alt -*CAP -1 *2473:gpio_analog[9] 0.00144202 -2 *2474:mprj_gpio_analog[9] 0.000477486 -3 *2310:17 0.00200953 -4 *2310:16 0.000567503 -5 *2310:14 0.00389243 -6 *2310:13 0.00436992 -7 *2473:gpio_analog[9] *2473:gpio_noesd[9] 0 -8 *2310:17 *2328:23 0.00296335 -9 *2310:17 *2336:16 0.00400051 -10 *2310:17 *2363:10 0.000502815 -11 *1811:8 *2310:13 0.00151273 -12 *1900:8 *2310:13 0.000510264 -13 *1901:8 *2310:13 0.00013391 -14 *2162:16 *2310:14 0 -*RES -1 *2474:mprj_gpio_analog[9] *2310:13 41.7805 -2 *2310:13 *2310:14 102.216 -3 *2310:14 *2310:16 4.5 -4 *2310:16 *2310:17 63.9122 -5 *2310:17 *2473:gpio_analog[9] 8.30074 -*END - -*D_NET *2311 0.0208103 -*CONN -*I *2473:gpio_noesd[0] I *D user_analog_project_wrapper -*I *2474:mprj_gpio_noesd[0] I *D chip_io_alt -*CAP -1 *2473:gpio_noesd[0] 0.000832844 -2 *2474:mprj_gpio_noesd[0] 0.000408903 -3 *2311:17 0.00221759 -4 *2311:16 0.00138475 -5 *2311:14 0.00483592 -6 *2311:13 0.00524482 -7 *2474:mprj_io_analog_en[7] *2311:13 4.65615e-06 -8 *2474:mprj_io_dm[21] *2311:13 5.481e-05 -9 *2474:mprj_io_dm[23] *2311:14 0 -10 *2474:mprj_io_holdover[7] *2311:14 0 -11 *2125:20 *2311:13 0.0015418 -12 *2126:16 *2311:13 0.000165067 -13 *2153:16 *2311:13 0.00153821 -14 *2293:9 *2311:13 0.000152576 -15 *2293:16 *2473:gpio_noesd[0] 0.000334189 -16 *2293:16 *2311:17 0.00209413 -*RES -1 *2474:mprj_gpio_noesd[0] *2311:13 42.9896 -2 *2311:13 *2311:14 126.064 -3 *2311:14 *2311:16 4.5 -4 *2311:16 *2311:17 51.0394 -5 *2311:17 *2473:gpio_noesd[0] 6.97546 -*END - -*D_NET *2312 0.0264325 -*CONN -*I *2473:gpio_noesd[10] I *D user_analog_project_wrapper -*I *2474:mprj_gpio_noesd[10] I *D chip_io_alt -*CAP -1 *2473:gpio_noesd[10] 0.00136892 -2 *2474:mprj_gpio_noesd[10] 0.00064879 -3 *2312:10 0.00234875 -4 *2312:9 0.00162862 -5 *2473:gpio_noesd[10] *2473:io_in[17] 1.66626e-05 -6 *2312:10 *2337:17 0.00735098 -7 *2473:io_in_3v3[17] *2473:gpio_noesd[10] 0.000488429 -8 *2474:mprj_io_analog_en[17] *2312:9 9.29258e-05 -9 *2474:mprj_io_analog_pol[17] *2312:9 0.00019711 -10 *2474:mprj_io_analog_sel[17] *2312:9 0.000171064 -11 *2474:mprj_io_dm[51] *2312:9 0.000132378 -12 *2474:mprj_io_dm[53] *2312:9 0.00019711 -13 *2474:mprj_io_inp_dis[17] *2312:9 9.29258e-05 -14 *2474:mprj_io_out[17] *2312:10 0 -15 *1947:23 *2312:10 0.0003295 -16 *1974:22 *2312:9 0 -17 *1974:24 *2312:9 0.00222963 -18 *2028:10 *2312:10 0.00913874 -*RES -1 *2474:mprj_gpio_noesd[10] *2312:9 41.2376 -2 *2312:9 *2312:10 98.3336 -3 *2312:10 *2473:gpio_noesd[10] 13.8873 -*END - -*D_NET *2313 0.0177812 -*CONN -*I *2473:gpio_noesd[11] I *D user_analog_project_wrapper -*I *2474:mprj_gpio_noesd[11] I *D chip_io_alt -*CAP -1 *2473:gpio_noesd[11] 0.00168394 -2 *2474:mprj_gpio_noesd[11] 0.000907095 -3 *2313:19 0.00380748 -4 *2313:18 0.00212354 -5 *2313:16 0.00363236 -6 *2313:15 0.00453946 -7 *2473:gpio_analog[11] *2473:gpio_noesd[11] 0 -8 *2473:gpio_analog[11] *2313:19 0 -9 *2473:io_in_3v3[18] *2473:gpio_noesd[11] 0 -10 *2474:mprj_io_analog_en[18] *2313:15 0 -11 *107:51 *2313:15 0.000152131 -12 *1786:18 *2313:15 0 -13 *1813:16 *2313:16 1.19726e-05 -14 *1840:27 *2313:15 0.000113119 -15 *1909:15 *2313:16 0.000143859 -16 *2137:10 *2313:15 0 -17 *2295:13 *2313:15 0.000666233 -*RES -1 *2474:mprj_gpio_noesd[11] *2313:15 43.3916 -2 *2313:15 *2313:16 95.5606 -3 *2313:16 *2313:18 4.5 -4 *2313:18 *2313:19 59.7597 -5 *2313:19 *2473:gpio_noesd[11] 9.02407 -*END - -*D_NET *2314 0.021646 -*CONN -*I *2473:gpio_noesd[12] I *D user_analog_project_wrapper -*I *2474:mprj_gpio_noesd[12] I *D chip_io_alt -*CAP -1 *2473:gpio_noesd[12] 0.00160035 -2 *2474:mprj_gpio_noesd[12] 0.000546084 -3 *2314:23 0.00205466 -4 *2314:22 0.000454307 -5 *2314:20 0.00402073 -6 *2314:19 0.00456682 -7 *2314:23 *2339:16 0.0034407 -8 *2314:23 *2366:10 0.00344537 -9 *2473:gpio_analog[12] *2473:gpio_noesd[12] 0 -10 *2473:io_in_3v3[19] *2473:gpio_noesd[12] 0 -11 *2474:mprj_io_dm[58] *2314:19 0.000138011 -12 *2474:mprj_io_slow_sel[19] *2314:19 0.00019711 -13 *2474:mprj_io_slow_sel[19] *2314:20 0 -14 *474:42 *2314:19 0.000116362 -15 *475:16 *2314:19 0 -16 *1911:18 *2314:19 0.000856481 -17 *2296:13 *2314:19 0.000208984 -*RES -1 *2474:mprj_gpio_noesd[12] *2314:19 37.2917 -2 *2314:19 *2314:20 103.325 -3 *2314:20 *2314:22 4.5 -4 *2314:22 *2314:23 55.1919 -5 *2314:23 *2473:gpio_noesd[12] 8.31404 -*END - -*D_NET *2315 0.0257481 -*CONN -*I *2473:gpio_noesd[13] I *D user_analog_project_wrapper -*I *2474:mprj_gpio_noesd[13] I *D chip_io_alt -*CAP -1 *2473:gpio_noesd[13] 0.00158807 -2 *2474:mprj_gpio_noesd[13] 0.00108371 -3 *2315:19 0.00327408 -4 *2315:18 0.00168601 -5 *2315:16 0.00223168 -6 *2315:15 0.00223168 -7 *2315:13 0.00108371 -8 *2473:gpio_analog[13] *2473:gpio_noesd[13] 0 -9 *2473:io_in_3v3[20] *2473:gpio_noesd[13] 0 -10 *2474:mprj_io_dm[61] *2315:13 9.4338e-05 -11 *474:48 *2315:13 0 -12 *1915:8 *2315:13 0.00110558 -13 *2086:13 *2315:13 0.000129446 -14 *2113:16 *2315:13 0.000137648 -15 *2297:12 *2315:13 0.000148615 -16 *2297:14 *2315:16 0.00921915 -17 *2297:17 *2315:19 0.00173435 -*RES -1 *2474:mprj_gpio_noesd[13] *2315:13 46.501 -2 *2315:13 *2315:15 4.5 -3 *2315:15 *2315:16 97.779 -4 *2315:16 *2315:18 4.5 -5 *2315:18 *2315:19 63.9122 -6 *2315:19 *2473:gpio_noesd[13] 8.42878 -*END - -*D_NET *2316 0.0153674 -*CONN -*I *2473:gpio_noesd[14] I *D user_analog_project_wrapper -*I *2474:mprj_gpio_noesd[14] I *D chip_io_alt -*CAP -1 *2473:gpio_noesd[14] 0.00186349 -2 *2474:mprj_gpio_noesd[14] 0.000640894 -3 *2316:14 0.00541284 -4 *2316:13 0.00419024 -5 *2473:gpio_analog[14] *2473:gpio_noesd[14] 0 -6 *2474:mprj_io_analog_en[21] *2316:13 9.7442e-05 -7 *2474:mprj_io_dm[63] *2316:13 9.19632e-06 -8 *2474:mprj_io_dm[65] *2316:14 0 -9 *2474:mprj_io_holdover[21] *2316:13 0.000986711 -10 *2474:mprj_io_oeb[21] *2316:13 0.000856496 -11 *2474:mprj_io_out[21] *2316:14 0.000296309 -12 *2087:8 *2316:13 0 -13 *2298:21 *2316:13 0.00101381 -*RES -1 *2474:mprj_gpio_noesd[14] *2316:13 48.047 -2 *2316:13 *2316:14 95.5606 -3 *2316:14 *2473:gpio_noesd[14] 14.1571 -*END - -*D_NET *2317 0.0146454 -*CONN -*I *2473:gpio_noesd[15] I *D user_analog_project_wrapper -*I *2474:mprj_gpio_noesd[15] I *D chip_io_alt -*CAP -1 *2473:gpio_noesd[15] 0.00216223 -2 *2474:mprj_gpio_noesd[15] 0.000725288 -3 *2317:14 0.00514019 -4 *2317:12 0.00370325 -5 *2473:gpio_analog[15] *2473:gpio_noesd[15] 7.93703e-05 -6 *2474:mprj_io_analog_en[22] *2317:12 4.35659e-05 -7 *2474:mprj_io_analog_pol[22] *2317:12 0.000107031 -8 *2474:mprj_io_dm[66] *2317:12 7.22422e-05 -9 *2474:mprj_io_inp_dis[22] *2317:12 8.1645e-05 -10 *2474:mprj_io_out[22] *2317:12 0.000140955 -11 *2474:mprj_io_out[22] *2317:14 0 -12 *477:26 *2317:12 0.000139306 -13 *1791:19 *2317:12 0 -14 *2115:8 *2317:12 0 -15 *2299:21 *2317:12 3.0329e-05 -16 *2299:24 *2317:14 0.00221995 -*RES -1 *2474:mprj_gpio_noesd[15] *2317:12 28.1924 -2 *2317:12 *2317:14 96.6698 -3 *2317:14 *2473:gpio_noesd[15] 24.4769 -*END - -*D_NET *2318 0.0148748 -*CONN -*I *2473:gpio_noesd[16] I *D user_analog_project_wrapper -*I *2474:mprj_gpio_noesd[16] I *D chip_io_alt -*CAP -1 *2473:gpio_noesd[16] 0.00188738 -2 *2474:mprj_gpio_noesd[16] 0.000757683 -3 *2318:10 0.00557646 -4 *2318:9 0.00444677 -5 *2473:gpio_analog[16] *2473:gpio_noesd[16] 4.88112e-06 -6 *2474:mprj_io_analog_sel[23] *2318:9 0.000528062 -7 *2474:mprj_io_dm[69] *2318:9 0.000417632 -8 *2474:mprj_io_dm[71] *2318:10 0 -9 *2474:mprj_io_holdover[23] *2318:10 0 -10 *2474:mprj_io_inp_dis[23] *2318:9 0.000405758 -11 *2474:mprj_io_vtrip_sel[23] *2318:9 0.000308715 -12 *110:87 *2473:gpio_noesd[16] 1.7794e-05 -13 *495:49 *2473:gpio_noesd[16] 0.000523689 -14 *1846:8 *2318:9 0 -*RES -1 *2474:mprj_gpio_noesd[16] *2318:9 33.4944 -2 *2318:9 *2318:10 95.5606 -3 *2318:10 *2473:gpio_noesd[16] 19.21 -*END - -*D_NET *2319 0.0244674 -*CONN -*I *2473:gpio_noesd[17] I *D user_analog_project_wrapper -*I *2474:mprj_gpio_noesd[17] I *D chip_io_alt -*CAP -1 *2473:gpio_noesd[17] 0.00209377 -2 *2474:mprj_gpio_noesd[17] 0.000407322 -3 *2319:14 0.00558372 -4 *2319:13 0.00348994 -5 *2319:11 0.000755917 -6 *2319:10 0.00116324 -7 *2473:gpio_noesd[17] *2473:io_in[24] 0.000536397 -8 *2473:gpio_noesd[17] *2399:10 0 -9 *2473:io_in_3v3[24] *2473:gpio_noesd[17] 0 -10 *2474:mprj_io_analog_en[24] *2319:10 0 -11 *2474:mprj_io_dm[73] *2319:10 0 -12 *494:20 *2319:11 0.000495892 -13 *1820:14 *2319:11 0.00434582 -14 *1927:15 *2319:10 0.00019711 -15 *2063:8 *2319:11 0.000337479 -16 *2090:8 *2319:11 0.00506077 -*RES -1 *2474:mprj_gpio_noesd[17] *2319:10 19.5785 -2 *2319:10 *2319:11 81.5604 -3 *2319:11 *2319:13 4.5 -4 *2319:13 *2319:14 90.5692 -5 *2319:14 *2473:gpio_noesd[17] 22.3141 -*END - -*D_NET *2320 0.0260047 -*CONN -*I *2473:gpio_noesd[1] I *D user_analog_project_wrapper -*I *2474:mprj_gpio_noesd[1] I *D chip_io_alt -*CAP -1 *2473:gpio_noesd[1] 0.0019278 -2 *2474:mprj_gpio_noesd[1] 0.000318884 -3 *2320:21 0.00356234 -4 *2320:18 0.00163455 -5 *2320:16 0.00254042 -6 *2320:15 0.00285931 -7 *2473:gpio_noesd[1] *2473:io_in[8] 0 -8 *2320:21 *2355:16 0 -9 *2473:gpio_analog[1] *2473:gpio_noesd[1] 0 -10 *2473:io_in_3v3[8] *2473:gpio_noesd[1] 0 -11 *2474:mprj_io_analog_en[8] *2320:15 6.38108e-05 -12 *2474:mprj_io_dm[24] *2320:15 0.000111722 -13 *1965:8 *2320:15 0.000173198 -14 *2019:11 *2320:15 0.00108964 -15 *2302:15 *2320:15 0.00126387 -16 *2302:16 *2320:16 0.0104591 -*RES -1 *2474:mprj_gpio_noesd[1] *2320:15 36.5728 -2 *2320:15 *2320:16 111.09 -3 *2320:16 *2320:18 4.5 -4 *2320:18 *2320:21 49.4251 -5 *2320:21 *2473:gpio_noesd[1] 6.30878 -*END - -*D_NET *2321 0.0206045 -*CONN -*I *2473:gpio_noesd[2] I *D user_analog_project_wrapper -*I *2474:mprj_gpio_noesd[2] I *D chip_io_alt -*CAP -1 *2473:gpio_noesd[2] 0.00128072 -2 *2474:mprj_gpio_noesd[2] 0.000622644 -3 *2321:17 0.00331205 -4 *2321:16 0.00203133 -5 *2321:14 0.00471184 -6 *2321:13 0.00533448 -7 *2473:gpio_analog[2] *2473:gpio_noesd[2] 0 -8 *2473:io_in_3v3[9] *2473:gpio_noesd[2] 0 -9 *2474:mprj_io_analog_en[9] *2321:13 0.000110297 -10 *2474:mprj_io_analog_sel[9] *2321:13 5.66111e-05 -11 *2474:mprj_io_dm[27] *2321:13 0 -12 *101:21 *2321:14 3.6695e-05 -13 *1879:44 *2321:13 0.00193517 -14 *2156:17 *2321:13 0.000231536 -15 *2303:13 *2321:13 0.000941127 -*RES -1 *2474:mprj_gpio_noesd[2] *2321:13 48.1864 -2 *2321:13 *2321:14 124.955 -3 *2321:14 *2321:16 4.5 -4 *2321:16 *2321:17 56.4377 -5 *2321:17 *2473:gpio_noesd[2] 7.63062 -*END - -*D_NET *2322 0.0195168 -*CONN -*I *2473:gpio_noesd[3] I *D user_analog_project_wrapper -*I *2474:mprj_gpio_noesd[3] I *D chip_io_alt -*CAP -1 *2473:gpio_noesd[3] 0.00115813 -2 *2474:mprj_gpio_noesd[3] 0.000531812 -3 *2322:17 0.00238809 -4 *2322:14 0.00597398 -5 *2322:13 0.00527584 -6 *2473:gpio_analog[3] *2473:gpio_noesd[3] 0 -7 *2473:gpio_analog[3] *2322:17 0.00159927 -8 *2473:io_in_3v3[10] *2473:gpio_noesd[3] 0 -9 *2474:mprj_io_analog_en[10] *2322:13 0.000101437 -10 *2474:mprj_io_analog_pol[10] *2322:13 0 -11 *2474:mprj_io_dm[32] *2322:13 0.00158069 -12 *2474:mprj_io_inp_dis[10] *2322:13 0.000280625 -13 *2103:18 *2322:13 0.000123379 -14 *2304:19 *2322:13 0.000503531 -*RES -1 *2474:mprj_gpio_noesd[3] *2322:13 42.3728 -2 *2322:13 *2322:14 126.618 -3 *2322:14 *2322:17 48.0648 -4 *2322:17 *2473:gpio_noesd[3] 7.43939 -*END - -*D_NET *2323 0.0249001 -*CONN -*I *2473:gpio_noesd[4] I *D user_analog_project_wrapper -*I *2474:mprj_gpio_noesd[4] I *D chip_io_alt -*CAP -1 *2473:gpio_noesd[4] 0.00128478 -2 *2474:mprj_gpio_noesd[4] 0.000445118 -3 *2323:17 0.00243367 -4 *2323:12 0.00394287 -5 *2323:11 0.0032391 -6 *2323:17 *2359:10 0.00085443 -7 *2473:io_in_3v3[11] *2473:gpio_noesd[4] 0 -8 *2474:mprj_io_out[11] *2323:11 0.000128423 -9 *1889:12 *2323:11 6.51149e-06 -10 *1889:18 *2323:11 0.0012032 -11 *1996:32 *2323:11 0.000144289 -12 *2050:18 *2323:11 0.000416432 -13 *2131:21 *2323:12 5.22351e-05 -14 *2305:14 *2323:12 0.0107491 -*RES -1 *2474:mprj_gpio_noesd[4] *2323:11 27.6625 -2 *2323:11 *2323:12 121.072 -3 *2323:12 *2323:17 49.3574 -4 *2323:17 *2473:gpio_noesd[4] 4.87708 -*END - -*D_NET *2324 0.0197967 -*CONN -*I *2473:gpio_noesd[5] I *D user_analog_project_wrapper -*I *2474:mprj_gpio_noesd[5] I *D chip_io_alt -*CAP -1 *2473:gpio_noesd[5] 0.00163005 -2 *2474:mprj_gpio_noesd[5] 0.000843104 -3 *2324:19 0.00362476 -4 *2324:18 0.0019947 -5 *2324:16 0.00398566 -6 *2324:15 0.00398566 -7 *2324:13 0.000843104 -8 *2473:gpio_noesd[5] *2473:io_in[12] 0 -9 *2324:19 *2332:14 0 -10 *2324:19 *2386:10 0 -11 *2473:gpio_analog[5] *2473:gpio_noesd[5] 0 -12 *2473:io_in_3v3[12] *2473:gpio_noesd[5] 0 -13 *2474:mprj_io_analog_en[12] *2324:13 0.000162075 -14 *2474:mprj_io_analog_pol[12] *2324:13 0 -15 *2474:mprj_io_dm[36] *2324:13 0.000185867 -16 *1807:20 *2324:13 0.000215495 -17 *1889:30 *2324:13 0.000426723 -18 *1942:40 *2324:13 0.00163428 -19 *1969:17 *2324:13 0.00026521 -20 *2104:14 *2324:13 0 -*RES -1 *2474:mprj_gpio_noesd[5] *2324:13 47.0673 -2 *2324:13 *2324:15 4.5 -3 *2324:15 *2324:16 109.426 -4 *2324:16 *2324:18 4.5 -5 *2324:18 *2324:19 56.0224 -6 *2324:19 *2473:gpio_noesd[5] 9.43479 -*END - -*D_NET *2325 0.0198741 -*CONN -*I *2473:gpio_noesd[6] I *D user_analog_project_wrapper -*I *2474:mprj_gpio_noesd[6] I *D chip_io_alt -*CAP -1 *2473:gpio_noesd[6] 0.00135607 -2 *2474:mprj_gpio_noesd[6] 0.00037263 -3 *2325:13 0.00267954 -4 *2325:12 0.00132348 -5 *2325:10 0.0042183 -6 *2325:9 0.00459093 -7 *2325:13 *2360:10 0.00129532 -8 *2473:gpio_analog[6] *2473:gpio_noesd[6] 0 -9 *2474:mprj_io_analog_en[13] *2325:9 0.000202472 -10 *2474:mprj_io_analog_pol[13] *2325:9 0.000176426 -11 *2474:mprj_io_dm[39] *2325:9 0.00021358 -12 *2474:mprj_io_dm[39] *2325:10 0.000213674 -13 *2474:mprj_io_dm[40] *2325:9 0 -14 *2474:mprj_io_inp_dis[13] *2325:9 0.000827576 -15 *2474:mprj_io_inp_dis[13] *2325:10 2.81824e-05 -16 *1997:13 *2325:9 0.00172394 -17 *2024:11 *2325:13 0.000651922 -*RES -1 *2474:mprj_gpio_noesd[6] *2325:9 34.0562 -2 *2325:9 *2325:10 116.636 -3 *2325:10 *2325:12 4.5 -4 *2325:12 *2325:13 51.4546 -5 *2325:13 *2473:gpio_noesd[6] 8.53852 -*END - -*D_NET *2326 0.0203616 -*CONN -*I *2473:gpio_noesd[7] I *D user_analog_project_wrapper -*I *2474:mprj_gpio_noesd[7] I *D chip_io_alt -*CAP -1 *2473:gpio_noesd[7] 0.00148588 -2 *2474:mprj_gpio_noesd[7] 0.000820715 -3 *2326:14 0.00349026 -4 *2326:13 0.0028251 -5 *2473:io_in_3v3[14] *2473:gpio_noesd[7] 0 -6 *2474:mprj_io_dm[43] *2326:13 0 -7 *2474:mprj_io_holdover[14] *2326:14 0.000776313 -8 *2474:mprj_io_inp_dis[14] *2326:13 0.000869504 -9 *2474:mprj_io_oeb[14] *2326:13 0.000754914 -10 *485:23 *2326:13 0.00032133 -11 *2025:9 *2326:13 3.31733e-05 -12 *2025:10 *2326:14 0.00898443 -13 *2106:17 *2326:13 0 -14 *2106:21 *2326:13 0 -*RES -1 *2474:mprj_gpio_noesd[7] *2326:13 42.2879 -2 *2326:13 *2326:14 97.2244 -3 *2326:14 *2473:gpio_noesd[7] 13.5369 -*END - -*D_NET *2327 0.0180866 -*CONN -*I *2473:gpio_noesd[8] I *D user_analog_project_wrapper -*I *2474:mprj_gpio_noesd[8] I *D chip_io_alt -*CAP -1 *2473:gpio_noesd[8] 0.00141863 -2 *2474:mprj_gpio_noesd[8] 0.0005499 -3 *2327:15 0.00355678 -4 *2327:14 0.00213814 -5 *2327:12 0.00391997 -6 *2327:11 0.00446987 -7 *2473:gpio_analog[8] *2473:gpio_noesd[8] 0 -8 *2473:gpio_analog[8] *2327:15 0 -9 *2474:mprj_io_analog_en[15] *2327:11 0 -10 *2474:mprj_io_dm[46] *2327:11 0.00019711 -11 *2474:mprj_io_slow_sel[15] *2327:11 0.00019711 -12 *1783:18 *2327:11 0 -13 *1999:7 *2327:11 1.83854e-05 -14 *2107:14 *2327:11 0.000925194 -15 *2134:8 *2327:11 0.000524464 -16 *2309:10 *2327:11 0.000171079 -*RES -1 *2474:mprj_gpio_noesd[8] *2327:11 32.6883 -2 *2327:11 *2327:12 102.77 -3 *2327:12 *2327:14 4.5 -4 *2327:14 *2327:15 60.1749 -5 *2327:15 *2473:gpio_noesd[8] 8.36393 -*END - -*D_NET *2328 0.0211616 -*CONN -*I *2473:gpio_noesd[9] I *D user_analog_project_wrapper -*I *2474:mprj_gpio_noesd[9] I *D chip_io_alt -*CAP -1 *2473:gpio_noesd[9] 0.00148243 -2 *2474:mprj_gpio_noesd[9] 0.000756271 -3 *2328:23 0.00193417 -4 *2328:22 0.000451735 -5 *2328:20 0.00346001 -6 *2328:19 0.00421629 -7 *2328:23 *2336:16 0.0001982 -8 *2328:23 *2363:10 0.00338008 -9 *2473:gpio_analog[9] *2473:gpio_noesd[9] 0 -10 *2473:io_in_3v3[16] *2473:gpio_noesd[9] 0 -11 *2474:mprj_io_dm[48] *2328:19 0.000188784 -12 *2474:mprj_io_dm[49] *2328:19 0 -13 *518:8 *2328:19 0.0010388 -14 *1973:8 *2328:19 8.35615e-06 -15 *1973:16 *2328:19 0.000124349 -16 *2054:8 *2328:19 0.000863062 -17 *2081:19 *2328:20 8.79845e-05 -18 *2108:8 *2328:19 7.77309e-06 -19 *2310:17 *2328:23 0.00296335 -*RES -1 *2474:mprj_gpio_noesd[9] *2328:19 45.391 -2 *2328:19 *2328:20 93.3422 -3 *2328:20 *2328:22 4.5 -4 *2328:22 *2328:23 53.9461 -5 *2328:23 *2473:gpio_noesd[9] 8.45538 -*END - -*D_NET *2329 0.131996 -*CONN -*I *2473:io_in[0] I *D user_analog_project_wrapper -*I *2444:user_gpio_in O *D gpio_control_block -*CAP -1 *2473:io_in[0] 0.00139688 -2 *2444:user_gpio_in 0.00110785 -3 *2329:19 0.00509935 -4 *2329:18 0.00370247 -5 *2329:16 0.0111611 -6 *2329:15 0.0111611 -7 *2329:13 0.00110785 -8 *2473:io_in[0] *2383:12 0 -9 *2329:13 *2444:user_gpio_oeb 1.53776e-05 -10 *2329:13 *2444:user_gpio_out 4.97448e-06 -11 *2329:13 *2356:22 1.6594e-05 -12 *2329:13 *2383:22 0.00154198 -13 *2329:16 *2367:16 0.0393728 -14 *2329:16 *2383:16 0.0561562 -15 *2444:serial_load_out *2329:13 9.52305e-06 -16 *2471:mgmt_gpio_in[13] *2329:16 0 -17 *2471:mgmt_gpio_in[5] *2329:16 0 -18 *2473:io_in_3v3[0] *2473:io_in[0] 0 -19 *499:15 *2329:13 0 -20 *1565:19 *2329:13 0.000141764 -*RES -1 *2444:user_gpio_in *2329:13 46.4919 -2 *2329:13 *2329:15 4.5 -3 *2329:15 *2329:16 900.853 -4 *2329:16 *2329:18 4.5 -5 *2329:18 *2329:19 96.6698 -6 *2329:19 *2473:io_in[0] 15.2015 -*END - -*D_NET *2330 0.0557653 -*CONN -*I *2473:io_in[10] I *D user_analog_project_wrapper -*I *2451:user_gpio_in O *D gpio_control_block -*CAP -1 *2473:io_in[10] 0.000930771 -2 *2451:user_gpio_in 0.000288289 -3 *2330:14 0.00986972 -4 *2330:13 0.00893895 -5 *2330:11 0.00282494 -6 *2330:10 0.00311322 -7 *2473:io_in[10] *2384:9 0 -8 *2330:10 *2451:user_gpio_oeb 0.00010456 -9 *2330:11 *2357:13 0.00385655 -10 *2330:14 *2331:16 0.0073461 -11 *2330:14 *2357:10 0.00462595 -12 *2330:14 *2382:10 0.00738148 -13 *2451:serial_data_in *2330:10 1.5714e-05 -14 *2451:serial_data_in *2330:11 0.00142299 -15 *2473:io_in_3v3[10] *2473:io_in[10] 0.00152279 -16 *101:10 *2330:10 0.000196723 -17 *468:13 *2330:10 7.92492e-05 -18 *479:52 *2330:10 0.000184601 -19 *479:52 *2330:11 0.00304351 -20 *2129:13 *2330:11 1.92172e-05 -*RES -1 *2451:user_gpio_in *2330:10 18.4296 -2 *2330:10 *2330:11 124.4 -3 *2330:11 *2330:13 4.5 -4 *2330:13 *2330:14 387.394 -5 *2330:14 *2473:io_in[10] 8.27746 -*END - -*D_NET *2331 0.067331 -*CONN -*I *2473:io_in[11] I *D user_analog_project_wrapper -*I *2452:user_gpio_in O *D gpio_control_block -*CAP -1 *2473:io_in[11] 0.000862234 -2 *2452:user_gpio_in 0.000453678 -3 *2331:16 0.00684479 -4 *2331:15 0.00598255 -5 *2331:13 0.00412936 -6 *2331:12 0.00458304 -7 *2473:io_in[11] *2385:9 0 -8 *2331:16 *2357:10 0.00816823 -9 *2331:16 *2358:10 0.00476355 -10 *2331:16 *2359:10 0.00630307 -11 *2331:16 *2386:10 0.00625456 -12 *2473:io_in_3v3[11] *2473:io_in[11] 0.00368994 -13 *102:13 *2331:13 0.0032252 -14 *102:17 *2331:13 0.000695917 -15 *468:54 *2331:13 0.00317984 -16 *2130:10 *2331:12 0.000848949 -17 *2330:14 *2331:16 0.0073461 -*RES -1 *2452:user_gpio_in *2331:12 21.8747 -2 *2331:12 *2331:13 141.593 -3 *2331:13 *2331:15 4.5 -4 *2331:15 *2331:16 387.394 -5 *2331:16 *2473:io_in[11] 8.53852 -*END - -*D_NET *2332 0.053424 -*CONN -*I *2473:io_in[12] I *D user_analog_project_wrapper -*I *2453:user_gpio_in O *D gpio_control_block -*CAP -1 *2473:io_in[12] 0.00113616 -2 *2453:user_gpio_in 0.000122782 -3 *2332:14 0.0076494 -4 *2332:13 0.00651324 -5 *2332:11 0.00232706 -6 *2332:10 0.00244984 -7 *2473:io_in[12] *2386:9 0 -8 *2332:10 *2453:user_gpio_oeb 0.000219418 -9 *2332:11 *2359:13 5.99529e-06 -10 *2332:11 *2386:13 0.00508193 -11 *2332:14 *2358:10 0.00728864 -12 *2332:14 *2385:10 0.00666772 -13 *2332:14 *2386:10 0.00774209 -14 *2453:serial_load *2332:11 0 -15 *2473:gpio_noesd[5] *2473:io_in[12] 0 -16 *2473:io_in_3v3[12] *2473:io_in[12] 0.000921588 -17 *470:11 *2332:10 7.79528e-05 -18 *470:11 *2332:11 0.00126666 -19 *470:15 *2332:11 0.00105742 -20 *2022:11 *2332:14 0.00284146 -21 *2131:10 *2332:10 5.46727e-05 -22 *2324:19 *2332:14 0 -*RES -1 *2453:user_gpio_in *2332:10 11.0316 -2 *2332:10 *2332:11 121.627 -3 *2332:11 *2332:13 4.5 -4 *2332:13 *2332:14 370.784 -5 *2332:14 *2473:io_in[12] 8.72642 -*END - -*D_NET *2333 0.0351167 -*CONN -*I *2473:io_in[13] I *D user_analog_project_wrapper -*I *2454:user_gpio_in O *D gpio_control_block -*CAP -1 *2473:io_in[13] 0.00135346 -2 *2454:user_gpio_in 0.000254443 -3 *2333:14 0.00508083 -4 *2333:13 0.00372737 -5 *2333:11 0.00261705 -6 *2333:10 0.00287149 -7 *2473:io_in[13] *2387:9 0 -8 *2333:10 *2454:user_gpio_oeb 0.000728047 -9 *2333:11 *2360:13 0.00388033 -10 *2333:14 *2387:10 0.0102959 -11 *2454:serial_data_in *2333:11 0.000654439 -12 *2454:serial_load *2333:10 0 -13 *2473:io_in_3v3[13] *2473:io_in[13] 0 -14 *470:40 *2333:10 0.000272862 -15 *470:40 *2333:11 0.00318552 -16 *2024:11 *2333:14 0.000194974 -*RES -1 *2454:user_gpio_in *2333:10 19.2601 -2 *2333:10 *2333:11 124.4 -3 *2333:11 *2333:13 4.5 -4 *2333:13 *2333:14 171.047 -5 *2333:14 *2473:io_in[13] 8.52855 -*END - -*D_NET *2334 0.0319651 -*CONN -*I *2473:io_in[14] I *D user_analog_project_wrapper -*I *2461:user_gpio_in O *D gpio_control_block -*CAP -1 *2473:io_in[14] 0.00139274 -2 *2461:user_gpio_in 0.0027243 -3 *2334:14 0.00731548 -4 *2334:13 0.00864703 -5 *2473:io_in[14] *2388:12 0 -6 *2334:13 *2461:user_gpio_oeb 5.36925e-05 -7 *2461:serial_clock *2334:13 0.000103658 -8 *2461:serial_data_in *2334:13 0.000139498 -9 *2461:serial_load *2334:13 0.0115385 -10 *2473:io_in_3v3[14] *2473:io_in[14] 0 -11 *467:12 *2334:13 5.02212e-05 -*RES -1 *2461:user_gpio_in *2334:13 39.2716 -2 *2334:13 *2334:14 166.272 -3 *2334:14 *2473:io_in[14] 8.42878 -*END - -*D_NET *2335 0.0288368 -*CONN -*I *2473:io_in[15] I *D user_analog_project_wrapper -*I *2462:user_gpio_in O *D gpio_control_block -*CAP -1 *2473:io_in[15] 0.00180939 -2 *2462:user_gpio_in 0.000898188 -3 *2335:19 0.00350519 -4 *2335:18 0.00169579 -5 *2335:16 0.00613911 -6 *2335:15 0.0070373 -7 *2335:15 *2462:user_gpio_oeb 1.29018e-05 -8 *2335:15 *2362:19 0 -9 *2473:io_in_3v3[15] *2473:io_in[15] 9.3612e-05 -10 *100:70 *2335:15 1.1573e-05 -11 *100:71 *2335:15 0.000150769 -12 *471:15 *2335:15 1.29018e-05 -13 *2026:10 *2335:19 0.00747011 -*RES -1 *2462:user_gpio_in *2335:15 36.9903 -2 *2335:15 *2335:16 172.916 -3 *2335:16 *2335:18 4.5 -4 *2335:18 *2335:19 78.9225 -5 *2335:19 *2473:io_in[15] 20.1265 -*END - -*D_NET *2336 0.0389306 -*CONN -*I *2473:io_in[16] I *D user_analog_project_wrapper -*I *2463:user_gpio_in O *D gpio_control_block -*CAP -1 *2473:io_in[16] 0.00141684 -2 *2463:user_gpio_in 0.00252785 -3 *2336:16 0.00390696 -4 *2336:15 0.00501796 -5 *2473:io_in[16] *2390:9 0 -6 *2336:15 *2463:user_gpio_oeb 0.010236 -7 *2336:15 *2463:user_gpio_out 4.42985e-05 -8 *2336:16 *2463:user_gpio_oeb 4.28941e-05 -9 *2336:16 *2363:10 0.00211857 -10 *2336:16 *2390:10 0.00519571 -11 *2473:io_in_3v3[16] *2473:io_in[16] 0 -12 *471:16 *2336:15 0 -13 *471:23 *2336:15 0.00105852 -14 *472:7 *2336:15 0.00160176 -15 *472:8 *2336:15 0.000150805 -16 *489:26 *2336:15 0.00141018 -17 *517:15 *2336:15 0 -18 *518:8 *2336:15 3.5534e-06 -19 *2310:17 *2336:16 0.00400051 -20 *2328:23 *2336:16 0.0001982 -*RES -1 *2463:user_gpio_in *2336:15 33.8871 -2 *2336:15 *2336:16 171.255 -3 *2336:16 *2473:io_in[16] 8.23256 -*END - -*D_NET *2337 0.0347999 -*CONN -*I *2473:io_in[17] I *D user_analog_project_wrapper -*I *2464:user_gpio_in O *D gpio_control_block -*CAP -1 *2473:io_in[17] 0.00201629 -2 *2464:user_gpio_in 0.000995052 -3 *2337:17 0.00370901 -4 *2337:16 0.00169273 -5 *2337:14 0.00370721 -6 *2337:13 0.00470226 -7 *2473:io_in[17] *2391:13 0 -8 *2337:13 *2464:user_gpio_oeb 5.36925e-05 -9 *2337:13 *2364:19 0 -10 *2473:gpio_noesd[10] *2473:io_in[17] 1.66626e-05 -11 *2473:io_in_3v3[17] *2473:io_in[17] 0.000122042 -12 *471:24 *2337:14 9.64545e-05 -13 *472:20 *2337:14 0.0102791 -14 *473:7 *2337:13 5.83673e-05 -15 *2312:10 *2337:17 0.00735098 -*RES -1 *2464:user_gpio_in *2337:13 37.0568 -2 *2337:13 *2337:14 169.179 -3 *2337:14 *2337:16 4.5 -4 *2337:16 *2337:17 77.8133 -5 *2337:17 *2473:io_in[17] 25.405 -*END - -*D_NET *2338 0.0267982 -*CONN -*I *2473:io_in[18] I *D user_analog_project_wrapper -*I *2465:user_gpio_in O *D gpio_control_block -*CAP -1 *2473:io_in[18] 0.00198862 -2 *2465:user_gpio_in 0.000111704 -3 *2338:17 0.00292449 -4 *2338:14 0.00712755 -5 *2338:13 0.00619168 -6 *2338:11 0.00207854 -7 *2338:10 0.00219025 -8 *2338:10 *2465:user_gpio_oeb 4.31243e-06 -9 *2338:10 *2465:user_gpio_out 0 -10 *2465:serial_clock *2338:11 0 -11 *2465:serial_load *2338:10 7.86825e-06 -12 *2473:io_in_3v3[18] *2473:io_in[18] 0 -13 *106:31 *2338:11 0 -14 *474:13 *2338:10 4.2387e-05 -15 *2029:10 *2338:17 0.00413078 -*RES -1 *2465:user_gpio_in *2338:10 8.54006 -2 *2338:10 *2338:11 55.6292 -3 *2338:11 *2338:13 4.5 -4 *2338:13 *2338:14 174.992 -5 *2338:14 *2338:17 47.9279 -6 *2338:17 *2473:io_in[18] 19.6502 -*END - -*D_NET *2339 0.0351293 -*CONN -*I *2473:io_in[19] I *D user_analog_project_wrapper -*I *2466:user_gpio_in O *D gpio_control_block -*CAP -1 *2473:io_in[19] 0.00150747 -2 *2466:user_gpio_in 0.00307474 -3 *2339:16 0.00558704 -4 *2339:15 0.00715431 -5 *2473:io_in[19] *2393:15 0 -6 *2339:15 *2466:user_gpio_oeb 0.0108367 -7 *2339:16 *2366:10 0.00349059 -8 *2466:resetn *2339:15 0 -9 *2466:serial_data_in *2339:15 0 -10 *2473:io_in_3v3[19] *2473:io_in[19] 0 -11 *475:15 *2339:15 3.77653e-05 -12 *475:16 *2339:15 0 -13 *492:21 *2339:15 0 -14 *520:15 *2339:15 0 -15 *2314:23 *2339:16 0.0034407 -*RES -1 *2466:user_gpio_in *2339:15 35.5481 -2 *2339:15 *2339:16 169.594 -3 *2339:16 *2473:io_in[19] 8.28079 -*END - -*D_NET *2340 0.0846448 -*CONN -*I *2473:io_in[1] I *D user_analog_project_wrapper -*I *2445:user_gpio_in O *D gpio_control_block -*CAP -1 *2473:io_in[1] 0.00163012 -2 *2445:user_gpio_in 0.00120383 -3 *2340:17 0.00461687 -4 *2340:16 0.00298675 -5 *2340:14 0.0144891 -6 *2340:13 0.0144891 -7 *2340:11 0.00120383 -8 *2473:io_in[1] *2375:10 0.000130145 -9 *2340:11 *2445:user_gpio_oeb 0.00025922 -10 *2340:11 *2367:21 0.00131953 -11 *2340:14 *2367:16 0.0410658 -12 *2445:serial_load_out *2340:11 3.84497e-05 -13 *2471:mgmt_gpio_in[13] *2340:14 0 -14 *2471:mgmt_gpio_in[16] *2340:14 0 -15 *2473:io_in_3v3[1] *2473:io_in[1] 0 -16 *503:13 *2340:11 0 -17 *2031:18 *2340:17 0.00121204 -*RES -1 *2445:user_gpio_in *2340:11 46.3398 -2 *2340:11 *2340:13 4.5 -3 *2340:13 *2340:14 658.761 -4 *2340:14 *2340:16 4.5 -5 *2340:16 *2340:17 91.1238 -6 *2340:17 *2473:io_in[1] 17.3385 -*END - -*D_NET *2341 0.0274535 -*CONN -*I *2473:io_in[20] I *D user_analog_project_wrapper -*I *2467:user_gpio_in O *D gpio_control_block -*CAP -1 *2473:io_in[20] 0.00212065 -2 *2467:user_gpio_in 8.8148e-05 -3 *2341:19 0.00308922 -4 *2341:16 0.0069558 -5 *2341:15 0.00598723 -6 *2341:13 0.00168743 -7 *2341:12 0.00177558 -8 *2473:io_in[20] *2395:15 0 -9 *2341:12 *2467:user_gpio_oeb 0 -10 *2467:serial_clock *2341:12 1.1573e-05 -11 *2473:io_in_3v3[20] *2473:io_in[20] 9.69513e-05 -12 *108:36 *2341:13 0.00138917 -13 *476:7 *2341:12 2.12195e-05 -14 *2032:14 *2341:19 0.00423054 -*RES -1 *2467:user_gpio_in *2341:12 8.47354 -2 *2341:12 *2341:13 57.293 -3 *2341:13 *2341:15 4.5 -4 *2341:15 *2341:16 169.179 -5 *2341:16 *2341:19 49.0371 -6 *2341:19 *2473:io_in[20] 24.3838 -*END - -*D_NET *2342 0.0343236 -*CONN -*I *2473:io_in[21] I *D user_analog_project_wrapper -*I *2468:user_gpio_in O *D gpio_control_block -*CAP -1 *2473:io_in[21] 0.00112302 -2 *2468:user_gpio_in 0.00279899 -3 *2342:14 0.00546322 -4 *2342:13 0.0071392 -5 *2473:io_in[21] *2396:15 0.000363771 -6 *2342:13 *2468:user_gpio_oeb 0.0115434 -7 *2342:14 *2369:10 0.00517523 -8 *2468:serial_data_in *2342:13 0.000274219 -9 *2473:io_in_3v3[21] *2473:io_in[21] 0.000360677 -10 *477:7 *2342:13 8.18368e-05 -11 *2033:13 *2342:14 0 -*RES -1 *2468:user_gpio_in *2342:13 37.6106 -2 *2342:13 *2342:14 171.255 -3 *2342:14 *2473:io_in[21] 7.89335 -*END - -*D_NET *2343 0.0268648 -*CONN -*I *2473:io_in[22] I *D user_analog_project_wrapper -*I *2469:user_gpio_in O *D gpio_control_block -*CAP -1 *2473:io_in[22] 0.00145537 -2 *2469:user_gpio_in 8.14238e-05 -3 *2343:19 0.0031436 -4 *2343:18 0.00168823 -5 *2343:16 0.00603199 -6 *2343:15 0.00603199 -7 *2343:13 0.00219785 -8 *2343:12 0.00227927 -9 *2473:io_in[22] *2397:15 0 -10 *2343:12 *2469:user_gpio_oeb 0 -11 *2473:io_in_3v3[22] *2473:io_in[22] 0.00387569 -12 *478:10 *2343:12 2.12195e-05 -13 *2034:11 *2473:io_in[22] 5.81406e-05 -*RES -1 *2469:user_gpio_in *2343:12 8.47354 -2 *2343:12 *2343:13 57.293 -3 *2343:13 *2343:15 4.5 -4 *2343:15 *2343:16 170.84 -5 *2343:16 *2343:18 4.5 -6 *2343:18 *2343:19 45.6463 -7 *2343:19 *2473:io_in[22] 22.1778 -*END - -*D_NET *2344 0.0416388 -*CONN -*I *2473:io_in[23] I *D user_analog_project_wrapper -*I *2470:user_gpio_in O *D gpio_control_block -*CAP -1 *2473:io_in[23] 0.00169059 -2 *2470:user_gpio_in 0.00126782 -3 *2344:19 0.00332931 -4 *2344:18 0.00163872 -5 *2344:16 0.00142616 -6 *2344:15 0.00269398 -7 *2473:io_in[23] *2398:12 0 -8 *2344:15 *2470:user_gpio_oeb 1.29018e-05 -9 *2344:16 *2371:16 0.0110148 -10 *2344:16 *2398:16 0.0110112 -11 *2344:19 *2398:13 0.00666057 -12 *2473:io_in_3v3[23] *2473:io_in[23] 0.000411455 -13 *111:19 *2344:15 4.15559e-05 -14 *111:39 *2344:15 0.000313296 -15 *479:15 *2344:15 1.29018e-05 -16 *496:20 *2344:15 1.66771e-05 -17 *2035:11 *2473:io_in[23] 9.69513e-05 -*RES -1 *2470:user_gpio_in *2344:15 43.204 -2 *2344:15 *2344:16 176.238 -3 *2344:16 *2344:18 4.5 -4 *2344:18 *2344:19 72.8219 -5 *2344:19 *2473:io_in[23] 16.7579 -*END - -*D_NET *2345 0.0201264 -*CONN -*I *2473:io_in[24] I *D user_analog_project_wrapper -*I *2446:user_gpio_in O *D gpio_control_block -*CAP -1 *2473:io_in[24] 0.00257898 -2 *2446:user_gpio_in 0.00431605 -3 *2345:13 0.00689503 -4 *2473:io_in[24] *2399:9 0 -5 *2473:io_in[24] *2399:10 0 -6 *2345:13 *2446:user_gpio_oeb 5.73349e-05 -7 *2446:serial_data_in *2345:13 1.31158e-05 -8 *2446:serial_load_out *2345:13 0.000185151 -9 *2473:gpio_analog[17] *2473:io_in[24] 4.62112e-05 -10 *2473:gpio_noesd[17] *2473:io_in[24] 0.000536397 -11 *2473:io_in_3v3[24] *2473:io_in[24] 0.00126211 -12 *112:26 *2345:13 0.00269229 -13 *497:28 *2345:13 0.00154377 -14 *513:13 *2345:13 0 -15 *514:14 *2345:13 0 -*RES -1 *2446:user_gpio_in *2345:13 38.5832 -2 *2345:13 *2473:io_in[24] 43.9332 -*END - -*D_NET *2346 0.0378077 -*CONN -*I *2473:io_in[25] I *D user_analog_project_wrapper -*I *2447:user_gpio_in O *D gpio_control_block -*CAP -1 *2473:io_in[25] 0.00185436 -2 *2447:user_gpio_in 0.00120496 -3 *2346:19 0.0045105 -4 *2346:18 0.00265613 -5 *2346:16 0.00153079 -6 *2346:15 0.00273574 -7 *2346:15 *2447:user_gpio_oeb 8.62976e-06 -8 *2346:15 *2373:19 0 -9 *2473:io_in_3v3[25] *2473:io_in[25] 0 -10 *2473:io_in_3v3[25] *2346:19 0.000861684 -11 *109:52 *2473:io_in[25] 0 -12 *1559:31 *2346:16 0.0112243 -13 *1560:29 *2346:16 0.0112207 -*RES -1 *2447:user_gpio_in *2346:15 40.9856 -2 *2346:15 *2346:16 180.39 -3 *2346:16 *2346:18 4.5 -4 *2346:18 *2346:19 75.0403 -5 *2346:19 *2473:io_in[25] 14.0286 -*END - -*D_NET *2347 0.0633336 -*CONN -*I *2473:io_in[26] I *D user_analog_project_wrapper -*I *2448:user_gpio_in O *D gpio_control_block -*CAP -1 *2473:io_in[26] 0.00172715 -2 *2448:user_gpio_in 0.000409613 -3 *2347:16 0.0103373 -4 *2347:15 0.00861018 -5 *2347:13 0.00233161 -6 *2347:12 0.00274123 -7 *2473:io_in[26] *2401:9 0 -8 *2347:12 *2448:user_gpio_oeb 0.000752472 -9 *2347:13 *2374:13 0.0102015 -10 *2347:16 *2374:10 0.0238834 -11 *2448:serial_load_out *2347:12 7.93861e-05 -12 *2473:io_in_3v3[26] *2473:io_in[26] 0 -13 *900:7 *2347:16 0 -14 *1167:9 *2347:16 0.00180761 -15 *2036:11 *2347:16 0.000452049 -*RES -1 *2448:user_gpio_in *2347:12 22.5921 -2 *2347:12 *2347:13 107.762 -3 *2347:13 *2347:15 4.5 -4 *2347:15 *2347:16 400.266 -5 *2347:16 *2473:io_in[26] 8.37557 -*END - -*D_NET *2348 0.0620714 -*CONN -*I *2473:io_in[2] I *D user_analog_project_wrapper -*I *2455:user_gpio_in O *D gpio_control_block -*CAP -1 *2473:io_in[2] 0.00162472 -2 *2455:user_gpio_in 0.00028064 -3 *2348:14 0.00969258 -4 *2348:13 0.00806786 -5 *2348:11 0.00320635 -6 *2348:10 0.00348699 -7 *2473:io_in[2] *2402:9 0 -8 *2348:10 *2455:user_gpio_oeb 0.000608337 -9 *2348:11 *2375:13 2.18041e-06 -10 *2348:11 *2402:13 0.00505428 -11 *2348:14 *2402:10 0.00453221 -12 *2348:14 *2403:10 0.00850736 -13 *2455:serial_load_out *2348:10 3.84497e-05 -14 *2473:io_in_3v3[2] *2473:io_in[2] 0 -15 *2040:23 *2348:14 0.0169694 -*RES -1 *2455:user_gpio_in *2348:10 17.5991 -2 *2348:10 *2348:11 122.736 -3 *2348:11 *2348:13 4.5 -4 *2348:13 *2348:14 423.105 -5 *2348:14 *2473:io_in[2] 8.66324 -*END - -*D_NET *2349 0.031052 -*CONN -*I *2473:io_in[3] I *D user_analog_project_wrapper -*I *2456:user_gpio_in O *D gpio_control_block -*CAP -1 *2473:io_in[3] 0.00156962 -2 *2456:user_gpio_in 8.75145e-05 -3 *2349:14 0.00441324 -4 *2349:13 0.00284362 -5 *2349:11 0.00210508 -6 *2349:10 0.00219259 -7 *2473:io_in[3] *2403:9 0 -8 *2349:10 *2456:user_gpio_oeb 2.12195e-05 -9 *2349:10 *2456:user_gpio_out 4.59232e-05 -10 *2349:11 *2376:13 0.00499931 -11 *2349:11 *2403:13 0.00290471 -12 *2349:14 *2376:10 0.00491499 -13 *2349:14 *2403:10 0.00494038 -14 *2456:serial_load *2349:10 0 -15 *2473:io_in_3v3[3] *2473:io_in[3] 0 -16 *105:30 *2349:10 1.38068e-05 -*RES -1 *2456:user_gpio_in *2349:10 8.87882 -2 *2349:10 *2349:11 121.072 -3 *2349:11 *2349:13 4.5 -4 *2349:13 *2349:14 167.31 -5 *2349:14 *2473:io_in[3] 8.75303 -*END - -*D_NET *2350 0.0220997 -*CONN -*I *2473:io_in[4] I *D user_analog_project_wrapper -*I *2457:user_gpio_in O *D gpio_control_block -*CAP -1 *2473:io_in[4] 0.00141724 -2 *2457:user_gpio_in 0.000132683 -3 *2350:16 0.00310836 -4 *2350:15 0.00169112 -5 *2350:13 0.00310789 -6 *2350:12 0.00324057 -7 *2473:io_in[4] *2404:9 0 -8 *2350:12 *2457:user_gpio_oeb 0.000231826 -9 *2350:12 *2457:user_gpio_out 0.000216082 -10 *2350:13 *2377:13 2.20702e-05 -11 *2350:13 *2404:13 0.00516663 -12 *2350:16 *2377:10 0.00330086 -13 *2350:16 *2404:10 0.000162183 -14 *2457:serial_load *2350:12 0 -15 *2457:serial_load_out *2350:12 1.53622e-05 -16 *2457:serial_load_out *2350:13 0.000286826 -*RES -1 *2457:user_gpio_in *2350:12 11.7956 -2 *2350:12 *2350:13 125.509 -3 *2350:13 *2350:15 4.5 -4 *2350:15 *2350:16 69.7257 -5 *2350:16 *2473:io_in[4] 8.28744 -*END - -*D_NET *2351 0.0360622 -*CONN -*I *2473:io_in[5] I *D user_analog_project_wrapper -*I *2458:user_gpio_in O *D gpio_control_block -*CAP -1 *2473:io_in[5] 0.00152318 -2 *2458:user_gpio_in 0.000288296 -3 *2351:14 0.00546187 -4 *2351:13 0.0039387 -5 *2351:11 0.00159709 -6 *2351:10 0.00188539 -7 *2473:io_in[5] *2405:9 0 -8 *2351:10 *2458:user_gpio_oeb 0.000556245 -9 *2351:10 *2458:user_gpio_out 2.04806e-05 -10 *2351:11 *2378:13 0.0051676 -11 *2351:11 *2405:13 0.0051219 -12 *2351:14 *2378:10 0.0101423 -13 *2351:14 *2405:10 0.000296518 -14 *2458:serial_data_in *2351:11 2.42138e-05 -15 *2458:serial_load_out *2351:10 3.84497e-05 -16 *2042:17 *2351:14 0 -*RES -1 *2458:user_gpio_in *2351:10 17.5991 -2 *2351:10 *2351:11 124.4 -3 *2351:11 *2351:13 4.5 -4 *2351:13 *2351:14 176.861 -5 *2351:14 *2473:io_in[5] 8.45206 -*END - -*D_NET *2352 0.0403611 -*CONN -*I *2473:io_in[6] I *D user_analog_project_wrapper -*I *2459:user_gpio_in O *D gpio_control_block -*CAP -1 *2473:io_in[6] 0.0015383 -2 *2459:user_gpio_in 0.00013638 -3 *2352:14 0.00571205 -4 *2352:13 0.00417375 -5 *2352:11 0.00278249 -6 *2352:10 0.00291887 -7 *2473:io_in[6] *2406:9 0 -8 *2352:10 *2459:user_gpio_oeb 2.12195e-05 -9 *2352:11 *2406:13 0.0116003 -10 *2352:14 *2406:10 0.0113898 -11 *2459:serial_load_out *2352:10 4.70618e-05 -12 *2473:io_in_3v3[6] *2473:io_in[6] 0 -13 *2473:io_in_3v3[6] *2352:14 0 -14 *476:31 *2352:10 2.5204e-05 -15 *476:34 *2352:10 1.5714e-05 -*RES -1 *2459:user_gpio_in *2352:10 8.61655 -2 *2352:10 *2352:11 123.291 -3 *2352:11 *2352:13 4.5 -4 *2352:13 *2352:14 188.488 -5 *2352:14 *2473:io_in[6] 8.52356 -*END - -*D_NET *2353 0.0708763 -*CONN -*I *2473:io_in[7] I *D user_analog_project_wrapper -*I *2460:user_gpio_in O *D gpio_control_block -*CAP -1 *2473:io_in[7] 0.00102467 -2 *2460:user_gpio_in 0.000101274 -3 *2353:14 0.00387584 -4 *2353:13 0.00285117 -5 *2353:11 0.00163127 -6 *2353:10 0.00173255 -7 *2473:io_in[7] *2407:9 0 -8 *2353:10 *2460:user_gpio_oeb 2.71319e-05 -9 *2353:10 *2460:user_gpio_out 5.30145e-05 -10 *2353:11 *2380:13 0.00513068 -11 *2353:11 *2407:13 0.00513309 -12 *2353:14 *2380:10 0.0227234 -13 *2353:14 *2407:10 0.0227755 -14 *2460:serial_load_out *2353:10 3.74656e-05 -15 *2473:io_in_3v3[7] *2473:io_in[7] 0.00366812 -16 *495:85 *2353:10 9.3612e-05 -17 *2125:8 *2353:10 1.75925e-05 -*RES -1 *2460:user_gpio_in *2353:10 9.70932 -2 *2353:10 *2353:11 123.845 -3 *2353:11 *2353:13 4.5 -4 *2353:13 *2353:14 363.309 -5 *2353:14 *2473:io_in[7] 8.52522 -*END - -*D_NET *2354 0.0724693 -*CONN -*I *2473:io_in[8] I *D user_analog_project_wrapper -*I *2449:user_gpio_in O *D gpio_control_block -*CAP -1 *2473:io_in[8] 0.00108569 -2 *2449:user_gpio_in 0.000488625 -3 *2354:16 0.00440925 -4 *2354:15 0.00332356 -5 *2354:13 0.00503361 -6 *2354:12 0.00552223 -7 *2473:io_in[8] *2408:9 0 -8 *2354:16 *2380:10 0.000507721 -9 *2354:16 *2381:10 0.0228567 -10 *2354:16 *2408:10 0.0229052 -11 *2473:gpio_noesd[1] *2473:io_in[8] 0 -12 *2473:io_in_3v3[8] *2473:io_in[8] 0.00362715 -13 *1964:10 *2354:12 0.000364561 -14 *2072:15 *2354:13 0.00227755 -15 *2099:15 *2354:12 0 -16 *2126:15 *2354:12 6.75696e-05 -*RES -1 *2449:user_gpio_in *2354:12 21.8181 -2 *2354:12 *2354:13 139.929 -3 *2354:13 *2354:15 4.5 -4 *2354:15 *2354:16 382.411 -5 *2354:16 *2473:io_in[8] 8.71312 -*END - -*D_NET *2355 0.0452548 -*CONN -*I *2473:io_in[9] I *D user_analog_project_wrapper -*I *2450:user_gpio_in O *D gpio_control_block -*CAP -1 *2473:io_in[9] 0.00143363 -2 *2450:user_gpio_in 9.04494e-05 -3 *2355:16 0.0106134 -4 *2355:15 0.00917972 -5 *2355:13 0.00313039 -6 *2355:12 0.00322084 -7 *2473:io_in[9] *2409:9 0 -8 *2355:12 *2450:user_gpio_oeb 2.29355e-05 -9 *2355:12 *2450:user_gpio_out 2.01653e-05 -10 *2355:13 *2382:13 1.00937e-05 -11 *2355:13 *2409:13 0.00501388 -12 *2355:16 *2409:10 0.0112627 -13 *2450:serial_load_out *2355:12 1.29141e-05 -14 *2473:io_in_3v3[9] *2473:io_in[9] 0.00092763 -15 *2303:17 *2355:16 0.000316067 -16 *2320:21 *2355:16 0 -*RES -1 *2450:user_gpio_in *2355:12 8.47354 -2 *2355:12 *2355:13 121.072 -3 *2355:13 *2355:15 4.5 -4 *2355:15 *2355:16 369.953 -5 *2355:16 *2473:io_in[9] 9.07728 -*END - -*D_NET *2356 0.112326 -*CONN -*I *2444:user_gpio_oeb I *D gpio_control_block -*I *2473:io_oeb[0] O *D user_analog_project_wrapper -*CAP -1 *2444:user_gpio_oeb 5.54405e-05 -2 *2473:io_oeb[0] 0.00121698 -3 *2356:22 0.00106536 -4 *2356:16 0.0208783 -5 *2356:15 0.0198684 -6 *2356:13 0.00362018 -7 *2356:12 0.00483716 -8 *2444:user_gpio_oeb *2444:user_gpio_out 9.87699e-05 -9 *2356:16 *2367:16 0.000209616 -10 *2356:16 *2383:16 0.0566448 -11 *2356:22 *2383:22 0.00155912 -12 *2444:serial_load_out *2444:user_gpio_oeb 0 -13 *2444:serial_load_out *2356:22 0.000117185 -14 *2473:io_in_3v3[1] *2356:12 0 -15 *100:96 *2356:22 0 -16 *101:37 *2356:16 0 -17 *112:36 *2356:16 5.1493e-06 -18 *479:37 *2356:16 2.55661e-06 -19 *487:18 *2356:16 0 -20 *497:37 *2356:16 0.00189096 -21 *1565:19 *2356:22 0.000223678 -22 *2329:13 *2444:user_gpio_oeb 1.53776e-05 -23 *2329:13 *2356:22 1.6594e-05 -*RES -1 *2473:io_oeb[0] *2356:12 14.5667 -2 *2356:12 *2356:13 95.006 -3 *2356:13 *2356:15 4.5 -4 *2356:15 *2356:16 919.539 -5 *2356:16 *2356:22 47.8123 -6 *2356:22 *2444:user_gpio_oeb 3.58495 -*END - -*D_NET *2357 0.0691812 -*CONN -*I *2451:user_gpio_oeb I *D gpio_control_block -*I *2473:io_oeb[10] O *D user_analog_project_wrapper -*CAP -1 *2451:user_gpio_oeb 0.000392097 -2 *2473:io_oeb[10] 0.001482 -3 *2357:13 0.00176887 -4 *2357:12 0.00137677 -5 *2357:10 0.00439667 -6 *2357:9 0.00587867 -7 *2357:9 *2384:9 0 -8 *2357:10 *2358:10 0.00713505 -9 *2357:10 *2382:10 0.00733297 -10 *2357:10 *2384:10 0.00556489 -11 *2357:10 *2409:10 0 -12 *2357:13 *2384:13 0.00355278 -13 *101:10 *2451:user_gpio_oeb 0 -14 *468:13 *2451:user_gpio_oeb 0.000289124 -15 *468:13 *2357:13 0.00308242 -16 *468:17 *2357:13 0.000695917 -17 *479:52 *2451:user_gpio_oeb 7.77309e-06 -18 *479:52 *2357:13 0.00309016 -19 *2046:11 *2357:10 0.00637973 -20 *2330:10 *2451:user_gpio_oeb 0.00010456 -21 *2330:11 *2357:13 0.00385655 -22 *2330:14 *2357:10 0.00462595 -23 *2331:16 *2357:10 0.00816823 -*RES -1 *2473:io_oeb[10] *2357:9 8.58675 -2 *2357:9 *2357:10 399.851 -3 *2357:10 *2357:12 4.5 -4 *2357:12 *2357:13 124.955 -5 *2357:13 *2451:user_gpio_oeb 18.5527 -*END - -*D_NET *2358 0.0610437 -*CONN -*I *2452:user_gpio_oeb I *D gpio_control_block -*I *2473:io_oeb[11] O *D user_analog_project_wrapper -*CAP -1 *2452:user_gpio_oeb 0.00016365 -2 *2473:io_oeb[11] 0.001332 -3 *2358:13 0.00323581 -4 *2358:12 0.00307216 -5 *2358:10 0.00425904 -6 *2358:9 0.00559104 -7 *2452:user_gpio_oeb *2452:user_gpio_out 5.00858e-05 -8 *2358:10 *2384:10 0.0066704 -9 *2358:10 *2385:10 0.00501251 -10 *2358:10 *2386:10 0.00733715 -11 *2358:13 *2385:13 0.00513262 -12 *469:12 *2452:user_gpio_oeb 0 -13 *2076:14 *2452:user_gpio_oeb 0 -14 *2331:16 *2358:10 0.00476355 -15 *2332:14 *2358:10 0.00728864 -16 *2357:10 *2358:10 0.00713505 -*RES -1 *2473:io_oeb[11] *2358:9 8.28245 -2 *2358:9 *2358:10 388.224 -3 *2358:10 *2358:12 4.5 -4 *2358:12 *2358:13 122.736 -5 *2358:13 *2452:user_gpio_oeb 9.29407 -*END - -*D_NET *2359 0.0502125 -*CONN -*I *2453:user_gpio_oeb I *D gpio_control_block -*I *2473:io_oeb[12] O *D user_analog_project_wrapper -*CAP -1 *2453:user_gpio_oeb 0.000193164 -2 *2473:io_oeb[12] 0.00131216 -3 *2359:13 0.00288771 -4 *2359:12 0.00269454 -5 *2359:10 0.00902898 -6 *2359:9 0.0103411 -7 *2453:user_gpio_oeb *2453:user_gpio_out 0.000437959 -8 *2359:9 *2386:9 0 -9 *2359:10 *2386:10 0.00818139 -10 *2359:13 *2386:13 0.00512684 -11 *2453:serial_load *2359:13 0.00113281 -12 *2473:gpio_analog[5] *2359:10 0 -13 *1889:8 *2453:user_gpio_oeb 2.04806e-05 -14 *1942:11 *2359:13 0.000313481 -15 *2023:11 *2359:10 0.0010787 -16 *2131:10 *2453:user_gpio_oeb 8.01847e-05 -17 *2323:17 *2359:10 0.00085443 -18 *2331:16 *2359:10 0.00630307 -19 *2332:10 *2453:user_gpio_oeb 0.000219418 -20 *2332:11 *2359:13 5.99529e-06 -*RES -1 *2473:io_oeb[12] *2359:9 8.35063 -2 *2359:9 *2359:10 387.394 -3 *2359:10 *2359:12 4.5 -4 *2359:12 *2359:13 123.291 -5 *2359:13 *2453:user_gpio_oeb 15.2606 -*END - -*D_NET *2360 0.0325813 -*CONN -*I *2454:user_gpio_oeb I *D gpio_control_block -*I *2473:io_oeb[13] O *D user_analog_project_wrapper -*CAP -1 *2454:user_gpio_oeb 0.00045419 -2 *2473:io_oeb[13] 0.00143462 -3 *2360:13 0.0031812 -4 *2360:12 0.00272701 -5 *2360:10 0.00331876 -6 *2360:9 0.00475338 -7 *2454:user_gpio_oeb *2454:user_gpio_out 4.31122e-05 -8 *2360:9 *2387:9 0 -9 *2360:10 *2387:10 0.00206896 -10 *2454:serial_clock *2360:13 0 -11 *2454:serial_data_in *2454:user_gpio_oeb 1.66771e-05 -12 *470:40 *2454:user_gpio_oeb 7.77309e-06 -13 *470:40 *2360:13 0.00313465 -14 *2024:11 *2360:10 0.00553722 -15 *2325:13 *2360:10 0.00129532 -16 *2333:10 *2454:user_gpio_oeb 0.000728047 -17 *2333:11 *2360:13 0.00388033 -*RES -1 *2473:io_oeb[13] *2360:9 8.71478 -2 *2360:9 *2360:10 156.098 -3 *2360:10 *2360:12 4.5 -4 *2360:12 *2360:13 122.182 -5 *2360:13 *2454:user_gpio_oeb 22.6586 -*END - -*D_NET *2361 0.0277387 -*CONN -*I *2461:user_gpio_oeb I *D gpio_control_block -*I *2473:io_oeb[14] O *D user_analog_project_wrapper -*CAP -1 *2461:user_gpio_oeb 4.98012e-05 -2 *2473:io_oeb[14] 0.0018967 -3 *2361:22 0.00149774 -4 *2361:16 0.00811386 -5 *2361:15 0.00666593 -6 *2361:13 0.00152538 -7 *2361:12 0.00342208 -8 *2461:user_gpio_oeb *2461:user_gpio_out 5.36925e-05 -9 *2361:12 *2388:12 0.00015038 -10 *2361:13 *2388:13 0.00430945 -11 *2361:22 *2388:19 0 -12 *2334:13 *2461:user_gpio_oeb 5.36925e-05 -*RES -1 *2473:io_oeb[14] *2361:12 23.892 -2 *2361:12 *2361:13 61.1752 -3 *2361:13 *2361:15 4.5 -4 *2361:15 *2361:16 187.45 -5 *2361:16 *2361:22 48.9243 -6 *2361:22 *2461:user_gpio_oeb 3.66144 -*END - -*D_NET *2362 0.0266564 -*CONN -*I *2462:user_gpio_oeb I *D gpio_control_block -*I *2473:io_oeb[15] O *D user_analog_project_wrapper -*CAP -1 *2462:user_gpio_oeb 8.83668e-05 -2 *2473:io_oeb[15] 0.00147502 -3 *2362:19 0.0021726 -4 *2362:18 0.00208423 -5 *2362:16 0.00681874 -6 *2362:15 0.00807488 -7 *2362:12 0.00273116 -8 *2462:user_gpio_oeb *2462:user_gpio_out 0 -9 *2362:12 *2389:15 0.000736232 -10 *2362:15 *2389:15 0.00245069 -11 *100:70 *2462:user_gpio_oeb 1.1573e-05 -12 *2335:15 *2462:user_gpio_oeb 1.29018e-05 -13 *2335:15 *2362:19 0 -*RES -1 *2473:io_oeb[15] *2362:12 17.3394 -2 *2362:12 *2362:15 49.5917 -3 *2362:15 *2362:16 192.433 -4 *2362:16 *2362:18 4.5 -5 *2362:18 *2362:19 57.293 -6 *2362:19 *2462:user_gpio_oeb 8.47354 -*END - -*D_NET *2363 0.0423135 -*CONN -*I *2463:user_gpio_oeb I *D gpio_control_block -*I *2473:io_oeb[16] O *D user_analog_project_wrapper -*CAP -1 *2463:user_gpio_oeb 0.00164765 -2 *2473:io_oeb[16] 0.0013921 -3 *2363:10 0.00644008 -4 *2363:9 0.00618453 -5 *2463:user_gpio_oeb *2463:user_gpio_out 0.0103395 -6 *2363:10 *2390:10 0 -7 *2473:io_in_3v3[16] *2363:10 0 -8 *472:7 *2463:user_gpio_oeb 2.9322e-05 -9 *2310:17 *2363:10 0.000502815 -10 *2328:23 *2363:10 0.00338008 -11 *2336:15 *2463:user_gpio_oeb 0.010236 -12 *2336:16 *2463:user_gpio_oeb 4.28941e-05 -13 *2336:16 *2363:10 0.00211857 -*RES -1 *2473:io_oeb[16] *2363:9 8.16605 -2 *2363:9 *2363:10 186.326 -3 *2363:10 *2463:user_gpio_oeb 23.2004 -*END - -*D_NET *2364 0.0269139 -*CONN -*I *2464:user_gpio_oeb I *D gpio_control_block -*I *2473:io_oeb[17] O *D user_analog_project_wrapper -*CAP -1 *2464:user_gpio_oeb 8.86403e-05 -2 *2473:io_oeb[17] 0.00202698 -3 *2364:19 0.00217088 -4 *2364:18 0.00208224 -5 *2364:16 0.00667489 -6 *2364:15 0.00789761 -7 *2364:12 0.0032497 -8 *2464:user_gpio_oeb *2464:user_gpio_out 6.19346e-06 -9 *2364:12 *2391:13 0 -10 *2364:15 *2391:13 0.00266312 -11 *2364:19 *2391:19 0 -12 *2337:13 *2464:user_gpio_oeb 5.36925e-05 -13 *2337:13 *2364:19 0 -*RES -1 *2473:io_oeb[17] *2364:12 23.1097 -2 *2364:12 *2364:15 49.5917 -3 *2364:15 *2364:16 188.28 -4 *2364:16 *2364:18 4.5 -5 *2364:18 *2364:19 55.6292 -6 *2364:19 *2464:user_gpio_oeb 8.54006 -*END - -*D_NET *2365 0.0377689 -*CONN -*I *2465:user_gpio_oeb I *D gpio_control_block -*I *2473:io_oeb[18] O *D user_analog_project_wrapper -*CAP -1 *2465:user_gpio_oeb 0.000192211 -2 *2473:io_oeb[18] 0.00242286 -3 *2365:19 0.00186655 -4 *2365:18 0.00167434 -5 *2365:16 0.00438465 -6 *2365:15 0.00680751 -7 *2465:user_gpio_oeb *2465:user_gpio_out 2.269e-05 -8 *2365:15 *2392:15 0.000753084 -9 *2365:19 *2392:19 0.00690676 -10 *2465:serial_clock *2365:19 0 -11 *2465:serial_load *2465:user_gpio_oeb 0.000130155 -12 *1552:27 *2365:16 0.0126038 -13 *2338:10 *2465:user_gpio_oeb 4.31243e-06 -*RES -1 *2473:io_oeb[18] *2365:15 43.961 -2 *2365:15 *2365:16 201.568 -3 *2365:16 *2365:18 4.5 -4 *2365:18 *2365:19 74.4857 -5 *2365:19 *2465:user_gpio_oeb 10.6063 -*END - -*D_NET *2366 0.0369646 -*CONN -*I *2466:user_gpio_oeb I *D gpio_control_block -*I *2473:io_oeb[19] O *D user_analog_project_wrapper -*CAP -1 *2466:user_gpio_oeb 0.00290859 -2 *2473:io_oeb[19] 0.00147528 -3 *2366:10 0.00762304 -4 *2366:9 0.00618972 -5 *2466:user_gpio_oeb *2466:user_gpio_out 6.5075e-07 -6 *2366:10 *2393:15 0 -7 *2466:serial_data_in *2466:user_gpio_oeb 0.000799259 -8 *520:15 *2466:user_gpio_oeb 0.000195457 -9 *2296:17 *2366:10 0 -10 *2314:23 *2366:10 0.00344537 -11 *2339:15 *2466:user_gpio_oeb 0.0108367 -12 *2339:16 *2366:10 0.00349059 -*RES -1 *2473:io_oeb[19] *2366:9 8.13778 -2 *2366:9 *2366:10 187.034 -3 *2366:10 *2466:user_gpio_oeb 37.0561 -*END - -*D_NET *2367 0.109181 -*CONN -*I *2445:user_gpio_oeb I *D gpio_control_block -*I *2473:io_oeb[1] O *D user_analog_project_wrapper -*CAP -1 *2445:user_gpio_oeb 0.000186348 -2 *2473:io_oeb[1] 0.00134113 -3 *2367:21 0.000824565 -4 *2367:16 0.00682467 -5 *2367:15 0.00618645 -6 *2367:13 0.00315785 -7 *2367:12 0.00449898 -8 *2445:user_gpio_oeb *2445:user_gpio_out 0.000477761 -9 *2367:12 *2394:16 0.000388476 -10 *2367:13 *2394:17 0.00153414 -11 *2367:16 *2383:16 0.000210876 -12 *2367:21 *2394:27 0.00131953 -13 *2471:mgmt_gpio_in[13] *2367:16 0 -14 *2473:io_in_3v3[2] *2367:12 0 -15 *497:37 *2367:16 0 -16 *503:13 *2367:21 3.62662e-06 -17 *2329:16 *2367:16 0.0393728 -18 *2340:11 *2445:user_gpio_oeb 0.00025922 -19 *2340:11 *2367:21 0.00131953 -20 *2340:14 *2367:16 0.0410658 -21 *2356:16 *2367:16 0.000209616 -*RES -1 *2473:io_oeb[1] *2367:12 17.7096 -2 *2367:12 *2367:13 97.2244 -3 *2367:13 *2367:15 4.5 -4 *2367:15 *2367:16 672.464 -5 *2367:16 *2367:21 43.5542 -6 *2367:21 *2445:user_gpio_oeb 10.6841 -*END - -*D_NET *2368 0.0349685 -*CONN -*I *2467:user_gpio_oeb I *D gpio_control_block -*I *2473:io_oeb[20] O *D user_analog_project_wrapper -*CAP -1 *2467:user_gpio_oeb 0.000158181 -2 *2473:io_oeb[20] 0.00183817 -3 *2368:19 0.00202161 -4 *2368:18 0.00186342 -5 *2368:16 0.00420637 -6 *2368:15 0.00513109 -7 *2368:12 0.00276288 -8 *2467:user_gpio_oeb *2467:user_gpio_out 1.75765e-05 -9 *2368:12 *2395:15 0 -10 *2368:15 *2395:15 0.00165828 -11 *2368:19 *2395:19 0.00316567 -12 *108:22 *2467:user_gpio_oeb 6.23451e-05 -13 *1556:27 *2368:16 0.0120829 -14 *2341:12 *2467:user_gpio_oeb 0 -*RES -1 *2473:io_oeb[20] *2368:12 20.2636 -2 *2368:12 *2368:15 37.3904 -3 *2368:15 *2368:16 193.263 -4 *2368:16 *2368:18 4.5 -5 *2368:18 *2368:19 75.0403 -6 *2368:19 *2467:user_gpio_oeb 10.2476 -*END - -*D_NET *2369 0.0354936 -*CONN -*I *2468:user_gpio_oeb I *D gpio_control_block -*I *2473:io_oeb[21] O *D user_analog_project_wrapper -*CAP -1 *2468:user_gpio_oeb 0.00308743 -2 *2473:io_oeb[21] 0.00155805 -3 *2369:10 0.00778536 -4 *2369:9 0.00625599 -5 *2468:user_gpio_oeb *2468:user_gpio_out 5.02212e-05 -6 *2369:9 *2396:15 0 -7 *2468:resetn *2468:user_gpio_oeb 0 -8 *2468:serial_data_in *2468:user_gpio_oeb 3.45837e-05 -9 *494:11 *2468:user_gpio_oeb 0 -10 *495:14 *2468:user_gpio_oeb 3.27616e-06 -11 *2033:13 *2369:10 0 -12 *2342:13 *2468:user_gpio_oeb 0.0115434 -13 *2342:14 *2369:10 0.00517523 -*RES -1 *2473:io_oeb[21] *2369:9 8.29409 -2 *2369:9 *2369:10 181.221 -3 *2369:10 *2468:user_gpio_oeb 41.0856 -*END - -*D_NET *2370 0.0380131 -*CONN -*I *2469:user_gpio_oeb I *D gpio_control_block -*I *2473:io_oeb[22] O *D user_analog_project_wrapper -*CAP -1 *2469:user_gpio_oeb 0.000176248 -2 *2473:io_oeb[22] 0.00177687 -3 *2370:19 0.00190595 -4 *2370:18 0.0017297 -5 *2370:16 0.00422066 -6 *2370:15 0.00527497 -7 *2370:12 0.00283117 -8 *2469:user_gpio_oeb *2469:user_gpio_out 5.72783e-05 -9 *2370:12 *2397:15 0 -10 *2370:15 *2397:15 0.000918989 -11 *2370:19 *2397:19 0.00691892 -12 *1556:27 *2370:16 0.0122023 -13 *2034:11 *2370:12 0 -14 *2343:12 *2469:user_gpio_oeb 0 -*RES -1 *2473:io_oeb[22] *2370:12 18.6259 -2 *2370:12 *2370:15 37.3904 -3 *2370:15 *2370:16 194.924 -4 *2370:16 *2370:18 4.5 -5 *2370:18 *2370:19 75.0403 -6 *2370:19 *2469:user_gpio_oeb 10.2476 -*END - -*D_NET *2371 0.0388498 -*CONN -*I *2470:user_gpio_oeb I *D gpio_control_block -*I *2473:io_oeb[23] O *D user_analog_project_wrapper -*CAP -1 *2470:user_gpio_oeb 0.00124433 -2 *2473:io_oeb[23] 0.0018212 -3 *2371:16 0.00296075 -4 *2371:15 0.00171642 -5 *2371:13 0.00271127 -6 *2371:12 0.00453247 -7 *2470:user_gpio_oeb *2470:user_gpio_out 1.29018e-05 -8 *2371:12 *2398:12 0 -9 *2371:16 *2398:16 7.90402e-05 -10 *109:40 *2371:16 9.33066e-05 -11 *111:19 *2470:user_gpio_oeb 3.77925e-05 -12 *111:39 *2470:user_gpio_oeb 0.000307782 -13 *479:16 *2371:16 0.0119264 -14 *496:20 *2470:user_gpio_oeb 1.66771e-05 -15 *497:16 *2371:16 0.000353784 -16 *2035:11 *2371:12 8.10016e-06 -17 *2344:15 *2470:user_gpio_oeb 1.29018e-05 -18 *2344:16 *2371:16 0.0110148 -*RES -1 *2473:io_oeb[23] *2371:12 13.8944 -2 *2371:12 *2371:13 73.3765 -3 *2371:13 *2371:15 4.5 -4 *2371:15 *2371:16 195.755 -5 *2371:16 *2470:user_gpio_oeb 42.6494 -*END - -*D_NET *2372 0.0223693 -*CONN -*I *2446:user_gpio_oeb I *D gpio_control_block -*I *2473:io_oeb[24] O *D user_analog_project_wrapper -*CAP -1 *2446:user_gpio_oeb 0.000216204 -2 *2473:io_oeb[24] 0.00276885 -3 *2372:29 0 -4 *2372:16 0.00191853 -5 *2372:15 0.00170232 -6 *2372:13 0.00186766 -7 *2372:12 0.00463651 -8 *2446:user_gpio_oeb *2446:user_gpio_out 6.68838e-05 -9 *2372:16 *2399:13 0.00689088 -10 *2446:mgmt_gpio_out *2372:12 0 -11 *513:13 *2446:user_gpio_oeb 0.000119658 -12 *1556:27 *2372:13 0.0021245 -13 *2345:13 *2446:user_gpio_oeb 5.73349e-05 -*RES -1 *2473:io_oeb[24] *2372:12 45.2282 -2 *2372:12 *2372:13 71.1791 -3 *2372:13 *2372:15 4.5 -4 *2372:15 *2372:16 73.3765 -5 *2372:16 *2446:user_gpio_oeb 11.5233 -6 *2473:io_oeb[24] *2372:29 0.0498849 -*END - -*D_NET *2373 0.0300291 -*CONN -*I *2447:user_gpio_oeb I *D gpio_control_block -*I *2473:io_oeb[25] O *D user_analog_project_wrapper -*CAP -1 *2447:user_gpio_oeb 0.000115206 -2 *2473:io_oeb[25] 0.00192617 -3 *2373:19 0.00160212 -4 *2373:18 0.00148691 -5 *2373:16 0.00360881 -6 *2373:15 0.00527726 -7 *2373:12 0.00359463 -8 *2447:user_gpio_oeb *2447:user_gpio_out 4.45577e-05 -9 *2373:12 *2400:15 0 -10 *2373:19 *2400:19 0.00235394 -11 *2473:io_in_3v3[26] *2373:12 0 -12 *109:52 *2373:12 0 -13 *1571:13 *2373:16 0.0100108 -14 *2346:15 *2447:user_gpio_oeb 8.62976e-06 -15 *2346:15 *2373:19 0 -*RES -1 *2473:io_oeb[25] *2373:12 14.3246 -2 *2373:12 *2373:15 49.5917 -3 *2373:15 *2373:16 161.289 -4 *2373:16 *2373:18 4.5 -5 *2373:18 *2373:19 57.8476 -6 *2373:19 *2447:user_gpio_oeb 8.58662 -*END - -*D_NET *2374 0.0671194 -*CONN -*I *2448:user_gpio_oeb I *D gpio_control_block -*I *2473:io_oeb[26] O *D user_analog_project_wrapper -*CAP -1 *2448:user_gpio_oeb 0.000199916 -2 *2473:io_oeb[26] 0.00173283 -3 *2374:13 0.00253153 -4 *2374:12 0.00233161 -5 *2374:10 0.00475168 -6 *2374:9 0.00648452 -7 *2448:user_gpio_oeb *2448:user_gpio_out 1.57435e-05 -8 *2374:9 *2401:9 0 -9 *2374:10 *2401:10 0.00784862 -10 *2448:serial_load_out *2448:user_gpio_oeb 0.000752866 -11 *2478:la_input[2] *2374:10 0 -12 *477:29 *2374:9 0 -13 *2036:11 *2374:10 0.00563266 -14 *2347:12 *2448:user_gpio_oeb 0.000752472 -15 *2347:13 *2374:13 0.0102015 -16 *2347:16 *2374:10 0.0238834 -*RES -1 *2473:io_oeb[26] *2374:9 8.36892 -2 *2374:9 *2374:10 384.902 -3 *2374:10 *2374:12 4.5 -4 *2374:12 *2374:13 107.762 -5 *2374:13 *2448:user_gpio_oeb 20.5159 -*END - -*D_NET *2375 0.062363 -*CONN -*I *2455:user_gpio_oeb I *D gpio_control_block -*I *2473:io_oeb[2] O *D user_analog_project_wrapper -*CAP -1 *2455:user_gpio_oeb 0.000297616 -2 *2473:io_oeb[2] 0.00154968 -3 *2375:13 0.00405443 -4 *2375:12 0.00375681 -5 *2375:10 0.00977165 -6 *2375:9 0.0113213 -7 *2455:user_gpio_oeb *2455:user_gpio_out 0.000820315 -8 *2375:9 *2402:9 0 -9 *2375:10 *2383:12 0.000104759 -10 *2375:10 *2402:10 0.0267591 -11 *2375:13 *2402:13 0.00293393 -12 *2473:io_in[1] *2375:10 0.000130145 -13 *2473:io_in_3v3[0] *2375:10 0 -14 *2473:io_in_3v3[3] *2375:9 0 -15 *105:60 *2455:user_gpio_oeb 3.24019e-05 -16 *2040:23 *2375:10 0.00022036 -17 *2348:10 *2455:user_gpio_oeb 0.000608337 -18 *2348:11 *2375:13 2.18041e-06 -*RES -1 *2473:io_oeb[2] *2375:9 8.477 -2 *2375:9 *2375:10 439.3 -3 *2375:10 *2375:12 4.5 -4 *2375:12 *2375:13 123.845 -5 *2375:13 *2455:user_gpio_oeb 22.2434 -*END - -*D_NET *2376 0.0296969 -*CONN -*I *2456:user_gpio_oeb I *D gpio_control_block -*I *2473:io_oeb[3] O *D user_analog_project_wrapper -*CAP -1 *2456:user_gpio_oeb 7.96671e-05 -2 *2473:io_oeb[3] 0.00169764 -3 *2376:13 0.00322088 -4 *2376:12 0.00314121 -5 *2376:10 0.00494456 -6 *2376:9 0.0066422 -7 *2456:user_gpio_oeb *2456:user_gpio_out 3.30793e-05 -8 *2376:9 *2403:9 0 -9 *2376:10 *2403:10 0 -10 *2376:13 *2403:13 2.18041e-06 -11 *2473:io_in_3v3[4] *2376:9 0 -12 *2349:10 *2456:user_gpio_oeb 2.12195e-05 -13 *2349:11 *2376:13 0.00499931 -14 *2349:14 *2376:10 0.00491499 -*RES -1 *2473:io_oeb[3] *2376:9 9.06232 -2 *2376:9 *2376:10 179.768 -3 *2376:10 *2376:12 4.5 -4 *2376:12 *2376:13 121.072 -5 *2376:13 *2456:user_gpio_oeb 8.47354 -*END - -*D_NET *2377 0.0292021 -*CONN -*I *2457:user_gpio_oeb I *D gpio_control_block -*I *2473:io_oeb[4] O *D user_analog_project_wrapper -*CAP -1 *2457:user_gpio_oeb 0.000311979 -2 *2473:io_oeb[4] 0.00156748 -3 *2377:13 0.00275352 -4 *2377:12 0.00244155 -5 *2377:10 0.000604512 -6 *2377:9 0.00217199 -7 *2457:user_gpio_oeb *2457:user_gpio_out 9.98425e-05 -8 *2377:9 *2404:9 0 -9 *2377:10 *2404:10 0.00160253 -10 *2377:13 *2404:13 0.0115994 -11 *2457:serial_load *2377:13 0.00247411 -12 *2457:serial_load_out *2457:user_gpio_oeb 2.04189e-05 -13 *2350:12 *2457:user_gpio_oeb 0.000231826 -14 *2350:13 *2377:13 2.20702e-05 -15 *2350:16 *2377:10 0.00330086 -*RES -1 *2473:io_oeb[4] *2377:9 8.54684 -2 *2377:9 *2377:10 52.7004 -3 *2377:10 *2377:12 4.5 -4 *2377:12 *2377:13 124.4 -5 *2377:13 *2457:user_gpio_oeb 15.6093 -*END - -*D_NET *2378 0.039214 -*CONN -*I *2458:user_gpio_oeb I *D gpio_control_block -*I *2473:io_oeb[5] O *D user_analog_project_wrapper -*CAP -1 *2458:user_gpio_oeb 0.000220174 -2 *2473:io_oeb[5] 0.00160065 -3 *2378:13 0.00333277 -4 *2378:12 0.0031126 -5 *2378:10 0.00130856 -6 *2378:9 0.00290922 -7 *2458:user_gpio_oeb *2458:user_gpio_out 0.000727776 -8 *2378:10 *2405:10 0.0100938 -9 *2458:serial_load *2378:13 0 -10 *109:70 *2378:10 4.23937e-05 -11 *2351:10 *2458:user_gpio_oeb 0.000556245 -12 *2351:11 *2378:13 0.0051676 -13 *2351:14 *2378:10 0.0101423 -*RES -1 *2473:io_oeb[5] *2378:9 8.59839 -2 *2378:9 *2378:10 162.742 -3 *2378:10 *2378:12 4.5 -4 *2378:12 *2378:13 123.291 -5 *2378:13 *2458:user_gpio_oeb 19.3366 -*END - -*D_NET *2379 0.0350201 -*CONN -*I *2459:user_gpio_oeb I *D gpio_control_block -*I *2473:io_oeb[6] O *D user_analog_project_wrapper -*CAP -1 *2459:user_gpio_oeb 0.000112858 -2 *2473:io_oeb[6] 0.00160094 -3 *2379:13 0.00290811 -4 *2379:12 0.00279526 -5 *2379:10 0.00440698 -6 *2379:9 0.00600792 -7 *2459:user_gpio_oeb *2459:user_gpio_out 7.3828e-05 -8 *2379:9 *2406:9 0 -9 *2379:10 *2406:10 0.00532936 -10 *2379:13 *2406:13 0.0116913 -11 *494:36 *2459:user_gpio_oeb 7.22572e-05 -12 *2352:10 *2459:user_gpio_oeb 2.12195e-05 -*RES -1 *2473:io_oeb[6] *2379:9 8.7098 -2 *2379:9 *2379:10 175.2 -3 *2379:10 *2379:12 4.5 -4 *2379:12 *2379:13 123.845 -5 *2379:13 *2459:user_gpio_oeb 9.30405 -*END - -*D_NET *2380 0.0583138 -*CONN -*I *2460:user_gpio_oeb I *D gpio_control_block -*I *2473:io_oeb[7] O *D user_analog_project_wrapper -*CAP -1 *2460:user_gpio_oeb 7.38807e-05 -2 *2473:io_oeb[7] 0.00159306 -3 *2380:13 0.00326046 -4 *2380:12 0.00318658 -5 *2380:10 0.006585 -6 *2380:9 0.00817806 -7 *2460:user_gpio_oeb *2460:user_gpio_out 5.29561e-05 -8 *2380:9 *2407:9 0 -9 *2380:10 *2407:10 0.000194974 -10 *2380:10 *2408:10 0.00678325 -11 *110:118 *2380:13 0 -12 *495:85 *2460:user_gpio_oeb 1.66771e-05 -13 *2353:10 *2460:user_gpio_oeb 2.71319e-05 -14 *2353:11 *2380:13 0.00513068 -15 *2353:14 *2380:10 0.0227234 -16 *2354:16 *2380:10 0.000507721 -*RES -1 *2473:io_oeb[7] *2380:9 8.64495 -2 *2380:9 *2380:10 376.597 -3 *2380:10 *2380:12 4.5 -4 *2380:12 *2380:13 123.291 -5 *2380:13 *2460:user_gpio_oeb 8.46357 -*END - -*D_NET *2381 0.062255 -*CONN -*I *2449:user_gpio_oeb I *D gpio_control_block -*I *2473:io_oeb[8] O *D user_analog_project_wrapper -*CAP -1 *2449:user_gpio_oeb 9.02497e-05 -2 *2473:io_oeb[8] 0.00164111 -3 *2381:13 0.00324182 -4 *2381:12 0.00315157 -5 *2381:10 0.00527577 -6 *2381:9 0.00691688 -7 *2449:user_gpio_oeb *2449:user_gpio_out 2.80929e-05 -8 *2381:9 *2408:9 0 -9 *2381:10 *2408:10 0.000194974 -10 *2381:10 *2409:10 0.00683534 -11 *2381:13 *2408:13 0.00505554 -12 *2449:serial_load_out *2449:user_gpio_oeb 6.78021e-06 -13 *1963:8 *2449:user_gpio_oeb 0 -14 *2044:11 *2381:10 0.00680691 -15 *2302:19 *2381:10 0.000153257 -16 *2354:16 *2381:10 0.0228567 -*RES -1 *2473:io_oeb[8] *2381:9 8.83285 -2 *2381:9 *2381:10 379.089 -3 *2381:10 *2381:12 4.5 -4 *2381:12 *2381:13 121.627 -5 *2381:13 *2449:user_gpio_oeb 8.46357 -*END - -*D_NET *2382 0.0578773 -*CONN -*I *2450:user_gpio_oeb I *D gpio_control_block -*I *2473:io_oeb[9] O *D user_analog_project_wrapper -*CAP -1 *2450:user_gpio_oeb 0.000218772 -2 *2473:io_oeb[9] 0.00144444 -3 *2382:13 0.00305173 -4 *2382:12 0.00283296 -5 *2382:10 0.00749399 -6 *2382:9 0.00893843 -7 *2450:user_gpio_oeb *2450:user_gpio_out 0.000104742 -8 *2382:9 *2409:9 0 -9 *2382:10 *2408:10 0.00246211 -10 *2382:10 *2409:10 0 -11 *2382:13 *2409:13 0.00506711 -12 *2450:serial_load_out *2382:13 0.00132642 -13 *479:40 *2382:13 0.000207277 -14 *1879:8 *2450:user_gpio_oeb 0 -15 *2045:11 *2382:10 0.00668599 -16 *2302:19 *2382:10 0.00329586 -17 *2330:14 *2382:10 0.00738148 -18 *2355:12 *2450:user_gpio_oeb 2.29355e-05 -19 *2355:13 *2382:13 1.00937e-05 -20 *2357:10 *2382:10 0.00733297 -*RES -1 *2473:io_oeb[9] *2382:9 8.35894 -2 *2382:9 *2382:10 387.394 -3 *2382:10 *2382:12 4.5 -4 *2382:12 *2382:13 125.509 -5 *2382:13 *2450:user_gpio_oeb 12.6261 -*END - -*D_NET *2383 0.143089 -*CONN -*I *2444:user_gpio_out I *D gpio_control_block -*I *2473:io_out[0] O *D user_analog_project_wrapper -*CAP -1 *2444:user_gpio_out 0.000104713 -2 *2473:io_out[0] 0.00160403 -3 *2383:22 0.000697865 -4 *2383:16 0.0081799 -5 *2383:15 0.00758675 -6 *2383:13 0.00343394 -7 *2383:12 0.00503797 -8 *2444:user_gpio_oeb *2444:user_gpio_out 9.87699e-05 -9 *2473:io_in[0] *2383:12 0 -10 *100:96 *2383:22 0 -11 *1565:19 *2383:22 0.000121985 -12 *2329:13 *2444:user_gpio_out 4.97448e-06 -13 *2329:13 *2383:22 0.00154198 -14 *2329:16 *2383:16 0.0561562 -15 *2356:16 *2383:16 0.0566448 -16 *2356:22 *2383:22 0.00155912 -17 *2367:16 *2383:16 0.000210876 -18 *2375:10 *2383:12 0.000104759 -*RES -1 *2473:io_out[0] *2383:12 16.4997 -2 *2383:12 *2383:13 89.46 -3 *2383:13 *2383:15 4.5 -4 *2383:15 *2383:16 908.743 -5 *2383:16 *2383:22 47.8123 -6 *2383:22 *2444:user_gpio_out 3.78449 -*END - -*D_NET *2384 0.0610079 -*CONN -*I *2451:user_gpio_out I *D gpio_control_block -*I *2473:io_out[10] O *D user_analog_project_wrapper -*CAP -1 *2451:user_gpio_out 0.000401074 -2 *2473:io_out[10] 0.00156859 -3 *2384:13 0.00403932 -4 *2384:12 0.00363825 -5 *2384:10 0.00659607 -6 *2384:9 0.00816466 -7 *2384:10 *2385:10 0.00662189 -8 *2384:10 *2409:10 0.00328717 -9 *2473:io_in[10] *2384:9 0 -10 *468:13 *2384:13 0.00309016 -11 *468:17 *2384:13 0.000691706 -12 *1994:51 *2451:user_gpio_out 0.000744765 -13 *2046:11 *2384:10 0.00637613 -14 *2303:17 *2384:10 0 -15 *2357:9 *2384:9 0 -16 *2357:10 *2384:10 0.00556489 -17 *2357:13 *2384:13 0.00355278 -18 *2358:10 *2384:10 0.0066704 -*RES -1 *2473:io_out[10] *2384:9 8.77465 -2 *2384:9 *2384:10 391.546 -3 *2384:10 *2384:12 4.5 -4 *2384:12 *2384:13 139.929 -5 *2384:13 *2451:user_gpio_out 20.2137 -*END - -*D_NET *2385 0.0545586 -*CONN -*I *2452:user_gpio_out I *D gpio_control_block -*I *2473:io_out[11] O *D user_analog_project_wrapper -*CAP -1 *2452:user_gpio_out 0.000149792 -2 *2473:io_out[11] 0.00150118 -3 *2385:13 0.00321884 -4 *2385:12 0.00306905 -5 *2385:10 0.00708477 -6 *2385:9 0.00858595 -7 *2452:user_gpio_oeb *2452:user_gpio_out 5.00858e-05 -8 *2473:io_in[11] *2385:9 0 -9 *469:12 *2452:user_gpio_out 0 -10 *2021:11 *2385:10 0.00644227 -11 *2022:11 *2385:10 0.000522281 -12 *2305:17 *2385:10 0.000499606 -13 *2332:14 *2385:10 0.00666772 -14 *2358:10 *2385:10 0.00501251 -15 *2358:13 *2385:13 0.00513262 -16 *2384:10 *2385:10 0.00662189 -*RES -1 *2473:io_out[11] *2385:9 8.80957 -2 *2385:9 *2385:10 377.428 -3 *2385:10 *2385:12 4.5 -4 *2385:12 *2385:13 122.736 -5 *2385:13 *2452:user_gpio_out 9.41712 -*END - -*D_NET *2386 0.0575144 -*CONN -*I *2453:user_gpio_out I *D gpio_control_block -*I *2473:io_out[12] O *D user_analog_project_wrapper -*CAP -1 *2453:user_gpio_out 0.000261577 -2 *2473:io_out[12] 0.00141595 -3 *2386:13 0.00174193 -4 *2386:12 0.00148035 -5 *2386:10 0.00497013 -6 *2386:9 0.00638607 -7 *2453:user_gpio_oeb *2453:user_gpio_out 0.000437959 -8 *2473:io_in[12] *2386:9 0 -9 *1889:8 *2453:user_gpio_out 0.000530922 -10 *2023:11 *2386:10 0.000565545 -11 *2131:10 *2453:user_gpio_out 0 -12 *2324:19 *2386:10 0 -13 *2331:16 *2386:10 0.00625456 -14 *2332:11 *2386:13 0.00508193 -15 *2332:14 *2386:10 0.00774209 -16 *2358:10 *2386:10 0.00733715 -17 *2359:9 *2386:9 0 -18 *2359:10 *2386:10 0.00818139 -19 *2359:13 *2386:13 0.00512684 -*RES -1 *2473:io_out[12] *2386:9 8.6516 -2 *2386:9 *2386:10 378.258 -3 *2386:10 *2386:12 4.5 -4 *2386:12 *2386:13 121.627 -5 *2386:13 *2453:user_gpio_out 16.9981 -*END - -*D_NET *2387 0.0343695 -*CONN -*I *2454:user_gpio_out I *D gpio_control_block -*I *2473:io_out[13] O *D user_analog_project_wrapper -*CAP -1 *2454:user_gpio_out 8.52904e-05 -2 *2473:io_out[13] 0.00137079 -3 *2387:13 0.00451558 -4 *2387:12 0.00443029 -5 *2387:10 0.00209344 -6 *2387:9 0.00346423 -7 *2454:user_gpio_oeb *2454:user_gpio_out 4.31122e-05 -8 *2473:io_in[13] *2387:9 0 -9 *2024:11 *2387:10 0.00600188 -10 *2333:14 *2387:10 0.0102959 -11 *2360:9 *2387:9 0 -12 *2360:10 *2387:10 0.00206896 -*RES -1 *2473:io_out[13] *2387:9 8.52689 -2 *2387:9 *2387:10 179.768 -3 *2387:10 *2387:12 4.5 -4 *2387:12 *2387:13 123.845 -5 *2387:13 *2454:user_gpio_out 8.87882 -*END - -*D_NET *2388 0.0273915 -*CONN -*I *2461:user_gpio_out I *D gpio_control_block -*I *2473:io_out[14] O *D user_analog_project_wrapper -*CAP -1 *2461:user_gpio_out 9.14287e-05 -2 *2473:io_out[14] 0.00159879 -3 *2388:19 0.00209342 -4 *2388:18 0.00200199 -5 *2388:16 0.00677499 -6 *2388:15 0.00677499 -7 *2388:13 0.000971769 -8 *2388:12 0.00257056 -9 *2461:user_gpio_oeb *2461:user_gpio_out 5.36925e-05 -10 *2473:io_in[14] *2388:12 0 -11 *2361:12 *2388:12 0.00015038 -12 *2361:13 *2388:13 0.00430945 -13 *2361:22 *2388:19 0 -*RES -1 *2473:io_out[14] *2388:12 15.9607 -2 *2388:12 *2388:13 45.6463 -3 *2388:13 *2388:15 4.5 -4 *2388:15 *2388:16 190.356 -5 *2388:16 *2388:18 4.5 -6 *2388:18 *2388:19 55.6292 -7 *2388:19 *2461:user_gpio_out 8.54006 -*END - -*D_NET *2389 0.0266088 -*CONN -*I *2462:user_gpio_out I *D gpio_control_block -*I *2473:io_out[15] O *D user_analog_project_wrapper -*CAP -1 *2462:user_gpio_out 0.000119648 -2 *2473:io_out[15] 0.00188758 -3 *2389:19 0.00279331 -4 *2389:18 0.00267367 -5 *2389:16 0.00703004 -6 *2389:15 0.00891762 -7 *2462:user_gpio_oeb *2462:user_gpio_out 0 -8 *2362:12 *2389:15 0.000736232 -9 *2362:15 *2389:15 0.00245069 -*RES -1 *2473:io_out[15] *2389:15 44.0733 -2 *2389:15 *2389:16 198.246 -3 *2389:16 *2389:18 4.5 -4 *2389:18 *2389:19 73.9311 -5 *2389:19 *2462:user_gpio_out 8.58662 -*END - -*D_NET *2390 0.0340805 -*CONN -*I *2463:user_gpio_out I *D gpio_control_block -*I *2473:io_out[16] O *D user_analog_project_wrapper -*CAP -1 *2463:user_gpio_out 0.00323386 -2 *2473:io_out[16] 0.00135002 -3 *2390:10 0.00790049 -4 *2390:9 0.00601665 -5 *2463:user_gpio_oeb *2463:user_gpio_out 0.0103395 -6 *2473:io_in[16] *2390:9 0 -7 *472:8 *2463:user_gpio_out 0 -8 *2336:15 *2463:user_gpio_out 4.42985e-05 -9 *2336:16 *2390:10 0.00519571 -10 *2363:10 *2390:10 0 -*RES -1 *2473:io_out[16] *2390:9 8.04466 -2 *2390:9 *2390:10 180.39 -3 *2390:10 *2463:user_gpio_out 37.3621 -*END - -*D_NET *2391 0.0335623 -*CONN -*I *2464:user_gpio_out I *D gpio_control_block -*I *2473:io_out[17] O *D user_analog_project_wrapper -*CAP -1 *2464:user_gpio_out 0.000114142 -2 *2473:io_out[17] 0.00233569 -3 *2391:19 0.00288387 -4 *2391:18 0.00276973 -5 *2391:16 0.00419986 -6 *2391:15 0.00419986 -7 *2391:13 0.00233569 -8 *2464:user_gpio_oeb *2464:user_gpio_out 6.19346e-06 -9 *2473:io_in[17] *2391:13 0 -10 *1552:27 *2391:16 0.0120542 -11 *2364:12 *2391:13 0 -12 *2364:15 *2391:13 0.00266312 -13 *2364:19 *2391:19 0 -*RES -1 *2473:io_out[17] *2391:13 46.0225 -2 *2391:13 *2391:15 4.5 -3 *2391:15 *2391:16 192.848 -4 *2391:16 *2391:18 4.5 -5 *2391:18 *2391:19 74.4857 -6 *2391:19 *2464:user_gpio_out 8.58662 -*END - -*D_NET *2392 0.0298566 -*CONN -*I *2465:user_gpio_out I *D gpio_control_block -*I *2473:io_out[18] O *D user_analog_project_wrapper -*CAP -1 *2465:user_gpio_out 0.0001439 -2 *2473:io_out[18] 0.00175519 -3 *2392:19 0.0023296 -4 *2392:18 0.0021857 -5 *2392:16 0.00699398 -6 *2392:15 0.00874917 -7 *2465:serial_clock *2392:19 0 -8 *2465:serial_load *2465:user_gpio_out 1.64979e-05 -9 *2465:user_gpio_oeb *2465:user_gpio_out 2.269e-05 -10 *106:31 *2392:19 0 -11 *2338:10 *2465:user_gpio_out 0 -12 *2365:15 *2392:15 0.000753084 -13 *2365:19 *2392:19 0.00690676 -*RES -1 *2473:io_out[18] *2392:15 27.8887 -2 *2392:15 *2392:16 197.416 -3 *2392:16 *2392:18 4.5 -4 *2392:18 *2392:19 88.9054 -5 *2392:19 *2465:user_gpio_out 8.95531 -*END - -*D_NET *2393 0.0251221 -*CONN -*I *2466:user_gpio_out I *D gpio_control_block -*I *2473:io_out[19] O *D user_analog_project_wrapper -*CAP -1 *2466:user_gpio_out 0.000106291 -2 *2473:io_out[19] 0.00244758 -3 *2393:19 0.0032571 -4 *2393:18 0.00315081 -5 *2393:16 0.00658263 -6 *2393:15 0.00903021 -7 *2466:serial_load *2466:user_gpio_out 0 -8 *2466:serial_load *2393:19 0.000243133 -9 *2466:user_gpio_oeb *2466:user_gpio_out 6.5075e-07 -10 *2473:io_in[19] *2393:15 0 -11 *474:17 *2393:19 0.000215716 -12 *520:15 *2466:user_gpio_out 8.79706e-05 -13 *2366:10 *2393:15 0 -*RES -1 *2473:io_out[19] *2393:15 39.1902 -2 *2393:15 *2393:16 185.789 -3 *2393:16 *2393:18 4.5 -4 *2393:18 *2393:19 88.9054 -5 *2393:19 *2466:user_gpio_out 8.54006 -*END - -*D_NET *2394 0.0917369 -*CONN -*I *2445:user_gpio_out I *D gpio_control_block -*I *2473:io_out[1] O *D user_analog_project_wrapper -*CAP -1 *2445:user_gpio_out 0.000400122 -2 *2473:io_out[1] 0.00162528 -3 *2394:27 0.0021278 -4 *2394:26 0.00172767 -5 *2394:24 0.00447329 -6 *2394:22 0.00452533 -7 *2394:20 0.00566321 -8 *2394:19 0.00561116 -9 *2394:17 0.00165402 -10 *2394:16 0.00327929 -11 *2445:serial_clock_out *2394:27 0 -12 *2445:user_gpio_oeb *2445:user_gpio_out 0.000477761 -13 *470:16 *2394:20 0 -14 *471:46 *2445:user_gpio_out 0 -15 *503:13 *2394:27 9.91731e-05 -16 *503:14 *2445:user_gpio_out 0 -17 *525:8 *2394:24 0.0139445 -18 *2031:15 *2394:20 0.025779 -19 *2031:15 *2394:24 7.44441e-06 -20 *2039:11 *2394:20 0.00119953 -21 *2039:11 *2394:24 0.00410849 -22 *2039:15 *2394:20 0.0111892 -23 *2222:24 *2394:24 0.000602507 -24 *2367:12 *2394:16 0.000388476 -25 *2367:13 *2394:17 0.00153414 -26 *2367:21 *2394:27 0.00131953 -*RES -1 *2473:io_out[1] *2394:16 14.4115 -2 *2394:16 *2394:17 60.6206 -3 *2394:17 *2394:19 4.5 -4 *2394:19 *2394:20 430.995 -5 *2394:20 *2394:22 1.29461 -6 *2394:22 *2394:24 243.716 -7 *2394:24 *2394:26 4.5 -8 *2394:26 *2394:27 53.4107 -9 *2394:27 *2445:user_gpio_out 18.5826 -*END - -*D_NET *2395 0.0276262 -*CONN -*I *2467:user_gpio_out I *D gpio_control_block -*I *2473:io_out[20] O *D user_analog_project_wrapper -*CAP -1 *2467:user_gpio_out 0.000140082 -2 *2473:io_out[20] 0.00195629 -3 *2395:19 0.00256088 -4 *2395:18 0.00242079 -5 *2395:16 0.00686939 -6 *2395:15 0.00882567 -7 *2467:user_gpio_oeb *2467:user_gpio_out 1.75765e-05 -8 *2473:io_in[20] *2395:15 0 -9 *108:22 *2467:user_gpio_out 1.1573e-05 -10 *2368:12 *2395:15 0 -11 *2368:15 *2395:15 0.00165828 -12 *2368:19 *2395:19 0.00316567 -*RES -1 *2473:io_out[20] *2395:15 41.7943 -2 *2395:15 *2395:16 193.679 -3 *2395:16 *2395:18 4.5 -4 *2395:18 *2395:19 90.5692 -5 *2395:19 *2467:user_gpio_out 8.58662 -*END - -*D_NET *2396 0.0250043 -*CONN -*I *2468:user_gpio_out I *D gpio_control_block -*I *2473:io_out[21] O *D user_analog_project_wrapper -*CAP -1 *2468:user_gpio_out 0.000107087 -2 *2473:io_out[21] 0.00212163 -3 *2396:19 0.00342246 -4 *2396:18 0.00331538 -5 *2396:16 0.00675108 -6 *2396:15 0.00887271 -7 *2468:user_gpio_oeb *2468:user_gpio_out 5.02212e-05 -8 *2473:io_in[21] *2396:15 0.000363771 -9 *2473:io_in_3v3[21] *2396:15 0 -10 *2033:13 *2396:15 0 -11 *2369:9 *2396:15 0 -*RES -1 *2473:io_out[21] *2396:15 30.4781 -2 *2396:15 *2396:16 190.772 -3 *2396:16 *2396:18 4.5 -4 *2396:18 *2396:19 88.9054 -5 *2396:19 *2468:user_gpio_out 8.54006 -*END - -*D_NET *2397 0.0306212 -*CONN -*I *2469:user_gpio_out I *D gpio_control_block -*I *2473:io_out[22] O *D user_analog_project_wrapper -*CAP -1 *2469:user_gpio_out 0.000132019 -2 *2473:io_out[22] 0.00214256 -3 *2397:19 0.00236376 -4 *2397:18 0.00223175 -5 *2397:16 0.00685669 -6 *2397:15 0.00899925 -7 *2469:user_gpio_oeb *2469:user_gpio_out 5.72783e-05 -8 *2473:io_in[22] *2397:15 0 -9 *478:10 *2469:user_gpio_out 0 -10 *2370:12 *2397:15 0 -11 *2370:15 *2397:15 0.000918989 -12 *2370:19 *2397:19 0.00691892 -*RES -1 *2473:io_out[22] *2397:15 28.2043 -2 *2397:15 *2397:16 194.094 -3 *2397:16 *2397:18 4.5 -4 *2397:18 *2397:19 88.9054 -5 *2397:19 *2469:user_gpio_out 8.95531 -*END - -*D_NET *2398 0.038996 -*CONN -*I *2470:user_gpio_out I *D gpio_control_block -*I *2473:io_out[23] O *D user_analog_project_wrapper -*CAP -1 *2470:user_gpio_out 0.00141198 -2 *2473:io_out[23] 0.00215546 -3 *2398:16 0.00436329 -4 *2398:15 0.00295132 -5 *2398:13 0.00151317 -6 *2398:12 0.00366862 -7 *2470:user_gpio_oeb *2470:user_gpio_out 1.29018e-05 -8 *2473:io_in[23] *2398:12 0 -9 *109:40 *2398:16 0 -10 *111:39 *2470:user_gpio_out 0 -11 *496:20 *2470:user_gpio_out 1.66771e-05 -12 *497:16 *2398:16 0.00486939 -13 *2035:11 *2398:12 0.000282459 -14 *2344:16 *2398:16 0.0110112 -15 *2344:19 *2398:13 0.00666057 -16 *2371:12 *2398:12 0 -17 *2371:16 *2398:16 7.90402e-05 -*RES -1 *2473:io_out[23] *2398:12 23.3708 -2 *2398:12 *2398:13 70.0488 -3 *2398:13 *2398:15 4.5 -4 *2398:15 *2398:16 181.636 -5 *2398:16 *2470:user_gpio_out 43.7586 -*END - -*D_NET *2399 0.021034 -*CONN -*I *2446:user_gpio_out I *D gpio_control_block -*I *2473:io_out[24] O *D user_analog_project_wrapper -*CAP -1 *2446:user_gpio_out 9.52439e-05 -2 *2473:io_out[24] 0.00179802 -3 *2399:13 0.00235391 -4 *2399:12 0.00225867 -5 *2399:10 0.00217104 -6 *2399:9 0.00396906 -7 *2446:mgmt_gpio_out *2399:9 0.000594812 -8 *2446:user_gpio_oeb *2446:user_gpio_out 6.68838e-05 -9 *2473:gpio_noesd[17] *2399:10 0 -10 *2473:io_in[24] *2399:9 0 -11 *2473:io_in[24] *2399:10 0 -12 *479:28 *2399:13 0.000437454 -13 *513:13 *2399:13 0.00039806 -14 *2372:16 *2399:13 0.00689088 -*RES -1 *2473:io_out[24] *2399:9 9.53123 -2 *2399:9 *2399:10 60.1749 -3 *2399:10 *2399:12 4.5 -4 *2399:12 *2399:13 97.2244 -5 *2399:13 *2446:user_gpio_out 8.54006 -*END - -*D_NET *2400 0.0305423 -*CONN -*I *2447:user_gpio_out I *D gpio_control_block -*I *2473:io_out[25] O *D user_analog_project_wrapper -*CAP -1 *2447:user_gpio_out 0.000130092 -2 *2473:io_out[25] 0.00303741 -3 *2400:19 0.0022009 -4 *2400:18 0.00207081 -5 *2400:16 0.00369796 -6 *2400:15 0.00673536 -7 *2447:user_gpio_oeb *2447:user_gpio_out 4.45577e-05 -8 *1556:27 *2400:16 0.0102713 -9 *2373:12 *2400:15 0 -10 *2373:19 *2400:19 0.00235394 -*RES -1 *2473:io_out[25] *2400:15 46.3115 -2 *2400:15 *2400:16 165.441 -3 *2400:16 *2400:18 4.5 -4 *2400:18 *2400:19 73.3765 -5 *2400:19 *2447:user_gpio_out 8.54006 -*END - -*D_NET *2401 0.0500119 -*CONN -*I *2448:user_gpio_out I *D gpio_control_block -*I *2473:io_out[26] O *D user_analog_project_wrapper -*CAP -1 *2448:user_gpio_out 0.000140164 -2 *2473:io_out[26] 0.00165859 -3 *2401:16 0.0013619 -4 *2401:13 0.00481882 -5 *2401:12 0.00359709 -6 *2401:10 0.00890679 -7 *2401:9 0.0105654 -8 *2448:serial_load_out *2448:user_gpio_out 0 -9 *2448:serial_load_out *2401:16 0.00163095 -10 *2448:user_gpio_oeb *2448:user_gpio_out 1.57435e-05 -11 *2473:io_in[26] *2401:9 0 -12 *2478:la_input[2] *2401:10 0 -13 *515:8 *2401:16 0 -14 *605:14 *2401:13 0.00336945 -15 *2036:11 *2401:10 0.00609841 -16 *2037:7 *2401:16 0 -17 *2374:9 *2401:9 0 -18 *2374:10 *2401:10 0.00784862 -*RES -1 *2473:io_out[26] *2401:9 8.18102 -2 *2401:9 *2401:10 358.741 -3 *2401:10 *2401:12 4.5 -4 *2401:12 *2401:13 107.762 -5 *2401:13 *2401:16 48.0648 -6 *2401:16 *2448:user_gpio_out 3.74791 -*END - -*D_NET *2402 0.0750698 -*CONN -*I *2455:user_gpio_out I *D gpio_control_block -*I *2473:io_out[2] O *D user_analog_project_wrapper -*CAP -1 *2455:user_gpio_out 0.000322793 -2 *2473:io_out[2] 0.00160471 -3 *2402:13 0.00248171 -4 *2402:12 0.00215891 -5 *2402:10 0.00424615 -6 *2402:9 0.00585085 -7 *2455:user_gpio_oeb *2455:user_gpio_out 0.000820315 -8 *2473:io_in[2] *2402:9 0 -9 *105:60 *2455:user_gpio_out 0.000922886 -10 *2040:23 *2402:10 0.017382 -11 *2348:11 *2402:13 0.00505428 -12 *2348:14 *2402:10 0.00453221 -13 *2375:9 *2402:9 0 -14 *2375:10 *2402:10 0.0267591 -15 *2375:13 *2402:13 0.00293393 -*RES -1 *2473:io_out[2] *2402:9 8.58841 -2 *2402:9 *2402:10 430.58 -3 *2402:10 *2402:12 4.5 -4 *2402:12 *2402:13 122.736 -5 *2402:13 *2455:user_gpio_out 23.5656 -*END - -*D_NET *2403 0.0336001 -*CONN -*I *2456:user_gpio_out I *D gpio_control_block -*I *2473:io_out[3] O *D user_analog_project_wrapper -*CAP -1 *2456:user_gpio_out 0.000271227 -2 *2473:io_out[3] 0.00163497 -3 *2403:13 0.00397563 -4 *2403:12 0.0037044 -5 *2403:10 0.00264669 -6 *2403:9 0.00428166 -7 *2456:user_gpio_oeb *2456:user_gpio_out 3.30793e-05 -8 *2473:io_in[3] *2403:9 0 -9 *105:30 *2456:user_gpio_out 0 -10 *2040:23 *2403:10 0.000651922 -11 *2348:14 *2403:10 0.00850736 -12 *2349:10 *2456:user_gpio_out 4.59232e-05 -13 *2349:11 *2403:13 0.00290471 -14 *2349:14 *2403:10 0.00494038 -15 *2376:9 *2403:9 0 -16 *2376:10 *2403:10 0 -17 *2376:13 *2403:13 2.18041e-06 -*RES -1 *2473:io_out[3] *2403:9 8.79128 -2 *2403:9 *2403:10 174.369 -3 *2403:10 *2403:12 4.5 -4 *2403:12 *2403:13 122.736 -5 *2403:13 *2456:user_gpio_out 13.1178 -*END - -*D_NET *2404 0.0284378 -*CONN -*I *2457:user_gpio_out I *D gpio_control_block -*I *2473:io_out[4] O *D user_analog_project_wrapper -*CAP -1 *2457:user_gpio_out 0.000354976 -2 *2473:io_out[4] 0.00159017 -3 *2404:13 0.00164929 -4 *2404:12 0.00129431 -5 *2404:10 0.00154651 -6 *2404:9 0.00313668 -7 *2457:serial_load *2404:13 1.92172e-05 -8 *2457:user_gpio_oeb *2457:user_gpio_out 9.98425e-05 -9 *2473:io_in[4] *2404:9 0 -10 *2350:12 *2457:user_gpio_out 0.000216082 -11 *2350:13 *2404:13 0.00516663 -12 *2350:16 *2404:10 0.000162183 -13 *2377:9 *2404:9 0 -14 *2377:10 *2404:10 0.00160253 -15 *2377:13 *2404:13 0.0115994 -*RES -1 *2473:io_out[4] *2404:9 8.6649 -2 *2404:9 *2404:10 60.5902 -3 *2404:10 *2404:12 4.5 -4 *2404:12 *2404:13 124.4 -5 *2404:13 *2457:user_gpio_out 17.6091 -*END - -*D_NET *2405 0.036149 -*CONN -*I *2458:user_gpio_out I *D gpio_control_block -*I *2473:io_out[5] O *D user_analog_project_wrapper -*CAP -1 *2458:user_gpio_out 0.000346911 -2 *2473:io_out[5] 0.00137467 -3 *2405:13 0.0032042 -4 *2405:12 0.00285729 -5 *2405:10 0.00330646 -6 *2405:9 0.00468113 -7 *2458:serial_data_in *2458:user_gpio_out 8.11463e-06 -8 *2458:serial_data_in *2405:13 0.00140694 -9 *2458:user_gpio_oeb *2458:user_gpio_out 0.000727776 -10 *2473:io_in[5] *2405:9 0 -11 *109:70 *2405:10 0.00193095 -12 *495:64 *2458:user_gpio_out 0 -13 *507:10 *2458:user_gpio_out 0.000771902 -14 *2042:17 *2405:10 0 -15 *2351:10 *2458:user_gpio_out 2.04806e-05 -16 *2351:11 *2405:13 0.0051219 -17 *2351:14 *2405:10 0.000296518 -18 *2378:10 *2405:10 0.0100938 -*RES -1 *2473:io_out[5] *2405:9 8.30074 -2 *2405:9 *2405:10 171.047 -3 *2405:10 *2405:12 4.5 -4 *2405:12 *2405:13 122.182 -5 *2405:13 *2458:user_gpio_out 23.5656 -*END - -*D_NET *2406 0.0499839 -*CONN -*I *2459:user_gpio_out I *D gpio_control_block -*I *2473:io_out[6] O *D user_analog_project_wrapper -*CAP -1 *2459:user_gpio_out 0.000220649 -2 *2473:io_out[6] 0.00152893 -3 *2406:13 0.00121301 -4 *2406:12 0.000992366 -5 *2406:10 0.00216664 -6 *2406:9 0.00369557 -7 *2459:user_gpio_oeb *2459:user_gpio_out 7.3828e-05 -8 *2473:io_in[6] *2406:9 0 -9 *494:36 *2459:user_gpio_out 8.21013e-05 -10 *2352:11 *2406:13 0.0116003 -11 *2352:14 *2406:10 0.0113898 -12 *2379:9 *2406:9 0 -13 *2379:10 *2406:10 0.00532936 -14 *2379:13 *2406:13 0.0116913 -*RES -1 *2473:io_out[6] *2406:9 8.5219 -2 *2406:9 *2406:10 182.259 -3 *2406:10 *2406:12 4.5 -4 *2406:12 *2406:13 125.509 -5 *2406:13 *2459:user_gpio_out 12.2108 -*END - -*D_NET *2407 0.0545501 -*CONN -*I *2460:user_gpio_out I *D gpio_control_block -*I *2473:io_out[7] O *D user_analog_project_wrapper -*CAP -1 *2460:user_gpio_out 0.000199406 -2 *2473:io_out[7] 0.00156846 -3 *2407:13 0.00340149 -4 *2407:12 0.00320208 -5 *2407:10 0.00802662 -6 *2407:9 0.00959508 -7 *2460:serial_load_out *2460:user_gpio_out 0 -8 *2460:user_gpio_oeb *2460:user_gpio_out 5.29561e-05 -9 *2473:io_in[7] *2407:9 0 -10 *477:44 *2460:user_gpio_out 9.51799e-07 -11 *495:85 *2460:user_gpio_out 8.36854e-05 -12 *2125:8 *2460:user_gpio_out 0.000262806 -13 *2353:10 *2460:user_gpio_out 5.30145e-05 -14 *2353:11 *2407:13 0.00513309 -15 *2353:14 *2407:10 0.0227755 -16 *2380:9 *2407:9 0 -17 *2380:10 *2407:10 0.000194974 -*RES -1 *2473:io_out[7] *2407:9 8.52689 -2 *2407:9 *2407:10 370.784 -3 *2407:10 *2407:12 4.5 -4 *2407:12 *2407:13 123.845 -5 *2407:13 *2460:user_gpio_out 12.6926 -*END - -*D_NET *2408 0.05981 -*CONN -*I *2449:user_gpio_out I *D gpio_control_block -*I *2473:io_out[8] O *D user_analog_project_wrapper -*CAP -1 *2449:user_gpio_out 0.000169707 -2 *2473:io_out[8] 0.00161653 -3 *2408:13 0.00341933 -4 *2408:12 0.00324962 -5 *2408:10 0.00548446 -6 *2408:9 0.00710099 -7 *2449:serial_load_out *2449:user_gpio_out 0 -8 *2449:user_gpio_oeb *2449:user_gpio_out 2.80929e-05 -9 *2473:io_in[8] *2408:9 0 -10 *1963:8 *2449:user_gpio_out 0 -11 *2302:19 *2408:10 0.00134023 -12 *2354:16 *2408:10 0.0229052 -13 *2380:10 *2408:10 0.00678325 -14 *2381:9 *2408:9 0 -15 *2381:10 *2408:10 0.000194974 -16 *2381:13 *2408:13 0.00505554 -17 *2382:10 *2408:10 0.00246211 -*RES -1 *2473:io_out[8] *2408:9 8.71478 -2 *2408:9 *2408:10 372.445 -3 *2408:10 *2408:12 4.5 -4 *2408:12 *2408:13 123.845 -5 *2408:13 *2449:user_gpio_out 10.1911 -*END - -*D_NET *2409 0.0527558 -*CONN -*I *2450:user_gpio_out I *D gpio_control_block -*I *2473:io_out[9] O *D user_analog_project_wrapper -*CAP -1 *2450:user_gpio_out 0.000286333 -2 *2473:io_out[9] 0.00168182 -3 *2409:13 0.00187885 -4 *2409:12 0.00159252 -5 *2409:10 0.00702167 -6 *2409:9 0.00870349 -7 *2450:user_gpio_oeb *2450:user_gpio_out 0.000104742 -8 *2473:io_in[9] *2409:9 0 -9 *1879:8 *2450:user_gpio_out 0 -10 *2302:19 *2409:10 0 -11 *2303:17 *2409:10 0 -12 *2355:12 *2450:user_gpio_out 2.01653e-05 -13 *2355:13 *2409:13 0.00501388 -14 *2355:16 *2409:10 0.0112627 -15 *2357:10 *2409:10 0 -16 *2381:10 *2409:10 0.00683534 -17 *2382:9 *2409:9 0 -18 *2382:10 *2409:10 0 -19 *2382:13 *2409:13 0.00506711 -20 *2384:10 *2409:10 0.00328717 -*RES -1 *2473:io_out[9] *2409:9 8.88938 -2 *2409:9 *2409:10 378.258 -3 *2409:10 *2409:12 4.5 -4 *2409:12 *2409:13 121.627 -5 *2409:13 *2450:user_gpio_out 14.3636 -*END - -*D_NET *2410 0.0948361 -*CONN -*I *2478:irq[0] I *D mgmt_core_wrapper -*I *2472:user_irq[0] O *D mgmt_protect -*CAP -1 *2478:irq[0] 0.002087 -2 *2472:user_irq[0] 0.00113591 -3 *2410:14 0.00592535 -4 *2410:13 0.00383834 -5 *2410:11 0.0317874 -6 *2410:10 0.0329233 -7 *2478:irq[0] *2478:irq[1] 0.000698004 -8 *2478:irq[0] *2478:irq[2] 0.00425297 -9 *2410:14 *2411:14 0.00328339 -10 *1612:10 *2478:irq[0] 0.000324592 -11 *1670:11 *2410:14 0.00230193 -12 *1721:10 *2478:irq[0] 0.000346541 -13 *1734:7 *2410:14 0 -14 *1741:10 *2478:irq[0] 0.00593133 -*RES -1 *2472:user_irq[0] *2410:10 16.0581 -2 *2410:10 *2410:11 890.862 -3 *2410:11 *2410:13 4.5 -4 *2410:13 *2410:14 147.793 -5 *2410:14 *2478:irq[0] 41.4214 -*END - -*D_NET *2411 0.0958947 -*CONN -*I *2478:irq[1] I *D mgmt_core_wrapper -*I *2472:user_irq[1] O *D mgmt_protect -*CAP -1 *2478:irq[1] 0.00421854 -2 *2472:user_irq[1] 0.00120893 -3 *2411:14 0.00859695 -4 *2411:13 0.00437841 -5 *2411:11 0.0317364 -6 *2411:10 0.0329454 -7 *2478:irq[1] *2478:irq[2] 0.00473142 -8 *2478:irq[0] *2478:irq[1] 0.000698004 -9 *1587:10 *2478:irq[1] 0.000388665 -10 *1588:10 *2478:irq[1] 0.000329156 -11 *1589:31 *2478:irq[1] 0.000206867 -12 *1591:14 *2478:irq[1] 0.000184687 -13 *1602:23 *2411:14 0 -14 *1670:11 *2411:14 0.00222106 -15 *1727:10 *2478:irq[1] 0.00036442 -16 *1729:25 *2478:irq[1] 0.000193655 -17 *1737:25 *2478:irq[1] 0.000183925 -18 *1741:10 *2478:irq[1] 2.48636e-05 -19 *2410:14 *2411:14 0.00328339 -*RES -1 *2472:user_irq[1] *2411:10 18.1344 -2 *2411:10 *2411:11 889.753 -3 *2411:11 *2411:13 4.5 -4 *2411:13 *2411:14 163.157 -5 *2411:14 *2478:irq[1] 43.3062 -*END - -*D_NET *2412 0.0954512 -*CONN -*I *2478:irq[2] I *D mgmt_core_wrapper -*I *2472:user_irq[2] O *D mgmt_protect -*CAP -1 *2478:irq[2] 0.00212382 -2 *2472:user_irq[2] 0.00131117 -3 *2412:14 0.00871324 -4 *2412:13 0.00658941 -5 *2412:11 0.0323296 -6 *2412:10 0.0336408 -7 *2478:irq[0] *2478:irq[2] 0.00425297 -8 *2478:irq[1] *2478:irq[2] 0.00473142 -9 *2478:mprj_dat_i[30] *2412:14 3.92579e-05 -10 *1723:25 *2478:irq[2] 0.00036298 -11 *1725:10 *2478:irq[2] 0.000342696 -12 *1736:9 *2412:14 0 -13 *1741:10 *2478:irq[2] 0.0010138 -*RES -1 *2472:user_irq[2] *2412:10 21.0412 -2 *2412:10 *2412:11 906.391 -3 *2412:11 *2412:13 4.5 -4 *2412:13 *2412:14 181.013 -5 *2412:14 *2478:irq[2] 40.8694 -*END - -*D_NET *2413 0.311965 -*CONN -*I *2472:user_irq_core[0] I *D mgmt_protect -*I *2473:user_irq[0] O *D user_analog_project_wrapper -*CAP -1 *2472:user_irq_core[0] 0.00159412 -2 *2473:user_irq[0] 0.00205067 -3 *2413:8 0.0268085 -4 *2413:7 0.0252143 -5 *2413:5 0.00205067 -6 *2472:user_irq_core[0] *2472:user_irq_core[1] 0 -7 *2413:8 *2415:10 0.0312377 -8 *1103:8 *2413:8 0.0613514 -9 *1400:8 *2413:8 0.146097 -10 *1402:8 *2413:8 0.0155613 -11 *1646:7 *2472:user_irq_core[0] 0 -*RES -1 *2473:user_irq[0] *2413:5 54.8988 -2 *2413:5 *2413:7 3.36879 -3 *2413:7 *2413:8 195.635 -4 *2413:8 *2472:user_irq_core[0] 32.5219 -*END - -*D_NET *2414 0.28882 -*CONN -*I *2472:user_irq_core[1] I *D mgmt_protect -*I *2473:user_irq[1] O *D user_analog_project_wrapper -*CAP -1 *2472:user_irq_core[1] 0.00265048 -2 *2473:user_irq[1] 0.00144366 -3 *2414:14 0.00276005 -4 *2414:8 0.0140155 -5 *2414:7 0.0153496 -6 *2472:user_irq_core[1] *2472:user_irq_core[2] 0 -7 *2472:user_irq_core[0] *2472:user_irq_core[1] 0 -8 *1007:8 *2414:8 0.0602489 -9 *1019:8 *2414:8 0.000639908 -10 *1075:5 *2472:user_irq_core[1] 0 -11 *1100:8 *2414:8 0.0459994 -12 *1100:8 *2414:14 1.67988e-05 -13 *1401:10 *2414:8 1.67988e-05 -14 *1401:10 *2414:14 0.0011774 -15 *1401:12 *2414:8 0.128107 -16 *1403:8 *2414:8 0.0152772 -17 *1459:8 *2414:14 0.00111656 -18 *1646:7 *2472:user_irq_core[1] 0 -*RES -1 *2473:user_irq[1] *2414:7 42.5811 -2 *2414:7 *2414:8 1410.53 -3 *2414:8 *2414:14 17.4488 -4 *2414:14 *2472:user_irq_core[1] 46.3861 -*END - -*D_NET *2415 0.255192 -*CONN -*I *2472:user_irq_core[2] I *D mgmt_protect -*I *2473:user_irq[2] O *D user_analog_project_wrapper -*CAP -1 *2472:user_irq_core[2] 0.00159047 -2 *2473:user_irq[2] 5.43965e-05 -3 *2415:10 0.0272258 -4 *2415:9 0.0256353 -5 *2415:7 0.00131631 -6 *2415:5 0.00137071 -7 *2472:user_irq_core[1] *2472:user_irq_core[2] 0 -8 *1075:5 *2472:user_irq_core[2] 4.59193e-05 -9 *1092:8 *2415:10 0.00061538 -10 *1402:8 *2415:10 0.131446 -11 *1475:8 *2415:10 0.0314182 -12 *1551:23 *2415:7 0.0032363 -13 *2413:8 *2415:10 0.0312377 -*RES -1 *2473:user_irq[2] *2415:5 0.647305 -2 *2415:5 *2415:7 54.7766 -3 *2415:7 *2415:9 4.5 -4 *2415:9 *2415:10 1427.72 -5 *2415:10 *2472:user_irq_core[2] 33.8608 -*END diff --git a/spef/caravel.spef b/spef/caravel.spef deleted file mode 100644 index 9b62a9cf..00000000 --- a/spef/caravel.spef +++ /dev/null @@ -1,80597 +0,0 @@ -*SPEF "ieee 1481-1999" -*DESIGN "caravel" -*DATE "11:11:11 Fri 11 11, 1111" -*VENDOR "OpenRCX" -*PROGRAM "Parallel Extraction" -*VERSION "1.0" -*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" -*DIVIDER / -*DELIMITER : -*BUS_DELIMITER [] -*T_UNIT 1 NS -*C_UNIT 1 PF -*R_UNIT 1 OHM -*L_UNIT 1 HENRY - -*NAME_MAP -*1 clock -*2 flash_clk -*3 flash_csb -*4 flash_io0 -*5 flash_io1 -*6 gpio -*7 mprj_io[0] -*8 mprj_io[10] -*9 mprj_io[11] -*10 mprj_io[12] -*11 mprj_io[13] -*12 mprj_io[14] -*13 mprj_io[15] -*14 mprj_io[16] -*15 mprj_io[17] -*16 mprj_io[18] -*17 mprj_io[19] -*18 mprj_io[1] -*19 mprj_io[20] -*20 mprj_io[21] -*21 mprj_io[22] -*22 mprj_io[23] -*23 mprj_io[24] -*24 mprj_io[25] -*25 mprj_io[26] -*26 mprj_io[27] -*27 mprj_io[28] -*28 mprj_io[29] -*29 mprj_io[2] -*30 mprj_io[30] -*31 mprj_io[31] -*32 mprj_io[32] -*33 mprj_io[33] -*34 mprj_io[34] -*35 mprj_io[35] -*36 mprj_io[36] -*37 mprj_io[37] -*38 mprj_io[3] -*39 mprj_io[4] -*40 mprj_io[5] -*41 mprj_io[6] -*42 mprj_io[7] -*43 mprj_io[8] -*44 mprj_io[9] -*45 resetb -*46 vccd -*47 vccd1 -*48 vccd2 -*49 vdda -*50 vdda1 -*51 vdda1_2 -*52 vdda2 -*53 vddio -*54 vddio_2 -*55 vssa -*56 vssa1 -*57 vssa1_2 -*58 vssa2 -*59 vssd -*60 vssd1 -*61 vssd2 -*62 vssio -*63 vssio_2 -*64 caravel_clk -*65 caravel_clk2 -*66 caravel_rstn -*67 clock_core -*68 debug_in -*69 debug_mode -*70 debug_oeb -*71 debug_out -*72 ext_clk_sel -*73 ext_reset -*74 flash_clk_core -*75 flash_clk_frame -*76 flash_clk_oeb -*77 flash_csb_core -*78 flash_csb_frame -*79 flash_csb_oeb -*80 flash_io0_di -*81 flash_io0_di_core -*82 flash_io0_do -*83 flash_io0_do_core -*84 flash_io0_ieb -*85 flash_io0_oeb -*86 flash_io0_oeb_core -*87 flash_io1_di -*88 flash_io1_di_core -*89 flash_io1_do -*90 flash_io1_do_core -*91 flash_io1_ieb -*92 flash_io1_oeb -*93 flash_io1_oeb_core -*94 flash_io2_di_core -*95 flash_io2_do_core -*96 flash_io2_oeb_core -*97 flash_io3_di_core -*98 flash_io3_do_core -*99 flash_io3_oeb_core -*100 gpio_clock_1\[0\] -*101 gpio_clock_1\[10\] -*102 gpio_clock_1\[11\] -*103 gpio_clock_1\[12\] -*104 gpio_clock_1\[13\] -*105 gpio_clock_1\[14\] -*106 gpio_clock_1\[15\] -*107 gpio_clock_1\[16\] -*108 gpio_clock_1\[17\] -*109 gpio_clock_1\[18\] -*110 gpio_clock_1\[1\] -*111 gpio_clock_1\[2\] -*112 gpio_clock_1\[3\] -*113 gpio_clock_1\[4\] -*114 gpio_clock_1\[5\] -*115 gpio_clock_1\[6\] -*116 gpio_clock_1\[7\] -*117 gpio_clock_1\[8\] -*118 gpio_clock_1\[9\] -*119 gpio_clock_1_shifted\[0\] -*120 gpio_defaults\[0\] -*121 gpio_defaults\[100\] -*122 gpio_defaults\[101\] -*123 gpio_defaults\[102\] -*124 gpio_defaults\[103\] -*125 gpio_defaults\[104\] -*126 gpio_defaults\[105\] -*127 gpio_defaults\[106\] -*128 gpio_defaults\[107\] -*129 gpio_defaults\[108\] -*130 gpio_defaults\[109\] -*131 gpio_defaults\[10\] -*132 gpio_defaults\[110\] -*133 gpio_defaults\[111\] -*134 gpio_defaults\[112\] -*135 gpio_defaults\[113\] -*136 gpio_defaults\[114\] -*137 gpio_defaults\[115\] -*138 gpio_defaults\[116\] -*139 gpio_defaults\[117\] -*140 gpio_defaults\[118\] -*141 gpio_defaults\[119\] -*142 gpio_defaults\[11\] -*143 gpio_defaults\[120\] -*144 gpio_defaults\[121\] -*145 gpio_defaults\[122\] -*146 gpio_defaults\[123\] -*147 gpio_defaults\[124\] -*148 gpio_defaults\[125\] -*149 gpio_defaults\[126\] -*150 gpio_defaults\[127\] -*151 gpio_defaults\[128\] -*152 gpio_defaults\[129\] -*153 gpio_defaults\[12\] -*154 gpio_defaults\[130\] -*155 gpio_defaults\[131\] -*156 gpio_defaults\[132\] -*157 gpio_defaults\[133\] -*158 gpio_defaults\[134\] -*159 gpio_defaults\[135\] -*160 gpio_defaults\[136\] -*161 gpio_defaults\[137\] -*162 gpio_defaults\[138\] -*163 gpio_defaults\[139\] -*164 gpio_defaults\[13\] -*165 gpio_defaults\[140\] -*166 gpio_defaults\[141\] -*167 gpio_defaults\[142\] -*168 gpio_defaults\[143\] -*169 gpio_defaults\[144\] -*170 gpio_defaults\[145\] -*171 gpio_defaults\[146\] -*172 gpio_defaults\[147\] -*173 gpio_defaults\[148\] -*174 gpio_defaults\[149\] -*175 gpio_defaults\[14\] -*176 gpio_defaults\[150\] -*177 gpio_defaults\[151\] -*178 gpio_defaults\[152\] -*179 gpio_defaults\[153\] -*180 gpio_defaults\[154\] -*181 gpio_defaults\[155\] -*182 gpio_defaults\[156\] -*183 gpio_defaults\[157\] -*184 gpio_defaults\[158\] -*185 gpio_defaults\[159\] -*186 gpio_defaults\[15\] -*187 gpio_defaults\[160\] -*188 gpio_defaults\[161\] -*189 gpio_defaults\[162\] -*190 gpio_defaults\[163\] -*191 gpio_defaults\[164\] -*192 gpio_defaults\[165\] -*193 gpio_defaults\[166\] -*194 gpio_defaults\[167\] -*195 gpio_defaults\[168\] -*196 gpio_defaults\[169\] -*197 gpio_defaults\[16\] -*198 gpio_defaults\[170\] -*199 gpio_defaults\[171\] -*200 gpio_defaults\[172\] -*201 gpio_defaults\[173\] -*202 gpio_defaults\[174\] -*203 gpio_defaults\[175\] -*204 gpio_defaults\[176\] -*205 gpio_defaults\[177\] -*206 gpio_defaults\[178\] -*207 gpio_defaults\[179\] -*208 gpio_defaults\[17\] -*209 gpio_defaults\[180\] -*210 gpio_defaults\[181\] -*211 gpio_defaults\[182\] -*212 gpio_defaults\[183\] -*213 gpio_defaults\[184\] -*214 gpio_defaults\[185\] -*215 gpio_defaults\[186\] -*216 gpio_defaults\[187\] -*217 gpio_defaults\[188\] -*218 gpio_defaults\[189\] -*219 gpio_defaults\[18\] -*220 gpio_defaults\[190\] -*221 gpio_defaults\[191\] -*222 gpio_defaults\[192\] -*223 gpio_defaults\[193\] -*224 gpio_defaults\[194\] -*225 gpio_defaults\[195\] -*226 gpio_defaults\[196\] -*227 gpio_defaults\[197\] -*228 gpio_defaults\[198\] -*229 gpio_defaults\[199\] -*230 gpio_defaults\[19\] -*231 gpio_defaults\[1\] -*232 gpio_defaults\[200\] -*233 gpio_defaults\[201\] -*234 gpio_defaults\[202\] -*235 gpio_defaults\[203\] -*236 gpio_defaults\[204\] -*237 gpio_defaults\[205\] -*238 gpio_defaults\[206\] -*239 gpio_defaults\[207\] -*240 gpio_defaults\[208\] -*241 gpio_defaults\[209\] -*242 gpio_defaults\[20\] -*243 gpio_defaults\[210\] -*244 gpio_defaults\[211\] -*245 gpio_defaults\[212\] -*246 gpio_defaults\[213\] -*247 gpio_defaults\[214\] -*248 gpio_defaults\[215\] -*249 gpio_defaults\[216\] -*250 gpio_defaults\[217\] -*251 gpio_defaults\[218\] -*252 gpio_defaults\[219\] -*253 gpio_defaults\[21\] -*254 gpio_defaults\[220\] -*255 gpio_defaults\[221\] -*256 gpio_defaults\[222\] -*257 gpio_defaults\[223\] -*258 gpio_defaults\[224\] -*259 gpio_defaults\[225\] -*260 gpio_defaults\[226\] -*261 gpio_defaults\[227\] -*262 gpio_defaults\[228\] -*263 gpio_defaults\[229\] -*264 gpio_defaults\[22\] -*265 gpio_defaults\[230\] -*266 gpio_defaults\[231\] -*267 gpio_defaults\[232\] -*268 gpio_defaults\[233\] -*269 gpio_defaults\[234\] -*270 gpio_defaults\[235\] -*271 gpio_defaults\[236\] -*272 gpio_defaults\[237\] -*273 gpio_defaults\[238\] -*274 gpio_defaults\[239\] -*275 gpio_defaults\[23\] -*276 gpio_defaults\[240\] -*277 gpio_defaults\[241\] -*278 gpio_defaults\[242\] -*279 gpio_defaults\[243\] -*280 gpio_defaults\[244\] -*281 gpio_defaults\[245\] -*282 gpio_defaults\[246\] -*283 gpio_defaults\[247\] -*284 gpio_defaults\[248\] -*285 gpio_defaults\[249\] -*286 gpio_defaults\[24\] -*287 gpio_defaults\[250\] -*288 gpio_defaults\[251\] -*289 gpio_defaults\[252\] -*290 gpio_defaults\[253\] -*291 gpio_defaults\[254\] -*292 gpio_defaults\[255\] -*293 gpio_defaults\[256\] -*294 gpio_defaults\[257\] -*295 gpio_defaults\[258\] -*296 gpio_defaults\[259\] -*297 gpio_defaults\[25\] -*298 gpio_defaults\[260\] -*299 gpio_defaults\[261\] -*300 gpio_defaults\[262\] -*301 gpio_defaults\[263\] -*302 gpio_defaults\[264\] -*303 gpio_defaults\[265\] -*304 gpio_defaults\[266\] -*305 gpio_defaults\[267\] -*306 gpio_defaults\[268\] -*307 gpio_defaults\[269\] -*308 gpio_defaults\[26\] -*309 gpio_defaults\[270\] -*310 gpio_defaults\[271\] -*311 gpio_defaults\[272\] -*312 gpio_defaults\[273\] -*313 gpio_defaults\[274\] -*314 gpio_defaults\[275\] -*315 gpio_defaults\[276\] -*316 gpio_defaults\[277\] -*317 gpio_defaults\[278\] -*318 gpio_defaults\[279\] -*319 gpio_defaults\[27\] -*320 gpio_defaults\[280\] -*321 gpio_defaults\[281\] -*322 gpio_defaults\[282\] -*323 gpio_defaults\[283\] -*324 gpio_defaults\[284\] -*325 gpio_defaults\[285\] -*326 gpio_defaults\[286\] -*327 gpio_defaults\[287\] -*328 gpio_defaults\[288\] -*329 gpio_defaults\[289\] -*330 gpio_defaults\[28\] -*331 gpio_defaults\[290\] -*332 gpio_defaults\[291\] -*333 gpio_defaults\[292\] -*334 gpio_defaults\[293\] -*335 gpio_defaults\[294\] -*336 gpio_defaults\[295\] -*337 gpio_defaults\[296\] -*338 gpio_defaults\[297\] -*339 gpio_defaults\[298\] -*340 gpio_defaults\[299\] -*341 gpio_defaults\[29\] -*342 gpio_defaults\[2\] -*343 gpio_defaults\[300\] -*344 gpio_defaults\[301\] -*345 gpio_defaults\[302\] -*346 gpio_defaults\[303\] -*347 gpio_defaults\[304\] -*348 gpio_defaults\[305\] -*349 gpio_defaults\[306\] -*350 gpio_defaults\[307\] -*351 gpio_defaults\[308\] -*352 gpio_defaults\[309\] -*353 gpio_defaults\[30\] -*354 gpio_defaults\[310\] -*355 gpio_defaults\[311\] -*356 gpio_defaults\[312\] -*357 gpio_defaults\[313\] -*358 gpio_defaults\[314\] -*359 gpio_defaults\[315\] -*360 gpio_defaults\[316\] -*361 gpio_defaults\[317\] -*362 gpio_defaults\[318\] -*363 gpio_defaults\[319\] -*364 gpio_defaults\[31\] -*365 gpio_defaults\[320\] -*366 gpio_defaults\[321\] -*367 gpio_defaults\[322\] -*368 gpio_defaults\[323\] -*369 gpio_defaults\[324\] -*370 gpio_defaults\[325\] -*371 gpio_defaults\[326\] -*372 gpio_defaults\[327\] -*373 gpio_defaults\[328\] -*374 gpio_defaults\[329\] -*375 gpio_defaults\[32\] -*376 gpio_defaults\[330\] -*377 gpio_defaults\[331\] -*378 gpio_defaults\[332\] -*379 gpio_defaults\[333\] -*380 gpio_defaults\[334\] -*381 gpio_defaults\[335\] -*382 gpio_defaults\[336\] -*383 gpio_defaults\[337\] -*384 gpio_defaults\[338\] -*385 gpio_defaults\[339\] -*386 gpio_defaults\[33\] -*387 gpio_defaults\[340\] -*388 gpio_defaults\[341\] -*389 gpio_defaults\[342\] -*390 gpio_defaults\[343\] -*391 gpio_defaults\[344\] -*392 gpio_defaults\[345\] -*393 gpio_defaults\[346\] -*394 gpio_defaults\[347\] -*395 gpio_defaults\[348\] -*396 gpio_defaults\[349\] -*397 gpio_defaults\[34\] -*398 gpio_defaults\[350\] -*399 gpio_defaults\[351\] -*400 gpio_defaults\[352\] -*401 gpio_defaults\[353\] -*402 gpio_defaults\[354\] -*403 gpio_defaults\[355\] -*404 gpio_defaults\[356\] -*405 gpio_defaults\[357\] -*406 gpio_defaults\[358\] -*407 gpio_defaults\[359\] -*408 gpio_defaults\[35\] -*409 gpio_defaults\[360\] -*410 gpio_defaults\[361\] -*411 gpio_defaults\[362\] -*412 gpio_defaults\[363\] -*413 gpio_defaults\[364\] -*414 gpio_defaults\[365\] -*415 gpio_defaults\[366\] -*416 gpio_defaults\[367\] -*417 gpio_defaults\[368\] -*418 gpio_defaults\[369\] -*419 gpio_defaults\[36\] -*420 gpio_defaults\[370\] -*421 gpio_defaults\[371\] -*422 gpio_defaults\[372\] -*423 gpio_defaults\[373\] -*424 gpio_defaults\[374\] -*425 gpio_defaults\[375\] -*426 gpio_defaults\[376\] -*427 gpio_defaults\[377\] -*428 gpio_defaults\[378\] -*429 gpio_defaults\[379\] -*430 gpio_defaults\[37\] -*431 gpio_defaults\[380\] -*432 gpio_defaults\[381\] -*433 gpio_defaults\[382\] -*434 gpio_defaults\[383\] -*435 gpio_defaults\[384\] -*436 gpio_defaults\[385\] -*437 gpio_defaults\[386\] -*438 gpio_defaults\[387\] -*439 gpio_defaults\[388\] -*440 gpio_defaults\[389\] -*441 gpio_defaults\[38\] -*442 gpio_defaults\[390\] -*443 gpio_defaults\[391\] -*444 gpio_defaults\[392\] -*445 gpio_defaults\[393\] -*446 gpio_defaults\[394\] -*447 gpio_defaults\[395\] -*448 gpio_defaults\[396\] -*449 gpio_defaults\[397\] -*450 gpio_defaults\[398\] -*451 gpio_defaults\[399\] -*452 gpio_defaults\[39\] -*453 gpio_defaults\[3\] -*454 gpio_defaults\[400\] -*455 gpio_defaults\[401\] -*456 gpio_defaults\[402\] -*457 gpio_defaults\[403\] -*458 gpio_defaults\[404\] -*459 gpio_defaults\[405\] -*460 gpio_defaults\[406\] -*461 gpio_defaults\[407\] -*462 gpio_defaults\[408\] -*463 gpio_defaults\[409\] -*464 gpio_defaults\[40\] -*465 gpio_defaults\[410\] -*466 gpio_defaults\[411\] -*467 gpio_defaults\[412\] -*468 gpio_defaults\[413\] -*469 gpio_defaults\[414\] -*470 gpio_defaults\[415\] -*471 gpio_defaults\[416\] -*472 gpio_defaults\[417\] -*473 gpio_defaults\[418\] -*474 gpio_defaults\[419\] -*475 gpio_defaults\[41\] -*476 gpio_defaults\[420\] -*477 gpio_defaults\[421\] -*478 gpio_defaults\[422\] -*479 gpio_defaults\[423\] -*480 gpio_defaults\[424\] -*481 gpio_defaults\[425\] -*482 gpio_defaults\[426\] -*483 gpio_defaults\[427\] -*484 gpio_defaults\[428\] -*485 gpio_defaults\[429\] -*486 gpio_defaults\[42\] -*487 gpio_defaults\[430\] -*488 gpio_defaults\[431\] -*489 gpio_defaults\[432\] -*490 gpio_defaults\[433\] -*491 gpio_defaults\[434\] -*492 gpio_defaults\[435\] -*493 gpio_defaults\[436\] -*494 gpio_defaults\[437\] -*495 gpio_defaults\[438\] -*496 gpio_defaults\[439\] -*497 gpio_defaults\[43\] -*498 gpio_defaults\[440\] -*499 gpio_defaults\[441\] -*500 gpio_defaults\[442\] -*501 gpio_defaults\[443\] -*502 gpio_defaults\[444\] -*503 gpio_defaults\[445\] -*504 gpio_defaults\[446\] -*505 gpio_defaults\[447\] -*506 gpio_defaults\[448\] -*507 gpio_defaults\[449\] -*508 gpio_defaults\[44\] -*509 gpio_defaults\[450\] -*510 gpio_defaults\[451\] -*511 gpio_defaults\[452\] -*512 gpio_defaults\[453\] -*513 gpio_defaults\[454\] -*514 gpio_defaults\[455\] -*515 gpio_defaults\[456\] -*516 gpio_defaults\[457\] -*517 gpio_defaults\[458\] -*518 gpio_defaults\[459\] -*519 gpio_defaults\[45\] -*520 gpio_defaults\[460\] -*521 gpio_defaults\[461\] -*522 gpio_defaults\[462\] -*523 gpio_defaults\[463\] -*524 gpio_defaults\[464\] -*525 gpio_defaults\[465\] -*526 gpio_defaults\[466\] -*527 gpio_defaults\[467\] -*528 gpio_defaults\[468\] -*529 gpio_defaults\[469\] -*530 gpio_defaults\[46\] -*531 gpio_defaults\[470\] -*532 gpio_defaults\[471\] -*533 gpio_defaults\[472\] -*534 gpio_defaults\[473\] -*535 gpio_defaults\[474\] -*536 gpio_defaults\[475\] -*537 gpio_defaults\[476\] -*538 gpio_defaults\[477\] -*539 gpio_defaults\[478\] -*540 gpio_defaults\[479\] -*541 gpio_defaults\[47\] -*542 gpio_defaults\[480\] -*543 gpio_defaults\[481\] -*544 gpio_defaults\[482\] -*545 gpio_defaults\[483\] -*546 gpio_defaults\[484\] -*547 gpio_defaults\[485\] -*548 gpio_defaults\[486\] -*549 gpio_defaults\[487\] -*550 gpio_defaults\[488\] -*551 gpio_defaults\[489\] -*552 gpio_defaults\[48\] -*553 gpio_defaults\[490\] -*554 gpio_defaults\[491\] -*555 gpio_defaults\[492\] -*556 gpio_defaults\[493\] -*557 gpio_defaults\[49\] -*558 gpio_defaults\[4\] -*559 gpio_defaults\[50\] -*560 gpio_defaults\[51\] -*561 gpio_defaults\[52\] -*562 gpio_defaults\[53\] -*563 gpio_defaults\[54\] -*564 gpio_defaults\[55\] -*565 gpio_defaults\[56\] -*566 gpio_defaults\[57\] -*567 gpio_defaults\[58\] -*568 gpio_defaults\[59\] -*569 gpio_defaults\[5\] -*570 gpio_defaults\[60\] -*571 gpio_defaults\[61\] -*572 gpio_defaults\[62\] -*573 gpio_defaults\[63\] -*574 gpio_defaults\[64\] -*575 gpio_defaults\[65\] -*576 gpio_defaults\[66\] -*577 gpio_defaults\[67\] -*578 gpio_defaults\[68\] -*579 gpio_defaults\[69\] -*580 gpio_defaults\[6\] -*581 gpio_defaults\[70\] -*582 gpio_defaults\[71\] -*583 gpio_defaults\[72\] -*584 gpio_defaults\[73\] -*585 gpio_defaults\[74\] -*586 gpio_defaults\[75\] -*587 gpio_defaults\[76\] -*588 gpio_defaults\[77\] -*589 gpio_defaults\[78\] -*590 gpio_defaults\[79\] -*591 gpio_defaults\[7\] -*592 gpio_defaults\[80\] -*593 gpio_defaults\[81\] -*594 gpio_defaults\[82\] -*595 gpio_defaults\[83\] -*596 gpio_defaults\[84\] -*597 gpio_defaults\[85\] -*598 gpio_defaults\[86\] -*599 gpio_defaults\[87\] -*600 gpio_defaults\[88\] -*601 gpio_defaults\[89\] -*602 gpio_defaults\[8\] -*603 gpio_defaults\[90\] -*604 gpio_defaults\[91\] -*605 gpio_defaults\[92\] -*606 gpio_defaults\[93\] -*607 gpio_defaults\[94\] -*608 gpio_defaults\[95\] -*609 gpio_defaults\[96\] -*610 gpio_defaults\[97\] -*611 gpio_defaults\[98\] -*612 gpio_defaults\[99\] -*613 gpio_defaults\[9\] -*614 gpio_in_core -*615 gpio_inenb_core -*616 gpio_load_1\[0\] -*617 gpio_load_1\[10\] -*618 gpio_load_1\[11\] -*619 gpio_load_1\[12\] -*620 gpio_load_1\[13\] -*621 gpio_load_1\[14\] -*622 gpio_load_1\[15\] -*623 gpio_load_1\[16\] -*624 gpio_load_1\[17\] -*625 gpio_load_1\[18\] -*626 gpio_load_1\[1\] -*627 gpio_load_1\[2\] -*628 gpio_load_1\[3\] -*629 gpio_load_1\[4\] -*630 gpio_load_1\[5\] -*631 gpio_load_1\[6\] -*632 gpio_load_1\[7\] -*633 gpio_load_1\[8\] -*634 gpio_load_1\[9\] -*635 gpio_load_1_shifted\[0\] -*636 gpio_mode0_core -*637 gpio_mode1_core -*638 gpio_out_core -*639 gpio_outenb_core -*640 gpio_resetn_1\[0\] -*641 gpio_resetn_1\[10\] -*642 gpio_resetn_1\[11\] -*643 gpio_resetn_1\[12\] -*644 gpio_resetn_1\[13\] -*645 gpio_resetn_1\[14\] -*646 gpio_resetn_1\[15\] -*647 gpio_resetn_1\[16\] -*648 gpio_resetn_1\[17\] -*649 gpio_resetn_1\[18\] -*650 gpio_resetn_1\[1\] -*651 gpio_resetn_1\[2\] -*652 gpio_resetn_1\[3\] -*653 gpio_resetn_1\[4\] -*654 gpio_resetn_1\[5\] -*655 gpio_resetn_1\[6\] -*656 gpio_resetn_1\[7\] -*657 gpio_resetn_1\[8\] -*658 gpio_resetn_1\[9\] -*659 gpio_resetn_1_shifted\[0\] -*660 gpio_serial_link_1\[0\] -*661 gpio_serial_link_1\[10\] -*662 gpio_serial_link_1\[11\] -*663 gpio_serial_link_1\[12\] -*664 gpio_serial_link_1\[13\] -*665 gpio_serial_link_1\[14\] -*666 gpio_serial_link_1\[15\] -*667 gpio_serial_link_1\[16\] -*668 gpio_serial_link_1\[17\] -*669 gpio_serial_link_1\[1\] -*670 gpio_serial_link_1\[2\] -*671 gpio_serial_link_1\[3\] -*672 gpio_serial_link_1\[4\] -*673 gpio_serial_link_1\[5\] -*674 gpio_serial_link_1\[6\] -*675 gpio_serial_link_1\[7\] -*676 gpio_serial_link_1\[8\] -*677 gpio_serial_link_1\[9\] -*678 gpio_serial_link_1_shifted\[0\] -*679 gpio_serial_link_2\[10\] -*680 gpio_serial_link_2\[11\] -*681 gpio_serial_link_2\[12\] -*682 gpio_serial_link_2\[13\] -*683 gpio_serial_link_2\[14\] -*684 gpio_serial_link_2\[15\] -*685 gpio_serial_link_2\[16\] -*686 gpio_serial_link_2\[17\] -*687 gpio_serial_link_2\[18\] -*688 gpio_serial_link_2\[1\] -*689 gpio_serial_link_2\[2\] -*690 gpio_serial_link_2\[3\] -*691 gpio_serial_link_2\[4\] -*692 gpio_serial_link_2\[5\] -*693 gpio_serial_link_2\[6\] -*694 gpio_serial_link_2\[7\] -*695 gpio_serial_link_2\[8\] -*696 gpio_serial_link_2\[9\] -*697 gpio_serial_link_2_shifted\[18\] -*698 hk_ack_i -*699 hk_dat_i\[0\] -*700 hk_dat_i\[10\] -*701 hk_dat_i\[11\] -*702 hk_dat_i\[12\] -*703 hk_dat_i\[13\] -*704 hk_dat_i\[14\] -*705 hk_dat_i\[15\] -*706 hk_dat_i\[16\] -*707 hk_dat_i\[17\] -*708 hk_dat_i\[18\] -*709 hk_dat_i\[19\] -*710 hk_dat_i\[1\] -*711 hk_dat_i\[20\] -*712 hk_dat_i\[21\] -*713 hk_dat_i\[22\] -*714 hk_dat_i\[23\] -*715 hk_dat_i\[24\] -*716 hk_dat_i\[25\] -*717 hk_dat_i\[26\] -*718 hk_dat_i\[27\] -*719 hk_dat_i\[28\] -*720 hk_dat_i\[29\] -*721 hk_dat_i\[2\] -*722 hk_dat_i\[30\] -*723 hk_dat_i\[31\] -*724 hk_dat_i\[3\] -*725 hk_dat_i\[4\] -*726 hk_dat_i\[5\] -*727 hk_dat_i\[6\] -*728 hk_dat_i\[7\] -*729 hk_dat_i\[8\] -*730 hk_dat_i\[9\] -*731 hk_stb_o -*732 hkspi_sram_addr\[0\] -*733 hkspi_sram_addr\[1\] -*734 hkspi_sram_addr\[2\] -*735 hkspi_sram_addr\[3\] -*736 hkspi_sram_addr\[4\] -*737 hkspi_sram_addr\[5\] -*738 hkspi_sram_addr\[6\] -*739 hkspi_sram_addr\[7\] -*740 hkspi_sram_clk -*741 hkspi_sram_csb -*742 hkspi_sram_data\[0\] -*743 hkspi_sram_data\[10\] -*744 hkspi_sram_data\[11\] -*745 hkspi_sram_data\[12\] -*746 hkspi_sram_data\[13\] -*747 hkspi_sram_data\[14\] -*748 hkspi_sram_data\[15\] -*749 hkspi_sram_data\[16\] -*750 hkspi_sram_data\[17\] -*751 hkspi_sram_data\[18\] -*752 hkspi_sram_data\[19\] -*753 hkspi_sram_data\[1\] -*754 hkspi_sram_data\[20\] -*755 hkspi_sram_data\[21\] -*756 hkspi_sram_data\[22\] -*757 hkspi_sram_data\[23\] -*758 hkspi_sram_data\[24\] -*759 hkspi_sram_data\[25\] -*760 hkspi_sram_data\[26\] -*761 hkspi_sram_data\[27\] -*762 hkspi_sram_data\[28\] -*763 hkspi_sram_data\[29\] -*764 hkspi_sram_data\[2\] -*765 hkspi_sram_data\[30\] -*766 hkspi_sram_data\[31\] -*767 hkspi_sram_data\[3\] -*768 hkspi_sram_data\[4\] -*769 hkspi_sram_data\[5\] -*770 hkspi_sram_data\[6\] -*771 hkspi_sram_data\[7\] -*772 hkspi_sram_data\[8\] -*773 hkspi_sram_data\[9\] -*774 irq_spi\[0\] -*775 irq_spi\[1\] -*776 irq_spi\[2\] -*777 la_data_in_mprj\[0\] -*778 la_data_in_mprj\[100\] -*779 la_data_in_mprj\[101\] -*780 la_data_in_mprj\[102\] -*781 la_data_in_mprj\[103\] -*782 la_data_in_mprj\[104\] -*783 la_data_in_mprj\[105\] -*784 la_data_in_mprj\[106\] -*785 la_data_in_mprj\[107\] -*786 la_data_in_mprj\[108\] -*787 la_data_in_mprj\[109\] -*788 la_data_in_mprj\[10\] -*789 la_data_in_mprj\[110\] -*790 la_data_in_mprj\[111\] -*791 la_data_in_mprj\[112\] -*792 la_data_in_mprj\[113\] -*793 la_data_in_mprj\[114\] -*794 la_data_in_mprj\[115\] -*795 la_data_in_mprj\[116\] -*796 la_data_in_mprj\[117\] -*797 la_data_in_mprj\[118\] -*798 la_data_in_mprj\[119\] -*799 la_data_in_mprj\[11\] -*800 la_data_in_mprj\[120\] -*801 la_data_in_mprj\[121\] -*802 la_data_in_mprj\[122\] -*803 la_data_in_mprj\[123\] -*804 la_data_in_mprj\[124\] -*805 la_data_in_mprj\[125\] -*806 la_data_in_mprj\[126\] -*807 la_data_in_mprj\[127\] -*808 la_data_in_mprj\[12\] -*809 la_data_in_mprj\[13\] -*810 la_data_in_mprj\[14\] -*811 la_data_in_mprj\[15\] -*812 la_data_in_mprj\[16\] -*813 la_data_in_mprj\[17\] -*814 la_data_in_mprj\[18\] -*815 la_data_in_mprj\[19\] -*816 la_data_in_mprj\[1\] -*817 la_data_in_mprj\[20\] -*818 la_data_in_mprj\[21\] -*819 la_data_in_mprj\[22\] -*820 la_data_in_mprj\[23\] -*821 la_data_in_mprj\[24\] -*822 la_data_in_mprj\[25\] -*823 la_data_in_mprj\[26\] -*824 la_data_in_mprj\[27\] -*825 la_data_in_mprj\[28\] -*826 la_data_in_mprj\[29\] -*827 la_data_in_mprj\[2\] -*828 la_data_in_mprj\[30\] -*829 la_data_in_mprj\[31\] -*830 la_data_in_mprj\[32\] -*831 la_data_in_mprj\[33\] -*832 la_data_in_mprj\[34\] -*833 la_data_in_mprj\[35\] -*834 la_data_in_mprj\[36\] -*835 la_data_in_mprj\[37\] -*836 la_data_in_mprj\[38\] -*837 la_data_in_mprj\[39\] -*838 la_data_in_mprj\[3\] -*839 la_data_in_mprj\[40\] -*840 la_data_in_mprj\[41\] -*841 la_data_in_mprj\[42\] -*842 la_data_in_mprj\[43\] -*843 la_data_in_mprj\[44\] -*844 la_data_in_mprj\[45\] -*845 la_data_in_mprj\[46\] -*846 la_data_in_mprj\[47\] -*847 la_data_in_mprj\[48\] -*848 la_data_in_mprj\[49\] -*849 la_data_in_mprj\[4\] -*850 la_data_in_mprj\[50\] -*851 la_data_in_mprj\[51\] -*852 la_data_in_mprj\[52\] -*853 la_data_in_mprj\[53\] -*854 la_data_in_mprj\[54\] -*855 la_data_in_mprj\[55\] -*856 la_data_in_mprj\[56\] -*857 la_data_in_mprj\[57\] -*858 la_data_in_mprj\[58\] -*859 la_data_in_mprj\[59\] -*860 la_data_in_mprj\[5\] -*861 la_data_in_mprj\[60\] -*862 la_data_in_mprj\[61\] -*863 la_data_in_mprj\[62\] -*864 la_data_in_mprj\[63\] -*865 la_data_in_mprj\[64\] -*866 la_data_in_mprj\[65\] -*867 la_data_in_mprj\[66\] -*868 la_data_in_mprj\[67\] -*869 la_data_in_mprj\[68\] -*870 la_data_in_mprj\[69\] -*871 la_data_in_mprj\[6\] -*872 la_data_in_mprj\[70\] -*873 la_data_in_mprj\[71\] -*874 la_data_in_mprj\[72\] -*875 la_data_in_mprj\[73\] -*876 la_data_in_mprj\[74\] -*877 la_data_in_mprj\[75\] -*878 la_data_in_mprj\[76\] -*879 la_data_in_mprj\[77\] -*880 la_data_in_mprj\[78\] -*881 la_data_in_mprj\[79\] -*882 la_data_in_mprj\[7\] -*883 la_data_in_mprj\[80\] -*884 la_data_in_mprj\[81\] -*885 la_data_in_mprj\[82\] -*886 la_data_in_mprj\[83\] -*887 la_data_in_mprj\[84\] -*888 la_data_in_mprj\[85\] -*889 la_data_in_mprj\[86\] -*890 la_data_in_mprj\[87\] -*891 la_data_in_mprj\[88\] -*892 la_data_in_mprj\[89\] -*893 la_data_in_mprj\[8\] -*894 la_data_in_mprj\[90\] -*895 la_data_in_mprj\[91\] -*896 la_data_in_mprj\[92\] -*897 la_data_in_mprj\[93\] -*898 la_data_in_mprj\[94\] -*899 la_data_in_mprj\[95\] -*900 la_data_in_mprj\[96\] -*901 la_data_in_mprj\[97\] -*902 la_data_in_mprj\[98\] -*903 la_data_in_mprj\[99\] -*904 la_data_in_mprj\[9\] -*905 la_data_in_user\[0\] -*906 la_data_in_user\[100\] -*907 la_data_in_user\[101\] -*908 la_data_in_user\[102\] -*909 la_data_in_user\[103\] -*910 la_data_in_user\[104\] -*911 la_data_in_user\[105\] -*912 la_data_in_user\[106\] -*913 la_data_in_user\[107\] -*914 la_data_in_user\[108\] -*915 la_data_in_user\[109\] -*916 la_data_in_user\[10\] -*917 la_data_in_user\[110\] -*918 la_data_in_user\[111\] -*919 la_data_in_user\[112\] -*920 la_data_in_user\[113\] -*921 la_data_in_user\[114\] -*922 la_data_in_user\[115\] -*923 la_data_in_user\[116\] -*924 la_data_in_user\[117\] -*925 la_data_in_user\[118\] -*926 la_data_in_user\[119\] -*927 la_data_in_user\[11\] -*928 la_data_in_user\[120\] -*929 la_data_in_user\[121\] -*930 la_data_in_user\[122\] -*931 la_data_in_user\[123\] -*932 la_data_in_user\[124\] -*933 la_data_in_user\[125\] -*934 la_data_in_user\[126\] -*935 la_data_in_user\[127\] -*936 la_data_in_user\[12\] -*937 la_data_in_user\[13\] -*938 la_data_in_user\[14\] -*939 la_data_in_user\[15\] -*940 la_data_in_user\[16\] -*941 la_data_in_user\[17\] -*942 la_data_in_user\[18\] -*943 la_data_in_user\[19\] -*944 la_data_in_user\[1\] -*945 la_data_in_user\[20\] -*946 la_data_in_user\[21\] -*947 la_data_in_user\[22\] -*948 la_data_in_user\[23\] -*949 la_data_in_user\[24\] -*950 la_data_in_user\[25\] -*951 la_data_in_user\[26\] -*952 la_data_in_user\[27\] -*953 la_data_in_user\[28\] -*954 la_data_in_user\[29\] -*955 la_data_in_user\[2\] -*956 la_data_in_user\[30\] -*957 la_data_in_user\[31\] -*958 la_data_in_user\[32\] -*959 la_data_in_user\[33\] -*960 la_data_in_user\[34\] -*961 la_data_in_user\[35\] -*962 la_data_in_user\[36\] -*963 la_data_in_user\[37\] -*964 la_data_in_user\[38\] -*965 la_data_in_user\[39\] -*966 la_data_in_user\[3\] -*967 la_data_in_user\[40\] -*968 la_data_in_user\[41\] -*969 la_data_in_user\[42\] -*970 la_data_in_user\[43\] -*971 la_data_in_user\[44\] -*972 la_data_in_user\[45\] -*973 la_data_in_user\[46\] -*974 la_data_in_user\[47\] -*975 la_data_in_user\[48\] -*976 la_data_in_user\[49\] -*977 la_data_in_user\[4\] -*978 la_data_in_user\[50\] -*979 la_data_in_user\[51\] -*980 la_data_in_user\[52\] -*981 la_data_in_user\[53\] -*982 la_data_in_user\[54\] -*983 la_data_in_user\[55\] -*984 la_data_in_user\[56\] -*985 la_data_in_user\[57\] -*986 la_data_in_user\[58\] -*987 la_data_in_user\[59\] -*988 la_data_in_user\[5\] -*989 la_data_in_user\[60\] -*990 la_data_in_user\[61\] -*991 la_data_in_user\[62\] -*992 la_data_in_user\[63\] -*993 la_data_in_user\[64\] -*994 la_data_in_user\[65\] -*995 la_data_in_user\[66\] -*996 la_data_in_user\[67\] -*997 la_data_in_user\[68\] -*998 la_data_in_user\[69\] -*999 la_data_in_user\[6\] -*1000 la_data_in_user\[70\] -*1001 la_data_in_user\[71\] -*1002 la_data_in_user\[72\] -*1003 la_data_in_user\[73\] -*1004 la_data_in_user\[74\] -*1005 la_data_in_user\[75\] -*1006 la_data_in_user\[76\] -*1007 la_data_in_user\[77\] -*1008 la_data_in_user\[78\] -*1009 la_data_in_user\[79\] -*1010 la_data_in_user\[7\] -*1011 la_data_in_user\[80\] -*1012 la_data_in_user\[81\] -*1013 la_data_in_user\[82\] -*1014 la_data_in_user\[83\] -*1015 la_data_in_user\[84\] -*1016 la_data_in_user\[85\] -*1017 la_data_in_user\[86\] -*1018 la_data_in_user\[87\] -*1019 la_data_in_user\[88\] -*1020 la_data_in_user\[89\] -*1021 la_data_in_user\[8\] -*1022 la_data_in_user\[90\] -*1023 la_data_in_user\[91\] -*1024 la_data_in_user\[92\] -*1025 la_data_in_user\[93\] -*1026 la_data_in_user\[94\] -*1027 la_data_in_user\[95\] -*1028 la_data_in_user\[96\] -*1029 la_data_in_user\[97\] -*1030 la_data_in_user\[98\] -*1031 la_data_in_user\[99\] -*1032 la_data_in_user\[9\] -*1033 la_data_out_mprj\[0\] -*1034 la_data_out_mprj\[100\] -*1035 la_data_out_mprj\[101\] -*1036 la_data_out_mprj\[102\] -*1037 la_data_out_mprj\[103\] -*1038 la_data_out_mprj\[104\] -*1039 la_data_out_mprj\[105\] -*1040 la_data_out_mprj\[106\] -*1041 la_data_out_mprj\[107\] -*1042 la_data_out_mprj\[108\] -*1043 la_data_out_mprj\[109\] -*1044 la_data_out_mprj\[10\] -*1045 la_data_out_mprj\[110\] -*1046 la_data_out_mprj\[111\] -*1047 la_data_out_mprj\[112\] -*1048 la_data_out_mprj\[113\] -*1049 la_data_out_mprj\[114\] -*1050 la_data_out_mprj\[115\] -*1051 la_data_out_mprj\[116\] -*1052 la_data_out_mprj\[117\] -*1053 la_data_out_mprj\[118\] -*1054 la_data_out_mprj\[119\] -*1055 la_data_out_mprj\[11\] -*1056 la_data_out_mprj\[120\] -*1057 la_data_out_mprj\[121\] -*1058 la_data_out_mprj\[122\] -*1059 la_data_out_mprj\[123\] -*1060 la_data_out_mprj\[124\] -*1061 la_data_out_mprj\[125\] -*1062 la_data_out_mprj\[126\] -*1063 la_data_out_mprj\[127\] -*1064 la_data_out_mprj\[12\] -*1065 la_data_out_mprj\[13\] -*1066 la_data_out_mprj\[14\] -*1067 la_data_out_mprj\[15\] -*1068 la_data_out_mprj\[16\] -*1069 la_data_out_mprj\[17\] -*1070 la_data_out_mprj\[18\] -*1071 la_data_out_mprj\[19\] -*1072 la_data_out_mprj\[1\] -*1073 la_data_out_mprj\[20\] -*1074 la_data_out_mprj\[21\] -*1075 la_data_out_mprj\[22\] -*1076 la_data_out_mprj\[23\] -*1077 la_data_out_mprj\[24\] -*1078 la_data_out_mprj\[25\] -*1079 la_data_out_mprj\[26\] -*1080 la_data_out_mprj\[27\] -*1081 la_data_out_mprj\[28\] -*1082 la_data_out_mprj\[29\] -*1083 la_data_out_mprj\[2\] -*1084 la_data_out_mprj\[30\] -*1085 la_data_out_mprj\[31\] -*1086 la_data_out_mprj\[32\] -*1087 la_data_out_mprj\[33\] -*1088 la_data_out_mprj\[34\] -*1089 la_data_out_mprj\[35\] -*1090 la_data_out_mprj\[36\] -*1091 la_data_out_mprj\[37\] -*1092 la_data_out_mprj\[38\] -*1093 la_data_out_mprj\[39\] -*1094 la_data_out_mprj\[3\] -*1095 la_data_out_mprj\[40\] -*1096 la_data_out_mprj\[41\] -*1097 la_data_out_mprj\[42\] -*1098 la_data_out_mprj\[43\] -*1099 la_data_out_mprj\[44\] -*1100 la_data_out_mprj\[45\] -*1101 la_data_out_mprj\[46\] -*1102 la_data_out_mprj\[47\] -*1103 la_data_out_mprj\[48\] -*1104 la_data_out_mprj\[49\] -*1105 la_data_out_mprj\[4\] -*1106 la_data_out_mprj\[50\] -*1107 la_data_out_mprj\[51\] -*1108 la_data_out_mprj\[52\] -*1109 la_data_out_mprj\[53\] -*1110 la_data_out_mprj\[54\] -*1111 la_data_out_mprj\[55\] -*1112 la_data_out_mprj\[56\] -*1113 la_data_out_mprj\[57\] -*1114 la_data_out_mprj\[58\] -*1115 la_data_out_mprj\[59\] -*1116 la_data_out_mprj\[5\] -*1117 la_data_out_mprj\[60\] -*1118 la_data_out_mprj\[61\] -*1119 la_data_out_mprj\[62\] -*1120 la_data_out_mprj\[63\] -*1121 la_data_out_mprj\[64\] -*1122 la_data_out_mprj\[65\] -*1123 la_data_out_mprj\[66\] -*1124 la_data_out_mprj\[67\] -*1125 la_data_out_mprj\[68\] -*1126 la_data_out_mprj\[69\] -*1127 la_data_out_mprj\[6\] -*1128 la_data_out_mprj\[70\] -*1129 la_data_out_mprj\[71\] -*1130 la_data_out_mprj\[72\] -*1131 la_data_out_mprj\[73\] -*1132 la_data_out_mprj\[74\] -*1133 la_data_out_mprj\[75\] -*1134 la_data_out_mprj\[76\] -*1135 la_data_out_mprj\[77\] -*1136 la_data_out_mprj\[78\] -*1137 la_data_out_mprj\[79\] -*1138 la_data_out_mprj\[7\] -*1139 la_data_out_mprj\[80\] -*1140 la_data_out_mprj\[81\] -*1141 la_data_out_mprj\[82\] -*1142 la_data_out_mprj\[83\] -*1143 la_data_out_mprj\[84\] -*1144 la_data_out_mprj\[85\] -*1145 la_data_out_mprj\[86\] -*1146 la_data_out_mprj\[87\] -*1147 la_data_out_mprj\[88\] -*1148 la_data_out_mprj\[89\] -*1149 la_data_out_mprj\[8\] -*1150 la_data_out_mprj\[90\] -*1151 la_data_out_mprj\[91\] -*1152 la_data_out_mprj\[92\] -*1153 la_data_out_mprj\[93\] -*1154 la_data_out_mprj\[94\] -*1155 la_data_out_mprj\[95\] -*1156 la_data_out_mprj\[96\] -*1157 la_data_out_mprj\[97\] -*1158 la_data_out_mprj\[98\] -*1159 la_data_out_mprj\[99\] -*1160 la_data_out_mprj\[9\] -*1161 la_data_out_user\[0\] -*1162 la_data_out_user\[100\] -*1163 la_data_out_user\[101\] -*1164 la_data_out_user\[102\] -*1165 la_data_out_user\[103\] -*1166 la_data_out_user\[104\] -*1167 la_data_out_user\[105\] -*1168 la_data_out_user\[106\] -*1169 la_data_out_user\[107\] -*1170 la_data_out_user\[108\] -*1171 la_data_out_user\[109\] -*1172 la_data_out_user\[10\] -*1173 la_data_out_user\[110\] -*1174 la_data_out_user\[111\] -*1175 la_data_out_user\[112\] -*1176 la_data_out_user\[113\] -*1177 la_data_out_user\[114\] -*1178 la_data_out_user\[115\] -*1179 la_data_out_user\[116\] -*1180 la_data_out_user\[117\] -*1181 la_data_out_user\[118\] -*1182 la_data_out_user\[119\] -*1183 la_data_out_user\[11\] -*1184 la_data_out_user\[120\] -*1185 la_data_out_user\[121\] -*1186 la_data_out_user\[122\] -*1187 la_data_out_user\[123\] -*1188 la_data_out_user\[124\] -*1189 la_data_out_user\[125\] -*1190 la_data_out_user\[126\] -*1191 la_data_out_user\[127\] -*1192 la_data_out_user\[12\] -*1193 la_data_out_user\[13\] -*1194 la_data_out_user\[14\] -*1195 la_data_out_user\[15\] -*1196 la_data_out_user\[16\] -*1197 la_data_out_user\[17\] -*1198 la_data_out_user\[18\] -*1199 la_data_out_user\[19\] -*1200 la_data_out_user\[1\] -*1201 la_data_out_user\[20\] -*1202 la_data_out_user\[21\] -*1203 la_data_out_user\[22\] -*1204 la_data_out_user\[23\] -*1205 la_data_out_user\[24\] -*1206 la_data_out_user\[25\] -*1207 la_data_out_user\[26\] -*1208 la_data_out_user\[27\] -*1209 la_data_out_user\[28\] -*1210 la_data_out_user\[29\] -*1211 la_data_out_user\[2\] -*1212 la_data_out_user\[30\] -*1213 la_data_out_user\[31\] -*1214 la_data_out_user\[32\] -*1215 la_data_out_user\[33\] -*1216 la_data_out_user\[34\] -*1217 la_data_out_user\[35\] -*1218 la_data_out_user\[36\] -*1219 la_data_out_user\[37\] -*1220 la_data_out_user\[38\] -*1221 la_data_out_user\[39\] -*1222 la_data_out_user\[3\] -*1223 la_data_out_user\[40\] -*1224 la_data_out_user\[41\] -*1225 la_data_out_user\[42\] -*1226 la_data_out_user\[43\] -*1227 la_data_out_user\[44\] -*1228 la_data_out_user\[45\] -*1229 la_data_out_user\[46\] -*1230 la_data_out_user\[47\] -*1231 la_data_out_user\[48\] -*1232 la_data_out_user\[49\] -*1233 la_data_out_user\[4\] -*1234 la_data_out_user\[50\] -*1235 la_data_out_user\[51\] -*1236 la_data_out_user\[52\] -*1237 la_data_out_user\[53\] -*1238 la_data_out_user\[54\] -*1239 la_data_out_user\[55\] -*1240 la_data_out_user\[56\] -*1241 la_data_out_user\[57\] -*1242 la_data_out_user\[58\] -*1243 la_data_out_user\[59\] -*1244 la_data_out_user\[5\] -*1245 la_data_out_user\[60\] -*1246 la_data_out_user\[61\] -*1247 la_data_out_user\[62\] -*1248 la_data_out_user\[63\] -*1249 la_data_out_user\[64\] -*1250 la_data_out_user\[65\] -*1251 la_data_out_user\[66\] -*1252 la_data_out_user\[67\] -*1253 la_data_out_user\[68\] -*1254 la_data_out_user\[69\] -*1255 la_data_out_user\[6\] -*1256 la_data_out_user\[70\] -*1257 la_data_out_user\[71\] -*1258 la_data_out_user\[72\] -*1259 la_data_out_user\[73\] -*1260 la_data_out_user\[74\] -*1261 la_data_out_user\[75\] -*1262 la_data_out_user\[76\] -*1263 la_data_out_user\[77\] -*1264 la_data_out_user\[78\] -*1265 la_data_out_user\[79\] -*1266 la_data_out_user\[7\] -*1267 la_data_out_user\[80\] -*1268 la_data_out_user\[81\] -*1269 la_data_out_user\[82\] -*1270 la_data_out_user\[83\] -*1271 la_data_out_user\[84\] -*1272 la_data_out_user\[85\] -*1273 la_data_out_user\[86\] -*1274 la_data_out_user\[87\] -*1275 la_data_out_user\[88\] -*1276 la_data_out_user\[89\] -*1277 la_data_out_user\[8\] -*1278 la_data_out_user\[90\] -*1279 la_data_out_user\[91\] -*1280 la_data_out_user\[92\] -*1281 la_data_out_user\[93\] -*1282 la_data_out_user\[94\] -*1283 la_data_out_user\[95\] -*1284 la_data_out_user\[96\] -*1285 la_data_out_user\[97\] -*1286 la_data_out_user\[98\] -*1287 la_data_out_user\[99\] -*1288 la_data_out_user\[9\] -*1289 la_iena_mprj\[0\] -*1290 la_iena_mprj\[100\] -*1291 la_iena_mprj\[101\] -*1292 la_iena_mprj\[102\] -*1293 la_iena_mprj\[103\] -*1294 la_iena_mprj\[104\] -*1295 la_iena_mprj\[105\] -*1296 la_iena_mprj\[106\] -*1297 la_iena_mprj\[107\] -*1298 la_iena_mprj\[108\] -*1299 la_iena_mprj\[109\] -*1300 la_iena_mprj\[10\] -*1301 la_iena_mprj\[110\] -*1302 la_iena_mprj\[111\] -*1303 la_iena_mprj\[112\] -*1304 la_iena_mprj\[113\] -*1305 la_iena_mprj\[114\] -*1306 la_iena_mprj\[115\] -*1307 la_iena_mprj\[116\] -*1308 la_iena_mprj\[117\] -*1309 la_iena_mprj\[118\] -*1310 la_iena_mprj\[119\] -*1311 la_iena_mprj\[11\] -*1312 la_iena_mprj\[120\] -*1313 la_iena_mprj\[121\] -*1314 la_iena_mprj\[122\] -*1315 la_iena_mprj\[123\] -*1316 la_iena_mprj\[124\] -*1317 la_iena_mprj\[125\] -*1318 la_iena_mprj\[126\] -*1319 la_iena_mprj\[127\] -*1320 la_iena_mprj\[12\] -*1321 la_iena_mprj\[13\] -*1322 la_iena_mprj\[14\] -*1323 la_iena_mprj\[15\] -*1324 la_iena_mprj\[16\] -*1325 la_iena_mprj\[17\] -*1326 la_iena_mprj\[18\] -*1327 la_iena_mprj\[19\] -*1328 la_iena_mprj\[1\] -*1329 la_iena_mprj\[20\] -*1330 la_iena_mprj\[21\] -*1331 la_iena_mprj\[22\] -*1332 la_iena_mprj\[23\] -*1333 la_iena_mprj\[24\] -*1334 la_iena_mprj\[25\] -*1335 la_iena_mprj\[26\] -*1336 la_iena_mprj\[27\] -*1337 la_iena_mprj\[28\] -*1338 la_iena_mprj\[29\] -*1339 la_iena_mprj\[2\] -*1340 la_iena_mprj\[30\] -*1341 la_iena_mprj\[31\] -*1342 la_iena_mprj\[32\] -*1343 la_iena_mprj\[33\] -*1344 la_iena_mprj\[34\] -*1345 la_iena_mprj\[35\] -*1346 la_iena_mprj\[36\] -*1347 la_iena_mprj\[37\] -*1348 la_iena_mprj\[38\] -*1349 la_iena_mprj\[39\] -*1350 la_iena_mprj\[3\] -*1351 la_iena_mprj\[40\] -*1352 la_iena_mprj\[41\] -*1353 la_iena_mprj\[42\] -*1354 la_iena_mprj\[43\] -*1355 la_iena_mprj\[44\] -*1356 la_iena_mprj\[45\] -*1357 la_iena_mprj\[46\] -*1358 la_iena_mprj\[47\] -*1359 la_iena_mprj\[48\] -*1360 la_iena_mprj\[49\] -*1361 la_iena_mprj\[4\] -*1362 la_iena_mprj\[50\] -*1363 la_iena_mprj\[51\] -*1364 la_iena_mprj\[52\] -*1365 la_iena_mprj\[53\] -*1366 la_iena_mprj\[54\] -*1367 la_iena_mprj\[55\] -*1368 la_iena_mprj\[56\] -*1369 la_iena_mprj\[57\] -*1370 la_iena_mprj\[58\] -*1371 la_iena_mprj\[59\] -*1372 la_iena_mprj\[5\] -*1373 la_iena_mprj\[60\] -*1374 la_iena_mprj\[61\] -*1375 la_iena_mprj\[62\] -*1376 la_iena_mprj\[63\] -*1377 la_iena_mprj\[64\] -*1378 la_iena_mprj\[65\] -*1379 la_iena_mprj\[66\] -*1380 la_iena_mprj\[67\] -*1381 la_iena_mprj\[68\] -*1382 la_iena_mprj\[69\] -*1383 la_iena_mprj\[6\] -*1384 la_iena_mprj\[70\] -*1385 la_iena_mprj\[71\] -*1386 la_iena_mprj\[72\] -*1387 la_iena_mprj\[73\] -*1388 la_iena_mprj\[74\] -*1389 la_iena_mprj\[75\] -*1390 la_iena_mprj\[76\] -*1391 la_iena_mprj\[77\] -*1392 la_iena_mprj\[78\] -*1393 la_iena_mprj\[79\] -*1394 la_iena_mprj\[7\] -*1395 la_iena_mprj\[80\] -*1396 la_iena_mprj\[81\] -*1397 la_iena_mprj\[82\] -*1398 la_iena_mprj\[83\] -*1399 la_iena_mprj\[84\] -*1400 la_iena_mprj\[85\] -*1401 la_iena_mprj\[86\] -*1402 la_iena_mprj\[87\] -*1403 la_iena_mprj\[88\] -*1404 la_iena_mprj\[89\] -*1405 la_iena_mprj\[8\] -*1406 la_iena_mprj\[90\] -*1407 la_iena_mprj\[91\] -*1408 la_iena_mprj\[92\] -*1409 la_iena_mprj\[93\] -*1410 la_iena_mprj\[94\] -*1411 la_iena_mprj\[95\] -*1412 la_iena_mprj\[96\] -*1413 la_iena_mprj\[97\] -*1414 la_iena_mprj\[98\] -*1415 la_iena_mprj\[99\] -*1416 la_iena_mprj\[9\] -*1417 la_oenb_mprj\[0\] -*1418 la_oenb_mprj\[100\] -*1419 la_oenb_mprj\[101\] -*1420 la_oenb_mprj\[102\] -*1421 la_oenb_mprj\[103\] -*1422 la_oenb_mprj\[104\] -*1423 la_oenb_mprj\[105\] -*1424 la_oenb_mprj\[106\] -*1425 la_oenb_mprj\[107\] -*1426 la_oenb_mprj\[108\] -*1427 la_oenb_mprj\[109\] -*1428 la_oenb_mprj\[10\] -*1429 la_oenb_mprj\[110\] -*1430 la_oenb_mprj\[111\] -*1431 la_oenb_mprj\[112\] -*1432 la_oenb_mprj\[113\] -*1433 la_oenb_mprj\[114\] -*1434 la_oenb_mprj\[115\] -*1435 la_oenb_mprj\[116\] -*1436 la_oenb_mprj\[117\] -*1437 la_oenb_mprj\[118\] -*1438 la_oenb_mprj\[119\] -*1439 la_oenb_mprj\[11\] -*1440 la_oenb_mprj\[120\] -*1441 la_oenb_mprj\[121\] -*1442 la_oenb_mprj\[122\] -*1443 la_oenb_mprj\[123\] -*1444 la_oenb_mprj\[124\] -*1445 la_oenb_mprj\[125\] -*1446 la_oenb_mprj\[126\] -*1447 la_oenb_mprj\[127\] -*1448 la_oenb_mprj\[12\] -*1449 la_oenb_mprj\[13\] -*1450 la_oenb_mprj\[14\] -*1451 la_oenb_mprj\[15\] -*1452 la_oenb_mprj\[16\] -*1453 la_oenb_mprj\[17\] -*1454 la_oenb_mprj\[18\] -*1455 la_oenb_mprj\[19\] -*1456 la_oenb_mprj\[1\] -*1457 la_oenb_mprj\[20\] -*1458 la_oenb_mprj\[21\] -*1459 la_oenb_mprj\[22\] -*1460 la_oenb_mprj\[23\] -*1461 la_oenb_mprj\[24\] -*1462 la_oenb_mprj\[25\] -*1463 la_oenb_mprj\[26\] -*1464 la_oenb_mprj\[27\] -*1465 la_oenb_mprj\[28\] -*1466 la_oenb_mprj\[29\] -*1467 la_oenb_mprj\[2\] -*1468 la_oenb_mprj\[30\] -*1469 la_oenb_mprj\[31\] -*1470 la_oenb_mprj\[32\] -*1471 la_oenb_mprj\[33\] -*1472 la_oenb_mprj\[34\] -*1473 la_oenb_mprj\[35\] -*1474 la_oenb_mprj\[36\] -*1475 la_oenb_mprj\[37\] -*1476 la_oenb_mprj\[38\] -*1477 la_oenb_mprj\[39\] -*1478 la_oenb_mprj\[3\] -*1479 la_oenb_mprj\[40\] -*1480 la_oenb_mprj\[41\] -*1481 la_oenb_mprj\[42\] -*1482 la_oenb_mprj\[43\] -*1483 la_oenb_mprj\[44\] -*1484 la_oenb_mprj\[45\] -*1485 la_oenb_mprj\[46\] -*1486 la_oenb_mprj\[47\] -*1487 la_oenb_mprj\[48\] -*1488 la_oenb_mprj\[49\] -*1489 la_oenb_mprj\[4\] -*1490 la_oenb_mprj\[50\] -*1491 la_oenb_mprj\[51\] -*1492 la_oenb_mprj\[52\] -*1493 la_oenb_mprj\[53\] -*1494 la_oenb_mprj\[54\] -*1495 la_oenb_mprj\[55\] -*1496 la_oenb_mprj\[56\] -*1497 la_oenb_mprj\[57\] -*1498 la_oenb_mprj\[58\] -*1499 la_oenb_mprj\[59\] -*1500 la_oenb_mprj\[5\] -*1501 la_oenb_mprj\[60\] -*1502 la_oenb_mprj\[61\] -*1503 la_oenb_mprj\[62\] -*1504 la_oenb_mprj\[63\] -*1505 la_oenb_mprj\[64\] -*1506 la_oenb_mprj\[65\] -*1507 la_oenb_mprj\[66\] -*1508 la_oenb_mprj\[67\] -*1509 la_oenb_mprj\[68\] -*1510 la_oenb_mprj\[69\] -*1511 la_oenb_mprj\[6\] -*1512 la_oenb_mprj\[70\] -*1513 la_oenb_mprj\[71\] -*1514 la_oenb_mprj\[72\] -*1515 la_oenb_mprj\[73\] -*1516 la_oenb_mprj\[74\] -*1517 la_oenb_mprj\[75\] -*1518 la_oenb_mprj\[76\] -*1519 la_oenb_mprj\[77\] -*1520 la_oenb_mprj\[78\] -*1521 la_oenb_mprj\[79\] -*1522 la_oenb_mprj\[7\] -*1523 la_oenb_mprj\[80\] -*1524 la_oenb_mprj\[81\] -*1525 la_oenb_mprj\[82\] -*1526 la_oenb_mprj\[83\] -*1527 la_oenb_mprj\[84\] -*1528 la_oenb_mprj\[85\] -*1529 la_oenb_mprj\[86\] -*1530 la_oenb_mprj\[87\] -*1531 la_oenb_mprj\[88\] -*1532 la_oenb_mprj\[89\] -*1533 la_oenb_mprj\[8\] -*1534 la_oenb_mprj\[90\] -*1535 la_oenb_mprj\[91\] -*1536 la_oenb_mprj\[92\] -*1537 la_oenb_mprj\[93\] -*1538 la_oenb_mprj\[94\] -*1539 la_oenb_mprj\[95\] -*1540 la_oenb_mprj\[96\] -*1541 la_oenb_mprj\[97\] -*1542 la_oenb_mprj\[98\] -*1543 la_oenb_mprj\[99\] -*1544 la_oenb_mprj\[9\] -*1545 la_oenb_user\[0\] -*1546 la_oenb_user\[100\] -*1547 la_oenb_user\[101\] -*1548 la_oenb_user\[102\] -*1549 la_oenb_user\[103\] -*1550 la_oenb_user\[104\] -*1551 la_oenb_user\[105\] -*1552 la_oenb_user\[106\] -*1553 la_oenb_user\[107\] -*1554 la_oenb_user\[108\] -*1555 la_oenb_user\[109\] -*1556 la_oenb_user\[10\] -*1557 la_oenb_user\[110\] -*1558 la_oenb_user\[111\] -*1559 la_oenb_user\[112\] -*1560 la_oenb_user\[113\] -*1561 la_oenb_user\[114\] -*1562 la_oenb_user\[115\] -*1563 la_oenb_user\[116\] -*1564 la_oenb_user\[117\] -*1565 la_oenb_user\[118\] -*1566 la_oenb_user\[119\] -*1567 la_oenb_user\[11\] -*1568 la_oenb_user\[120\] -*1569 la_oenb_user\[121\] -*1570 la_oenb_user\[122\] -*1571 la_oenb_user\[123\] -*1572 la_oenb_user\[124\] -*1573 la_oenb_user\[125\] -*1574 la_oenb_user\[126\] -*1575 la_oenb_user\[127\] -*1576 la_oenb_user\[12\] -*1577 la_oenb_user\[13\] -*1578 la_oenb_user\[14\] -*1579 la_oenb_user\[15\] -*1580 la_oenb_user\[16\] -*1581 la_oenb_user\[17\] -*1582 la_oenb_user\[18\] -*1583 la_oenb_user\[19\] -*1584 la_oenb_user\[1\] -*1585 la_oenb_user\[20\] -*1586 la_oenb_user\[21\] -*1587 la_oenb_user\[22\] -*1588 la_oenb_user\[23\] -*1589 la_oenb_user\[24\] -*1590 la_oenb_user\[25\] -*1591 la_oenb_user\[26\] -*1592 la_oenb_user\[27\] -*1593 la_oenb_user\[28\] -*1594 la_oenb_user\[29\] -*1595 la_oenb_user\[2\] -*1596 la_oenb_user\[30\] -*1597 la_oenb_user\[31\] -*1598 la_oenb_user\[32\] -*1599 la_oenb_user\[33\] -*1600 la_oenb_user\[34\] -*1601 la_oenb_user\[35\] -*1602 la_oenb_user\[36\] -*1603 la_oenb_user\[37\] -*1604 la_oenb_user\[38\] -*1605 la_oenb_user\[39\] -*1606 la_oenb_user\[3\] -*1607 la_oenb_user\[40\] -*1608 la_oenb_user\[41\] -*1609 la_oenb_user\[42\] -*1610 la_oenb_user\[43\] -*1611 la_oenb_user\[44\] -*1612 la_oenb_user\[45\] -*1613 la_oenb_user\[46\] -*1614 la_oenb_user\[47\] -*1615 la_oenb_user\[48\] -*1616 la_oenb_user\[49\] -*1617 la_oenb_user\[4\] -*1618 la_oenb_user\[50\] -*1619 la_oenb_user\[51\] -*1620 la_oenb_user\[52\] -*1621 la_oenb_user\[53\] -*1622 la_oenb_user\[54\] -*1623 la_oenb_user\[55\] -*1624 la_oenb_user\[56\] -*1625 la_oenb_user\[57\] -*1626 la_oenb_user\[58\] -*1627 la_oenb_user\[59\] -*1628 la_oenb_user\[5\] -*1629 la_oenb_user\[60\] -*1630 la_oenb_user\[61\] -*1631 la_oenb_user\[62\] -*1632 la_oenb_user\[63\] -*1633 la_oenb_user\[64\] -*1634 la_oenb_user\[65\] -*1635 la_oenb_user\[66\] -*1636 la_oenb_user\[67\] -*1637 la_oenb_user\[68\] -*1638 la_oenb_user\[69\] -*1639 la_oenb_user\[6\] -*1640 la_oenb_user\[70\] -*1641 la_oenb_user\[71\] -*1642 la_oenb_user\[72\] -*1643 la_oenb_user\[73\] -*1644 la_oenb_user\[74\] -*1645 la_oenb_user\[75\] -*1646 la_oenb_user\[76\] -*1647 la_oenb_user\[77\] -*1648 la_oenb_user\[78\] -*1649 la_oenb_user\[79\] -*1650 la_oenb_user\[7\] -*1651 la_oenb_user\[80\] -*1652 la_oenb_user\[81\] -*1653 la_oenb_user\[82\] -*1654 la_oenb_user\[83\] -*1655 la_oenb_user\[84\] -*1656 la_oenb_user\[85\] -*1657 la_oenb_user\[86\] -*1658 la_oenb_user\[87\] -*1659 la_oenb_user\[88\] -*1660 la_oenb_user\[89\] -*1661 la_oenb_user\[8\] -*1662 la_oenb_user\[90\] -*1663 la_oenb_user\[91\] -*1664 la_oenb_user\[92\] -*1665 la_oenb_user\[93\] -*1666 la_oenb_user\[94\] -*1667 la_oenb_user\[95\] -*1668 la_oenb_user\[96\] -*1669 la_oenb_user\[97\] -*1670 la_oenb_user\[98\] -*1671 la_oenb_user\[99\] -*1672 la_oenb_user\[9\] -*1673 mask_rev\[0\] -*1674 mask_rev\[10\] -*1675 mask_rev\[11\] -*1676 mask_rev\[12\] -*1677 mask_rev\[13\] -*1678 mask_rev\[14\] -*1679 mask_rev\[15\] -*1680 mask_rev\[16\] -*1681 mask_rev\[17\] -*1682 mask_rev\[18\] -*1683 mask_rev\[19\] -*1684 mask_rev\[1\] -*1685 mask_rev\[20\] -*1686 mask_rev\[21\] -*1687 mask_rev\[22\] -*1688 mask_rev\[23\] -*1689 mask_rev\[24\] -*1690 mask_rev\[25\] -*1691 mask_rev\[26\] -*1692 mask_rev\[27\] -*1693 mask_rev\[28\] -*1694 mask_rev\[29\] -*1695 mask_rev\[2\] -*1696 mask_rev\[30\] -*1697 mask_rev\[31\] -*1698 mask_rev\[3\] -*1699 mask_rev\[4\] -*1700 mask_rev\[5\] -*1701 mask_rev\[6\] -*1702 mask_rev\[7\] -*1703 mask_rev\[8\] -*1704 mask_rev\[9\] -*1705 mgmt_io_in\[0\] -*1706 mgmt_io_in\[10\] -*1707 mgmt_io_in\[11\] -*1708 mgmt_io_in\[12\] -*1709 mgmt_io_in\[13\] -*1710 mgmt_io_in\[14\] -*1711 mgmt_io_in\[15\] -*1712 mgmt_io_in\[16\] -*1713 mgmt_io_in\[17\] -*1714 mgmt_io_in\[18\] -*1715 mgmt_io_in\[19\] -*1716 mgmt_io_in\[1\] -*1717 mgmt_io_in\[20\] -*1718 mgmt_io_in\[21\] -*1719 mgmt_io_in\[22\] -*1720 mgmt_io_in\[23\] -*1721 mgmt_io_in\[24\] -*1722 mgmt_io_in\[25\] -*1723 mgmt_io_in\[26\] -*1724 mgmt_io_in\[27\] -*1725 mgmt_io_in\[28\] -*1726 mgmt_io_in\[29\] -*1727 mgmt_io_in\[2\] -*1728 mgmt_io_in\[30\] -*1729 mgmt_io_in\[31\] -*1730 mgmt_io_in\[32\] -*1731 mgmt_io_in\[33\] -*1732 mgmt_io_in\[34\] -*1733 mgmt_io_in\[35\] -*1734 mgmt_io_in\[36\] -*1735 mgmt_io_in\[37\] -*1736 mgmt_io_in\[3\] -*1737 mgmt_io_in\[4\] -*1738 mgmt_io_in\[5\] -*1739 mgmt_io_in\[6\] -*1740 mgmt_io_in\[7\] -*1741 mgmt_io_in\[8\] -*1742 mgmt_io_in\[9\] -*1743 mgmt_io_oeb\[0\] -*1744 mgmt_io_oeb\[1\] -*1745 mgmt_io_oeb\[2\] -*1746 mgmt_io_oeb\[3\] -*1747 mgmt_io_oeb\[4\] -*1748 mgmt_io_out\[0\] -*1749 mgmt_io_out\[1\] -*1750 mgmt_io_out\[2\] -*1751 mgmt_io_out\[3\] -*1752 mgmt_io_out\[4\] -*1753 mprj2_vcc_pwrgood -*1754 mprj2_vdd_pwrgood -*1755 mprj_ack_i_core -*1756 mprj_ack_i_user -*1757 mprj_adr_o_core\[0\] -*1758 mprj_adr_o_core\[10\] -*1759 mprj_adr_o_core\[11\] -*1760 mprj_adr_o_core\[12\] -*1761 mprj_adr_o_core\[13\] -*1762 mprj_adr_o_core\[14\] -*1763 mprj_adr_o_core\[15\] -*1764 mprj_adr_o_core\[16\] -*1765 mprj_adr_o_core\[17\] -*1766 mprj_adr_o_core\[18\] -*1767 mprj_adr_o_core\[19\] -*1768 mprj_adr_o_core\[1\] -*1769 mprj_adr_o_core\[20\] -*1770 mprj_adr_o_core\[21\] -*1771 mprj_adr_o_core\[22\] -*1772 mprj_adr_o_core\[23\] -*1773 mprj_adr_o_core\[24\] -*1774 mprj_adr_o_core\[25\] -*1775 mprj_adr_o_core\[26\] -*1776 mprj_adr_o_core\[27\] -*1777 mprj_adr_o_core\[28\] -*1778 mprj_adr_o_core\[29\] -*1779 mprj_adr_o_core\[2\] -*1780 mprj_adr_o_core\[30\] -*1781 mprj_adr_o_core\[31\] -*1782 mprj_adr_o_core\[3\] -*1783 mprj_adr_o_core\[4\] -*1784 mprj_adr_o_core\[5\] -*1785 mprj_adr_o_core\[6\] -*1786 mprj_adr_o_core\[7\] -*1787 mprj_adr_o_core\[8\] -*1788 mprj_adr_o_core\[9\] -*1789 mprj_adr_o_user\[0\] -*1790 mprj_adr_o_user\[10\] -*1791 mprj_adr_o_user\[11\] -*1792 mprj_adr_o_user\[12\] -*1793 mprj_adr_o_user\[13\] -*1794 mprj_adr_o_user\[14\] -*1795 mprj_adr_o_user\[15\] -*1796 mprj_adr_o_user\[16\] -*1797 mprj_adr_o_user\[17\] -*1798 mprj_adr_o_user\[18\] -*1799 mprj_adr_o_user\[19\] -*1800 mprj_adr_o_user\[1\] -*1801 mprj_adr_o_user\[20\] -*1802 mprj_adr_o_user\[21\] -*1803 mprj_adr_o_user\[22\] -*1804 mprj_adr_o_user\[23\] -*1805 mprj_adr_o_user\[24\] -*1806 mprj_adr_o_user\[25\] -*1807 mprj_adr_o_user\[26\] -*1808 mprj_adr_o_user\[27\] -*1809 mprj_adr_o_user\[28\] -*1810 mprj_adr_o_user\[29\] -*1811 mprj_adr_o_user\[2\] -*1812 mprj_adr_o_user\[30\] -*1813 mprj_adr_o_user\[31\] -*1814 mprj_adr_o_user\[3\] -*1815 mprj_adr_o_user\[4\] -*1816 mprj_adr_o_user\[5\] -*1817 mprj_adr_o_user\[6\] -*1818 mprj_adr_o_user\[7\] -*1819 mprj_adr_o_user\[8\] -*1820 mprj_adr_o_user\[9\] -*1821 mprj_clock -*1822 mprj_clock2 -*1823 mprj_cyc_o_core -*1824 mprj_cyc_o_user -*1825 mprj_dat_i_core\[0\] -*1826 mprj_dat_i_core\[10\] -*1827 mprj_dat_i_core\[11\] -*1828 mprj_dat_i_core\[12\] -*1829 mprj_dat_i_core\[13\] -*1830 mprj_dat_i_core\[14\] -*1831 mprj_dat_i_core\[15\] -*1832 mprj_dat_i_core\[16\] -*1833 mprj_dat_i_core\[17\] -*1834 mprj_dat_i_core\[18\] -*1835 mprj_dat_i_core\[19\] -*1836 mprj_dat_i_core\[1\] -*1837 mprj_dat_i_core\[20\] -*1838 mprj_dat_i_core\[21\] -*1839 mprj_dat_i_core\[22\] -*1840 mprj_dat_i_core\[23\] -*1841 mprj_dat_i_core\[24\] -*1842 mprj_dat_i_core\[25\] -*1843 mprj_dat_i_core\[26\] -*1844 mprj_dat_i_core\[27\] -*1845 mprj_dat_i_core\[28\] -*1846 mprj_dat_i_core\[29\] -*1847 mprj_dat_i_core\[2\] -*1848 mprj_dat_i_core\[30\] -*1849 mprj_dat_i_core\[31\] -*1850 mprj_dat_i_core\[3\] -*1851 mprj_dat_i_core\[4\] -*1852 mprj_dat_i_core\[5\] -*1853 mprj_dat_i_core\[6\] -*1854 mprj_dat_i_core\[7\] -*1855 mprj_dat_i_core\[8\] -*1856 mprj_dat_i_core\[9\] -*1857 mprj_dat_i_user\[0\] -*1858 mprj_dat_i_user\[10\] -*1859 mprj_dat_i_user\[11\] -*1860 mprj_dat_i_user\[12\] -*1861 mprj_dat_i_user\[13\] -*1862 mprj_dat_i_user\[14\] -*1863 mprj_dat_i_user\[15\] -*1864 mprj_dat_i_user\[16\] -*1865 mprj_dat_i_user\[17\] -*1866 mprj_dat_i_user\[18\] -*1867 mprj_dat_i_user\[19\] -*1868 mprj_dat_i_user\[1\] -*1869 mprj_dat_i_user\[20\] -*1870 mprj_dat_i_user\[21\] -*1871 mprj_dat_i_user\[22\] -*1872 mprj_dat_i_user\[23\] -*1873 mprj_dat_i_user\[24\] -*1874 mprj_dat_i_user\[25\] -*1875 mprj_dat_i_user\[26\] -*1876 mprj_dat_i_user\[27\] -*1877 mprj_dat_i_user\[28\] -*1878 mprj_dat_i_user\[29\] -*1879 mprj_dat_i_user\[2\] -*1880 mprj_dat_i_user\[30\] -*1881 mprj_dat_i_user\[31\] -*1882 mprj_dat_i_user\[3\] -*1883 mprj_dat_i_user\[4\] -*1884 mprj_dat_i_user\[5\] -*1885 mprj_dat_i_user\[6\] -*1886 mprj_dat_i_user\[7\] -*1887 mprj_dat_i_user\[8\] -*1888 mprj_dat_i_user\[9\] -*1889 mprj_dat_o_core\[0\] -*1890 mprj_dat_o_core\[10\] -*1891 mprj_dat_o_core\[11\] -*1892 mprj_dat_o_core\[12\] -*1893 mprj_dat_o_core\[13\] -*1894 mprj_dat_o_core\[14\] -*1895 mprj_dat_o_core\[15\] -*1896 mprj_dat_o_core\[16\] -*1897 mprj_dat_o_core\[17\] -*1898 mprj_dat_o_core\[18\] -*1899 mprj_dat_o_core\[19\] -*1900 mprj_dat_o_core\[1\] -*1901 mprj_dat_o_core\[20\] -*1902 mprj_dat_o_core\[21\] -*1903 mprj_dat_o_core\[22\] -*1904 mprj_dat_o_core\[23\] -*1905 mprj_dat_o_core\[24\] -*1906 mprj_dat_o_core\[25\] -*1907 mprj_dat_o_core\[26\] -*1908 mprj_dat_o_core\[27\] -*1909 mprj_dat_o_core\[28\] -*1910 mprj_dat_o_core\[29\] -*1911 mprj_dat_o_core\[2\] -*1912 mprj_dat_o_core\[30\] -*1913 mprj_dat_o_core\[31\] -*1914 mprj_dat_o_core\[3\] -*1915 mprj_dat_o_core\[4\] -*1916 mprj_dat_o_core\[5\] -*1917 mprj_dat_o_core\[6\] -*1918 mprj_dat_o_core\[7\] -*1919 mprj_dat_o_core\[8\] -*1920 mprj_dat_o_core\[9\] -*1921 mprj_dat_o_user\[0\] -*1922 mprj_dat_o_user\[10\] -*1923 mprj_dat_o_user\[11\] -*1924 mprj_dat_o_user\[12\] -*1925 mprj_dat_o_user\[13\] -*1926 mprj_dat_o_user\[14\] -*1927 mprj_dat_o_user\[15\] -*1928 mprj_dat_o_user\[16\] -*1929 mprj_dat_o_user\[17\] -*1930 mprj_dat_o_user\[18\] -*1931 mprj_dat_o_user\[19\] -*1932 mprj_dat_o_user\[1\] -*1933 mprj_dat_o_user\[20\] -*1934 mprj_dat_o_user\[21\] -*1935 mprj_dat_o_user\[22\] -*1936 mprj_dat_o_user\[23\] -*1937 mprj_dat_o_user\[24\] -*1938 mprj_dat_o_user\[25\] -*1939 mprj_dat_o_user\[26\] -*1940 mprj_dat_o_user\[27\] -*1941 mprj_dat_o_user\[28\] -*1942 mprj_dat_o_user\[29\] -*1943 mprj_dat_o_user\[2\] -*1944 mprj_dat_o_user\[30\] -*1945 mprj_dat_o_user\[31\] -*1946 mprj_dat_o_user\[3\] -*1947 mprj_dat_o_user\[4\] -*1948 mprj_dat_o_user\[5\] -*1949 mprj_dat_o_user\[6\] -*1950 mprj_dat_o_user\[7\] -*1951 mprj_dat_o_user\[8\] -*1952 mprj_dat_o_user\[9\] -*1953 mprj_iena_wb -*1954 mprj_io_analog_en\[0\] -*1955 mprj_io_analog_en\[10\] -*1956 mprj_io_analog_en\[11\] -*1957 mprj_io_analog_en\[12\] -*1958 mprj_io_analog_en\[13\] -*1959 mprj_io_analog_en\[14\] -*1960 mprj_io_analog_en\[15\] -*1961 mprj_io_analog_en\[16\] -*1962 mprj_io_analog_en\[17\] -*1963 mprj_io_analog_en\[18\] -*1964 mprj_io_analog_en\[19\] -*1965 mprj_io_analog_en\[1\] -*1966 mprj_io_analog_en\[20\] -*1967 mprj_io_analog_en\[21\] -*1968 mprj_io_analog_en\[22\] -*1969 mprj_io_analog_en\[23\] -*1970 mprj_io_analog_en\[24\] -*1971 mprj_io_analog_en\[25\] -*1972 mprj_io_analog_en\[26\] -*1973 mprj_io_analog_en\[27\] -*1974 mprj_io_analog_en\[28\] -*1975 mprj_io_analog_en\[29\] -*1976 mprj_io_analog_en\[2\] -*1977 mprj_io_analog_en\[30\] -*1978 mprj_io_analog_en\[31\] -*1979 mprj_io_analog_en\[32\] -*1980 mprj_io_analog_en\[33\] -*1981 mprj_io_analog_en\[34\] -*1982 mprj_io_analog_en\[35\] -*1983 mprj_io_analog_en\[36\] -*1984 mprj_io_analog_en\[37\] -*1985 mprj_io_analog_en\[3\] -*1986 mprj_io_analog_en\[4\] -*1987 mprj_io_analog_en\[5\] -*1988 mprj_io_analog_en\[6\] -*1989 mprj_io_analog_en\[7\] -*1990 mprj_io_analog_en\[8\] -*1991 mprj_io_analog_en\[9\] -*1992 mprj_io_analog_pol\[0\] -*1993 mprj_io_analog_pol\[10\] -*1994 mprj_io_analog_pol\[11\] -*1995 mprj_io_analog_pol\[12\] -*1996 mprj_io_analog_pol\[13\] -*1997 mprj_io_analog_pol\[14\] -*1998 mprj_io_analog_pol\[15\] -*1999 mprj_io_analog_pol\[16\] -*2000 mprj_io_analog_pol\[17\] -*2001 mprj_io_analog_pol\[18\] -*2002 mprj_io_analog_pol\[19\] -*2003 mprj_io_analog_pol\[1\] -*2004 mprj_io_analog_pol\[20\] -*2005 mprj_io_analog_pol\[21\] -*2006 mprj_io_analog_pol\[22\] -*2007 mprj_io_analog_pol\[23\] -*2008 mprj_io_analog_pol\[24\] -*2009 mprj_io_analog_pol\[25\] -*2010 mprj_io_analog_pol\[26\] -*2011 mprj_io_analog_pol\[27\] -*2012 mprj_io_analog_pol\[28\] -*2013 mprj_io_analog_pol\[29\] -*2014 mprj_io_analog_pol\[2\] -*2015 mprj_io_analog_pol\[30\] -*2016 mprj_io_analog_pol\[31\] -*2017 mprj_io_analog_pol\[32\] -*2018 mprj_io_analog_pol\[33\] -*2019 mprj_io_analog_pol\[34\] -*2020 mprj_io_analog_pol\[35\] -*2021 mprj_io_analog_pol\[36\] -*2022 mprj_io_analog_pol\[37\] -*2023 mprj_io_analog_pol\[3\] -*2024 mprj_io_analog_pol\[4\] -*2025 mprj_io_analog_pol\[5\] -*2026 mprj_io_analog_pol\[6\] -*2027 mprj_io_analog_pol\[7\] -*2028 mprj_io_analog_pol\[8\] -*2029 mprj_io_analog_pol\[9\] -*2030 mprj_io_analog_sel\[0\] -*2031 mprj_io_analog_sel\[10\] -*2032 mprj_io_analog_sel\[11\] -*2033 mprj_io_analog_sel\[12\] -*2034 mprj_io_analog_sel\[13\] -*2035 mprj_io_analog_sel\[14\] -*2036 mprj_io_analog_sel\[15\] -*2037 mprj_io_analog_sel\[16\] -*2038 mprj_io_analog_sel\[17\] -*2039 mprj_io_analog_sel\[18\] -*2040 mprj_io_analog_sel\[19\] -*2041 mprj_io_analog_sel\[1\] -*2042 mprj_io_analog_sel\[20\] -*2043 mprj_io_analog_sel\[21\] -*2044 mprj_io_analog_sel\[22\] -*2045 mprj_io_analog_sel\[23\] -*2046 mprj_io_analog_sel\[24\] -*2047 mprj_io_analog_sel\[25\] -*2048 mprj_io_analog_sel\[26\] -*2049 mprj_io_analog_sel\[27\] -*2050 mprj_io_analog_sel\[28\] -*2051 mprj_io_analog_sel\[29\] -*2052 mprj_io_analog_sel\[2\] -*2053 mprj_io_analog_sel\[30\] -*2054 mprj_io_analog_sel\[31\] -*2055 mprj_io_analog_sel\[32\] -*2056 mprj_io_analog_sel\[33\] -*2057 mprj_io_analog_sel\[34\] -*2058 mprj_io_analog_sel\[35\] -*2059 mprj_io_analog_sel\[36\] -*2060 mprj_io_analog_sel\[37\] -*2061 mprj_io_analog_sel\[3\] -*2062 mprj_io_analog_sel\[4\] -*2063 mprj_io_analog_sel\[5\] -*2064 mprj_io_analog_sel\[6\] -*2065 mprj_io_analog_sel\[7\] -*2066 mprj_io_analog_sel\[8\] -*2067 mprj_io_analog_sel\[9\] -*2068 mprj_io_dm\[0\] -*2069 mprj_io_dm\[100\] -*2070 mprj_io_dm\[101\] -*2071 mprj_io_dm\[102\] -*2072 mprj_io_dm\[103\] -*2073 mprj_io_dm\[104\] -*2074 mprj_io_dm\[105\] -*2075 mprj_io_dm\[106\] -*2076 mprj_io_dm\[107\] -*2077 mprj_io_dm\[108\] -*2078 mprj_io_dm\[109\] -*2079 mprj_io_dm\[10\] -*2080 mprj_io_dm\[110\] -*2081 mprj_io_dm\[111\] -*2082 mprj_io_dm\[112\] -*2083 mprj_io_dm\[113\] -*2084 mprj_io_dm\[11\] -*2085 mprj_io_dm\[12\] -*2086 mprj_io_dm\[13\] -*2087 mprj_io_dm\[14\] -*2088 mprj_io_dm\[15\] -*2089 mprj_io_dm\[16\] -*2090 mprj_io_dm\[17\] -*2091 mprj_io_dm\[18\] -*2092 mprj_io_dm\[19\] -*2093 mprj_io_dm\[1\] -*2094 mprj_io_dm\[20\] -*2095 mprj_io_dm\[21\] -*2096 mprj_io_dm\[22\] -*2097 mprj_io_dm\[23\] -*2098 mprj_io_dm\[24\] -*2099 mprj_io_dm\[25\] -*2100 mprj_io_dm\[26\] -*2101 mprj_io_dm\[27\] -*2102 mprj_io_dm\[28\] -*2103 mprj_io_dm\[29\] -*2104 mprj_io_dm\[2\] -*2105 mprj_io_dm\[30\] -*2106 mprj_io_dm\[31\] -*2107 mprj_io_dm\[32\] -*2108 mprj_io_dm\[33\] -*2109 mprj_io_dm\[34\] -*2110 mprj_io_dm\[35\] -*2111 mprj_io_dm\[36\] -*2112 mprj_io_dm\[37\] -*2113 mprj_io_dm\[38\] -*2114 mprj_io_dm\[39\] -*2115 mprj_io_dm\[3\] -*2116 mprj_io_dm\[40\] -*2117 mprj_io_dm\[41\] -*2118 mprj_io_dm\[42\] -*2119 mprj_io_dm\[43\] -*2120 mprj_io_dm\[44\] -*2121 mprj_io_dm\[45\] -*2122 mprj_io_dm\[46\] -*2123 mprj_io_dm\[47\] -*2124 mprj_io_dm\[48\] -*2125 mprj_io_dm\[49\] -*2126 mprj_io_dm\[4\] -*2127 mprj_io_dm\[50\] -*2128 mprj_io_dm\[51\] -*2129 mprj_io_dm\[52\] -*2130 mprj_io_dm\[53\] -*2131 mprj_io_dm\[54\] -*2132 mprj_io_dm\[55\] -*2133 mprj_io_dm\[56\] -*2134 mprj_io_dm\[57\] -*2135 mprj_io_dm\[58\] -*2136 mprj_io_dm\[59\] -*2137 mprj_io_dm\[5\] -*2138 mprj_io_dm\[60\] -*2139 mprj_io_dm\[61\] -*2140 mprj_io_dm\[62\] -*2141 mprj_io_dm\[63\] -*2142 mprj_io_dm\[64\] -*2143 mprj_io_dm\[65\] -*2144 mprj_io_dm\[66\] -*2145 mprj_io_dm\[67\] -*2146 mprj_io_dm\[68\] -*2147 mprj_io_dm\[69\] -*2148 mprj_io_dm\[6\] -*2149 mprj_io_dm\[70\] -*2150 mprj_io_dm\[71\] -*2151 mprj_io_dm\[72\] -*2152 mprj_io_dm\[73\] -*2153 mprj_io_dm\[74\] -*2154 mprj_io_dm\[75\] -*2155 mprj_io_dm\[76\] -*2156 mprj_io_dm\[77\] -*2157 mprj_io_dm\[78\] -*2158 mprj_io_dm\[79\] -*2159 mprj_io_dm\[7\] -*2160 mprj_io_dm\[80\] -*2161 mprj_io_dm\[81\] -*2162 mprj_io_dm\[82\] -*2163 mprj_io_dm\[83\] -*2164 mprj_io_dm\[84\] -*2165 mprj_io_dm\[85\] -*2166 mprj_io_dm\[86\] -*2167 mprj_io_dm\[87\] -*2168 mprj_io_dm\[88\] -*2169 mprj_io_dm\[89\] -*2170 mprj_io_dm\[8\] -*2171 mprj_io_dm\[90\] -*2172 mprj_io_dm\[91\] -*2173 mprj_io_dm\[92\] -*2174 mprj_io_dm\[93\] -*2175 mprj_io_dm\[94\] -*2176 mprj_io_dm\[95\] -*2177 mprj_io_dm\[96\] -*2178 mprj_io_dm\[97\] -*2179 mprj_io_dm\[98\] -*2180 mprj_io_dm\[99\] -*2181 mprj_io_dm\[9\] -*2182 mprj_io_holdover\[0\] -*2183 mprj_io_holdover\[10\] -*2184 mprj_io_holdover\[11\] -*2185 mprj_io_holdover\[12\] -*2186 mprj_io_holdover\[13\] -*2187 mprj_io_holdover\[14\] -*2188 mprj_io_holdover\[15\] -*2189 mprj_io_holdover\[16\] -*2190 mprj_io_holdover\[17\] -*2191 mprj_io_holdover\[18\] -*2192 mprj_io_holdover\[19\] -*2193 mprj_io_holdover\[1\] -*2194 mprj_io_holdover\[20\] -*2195 mprj_io_holdover\[21\] -*2196 mprj_io_holdover\[22\] -*2197 mprj_io_holdover\[23\] -*2198 mprj_io_holdover\[24\] -*2199 mprj_io_holdover\[25\] -*2200 mprj_io_holdover\[26\] -*2201 mprj_io_holdover\[27\] -*2202 mprj_io_holdover\[28\] -*2203 mprj_io_holdover\[29\] -*2204 mprj_io_holdover\[2\] -*2205 mprj_io_holdover\[30\] -*2206 mprj_io_holdover\[31\] -*2207 mprj_io_holdover\[32\] -*2208 mprj_io_holdover\[33\] -*2209 mprj_io_holdover\[34\] -*2210 mprj_io_holdover\[35\] -*2211 mprj_io_holdover\[36\] -*2212 mprj_io_holdover\[37\] -*2213 mprj_io_holdover\[3\] -*2214 mprj_io_holdover\[4\] -*2215 mprj_io_holdover\[5\] -*2216 mprj_io_holdover\[6\] -*2217 mprj_io_holdover\[7\] -*2218 mprj_io_holdover\[8\] -*2219 mprj_io_holdover\[9\] -*2220 mprj_io_ib_mode_sel\[0\] -*2221 mprj_io_ib_mode_sel\[10\] -*2222 mprj_io_ib_mode_sel\[11\] -*2223 mprj_io_ib_mode_sel\[12\] -*2224 mprj_io_ib_mode_sel\[13\] -*2225 mprj_io_ib_mode_sel\[14\] -*2226 mprj_io_ib_mode_sel\[15\] -*2227 mprj_io_ib_mode_sel\[16\] -*2228 mprj_io_ib_mode_sel\[17\] -*2229 mprj_io_ib_mode_sel\[18\] -*2230 mprj_io_ib_mode_sel\[19\] -*2231 mprj_io_ib_mode_sel\[1\] -*2232 mprj_io_ib_mode_sel\[20\] -*2233 mprj_io_ib_mode_sel\[21\] -*2234 mprj_io_ib_mode_sel\[22\] -*2235 mprj_io_ib_mode_sel\[23\] -*2236 mprj_io_ib_mode_sel\[24\] -*2237 mprj_io_ib_mode_sel\[25\] -*2238 mprj_io_ib_mode_sel\[26\] -*2239 mprj_io_ib_mode_sel\[27\] -*2240 mprj_io_ib_mode_sel\[28\] -*2241 mprj_io_ib_mode_sel\[29\] -*2242 mprj_io_ib_mode_sel\[2\] -*2243 mprj_io_ib_mode_sel\[30\] -*2244 mprj_io_ib_mode_sel\[31\] -*2245 mprj_io_ib_mode_sel\[32\] -*2246 mprj_io_ib_mode_sel\[33\] -*2247 mprj_io_ib_mode_sel\[34\] -*2248 mprj_io_ib_mode_sel\[35\] -*2249 mprj_io_ib_mode_sel\[36\] -*2250 mprj_io_ib_mode_sel\[37\] -*2251 mprj_io_ib_mode_sel\[3\] -*2252 mprj_io_ib_mode_sel\[4\] -*2253 mprj_io_ib_mode_sel\[5\] -*2254 mprj_io_ib_mode_sel\[6\] -*2255 mprj_io_ib_mode_sel\[7\] -*2256 mprj_io_ib_mode_sel\[8\] -*2257 mprj_io_ib_mode_sel\[9\] -*2258 mprj_io_in\[0\] -*2259 mprj_io_in\[10\] -*2260 mprj_io_in\[11\] -*2261 mprj_io_in\[12\] -*2262 mprj_io_in\[13\] -*2263 mprj_io_in\[14\] -*2264 mprj_io_in\[15\] -*2265 mprj_io_in\[16\] -*2266 mprj_io_in\[17\] -*2267 mprj_io_in\[18\] -*2268 mprj_io_in\[19\] -*2269 mprj_io_in\[1\] -*2270 mprj_io_in\[20\] -*2271 mprj_io_in\[21\] -*2272 mprj_io_in\[22\] -*2273 mprj_io_in\[23\] -*2274 mprj_io_in\[24\] -*2275 mprj_io_in\[25\] -*2276 mprj_io_in\[26\] -*2277 mprj_io_in\[27\] -*2278 mprj_io_in\[28\] -*2279 mprj_io_in\[29\] -*2280 mprj_io_in\[2\] -*2281 mprj_io_in\[30\] -*2282 mprj_io_in\[31\] -*2283 mprj_io_in\[32\] -*2284 mprj_io_in\[33\] -*2285 mprj_io_in\[34\] -*2286 mprj_io_in\[35\] -*2287 mprj_io_in\[36\] -*2288 mprj_io_in\[37\] -*2289 mprj_io_in\[3\] -*2290 mprj_io_in\[4\] -*2291 mprj_io_in\[5\] -*2292 mprj_io_in\[6\] -*2293 mprj_io_in\[7\] -*2294 mprj_io_in\[8\] -*2295 mprj_io_in\[9\] -*2296 mprj_io_inp_dis\[0\] -*2297 mprj_io_inp_dis\[10\] -*2298 mprj_io_inp_dis\[11\] -*2299 mprj_io_inp_dis\[12\] -*2300 mprj_io_inp_dis\[13\] -*2301 mprj_io_inp_dis\[14\] -*2302 mprj_io_inp_dis\[15\] -*2303 mprj_io_inp_dis\[16\] -*2304 mprj_io_inp_dis\[17\] -*2305 mprj_io_inp_dis\[18\] -*2306 mprj_io_inp_dis\[19\] -*2307 mprj_io_inp_dis\[1\] -*2308 mprj_io_inp_dis\[20\] -*2309 mprj_io_inp_dis\[21\] -*2310 mprj_io_inp_dis\[22\] -*2311 mprj_io_inp_dis\[23\] -*2312 mprj_io_inp_dis\[24\] -*2313 mprj_io_inp_dis\[25\] -*2314 mprj_io_inp_dis\[26\] -*2315 mprj_io_inp_dis\[27\] -*2316 mprj_io_inp_dis\[28\] -*2317 mprj_io_inp_dis\[29\] -*2318 mprj_io_inp_dis\[2\] -*2319 mprj_io_inp_dis\[30\] -*2320 mprj_io_inp_dis\[31\] -*2321 mprj_io_inp_dis\[32\] -*2322 mprj_io_inp_dis\[33\] -*2323 mprj_io_inp_dis\[34\] -*2324 mprj_io_inp_dis\[35\] -*2325 mprj_io_inp_dis\[36\] -*2326 mprj_io_inp_dis\[37\] -*2327 mprj_io_inp_dis\[3\] -*2328 mprj_io_inp_dis\[4\] -*2329 mprj_io_inp_dis\[5\] -*2330 mprj_io_inp_dis\[6\] -*2331 mprj_io_inp_dis\[7\] -*2332 mprj_io_inp_dis\[8\] -*2333 mprj_io_inp_dis\[9\] -*2334 mprj_io_oeb\[0\] -*2335 mprj_io_oeb\[10\] -*2336 mprj_io_oeb\[11\] -*2337 mprj_io_oeb\[12\] -*2338 mprj_io_oeb\[13\] -*2339 mprj_io_oeb\[14\] -*2340 mprj_io_oeb\[15\] -*2341 mprj_io_oeb\[16\] -*2342 mprj_io_oeb\[17\] -*2343 mprj_io_oeb\[18\] -*2344 mprj_io_oeb\[19\] -*2345 mprj_io_oeb\[1\] -*2346 mprj_io_oeb\[20\] -*2347 mprj_io_oeb\[21\] -*2348 mprj_io_oeb\[22\] -*2349 mprj_io_oeb\[23\] -*2350 mprj_io_oeb\[24\] -*2351 mprj_io_oeb\[25\] -*2352 mprj_io_oeb\[26\] -*2353 mprj_io_oeb\[27\] -*2354 mprj_io_oeb\[28\] -*2355 mprj_io_oeb\[29\] -*2356 mprj_io_oeb\[2\] -*2357 mprj_io_oeb\[30\] -*2358 mprj_io_oeb\[31\] -*2359 mprj_io_oeb\[32\] -*2360 mprj_io_oeb\[33\] -*2361 mprj_io_oeb\[34\] -*2362 mprj_io_oeb\[35\] -*2363 mprj_io_oeb\[36\] -*2364 mprj_io_oeb\[37\] -*2365 mprj_io_oeb\[3\] -*2366 mprj_io_oeb\[4\] -*2367 mprj_io_oeb\[5\] -*2368 mprj_io_oeb\[6\] -*2369 mprj_io_oeb\[7\] -*2370 mprj_io_oeb\[8\] -*2371 mprj_io_oeb\[9\] -*2372 mprj_io_out\[0\] -*2373 mprj_io_out\[10\] -*2374 mprj_io_out\[11\] -*2375 mprj_io_out\[12\] -*2376 mprj_io_out\[13\] -*2377 mprj_io_out\[14\] -*2378 mprj_io_out\[15\] -*2379 mprj_io_out\[16\] -*2380 mprj_io_out\[17\] -*2381 mprj_io_out\[18\] -*2382 mprj_io_out\[19\] -*2383 mprj_io_out\[1\] -*2384 mprj_io_out\[20\] -*2385 mprj_io_out\[21\] -*2386 mprj_io_out\[22\] -*2387 mprj_io_out\[23\] -*2388 mprj_io_out\[24\] -*2389 mprj_io_out\[25\] -*2390 mprj_io_out\[26\] -*2391 mprj_io_out\[27\] -*2392 mprj_io_out\[28\] -*2393 mprj_io_out\[29\] -*2394 mprj_io_out\[2\] -*2395 mprj_io_out\[30\] -*2396 mprj_io_out\[31\] -*2397 mprj_io_out\[32\] -*2398 mprj_io_out\[33\] -*2399 mprj_io_out\[34\] -*2400 mprj_io_out\[35\] -*2401 mprj_io_out\[36\] -*2402 mprj_io_out\[37\] -*2403 mprj_io_out\[3\] -*2404 mprj_io_out\[4\] -*2405 mprj_io_out\[5\] -*2406 mprj_io_out\[6\] -*2407 mprj_io_out\[7\] -*2408 mprj_io_out\[8\] -*2409 mprj_io_out\[9\] -*2410 mprj_io_slow_sel\[0\] -*2411 mprj_io_slow_sel\[10\] -*2412 mprj_io_slow_sel\[11\] -*2413 mprj_io_slow_sel\[12\] -*2414 mprj_io_slow_sel\[13\] -*2415 mprj_io_slow_sel\[14\] -*2416 mprj_io_slow_sel\[15\] -*2417 mprj_io_slow_sel\[16\] -*2418 mprj_io_slow_sel\[17\] -*2419 mprj_io_slow_sel\[18\] -*2420 mprj_io_slow_sel\[19\] -*2421 mprj_io_slow_sel\[1\] -*2422 mprj_io_slow_sel\[20\] -*2423 mprj_io_slow_sel\[21\] -*2424 mprj_io_slow_sel\[22\] -*2425 mprj_io_slow_sel\[23\] -*2426 mprj_io_slow_sel\[24\] -*2427 mprj_io_slow_sel\[25\] -*2428 mprj_io_slow_sel\[26\] -*2429 mprj_io_slow_sel\[27\] -*2430 mprj_io_slow_sel\[28\] -*2431 mprj_io_slow_sel\[29\] -*2432 mprj_io_slow_sel\[2\] -*2433 mprj_io_slow_sel\[30\] -*2434 mprj_io_slow_sel\[31\] -*2435 mprj_io_slow_sel\[32\] -*2436 mprj_io_slow_sel\[33\] -*2437 mprj_io_slow_sel\[34\] -*2438 mprj_io_slow_sel\[35\] -*2439 mprj_io_slow_sel\[36\] -*2440 mprj_io_slow_sel\[37\] -*2441 mprj_io_slow_sel\[3\] -*2442 mprj_io_slow_sel\[4\] -*2443 mprj_io_slow_sel\[5\] -*2444 mprj_io_slow_sel\[6\] -*2445 mprj_io_slow_sel\[7\] -*2446 mprj_io_slow_sel\[8\] -*2447 mprj_io_slow_sel\[9\] -*2448 mprj_io_vtrip_sel\[0\] -*2449 mprj_io_vtrip_sel\[10\] -*2450 mprj_io_vtrip_sel\[11\] -*2451 mprj_io_vtrip_sel\[12\] -*2452 mprj_io_vtrip_sel\[13\] -*2453 mprj_io_vtrip_sel\[14\] -*2454 mprj_io_vtrip_sel\[15\] -*2455 mprj_io_vtrip_sel\[16\] -*2456 mprj_io_vtrip_sel\[17\] -*2457 mprj_io_vtrip_sel\[18\] -*2458 mprj_io_vtrip_sel\[19\] -*2459 mprj_io_vtrip_sel\[1\] -*2460 mprj_io_vtrip_sel\[20\] -*2461 mprj_io_vtrip_sel\[21\] -*2462 mprj_io_vtrip_sel\[22\] -*2463 mprj_io_vtrip_sel\[23\] -*2464 mprj_io_vtrip_sel\[24\] -*2465 mprj_io_vtrip_sel\[25\] -*2466 mprj_io_vtrip_sel\[26\] -*2467 mprj_io_vtrip_sel\[27\] -*2468 mprj_io_vtrip_sel\[28\] -*2469 mprj_io_vtrip_sel\[29\] -*2470 mprj_io_vtrip_sel\[2\] -*2471 mprj_io_vtrip_sel\[30\] -*2472 mprj_io_vtrip_sel\[31\] -*2473 mprj_io_vtrip_sel\[32\] -*2474 mprj_io_vtrip_sel\[33\] -*2475 mprj_io_vtrip_sel\[34\] -*2476 mprj_io_vtrip_sel\[35\] -*2477 mprj_io_vtrip_sel\[36\] -*2478 mprj_io_vtrip_sel\[37\] -*2479 mprj_io_vtrip_sel\[3\] -*2480 mprj_io_vtrip_sel\[4\] -*2481 mprj_io_vtrip_sel\[5\] -*2482 mprj_io_vtrip_sel\[6\] -*2483 mprj_io_vtrip_sel\[7\] -*2484 mprj_io_vtrip_sel\[8\] -*2485 mprj_io_vtrip_sel\[9\] -*2486 mprj_reset -*2487 mprj_sel_o_core\[0\] -*2488 mprj_sel_o_core\[1\] -*2489 mprj_sel_o_core\[2\] -*2490 mprj_sel_o_core\[3\] -*2491 mprj_sel_o_user\[0\] -*2492 mprj_sel_o_user\[1\] -*2493 mprj_sel_o_user\[2\] -*2494 mprj_sel_o_user\[3\] -*2495 mprj_stb_o_core -*2496 mprj_stb_o_user -*2497 mprj_vcc_pwrgood -*2498 mprj_vdd_pwrgood -*2499 mprj_we_o_core -*2500 mprj_we_o_user -*2501 one_loop1\[10\] -*2502 one_loop1\[11\] -*2503 one_loop1\[12\] -*2504 one_loop1\[13\] -*2505 one_loop1\[14\] -*2506 one_loop1\[15\] -*2507 one_loop1\[16\] -*2508 one_loop1\[17\] -*2509 one_loop1\[18\] -*2510 one_loop1\[2\] -*2511 one_loop1\[3\] -*2512 one_loop1\[4\] -*2513 one_loop1\[5\] -*2514 one_loop1\[6\] -*2515 one_loop1\[7\] -*2516 one_loop1\[8\] -*2517 one_loop1\[9\] -*2518 one_loop2\[0\] -*2519 one_loop2\[10\] -*2520 one_loop2\[11\] -*2521 one_loop2\[12\] -*2522 one_loop2\[13\] -*2523 one_loop2\[14\] -*2524 one_loop2\[15\] -*2525 one_loop2\[1\] -*2526 one_loop2\[2\] -*2527 one_loop2\[3\] -*2528 one_loop2\[4\] -*2529 one_loop2\[5\] -*2530 one_loop2\[6\] -*2531 one_loop2\[7\] -*2532 one_loop2\[8\] -*2533 one_loop2\[9\] -*2534 pll_clk -*2535 pll_clk90 -*2536 por_l -*2537 porb_h -*2538 porb_l -*2539 qspi_enabled -*2540 rstb_h -*2541 ser_rx -*2542 ser_tx -*2543 spi_csb -*2544 spi_enabled -*2545 spi_pll90_sel\[0\] -*2546 spi_pll90_sel\[1\] -*2547 spi_pll90_sel\[2\] -*2548 spi_pll_dco_ena -*2549 spi_pll_div\[0\] -*2550 spi_pll_div\[1\] -*2551 spi_pll_div\[2\] -*2552 spi_pll_div\[3\] -*2553 spi_pll_div\[4\] -*2554 spi_pll_ena -*2555 spi_pll_sel\[0\] -*2556 spi_pll_sel\[1\] -*2557 spi_pll_sel\[2\] -*2558 spi_pll_trim\[0\] -*2559 spi_pll_trim\[10\] -*2560 spi_pll_trim\[11\] -*2561 spi_pll_trim\[12\] -*2562 spi_pll_trim\[13\] -*2563 spi_pll_trim\[14\] -*2564 spi_pll_trim\[15\] -*2565 spi_pll_trim\[16\] -*2566 spi_pll_trim\[17\] -*2567 spi_pll_trim\[18\] -*2568 spi_pll_trim\[19\] -*2569 spi_pll_trim\[1\] -*2570 spi_pll_trim\[20\] -*2571 spi_pll_trim\[21\] -*2572 spi_pll_trim\[22\] -*2573 spi_pll_trim\[23\] -*2574 spi_pll_trim\[24\] -*2575 spi_pll_trim\[25\] -*2576 spi_pll_trim\[2\] -*2577 spi_pll_trim\[3\] -*2578 spi_pll_trim\[4\] -*2579 spi_pll_trim\[5\] -*2580 spi_pll_trim\[6\] -*2581 spi_pll_trim\[7\] -*2582 spi_pll_trim\[8\] -*2583 spi_pll_trim\[9\] -*2584 spi_sck -*2585 spi_sdi -*2586 spi_sdo -*2587 spi_sdoenb -*2588 trap -*2589 uart_enabled -*2590 user_analog_io\[0\] -*2591 user_analog_io\[10\] -*2592 user_analog_io\[11\] -*2593 user_analog_io\[12\] -*2594 user_analog_io\[13\] -*2595 user_analog_io\[14\] -*2596 user_analog_io\[15\] -*2597 user_analog_io\[16\] -*2598 user_analog_io\[17\] -*2599 user_analog_io\[18\] -*2600 user_analog_io\[19\] -*2601 user_analog_io\[1\] -*2602 user_analog_io\[20\] -*2603 user_analog_io\[21\] -*2604 user_analog_io\[22\] -*2605 user_analog_io\[23\] -*2606 user_analog_io\[24\] -*2607 user_analog_io\[25\] -*2608 user_analog_io\[26\] -*2609 user_analog_io\[27\] -*2610 user_analog_io\[28\] -*2611 user_analog_io\[2\] -*2612 user_analog_io\[3\] -*2613 user_analog_io\[4\] -*2614 user_analog_io\[5\] -*2615 user_analog_io\[6\] -*2616 user_analog_io\[7\] -*2617 user_analog_io\[8\] -*2618 user_analog_io\[9\] -*2619 user_io_in\[0\] -*2620 user_io_in\[10\] -*2621 user_io_in\[11\] -*2622 user_io_in\[12\] -*2623 user_io_in\[13\] -*2624 user_io_in\[14\] -*2625 user_io_in\[15\] -*2626 user_io_in\[16\] -*2627 user_io_in\[17\] -*2628 user_io_in\[18\] -*2629 user_io_in\[19\] -*2630 user_io_in\[1\] -*2631 user_io_in\[20\] -*2632 user_io_in\[21\] -*2633 user_io_in\[22\] -*2634 user_io_in\[23\] -*2635 user_io_in\[24\] -*2636 user_io_in\[25\] -*2637 user_io_in\[26\] -*2638 user_io_in\[27\] -*2639 user_io_in\[28\] -*2640 user_io_in\[29\] -*2641 user_io_in\[2\] -*2642 user_io_in\[30\] -*2643 user_io_in\[31\] -*2644 user_io_in\[32\] -*2645 user_io_in\[33\] -*2646 user_io_in\[34\] -*2647 user_io_in\[35\] -*2648 user_io_in\[36\] -*2649 user_io_in\[37\] -*2650 user_io_in\[3\] -*2651 user_io_in\[4\] -*2652 user_io_in\[5\] -*2653 user_io_in\[6\] -*2654 user_io_in\[7\] -*2655 user_io_in\[8\] -*2656 user_io_in\[9\] -*2657 user_io_oeb\[0\] -*2658 user_io_oeb\[10\] -*2659 user_io_oeb\[11\] -*2660 user_io_oeb\[12\] -*2661 user_io_oeb\[13\] -*2662 user_io_oeb\[14\] -*2663 user_io_oeb\[15\] -*2664 user_io_oeb\[16\] -*2665 user_io_oeb\[17\] -*2666 user_io_oeb\[18\] -*2667 user_io_oeb\[19\] -*2668 user_io_oeb\[1\] -*2669 user_io_oeb\[20\] -*2670 user_io_oeb\[21\] -*2671 user_io_oeb\[22\] -*2672 user_io_oeb\[23\] -*2673 user_io_oeb\[24\] -*2674 user_io_oeb\[25\] -*2675 user_io_oeb\[26\] -*2676 user_io_oeb\[27\] -*2677 user_io_oeb\[28\] -*2678 user_io_oeb\[29\] -*2679 user_io_oeb\[2\] -*2680 user_io_oeb\[30\] -*2681 user_io_oeb\[31\] -*2682 user_io_oeb\[32\] -*2683 user_io_oeb\[33\] -*2684 user_io_oeb\[34\] -*2685 user_io_oeb\[35\] -*2686 user_io_oeb\[36\] -*2687 user_io_oeb\[37\] -*2688 user_io_oeb\[3\] -*2689 user_io_oeb\[4\] -*2690 user_io_oeb\[5\] -*2691 user_io_oeb\[6\] -*2692 user_io_oeb\[7\] -*2693 user_io_oeb\[8\] -*2694 user_io_oeb\[9\] -*2695 user_io_out\[0\] -*2696 user_io_out\[10\] -*2697 user_io_out\[11\] -*2698 user_io_out\[12\] -*2699 user_io_out\[13\] -*2700 user_io_out\[14\] -*2701 user_io_out\[15\] -*2702 user_io_out\[16\] -*2703 user_io_out\[17\] -*2704 user_io_out\[18\] -*2705 user_io_out\[19\] -*2706 user_io_out\[1\] -*2707 user_io_out\[20\] -*2708 user_io_out\[21\] -*2709 user_io_out\[22\] -*2710 user_io_out\[23\] -*2711 user_io_out\[24\] -*2712 user_io_out\[25\] -*2713 user_io_out\[26\] -*2714 user_io_out\[27\] -*2715 user_io_out\[28\] -*2716 user_io_out\[29\] -*2717 user_io_out\[2\] -*2718 user_io_out\[30\] -*2719 user_io_out\[31\] -*2720 user_io_out\[32\] -*2721 user_io_out\[33\] -*2722 user_io_out\[34\] -*2723 user_io_out\[35\] -*2724 user_io_out\[36\] -*2725 user_io_out\[37\] -*2726 user_io_out\[3\] -*2727 user_io_out\[4\] -*2728 user_io_out\[5\] -*2729 user_io_out\[6\] -*2730 user_io_out\[7\] -*2731 user_io_out\[8\] -*2732 user_io_out\[9\] -*2733 user_irq\[0\] -*2734 user_irq\[1\] -*2735 user_irq\[2\] -*2736 user_irq_core\[0\] -*2737 user_irq_core\[1\] -*2738 user_irq_core\[2\] -*2739 user_irq_ena\[0\] -*2740 user_irq_ena\[1\] -*2741 user_irq_ena\[2\] -*2742 clocking -*2743 gpio_01_defaults\[0\] -*2744 gpio_01_defaults\[1\] -*2745 gpio_10_defaults -*2746 gpio_11_defaults -*2747 gpio_12_defaults -*2748 gpio_13_defaults -*2749 gpio_14_defaults -*2750 gpio_15_defaults -*2751 gpio_16_defaults -*2752 gpio_17_defaults -*2753 gpio_18_defaults -*2754 gpio_19_defaults -*2755 gpio_20_defaults -*2756 gpio_21_defaults -*2757 gpio_22_defaults -*2758 gpio_234_defaults\[0\] -*2759 gpio_234_defaults\[1\] -*2760 gpio_234_defaults\[2\] -*2761 gpio_23_defaults -*2762 gpio_24_defaults -*2763 gpio_25_defaults -*2764 gpio_26_defaults -*2765 gpio_27_defaults -*2766 gpio_28_defaults -*2767 gpio_29_defaults -*2768 gpio_30_defaults -*2769 gpio_31_defaults -*2770 gpio_32_defaults -*2771 gpio_33_defaults -*2772 gpio_34_defaults -*2773 gpio_35_defaults -*2774 gpio_36_defaults -*2775 gpio_37_defaults -*2776 gpio_5_defaults -*2777 gpio_6_defaults -*2778 gpio_7_defaults -*2779 gpio_8_defaults -*2780 gpio_9_defaults -*2781 gpio_control_bidir_1\[0\] -*2782 gpio_control_bidir_1\[1\] -*2783 gpio_control_bidir_2\[0\] -*2784 gpio_control_bidir_2\[1\] -*2785 gpio_control_bidir_2\[2\] -*2786 gpio_control_in_1\[0\] -*2787 gpio_control_in_1\[10\] -*2788 gpio_control_in_1\[1\] -*2789 gpio_control_in_1\[2\] -*2790 gpio_control_in_1\[3\] -*2791 gpio_control_in_1\[4\] -*2792 gpio_control_in_1\[5\] -*2793 gpio_control_in_1\[6\] -*2794 gpio_control_in_1\[7\] -*2795 gpio_control_in_1\[8\] -*2796 gpio_control_in_1\[9\] -*2797 gpio_control_in_1a\[0\] -*2798 gpio_control_in_1a\[1\] -*2799 gpio_control_in_1a\[2\] -*2800 gpio_control_in_1a\[3\] -*2801 gpio_control_in_1a\[4\] -*2802 gpio_control_in_1a\[5\] -*2803 gpio_control_in_2\[0\] -*2804 gpio_control_in_2\[10\] -*2805 gpio_control_in_2\[11\] -*2806 gpio_control_in_2\[12\] -*2807 gpio_control_in_2\[13\] -*2808 gpio_control_in_2\[14\] -*2809 gpio_control_in_2\[15\] -*2810 gpio_control_in_2\[1\] -*2811 gpio_control_in_2\[2\] -*2812 gpio_control_in_2\[3\] -*2813 gpio_control_in_2\[4\] -*2814 gpio_control_in_2\[5\] -*2815 gpio_control_in_2\[6\] -*2816 gpio_control_in_2\[7\] -*2817 gpio_control_in_2\[8\] -*2818 gpio_control_in_2\[9\] -*2819 housekeeping -*2820 mgmt_buffers -*2821 mprj -*2822 padframe -*2823 pll -*2824 por -*2825 rstb_level -*2826 soc -*2827 user_id_value - -*PORTS -clock I -flash_clk O -flash_csb O -flash_io0 O -flash_io1 O -gpio I -mprj_io[0] I -mprj_io[10] I -mprj_io[11] I -mprj_io[12] I -mprj_io[13] I -mprj_io[14] I -mprj_io[15] I -mprj_io[16] I -mprj_io[17] I -mprj_io[18] I -mprj_io[19] I -mprj_io[1] I -mprj_io[20] I -mprj_io[21] I -mprj_io[22] I -mprj_io[23] I -mprj_io[24] I -mprj_io[25] I -mprj_io[26] I -mprj_io[27] I -mprj_io[28] I -mprj_io[29] I -mprj_io[2] I -mprj_io[30] I -mprj_io[31] I -mprj_io[32] I -mprj_io[33] I -mprj_io[34] I -mprj_io[35] I -mprj_io[36] I -mprj_io[37] I -mprj_io[3] I -mprj_io[4] I -mprj_io[5] I -mprj_io[6] I -mprj_io[7] I -mprj_io[8] I -mprj_io[9] I -resetb I -vccd I -vccd1 I -vccd2 I -vdda I -vdda1 I -vdda1_2 I -vdda2 I -vddio I -vddio_2 I -vssa I -vssa1 I -vssa1_2 I -vssa2 I -vssd I -vssd1 I -vssd2 I -vssio I -vssio_2 I - -*D_NET *64 0.482551 -*CONN -*I *2819:wb_clk_i I *D housekeeping -*I *2826:core_clk I *D mgmt_core_wrapper -*I *2820:caravel_clk I *D mgmt_protect -*I *2742:core_clk O *D caravel_clocking -*CAP -1 *2819:wb_clk_i 0.00111123 -2 *2826:core_clk 0.000491966 -3 *2820:caravel_clk 0.00152904 -4 *2742:core_clk 0.00165085 -5 *64:51 0.00679804 -6 *64:50 0.00568681 -7 *64:48 0.0639707 -8 *64:47 0.0640219 -9 *64:34 0.028997 -10 *64:22 0.0301821 -11 *64:21 0.0286531 -12 *64:19 0.0246011 -13 *64:18 0.0246011 -14 *64:16 0.0348273 -15 *64:15 0.0633835 -16 *64:13 0.0056941 -17 *64:12 0.00585348 -18 *64:7 0.00181023 -19 *2819:wb_clk_i *2823:ext_trim[19] 0.000269383 -20 *2819:wb_clk_i *66:17 0 -21 *2819:wb_clk_i *72:12 0.000315556 -22 *2819:wb_clk_i *2571:15 0.000266632 -23 *2819:wb_clk_i *2575:12 1.65872e-05 -24 *64:19 *65:13 0.0712891 -25 *64:48 *89:40 0 -26 *64:51 *2819:mask_rev_in[0] 0 -27 *64:51 *72:13 0.0165299 -28 *64:51 *1698:11 0 -*RES -1 *2742:core_clk *64:7 46.8624 -2 *64:7 *64:12 13.051 -3 *64:12 *64:13 160.458 -4 *64:13 *64:15 4.5 -5 *64:15 *64:16 975.162 -6 *64:16 *64:18 4.5 -7 *64:18 *64:19 1138.38 -8 *64:19 *64:21 4.5 -9 *64:21 *64:22 801.016 -10 *64:22 *2820:caravel_clk 13.9709 -11 *64:15 *64:34 799.907 -12 *64:34 *2826:core_clk 16.8965 -13 *2826:core_clk *64:47 6.90602 -14 *64:47 *64:48 1784.88 -15 *64:48 *64:50 4.5 -16 *64:50 *64:51 263.856 -17 *64:51 *2819:wb_clk_i 42.4729 -*END - -*D_NET *65 0.312957 -*CONN -*I *2820:caravel_clk2 I *D mgmt_protect -*I *2742:user_clk O *D caravel_clocking -*CAP -1 *2820:caravel_clk2 0.00202606 -2 *2742:user_clk 9.19267e-05 -3 *65:16 0.0306479 -4 *65:15 0.0286218 -5 *65:13 0.011523 -6 *65:12 0.011523 -7 *65:10 0.0351239 -8 *65:9 0.0351239 -9 *65:7 0.00547488 -10 *65:5 0.00556681 -11 *65:10 *2540:29 0 -12 *65:13 *66:39 0.0759447 -13 *64:19 *65:13 0.0712891 -*RES -1 *2742:user_clk *65:5 2.61365 -2 *65:5 *65:7 155.268 -3 *65:7 *65:9 4.5 -4 *65:9 *65:10 983.481 -5 *65:10 *65:12 4.5 -6 *65:12 *65:13 1238.45 -7 *65:13 *65:15 4.5 -8 *65:15 *65:16 800.462 -9 *65:16 *2820:caravel_clk2 28.0894 -*END - -*D_NET *66 0.492265 -*CONN -*I *2826:core_rstn I *D mgmt_core_wrapper -*I *2820:caravel_rstn I *D mgmt_protect -*I *2819:wb_rstn_i I *D housekeeping -*I *2742:resetb_sync O *D caravel_clocking -*CAP -1 *2826:core_rstn 0.000958397 -2 *2820:caravel_rstn 0.00192382 -3 *2819:wb_rstn_i 1.28869e-05 -4 *2742:resetb_sync 9.19267e-05 -5 *66:42 0.0305374 -6 *66:41 0.0286136 -7 *66:39 0.0286096 -8 *66:38 0.0286096 -9 *66:36 0.0208729 -10 *66:35 0.0214045 -11 *66:28 0.0159261 -12 *66:27 0.0147799 -13 *66:17 0.00837873 -14 *66:16 0.00836584 -15 *66:14 0.0915932 -16 *66:13 0.0915932 -17 *66:11 0.00333841 -18 *66:9 0.0030204 -19 *66:7 0.00338883 -20 *66:5 0.00345498 -21 *66:17 *2819:mask_rev_in[0] 0 -22 *66:17 *2823:ext_trim[19] 8.39733e-05 -23 *66:17 *72:13 0.00855593 -24 *66:17 *2571:15 0.00108295 -25 *66:28 *615:10 0.00112301 -26 *66:39 *1289:7 0 -27 *2819:wb_clk_i *66:17 0 -28 *65:13 *66:39 0.0759447 -*RES -1 *2742:resetb_sync *66:5 2.61365 -2 *66:5 *66:7 95.7034 -3 *66:7 *66:9 0.732798 -4 *66:9 *66:11 84.2351 -5 *66:11 *66:13 4.5 -6 *66:13 *66:14 2559.66 -7 *66:14 *66:16 4.5 -8 *66:16 *66:17 324.691 -9 *66:17 *2819:wb_rstn_i 0.366399 -10 *66:11 *66:27 13.8065 -11 *66:27 *66:28 408.912 -12 *66:28 *66:35 23.3302 -13 *66:35 *66:36 584.721 -14 *66:36 *66:38 4.5 -15 *66:38 *66:39 1280.39 -16 *66:39 *66:41 4.5 -17 *66:41 *66:42 799.907 -18 *66:42 *2820:caravel_rstn 25.1827 -19 *66:28 *2826:core_rstn 31.5769 -*END - -*D_NET *67 0.39113 -*CONN -*I *2823:osc I *D digital_pll -*I *2742:ext_clk I *D caravel_clocking -*I *2822:clock_core O *D chip_io -*CAP -1 *2823:osc 1.28869e-05 -2 *2742:ext_clk 5.24068e-05 -3 *2822:clock_core 0.00416778 -4 *67:32 0.00766076 -5 *67:31 0.00764787 -6 *67:29 0.0535197 -7 *67:28 0.0548302 -8 *67:15 0.00517568 -9 *67:14 0.00512327 -10 *67:12 0.00547826 -11 *67:12 *2822:por 0.00157356 -12 *67:12 *2536:13 0.0018772 -13 *67:12 *2540:12 0.00251539 -14 *67:28 *2536:13 0.00178738 -15 *67:29 *72:16 0.234296 -16 *67:29 *614:22 0.00541073 -*RES -1 *2822:clock_core *67:12 24.1758 -2 *67:12 *67:14 3.36879 -3 *67:14 *67:15 145.509 -4 *67:15 *2742:ext_clk 1.49002 -5 *67:12 *67:28 36.2611 -6 *67:28 *67:29 2573.53 -7 *67:29 *67:31 4.5 -8 *67:31 *67:32 216.725 -9 *67:32 *2823:osc 0.366399 -*END - -*D_NET *68 0.0469299 -*CONN -*I *2826:debug_in I *D mgmt_core_wrapper -*I *2819:debug_in O *D housekeeping -*CAP -1 *2826:debug_in 0.00133687 -2 *2819:debug_in 0.00187269 -3 *68:14 0.00305275 -4 *68:13 0.00171588 -5 *68:11 0.000726185 -6 *68:10 0.00259887 -7 *68:10 *2819:debug_mode 0 -8 *68:10 *2819:sram_ro_data[30] 7.77309e-06 -9 *68:10 *2819:sram_ro_data[31] 0.000476542 -10 *68:11 *765:11 0.0105245 -11 *68:11 *766:11 0.0105753 -12 *68:14 *747:8 0.00061804 -13 *68:14 *766:8 0.00167161 -14 *68:14 *772:8 0.000222466 -15 *68:14 *775:14 0.00531892 -16 *68:14 *776:14 0.00594041 -17 *68:14 *2588:8 0.000271132 -*RES -1 *2819:debug_in *68:10 28.1987 -2 *68:10 *68:11 111.644 -3 *68:11 *68:13 4.5 -4 *68:13 *68:14 142.395 -5 *68:14 *2826:debug_in 7.71542 -*END - -*D_NET *69 0.0413101 -*CONN -*I *2819:debug_mode I *D housekeeping -*I *2826:debug_mode O *D mgmt_core_wrapper -*CAP -1 *2819:debug_mode 0.0015371 -2 *2826:debug_mode 0.0011771 -3 *69:11 0.00400971 -4 *69:10 0.0024726 -5 *69:8 0.00175184 -6 *69:7 0.00292895 -7 *2819:debug_mode *2819:debug_oeb 0.000197799 -8 *2819:debug_mode *2819:debug_out 0 -9 *69:8 *756:8 0.00510871 -10 *69:8 *759:8 0 -11 *69:8 *761:8 1.2693e-05 -12 *69:8 *762:8 0.00716285 -13 *69:8 *765:8 0.000340696 -14 *69:8 *766:8 0 -15 *69:8 *775:14 0 -16 *69:8 *2541:14 0.00243661 -17 *69:8 *2543:8 0.000557824 -18 *69:8 *2589:8 0.000734568 -19 *69:11 *70:11 0.0108811 -20 *68:10 *2819:debug_mode 0 -*RES -1 *2826:debug_mode *69:7 7.25648 -2 *69:7 *69:8 150.7 -3 *69:8 *69:10 4.5 -4 *69:10 *69:11 114.417 -5 *69:11 *2819:debug_mode 16.6482 -*END - -*D_NET *70 0.0478413 -*CONN -*I *2819:debug_oeb I *D housekeeping -*I *2826:debug_oeb O *D mgmt_core_wrapper -*CAP -1 *2819:debug_oeb 0.00176348 -2 *2826:debug_oeb 0.000756037 -3 *70:11 0.00275559 -4 *70:10 0.000992112 -5 *70:8 0.00185835 -6 *70:7 0.00261438 -7 *2819:debug_oeb *2819:debug_out 0 -8 *70:8 *71:8 0.00813732 -9 *70:8 *763:8 0.00660921 -10 *70:8 *2539:8 0 -11 *70:8 *2542:8 0 -12 *70:11 *71:11 0.0112759 -13 *2819:debug_mode *2819:debug_oeb 0.000197799 -14 *69:11 *70:11 0.0108811 -*RES -1 *2826:debug_oeb *70:7 6.26211 -2 *70:7 *70:8 141.149 -3 *70:8 *70:10 4.5 -4 *70:10 *70:11 122.182 -5 *70:11 *2819:debug_oeb 23.631 -*END - -*D_NET *71 0.0365292 -*CONN -*I *2819:debug_out I *D housekeeping -*I *2826:debug_out O *D mgmt_core_wrapper -*CAP -1 *2819:debug_out 0.00220485 -2 *2826:debug_out 0.000746434 -3 *71:11 0.0048422 -4 *71:10 0.00263734 -5 *71:8 0.00296937 -6 *71:7 0.0037158 -7 *2819:debug_out *2819:trap 0 -8 *2819:debug_mode *2819:debug_out 0 -9 *2819:debug_oeb *2819:debug_out 0 -10 *70:8 *71:8 0.00813732 -11 *70:11 *71:11 0.0112759 -*RES -1 *2826:debug_out *71:7 6.18562 -2 *71:7 *71:8 131.598 -3 *71:8 *71:10 4.5 -4 *71:10 *71:11 119.409 -5 *71:11 *2819:debug_out 30.3187 -*END - -*D_NET *72 0.505087 -*CONN -*I *2742:ext_clk_sel I *D caravel_clocking -*I *2819:pll_bypass O *D housekeeping -*CAP -1 *2742:ext_clk_sel 0.00172964 -2 *2819:pll_bypass 0.00114928 -3 *72:19 0.0102274 -4 *72:18 0.00849775 -5 *72:16 0.0188664 -6 *72:15 0.0188664 -7 *72:13 0.00409011 -8 *72:12 0.00523939 -9 *72:12 *2823:ext_trim[18] 0 -10 *72:12 *2571:15 0.000169038 -11 *72:12 *2575:9 0 -12 *72:12 *2575:12 0.000680863 -13 *72:13 *2571:15 0.00107828 -14 *72:16 *79:14 0.034528 -15 *72:16 *87:10 0.000286628 -16 *72:16 *614:22 0.13998 -17 *2819:wb_clk_i *72:12 0.000315556 -18 *64:51 *72:13 0.0165299 -19 *66:17 *72:13 0.00855593 -20 *67:29 *72:16 0.234296 -*RES -1 *2819:pll_bypass *72:12 45.3852 -2 *72:12 *72:13 305.797 -3 *72:13 *72:15 4.5 -4 *72:15 *72:16 2469.82 -5 *72:16 *72:18 4.5 -6 *72:18 *72:19 238.941 -7 *72:19 *2742:ext_clk_sel 40.4387 -*END - -*D_NET *73 0.442554 -*CONN -*I *2742:ext_reset I *D caravel_clocking -*I *2819:reset O *D housekeeping -*CAP -1 *2742:ext_reset 0.00123118 -2 *2819:reset 0.0010976 -3 *73:19 0.00489953 -4 *73:18 0.00366835 -5 *73:16 0.0290504 -6 *73:15 0.0290504 -7 *73:13 0.00997063 -8 *73:12 0.0103871 -9 *73:9 0.00151403 -10 *73:9 *2819:porb 0.00163502 -11 *73:13 *92:15 0.00228651 -12 *73:16 *2822:flash_csb_oeb_core 0.000160605 -13 *73:16 *76:21 0.000211073 -14 *73:16 *80:8 0.116493 -15 *73:16 *636:22 0.000116613 -16 *73:16 *2555:16 0.220445 -17 *73:19 *2547:19 0.0103383 -18 *73:19 *2555:19 0 -*RES -1 *2819:reset *73:9 45.5489 -2 *73:9 *73:12 15.7609 -3 *73:12 *73:13 304.136 -4 *73:13 *73:15 4.5 -5 *73:15 *73:16 2303.44 -6 *73:16 *73:18 4.5 -7 *73:18 *73:19 166.479 -8 *73:19 *2742:ext_reset 7.79191 -*END - -*D_NET *74 0.0206749 -*CONN -*I *2819:spimemio_flash_clk I *D housekeeping -*I *2826:flash_clk O *D mgmt_core_wrapper -*CAP -1 *2819:spimemio_flash_clk 0.00181018 -2 *2826:flash_clk 0.00144648 -3 *74:11 0.00394601 -4 *74:10 0.00358231 -5 *2819:spimemio_flash_clk *2819:spimemio_flash_csb 0 -6 *2819:spimemio_flash_clk *723:10 0 -7 *74:11 *77:11 0.00988993 -*RES -1 *2826:flash_clk *74:10 16.528 -2 *74:10 *74:11 103.325 -3 *74:11 *2819:spimemio_flash_clk 20.4291 -*END - -*D_NET *75 0.276892 -*CONN -*I *2822:flash_clk_core I *D chip_io -*I *2819:pad_flash_clk O *D housekeeping -*CAP -1 *2822:flash_clk_core 0.00275425 -2 *2819:pad_flash_clk 0.00111943 -3 *75:34 0.0122732 -4 *75:33 0.00951893 -5 *75:31 0.00219827 -6 *75:30 0.00219827 -7 *75:28 0.00364033 -8 *75:27 0.00364033 -9 *75:25 0.00279134 -10 *75:24 0.00279134 -11 *75:22 0.00177308 -12 *75:21 0.00177308 -13 *75:19 0.00329443 -14 *75:18 0.00329443 -15 *75:16 0.00455653 -16 *75:15 0.00585646 -17 *75:10 0.00241936 -18 *2822:flash_clk_core *78:22 0.00525294 -19 *75:10 *84:10 0.00112722 -20 *75:10 *85:10 0.00112301 -21 *75:19 *734:14 9.22013e-06 -22 *75:19 *735:14 0.00696917 -23 *75:19 *773:14 0.0006605 -24 *75:22 *2826:sram_ro_addr[7] 0.000490525 -25 *75:22 *739:22 0.000431115 -26 *75:25 *85:25 0.000114237 -27 *75:25 *92:35 0.00208066 -28 *75:28 *92:36 0.0168406 -29 *75:34 *2822:flash_io0_do_core 0.000622737 -30 *75:34 *2822:flash_io1_do_core 0.000593771 -31 *75:34 *78:22 0.109175 -32 *75:34 *84:28 0.0152952 -33 *75:34 *91:16 0.0502129 -*RES -1 *2819:pad_flash_clk *75:10 45.7373 -2 *75:10 *75:15 45.2979 -3 *75:15 *75:16 123.845 -4 *75:16 *75:18 4.5 -5 *75:18 *75:19 143.018 -6 *75:19 *75:21 4.5 -7 *75:21 *75:22 50.0831 -8 *75:22 *75:24 4.5 -9 *75:24 *75:25 92.7722 -10 *75:25 *75:27 4.5 -11 *75:27 *75:28 175.978 -12 *75:28 *75:30 4.5 -13 *75:30 *75:31 57.6834 -14 *75:31 *75:33 3.36879 -15 *75:33 *75:34 137.77 -16 *75:34 *2822:flash_clk_core 20.9948 -*END - -*D_NET *76 0.293575 -*CONN -*I *2822:flash_clk_oeb_core I *D chip_io -*I *2819:pad_flash_clk_oeb O *D housekeeping -*CAP -1 *2822:flash_clk_oeb_core 6.22868e-05 -2 *2819:pad_flash_clk_oeb 1.28869e-05 -3 *76:21 0.00132898 -4 *76:10 0.0178472 -5 *76:9 0.0165805 -6 *76:7 0.00731256 -7 *76:5 0.00732545 -8 *76:7 *78:9 0.000580031 -9 *76:7 *80:11 0.0190321 -10 *76:10 *79:14 0.138645 -11 *76:10 *87:10 0.0846367 -12 *73:16 *76:21 0.000211073 -*RES -1 *2819:pad_flash_clk_oeb *76:5 0.366399 -2 *76:5 *76:7 331.335 -3 *76:7 *76:9 4.5 -4 *76:9 *76:10 1448.79 -5 *76:10 *76:21 48.4521 -6 *76:21 *2822:flash_clk_oeb_core 1.77093 -*END - -*D_NET *77 0.0203546 -*CONN -*I *2819:spimemio_flash_csb I *D housekeeping -*I *2826:flash_csb O *D mgmt_core_wrapper -*CAP -1 *2819:spimemio_flash_csb 0.00146141 -2 *2826:flash_csb 0.00116512 -3 *77:11 0.00406721 -4 *77:10 0.00377092 -5 *2819:spimemio_flash_csb *81:10 0 -6 *2819:spimemio_flash_clk *2819:spimemio_flash_csb 0 -7 *74:11 *77:11 0.00988993 -*RES -1 *2826:flash_csb *77:10 16.8887 -2 *77:10 *77:11 116.081 -3 *77:11 *2819:spimemio_flash_csb 13.7414 -*END - -*D_NET *78 0.372263 -*CONN -*I *2822:flash_csb_core I *D chip_io -*I *2819:pad_flash_csb O *D housekeeping -*CAP -1 *2822:flash_csb_core 0.00270143 -2 *2819:pad_flash_csb 0.000870224 -3 *78:22 0.0154665 -4 *78:21 0.0127651 -5 *78:19 0.00527332 -6 *78:18 0.00527332 -7 *78:16 0.0026086 -8 *78:15 0.0026086 -9 *78:13 0.00381879 -10 *78:12 0.00381879 -11 *78:10 0.00369721 -12 *78:9 0.00456743 -13 *2822:flash_csb_core *2536:13 0.00258574 -14 *78:9 *79:7 0 -15 *78:9 *80:11 5.68404e-05 -16 *78:10 *84:10 0.00104235 -17 *78:13 *85:19 0.00425018 -18 *78:16 *767:10 0.000425309 -19 *78:19 *82:33 0.000599454 -20 *78:19 *89:35 0.00774669 -21 *78:22 *91:16 0.00883624 -22 *78:22 *2536:13 0.168242 -23 *2822:flash_clk_core *78:22 0.00525294 -24 *75:34 *78:22 0.109175 -25 *76:7 *78:9 0.000580031 -*RES -1 *2819:pad_flash_csb *78:9 35.9981 -2 *78:9 *78:10 111.09 -3 *78:10 *78:12 4.5 -4 *78:12 *78:13 132.636 -5 *78:13 *78:15 4.5 -6 *78:15 *78:16 67.2758 -7 *78:16 *78:18 4.5 -8 *78:18 *78:19 195.547 -9 *78:19 *78:21 3.36879 -10 *78:21 *78:22 212.272 -11 *78:22 *2822:flash_csb_core 18.7116 -*END - -*D_NET *79 0.33724 -*CONN -*I *2822:flash_csb_oeb_core I *D chip_io -*I *2819:pad_flash_csb_oeb O *D housekeeping -*CAP -1 *2822:flash_csb_oeb_core 0.00123906 -2 *2819:pad_flash_csb_oeb 0.000111687 -3 *79:14 0.0178171 -4 *79:13 0.016578 -5 *79:11 0.00599682 -6 *79:9 0.0061214 -7 *79:7 0.00207333 -8 *79:5 0.00206044 -9 *79:7 *2819:pad_flash_io0_di 0 -10 *79:7 *80:11 0 -11 *79:7 *91:13 0 -12 *79:11 *80:11 0.017347 -13 *79:11 *91:13 0 -14 *79:14 *87:10 3.58044e-05 -15 *79:14 *614:22 0.0945261 -16 *72:16 *79:14 0.034528 -17 *73:16 *2822:flash_csb_oeb_core 0.000160605 -18 *76:10 *79:14 0.138645 -19 *78:9 *79:7 0 -*RES -1 *2819:pad_flash_csb_oeb *79:5 3.17546 -2 *79:5 *79:7 54.8133 -3 *79:7 *79:9 3.54186 -4 *79:9 *79:11 277.108 -5 *79:11 *79:13 4.5 -6 *79:13 *79:14 1782.66 -7 *79:14 *2822:flash_csb_oeb_core 47.3157 -*END - -*D_NET *80 0.276352 -*CONN -*I *2819:pad_flash_io0_di I *D housekeeping -*I *2822:flash_io0_di_core O *D chip_io -*CAP -1 *2819:pad_flash_io0_di 0.0011207 -2 *2822:flash_io0_di_core 0.000477782 -3 *80:11 0.00431671 -4 *80:10 0.00319601 -5 *80:8 0.0109806 -6 *80:7 0.0114583 -7 *2819:pad_flash_io0_di *84:10 0.000848795 -8 *2819:pad_flash_io0_di *85:10 0.000844584 -9 *80:8 *85:28 0.0900579 -10 *80:8 *636:22 0.000122276 -11 *73:16 *80:8 0.116493 -12 *76:7 *80:11 0.0190321 -13 *78:9 *80:11 5.68404e-05 -14 *79:7 *2819:pad_flash_io0_di 0 -15 *79:7 *80:11 0 -16 *79:11 *80:11 0.017347 -*RES -1 *2822:flash_io0_di_core *80:7 16.0049 -2 *80:7 *80:8 1217.52 -3 *80:8 *80:10 4.5 -4 *80:10 *80:11 315.347 -5 *80:11 *2819:pad_flash_io0_di 47.4643 -*END - -*D_NET *81 0.013867 -*CONN -*I *2826:flash_io0_di I *D mgmt_core_wrapper -*I *2819:spimemio_flash_io0_di O *D housekeeping -*CAP -1 *2826:flash_io0_di 0.00157362 -2 *2819:spimemio_flash_io0_di 0.00154255 -3 *81:11 0.00539096 -4 *81:10 0.00535989 -5 *81:10 *2819:spimemio_flash_io0_do 0 -6 *2819:spimemio_flash_csb *81:10 0 -*RES -1 *2819:spimemio_flash_io0_di *81:10 15.8176 -2 *81:10 *81:11 106.653 -3 *81:11 *2826:flash_io0_di 18.189 -*END - -*D_NET *82 0.153694 -*CONN -*I *2822:flash_io0_do_core I *D chip_io -*I *2819:pad_flash_io0_do O *D housekeeping -*CAP -1 *2822:flash_io0_do_core 0.000931859 -2 *2819:pad_flash_io0_do 9.19267e-05 -3 *82:73 0.00166101 -4 *82:68 0.00820445 -5 *82:67 0.0083157 -6 *82:62 0.0146536 -7 *82:60 0.0146681 -8 *82:46 0.00579856 -9 *82:45 0.00668776 -10 *82:42 0.00293259 -11 *82:38 0.00217299 -12 *82:33 0.00448618 -13 *82:32 0.00381007 -14 *82:26 0.0022591 -15 *82:25 0.00284266 -16 *82:20 0.00389346 -17 *82:19 0.00451623 -18 *82:15 0.00217717 -19 *82:10 0.00186537 -20 *82:9 0.00120292 -21 *82:7 0.00155666 -22 *82:5 0.00164859 -23 *82:7 *84:9 0.00172901 -24 *82:7 *87:13 0.00272311 -25 *82:10 *89:16 0.00470206 -26 *82:15 *85:13 0 -27 *82:15 *89:25 0 -28 *82:15 *735:8 0 -29 *82:19 *85:13 0 -30 *82:19 *89:25 0 -31 *82:33 *89:35 0.00810877 -32 *82:46 *89:50 0.0200759 -33 *82:46 *91:16 0.00127522 -34 *82:60 *89:59 9.88649e-05 -35 *82:60 *89:60 0.00127644 -36 *82:60 *639:16 0 -37 *82:62 *2822:flash_io1_do_core 0 -38 *82:62 *84:28 0.00739634 -39 *82:62 *89:60 0.00870869 -40 *75:34 *2822:flash_io0_do_core 0.000622737 -41 *78:19 *82:33 0.000599454 -*RES -1 *2819:pad_flash_io0_do *82:5 2.61365 -2 *82:5 *82:7 70.9715 -3 *82:7 *82:9 4.5 -4 *82:9 *82:10 52.3015 -5 *82:10 *82:15 22.6368 -6 *82:15 *82:19 47.2343 -7 *82:19 *82:20 82.8047 -8 *82:20 *82:25 34.0861 -9 *82:25 *82:26 50.0831 -10 *82:26 *82:32 13.012 -11 *82:32 *82:33 151.323 -12 *82:33 *82:38 31.0366 -13 *82:38 *82:42 37.6677 -14 *82:42 *82:45 49.9335 -15 *82:45 *82:46 226.447 -16 *82:46 *82:60 43.4503 -17 *82:60 *82:62 423.886 -18 *82:62 *82:67 31.5946 -19 *82:67 *82:68 209.254 -20 *82:68 *82:73 28.6878 -21 *82:73 *2822:flash_io0_do_core 30.0973 -*END - -*D_NET *83 0.0139756 -*CONN -*I *2819:spimemio_flash_io0_do I *D housekeeping -*I *2826:flash_io0_do O *D mgmt_core_wrapper -*CAP -1 *2819:spimemio_flash_io0_do 0.00163018 -2 *2826:flash_io0_do 0.00128325 -3 *83:11 0.00570457 -4 *83:10 0.00535763 -5 *2819:spimemio_flash_io0_do *2819:spimemio_flash_io0_oeb 0 -6 *81:10 *2819:spimemio_flash_io0_do 0 -*RES -1 *2826:flash_io0_do *83:10 18.0251 -2 *83:10 *83:11 113.863 -3 *83:11 *2819:spimemio_flash_io0_do 18.3092 -*END - -*D_NET *84 0.157956 -*CONN -*I *2822:flash_io0_ieb_core I *D chip_io -*I *2819:pad_flash_io0_ieb O *D housekeeping -*CAP -1 *2822:flash_io0_ieb_core 2.27669e-05 -2 *2819:pad_flash_io0_ieb 0.000648435 -3 *84:28 0.0147414 -4 *84:27 0.0162438 -5 *84:22 0.01436 -6 *84:21 0.0128348 -7 *84:19 0.00254816 -8 *84:18 0.00254816 -9 *84:16 0.00241662 -10 *84:15 0.00241662 -11 *84:13 0.00773507 -12 *84:12 0.00773507 -13 *84:10 0.00188886 -14 *84:9 0.0025373 -15 *84:9 *85:9 0 -16 *84:10 *2819:pad_flash_io1_di 0.000107496 -17 *84:10 *85:10 0.00109991 -18 *84:28 *89:60 0.00106667 -19 *84:28 *91:16 0.0374647 -20 *84:28 *615:16 0.00210142 -21 *2819:pad_flash_io0_di *84:10 0.000848795 -22 *75:10 *84:10 0.00112722 -23 *75:34 *84:28 0.0152952 -24 *78:10 *84:10 0.00104235 -25 *82:7 *84:9 0.00172901 -26 *82:62 *84:28 0.00739634 -*RES -1 *2819:pad_flash_io0_ieb *84:9 33.2135 -2 *84:9 *84:10 78.3679 -3 *84:10 *84:12 4.5 -4 *84:12 *84:13 216.933 -5 *84:13 *84:15 4.5 -6 *84:15 *84:16 66.7212 -7 *84:16 *84:18 4.5 -8 *84:18 *84:19 71.5944 -9 *84:19 *84:21 4.5 -10 *84:21 *84:22 357.333 -11 *84:22 *84:27 46.8659 -12 *84:27 *84:28 92.909 -13 *84:28 *2822:flash_io0_ieb_core 4.01609 -*END - -*D_NET *85 0.207543 -*CONN -*I *2822:flash_io0_oeb_core I *D chip_io -*I *2819:pad_flash_io0_oeb O *D housekeeping -*CAP -1 *2822:flash_io0_oeb_core 0.000353166 -2 *2819:pad_flash_io0_oeb 0.00102502 -3 *85:28 0.0131843 -4 *85:27 0.0128312 -5 *85:25 0.00240806 -6 *85:24 0.00240806 -7 *85:22 0.00295882 -8 *85:21 0.00295882 -9 *85:19 0.00508944 -10 *85:18 0.00508944 -11 *85:16 0.00196665 -12 *85:15 0.00196665 -13 *85:13 0.00238996 -14 *85:12 0.00238996 -15 *85:10 0.00118939 -16 *85:9 0.0022144 -17 *85:9 *2819:pad_flash_io1_di 0 -18 *85:10 *2819:pad_flash_io1_di 0.000370801 -19 *85:16 *89:26 0 -20 *85:16 *734:11 6.89789e-05 -21 *85:16 *735:11 1.80122e-05 -22 *85:16 *739:11 0.00641439 -23 *85:22 *2826:sram_ro_addr[1] 0.000247473 -24 *85:22 *92:30 0 -25 *85:25 *92:35 0.00210311 -26 *85:28 *89:44 0.0012657 -27 *85:28 *92:42 0.0385759 -28 *85:28 *636:22 0.000130618 -29 *85:28 *639:15 0.000434669 -30 *2819:pad_flash_io0_di *85:10 0.000844584 -31 *75:10 *85:10 0.00112301 -32 *75:25 *85:25 0.000114237 -33 *78:13 *85:19 0.00425018 -34 *80:8 *85:28 0.0900579 -35 *82:15 *85:13 0 -36 *82:19 *85:13 0 -37 *84:9 *85:9 0 -38 *84:10 *85:10 0.00109991 -*RES -1 *2819:pad_flash_io0_oeb *85:9 33.5066 -2 *85:9 *85:10 50.6377 -3 *85:10 *85:12 4.5 -4 *85:12 *85:13 66.6113 -5 *85:13 *85:15 4.5 -6 *85:15 *85:16 80.5863 -7 *85:16 *85:18 4.5 -8 *85:18 *85:19 168.763 -9 *85:19 *85:21 4.5 -10 *85:21 *85:22 80.5863 -11 *85:22 *85:24 4.5 -12 *85:24 *85:25 80.3147 -13 *85:25 *85:27 4.5 -14 *85:27 *85:28 941.331 -15 *85:28 *2822:flash_io0_oeb_core 12.2677 -*END - -*D_NET *86 0.0212236 -*CONN -*I *2819:spimemio_flash_io0_oeb I *D housekeeping -*I *2826:flash_io0_oeb O *D mgmt_core_wrapper -*CAP -1 *2819:spimemio_flash_io0_oeb 0.00172165 -2 *2826:flash_io0_oeb 0.00128442 -3 *86:11 0.00417 -4 *86:10 0.00373277 -5 *2819:spimemio_flash_io0_oeb *88:10 0 -6 *86:10 *2826:flash_io1_di 0 -7 *86:11 *88:11 0.0103148 -8 *2819:spimemio_flash_io0_do *2819:spimemio_flash_io0_oeb 0 -*RES -1 *2826:flash_io0_oeb *86:10 18.0251 -2 *86:10 *86:11 113.863 -3 *86:11 *2819:spimemio_flash_io0_oeb 20.8007 -*END - -*D_NET *87 0.150986 -*CONN -*I *2819:pad_flash_io1_di I *D housekeeping -*I *2822:flash_io1_di_core O *D chip_io -*CAP -1 *2819:pad_flash_io1_di 0.00127446 -2 *2822:flash_io1_di_core 0.00102734 -3 *87:13 0.0114181 -4 *87:12 0.0101436 -5 *87:10 0.018367 -6 *87:9 0.0193943 -7 *2819:pad_flash_io1_di *89:9 0 -8 *87:10 *637:16 0.00120024 -9 *87:13 *89:15 0 -10 *72:16 *87:10 0.000286628 -11 *76:10 *87:10 0.0846367 -12 *79:14 *87:10 3.58044e-05 -13 *82:7 *87:13 0.00272311 -14 *84:10 *2819:pad_flash_io1_di 0.000107496 -15 *85:9 *2819:pad_flash_io1_di 0 -16 *85:10 *2819:pad_flash_io1_di 0.000370801 -*RES -1 *2822:flash_io1_di_core *87:9 29.7693 -2 *87:9 *87:10 901.954 -3 *87:10 *87:12 4.5 -4 *87:12 *87:13 303.72 -5 *87:13 *2819:pad_flash_io1_di 46.3551 -*END - -*D_NET *88 0.021572 -*CONN -*I *2826:flash_io1_di I *D mgmt_core_wrapper -*I *2819:spimemio_flash_io1_di O *D housekeeping -*CAP -1 *2826:flash_io1_di 0.00153926 -2 *2819:spimemio_flash_io1_di 0.00177939 -3 *88:11 0.00384919 -4 *88:10 0.00408932 -5 *88:10 *2819:spimemio_flash_io1_do 0 -6 *2819:spimemio_flash_io0_oeb *88:10 0 -7 *86:10 *2826:flash_io1_di 0 -8 *86:11 *88:11 0.0103148 -*RES -1 *2819:spimemio_flash_io1_di *88:10 15.4133 -2 *88:10 *88:11 109.98 -3 *88:11 *2826:flash_io1_di 27.27 -*END - -*D_NET *89 0.153024 -*CONN -*I *2822:flash_io1_do_core I *D chip_io -*I *2819:pad_flash_io1_do O *D housekeeping -*CAP -1 *2822:flash_io1_do_core 0.000946694 -2 *2819:pad_flash_io1_do 0.00123189 -3 *89:77 0.00142652 -4 *89:72 0.0042365 -5 *89:71 0.00443813 -6 *89:65 0.00146648 -7 *89:60 0.00327685 -8 *89:59 0.00257684 -9 *89:50 0.00442403 -10 *89:49 0.00488159 -11 *89:44 0.00191346 -12 *89:43 0.00137088 -13 *89:41 0.00198886 -14 *89:40 0.00294176 -15 *89:35 0.0034675 -16 *89:34 0.00374575 -17 *89:26 0.00436764 -18 *89:25 0.0039145 -19 *89:16 0.00185523 -20 *89:15 0.00246478 -21 *89:12 0.0019258 -22 *89:9 0.00177013 -23 *89:9 *91:9 0 -24 *89:25 *732:8 5.85596e-05 -25 *89:25 *735:8 0.00143459 -26 *89:26 *732:11 0.0108682 -27 *89:26 *735:11 0 -28 *89:26 *743:7 0.00010238 -29 *89:34 *773:13 2.02035e-05 -30 *89:50 *91:16 0.00404598 -31 *89:60 *615:16 0.000318594 -32 *89:60 *637:34 0.000307893 -33 *89:65 *637:33 0.000182014 -34 *89:71 *614:16 0.00287977 -35 *89:72 *614:16 0.017325 -36 *89:77 *614:19 0.00120528 -37 *2819:pad_flash_io1_di *89:9 0 -38 *64:48 *89:40 0 -39 *75:34 *2822:flash_io1_do_core 0.000593771 -40 *78:19 *89:35 0.00774669 -41 *82:10 *89:16 0.00470206 -42 *82:15 *89:25 0 -43 *82:19 *89:25 0 -44 *82:33 *89:35 0.00810877 -45 *82:46 *89:50 0.0200759 -46 *82:60 *89:59 9.88649e-05 -47 *82:60 *89:60 0.00127644 -48 *82:62 *2822:flash_io1_do_core 0 -49 *82:62 *89:60 0.00870869 -50 *84:28 *89:60 0.00106667 -51 *85:16 *89:26 0 -52 *85:28 *89:44 0.0012657 -53 *87:13 *89:15 0 -*RES -1 *2819:pad_flash_io1_do *89:9 39.3201 -2 *89:9 *89:12 18.5339 -3 *89:12 *89:15 43.2894 -4 *89:15 *89:16 49.5285 -5 *89:16 *89:25 39.6857 -6 *89:25 *89:26 128.837 -7 *89:26 *89:34 47.074 -8 *89:34 *89:35 165.441 -9 *89:35 *89:40 34.126 -10 *89:40 *89:41 53.7385 -11 *89:41 *89:43 4.5 -12 *89:43 *89:44 50.0831 -13 *89:44 *89:49 21.6286 -14 *89:49 *89:50 209.809 -15 *89:50 *89:59 12.1755 -16 *89:59 *89:60 121.072 -17 *89:60 *89:65 30.7641 -18 *89:65 *89:71 40.1189 -19 *89:71 *89:72 181.524 -20 *89:72 *89:77 29.1031 -21 *89:77 *2822:flash_io1_do_core 30.3926 -*END - -*D_NET *90 0.0145972 -*CONN -*I *2819:spimemio_flash_io1_do I *D housekeeping -*I *2826:flash_io1_do O *D mgmt_core_wrapper -*CAP -1 *2819:spimemio_flash_io1_do 0.00181905 -2 *2826:flash_io1_do 0.00159253 -3 *90:11 0.00564785 -4 *90:10 0.00542133 -5 *2819:spimemio_flash_io1_do *2819:spimemio_flash_io1_oeb 0.000116454 -6 *88:10 *2819:spimemio_flash_io1_do 0 -*RES -1 *2826:flash_io1_do *90:10 20.6805 -2 *90:10 *90:11 106.653 -3 *90:11 *2819:spimemio_flash_io1_do 24.9532 -*END - -*D_NET *91 0.163557 -*CONN -*I *2822:flash_io1_ieb_core I *D chip_io -*I *2819:pad_flash_io1_ieb O *D housekeeping -*CAP -1 *2822:flash_io1_ieb_core 4.25268e-05 -2 *2819:pad_flash_io1_ieb 0.00129137 -3 *91:16 0.0138827 -4 *91:15 0.0138402 -5 *91:13 0.0113193 -6 *91:12 0.0123238 -7 *91:9 0.00229584 -8 *91:9 *92:13 0.000436901 -9 *91:16 *637:34 0.00125509 -10 *91:16 *2536:13 0.00503418 -11 *75:34 *91:16 0.0502129 -12 *78:22 *91:16 0.00883624 -13 *79:7 *91:13 0 -14 *79:11 *91:13 0 -15 *82:46 *91:16 0.00127522 -16 *84:28 *91:16 0.0374647 -17 *89:9 *91:9 0 -18 *89:50 *91:16 0.00404598 -*RES -1 *2819:pad_flash_io1_ieb *91:9 43.7413 -2 *91:9 *91:12 31.2898 -3 *91:12 *91:13 318.047 -4 *91:13 *91:15 3.36879 -5 *91:15 *91:16 114.938 -6 *91:16 *2822:flash_io1_ieb_core 4.5779 -*END - -*D_NET *92 0.129097 -*CONN -*I *2822:flash_io1_oeb_core I *D chip_io -*I *2819:pad_flash_io1_oeb O *D housekeeping -*CAP -1 *2822:flash_io1_oeb_core 0.000433023 -2 *2819:pad_flash_io1_oeb 0.0015031 -3 *92:42 0.00852228 -4 *92:41 0.00808926 -5 *92:39 0.00155993 -6 *92:36 0.00635398 -7 *92:35 0.00508733 -8 *92:30 0.00436887 -9 *92:29 0.00407559 -10 *92:27 0.00211099 -11 *92:26 0.00211099 -12 *92:24 0.00191084 -13 *92:23 0.00191084 -14 *92:21 0.00327259 -15 *92:20 0.00327259 -16 *92:18 0.00219401 -17 *92:17 0.00219401 -18 *92:15 0.00189666 -19 *92:13 0.00339976 -20 *92:30 *2826:sram_ro_addr[1] 0.000554387 -21 *92:42 *636:22 0.000139048 -22 *92:42 *639:15 0.00181341 -23 *73:13 *92:15 0.00228651 -24 *75:25 *92:35 0.00208066 -25 *75:28 *92:36 0.0168406 -26 *85:22 *92:30 0 -27 *85:25 *92:35 0.00210311 -28 *85:28 *92:42 0.0385759 -29 *91:9 *92:13 0.000436901 -*RES -1 *2819:pad_flash_io1_oeb *92:13 45.4335 -2 *92:13 *92:15 75.5393 -3 *92:15 *92:17 4.5 -4 *92:17 *92:18 59.5114 -5 *92:18 *92:20 4.5 -6 *92:20 *92:21 92.7722 -7 *92:21 *92:23 4.5 -8 *92:23 *92:24 52.8561 -9 *92:24 *92:26 4.5 -10 *92:26 *92:27 59.5521 -11 *92:27 *92:29 4.5 -12 *92:29 *92:30 111.644 -13 *92:30 *92:35 42.8064 -14 *92:35 *92:36 207.591 -15 *92:36 *92:39 47.0267 -16 *92:39 *92:41 4.5 -17 *92:41 *92:42 403.366 -18 *92:42 *2822:flash_io1_oeb_core 14.3439 -*END - -*D_NET *93 0.0217923 -*CONN -*I *2819:spimemio_flash_io1_oeb I *D housekeeping -*I *2826:flash_io1_oeb O *D mgmt_core_wrapper -*CAP -1 *2819:spimemio_flash_io1_oeb 0.00228017 -2 *2826:flash_io1_oeb 0.00136305 -3 *93:11 0.00445023 -4 *93:10 0.00353311 -5 *2819:spimemio_flash_io1_oeb *94:10 0 -6 *93:11 *94:11 0.0100493 -7 *2819:spimemio_flash_io1_do *2819:spimemio_flash_io1_oeb 0.000116454 -*RES -1 *2826:flash_io1_oeb *93:10 13.206 -2 *93:10 *93:11 104.989 -3 *93:11 *2819:spimemio_flash_io1_oeb 36.3945 -*END - -*D_NET *94 0.0219853 -*CONN -*I *2826:flash_io2_di I *D mgmt_core_wrapper -*I *2819:spimemio_flash_io2_di O *D housekeeping -*CAP -1 *2826:flash_io2_di 0.00150883 -2 *2819:spimemio_flash_io2_di 0.00165782 -3 *94:11 0.00431018 -4 *94:10 0.00445918 -5 *94:10 *2819:spimemio_flash_io2_do 0 -6 *2819:spimemio_flash_io1_oeb *94:10 0 -7 *93:11 *94:11 0.0100493 -*RES -1 *2819:spimemio_flash_io2_di *94:10 27.7289 -2 *94:10 *94:11 121.627 -3 *94:11 *2826:flash_io2_di 22.4836 -*END - -*D_NET *95 0.0229494 -*CONN -*I *2819:spimemio_flash_io2_do I *D housekeeping -*I *2826:flash_io2_do O *D mgmt_core_wrapper -*CAP -1 *2819:spimemio_flash_io2_do 0.00218431 -2 *2826:flash_io2_do 0.00102291 -3 *95:11 0.00493232 -4 *95:10 0.00377092 -5 *2819:spimemio_flash_io2_do *2819:spimemio_flash_io2_oeb 0 -6 *95:11 *96:11 0.0110389 -7 *94:10 *2819:spimemio_flash_io2_do 0 -*RES -1 *2826:flash_io2_do *95:10 13.8289 -2 *95:10 *95:11 124.4 -3 *95:11 *2819:spimemio_flash_io2_do 39.3232 -*END - -*D_NET *96 0.0231147 -*CONN -*I *2819:spimemio_flash_io2_oeb I *D housekeeping -*I *2826:flash_io2_oeb O *D mgmt_core_wrapper -*CAP -1 *2819:spimemio_flash_io2_oeb 0.00205195 -2 *2826:flash_io2_oeb 0.00156946 -3 *96:11 0.0044684 -4 *96:10 0.00398591 -5 *2819:spimemio_flash_io2_oeb *2819:spimemio_flash_io3_do 0 -6 *2819:spimemio_flash_io2_oeb *2819:spimemio_flash_io3_oeb 0 -7 *2819:spimemio_flash_io2_oeb *97:10 0 -8 *2819:spimemio_flash_io2_do *2819:spimemio_flash_io2_oeb 0 -9 *95:11 *96:11 0.0110389 -*RES -1 *2826:flash_io2_oeb *96:10 23.4671 -2 *96:10 *96:11 115.526 -3 *96:11 *2819:spimemio_flash_io2_oeb 33.4003 -*END - -*D_NET *97 0.024133 -*CONN -*I *2826:flash_io3_di I *D mgmt_core_wrapper -*I *2819:spimemio_flash_io3_di O *D housekeeping -*CAP -1 *2826:flash_io3_di 0.00102137 -2 *2819:spimemio_flash_io3_di 0.00226535 -3 *97:11 0.00365298 -4 *97:10 0.00489696 -5 *2826:flash_io3_di *697:33 0.000620249 -6 *97:10 *2819:spimemio_flash_io3_do 0.00152064 -7 *97:11 *98:11 0.0101555 -8 *2819:spimemio_flash_io2_oeb *97:10 0 -*RES -1 *2819:spimemio_flash_io3_di *97:10 44.5465 -2 *97:10 *97:11 118.299 -3 *97:11 *2826:flash_io3_di 15.2604 -*END - -*D_NET *98 0.0243794 -*CONN -*I *2819:spimemio_flash_io3_do I *D housekeeping -*I *2826:flash_io3_do O *D mgmt_core_wrapper -*CAP -1 *2819:spimemio_flash_io3_do 0.00176963 -2 *2826:flash_io3_do 0.0017746 -3 *98:11 0.00398602 -4 *98:10 0.003991 -5 *2819:spimemio_flash_io3_do *2819:spimemio_flash_io3_oeb 0.00118204 -6 *98:11 *697:33 0 -7 *2819:spimemio_flash_io2_oeb *2819:spimemio_flash_io3_do 0 -8 *97:10 *2819:spimemio_flash_io3_do 0.00152064 -9 *97:11 *98:11 0.0101555 -*RES -1 *2826:flash_io3_do *98:10 25.6635 -2 *98:10 *98:11 106.653 -3 *98:11 *2819:spimemio_flash_io3_do 38.2413 -*END - -*D_NET *99 0.0298288 -*CONN -*I *2819:spimemio_flash_io3_oeb I *D housekeeping -*I *2826:flash_io3_oeb O *D mgmt_core_wrapper -*CAP -1 *2819:spimemio_flash_io3_oeb 0.00254582 -2 *2826:flash_io3_oeb 0.00158986 -3 *99:11 0.0047642 -4 *99:10 0.00380824 -5 *99:10 *1729:24 0.000347349 -6 *99:10 *1750:10 0.000347349 -7 *99:10 *1889:29 0.000344331 -8 *99:10 *1894:27 0.000510617 -9 *99:10 *1896:28 0.000469437 -10 *99:10 *1898:27 0.000424011 -11 *99:10 *1900:35 0.000361052 -12 *99:10 *1902:31 0.000390675 -13 *99:10 *1904:33 0.000365725 -14 *99:10 *1906:25 0.000348787 -15 *99:10 *1914:29 0.000385684 -16 *99:10 *1916:29 0.000418593 -17 *99:10 *1918:27 0.000463439 -18 *99:10 *1920:29 0.000504093 -19 *99:11 *1747:10 0.0102575 -20 *2819:spimemio_flash_io2_oeb *2819:spimemio_flash_io3_oeb 0 -21 *2819:spimemio_flash_io3_do *2819:spimemio_flash_io3_oeb 0.00118204 -*RES -1 *2826:flash_io3_oeb *99:10 18.189 -2 *99:10 *99:11 107.207 -3 *99:11 *2819:spimemio_flash_io3_oeb 48.9613 -*END - -*D_NET *100 1.23718 -*CONN -*I *2782:serial_clock I *D gpio_control_block -*I *2781:serial_clock_out O *D gpio_control_block -*I *2810:serial_clock I *D gpio_control_block -*I *2803:serial_clock_out O *D gpio_control_block -*CAP -1 *2782:serial_clock 0.000977978 -2 *2781:serial_clock_out 0.000809439 -3 *2810:serial_clock 0.000110784 -4 *2803:serial_clock_out 0.000731161 -5 *100:56 0.00326544 -6 *100:55 0.002456 -7 *100:53 0.00115202 -8 *100:50 0.000858534 -9 *100:44 0.0399536 -10 *100:43 0.0392691 -11 *100:41 0.0125449 -12 *100:40 0.0125449 -13 *100:38 0.00189477 -14 *100:37 0.0022062 -15 *100:23 0.00135466 -16 *100:22 0.00124388 -17 *100:20 0.00121087 -18 *100:19 0.00121087 -19 *100:17 0.0014034 -20 *100:16 0.00171483 -21 *100:14 0.000731161 -22 *2781:serial_clock_out *2781:resetn 0 -23 *2781:serial_clock_out *2781:serial_clock 9.52305e-06 -24 *2781:serial_clock_out *2781:serial_data_in 0.000554307 -25 *2781:serial_clock_out *660:15 0 -26 *2782:serial_clock *2782:resetn_out 0.000200179 -27 *2782:serial_clock *2782:serial_clock_out 3.84497e-05 -28 *100:14 *616:16 0.00123295 -29 *100:14 *659:31 0 -30 *100:14 *2344:13 0.00122052 -31 *100:14 *2458:13 0.00132899 -32 *100:14 *2458:16 2.82537e-05 -33 *100:14 *2629:19 2.20702e-05 -34 *100:17 *2803:pad_gpio_in 0.000139301 -35 *100:17 *2822:mprj_io_inp_dis[19] 0.000275217 -36 *100:17 *616:17 0.0215294 -37 *100:17 *616:37 4.88955e-05 -38 *100:17 *640:68 0.0214361 -39 *100:17 *1715:26 0.000201482 -40 *100:20 *616:20 0.00330362 -41 *100:20 *640:71 3.31736e-05 -42 *100:20 *1717:37 4.3116e-06 -43 *100:23 *2810:user_gpio_out 0.000315052 -44 *100:23 *616:23 7.92757e-06 -45 *100:23 *640:74 0.00964524 -46 *100:23 *650:13 0.000859493 -47 *100:23 *688:13 0.000581034 -48 *100:23 *1717:40 0.000886148 -49 *100:23 *2631:19 0.000759667 -50 *100:37 *2803:user_gpio_out 0.000236267 -51 *100:37 *616:37 0.00132899 -52 *100:38 *2821:analog_io[13] 0 -53 *100:38 *616:38 0.00323215 -54 *100:41 *624:23 0.0294141 -55 *100:41 *659:28 0.20139 -56 *100:41 *1713:20 0.142533 -57 *100:41 *1714:20 0.0133723 -58 *100:44 *2819:mgmt_gpio_in[13] 0.000826485 -59 *100:44 *2819:mgmt_gpio_in[14] 0.00130366 -60 *100:44 *616:44 0.308006 -61 *100:44 *640:22 0.30627 -62 *100:44 *640:30 2.35336e-05 -63 *100:44 *2537:12 0.000303562 -64 *100:44 *2706:14 0.000461527 -65 *100:50 *640:34 0.00267752 -66 *100:50 *1708:20 0.000519368 -67 *100:50 *2706:17 0.000691614 -68 *100:56 *640:34 0.000316096 -69 *100:56 *659:25 0.000853353 -70 *100:56 *1706:20 4.86653e-05 -71 *100:56 *1707:20 0.0025629 -72 *100:56 *1744:8 0.0141746 -73 *100:56 *1749:8 0.0143258 -*RES -1 *2803:serial_clock_out *100:14 48.2097 -2 *100:14 *100:16 4.5 -3 *100:16 *100:17 226.447 -4 *100:17 *100:19 4.5 -5 *100:19 *100:20 54.569 -6 *100:20 *100:22 4.5 -7 *100:22 *100:23 102.216 -8 *100:23 *2810:serial_clock 8.46357 -9 *100:16 *100:37 18.5339 -10 *100:37 *100:38 68.2723 -11 *100:38 *100:40 4.5 -12 *100:40 *100:41 2106 -13 *100:41 *100:43 4.5 -14 *100:43 *100:44 4936.27 -15 *100:44 *100:50 47.5934 -16 *100:50 *100:53 7.99641 -17 *100:53 *100:55 4.5 -18 *100:55 *100:56 272.576 -19 *100:56 *2781:serial_clock_out 36.9903 -20 *100:53 *2782:serial_clock 30.893 -*END - -*D_NET *101 1.24322 -*CONN -*I *2790:serial_clock I *D gpio_control_block -*I *2789:serial_clock_out O *D gpio_control_block -*I *2805:serial_clock I *D gpio_control_block -*I *2804:serial_clock_out O *D gpio_control_block -*CAP -1 *2790:serial_clock 0.000548539 -2 *2789:serial_clock_out 8.33611e-05 -3 *2805:serial_clock 9.89961e-05 -4 *2804:serial_clock_out 0.00577481 -5 *101:75 0.00241774 -6 *101:64 0.000972676 -7 *101:63 0.00247179 -8 *101:58 0.00894583 -9 *101:56 0.00553969 -10 *101:54 0.0209896 -11 *101:53 0.0209441 -12 *101:51 0.0799024 -13 *101:50 0.0799024 -14 *101:48 0.0311585 -15 *101:47 0.0318116 -16 *101:34 0.0013441 -17 *101:33 0.00406247 -18 *101:28 0.00617811 -19 *101:27 0.00336074 -20 *101:25 0.00780001 -21 *101:24 0.00845312 -22 *101:22 0.0031143 -23 *101:21 0.0031143 -24 *101:19 0.00153986 -25 *101:18 0.00153986 -26 *101:16 0.00284375 -27 *101:15 0.00861856 -28 *2790:serial_clock *2790:resetn 1.48422e-06 -29 *2790:serial_clock *2790:serial_clock_out 1.94242e-05 -30 *2790:serial_clock *2298:8 0 -31 *2790:serial_clock *2412:13 0.000528818 -32 *2790:serial_clock *2621:13 0.00170554 -33 *101:16 *2804:gpio_defaults[0] 0 -34 *101:16 *2203:25 0.00115683 -35 *101:19 *2169:13 7.98171e-06 -36 *101:19 *2203:31 0.0200544 -37 *101:19 *2241:35 0.0201052 -38 *101:19 *2393:15 0.000251655 -39 *101:19 *2469:15 2.35405e-05 -40 *101:22 *102:49 0.00131435 -41 *101:22 *680:8 0.00903642 -42 *101:28 *2805:gpio_defaults[0] 0 -43 *101:28 *641:24 0.00149321 -44 *101:33 *641:29 0.0143514 -45 *101:34 *641:30 0.00307479 -46 *101:48 *1726:27 0.12566 -47 *101:48 *1730:29 0.0538301 -48 *101:48 *2722:14 0.00110084 -49 *101:51 *619:23 0.0496329 -50 *101:51 *644:69 0.00027562 -51 *101:51 *658:56 0.351547 -52 *101:54 *658:59 0.0137555 -53 *101:54 *658:63 0 -54 *101:54 *658:78 0 -55 *101:54 *677:14 0.00186465 -56 *101:54 *1706:20 0.00322539 -57 *101:54 *1740:20 0.0773605 -58 *101:54 *1741:20 0.0940791 -59 *101:54 *1742:20 0.00819502 -60 *101:58 *641:57 6.11207e-05 -61 *101:58 *661:14 0.00401821 -62 *101:58 *677:14 0.011678 -63 *101:58 *1706:20 0 -64 *101:58 *1707:20 0 -65 *101:64 *617:44 0.00178023 -66 *101:64 *2449:10 0.00428701 -67 *101:75 *617:38 0.0115252 -68 *101:75 *661:14 0.0126886 -69 *101:75 *1707:20 0 -*RES -1 *2804:serial_clock_out *101:15 49.5772 -2 *101:15 *101:16 90.9036 -3 *101:16 *101:18 4.5 -4 *101:18 *101:19 217.573 -5 *101:19 *101:21 4.5 -6 *101:21 *101:22 144.264 -7 *101:22 *101:24 4.5 -8 *101:24 *101:25 217.573 -9 *101:25 *101:27 4.5 -10 *101:27 *101:28 109.175 -11 *101:28 *101:33 25.0686 -12 *101:33 *101:34 54.1538 -13 *101:34 *2805:serial_clock 3.708 -14 *101:24 *101:47 18.5339 -15 *101:47 *101:48 2007.08 -16 *101:48 *101:50 4.5 -17 *101:50 *101:51 3774.8 -18 *101:51 *101:53 4.5 -19 *101:53 *101:54 1922.78 -20 *101:54 *101:56 1.29461 -21 *101:56 *101:58 253.072 -22 *101:58 *101:63 46.8818 -23 *101:63 *101:64 68.48 -24 *101:64 *2789:serial_clock_out 3.59493 -25 *101:58 *101:75 202.814 -26 *101:75 *2790:serial_clock 32.4041 -*END - -*D_NET *102 1.37054 -*CONN -*I *2791:serial_clock I *D gpio_control_block -*I *2790:serial_clock_out O *D gpio_control_block -*I *2806:serial_clock I *D gpio_control_block -*I *2805:serial_clock_out O *D gpio_control_block -*CAP -1 *2791:serial_clock 0.00151833 -2 *2790:serial_clock_out 0.00119274 -3 *2806:serial_clock 0.000433667 -4 *2805:serial_clock_out 0.000478681 -5 *102:61 0.00337887 -6 *102:60 0.00218613 -7 *102:58 0.00201065 -8 *102:55 0.0149527 -9 *102:54 0.0144604 -10 *102:52 0.0789781 -11 *102:51 0.0789781 -12 *102:49 0.0488374 -13 *102:37 0.00188906 -14 *102:29 0.00373386 -15 *102:28 0.00227847 -16 *102:26 0.00178435 -17 *102:25 0.00243057 -18 *102:14 0.00131715 -19 *102:13 0.00136011 -20 *102:7 0.0500052 -21 *2790:serial_clock_out *2790:serial_data_in 1.73002e-05 -22 *2790:serial_clock_out *2790:serial_load_out 5.39635e-06 -23 *2790:serial_clock_out *618:47 0.00126128 -24 *2790:serial_clock_out *2298:8 0 -25 *2791:serial_clock *2791:resetn_out 0.000181571 -26 *2791:serial_clock *2791:serial_clock_out 1.94242e-05 -27 *2806:serial_clock *2806:resetn 0.00141252 -28 *2806:serial_clock *2806:serial_load 0.00078274 -29 *102:7 *2805:serial_data_in 0.000207109 -30 *102:7 *2805:user_gpio_oeb 0.000817312 -31 *102:7 *642:5 0 -32 *102:7 *642:51 0 -33 *102:13 *106:37 0 -34 *102:13 *642:52 0.00035568 -35 *102:13 *2357:7 7.70397e-05 -36 *102:14 *2053:8 0.00289642 -37 *102:14 *2205:8 0.000296518 -38 *102:14 *2357:8 0.000217246 -39 *102:14 *2395:8 0.00413468 -40 *102:14 *2471:13 1.49176e-05 -41 *102:25 *642:13 0.000137746 -42 *102:25 *2395:13 1.19726e-05 -43 *102:25 *2471:14 0.000628648 -44 *102:25 *2605:11 0.000628648 -45 *102:26 *2822:mprj_io_oeb[30] 0 -46 *102:26 *2822:mprj_io_slow_sel[30] 0.000554108 -47 *102:26 *106:37 0.00130376 -48 *102:26 *681:8 0.00265741 -49 *102:26 *1977:17 0.00173578 -50 *102:26 *2172:16 1.2366e-05 -51 *102:26 *2357:20 0.00469995 -52 *102:29 *642:17 0.0105187 -53 *102:37 *618:16 0.00052298 -54 *102:37 *642:37 0.00507718 -55 *102:49 *2822:mprj_io_analog_sel[26] 0.000165203 -56 *102:49 *115:36 0.000386498 -57 *102:49 *642:52 0.162547 -58 *102:49 *680:8 0.0024143 -59 *102:49 *696:8 0.00243013 -60 *102:49 *2009:16 0.000466331 -61 *102:49 *2009:28 0.000343944 -62 *102:49 *2048:14 0.000461226 -63 *102:49 *2165:8 0.000555378 -64 *102:49 *2166:18 0.000154008 -65 *102:49 *2166:22 0.000372229 -66 *102:49 *2200:20 0.000261028 -67 *102:49 *2239:17 0.000167137 -68 *102:49 *2239:20 0.000666715 -69 *102:49 *2390:20 0.000387524 -70 *102:49 *2391:19 0.000122623 -71 *102:49 *2426:14 0.00107026 -72 *102:49 *2466:8 0.000741493 -73 *102:49 *2467:8 0.000981609 -74 *102:49 *2468:23 0.000228554 -75 *102:49 *2599:13 0.000170738 -76 *102:49 *2603:20 0.000152287 -77 *102:49 *2604:13 0.000286122 -78 *102:52 *117:50 0.0515451 -79 *102:52 *617:29 0.10309 -80 *102:52 *631:33 0.0473954 -81 *102:52 *642:55 0.411596 -82 *102:55 *634:30 0.103228 -83 *102:55 *642:58 0.0131767 -84 *102:55 *2661:14 0.0624516 -85 *102:55 *2696:14 0.0136819 -86 *102:58 *2791:resetn_out 3.24705e-06 -87 *102:58 *103:33 0 -88 *102:58 *643:43 2.16024e-05 -89 *102:61 *633:41 0.01712 -90 *102:61 *642:64 0.01684 -91 *102:61 *1711:17 0.000129812 -92 *2790:serial_clock *2790:serial_clock_out 1.94242e-05 -93 *101:22 *102:49 0.00131435 -*RES -1 *2805:serial_clock_out *102:7 2.5433 -2 *102:7 *102:13 6.39953 -3 *102:13 *102:14 67.2342 -4 *102:14 *102:25 39.0121 -5 *102:25 *102:26 116.857 -6 *102:26 *102:28 4.5 -7 *102:28 *102:29 109.98 -8 *102:29 *102:37 48.2299 -9 *102:37 *2806:serial_clock 26.3026 -10 *102:7 *102:49 322.845 -11 *102:49 *102:51 0.376635 -12 *102:51 *102:52 519.686 -13 *102:52 *102:54 3.36879 -14 *102:54 *102:55 1647.27 -15 *102:55 *102:58 13.5424 -16 *102:58 *102:60 4.5 -17 *102:60 *102:61 273.407 -18 *102:61 *2790:serial_clock_out 46.4086 -19 *102:58 *2791:serial_clock 41.9086 -*END - -*D_NET *103 1.25892 -*CONN -*I *2807:serial_clock I *D gpio_control_block -*I *2791:serial_clock_out O *D gpio_control_block -*I *2792:serial_clock I *D gpio_control_block -*I *2806:serial_clock_out O *D gpio_control_block -*CAP -1 *2807:serial_clock 0.000114836 -2 *2791:serial_clock_out 0.00159909 -3 *2792:serial_clock 0.000324985 -4 *2806:serial_clock_out 3.60638e-05 -5 *103:41 0.00108689 -6 *103:36 0.00289912 -7 *103:35 0.00213721 -8 *103:33 0.00222701 -9 *103:30 0.0765139 -10 *103:29 0.075886 -11 *103:27 0.0203695 -12 *103:26 0.0203695 -13 *103:24 0.00323863 -14 *103:23 0.00648147 -15 *103:18 0.0117465 -16 *103:16 0.00891937 -17 *103:12 0.00408529 -18 *103:8 0.0270008 -19 *103:7 0.0234821 -20 *2791:serial_clock_out *2791:serial_data_in 1.94242e-05 -21 *2792:serial_clock *2792:pad_gpio_in 2.95757e-05 -22 *2792:serial_clock *2792:resetn 0.000265099 -23 *2792:serial_clock *2792:resetn_out 4.18503e-06 -24 *2792:serial_clock *644:98 7.61581e-05 -25 *2792:serial_clock *2186:8 0 -26 *2792:serial_clock *2414:13 0.000519205 -27 *103:8 *643:13 0 -28 *103:18 *2822:mprj_io_inp_dis[32] 0.00145794 -29 *103:18 *106:13 0.0109626 -30 *103:18 *106:25 0.0305618 -31 *103:18 *619:20 0 -32 *103:18 *644:24 0 -33 *103:18 *644:60 0.0309044 -34 *103:18 *2018:15 0.000311148 -35 *103:23 *2822:mprj_io_out[34] 6.88661e-06 -36 *103:23 *644:65 0.0131947 -37 *103:24 *108:26 0.0046213 -38 *103:24 *630:23 0.00699714 -39 *103:24 *1750:13 0.00490599 -40 *103:27 *620:45 0.349858 -41 *103:27 *654:40 0.0515766 -42 *103:27 *656:32 2.42273e-05 -43 *103:27 *657:31 0.349523 -44 *103:30 *117:53 0.00822882 -45 *103:30 *118:37 0.0353749 -46 *103:30 *119:14 0 -47 *103:30 *657:40 0 -48 *103:30 *2641:14 0 -49 *103:30 *2655:16 0 -50 *103:30 *2658:14 0.0342481 -51 *103:36 *619:32 0.000132276 -52 *103:36 *619:47 0.0157497 -53 *103:36 *633:41 0.0163703 -54 *103:36 *1711:17 0.000231342 -55 *103:41 *2792:pad_gpio_in 0.0011537 -56 *103:41 *643:47 0.00307357 -57 *2791:serial_clock *2791:serial_clock_out 1.94242e-05 -58 *102:58 *103:33 0 -*RES -1 *2806:serial_clock_out *103:7 0.51465 -2 *103:7 *103:8 93.4535 -3 *103:8 *103:12 14.1552 -4 *103:12 *103:16 1.48091 -5 *103:16 *103:18 93.8939 -6 *103:18 *103:23 21.2733 -7 *103:23 *103:24 230.844 -8 *103:24 *103:26 4.5 -9 *103:26 *103:27 3655.56 -10 *103:27 *103:29 4.5 -11 *103:29 *103:30 2659.03 -12 *103:30 *103:33 15.7609 -13 *103:33 *103:35 4.5 -14 *103:35 *103:36 261.78 -15 *103:36 *103:41 42.9996 -16 *103:41 *2792:serial_clock 13.2987 -17 *103:33 *2791:serial_clock_out 41.9086 -18 *103:12 *2807:serial_clock 0.745783 -*END - -*D_NET *104 1.55604 -*CONN -*I *2792:serial_clock_out O *D gpio_control_block -*I *2793:serial_clock I *D gpio_control_block -*I *2808:serial_clock I *D gpio_control_block -*I *2807:serial_clock_out O *D gpio_control_block -*CAP -1 *2792:serial_clock_out 0.000459594 -2 *2793:serial_clock 0.000122569 -3 *2808:serial_clock 0.000779825 -4 *2807:serial_clock_out 0.00403794 -5 *104:69 0.00210739 -6 *104:58 0.000596544 -7 *104:52 0.00908105 -8 *104:51 0.00860708 -9 *104:49 0.00172207 -10 *104:46 0.0231758 -11 *104:45 0.0231015 -12 *104:43 0.0204935 -13 *104:42 0.0204935 -14 *104:40 0.00547002 -15 *104:39 0.00547002 -16 *104:37 0.00291211 -17 *104:23 0.00234068 -18 *104:22 0.00447297 -19 *104:20 0.00381897 -20 *104:19 0.00381897 -21 *104:17 0.00837717 -22 *104:16 0.00837717 -23 *104:14 0.00285697 -24 *104:13 0.00285697 -25 *104:11 0.00403794 -26 *2792:serial_clock_out *2300:10 0.000277119 -27 *2793:serial_clock *2793:resetn 0 -28 *2793:serial_clock *2793:resetn_out 4.46752e-05 -29 *2793:serial_clock *2793:serial_clock_out 3.09106e-06 -30 *104:11 *620:11 0.0149064 -31 *104:11 *620:16 0 -32 *104:20 *620:23 0 -33 *104:20 *620:27 0 -34 *104:20 *683:8 0 -35 *104:20 *2179:8 0.00125836 -36 *104:20 *2179:14 8.88511e-05 -37 *104:20 *2207:8 0.00590582 -38 *104:20 *2359:8 1.41761e-05 -39 *104:20 *2359:14 0.00457599 -40 *104:20 *2397:18 0 -41 *104:23 *644:31 0.00147548 -42 *104:23 *2683:17 0.00122483 -43 *104:23 *2721:17 0.000317616 -44 *104:37 *2683:17 0.00573578 -45 *104:37 *2721:17 0.00100216 -46 *104:40 *620:42 0.0412754 -47 *104:40 *1729:31 0.0417928 -48 *104:43 *114:39 0.0515766 -49 *104:43 *620:45 0.350681 -50 *104:43 *644:69 0.350717 -51 *104:43 *657:31 1.41291e-05 -52 *104:46 *620:48 0.182766 -53 *104:46 *644:72 0.182745 -54 *104:46 *657:34 5.1493e-06 -55 *104:49 *643:47 1.65872e-05 -56 *104:49 *644:75 0.000107496 -57 *104:52 *618:40 0.068735 -58 *104:52 *632:73 0.0687386 -59 *104:58 *2793:serial_data_in 0.000216071 -60 *104:58 *620:57 0.00336215 -61 *104:58 *665:12 0.000194724 -62 *104:58 *665:13 0.00330905 -63 *104:69 *644:75 0.000111722 -64 *104:69 *644:98 0.00325679 -*RES -1 *2807:serial_clock_out *104:11 47.5296 -2 *104:11 *104:13 3.36879 -3 *104:13 *104:14 80.9375 -4 *104:14 *104:16 4.5 -5 *104:16 *104:17 230.884 -6 *104:17 *104:19 4.5 -7 *104:19 *104:20 185.373 -8 *104:20 *104:22 4.5 -9 *104:22 *104:23 63.9482 -10 *104:23 *2808:serial_clock 27.273 -11 *104:22 *104:37 109.98 -12 *104:37 *104:39 4.5 -13 *104:39 *104:40 668.312 -14 *104:40 *104:42 4.5 -15 *104:42 *104:43 3665.54 -16 *104:43 *104:45 4.5 -17 *104:45 *104:46 2918.56 -18 *104:46 *104:49 6.3326 -19 *104:49 *104:51 4.5 -20 *104:51 *104:52 1096.85 -21 *104:52 *104:58 49.4733 -22 *104:58 *2793:serial_clock 3.708 -23 *104:49 *104:69 53.4107 -24 *104:69 *2792:serial_clock_out 21.4594 -*END - -*D_NET *105 1.35979 -*CONN -*I *2794:serial_clock I *D gpio_control_block -*I *2793:serial_clock_out O *D gpio_control_block -*I *2809:serial_clock I *D gpio_control_block -*I *2808:serial_clock_out O *D gpio_control_block -*CAP -1 *2794:serial_clock 0.000379019 -2 *2793:serial_clock_out 0.00696193 -3 *2809:serial_clock 0.00164542 -4 *2808:serial_clock_out 0.000569862 -5 *105:58 0.00245417 -6 *105:46 0.0210371 -7 *105:45 0.0140752 -8 *105:43 0.00250014 -9 *105:40 0.0173979 -10 *105:39 0.0169729 -11 *105:37 0.0320806 -12 *105:36 0.0348884 -13 *105:21 0.00206184 -14 *105:16 0.00373396 -15 *105:8 0.00669514 -16 *2793:serial_clock_out *2793:resetn_out 0.0206425 -17 *2793:serial_clock_out *2793:serial_data_in 1.9775e-05 -18 *2793:serial_clock_out *621:48 0.000761986 -19 *2793:serial_clock_out *665:12 1.29472e-05 -20 *2793:serial_clock_out *2263:11 0.000802641 -21 *2793:serial_clock_out *2301:16 0.00126082 -22 *2793:serial_clock_out *2339:20 0.000750362 -23 *2793:serial_clock_out *2415:14 0.00102098 -24 *2794:serial_clock *2794:resetn_out 0.000178097 -25 *2794:serial_clock *2794:serial_data_in 0.00296242 -26 *2794:serial_clock *1998:16 0 -27 *2794:serial_clock *2123:14 2.33103e-06 -28 *2794:serial_clock *2454:11 0.00337439 -29 *105:8 *2808:serial_data_in 0.00023046 -30 *105:8 *621:15 0.000500268 -31 *105:8 *645:8 0.000182478 -32 *105:16 *619:20 0.000261226 -33 *105:16 *621:15 0.0133529 -34 *105:16 *645:8 4.96282e-05 -35 *105:16 *645:15 0.0122898 -36 *105:16 *684:16 0.000390057 -37 *105:16 *2180:8 0.00084977 -38 *105:16 *2322:14 0.00053288 -39 *105:36 *645:8 1.98647e-05 -40 *105:36 *645:36 0.0122961 -41 *105:36 *2474:7 1.498e-05 -42 *105:37 *621:30 0.228426 -43 *105:37 *630:23 0.165679 -44 *105:37 *631:30 0.0248776 -45 *105:37 *645:37 0.000511116 -46 *105:37 *692:14 0.0126549 -47 *105:40 *106:56 0.0350266 -48 *105:40 *621:33 0.289528 -49 *105:40 *645:40 0.287828 -50 *105:40 *652:45 0.000767815 -51 *105:43 *621:36 0.000533093 -52 *105:43 *621:65 9.97141e-05 -53 *105:46 *645:64 0.0726834 -54 *105:58 *2794:serial_load 1.63133e-05 -55 *105:58 *621:65 0.00494034 -56 *105:58 *665:22 0 -57 *2793:serial_clock *2793:serial_clock_out 3.09106e-06 -*RES -1 *2808:serial_clock_out *105:8 2.85756 -2 *105:8 *105:16 46.2006 -3 *105:16 *105:21 20.2609 -4 *105:21 *2809:serial_clock 48.4055 -5 *105:8 *105:36 19.82 -6 *105:36 *105:37 3666.64 -7 *105:37 *105:39 4.5 -8 *105:39 *105:40 3026.09 -9 *105:40 *105:43 16.5057 -10 *105:43 *105:45 3.36879 -11 *105:45 *105:46 91.8763 -12 *105:46 *2793:serial_clock_out 43.0876 -13 *105:43 *105:58 84.6748 -14 *105:58 *2794:serial_clock 48.627 -*END - -*D_NET *106 1.0342 -*CONN -*I *2794:serial_clock_out O *D gpio_control_block -*I *2795:serial_clock I *D gpio_control_block -*I *2783:serial_clock I *D gpio_control_block -*I *2809:serial_clock_out O *D gpio_control_block -*CAP -1 *2794:serial_clock_out 0.000334436 -2 *2795:serial_clock 0.000352003 -3 *2783:serial_clock 0.000718334 -4 *2809:serial_clock_out 3.60638e-05 -5 *106:85 0.000974758 -6 *106:80 0.00353073 -7 *106:67 0.00119338 -8 *106:62 0.00379036 -9 *106:59 0.00168188 -10 *106:58 0.0016233 -11 *106:56 0.102517 -12 *106:55 0.109324 -13 *106:51 0.00862749 -14 *106:43 0.0220218 -15 *106:42 0.0202457 -16 *106:37 0.0675471 -17 *106:36 0.0675912 -18 *106:31 0.00612803 -19 *106:30 0.00655092 -20 *106:25 0.00808844 -21 *106:13 0.00558453 -22 *106:7 0.0124793 -23 *2783:serial_clock *2783:resetn 1.30277e-05 -24 *2783:serial_clock *2783:resetn_out 4.42044e-05 -25 *2783:serial_clock *2783:serial_clock_out 3.50235e-05 -26 *2783:serial_clock *2783:user_gpio_oeb 2.02035e-05 -27 *2783:serial_clock *2783:user_gpio_out 5.38612e-06 -28 *2783:serial_clock *685:10 0.000199471 -29 *2783:serial_clock *2647:17 0.000889551 -30 *2794:serial_clock_out *2794:resetn 0.00159229 -31 *2794:serial_clock_out *2794:resetn_out 0.00242489 -32 *2794:serial_clock_out *2794:serial_data_in 1.65872e-05 -33 *2794:serial_clock_out *2123:14 1.91391e-05 -34 *2794:serial_clock_out *2188:16 1.5714e-05 -35 *2794:serial_clock_out *2663:17 0.00038031 -36 *2795:serial_clock *2795:resetn 0.00280954 -37 *2795:serial_clock *2795:serial_data_in 0.0024249 -38 *2795:serial_clock *2795:serial_load 4.0752e-05 -39 *2795:serial_clock *2795:user_gpio_out 0.000101794 -40 *2795:serial_clock *647:13 0.000120974 -41 *106:13 *2783:resetn 0.000101509 -42 *106:13 *2783:user_gpio_oeb 0 -43 *106:13 *2783:user_gpio_out 6.98716e-05 -44 *106:13 *2822:mprj_io_oeb[34] 0.00226118 -45 *106:13 *619:20 0 -46 *106:13 *685:10 0.000270418 -47 *106:13 *2073:12 0.00039902 -48 *106:13 *2475:27 0.00617065 -49 *106:25 *2822:mprj_io_inp_dis[32] 0.00153588 -50 *106:25 *2822:mprj_io_oeb[33] 0.0111372 -51 *106:25 *619:20 0 -52 *106:25 *1980:15 0.000266428 -53 *106:31 *619:20 0.000549308 -54 *106:31 *643:14 0 -55 *106:31 *1979:8 0.00274191 -56 *106:31 *2017:8 0.000891407 -57 *106:31 *2177:16 0.00105223 -58 *106:31 *2435:8 0 -59 *106:31 *2473:8 0 -60 *106:31 *2720:14 0.00928784 -61 *106:37 *2822:mprj_io_holdover[29] 0.000149447 -62 *106:37 *2822:mprj_io_oeb[27] 0.00028481 -63 *106:37 *2822:mprj_io_analog_sel[28] 0.000154671 -64 *106:37 *2822:mprj_io_ib_mode_sel[28] 0.00021943 -65 *106:37 *117:21 0.000931513 -66 *106:37 *117:22 0.000775571 -67 *106:37 *118:10 0.00210046 -68 *106:37 *617:14 0.00698871 -69 *106:37 *617:70 0.00197015 -70 *106:37 *618:8 0.00131039 -71 *106:37 *618:34 0 -72 *106:37 *619:8 0 -73 *106:37 *634:8 0.00147219 -74 *106:37 *634:14 0.00126442 -75 *106:37 *634:73 0.000100822 -76 *106:37 *641:12 0.00225303 -77 *106:37 *642:8 0.000434672 -78 *106:37 *642:52 0 -79 *106:37 *643:13 0 -80 *106:37 *656:13 0.0111911 -81 *106:37 *657:75 0.000215356 -82 *106:37 *681:8 0.00204132 -83 *106:37 *682:8 0 -84 *106:37 *1974:14 0.000645725 -85 *106:37 *1977:8 0.000500639 -86 *106:37 *1977:17 0.00016534 -87 *106:37 *1978:16 0.00067925 -88 *106:37 *2011:8 0.000403321 -89 *106:37 *2012:14 0.00070493 -90 *106:37 *2013:10 0.000730323 -91 *106:37 *2015:8 0.00064172 -92 *106:37 *2049:8 0.000643666 -93 *106:37 *2050:37 0.000218612 -94 *106:37 *2162:19 0.000138868 -95 *106:37 *2163:24 0.000218373 -96 *106:37 *2168:10 0.000681211 -97 *106:37 *2171:8 0.000599928 -98 *106:37 *2172:16 0.000361035 -99 *106:37 *2175:8 0.000758727 -100 *106:37 *2176:8 0.000695486 -101 *106:37 *2176:14 0.000300942 -102 *106:37 *2203:34 0.000652281 -103 *106:37 *2206:8 0.000747953 -104 *106:37 *2206:16 0.000366644 -105 *106:37 *2238:27 0.000229988 -106 *106:37 *2238:33 0.000248171 -107 *106:37 *2240:19 0.000458838 -108 *106:37 *2240:20 0.000589698 -109 *106:37 *2241:38 0.000905377 -110 *106:37 *2243:8 0.000417908 -111 *106:37 *2316:14 0.000347195 -112 *106:37 *2319:8 0.000453982 -113 *106:37 *2319:16 0.00024819 -114 *106:37 *2353:16 0.000328811 -115 *106:37 *2357:19 0.00088793 -116 *106:37 *2357:20 0.000882463 -117 *106:37 *2358:8 0.000717354 -118 *106:37 *2358:12 0.000516155 -119 *106:37 *2391:8 0.000403321 -120 *106:37 *2392:8 0.000803612 -121 *106:37 *2393:10 0.000233006 -122 *106:37 *2396:8 0.000742502 -123 *106:37 *2396:12 0.000311184 -124 *106:37 *2429:8 0.000390047 -125 *106:37 *2430:8 0.000676297 -126 *106:37 *2433:8 0.000474935 -127 *106:37 *2434:8 0.000688832 -128 *106:37 *2469:10 0.000237222 -129 *106:37 *2600:19 0 -130 *106:37 *2602:11 0.000300425 -131 *106:37 *2720:14 0.00914494 -132 *106:43 *2822:mprj_io_analog_en[25] 0 -133 *106:43 *2822:mprj_io_dm[76] 0 -134 *106:43 *2822:mprj_io_dm[77] 0 -135 *106:43 *2822:mprj_io_holdover[25] 0 -136 *106:43 *2822:mprj_io_ib_mode_sel[25] 0 -137 *106:43 *2822:mprj_io_oeb[25] 0 -138 *106:43 *2822:mprj_io_out[25] 0 -139 *106:43 *2822:mprj_io_slow_sel[25] 0 -140 *106:43 *2822:mprj_io_vtrip_sel[25] 0 -141 *106:43 *617:14 0.062606 -142 *106:43 *631:10 0 -143 *106:43 *632:55 0 -144 *106:43 *656:13 0.000431282 -145 *106:43 *694:19 0.000158917 -146 *106:43 *695:28 0 -147 *106:43 *2156:8 0.00457625 -148 *106:43 *2156:23 0.000747119 -149 *106:43 *2428:8 0.00149068 -150 *106:43 *2465:8 0 -151 *106:43 *2599:13 0 -152 *106:43 *2636:13 0 -153 *106:51 *617:14 0.00042233 -154 *106:51 *630:8 0.000215994 -155 *106:51 *632:67 0.00217723 -156 *106:51 *634:14 0.000660552 -157 *106:55 *617:20 0.00125346 -158 *106:55 *630:8 0.000395777 -159 *106:55 *632:67 0.0215675 -160 *106:55 *634:14 0.000750412 -161 *106:55 *2152:8 0.00179571 -162 *106:55 *2153:24 0.000472618 -163 *106:55 *2198:17 0.000569049 -164 *106:55 *2274:16 0 -165 *106:55 *2312:11 0.000267546 -166 *106:56 *112:45 0.0202245 -167 *106:56 *113:29 0.00335066 -168 *106:56 *621:33 0.0761984 -169 *106:56 *622:32 0.0271529 -170 *106:56 *628:53 0.0212103 -171 *106:56 *642:55 0 -172 *106:56 *645:40 0.0281595 -173 *106:56 *646:32 0.0269156 -174 *106:56 *652:45 0.0226633 -175 *106:56 *653:27 0.00266842 -176 *106:59 *2821:analog_io[10] 0 -177 *106:59 *107:16 1.2693e-05 -178 *106:59 *646:35 0.00332329 -179 *106:62 *646:38 0.000591935 -180 *106:62 *646:56 0.00011818 -181 *106:62 *2265:8 0.000691706 -182 *106:67 *646:43 0.00106721 -183 *106:67 *666:22 0.000590384 -184 *106:80 *2822:mprj_io_vtrip_sel[16] 0.000633011 -185 *106:80 *622:56 2.41483e-05 -186 *106:80 *646:56 0.0293722 -187 *106:80 *2264:10 0.00663748 -188 *106:80 *2265:8 0.0156464 -189 *106:85 *646:61 0.00222943 -190 *106:85 *666:16 0.00111905 -191 *102:13 *106:37 0 -192 *102:26 *106:37 0.00130376 -193 *103:18 *106:13 0.0109626 -194 *103:18 *106:25 0.0305618 -195 *105:40 *106:56 0.0350266 -*RES -1 *2809:serial_clock_out *106:7 0.51465 -2 *106:7 *106:13 37.7566 -3 *106:13 *2783:serial_clock 26.9888 -4 *106:7 *106:25 60.6315 -5 *106:25 *106:30 4.9809 -6 *106:30 *106:31 256.797 -7 *106:31 *106:36 3.91004 -8 *106:36 *106:37 253.577 -9 *106:37 *106:42 3.83355 -10 *106:42 *106:43 1004.25 -11 *106:43 *106:51 13.3763 -12 *106:51 *106:55 43.3011 -13 *106:55 *106:56 388.964 -14 *106:56 *106:58 3.36879 -15 *106:58 *106:59 59.3444 -16 *106:59 *106:62 11.8786 -17 *106:62 *106:67 46.1284 -18 *106:67 *2795:serial_clock 39.1356 -19 *106:62 *106:80 307.974 -20 *106:80 *106:85 45.7132 -21 *106:85 *2794:serial_clock_out 34.6987 -*END - -*D_NET *107 1.10848 -*CONN -*I *2796:serial_clock I *D gpio_control_block -*I *2784:serial_clock I *D gpio_control_block -*I *2783:serial_clock_out O *D gpio_control_block -*I *2795:serial_clock_out O *D gpio_control_block -*CAP -1 *2796:serial_clock 0.00028151 -2 *2784:serial_clock 0.00374044 -3 *2783:serial_clock_out 0.000198641 -4 *2795:serial_clock_out 0.000410392 -5 *107:56 0.00132584 -6 *107:31 0.00459487 -7 *107:28 0.0341494 -8 *107:27 0.0334936 -9 *107:25 0.0294833 -10 *107:24 0.0294833 -11 *107:22 0.00136596 -12 *107:21 0.00158865 -13 *107:17 0.0107836 -14 *107:16 0.0103926 -15 *107:13 0.00128646 -16 *2783:serial_clock_out *2783:serial_data_in 4.80336e-05 -17 *2783:serial_clock_out *2783:serial_load 0 -18 *2783:serial_clock_out *685:10 0 -19 *2783:serial_clock_out *2647:17 9.2629e-05 -20 *2784:serial_clock *2784:resetn 0.0176211 -21 *2784:serial_clock *2784:serial_data_in 0 -22 *2784:serial_clock *2784:serial_load 0.000140742 -23 *2784:serial_clock *2785:serial_load 0.000392149 -24 *2784:serial_clock *2822:mprj_io_oeb[35] 0.000237331 -25 *2784:serial_clock *2822:mprj_io_vtrip_sel[35] 0.0109761 -26 *2784:serial_clock *619:20 0.00018817 -27 *2784:serial_clock *1733:8 0 -28 *2784:serial_clock *2477:7 0 -29 *2796:serial_clock *2796:resetn 0.00265668 -30 *2796:serial_clock *2796:serial_load 4.0752e-05 -31 *2796:serial_clock *648:13 0.000313928 -32 *2796:serial_clock *668:14 0.0020725 -33 *107:13 *623:13 5.42115e-05 -34 *107:13 *647:13 0.00185361 -35 *107:13 *667:13 1.65872e-05 -36 *107:13 *1999:13 0.000171456 -37 *107:13 *2626:13 0.000685247 -38 *107:16 *646:35 5.41377e-05 -39 *107:16 *647:16 0.00219003 -40 *107:17 *2822:mprj_io_dm[51] 0.00462219 -41 *107:17 *623:17 0.0419844 -42 *107:17 *623:21 0.00105478 -43 *107:17 *647:17 0.000330532 -44 *107:17 *2591:10 0.00172433 -45 *107:21 *624:17 5.51483e-06 -46 *107:21 *647:17 4.33655e-05 -47 *107:21 *647:21 0.000292214 -48 *107:21 *648:17 5.04829e-06 -49 *107:22 *647:22 0.00318737 -50 *107:25 *110:41 0.0956937 -51 *107:25 *624:23 0.0086717 -52 *107:25 *647:25 0.223402 -53 *107:28 *623:28 0.261657 -54 *107:28 *624:26 0 -55 *107:28 *646:29 0.246546 -56 *107:28 *647:28 0.000305738 -57 *107:28 *1723:27 0.0011896 -58 *107:28 *1732:31 0.0132421 -59 *107:31 *2783:serial_load 0 -60 *107:31 *2647:17 0.00100105 -61 *107:56 *647:58 0.00108687 -62 *2783:serial_clock *2783:serial_clock_out 3.50235e-05 -63 *106:59 *107:16 1.2693e-05 -*RES -1 *2795:serial_clock_out *107:13 33.0981 -2 *107:13 *107:16 41.2132 -3 *107:16 *107:17 457.717 -4 *107:17 *107:21 12.9878 -5 *107:21 *107:22 53.3233 -6 *107:22 *107:24 4.5 -7 *107:24 *107:25 2335.6 -8 *107:25 *107:27 4.5 -9 *107:27 *107:28 4190.69 -10 *107:28 *107:31 6.59301 -11 *107:31 *2783:serial_clock_out 0.636864 -12 *107:31 *2784:serial_clock 38.2363 -13 *107:17 *107:56 46.9589 -14 *107:56 *2796:serial_clock 37.4717 -*END - -*D_NET *108 1.08459 -*CONN -*I *2787:serial_clock I *D gpio_control_block -*I *2784:serial_clock_out O *D gpio_control_block -*I *2785:serial_clock I *D gpio_control_block -*I *2796:serial_clock_out O *D gpio_control_block -*CAP -1 *2787:serial_clock 0.000417755 -2 *2784:serial_clock_out 0.000354906 -3 *2785:serial_clock 0.000337712 -4 *2796:serial_clock_out 0.000211813 -5 *108:102 0.000782695 -6 *108:88 0.00374983 -7 *108:77 0.00477945 -8 *108:76 0.00138452 -9 *108:74 0.00455386 -10 *108:73 0.00462591 -11 *108:55 0.000750587 -12 *108:52 0.00198094 -13 *108:45 0.00378835 -14 *108:38 0.00350659 -15 *108:29 0.00388352 -16 *108:28 0.00266927 -17 *108:26 0.0429011 -18 *108:25 0.0429011 -19 *108:23 0.0339372 -20 *108:22 0.0340012 -21 *108:19 0.000428934 -22 *108:17 0.00830536 -23 *108:16 0.00910396 -24 *108:13 0.00101041 -25 *2784:serial_clock_out *2784:pad_gpio_in 0.00124379 -26 *2784:serial_clock_out *2784:resetn_out 0.00119948 -27 *2785:serial_clock *2785:resetn 0.00191025 -28 *2785:serial_clock *2785:resetn_out 0.00180607 -29 *2785:serial_clock *2785:serial_clock_out 3.8079e-05 -30 *2787:serial_clock *2787:serial_load 0.00170075 -31 *2787:serial_clock *2787:user_gpio_out 0.000104638 -32 *2787:serial_clock *109:13 0.000309013 -33 *2787:serial_clock *625:13 0.00143941 -34 *2787:serial_clock *649:13 3.11982e-05 -35 *2787:serial_clock *668:29 0.000330532 -36 *2787:serial_clock *2191:16 0 -37 *108:13 *624:13 0.000749011 -38 *108:13 *668:17 0.00170494 -39 *108:13 *2592:20 0.000429755 -40 *108:16 *647:22 7.77309e-06 -41 *108:16 *648:16 0.00214375 -42 *108:16 *2592:25 0 -43 *108:17 *2822:mprj_io_slow_sel[18] 0.00179517 -44 *108:17 *648:17 0.0380938 -45 *108:17 *2267:8 7.92757e-06 -46 *108:17 *2593:8 0.00131375 -47 *108:22 *109:14 0.000449924 -48 *108:22 *648:22 0.000446326 -49 *108:23 *648:23 0.175813 -50 *108:23 *692:17 0.0277147 -51 *108:26 *630:23 0 -52 *108:26 *645:37 0.229559 -53 *108:26 *648:26 0.28261 -54 *108:26 *692:14 0 -55 *108:26 *1729:31 7.77309e-06 -56 *108:26 *1750:13 0.0147427 -57 *108:29 *648:29 0.0111883 -58 *108:38 *2785:serial_load 7.31006e-05 -59 *108:38 *2822:mprj_io_oeb[36] 0.000573789 -60 *108:38 *648:32 0 -61 *108:38 *648:77 0.00042485 -62 *108:38 *2077:10 0 -63 *108:38 *2078:10 0.000219405 -64 *108:38 *2401:15 0 -65 *108:38 *2477:8 0 -66 *108:45 *2822:mprj_io_oeb[36] 0.00883824 -67 *108:45 *648:77 0.0118708 -68 *108:45 *649:71 0.0003013 -69 *108:45 *2287:13 0.000455138 -70 *108:52 *649:75 0 -71 *108:55 *109:29 0.00159874 -72 *108:55 *649:83 3.99086e-06 -73 *108:73 *648:36 2.87136e-06 -74 *108:74 *2784:mgmt_gpio_oeb 0 -75 *108:74 *648:37 0.0209641 -76 *108:77 *648:40 0.000477435 -77 *108:77 *2287:17 0.00272784 -78 *108:88 *2784:gpio_defaults[0] 1.23056e-05 -79 *108:88 *648:51 0.00264936 -80 *108:88 *2287:25 0.000831757 -81 *108:88 *2287:28 0.0143405 -82 *108:102 *109:14 0.00214463 -83 *108:102 *648:22 3.31882e-05 -84 *108:102 *648:102 0.00216439 -85 *103:24 *108:26 0.0046213 -*RES -1 *2796:serial_clock_out *108:13 30.8032 -2 *108:13 *108:16 39.5522 -3 *108:16 *108:17 398.929 -4 *108:17 *108:19 4.5 -5 *108:19 *108:22 11.7303 -6 *108:22 *108:23 1839.23 -7 *108:23 *108:25 4.5 -8 *108:25 *108:26 4517.7 -9 *108:26 *108:28 4.5 -10 *108:28 *108:29 118.854 -11 *108:29 *108:38 36.9407 -12 *108:38 *108:45 28.0967 -13 *108:45 *108:52 48.1381 -14 *108:52 *108:55 22.4161 -15 *108:55 *2785:serial_clock 34.287 -16 *108:38 *108:73 8.71216 -17 *108:73 *108:74 219.237 -18 *108:74 *108:76 4.5 -19 *108:76 *108:77 60.1749 -20 *108:77 *108:88 48.3638 -21 *108:88 *2784:serial_clock_out 24.6416 -22 *108:19 *108:102 40.3827 -23 *108:102 *2787:serial_clock 36.3625 -*END - -*D_NET *109 0.962979 -*CONN -*I *2785:serial_clock_out O *D gpio_control_block -*I *2787:serial_clock_out O *D gpio_control_block -*CAP -1 *2785:serial_clock_out 0.000716783 -2 *2787:serial_clock_out 0.000635554 -3 *109:29 0.00274277 -4 *109:28 0.00202599 -5 *109:26 0.0369655 -6 *109:25 0.0369655 -7 *109:23 0.00247725 -8 *109:22 0.00247725 -9 *109:20 0.00481373 -10 *109:19 0.00481373 -11 *109:17 0.0230638 -12 *109:16 0.0230638 -13 *109:14 0.00268222 -14 *109:13 0.00331777 -15 *2785:serial_clock_out *2785:resetn_out 0.00164619 -16 *109:13 *2787:serial_load 0.00165184 -17 *109:13 *2787:user_gpio_out 0.000118134 -18 *109:13 *2133:17 0 -19 *109:14 *648:22 7.77309e-06 -20 *109:17 *118:34 1.40978e-05 -21 *109:17 *624:23 0.00432426 -22 *109:17 *633:38 0.0198872 -23 *109:17 *634:27 1.22938e-05 -24 *109:17 *641:48 0.0464588 -25 *109:17 *649:35 0.159854 -26 *109:17 *1714:20 0.00967107 -27 *109:20 *649:44 0 -28 *109:20 *653:32 0 -29 *109:26 *624:26 0.27002 -30 *109:26 *625:20 0.285334 -31 *109:26 *1721:27 0.00390219 -32 *109:26 *1734:16 0.00615398 -33 *109:26 *2725:14 0 -34 *109:29 *649:83 0.00023642 -35 *109:29 *2649:13 0.00238457 -36 *2785:serial_clock *2785:serial_clock_out 3.8079e-05 -37 *2787:serial_clock *109:13 0.000309013 -38 *108:22 *109:14 0.000449924 -39 *108:55 *109:29 0.00159874 -40 *108:102 *109:14 0.00214463 -*RES -1 *2787:serial_clock_out *109:13 37.5349 -2 *109:13 *109:14 85.7129 -3 *109:14 *109:16 4.5 -4 *109:16 *109:17 1872.51 -5 *109:17 *109:19 4.5 -6 *109:19 *109:20 133.882 -7 *109:20 *109:22 4.5 -8 *109:22 *109:23 66.1666 -9 *109:23 *109:25 4.5 -10 *109:25 *109:26 4558.81 -11 *109:26 *109:28 4.5 -12 *109:28 *109:29 75.5949 -13 *109:29 *2785:serial_clock_out 35.8802 -*END - -*D_NET *110 1.15558 -*CONN -*I *2797:serial_clock I *D gpio_control_block -*I *2782:serial_clock_out O *D gpio_control_block -*I *2811:serial_clock I *D gpio_control_block -*I *2810:serial_clock_out O *D gpio_control_block -*CAP -1 *2797:serial_clock 0.000354893 -2 *2782:serial_clock_out 0.000478742 -3 *2811:serial_clock 0.000107108 -4 *2810:serial_clock_out 0.000667845 -5 *110:58 0.00304037 -6 *110:57 0.00264497 -7 *110:52 0.00374057 -8 *110:51 0.00365722 -9 *110:49 0.00349917 -10 *110:47 0.0031661 -11 *110:44 0.0374394 -12 *110:43 0.0374176 -13 *110:41 0.0235712 -14 *110:40 0.0235712 -15 *110:38 0.00173044 -16 *110:37 0.00205483 -17 *110:23 0.00269085 -18 *110:22 0.00258374 -19 *110:20 0.000522667 -20 *110:19 0.000522667 -21 *110:17 0.00435992 -22 *110:16 0.00468431 -23 *110:14 0.00137283 -24 *110:13 0.00204068 -25 *2782:serial_clock_out *2782:serial_data_in 0.000111633 -26 *2782:serial_clock_out *669:13 0.000140404 -27 *2782:serial_clock_out *2706:17 0 -28 *2797:serial_clock *2797:resetn 0.000622168 -29 *2797:serial_clock *2797:resetn_out 3.54846e-05 -30 *2797:serial_clock *2797:serial_clock_out 3.81915e-05 -31 *2797:serial_clock *669:30 0.000122352 -32 *110:13 *2810:serial_data_in 3.52699e-05 -33 *110:13 *2810:user_gpio_oeb 0.000202021 -34 *110:13 *616:23 0.00165828 -35 *110:13 *640:74 0.000177235 -36 *110:13 *689:23 5.04829e-06 -37 *110:14 *650:14 0.00159945 -38 *110:17 *2822:mprj_io_analog_en[20] 1.44742e-05 -39 *110:17 *2822:mprj_io_holdover[20] 0.00120936 -40 *110:17 *2822:mprj_io_slow_sel[20] 0.000711745 -41 *110:17 *650:17 0.0214278 -42 *110:17 *2004:17 0 -43 *110:17 *2308:23 0.000521541 -44 *110:17 *2460:15 0.000761769 -45 *110:20 *626:26 3.31736e-05 -46 *110:20 *650:20 0.00341139 -47 *110:20 *1718:37 0.00345838 -48 *110:23 *626:29 0.00441664 -49 *110:23 *690:23 9.90116e-05 -50 *110:23 *1718:40 0.00013824 -51 *110:37 *650:17 0.00011818 -52 *110:37 *650:37 0.00132347 -53 *110:38 *650:38 0.00337008 -54 *110:38 *2669:7 0.000366015 -55 *110:41 *111:19 0.00661424 -56 *110:41 *623:25 0.000391571 -57 *110:41 *624:23 0.0036216 -58 *110:41 *647:25 1.92172e-05 -59 *110:41 *1712:20 0.0238372 -60 *110:41 *1715:20 0.202956 -61 *110:44 *626:50 0.29148 -62 *110:44 *650:44 0.292023 -63 *110:49 *2797:resetn 0.000113968 -64 *110:49 *650:53 0.0117713 -65 *110:49 *1725:24 1.41976e-05 -66 *110:49 *1728:24 0.000279817 -67 *110:49 *1745:10 0.000700977 -68 *110:52 *2822:mprj_io_analog_en[2] 0 -69 *110:52 *2822:mprj_io_analog_pol[2] 0 -70 *110:52 *2822:mprj_io_analog_sel[2] 0 -71 *110:52 *2822:mprj_io_dm[6] 0 -72 *110:52 *2822:mprj_io_dm[8] 0 -73 *110:52 *2822:mprj_io_holdover[2] 0 -74 *110:52 *2822:mprj_io_ib_mode_sel[2] 0 -75 *110:52 *2822:mprj_io_inp_dis[2] 0 -76 *110:52 *2822:mprj_io_oeb[2] 0 -77 *110:52 *2822:mprj_io_out[2] 0 -78 *110:52 *2822:mprj_io_vtrip_sel[2] 0 -79 *110:52 *626:56 0 -80 *110:52 *650:56 0.00245862 -81 *110:52 *1976:16 0 -82 *110:52 *2159:8 0 -83 *110:52 *2159:14 0.00386532 -84 *110:57 *626:71 5.481e-05 -85 *110:58 *650:56 0.00738597 -86 *110:58 *669:20 0.000210429 -87 *2782:serial_clock *2782:serial_clock_out 3.84497e-05 -88 *107:25 *110:41 0.0956937 -*RES -1 *2810:serial_clock_out *110:13 37.5349 -2 *110:13 *110:14 53.3233 -3 *110:14 *110:16 4.5 -4 *110:16 *110:17 225.338 -5 *110:17 *110:19 4.5 -6 *110:19 *110:20 57.0605 -7 *110:20 *110:22 4.5 -8 *110:22 *110:23 111.644 -9 *110:23 *2811:serial_clock 8.46357 -10 *110:16 *110:37 19.6431 -11 *110:37 *110:38 69.1029 -12 *110:38 *110:40 4.5 -13 *110:40 *110:41 2322.29 -14 *110:41 *110:43 4.5 -15 *110:43 *110:44 4672.17 -16 *110:44 *110:47 5.07872 -17 *110:47 *110:49 133.274 -18 *110:49 *110:51 4.5 -19 *110:51 *110:52 145.925 -20 *110:52 *110:57 10.8326 -21 *110:57 *110:58 118.518 -22 *110:58 *2782:serial_clock_out 26.1585 -23 *110:49 *2797:serial_clock 19.1014 -*END - -*D_NET *111 1.20055 -*CONN -*I *2812:serial_clock I *D gpio_control_block -*I *2798:serial_clock I *D gpio_control_block -*I *2797:serial_clock_out O *D gpio_control_block -*I *2811:serial_clock_out O *D gpio_control_block -*CAP -1 *2812:serial_clock 0.000121553 -2 *2798:serial_clock 0.000171921 -3 *2797:serial_clock_out 0.000920475 -4 *2811:serial_clock_out 0.000364563 -5 *111:69 0.00192481 -6 *111:68 0.00180325 -7 *111:66 0.000458558 -8 *111:65 0.000458558 -9 *111:63 0.00402393 -10 *111:62 0.00402393 -11 *111:42 0.00215127 -12 *111:41 0.00135854 -13 *111:36 0.00238749 -14 *111:35 0.00240719 -15 *111:30 0.00156677 -16 *111:28 0.00173315 -17 *111:25 0.00336681 -18 *111:24 0.0032249 -19 *111:22 0.0385263 -20 *111:21 0.0385263 -21 *111:19 0.0203717 -22 *111:18 0.0203717 -23 *111:16 0.00210609 -24 *111:14 0.00264889 -25 *111:13 0.000907365 -26 *2797:serial_clock_out *2797:resetn_out 0.000485728 -27 *2797:serial_clock_out *2797:serial_data_in 6.87017e-05 -28 *2797:serial_clock_out *2797:user_gpio_oeb 0.000812331 -29 *2797:serial_clock_out *626:56 0.000577902 -30 *2797:serial_clock_out *627:40 0.000589426 -31 *2797:serial_clock_out *669:30 5.07836e-05 -32 *2798:serial_clock *2798:resetn 0 -33 *2798:serial_clock *2798:resetn_out 3.84497e-05 -34 *2798:serial_clock *2798:serial_clock_out 6.19346e-06 -35 *2798:serial_clock *2798:serial_data_in 0 -36 *111:13 *627:13 0.000115827 -37 *111:13 *651:13 0.00175385 -38 *111:13 *689:11 4.0752e-05 -39 *111:13 *2632:13 0.000638598 -40 *111:14 *651:14 0.000580107 -41 *111:14 *651:16 7.77309e-06 -42 *111:16 *651:16 0.00166362 -43 *111:19 *112:45 0.00504155 -44 *111:19 *622:32 0.00068028 -45 *111:19 *623:25 0.128813 -46 *111:19 *646:32 0.171911 -47 *111:19 *1711:20 0.0278329 -48 *111:19 *1712:20 0.0900867 -49 *111:22 *112:48 0.257655 -50 *111:22 *626:50 0.274513 -51 *111:25 *2798:serial_clock_out 0.000507342 -52 *111:25 *627:25 0.0114697 -53 *111:28 *627:28 0.00034899 -54 *111:30 *2797:resetn_out 0.000263705 -55 *111:30 *2798:serial_data_in 0 -56 *111:30 *627:28 0.003768 -57 *111:30 *2061:16 0 -58 *111:30 *2079:13 0.000119662 -59 *111:30 *2181:13 0.000145708 -60 *111:30 *2251:14 0 -61 *111:35 *627:33 0.000530151 -62 *111:36 *2822:mprj_io_analog_en[3] 0 -63 *111:36 *2822:mprj_io_analog_pol[3] 0 -64 *111:36 *2822:mprj_io_analog_sel[3] 0 -65 *111:36 *2822:mprj_io_dm[10] 0 -66 *111:36 *2822:mprj_io_dm[9] 0 -67 *111:36 *2822:mprj_io_inp_dis[3] 0 -68 *111:36 *2822:mprj_io_slow_sel[3] 0 -69 *111:36 *627:34 0.00747852 -70 *111:36 *670:14 1.2693e-05 -71 *111:36 *2061:16 0.000999667 -72 *111:36 *2289:10 0 -73 *111:41 *627:39 0.000543025 -74 *111:42 *2797:resetn_out 0.000945643 -75 *111:42 *627:40 0.00353023 -76 *111:63 *2822:mprj_io_holdover[21] 0.00045966 -77 *111:63 *2822:mprj_io_slow_sel[21] 0.000958047 -78 *111:63 *627:59 5.99856e-05 -79 *111:63 *651:56 0.0221628 -80 *111:63 *2005:17 1.80122e-05 -81 *111:63 *2195:17 0.00266316 -82 *111:63 *2233:17 0 -83 *111:66 *627:62 0.00327757 -84 *111:66 *651:59 0.00330212 -85 *111:69 *627:65 0.00834337 -86 *111:69 *651:62 0.000446342 -87 *111:69 *2709:11 0.00165828 -88 *2797:serial_clock *2797:serial_clock_out 3.81915e-05 -89 *110:41 *111:19 0.00661424 -*RES -1 *2811:serial_clock_out *111:13 32.467 -2 *111:13 *111:14 20.1031 -3 *111:14 *111:16 70.7639 -4 *111:16 *111:18 4.5 -5 *111:18 *111:19 2653.39 -6 *111:19 *111:21 4.5 -7 *111:21 *111:22 4385.65 -8 *111:22 *111:24 4.5 -9 *111:24 *111:25 137.156 -10 *111:25 *111:28 10.2769 -11 *111:28 *111:30 61.8359 -12 *111:30 *111:35 14.7148 -13 *111:35 *111:36 120.594 -14 *111:36 *111:41 14.7148 -15 *111:41 *111:42 56.7552 -16 *111:42 *2797:serial_clock_out 39.4348 -17 *111:28 *2798:serial_clock 3.89091 -18 *111:14 *111:62 4.5 -19 *111:62 *111:63 234.212 -20 *111:63 *111:65 4.5 -21 *111:65 *111:66 53.3233 -22 *111:66 *111:68 4.5 -23 *111:68 *111:69 97.779 -24 *111:69 *2812:serial_clock 8.46357 -*END - -*D_NET *112 1.16518 -*CONN -*I *2799:serial_clock I *D gpio_control_block -*I *2798:serial_clock_out O *D gpio_control_block -*I *2813:serial_clock I *D gpio_control_block -*I *2812:serial_clock_out O *D gpio_control_block -*CAP -1 *2799:serial_clock 0.0011476 -2 *2798:serial_clock_out 0.00102678 -3 *2813:serial_clock 0.00040096 -4 *2812:serial_clock_out 0.00048452 -5 *112:54 0.00325804 -6 *112:53 0.00223126 -7 *112:51 0.00560075 -8 *112:50 0.00445315 -9 *112:48 0.0888998 -10 *112:47 0.0888998 -11 *112:45 0.0178445 -12 *112:44 0.0178445 -13 *112:42 0.00175951 -14 *112:41 0.00211413 -15 *112:23 0.00150233 -16 *112:22 0.00110137 -17 *112:20 0.00114391 -18 *112:19 0.00114391 -19 *112:17 0.00448036 -20 *112:16 0.00483498 -21 *112:14 0.00048452 -22 *2798:serial_clock_out *2798:serial_data_in 5.81968e-05 -23 *2798:serial_clock_out *627:25 3.99086e-06 -24 *2799:serial_clock *2799:resetn_out 4.31122e-05 -25 *2799:serial_clock *2799:serial_clock_out 3.84497e-05 -26 *2813:serial_clock *2813:serial_data_in 0.000397296 -27 *2813:serial_clock *2813:serial_load 0.000972097 -28 *2813:serial_clock *113:19 1.45065e-05 -29 *2813:serial_clock *653:17 0 -30 *2813:serial_clock *1720:40 0.000801619 -31 *2813:serial_clock *2634:19 0.00048572 -32 *112:14 *628:16 0.00114957 -33 *112:14 *652:17 0.00117561 -34 *112:14 *690:13 0.000851028 -35 *112:14 *2348:13 0.000193186 -36 *112:14 *2348:16 2.82537e-05 -37 *112:14 *2424:16 0.0010699 -38 *112:17 *2822:mprj_io_slow_sel[22] 0.000169253 -39 *112:17 *628:17 0.0198748 -40 *112:17 *628:49 6.50727e-05 -41 *112:20 *628:20 0.00328116 -42 *112:23 *2813:serial_data_in 1.18914e-05 -43 *112:23 *628:23 0.00568799 -44 *112:23 *652:25 0 -45 *112:23 *691:13 4.99739e-05 -46 *112:23 *1720:40 0.00870944 -47 *112:41 *628:49 0.00142324 -48 *112:41 *2633:12 0.00014667 -49 *112:42 *628:50 0.00440928 -50 *112:45 *622:32 0.20215 -51 *112:45 *628:53 0.282585 -52 *112:45 *1711:20 0.0614473 -53 *112:54 *659:25 0.0168982 -54 *112:54 *1708:20 0.0169018 -55 *2798:serial_clock *2798:serial_clock_out 6.19346e-06 -56 *106:56 *112:45 0.0202245 -57 *111:19 *112:45 0.00504155 -58 *111:22 *112:48 0.257655 -59 *111:25 *2798:serial_clock_out 0.000507342 -*RES -1 *2812:serial_clock_out *112:14 46.9612 -2 *112:14 *112:16 4.5 -3 *112:16 *112:17 209.254 -4 *112:17 *112:19 4.5 -5 *112:19 *112:20 52.4928 -6 *112:20 *112:22 4.5 -7 *112:22 *112:23 93.0649 -8 *112:23 *2813:serial_clock 32.7817 -9 *112:16 *112:41 20.1977 -10 *112:41 *112:42 72.8401 -11 *112:42 *112:44 4.5 -12 *112:44 *112:45 2953.99 -13 *112:45 *112:47 4.5 -14 *112:47 *112:48 4114.91 -15 *112:48 *112:50 4.5 -16 *112:50 *112:51 113.863 -17 *112:51 *112:53 4.5 -18 *112:53 *112:54 270.915 -19 *112:54 *2798:serial_clock_out 39.3218 -20 *112:51 *2799:serial_clock 33.5895 -*END - -*D_NET *113 1.25254 -*CONN -*I *2800:serial_clock I *D gpio_control_block -*I *2799:serial_clock_out O *D gpio_control_block -*I *2814:serial_clock I *D gpio_control_block -*I *2813:serial_clock_out O *D gpio_control_block -*CAP -1 *2800:serial_clock 0.000876649 -2 *2799:serial_clock_out 0.000615538 -3 *2814:serial_clock 0.00013553 -4 *2813:serial_clock_out 0.000611209 -5 *113:72 0.00283297 -6 *113:71 0.00397658 -7 *113:66 0.0313247 -8 *113:65 0.0304422 -9 *113:63 0.0603247 -10 *113:54 0.000963565 -11 *113:53 0.00158097 -12 *113:48 0.00390021 -13 *113:47 0.00470353 -14 *113:40 0.00217071 -15 *113:35 0.00212153 -16 *113:32 0.0030517 -17 *113:29 0.00393832 -18 *113:28 0.00291482 -19 *113:22 0.0608458 -20 *113:20 0.00384622 -21 *113:19 0.00445742 -22 *2799:serial_clock_out *2799:serial_data_in 0.000378692 -23 *2800:serial_clock *2800:resetn 0.000423878 -24 *2800:serial_clock *2800:resetn_out 4.31122e-05 -25 *2800:serial_clock *2800:serial_clock_out 3.84497e-05 -26 *2800:serial_clock *672:14 0.000148843 -27 *2800:serial_clock *1739:20 0.000353972 -28 *2814:serial_clock *2814:resetn 0 -29 *2814:serial_clock *2814:serial_data_in 0 -30 *2814:serial_clock *654:9 4.31122e-05 -31 *2814:serial_clock *692:7 0 -32 *113:19 *2813:serial_data_in 6.66147e-05 -33 *113:19 *629:19 0.00135517 -34 *113:19 *652:25 0.000148162 -35 *113:19 *653:17 0.00164764 -36 *113:19 *691:13 0.00118033 -37 *113:20 *691:14 0.00142966 -38 *113:28 *634:27 0.000436825 -39 *113:29 *622:32 0.00161462 -40 *113:29 *645:40 0.0281073 -41 *113:29 *653:27 0.0232681 -42 *113:32 *649:38 0 -43 *113:48 *646:29 0.00901458 -44 *113:53 *653:41 0 -45 *113:53 *692:11 0.000506595 -46 *113:53 *2426:13 0.0010712 -47 *113:54 *2814:serial_data_in 0.000101851 -48 *113:54 *653:42 0.00374132 -49 *113:54 *692:8 0.00169099 -50 *113:54 *2426:8 0.000110764 -51 *113:63 *621:39 0.0604808 -52 *113:63 *632:70 0.0467789 -53 *113:63 *634:27 0.321329 -54 *113:63 *641:48 2.37827e-05 -55 *113:66 *635:14 0.241788 -56 *113:66 *653:54 0.241784 -57 *113:71 *653:59 0.00076693 -58 *113:72 *2800:resetn 4.70104e-05 -59 *113:72 *116:49 0.00225222 -60 *113:72 *653:60 0.0162518 -61 *113:72 *1738:20 0.0139163 -62 *113:72 *1739:20 0.00115938 -63 *2799:serial_clock *2799:serial_clock_out 3.84497e-05 -64 *2813:serial_clock *113:19 1.45065e-05 -65 *106:56 *113:29 0.00335066 -*RES -1 *2813:serial_clock_out *113:19 39.1739 -2 *113:19 *113:20 119.556 -3 *113:20 *113:22 4.5 -4 *113:22 *113:28 22.4969 -5 *113:28 *113:29 307.419 -6 *113:29 *113:32 47.442 -7 *113:32 *113:35 45.7095 -8 *113:35 *113:40 26.1963 -9 *113:40 *113:47 47.5662 -10 *113:47 *113:48 144.264 -11 *113:48 *113:53 34.126 -12 *113:53 *113:54 63.9122 -13 *113:54 *2814:serial_clock 3.89091 -14 *113:22 *113:63 3362.18 -15 *113:63 *113:65 4.5 -16 *113:65 *113:66 3859.94 -17 *113:66 *113:71 31.9075 -18 *113:71 *113:72 260.534 -19 *113:72 *2799:serial_clock_out 30.3251 -20 *113:71 *2800:serial_clock 42.1231 -*END - -*D_NET *114 1.59688 -*CONN -*I *2800:serial_clock_out O *D gpio_control_block -*I *2801:serial_clock I *D gpio_control_block -*I *2815:serial_clock I *D gpio_control_block -*I *2814:serial_clock_out O *D gpio_control_block -*CAP -1 *2800:serial_clock_out 9.84522e-05 -2 *2801:serial_clock 0.000108226 -3 *2815:serial_clock 0.000740678 -4 *2814:serial_clock_out 2.68684e-05 -5 *114:76 2.68684e-05 -6 *114:60 0.000809904 -7 *114:59 0.000912733 -8 *114:54 0.00235545 -9 *114:53 0.00230551 -10 *114:48 0.00205554 -11 *114:47 0.00189443 -12 *114:45 0.000648082 -13 *114:42 0.00376214 -14 *114:41 0.00321251 -15 *114:39 0.0212839 -16 *114:38 0.0212839 -17 *114:36 0.0282818 -18 *114:35 0.0282818 -19 *114:33 0.00500229 -20 *114:32 0.00500229 -21 *114:11 0.0156046 -22 *114:10 0.0148639 -23 *114:8 0.001812 -24 *114:7 0.001812 -25 *114:5 0.00198336 -26 *114:4 0.00198336 -27 *2800:serial_clock_out *2800:resetn_out 0 -28 *2800:serial_clock_out *2800:serial_data_in 4.31122e-05 -29 *2800:serial_clock_out *673:7 0 -30 *2801:serial_clock *2801:resetn_out 5.37048e-05 -31 *2801:serial_clock *2801:serial_clock_out 5.23679e-05 -32 *2801:serial_clock *115:67 2.05416e-05 -33 *2801:serial_clock *655:48 3.11219e-05 -34 *2815:serial_clock *2815:serial_data_in 0.000313913 -35 *2815:serial_clock *2815:user_gpio_oeb 0 -36 *2815:serial_clock *2815:user_gpio_out 0 -37 *2815:serial_clock *2816:serial_load 1.79334e-05 -38 *2815:serial_clock *632:55 0.000952497 -39 *2815:serial_clock *655:7 5.22322e-05 -40 *2815:serial_clock *693:8 0.000531644 -41 *2815:serial_clock *2674:17 4.28856e-07 -42 *114:5 *2236:5 0 -43 *114:5 *2312:5 0 -44 *114:8 *654:15 0.000207453 -45 *114:11 *632:55 0 -46 *114:11 *654:10 0 -47 *114:11 *693:8 0.0433214 -48 *114:33 *2712:17 0 -49 *114:36 *117:47 0.000361352 -50 *114:36 *630:23 0.00151151 -51 *114:36 *631:30 0.0015992 -52 *114:36 *654:37 0.0160613 -53 *114:36 *656:29 0.172355 -54 *114:36 *1722:27 0.201723 -55 *114:39 *619:23 0.400226 -56 *114:39 *630:26 4.42985e-05 -57 *114:39 *644:69 0.0474171 -58 *114:39 *654:40 0.402618 -59 *114:42 *630:29 0.0328512 -60 *114:42 *654:43 0.0324959 -61 *114:42 *2405:14 0.000834009 -62 *114:45 *2800:resetn_out 0 -63 *114:45 *2800:serial_load 0 -64 *114:45 *654:46 0 -65 *114:45 *673:7 0 -66 *114:48 *2800:serial_data_in 4.3116e-06 -67 *114:48 *2800:user_gpio_out 0.000924368 -68 *114:48 *673:8 0.00536542 -69 *114:53 *673:13 0.000536581 -70 *114:54 *630:35 0 -71 *114:54 *630:46 0 -72 *114:54 *630:47 0 -73 *114:54 *654:53 0.0046598 -74 *114:54 *673:14 1.87269e-05 -75 *114:54 *2094:16 0.00159904 -76 *114:54 *2406:14 0.00283599 -77 *114:59 *673:19 0.00050655 -78 *114:60 *2801:resetn 4.05974e-05 -79 *114:60 *655:51 6.81013e-05 -80 *114:60 *673:20 0.00375711 -81 *114:60 *2368:8 0.00299366 -82 *114:60 *2482:13 0.000107108 -83 *2800:serial_clock *2800:serial_clock_out 3.84497e-05 -84 *104:43 *114:39 0.0515766 -*RES -1 *2814:serial_clock_out *114:4 3.36879 -2 *114:4 *114:5 56.0224 -3 *114:5 *114:7 4.5 -4 *114:7 *114:8 50.0831 -5 *114:8 *114:10 4.5 -6 *114:10 *114:11 691.151 -7 *114:11 *2815:serial_clock 41.3571 -8 *114:11 *114:32 4.5 -9 *114:32 *114:33 129.391 -10 *114:33 *114:35 4.5 -11 *114:35 *114:36 3297.9 -12 *114:36 *114:38 3.36879 -13 *114:38 *114:39 508.518 -14 *114:39 *114:41 0.376635 -15 *114:41 *114:42 65.2037 -16 *114:42 *114:45 1.68861 -17 *114:45 *114:47 3.36879 -18 *114:47 *114:48 91.3188 -19 *114:48 *114:53 15.2694 -20 *114:53 *114:54 121.009 -21 *114:54 *114:59 15.2694 -22 *114:59 *114:60 61.4207 -23 *114:60 *2801:serial_clock 3.81442 -24 *114:45 *2800:serial_clock_out 0.445638 -25 *2814:serial_clock_out *114:76 0.0631875 -*END - -*D_NET *115 1.51038 -*CONN -*I *2801:serial_clock_out O *D gpio_control_block -*I *2802:serial_clock I *D gpio_control_block -*I *2816:serial_clock I *D gpio_control_block -*I *2815:serial_clock_out O *D gpio_control_block -*CAP -1 *2801:serial_clock_out 8.07026e-05 -2 *2802:serial_clock 0.000602532 -3 *2816:serial_clock 0.000428519 -4 *2815:serial_clock_out 0.00553363 -5 *115:90 1.65112e-05 -6 *115:70 0.00501555 -7 *115:69 0.00441302 -8 *115:67 0.00060257 -9 *115:64 0.00567301 -10 *115:63 0.00515115 -11 *115:61 0.0250388 -12 *115:60 0.0250388 -13 *115:58 0.0295302 -14 *115:57 0.0295302 -15 *115:55 0.00286842 -16 *115:54 0.00286842 -17 *115:43 0.000685883 -18 *115:39 0.0011751 -19 *115:38 0.000917736 -20 *115:36 0.000814877 -21 *115:32 0.00110782 -22 *115:24 0.00817518 -23 *115:23 0.00788224 -24 *115:21 0.00263789 -25 *115:20 0.0030426 -26 *115:10 0.00592183 -27 *2801:serial_clock_out *2801:serial_data_in 5.76421e-05 -28 *2802:serial_clock *2802:resetn_out 6.16945e-05 -29 *2802:serial_clock *2802:serial_clock_out 5.25177e-05 -30 *2802:serial_clock *116:56 3.51785e-06 -31 *2802:serial_clock *631:42 0.00187597 -32 *2802:serial_clock *655:51 0.000502891 -33 *2802:serial_clock *656:50 4.2993e-05 -34 *2802:serial_clock *2407:13 0.000272847 -35 *2802:serial_clock *2445:11 0.000605451 -36 *2816:serial_clock *2816:resetn 0.000632814 -37 *2816:serial_clock *2816:serial_data_in 0 -38 *2816:serial_clock *634:14 0.000636412 -39 *2816:serial_clock *656:13 0.000219699 -40 *2816:serial_clock *694:7 0 -41 *115:20 *2815:gpio_defaults[0] 1.07421e-06 -42 *115:32 *618:34 0.000239103 -43 *115:32 *632:49 0.00156989 -44 *115:32 *633:29 0.0015663 -45 *115:32 *2237:13 7.98171e-06 -46 *115:32 *2465:19 5.04829e-06 -47 *115:36 *2822:mprj_io_dm[75] 5.04829e-06 -48 *115:36 *633:29 4.58666e-05 -49 *115:36 *2009:28 0.00116115 -50 *115:36 *2237:14 0.00229051 -51 *115:39 *632:37 0.00473871 -52 *115:39 *634:14 1.3813e-05 -53 *115:39 *655:16 0.00660821 -54 *115:39 *2199:8 0.00186361 -55 *115:39 *2237:22 1.2819e-05 -56 *115:43 *2816:resetn 3.31882e-05 -57 *115:43 *632:37 1.3813e-05 -58 *115:43 *634:14 0.00152941 -59 *115:43 *655:24 0.00146429 -60 *115:55 *655:36 0.0113427 -61 *115:58 *116:43 0.0395422 -62 *115:58 *118:31 0.0338039 -63 *115:58 *641:45 0.0507105 -64 *115:58 *643:32 0.0572142 -65 *115:58 *655:39 0.00773729 -66 *115:58 *658:53 0.139427 -67 *115:58 *1722:27 0 -68 *115:61 *116:46 0.398637 -69 *115:61 *655:42 0.39886 -70 *115:64 *116:49 0.0522332 -71 *115:64 *655:45 0.051819 -72 *115:64 *1987:14 0.000963661 -73 *115:64 *2085:16 0.000915902 -74 *115:64 *2086:16 0.00115187 -75 *115:64 *2090:14 0.000820912 -76 *115:64 *2091:14 0.000946932 -77 *115:64 *2330:16 0.00105443 -78 *115:67 *2801:serial_data_in 3.11219e-05 -79 *115:67 *655:48 0 -80 *115:70 *631:42 0.00262156 -81 *115:70 *631:46 0.0254898 -82 *115:70 *655:51 0.0315725 -83 *115:70 *673:20 7.15968e-05 -84 *115:70 *2027:13 6.7566e-05 -85 *2801:serial_clock *2801:serial_clock_out 5.23679e-05 -86 *2801:serial_clock *115:67 2.05416e-05 -87 *102:49 *115:36 0.000386498 -*RES -1 *2815:serial_clock_out *115:10 49.972 -2 *115:10 *115:20 15.6293 -3 *115:20 *115:21 74.7088 -4 *115:21 *115:23 4.5 -5 *115:23 *115:24 214.8 -6 *115:24 *115:32 40.4187 -7 *115:32 *115:36 49.1436 -8 *115:36 *115:38 4.5 -9 *115:38 *115:39 106.683 -10 *115:39 *115:43 25.6113 -11 *115:43 *2816:serial_clock 15.0005 -12 *115:43 *115:54 4.5 -13 *115:54 *115:55 122.182 -14 *115:55 *115:57 4.5 -15 *115:57 *115:58 3023.41 -16 *115:58 *115:60 3.36879 -17 *115:60 *115:61 507.6 -18 *115:61 *115:63 0.376635 -19 *115:63 *115:64 103.61 -20 *115:64 *115:67 2.22404 -21 *115:67 *115:69 3.36879 -22 *115:69 *115:70 504.287 -23 *115:70 *2802:serial_clock 37.1079 -24 *115:67 *2801:serial_clock_out 0.369148 -25 *2815:serial_clock_out *115:90 0.0631875 -*END - -*D_NET *116 1.05183 -*CONN -*I *2802:serial_clock_out O *D gpio_control_block -*I *2786:serial_clock I *D gpio_control_block -*I *2817:serial_clock I *D gpio_control_block -*I *2816:serial_clock_out O *D gpio_control_block -*CAP -1 *2802:serial_clock_out 0.000138332 -2 *2786:serial_clock 0.000122814 -3 *2817:serial_clock 0.000510964 -4 *2816:serial_clock_out 6.94771e-05 -5 *116:65 0.00542715 -6 *116:64 0.00591153 -7 *116:56 0.0121394 -8 *116:49 0.0356942 -9 *116:48 0.0243003 -10 *116:46 0.0820935 -11 *116:45 0.0820935 -12 *116:43 0.0642104 -13 *116:42 0.0642104 -14 *116:40 0.00465171 -15 *116:39 0.00465171 -16 *116:28 0.000810605 -17 *116:22 0.00253894 -18 *116:21 0.00243268 -19 *116:16 0.000652386 -20 *116:15 0.00143666 -21 *116:10 0.00244005 -22 *116:9 0.00153187 -23 *2786:serial_clock *2786:resetn 1.43671e-05 -24 *2786:serial_clock *2786:serial_clock_out 1.43671e-05 -25 *2786:serial_clock *2484:9 0 -26 *2802:serial_clock_out *2802:serial_data_in 2.97005e-05 -27 *2802:serial_clock_out *2802:serial_load 0 -28 *2817:serial_clock *2817:serial_data_in 0 -29 *2817:serial_clock *117:7 9.94578e-05 -30 *2817:serial_clock *633:14 0.000108229 -31 *2817:serial_clock *657:7 0.000307994 -32 *2817:serial_clock *696:8 0 -33 *116:10 *2238:12 0.00218088 -34 *116:10 *2276:17 0.000429165 -35 *116:15 *2238:15 0.00226329 -36 *116:16 *618:34 0.000534602 -37 *116:16 *695:22 0.00179564 -38 *116:16 *2048:8 0.00148308 -39 *116:16 *2200:8 0.00150763 -40 *116:16 *2314:12 3.14199e-05 -41 *116:16 *2352:12 2.01595e-05 -42 *116:16 *2466:14 0.00179923 -43 *116:21 *2822:mprj_io_dm[79] 5.04829e-06 -44 *116:21 *2200:26 5.04829e-06 -45 *116:22 *632:20 0.00259912 -46 *116:22 *633:28 1.49176e-05 -47 *116:22 *634:14 0.00404022 -48 *116:22 *656:13 0.00115896 -49 *116:22 *656:14 0.000265893 -50 *116:22 *695:16 0 -51 *116:22 *1972:19 0.000449924 -52 *116:22 *2010:16 0.000878945 -53 *116:22 *2160:17 0.00135645 -54 *116:28 *2817:user_gpio_out 0 -55 *116:28 *633:14 0.000149861 -56 *116:28 *695:15 0.000103139 -57 *116:28 *696:8 0 -58 *116:40 *2817:user_gpio_out 0.00166117 -59 *116:43 *641:45 0.033809 -60 *116:43 *643:32 0.0572108 -61 *116:43 *1722:27 0 -62 *116:49 *653:60 0.0023207 -63 *116:49 *672:14 0.00248323 -64 *116:49 *1737:20 0.00119093 -65 *116:49 *1738:20 0.00330545 -66 *116:49 *1739:20 0.00554684 -67 *116:49 *1986:14 0.00100298 -68 *116:49 *2025:14 0.000988756 -69 *116:49 *2088:14 0.00102672 -70 *116:49 *2092:14 0.00097527 -71 *116:49 *2290:11 0.00151573 -72 *116:49 *2292:11 0.00143627 -73 *116:49 *2329:14 0.000951114 -74 *116:49 *2442:14 0.00127315 -75 *116:49 *2444:16 0.00139863 -76 *116:56 *2802:resetn_out 0 -77 *116:56 *2027:14 0.000121621 -78 *116:56 *2096:14 0.000216508 -79 *116:56 *2097:8 0.000203694 -80 *116:56 *2407:14 0.000127234 -81 *116:64 *2802:serial_load_out 0 -82 *116:64 *632:87 1.38068e-05 -83 *116:64 *656:50 0.000862881 -84 *116:64 *2255:8 0.000191158 -85 *116:64 *2445:11 0.00119834 -86 *116:65 *2786:pad_gpio_in 0.000113149 -87 *116:65 *632:85 8.73869e-05 -88 *116:65 *632:87 0.0127131 -89 *116:65 *2065:10 0.00129085 -90 *116:65 *2098:13 0.000113149 -91 *116:65 *2099:13 0.00055861 -92 *116:65 *2255:8 0.000550669 -93 *116:65 *2332:13 0.000409033 -94 *116:65 *2446:13 0.000524765 -95 *2802:serial_clock *2802:serial_clock_out 5.25177e-05 -96 *2802:serial_clock *116:56 3.51785e-06 -97 *113:72 *116:49 0.00225222 -98 *115:58 *116:43 0.0395422 -99 *115:61 *116:46 0.398637 -100 *115:64 *116:49 0.0522332 -*RES -1 *2816:serial_clock_out *116:9 3.59493 -2 *116:9 *116:10 59.7597 -3 *116:10 *116:15 41.8904 -4 *116:15 *116:16 53.7385 -5 *116:16 *116:21 12.4964 -6 *116:21 *116:22 142.602 -7 *116:22 *116:28 17.9263 -8 *116:28 *2817:serial_clock 8.81539 -9 *116:28 *116:39 4.5 -10 *116:39 *116:40 119.963 -11 *116:40 *116:42 4.5 -12 *116:42 *116:43 2754.33 -13 *116:43 *116:45 3.36879 -14 *116:45 *116:46 507.295 -15 *116:46 *116:48 0.376635 -16 *116:48 *116:49 135.531 -17 *116:49 *116:56 44.7795 -18 *116:56 *116:64 43.787 -19 *116:64 *116:65 236.242 -20 *116:65 *2786:serial_clock 3.72796 -21 *116:56 *2802:serial_clock_out 0.445638 -*END - -*D_NET *117 1.31137 -*CONN -*I *2788:serial_clock I *D gpio_control_block -*I *2786:serial_clock_out O *D gpio_control_block -*I *2818:serial_clock I *D gpio_control_block -*I *2817:serial_clock_out O *D gpio_control_block -*CAP -1 *2788:serial_clock 0.00110558 -2 *2786:serial_clock_out 0.00123808 -3 *2818:serial_clock 0.00179827 -4 *2817:serial_clock_out 7.88907e-05 -5 *117:59 0.00428802 -6 *117:58 0.00304994 -7 *117:56 0.00160418 -8 *117:53 0.0512832 -9 *117:52 0.0507846 -10 *117:50 0.0202286 -11 *117:49 0.0202286 -12 *117:47 0.0288516 -13 *117:46 0.0288516 -14 *117:44 0.00436232 -15 *117:43 0.00436232 -16 *117:27 0.00283082 -17 *117:22 0.00307301 -18 *117:21 0.00321571 -19 *117:8 0.00287147 -20 *117:7 0.00177512 -21 *2786:serial_clock_out *2786:serial_load 4.62112e-05 -22 *2786:serial_clock_out *2786:serial_load_out 1.4026e-05 -23 *2786:serial_clock_out *633:48 0.00122785 -24 *2786:serial_clock_out *676:7 0 -25 *2788:serial_clock *2788:resetn 4.69495e-06 -26 *2788:serial_clock *2788:resetn_out 1.53776e-05 -27 *2788:serial_clock *2788:serial_clock_out 0 -28 *2788:serial_clock *2788:serial_data_in 7.6158e-05 -29 *2788:serial_clock *633:61 2.42138e-05 -30 *2788:serial_clock *657:43 0.00299817 -31 *2788:serial_clock *2103:8 0 -32 *2788:serial_clock *2333:13 9.95542e-06 -33 *2788:serial_clock *2447:13 0.000470508 -34 *117:7 *2817:serial_data_in 9.94578e-05 -35 *117:8 *617:14 0 -36 *117:8 *2239:8 0.0036339 -37 *117:8 *2277:11 0.00180392 -38 *117:8 *2391:8 0 -39 *117:21 *618:34 0.000611413 -40 *117:21 *633:14 0.000159454 -41 *117:21 *2011:8 0.00026938 -42 *117:21 *2049:8 0.000272629 -43 *117:21 *2162:19 0.000224424 -44 *117:21 *2163:24 0.00014097 -45 *117:21 *2239:8 0.000369038 -46 *117:21 *2277:11 0.000182929 -47 *117:21 *2353:8 0.000122969 -48 *117:21 *2429:8 0.00012841 -49 *117:22 *617:14 0.00127709 -50 *117:22 *633:14 0 -51 *117:22 *634:14 0.00358974 -52 *117:22 *2011:8 0.00068251 -53 *117:22 *2049:8 7.57306e-05 -54 *117:22 *2163:24 0.000459831 -55 *117:44 *2604:20 0.00424767 -56 *117:47 *118:31 0.0390646 -57 *117:47 *617:26 0.0101694 -58 *117:47 *629:26 0.000709454 -59 *117:47 *631:30 0 -60 *117:47 *634:24 8.6297e-06 -61 *117:47 *654:37 0.0385186 -62 *117:47 *655:39 0.0222239 -63 *117:50 *617:29 0.349432 -64 *117:50 *631:33 0.349432 -65 *117:53 *118:37 0.138446 -66 *117:53 *643:44 0.0166526 -67 *117:56 *657:43 0.000713935 -68 *117:59 *633:43 0.0167655 -69 *117:59 *1711:17 0.00815788 -70 *2786:serial_clock *2786:serial_clock_out 1.43671e-05 -71 *2817:serial_clock *117:7 9.94578e-05 -72 *102:52 *117:50 0.0515451 -73 *103:30 *117:53 0.00822882 -74 *106:37 *117:21 0.000931513 -75 *106:37 *117:22 0.000775571 -76 *114:36 *117:47 0.000361352 -*RES -1 *2817:serial_clock_out *117:7 3.89091 -2 *117:7 *117:8 83.8443 -3 *117:8 *117:21 18.7139 -4 *117:21 *117:22 100.039 -5 *117:22 *117:27 36.899 -6 *117:27 *2818:serial_clock 26.7468 -7 *117:8 *117:43 4.5 -8 *117:43 *117:44 126.064 -9 *117:44 *117:46 4.5 -10 *117:46 *117:47 1637.93 -11 *117:47 *117:49 4.5 -12 *117:49 *117:50 3650.57 -13 *117:50 *117:52 4.5 -14 *117:52 *117:53 2479.64 -15 *117:53 *117:56 16.8701 -16 *117:56 *117:58 4.5 -17 *117:58 *117:59 267.593 -18 *117:59 *2786:serial_clock_out 46.8238 -19 *117:56 *2788:serial_clock 47.1803 -*END - -*D_NET *118 1.35399 -*CONN -*I *2788:serial_clock_out O *D gpio_control_block -*I *2789:serial_clock I *D gpio_control_block -*I *2804:serial_clock I *D gpio_control_block -*I *2818:serial_clock_out O *D gpio_control_block -*CAP -1 *2788:serial_clock_out 0.00453394 -2 *2789:serial_clock 0.00098676 -3 *2804:serial_clock 0.000663808 -4 *2818:serial_clock_out 0.000369451 -5 *118:40 0.00731508 -6 *118:37 0.0239023 -7 *118:36 0.0221079 -8 *118:34 0.0206875 -9 *118:33 0.0206875 -10 *118:31 0.0240281 -11 *118:30 0.0240281 -12 *118:28 0.00471862 -13 *118:27 0.00471862 -14 *118:10 0.0043253 -15 *118:8 0.00403095 -16 *2788:serial_clock_out *2788:resetn_out 0 -17 *2788:serial_clock_out *2788:serial_data_in 0.000130362 -18 *2788:serial_clock_out *1955:8 1.69979e-05 -19 *2788:serial_clock_out *1991:13 0.00631948 -20 *2788:serial_clock_out *1993:8 5.47006e-05 -21 *2788:serial_clock_out *2031:8 0.00147918 -22 *2788:serial_clock_out *2066:8 0.0103411 -23 *2788:serial_clock_out *2067:13 9.56027e-05 -24 *2788:serial_clock_out *2257:8 0.00358235 -25 *2788:serial_clock_out *2335:7 0.000366744 -26 *2788:serial_clock_out *2411:13 1.93857e-05 -27 *2789:serial_clock *2789:resetn_out 0.000195568 -28 *2789:serial_clock *2789:serial_data_in 0 -29 *2789:serial_clock *2219:8 0.000245749 -30 *2789:serial_clock *2297:8 0.00080632 -31 *2804:serial_clock *2804:serial_data_in 1.29018e-05 -32 *2804:serial_clock *617:11 0.000599113 -33 *2804:serial_clock *617:69 6.50727e-05 -34 *2804:serial_clock *641:10 8.63825e-06 -35 *118:8 *2818:resetn 0 -36 *118:8 *2818:serial_data_in 0.000213486 -37 *118:8 *617:14 0.000294841 -38 *118:8 *634:73 0.000142838 -39 *118:10 *2822:mprj_io_out[28] 0.000399574 -40 *118:10 *617:14 0.0155728 -41 *118:10 *634:73 0.00036422 -42 *118:10 *658:36 0 -43 *118:10 *2392:8 0.00756693 -44 *118:31 *634:24 0 -45 *118:31 *641:45 0.0394342 -46 *118:31 *655:39 0.0338248 -47 *118:34 *633:38 0.0508432 -48 *118:34 *634:27 0.349031 -49 *118:34 *641:48 0.348924 -50 *118:37 *634:30 0 -51 *118:37 *642:58 0.0184923 -52 *118:37 *2622:14 0.0482557 -53 *118:37 *2658:14 0.000383352 -54 *2788:serial_clock *2788:serial_clock_out 0 -55 *103:30 *118:37 0.0353749 -56 *106:37 *118:10 0.00210046 -57 *109:17 *118:34 1.40978e-05 -58 *115:58 *118:31 0.0338039 -59 *117:47 *118:31 0.0390646 -60 *117:53 *118:37 0.138446 -*RES -1 *2818:serial_clock_out *118:8 9.52571 -2 *118:8 *118:10 250.983 -3 *118:10 *2804:serial_clock 30.6535 -4 *118:8 *118:27 4.5 -5 *118:27 *118:28 122.182 -6 *118:28 *118:30 4.5 -7 *118:30 *118:31 1838.08 -8 *118:31 *118:33 4.5 -9 *118:33 *118:34 3650.02 -10 *118:34 *118:36 4.5 -11 *118:36 *118:37 2224.47 -12 *118:37 *118:40 8.12282 -13 *118:40 *2789:serial_clock 4.11168 -14 *118:40 *2788:serial_clock_out 38.7288 -*END - -*D_NET *119 1.13941 -*CONN -*I *2781:serial_clock I *D gpio_control_block -*I *2803:serial_clock I *D gpio_control_block -*I *2819:serial_clock O *D housekeeping -*CAP -1 *2781:serial_clock 0.00125525 -2 *2803:serial_clock 7.17141e-05 -3 *2819:serial_clock 0.00102841 -4 *119:25 0.000975354 -5 *119:20 0.00224598 -6 *119:19 0.00134234 -7 *119:17 0.0121508 -8 *119:16 0.0121508 -9 *119:14 0.0644162 -10 *119:13 0.0646858 -11 *119:8 0.00570316 -12 *119:7 0.00520674 -13 *2781:serial_clock *2781:resetn_out 0.000184356 -14 *2781:serial_clock *2781:serial_data_in 0 -15 *2781:serial_clock *635:8 2.09495e-05 -16 *2781:serial_clock *635:38 8.52802e-05 -17 *2781:serial_clock *640:40 0 -18 *119:8 *635:8 0.00976494 -19 *119:8 *640:40 0 -20 *119:8 *1748:8 0 -21 *119:13 *635:13 0.000908319 -22 *119:14 *634:30 0.138349 -23 *119:14 *635:14 0.327733 -24 *119:14 *657:40 0.0180019 -25 *119:14 *2641:14 0.0548193 -26 *119:14 *2655:16 0.00869493 -27 *119:17 *616:41 0.200615 -28 *119:17 *635:17 0.201994 -29 *119:20 *635:20 0.0022154 -30 *119:20 *2629:20 0.00185427 -31 *119:25 *2803:resetn 0.00218496 -32 *119:25 *2803:serial_load 0.000716904 -33 *119:25 *2629:19 2.20702e-05 -34 *2781:serial_clock_out *2781:serial_clock 9.52305e-06 -35 *103:30 *119:14 0 -*RES -1 *2819:serial_clock *119:7 7.25648 -2 *119:7 *119:8 176.861 -3 *119:8 *119:13 19.7063 -4 *119:13 *119:14 5238.16 -5 *119:14 *119:16 4.5 -6 *119:16 *119:17 2112.1 -7 *119:17 *119:19 4.5 -8 *119:19 *119:20 74.9164 -9 *119:20 *119:25 49.6549 -10 *119:25 *2803:serial_clock 3.88708 -11 *119:8 *2781:serial_clock 45.4676 -*END - -*D_NET *120 0.00490279 -*CONN -*I *2781:gpio_defaults[0] I *D gpio_control_block -*I *2743:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2781:gpio_defaults[0] 0.00212775 -2 *2743:gpio_defaults[0] 0.00212775 -3 *2781:gpio_defaults[0] *2781:gpio_defaults[11] 0.000304127 -4 *2781:gpio_defaults[0] *2781:gpio_defaults[12] 5.10987e-05 -5 *2781:gpio_defaults[0] *2781:gpio_defaults[1] 0.000292058 -*RES -1 *2743:gpio_defaults[0] *2781:gpio_defaults[0] 15.3211 -*END - -*D_NET *121 0.0030385 -*CONN -*I *2802:gpio_defaults[9] I *D gpio_control_block -*I *2778:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2802:gpio_defaults[9] 0.000469132 -2 *2778:gpio_defaults[9] 0.000469132 -3 *2802:gpio_defaults[9] *2802:gpio_defaults[10] 0 -4 *2802:gpio_defaults[9] *2802:gpio_defaults[11] 0.00120345 -5 *2802:gpio_defaults[9] *2802:gpio_defaults[2] 0 -6 *2802:gpio_defaults[9] *2802:gpio_defaults[3] 0.000173601 -7 *2802:gpio_defaults[9] *2802:gpio_defaults[4] 0 -8 *2802:gpio_defaults[9] *2802:gpio_defaults[8] 0.000723192 -*RES -1 *2778:gpio_defaults[9] *2802:gpio_defaults[9] 28.4466 -*END - -*D_NET *122 0.00423488 -*CONN -*I *2802:gpio_defaults[10] I *D gpio_control_block -*I *2778:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2802:gpio_defaults[10] 0.000494431 -2 *2778:gpio_defaults[10] 0.000494431 -3 *2802:gpio_defaults[10] *2802:gpio_defaults[0] 0.000146471 -4 *2802:gpio_defaults[10] *2802:gpio_defaults[11] 0 -5 *2802:gpio_defaults[10] *2802:gpio_defaults[12] 2.41483e-05 -6 *2802:gpio_defaults[10] *2802:gpio_defaults[1] 0 -7 *2802:gpio_defaults[10] *2802:gpio_defaults[2] 0.00178466 -8 *2802:gpio_defaults[10] *2802:gpio_defaults[3] 0.00129074 -9 *2802:gpio_defaults[10] *2802:gpio_defaults[4] 0 -10 *2802:gpio_defaults[9] *2802:gpio_defaults[10] 0 -*RES -1 *2778:gpio_defaults[10] *2802:gpio_defaults[10] 34.4007 -*END - -*D_NET *123 0.00501787 -*CONN -*I *2802:gpio_defaults[11] I *D gpio_control_block -*I *2778:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2802:gpio_defaults[11] 0.000634975 -2 *2778:gpio_defaults[11] 0.000634975 -3 *2802:gpio_defaults[11] *2802:gpio_defaults[0] 0.000253101 -4 *2802:gpio_defaults[11] *2802:gpio_defaults[12] 0 -5 *2802:gpio_defaults[11] *2802:gpio_defaults[1] 0.00229137 -6 *2802:gpio_defaults[11] *2802:gpio_defaults[2] 0 -7 *2802:gpio_defaults[11] *2802:gpio_defaults[3] 0 -8 *2802:gpio_defaults[10] *2802:gpio_defaults[11] 0 -9 *2802:gpio_defaults[9] *2802:gpio_defaults[11] 0.00120345 -*RES -1 *2778:gpio_defaults[11] *2802:gpio_defaults[11] 39.9539 -*END - -*D_NET *124 0.00422832 -*CONN -*I *2802:gpio_defaults[12] I *D gpio_control_block -*I *2778:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2802:gpio_defaults[12] 0.00107593 -2 *2778:gpio_defaults[12] 0.00107593 -3 *2802:gpio_defaults[12] *2802:gpio_defaults[0] 0.000230493 -4 *2802:gpio_defaults[12] *2802:gpio_defaults[1] 0 -5 *2802:gpio_defaults[12] *2802:gpio_defaults[2] 0.00182182 -6 *2802:gpio_defaults[10] *2802:gpio_defaults[12] 2.41483e-05 -7 *2802:gpio_defaults[11] *2802:gpio_defaults[12] 0 -*RES -1 *2778:gpio_defaults[12] *2802:gpio_defaults[12] 47.2342 -*END - -*D_NET *125 0.00548238 -*CONN -*I *2786:gpio_defaults[0] I *D gpio_control_block -*I *2779:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2786:gpio_defaults[0] 0.00212921 -2 *2779:gpio_defaults[0] 0.00212921 -3 *2786:gpio_defaults[0] *2786:gpio_defaults[10] 0.000195483 -4 *2786:gpio_defaults[0] *2786:gpio_defaults[11] 0.00043573 -5 *2786:gpio_defaults[0] *2786:gpio_defaults[12] 2.15266e-05 -6 *2786:gpio_defaults[0] *2786:gpio_defaults[1] 0.000225963 -7 *2786:gpio_defaults[0] *2786:gpio_defaults[2] 0.000192835 -8 *2786:gpio_defaults[0] *2786:gpio_defaults[9] 0.000152428 -*RES -1 *2779:gpio_defaults[0] *2786:gpio_defaults[0] 14.4906 -*END - -*D_NET *126 0.00548919 -*CONN -*I *2786:gpio_defaults[1] I *D gpio_control_block -*I *2779:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2786:gpio_defaults[1] 0.00055057 -2 *2779:gpio_defaults[1] 0.00055057 -3 *2786:gpio_defaults[1] *2786:gpio_defaults[10] 0.00187071 -4 *2786:gpio_defaults[1] *2786:gpio_defaults[11] 0 -5 *2786:gpio_defaults[1] *2786:gpio_defaults[12] 0.00229137 -6 *2786:gpio_defaults[1] *2786:gpio_defaults[2] 0 -7 *2786:gpio_defaults[0] *2786:gpio_defaults[1] 0.000225963 -*RES -1 *2779:gpio_defaults[1] *2786:gpio_defaults[1] 39.9539 -*END - -*D_NET *127 0.00383016 -*CONN -*I *2786:gpio_defaults[2] I *D gpio_control_block -*I *2779:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2786:gpio_defaults[2] 0.000573751 -2 *2779:gpio_defaults[2] 0.000573751 -3 *2786:gpio_defaults[2] *2786:gpio_defaults[10] 0.00182519 -4 *2786:gpio_defaults[2] *2786:gpio_defaults[11] 0 -5 *2786:gpio_defaults[2] *2786:gpio_defaults[3] 2.9752e-05 -6 *2786:gpio_defaults[2] *2786:gpio_defaults[8] 0.000634888 -7 *2786:gpio_defaults[2] *2786:gpio_defaults[9] 0 -8 *2786:gpio_defaults[0] *2786:gpio_defaults[2] 0.000192835 -9 *2786:gpio_defaults[1] *2786:gpio_defaults[2] 0 -*RES -1 *2779:gpio_defaults[2] *2786:gpio_defaults[2] 33.5774 -*END - -*D_NET *128 0.00295442 -*CONN -*I *2786:gpio_defaults[3] I *D gpio_control_block -*I *2779:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2786:gpio_defaults[3] 0.00044708 -2 *2779:gpio_defaults[3] 0.00044708 -3 *2786:gpio_defaults[3] *2786:gpio_defaults[10] 0 -4 *2786:gpio_defaults[3] *2786:gpio_defaults[4] 0.000706559 -5 *2786:gpio_defaults[3] *2786:gpio_defaults[8] 2.41483e-05 -6 *2786:gpio_defaults[3] *2786:gpio_defaults[9] 0.0012998 -7 *2786:gpio_defaults[2] *2786:gpio_defaults[3] 2.9752e-05 -*RES -1 *2779:gpio_defaults[3] *2786:gpio_defaults[3] 28.0313 -*END - -*D_NET *129 0.0020042 -*CONN -*I *2786:gpio_defaults[4] I *D gpio_control_block -*I *2779:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2786:gpio_defaults[4] 0.000368635 -2 *2779:gpio_defaults[4] 0.000368635 -3 *2786:gpio_defaults[4] *2786:gpio_defaults[5] 0.000175485 -4 *2786:gpio_defaults[4] *2786:gpio_defaults[7] 4.82966e-05 -5 *2786:gpio_defaults[4] *2786:gpio_defaults[8] 0.000336586 -6 *2786:gpio_defaults[3] *2786:gpio_defaults[4] 0.000706559 -*RES -1 *2779:gpio_defaults[4] *2786:gpio_defaults[4] 22.07 -*END - -*D_NET *130 0.00122344 -*CONN -*I *2786:gpio_defaults[5] I *D gpio_control_block -*I *2779:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2786:gpio_defaults[5] 0.000369212 -2 *2779:gpio_defaults[5] 0.000369212 -3 *2786:gpio_defaults[5] *2786:gpio_defaults[6] 2.44253e-05 -4 *2786:gpio_defaults[5] *2786:gpio_defaults[7] 0.000285103 -5 *2786:gpio_defaults[4] *2786:gpio_defaults[5] 0.000175485 -*RES -1 *2779:gpio_defaults[5] *2786:gpio_defaults[5] 16.1087 -*END - -*D_NET *131 0.00405478 -*CONN -*I *2781:gpio_defaults[10] I *D gpio_control_block -*I *2743:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2781:gpio_defaults[10] 0.000524218 -2 *2743:gpio_defaults[10] 0.000524218 -3 *2781:gpio_defaults[10] *2781:gpio_defaults[11] 0 -4 *2781:gpio_defaults[10] *2781:gpio_defaults[12] 0 -5 *2781:gpio_defaults[10] *2781:gpio_defaults[1] 0 -6 *2781:gpio_defaults[10] *2781:gpio_defaults[2] 0.00176871 -7 *2781:gpio_defaults[10] *2781:gpio_defaults[3] 0.00123763 -8 *2781:gpio_defaults[10] *2781:gpio_defaults[4] 0 -9 *2781:gpio_defaults[10] *2781:gpio_defaults[9] 0 -*RES -1 *2743:gpio_defaults[10] *2781:gpio_defaults[10] 34.4079 -*END - -*D_NET *132 0.000104205 -*CONN -*I *2786:gpio_defaults[6] I *D gpio_control_block -*I *2779:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2786:gpio_defaults[6] 3.6932e-05 -2 *2779:gpio_defaults[6] 3.6932e-05 -3 *2786:gpio_defaults[6] *2786:gpio_defaults[7] 5.91599e-06 -4 *2786:gpio_defaults[5] *2786:gpio_defaults[6] 2.44253e-05 -*RES -1 *2779:gpio_defaults[6] *2786:gpio_defaults[6] 0.830504 -*END - -*D_NET *133 0.00113372 -*CONN -*I *2786:gpio_defaults[7] I *D gpio_control_block -*I *2779:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2786:gpio_defaults[7] 0.000262062 -2 *2779:gpio_defaults[7] 0.000262062 -3 *2786:gpio_defaults[7] *2786:gpio_defaults[8] 0.000270285 -4 *2786:gpio_defaults[4] *2786:gpio_defaults[7] 4.82966e-05 -5 *2786:gpio_defaults[5] *2786:gpio_defaults[7] 0.000285103 -6 *2786:gpio_defaults[6] *2786:gpio_defaults[7] 5.91599e-06 -*RES -1 *2779:gpio_defaults[7] *2786:gpio_defaults[7] 16.2578 -*END - -*D_NET *134 0.00194947 -*CONN -*I *2786:gpio_defaults[8] I *D gpio_control_block -*I *2779:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2786:gpio_defaults[8] 0.000341779 -2 *2779:gpio_defaults[8] 0.000341779 -3 *2786:gpio_defaults[8] *2786:gpio_defaults[9] 0 -4 *2786:gpio_defaults[2] *2786:gpio_defaults[8] 0.000634888 -5 *2786:gpio_defaults[3] *2786:gpio_defaults[8] 2.41483e-05 -6 *2786:gpio_defaults[4] *2786:gpio_defaults[8] 0.000336586 -7 *2786:gpio_defaults[7] *2786:gpio_defaults[8] 0.000270285 -*RES -1 *2779:gpio_defaults[8] *2786:gpio_defaults[8] 22.3898 -*END - -*D_NET *135 0.00348299 -*CONN -*I *2786:gpio_defaults[9] I *D gpio_control_block -*I *2779:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2786:gpio_defaults[9] 0.000343457 -2 *2779:gpio_defaults[9] 0.000343457 -3 *2786:gpio_defaults[9] *2786:gpio_defaults[11] 0.00134385 -4 *2786:gpio_defaults[0] *2786:gpio_defaults[9] 0.000152428 -5 *2786:gpio_defaults[2] *2786:gpio_defaults[9] 0 -6 *2786:gpio_defaults[3] *2786:gpio_defaults[9] 0.0012998 -7 *2786:gpio_defaults[8] *2786:gpio_defaults[9] 0 -*RES -1 *2779:gpio_defaults[9] *2786:gpio_defaults[9] 28.5903 -*END - -*D_NET *136 0.00460056 -*CONN -*I *2786:gpio_defaults[10] I *D gpio_control_block -*I *2779:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2786:gpio_defaults[10] 0.000354593 -2 *2779:gpio_defaults[10] 0.000354593 -3 *2786:gpio_defaults[10] *2786:gpio_defaults[11] 0 -4 *2786:gpio_defaults[0] *2786:gpio_defaults[10] 0.000195483 -5 *2786:gpio_defaults[1] *2786:gpio_defaults[10] 0.00187071 -6 *2786:gpio_defaults[2] *2786:gpio_defaults[10] 0.00182519 -7 *2786:gpio_defaults[3] *2786:gpio_defaults[10] 0 -*RES -1 *2779:gpio_defaults[10] *2786:gpio_defaults[10] 34.1363 -*END - -*D_NET *137 0.00377185 -*CONN -*I *2786:gpio_defaults[11] I *D gpio_control_block -*I *2779:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2786:gpio_defaults[11] 0.000996138 -2 *2779:gpio_defaults[11] 0.000996138 -3 *2786:gpio_defaults[11] *2786:gpio_defaults[12] 0 -4 *2786:gpio_defaults[0] *2786:gpio_defaults[11] 0.00043573 -5 *2786:gpio_defaults[10] *2786:gpio_defaults[11] 0 -6 *2786:gpio_defaults[1] *2786:gpio_defaults[11] 0 -7 *2786:gpio_defaults[2] *2786:gpio_defaults[11] 0 -8 *2786:gpio_defaults[9] *2786:gpio_defaults[11] 0.00134385 -*RES -1 *2779:gpio_defaults[11] *2786:gpio_defaults[11] 42.0185 -*END - -*D_NET *138 0.00452669 -*CONN -*I *2786:gpio_defaults[12] I *D gpio_control_block -*I *2779:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2786:gpio_defaults[12] 0.00110689 -2 *2779:gpio_defaults[12] 0.00110689 -3 *2786:gpio_defaults[0] *2786:gpio_defaults[12] 2.15266e-05 -4 *2786:gpio_defaults[11] *2786:gpio_defaults[12] 0 -5 *2786:gpio_defaults[1] *2786:gpio_defaults[12] 0.00229137 -*RES -1 *2779:gpio_defaults[12] *2786:gpio_defaults[12] 49.3774 -*END - -*D_NET *139 0.00557942 -*CONN -*I *2788:gpio_defaults[0] I *D gpio_control_block -*I *2780:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2788:gpio_defaults[0] 0.000534631 -2 *2780:gpio_defaults[0] 0.000713173 -3 *139:15 0.00207654 -4 *139:9 0.00225508 -5 *2788:gpio_defaults[0] *2788:gpio_defaults[11] 0 -6 *2788:gpio_defaults[0] *2788:gpio_defaults[12] 0 -*RES -1 *2780:gpio_defaults[0] *139:9 24.6397 -2 *139:9 *139:15 47.3643 -3 *139:15 *2788:gpio_defaults[0] 15.2178 -*END - -*D_NET *140 0.00486273 -*CONN -*I *2788:gpio_defaults[1] I *D gpio_control_block -*I *2780:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2788:gpio_defaults[1] 0.000609551 -2 *2780:gpio_defaults[1] 0.000609551 -3 *2788:gpio_defaults[1] *2788:gpio_defaults[10] 0 -4 *2788:gpio_defaults[1] *2788:gpio_defaults[11] 0 -5 *2788:gpio_defaults[1] *2788:gpio_defaults[12] 0.00229978 -6 *2788:gpio_defaults[1] *2788:gpio_defaults[2] 0 -7 *2788:gpio_defaults[1] *2788:gpio_defaults[3] 0 -8 *2788:gpio_defaults[1] *2788:gpio_defaults[9] 0.00134385 -*RES -1 *2780:gpio_defaults[1] *2788:gpio_defaults[1] 39.9539 -*END - -*D_NET *141 0.00366889 -*CONN -*I *2788:gpio_defaults[2] I *D gpio_control_block -*I *2780:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2788:gpio_defaults[2] 0.000529246 -2 *2780:gpio_defaults[2] 0.000529246 -3 *2788:gpio_defaults[2] *2788:gpio_defaults[10] 0.00182519 -4 *2788:gpio_defaults[2] *2788:gpio_defaults[11] 0 -5 *2788:gpio_defaults[2] *2788:gpio_defaults[12] 0 -6 *2788:gpio_defaults[2] *2788:gpio_defaults[3] 2.9752e-05 -7 *2788:gpio_defaults[2] *2788:gpio_defaults[8] 0.000755455 -8 *2788:gpio_defaults[2] *2788:gpio_defaults[9] 0 -9 *2788:gpio_defaults[1] *2788:gpio_defaults[2] 0 -*RES -1 *2780:gpio_defaults[2] *2788:gpio_defaults[2] 33.5774 -*END - -*D_NET *142 0.00509173 -*CONN -*I *2781:gpio_defaults[11] I *D gpio_control_block -*I *2743:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2781:gpio_defaults[11] 0.000620964 -2 *2743:gpio_defaults[11] 0.000620964 -3 *2781:gpio_defaults[11] *2781:gpio_defaults[12] 0 -4 *2781:gpio_defaults[11] *2781:gpio_defaults[1] 0.00229137 -5 *2781:gpio_defaults[11] *2781:gpio_defaults[2] 0 -6 *2781:gpio_defaults[11] *2781:gpio_defaults[9] 0.00125431 -7 *2781:gpio_defaults[0] *2781:gpio_defaults[11] 0.000304127 -8 *2781:gpio_defaults[10] *2781:gpio_defaults[11] 0 -*RES -1 *2743:gpio_defaults[11] *2781:gpio_defaults[11] 39.9539 -*END - -*D_NET *143 0.00293744 -*CONN -*I *2788:gpio_defaults[3] I *D gpio_control_block -*I *2780:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2788:gpio_defaults[3] 0.000445617 -2 *2780:gpio_defaults[3] 0.000445617 -3 *2788:gpio_defaults[3] *2788:gpio_defaults[10] 0 -4 *2788:gpio_defaults[3] *2788:gpio_defaults[4] 0.000706559 -5 *2788:gpio_defaults[3] *2788:gpio_defaults[8] 1.00937e-05 -6 *2788:gpio_defaults[3] *2788:gpio_defaults[9] 0.0012998 -7 *2788:gpio_defaults[1] *2788:gpio_defaults[3] 0 -8 *2788:gpio_defaults[2] *2788:gpio_defaults[3] 2.9752e-05 -*RES -1 *2780:gpio_defaults[3] *2788:gpio_defaults[3] 28.0313 -*END - -*D_NET *144 0.00195035 -*CONN -*I *2788:gpio_defaults[4] I *D gpio_control_block -*I *2780:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2788:gpio_defaults[4] 0.000373249 -2 *2780:gpio_defaults[4] 0.000373249 -3 *2788:gpio_defaults[4] *2788:gpio_defaults[5] 0.000175485 -4 *2788:gpio_defaults[4] *2788:gpio_defaults[7] 4.82966e-05 -5 *2788:gpio_defaults[4] *2788:gpio_defaults[8] 0.000273507 -6 *2788:gpio_defaults[4] *2788:gpio_defaults[9] 0 -7 *2788:gpio_defaults[3] *2788:gpio_defaults[4] 0.000706559 -*RES -1 *2780:gpio_defaults[4] *2788:gpio_defaults[4] 22.07 -*END - -*D_NET *145 0.00122591 -*CONN -*I *2788:gpio_defaults[5] I *D gpio_control_block -*I *2780:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2788:gpio_defaults[5] 0.00036739 -2 *2780:gpio_defaults[5] 0.00036739 -3 *2788:gpio_defaults[5] *2788:gpio_defaults[6] 2.89375e-05 -4 *2788:gpio_defaults[5] *2788:gpio_defaults[7] 0.000286703 -5 *2788:gpio_defaults[4] *2788:gpio_defaults[5] 0.000175485 -*RES -1 *2780:gpio_defaults[5] *2788:gpio_defaults[5] 16.1087 -*END - -*D_NET *146 9.5543e-05 -*CONN -*I *2788:gpio_defaults[6] I *D gpio_control_block -*I *2780:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2788:gpio_defaults[6] 2.97689e-05 -2 *2780:gpio_defaults[6] 2.97689e-05 -3 *2788:gpio_defaults[6] *2788:gpio_defaults[7] 7.06783e-06 -4 *2788:gpio_defaults[5] *2788:gpio_defaults[6] 2.89375e-05 -*RES -1 *2780:gpio_defaults[6] *2788:gpio_defaults[6] 0.830504 -*END - -*D_NET *147 0.00114363 -*CONN -*I *2788:gpio_defaults[7] I *D gpio_control_block -*I *2780:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2788:gpio_defaults[7] 0.00027172 -2 *2780:gpio_defaults[7] 0.00027172 -3 *2788:gpio_defaults[7] *2788:gpio_defaults[8] 0.000258128 -4 *2788:gpio_defaults[4] *2788:gpio_defaults[7] 4.82966e-05 -5 *2788:gpio_defaults[5] *2788:gpio_defaults[7] 0.000286703 -6 *2788:gpio_defaults[6] *2788:gpio_defaults[7] 7.06783e-06 -*RES -1 *2780:gpio_defaults[7] *2788:gpio_defaults[7] 16.2578 -*END - -*D_NET *148 0.00197746 -*CONN -*I *2788:gpio_defaults[8] I *D gpio_control_block -*I *2780:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2788:gpio_defaults[8] 0.000340139 -2 *2780:gpio_defaults[8] 0.000340139 -3 *2788:gpio_defaults[8] *2788:gpio_defaults[9] 0 -4 *2788:gpio_defaults[2] *2788:gpio_defaults[8] 0.000755455 -5 *2788:gpio_defaults[3] *2788:gpio_defaults[8] 1.00937e-05 -6 *2788:gpio_defaults[4] *2788:gpio_defaults[8] 0.000273507 -7 *2788:gpio_defaults[7] *2788:gpio_defaults[8] 0.000258128 -*RES -1 *2780:gpio_defaults[8] *2788:gpio_defaults[8] 22.221 -*END - -*D_NET *149 0.00332458 -*CONN -*I *2788:gpio_defaults[9] I *D gpio_control_block -*I *2780:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2788:gpio_defaults[9] 0.000340465 -2 *2780:gpio_defaults[9] 0.000340465 -3 *2788:gpio_defaults[1] *2788:gpio_defaults[9] 0.00134385 -4 *2788:gpio_defaults[2] *2788:gpio_defaults[9] 0 -5 *2788:gpio_defaults[3] *2788:gpio_defaults[9] 0.0012998 -6 *2788:gpio_defaults[4] *2788:gpio_defaults[9] 0 -7 *2788:gpio_defaults[8] *2788:gpio_defaults[9] 0 -*RES -1 *2780:gpio_defaults[9] *2788:gpio_defaults[9] 28.5903 -*END - -*D_NET *150 0.00439486 -*CONN -*I *2788:gpio_defaults[10] I *D gpio_control_block -*I *2780:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2788:gpio_defaults[10] 0.000352702 -2 *2780:gpio_defaults[10] 0.000352702 -3 *2788:gpio_defaults[10] *2788:gpio_defaults[11] 0.00186427 -4 *2788:gpio_defaults[1] *2788:gpio_defaults[10] 0 -5 *2788:gpio_defaults[2] *2788:gpio_defaults[10] 0.00182519 -6 *2788:gpio_defaults[3] *2788:gpio_defaults[10] 0 -*RES -1 *2780:gpio_defaults[10] *2788:gpio_defaults[10] 34.1363 -*END - -*D_NET *151 0.00366789 -*CONN -*I *2788:gpio_defaults[11] I *D gpio_control_block -*I *2780:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2788:gpio_defaults[11] 0.000900911 -2 *2780:gpio_defaults[11] 0.000900911 -3 *2788:gpio_defaults[11] *2788:gpio_defaults[12] 1.80747e-06 -4 *2788:gpio_defaults[0] *2788:gpio_defaults[11] 0 -5 *2788:gpio_defaults[10] *2788:gpio_defaults[11] 0.00186427 -6 *2788:gpio_defaults[1] *2788:gpio_defaults[11] 0 -7 *2788:gpio_defaults[2] *2788:gpio_defaults[11] 0 -*RES -1 *2780:gpio_defaults[11] *2788:gpio_defaults[11] 41.6033 -*END - -*D_NET *152 0.00429946 -*CONN -*I *2788:gpio_defaults[12] I *D gpio_control_block -*I *2780:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2788:gpio_defaults[12] 0.000998935 -2 *2780:gpio_defaults[12] 0.000998935 -3 *2788:gpio_defaults[0] *2788:gpio_defaults[12] 0 -4 *2788:gpio_defaults[11] *2788:gpio_defaults[12] 1.80747e-06 -5 *2788:gpio_defaults[1] *2788:gpio_defaults[12] 0.00229978 -6 *2788:gpio_defaults[2] *2788:gpio_defaults[12] 0 -*RES -1 *2780:gpio_defaults[12] *2788:gpio_defaults[12] 46.6574 -*END - -*D_NET *153 0.00413008 -*CONN -*I *2781:gpio_defaults[12] I *D gpio_control_block -*I *2743:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2781:gpio_defaults[12] 0.00110203 -2 *2743:gpio_defaults[12] 0.00110203 -3 *2781:gpio_defaults[12] *2781:gpio_defaults[1] 0 -4 *2781:gpio_defaults[12] *2781:gpio_defaults[2] 0.00187492 -5 *2781:gpio_defaults[0] *2781:gpio_defaults[12] 5.10987e-05 -6 *2781:gpio_defaults[10] *2781:gpio_defaults[12] 0 -7 *2781:gpio_defaults[11] *2781:gpio_defaults[12] 0 -*RES -1 *2743:gpio_defaults[12] *2781:gpio_defaults[12] 47.9031 -*END - -*D_NET *154 0.00512753 -*CONN -*I *2789:gpio_defaults[0] I *D gpio_control_block -*I *2745:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2789:gpio_defaults[0] 0.00218284 -2 *2745:gpio_defaults[0] 0.00218284 -3 *2789:gpio_defaults[0] *2789:gpio_defaults[11] 0.000281238 -4 *2789:gpio_defaults[0] *2789:gpio_defaults[12] 5.10987e-05 -5 *2789:gpio_defaults[0] *2789:gpio_defaults[1] 0.000304127 -6 *2789:gpio_defaults[0] *2789:gpio_defaults[2] 0.000125386 -*RES -1 *2745:gpio_defaults[0] *2789:gpio_defaults[0] 15.3211 -*END - -*D_NET *155 0.00511604 -*CONN -*I *2789:gpio_defaults[1] I *D gpio_control_block -*I *2745:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2789:gpio_defaults[1] 0.000633118 -2 *2745:gpio_defaults[1] 0.000633118 -3 *2789:gpio_defaults[1] *2789:gpio_defaults[10] 0 -4 *2789:gpio_defaults[1] *2789:gpio_defaults[11] 0.00229137 -5 *2789:gpio_defaults[1] *2789:gpio_defaults[12] 0 -6 *2789:gpio_defaults[1] *2789:gpio_defaults[2] 0 -7 *2789:gpio_defaults[1] *2789:gpio_defaults[9] 0.00125431 -8 *2789:gpio_defaults[0] *2789:gpio_defaults[1] 0.000304127 -*RES -1 *2745:gpio_defaults[1] *2789:gpio_defaults[1] 39.9539 -*END - -*D_NET *156 0.00420599 -*CONN -*I *2789:gpio_defaults[2] I *D gpio_control_block -*I *2745:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2789:gpio_defaults[2] 0.00053713 -2 *2745:gpio_defaults[2] 0.00053713 -3 *2789:gpio_defaults[2] *2789:gpio_defaults[10] 0.00176871 -4 *2789:gpio_defaults[2] *2789:gpio_defaults[11] 0 -5 *2789:gpio_defaults[2] *2789:gpio_defaults[3] 0.00123763 -6 *2789:gpio_defaults[2] *2789:gpio_defaults[9] 0 -7 *2789:gpio_defaults[0] *2789:gpio_defaults[2] 0.000125386 -8 *2789:gpio_defaults[1] *2789:gpio_defaults[2] 0 -*RES -1 *2745:gpio_defaults[2] *2789:gpio_defaults[2] 34.4079 -*END - -*D_NET *157 0.00275203 -*CONN -*I *2789:gpio_defaults[3] I *D gpio_control_block -*I *2745:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2789:gpio_defaults[3] 0.00045231 -2 *2745:gpio_defaults[3] 0.00045231 -3 *2789:gpio_defaults[3] *2789:gpio_defaults[10] 0 -4 *2789:gpio_defaults[3] *2789:gpio_defaults[4] 0.000241483 -5 *2789:gpio_defaults[3] *2789:gpio_defaults[5] 0.000175485 -6 *2789:gpio_defaults[3] *2789:gpio_defaults[8] 1.00937e-05 -7 *2789:gpio_defaults[3] *2789:gpio_defaults[9] 0.000182712 -8 *2789:gpio_defaults[2] *2789:gpio_defaults[3] 0.00123763 -*RES -1 *2745:gpio_defaults[3] *2789:gpio_defaults[3] 28.4466 -*END - -*D_NET *158 0.00181253 -*CONN -*I *2789:gpio_defaults[4] I *D gpio_control_block -*I *2745:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2789:gpio_defaults[4] 0.000446565 -2 *2745:gpio_defaults[4] 0.000446565 -3 *2789:gpio_defaults[4] *2789:gpio_defaults[5] 0.000154145 -4 *2789:gpio_defaults[4] *2789:gpio_defaults[7] 0.00020502 -5 *2789:gpio_defaults[4] *2789:gpio_defaults[8] 0.00031875 -6 *2789:gpio_defaults[3] *2789:gpio_defaults[4] 0.000241483 -*RES -1 *2745:gpio_defaults[4] *2789:gpio_defaults[4] 22.4853 -*END - -*D_NET *159 0.00128377 -*CONN -*I *2789:gpio_defaults[5] I *D gpio_control_block -*I *2745:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2789:gpio_defaults[5] 0.000393908 -2 *2745:gpio_defaults[5] 0.000393908 -3 *2789:gpio_defaults[5] *2789:gpio_defaults[6] 2.89375e-05 -4 *2789:gpio_defaults[5] *2789:gpio_defaults[7] 0.000137383 -5 *2789:gpio_defaults[3] *2789:gpio_defaults[5] 0.000175485 -6 *2789:gpio_defaults[4] *2789:gpio_defaults[5] 0.000154145 -*RES -1 *2745:gpio_defaults[5] *2789:gpio_defaults[5] 16.9393 -*END - -*D_NET *160 9.47632e-05 -*CONN -*I *2789:gpio_defaults[6] I *D gpio_control_block -*I *2745:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2789:gpio_defaults[6] 3.13589e-05 -2 *2745:gpio_defaults[6] 3.13589e-05 -3 *2789:gpio_defaults[6] *2789:gpio_defaults[7] 3.10798e-06 -4 *2789:gpio_defaults[5] *2789:gpio_defaults[6] 2.89375e-05 -*RES -1 *2745:gpio_defaults[6] *2789:gpio_defaults[6] 0.830504 -*END - -*D_NET *161 0.00121674 -*CONN -*I *2789:gpio_defaults[7] I *D gpio_control_block -*I *2745:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2789:gpio_defaults[7] 0.000328751 -2 *2745:gpio_defaults[7] 0.000328751 -3 *2789:gpio_defaults[7] *2789:gpio_defaults[8] 0.000213725 -4 *2789:gpio_defaults[4] *2789:gpio_defaults[7] 0.00020502 -5 *2789:gpio_defaults[5] *2789:gpio_defaults[7] 0.000137383 -6 *2789:gpio_defaults[6] *2789:gpio_defaults[7] 3.10798e-06 -*RES -1 *2745:gpio_defaults[7] *2789:gpio_defaults[7] 16.932 -*END - -*D_NET *162 0.00200788 -*CONN -*I *2789:gpio_defaults[8] I *D gpio_control_block -*I *2745:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2789:gpio_defaults[8] 0.000364595 -2 *2745:gpio_defaults[8] 0.000364595 -3 *2789:gpio_defaults[8] *2789:gpio_defaults[9] 0.000736122 -4 *2789:gpio_defaults[3] *2789:gpio_defaults[8] 1.00937e-05 -5 *2789:gpio_defaults[4] *2789:gpio_defaults[8] 0.00031875 -6 *2789:gpio_defaults[7] *2789:gpio_defaults[8] 0.000213725 -*RES -1 *2745:gpio_defaults[8] *2789:gpio_defaults[8] 22.6415 -*END - -*D_NET *163 0.00305205 -*CONN -*I *2789:gpio_defaults[9] I *D gpio_control_block -*I *2745:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2789:gpio_defaults[9] 0.000439453 -2 *2745:gpio_defaults[9] 0.000439453 -3 *2789:gpio_defaults[1] *2789:gpio_defaults[9] 0.00125431 -4 *2789:gpio_defaults[2] *2789:gpio_defaults[9] 0 -5 *2789:gpio_defaults[3] *2789:gpio_defaults[9] 0.000182712 -6 *2789:gpio_defaults[8] *2789:gpio_defaults[9] 0.000736122 -*RES -1 *2745:gpio_defaults[9] *2789:gpio_defaults[9] 28.5975 -*END - -*D_NET *164 0.00534609 -*CONN -*I *2782:gpio_defaults[0] I *D gpio_control_block -*I *2744:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2782:gpio_defaults[0] 0.000565637 -2 *2744:gpio_defaults[0] 0.000660596 -3 *164:17 0.00201245 -4 *164:10 0.00210741 -5 *2782:gpio_defaults[0] *2782:gpio_defaults[12] 0 -6 *2782:gpio_defaults[0] *2782:gpio_defaults[1] 0 -*RES -1 *2744:gpio_defaults[0] *164:10 23.1422 -2 *164:10 *164:17 44.8728 -3 *164:17 *2782:gpio_defaults[0] 16.0483 -*END - -*D_NET *165 0.00447907 -*CONN -*I *2789:gpio_defaults[10] I *D gpio_control_block -*I *2745:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2789:gpio_defaults[10] 0.000417718 -2 *2745:gpio_defaults[10] 0.000417718 -3 *2789:gpio_defaults[10] *2789:gpio_defaults[12] 0.00187492 -4 *2789:gpio_defaults[1] *2789:gpio_defaults[10] 0 -5 *2789:gpio_defaults[2] *2789:gpio_defaults[10] 0.00176871 -6 *2789:gpio_defaults[3] *2789:gpio_defaults[10] 0 -*RES -1 *2745:gpio_defaults[10] *2789:gpio_defaults[10] 34.9668 -*END - -*D_NET *166 0.00420296 -*CONN -*I *2789:gpio_defaults[11] I *D gpio_control_block -*I *2745:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2789:gpio_defaults[11] 0.000815176 -2 *2745:gpio_defaults[11] 0.000815176 -3 *2789:gpio_defaults[11] *2789:gpio_defaults[12] 0 -4 *2789:gpio_defaults[0] *2789:gpio_defaults[11] 0.000281238 -5 *2789:gpio_defaults[1] *2789:gpio_defaults[11] 0.00229137 -6 *2789:gpio_defaults[2] *2789:gpio_defaults[11] 0 -*RES -1 *2745:gpio_defaults[11] *2789:gpio_defaults[11] 40.5129 -*END - -*D_NET *167 0.00413671 -*CONN -*I *2789:gpio_defaults[12] I *D gpio_control_block -*I *2745:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2789:gpio_defaults[12] 0.00110534 -2 *2745:gpio_defaults[12] 0.00110534 -3 *2789:gpio_defaults[0] *2789:gpio_defaults[12] 5.10987e-05 -4 *2789:gpio_defaults[10] *2789:gpio_defaults[12] 0.00187492 -5 *2789:gpio_defaults[11] *2789:gpio_defaults[12] 0 -6 *2789:gpio_defaults[1] *2789:gpio_defaults[12] 0 -*RES -1 *2745:gpio_defaults[12] *2789:gpio_defaults[12] 48.0719 -*END - -*D_NET *168 0.00648626 -*CONN -*I *2790:gpio_defaults[0] I *D gpio_control_block -*I *2746:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2790:gpio_defaults[0] 0.00059414 -2 *2746:gpio_defaults[0] 0.00059414 -3 *2790:gpio_defaults[0] *2790:gpio_defaults[11] 0.000175749 -4 *2790:gpio_defaults[0] *2790:gpio_defaults[1] 0.00229137 -5 *2790:gpio_defaults[0] *181:17 0.00283086 -*RES -1 *2746:gpio_defaults[0] *2790:gpio_defaults[0] 46.6574 -*END - -*D_NET *169 0.00546533 -*CONN -*I *2790:gpio_defaults[1] I *D gpio_control_block -*I *2746:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2790:gpio_defaults[1] 0.000532805 -2 *2746:gpio_defaults[1] 0.000532805 -3 *2790:gpio_defaults[1] *2790:gpio_defaults[10] 0.00187071 -4 *2790:gpio_defaults[1] *2790:gpio_defaults[11] 0.000237638 -5 *2790:gpio_defaults[1] *2790:gpio_defaults[2] 0 -6 *2790:gpio_defaults[0] *2790:gpio_defaults[1] 0.00229137 -*RES -1 *2746:gpio_defaults[1] *2790:gpio_defaults[1] 39.9539 -*END - -*D_NET *170 0.00391278 -*CONN -*I *2790:gpio_defaults[2] I *D gpio_control_block -*I *2746:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2790:gpio_defaults[2] 0.00057308 -2 *2746:gpio_defaults[2] 0.00057308 -3 *2790:gpio_defaults[2] *2790:gpio_defaults[10] 0.00182519 -4 *2790:gpio_defaults[2] *2790:gpio_defaults[11] 0.000176141 -5 *2790:gpio_defaults[2] *2790:gpio_defaults[3] 2.9752e-05 -6 *2790:gpio_defaults[2] *2790:gpio_defaults[8] 0.000735542 -7 *2790:gpio_defaults[2] *2790:gpio_defaults[9] 0 -8 *2790:gpio_defaults[1] *2790:gpio_defaults[2] 0 -*RES -1 *2746:gpio_defaults[2] *2790:gpio_defaults[2] 33.5774 -*END - -*D_NET *171 0.00294696 -*CONN -*I *2790:gpio_defaults[3] I *D gpio_control_block -*I *2746:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2790:gpio_defaults[3] 0.000450377 -2 *2746:gpio_defaults[3] 0.000450377 -3 *2790:gpio_defaults[3] *2790:gpio_defaults[10] 0 -4 *2790:gpio_defaults[3] *2790:gpio_defaults[4] 0.000706559 -5 *2790:gpio_defaults[3] *2790:gpio_defaults[8] 1.00937e-05 -6 *2790:gpio_defaults[3] *2790:gpio_defaults[9] 0.0012998 -7 *2790:gpio_defaults[2] *2790:gpio_defaults[3] 2.9752e-05 -*RES -1 *2746:gpio_defaults[3] *2790:gpio_defaults[3] 28.0313 -*END - -*D_NET *172 0.00195902 -*CONN -*I *2790:gpio_defaults[4] I *D gpio_control_block -*I *2746:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2790:gpio_defaults[4] 0.000383007 -2 *2746:gpio_defaults[4] 0.000383007 -3 *2790:gpio_defaults[4] *2790:gpio_defaults[5] 0.000175485 -4 *2790:gpio_defaults[4] *2790:gpio_defaults[7] 4.82966e-05 -5 *2790:gpio_defaults[4] *2790:gpio_defaults[8] 0.000262664 -6 *2790:gpio_defaults[4] *2790:gpio_defaults[9] 0 -7 *2790:gpio_defaults[3] *2790:gpio_defaults[4] 0.000706559 -*RES -1 *2746:gpio_defaults[4] *2790:gpio_defaults[4] 22.07 -*END - -*D_NET *173 0.00121731 -*CONN -*I *2790:gpio_defaults[5] I *D gpio_control_block -*I *2746:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2790:gpio_defaults[5] 0.000364689 -2 *2746:gpio_defaults[5] 0.000364689 -3 *2790:gpio_defaults[5] *2790:gpio_defaults[6] 2.57385e-05 -4 *2790:gpio_defaults[5] *2790:gpio_defaults[7] 0.000286703 -5 *2790:gpio_defaults[4] *2790:gpio_defaults[5] 0.000175485 -*RES -1 *2746:gpio_defaults[5] *2790:gpio_defaults[5] 16.1087 -*END - -*D_NET *174 0.000105255 -*CONN -*I *2790:gpio_defaults[6] I *D gpio_control_block -*I *2746:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2790:gpio_defaults[6] 3.69649e-05 -2 *2746:gpio_defaults[6] 3.69649e-05 -3 *2790:gpio_defaults[6] *2790:gpio_defaults[7] 5.58683e-06 -4 *2790:gpio_defaults[5] *2790:gpio_defaults[6] 2.57385e-05 -*RES -1 *2746:gpio_defaults[6] *2790:gpio_defaults[6] 0.830504 -*END - -*D_NET *175 0.00373299 -*CONN -*I *2782:gpio_defaults[1] I *D gpio_control_block -*I *2744:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2782:gpio_defaults[1] 0.000911535 -2 *2744:gpio_defaults[1] 0.000911535 -3 *2782:gpio_defaults[1] *2782:gpio_defaults[10] 0.00163548 -4 *2782:gpio_defaults[1] *2782:gpio_defaults[11] 0.000274437 -5 *2782:gpio_defaults[1] *2782:gpio_defaults[12] 0 -6 *2782:gpio_defaults[1] *2782:gpio_defaults[3] 0 -7 *2782:gpio_defaults[0] *2782:gpio_defaults[1] 0 -*RES -1 *2744:gpio_defaults[1] *2782:gpio_defaults[1] 40.3692 -*END - -*D_NET *176 0.00112827 -*CONN -*I *2790:gpio_defaults[7] I *D gpio_control_block -*I *2746:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2790:gpio_defaults[7] 0.000264777 -2 *2746:gpio_defaults[7] 0.000264777 -3 *2790:gpio_defaults[7] *2790:gpio_defaults[8] 0.000258128 -4 *2790:gpio_defaults[4] *2790:gpio_defaults[7] 4.82966e-05 -5 *2790:gpio_defaults[5] *2790:gpio_defaults[7] 0.000286703 -6 *2790:gpio_defaults[6] *2790:gpio_defaults[7] 5.58683e-06 -*RES -1 *2746:gpio_defaults[7] *2790:gpio_defaults[7] 16.2578 -*END - -*D_NET *177 0.00200409 -*CONN -*I *2790:gpio_defaults[8] I *D gpio_control_block -*I *2746:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2790:gpio_defaults[8] 0.00036883 -2 *2746:gpio_defaults[8] 0.00036883 -3 *2790:gpio_defaults[2] *2790:gpio_defaults[8] 0.000735542 -4 *2790:gpio_defaults[3] *2790:gpio_defaults[8] 1.00937e-05 -5 *2790:gpio_defaults[4] *2790:gpio_defaults[8] 0.000262664 -6 *2790:gpio_defaults[7] *2790:gpio_defaults[8] 0.000258128 -*RES -1 *2746:gpio_defaults[8] *2790:gpio_defaults[8] 22.221 -*END - -*D_NET *178 0.00265107 -*CONN -*I *2790:gpio_defaults[9] I *D gpio_control_block -*I *2746:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2790:gpio_defaults[9] 0.000563783 -2 *2746:gpio_defaults[9] 0.000563783 -3 *2790:gpio_defaults[9] *2790:gpio_defaults[11] 0.000223703 -4 *2790:gpio_defaults[2] *2790:gpio_defaults[9] 0 -5 *2790:gpio_defaults[3] *2790:gpio_defaults[9] 0.0012998 -6 *2790:gpio_defaults[4] *2790:gpio_defaults[9] 0 -*RES -1 *2746:gpio_defaults[9] *2790:gpio_defaults[9] 28.5903 -*END - -*D_NET *179 0.00460094 -*CONN -*I *2790:gpio_defaults[10] I *D gpio_control_block -*I *2746:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2790:gpio_defaults[10] 0.000369701 -2 *2746:gpio_defaults[10] 0.000369701 -3 *2790:gpio_defaults[10] *2790:gpio_defaults[11] 0.000165637 -4 *2790:gpio_defaults[1] *2790:gpio_defaults[10] 0.00187071 -5 *2790:gpio_defaults[2] *2790:gpio_defaults[10] 0.00182519 -6 *2790:gpio_defaults[3] *2790:gpio_defaults[10] 0 -*RES -1 *2746:gpio_defaults[10] *2790:gpio_defaults[10] 34.1363 -*END - -*D_NET *180 0.00504042 -*CONN -*I *2790:gpio_defaults[11] I *D gpio_control_block -*I *2746:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2790:gpio_defaults[11] 0.00194697 -2 *2746:gpio_defaults[11] 0.00194697 -3 *2790:gpio_defaults[11] *181:17 0.00016761 -4 *2790:gpio_defaults[0] *2790:gpio_defaults[11] 0.000175749 -5 *2790:gpio_defaults[10] *2790:gpio_defaults[11] 0.000165637 -6 *2790:gpio_defaults[1] *2790:gpio_defaults[11] 0.000237638 -7 *2790:gpio_defaults[2] *2790:gpio_defaults[11] 0.000176141 -8 *2790:gpio_defaults[9] *2790:gpio_defaults[11] 0.000223703 -*RES -1 *2746:gpio_defaults[11] *2790:gpio_defaults[11] 13.4224 -*END - -*D_NET *181 0.00509785 -*CONN -*I *2790:gpio_defaults[12] I *D gpio_control_block -*I *2746:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2790:gpio_defaults[12] 3.26469e-05 -2 *2746:gpio_defaults[12] 0.00101704 -3 *181:17 0.00104969 -4 *2790:gpio_defaults[0] *181:17 0.00283086 -5 *2790:gpio_defaults[11] *181:17 0.00016761 -*RES -1 *2746:gpio_defaults[12] *181:17 49.4379 -2 *181:17 *2790:gpio_defaults[12] 0.928211 -*END - -*D_NET *182 0.00464558 -*CONN -*I *2791:gpio_defaults[0] I *D gpio_control_block -*I *2747:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2791:gpio_defaults[0] 0.00102652 -2 *2747:gpio_defaults[0] 0.00102652 -3 *2791:gpio_defaults[0] *2791:gpio_defaults[10] 0.000197202 -4 *2791:gpio_defaults[0] *2791:gpio_defaults[11] 0.00239534 -5 *2791:gpio_defaults[0] *2791:gpio_defaults[12] 0 -6 *2791:gpio_defaults[0] *2791:gpio_defaults[1] 0 -*RES -1 *2747:gpio_defaults[0] *2791:gpio_defaults[0] 47.0032 -*END - -*D_NET *183 0.00504954 -*CONN -*I *2791:gpio_defaults[1] I *D gpio_control_block -*I *2747:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2791:gpio_defaults[1] 0.000639418 -2 *2747:gpio_defaults[1] 0.000639418 -3 *2791:gpio_defaults[1] *2791:gpio_defaults[10] 0.000237951 -4 *2791:gpio_defaults[1] *2791:gpio_defaults[11] 0.00229137 -5 *2791:gpio_defaults[1] *2791:gpio_defaults[12] 0 -6 *2791:gpio_defaults[1] *2791:gpio_defaults[2] 0 -7 *2791:gpio_defaults[1] *2791:gpio_defaults[3] 0 -8 *2791:gpio_defaults[1] *2791:gpio_defaults[9] 0.00124138 -9 *2791:gpio_defaults[0] *2791:gpio_defaults[1] 0 -*RES -1 *2747:gpio_defaults[1] *2791:gpio_defaults[1] 39.8989 -*END - -*D_NET *184 0.00428066 -*CONN -*I *2791:gpio_defaults[2] I *D gpio_control_block -*I *2747:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2791:gpio_defaults[2] 0.000522247 -2 *2747:gpio_defaults[2] 0.000522247 -3 *2791:gpio_defaults[2] *2791:gpio_defaults[10] 0.00022982 -4 *2791:gpio_defaults[2] *2791:gpio_defaults[11] 0 -5 *2791:gpio_defaults[2] *2791:gpio_defaults[12] 0.00176871 -6 *2791:gpio_defaults[2] *2791:gpio_defaults[3] 0.00123763 -7 *2791:gpio_defaults[2] *2791:gpio_defaults[9] 0 -8 *2791:gpio_defaults[1] *2791:gpio_defaults[2] 0 -*RES -1 *2747:gpio_defaults[2] *2791:gpio_defaults[2] 34.3529 -*END - -*D_NET *185 0.00276383 -*CONN -*I *2791:gpio_defaults[3] I *D gpio_control_block -*I *2747:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2791:gpio_defaults[3] 0.000444837 -2 *2747:gpio_defaults[3] 0.000444837 -3 *2791:gpio_defaults[3] *2791:gpio_defaults[4] 0 -4 *2791:gpio_defaults[3] *2791:gpio_defaults[5] 0.000175485 -5 *2791:gpio_defaults[3] *2791:gpio_defaults[8] 0.00028978 -6 *2791:gpio_defaults[3] *2791:gpio_defaults[9] 0.000171258 -7 *2791:gpio_defaults[1] *2791:gpio_defaults[3] 0 -8 *2791:gpio_defaults[2] *2791:gpio_defaults[3] 0.00123763 -*RES -1 *2747:gpio_defaults[3] *2791:gpio_defaults[3] 28.3916 -*END - -*D_NET *186 0.00410726 -*CONN -*I *2782:gpio_defaults[2] I *D gpio_control_block -*I *2744:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2782:gpio_defaults[2] 0.000483425 -2 *2744:gpio_defaults[2] 0.000483425 -3 *2782:gpio_defaults[2] *2782:gpio_defaults[10] 0.000134072 -4 *2782:gpio_defaults[2] *2782:gpio_defaults[12] 0.00176871 -5 *2782:gpio_defaults[2] *2782:gpio_defaults[3] 0.00123763 -6 *2782:gpio_defaults[2] *2782:gpio_defaults[9] 0 -*RES -1 *2744:gpio_defaults[2] *2782:gpio_defaults[2] 34.4079 -*END - -*D_NET *187 0.00207905 -*CONN -*I *2791:gpio_defaults[4] I *D gpio_control_block -*I *2747:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2791:gpio_defaults[4] 0.000441696 -2 *2747:gpio_defaults[4] 0.000441696 -3 *2791:gpio_defaults[4] *2791:gpio_defaults[5] 0 -4 *2791:gpio_defaults[4] *2791:gpio_defaults[7] 0.000200794 -5 *2791:gpio_defaults[4] *2791:gpio_defaults[8] 0.000322538 -6 *2791:gpio_defaults[4] *2791:gpio_defaults[9] 0.000672331 -7 *2791:gpio_defaults[3] *2791:gpio_defaults[4] 0 -*RES -1 *2747:gpio_defaults[4] *2791:gpio_defaults[4] 22.4303 -*END - -*D_NET *188 0.0012568 -*CONN -*I *2791:gpio_defaults[5] I *D gpio_control_block -*I *2747:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2791:gpio_defaults[5] 0.000384417 -2 *2747:gpio_defaults[5] 0.000384417 -3 *2791:gpio_defaults[5] *2791:gpio_defaults[6] 2.08075e-05 -4 *2791:gpio_defaults[5] *2791:gpio_defaults[7] 0.000124597 -5 *2791:gpio_defaults[5] *2791:gpio_defaults[8] 0.000167076 -6 *2791:gpio_defaults[3] *2791:gpio_defaults[5] 0.000175485 -7 *2791:gpio_defaults[4] *2791:gpio_defaults[5] 0 -*RES -1 *2747:gpio_defaults[5] *2791:gpio_defaults[5] 16.8843 -*END - -*D_NET *189 0.000110382 -*CONN -*I *2791:gpio_defaults[6] I *D gpio_control_block -*I *2747:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2791:gpio_defaults[6] 3.56757e-05 -2 *2747:gpio_defaults[6] 3.56757e-05 -3 *2791:gpio_defaults[6] *2791:gpio_defaults[7] 1.82233e-05 -4 *2791:gpio_defaults[5] *2791:gpio_defaults[6] 2.08075e-05 -*RES -1 *2747:gpio_defaults[6] *2791:gpio_defaults[6] 0.830504 -*END - -*D_NET *190 0.00123698 -*CONN -*I *2791:gpio_defaults[7] I *D gpio_control_block -*I *2747:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2791:gpio_defaults[7] 0.000337715 -2 *2747:gpio_defaults[7] 0.000337715 -3 *2791:gpio_defaults[7] *2791:gpio_defaults[8] 0.000217937 -4 *2791:gpio_defaults[4] *2791:gpio_defaults[7] 0.000200794 -5 *2791:gpio_defaults[5] *2791:gpio_defaults[7] 0.000124597 -6 *2791:gpio_defaults[6] *2791:gpio_defaults[7] 1.82233e-05 -*RES -1 *2747:gpio_defaults[7] *2791:gpio_defaults[7] 16.932 -*END - -*D_NET *191 0.00171124 -*CONN -*I *2791:gpio_defaults[8] I *D gpio_control_block -*I *2747:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2791:gpio_defaults[8] 0.000347942 -2 *2747:gpio_defaults[8] 0.000347942 -3 *2791:gpio_defaults[8] *2791:gpio_defaults[9] 1.80257e-05 -4 *2791:gpio_defaults[3] *2791:gpio_defaults[8] 0.00028978 -5 *2791:gpio_defaults[4] *2791:gpio_defaults[8] 0.000322538 -6 *2791:gpio_defaults[5] *2791:gpio_defaults[8] 0.000167076 -7 *2791:gpio_defaults[7] *2791:gpio_defaults[8] 0.000217937 -*RES -1 *2747:gpio_defaults[8] *2791:gpio_defaults[8] 22.4709 -*END - -*D_NET *192 0.00304972 -*CONN -*I *2791:gpio_defaults[9] I *D gpio_control_block -*I *2747:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2791:gpio_defaults[9] 0.000473365 -2 *2747:gpio_defaults[9] 0.000473365 -3 *2791:gpio_defaults[9] *2791:gpio_defaults[10] 0 -4 *2791:gpio_defaults[1] *2791:gpio_defaults[9] 0.00124138 -5 *2791:gpio_defaults[2] *2791:gpio_defaults[9] 0 -6 *2791:gpio_defaults[3] *2791:gpio_defaults[9] 0.000171258 -7 *2791:gpio_defaults[4] *2791:gpio_defaults[9] 0.000672331 -8 *2791:gpio_defaults[8] *2791:gpio_defaults[9] 1.80257e-05 -*RES -1 *2747:gpio_defaults[9] *2791:gpio_defaults[9] 28.5975 -*END - -*D_NET *193 0.00408339 -*CONN -*I *2791:gpio_defaults[10] I *D gpio_control_block -*I *2747:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2791:gpio_defaults[10] 0.00160582 -2 *2747:gpio_defaults[10] 0.00160582 -3 *2791:gpio_defaults[10] *2791:gpio_defaults[11] 0.000206778 -4 *2791:gpio_defaults[0] *2791:gpio_defaults[10] 0.000197202 -5 *2791:gpio_defaults[1] *2791:gpio_defaults[10] 0.000237951 -6 *2791:gpio_defaults[2] *2791:gpio_defaults[10] 0.00022982 -7 *2791:gpio_defaults[9] *2791:gpio_defaults[10] 0 -*RES -1 *2747:gpio_defaults[10] *2791:gpio_defaults[10] 13.9623 -*END - -*D_NET *194 0.00575531 -*CONN -*I *2791:gpio_defaults[11] I *D gpio_control_block -*I *2747:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2791:gpio_defaults[11] 0.000430911 -2 *2747:gpio_defaults[11] 0.000430911 -3 *2791:gpio_defaults[11] *2791:gpio_defaults[12] 0 -4 *2791:gpio_defaults[0] *2791:gpio_defaults[11] 0.00239534 -5 *2791:gpio_defaults[10] *2791:gpio_defaults[11] 0.000206778 -6 *2791:gpio_defaults[1] *2791:gpio_defaults[11] 0.00229137 -7 *2791:gpio_defaults[2] *2791:gpio_defaults[11] 0 -*RES -1 *2747:gpio_defaults[11] *2791:gpio_defaults[11] 40.5129 -*END - -*D_NET *195 0.00400075 -*CONN -*I *2791:gpio_defaults[12] I *D gpio_control_block -*I *2747:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2791:gpio_defaults[12] 0.00111602 -2 *2747:gpio_defaults[12] 0.00111602 -3 *2791:gpio_defaults[0] *2791:gpio_defaults[12] 0 -4 *2791:gpio_defaults[11] *2791:gpio_defaults[12] 0 -5 *2791:gpio_defaults[1] *2791:gpio_defaults[12] 0 -6 *2791:gpio_defaults[2] *2791:gpio_defaults[12] 0.00176871 -*RES -1 *2747:gpio_defaults[12] *2791:gpio_defaults[12] 47.5024 -*END - -*D_NET *196 0.00512753 -*CONN -*I *2792:gpio_defaults[0] I *D gpio_control_block -*I *2748:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2792:gpio_defaults[0] 0.00218284 -2 *2748:gpio_defaults[0] 0.00218284 -3 *2792:gpio_defaults[0] *2792:gpio_defaults[11] 0.000281238 -4 *2792:gpio_defaults[0] *2792:gpio_defaults[12] 5.10987e-05 -5 *2792:gpio_defaults[0] *2792:gpio_defaults[1] 0.000304127 -6 *2792:gpio_defaults[0] *2792:gpio_defaults[2] 0.000125386 -*RES -1 *2748:gpio_defaults[0] *2792:gpio_defaults[0] 15.3211 -*END - -*D_NET *197 0.00273949 -*CONN -*I *2782:gpio_defaults[3] I *D gpio_control_block -*I *2744:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2782:gpio_defaults[3] 0.000446041 -2 *2744:gpio_defaults[3] 0.000446041 -3 *2782:gpio_defaults[3] *2782:gpio_defaults[4] 0.000241483 -4 *2782:gpio_defaults[3] *2782:gpio_defaults[5] 0.000175485 -5 *2782:gpio_defaults[3] *2782:gpio_defaults[8] 1.00937e-05 -6 *2782:gpio_defaults[3] *2782:gpio_defaults[9] 0.000182712 -7 *2782:gpio_defaults[1] *2782:gpio_defaults[3] 0 -8 *2782:gpio_defaults[2] *2782:gpio_defaults[3] 0.00123763 -*RES -1 *2744:gpio_defaults[3] *2782:gpio_defaults[3] 28.4466 -*END - -*D_NET *198 0.00511604 -*CONN -*I *2792:gpio_defaults[1] I *D gpio_control_block -*I *2748:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2792:gpio_defaults[1] 0.000633118 -2 *2748:gpio_defaults[1] 0.000633118 -3 *2792:gpio_defaults[1] *2792:gpio_defaults[10] 0 -4 *2792:gpio_defaults[1] *2792:gpio_defaults[11] 0.00229137 -5 *2792:gpio_defaults[1] *2792:gpio_defaults[12] 0 -6 *2792:gpio_defaults[1] *2792:gpio_defaults[2] 0 -7 *2792:gpio_defaults[1] *2792:gpio_defaults[9] 0.00125431 -8 *2792:gpio_defaults[0] *2792:gpio_defaults[1] 0.000304127 -*RES -1 *2748:gpio_defaults[1] *2792:gpio_defaults[1] 39.9539 -*END - -*D_NET *199 0.00420599 -*CONN -*I *2792:gpio_defaults[2] I *D gpio_control_block -*I *2748:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2792:gpio_defaults[2] 0.00053713 -2 *2748:gpio_defaults[2] 0.00053713 -3 *2792:gpio_defaults[2] *2792:gpio_defaults[10] 0.00176871 -4 *2792:gpio_defaults[2] *2792:gpio_defaults[11] 0 -5 *2792:gpio_defaults[2] *2792:gpio_defaults[3] 0.00123763 -6 *2792:gpio_defaults[2] *2792:gpio_defaults[9] 0 -7 *2792:gpio_defaults[0] *2792:gpio_defaults[2] 0.000125386 -8 *2792:gpio_defaults[1] *2792:gpio_defaults[2] 0 -*RES -1 *2748:gpio_defaults[2] *2792:gpio_defaults[2] 34.4079 -*END - -*D_NET *200 0.00275203 -*CONN -*I *2792:gpio_defaults[3] I *D gpio_control_block -*I *2748:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2792:gpio_defaults[3] 0.00045231 -2 *2748:gpio_defaults[3] 0.00045231 -3 *2792:gpio_defaults[3] *2792:gpio_defaults[10] 0 -4 *2792:gpio_defaults[3] *2792:gpio_defaults[4] 0.000241483 -5 *2792:gpio_defaults[3] *2792:gpio_defaults[5] 0.000175485 -6 *2792:gpio_defaults[3] *2792:gpio_defaults[8] 1.00937e-05 -7 *2792:gpio_defaults[3] *2792:gpio_defaults[9] 0.000182712 -8 *2792:gpio_defaults[2] *2792:gpio_defaults[3] 0.00123763 -*RES -1 *2748:gpio_defaults[3] *2792:gpio_defaults[3] 28.4466 -*END - -*D_NET *201 0.00181253 -*CONN -*I *2792:gpio_defaults[4] I *D gpio_control_block -*I *2748:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2792:gpio_defaults[4] 0.000446565 -2 *2748:gpio_defaults[4] 0.000446565 -3 *2792:gpio_defaults[4] *2792:gpio_defaults[5] 0.000154145 -4 *2792:gpio_defaults[4] *2792:gpio_defaults[7] 0.00020502 -5 *2792:gpio_defaults[4] *2792:gpio_defaults[8] 0.00031875 -6 *2792:gpio_defaults[3] *2792:gpio_defaults[4] 0.000241483 -*RES -1 *2748:gpio_defaults[4] *2792:gpio_defaults[4] 22.4853 -*END - -*D_NET *202 0.00124841 -*CONN -*I *2792:gpio_defaults[5] I *D gpio_control_block -*I *2748:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2792:gpio_defaults[5] 0.000376232 -2 *2748:gpio_defaults[5] 0.000376232 -3 *2792:gpio_defaults[5] *2792:gpio_defaults[6] 2.89375e-05 -4 *2792:gpio_defaults[5] *2792:gpio_defaults[7] 0.000137383 -5 *2792:gpio_defaults[3] *2792:gpio_defaults[5] 0.000175485 -6 *2792:gpio_defaults[4] *2792:gpio_defaults[5] 0.000154145 -*RES -1 *2748:gpio_defaults[5] *2792:gpio_defaults[5] 16.9393 -*END - -*D_NET *203 9.47632e-05 -*CONN -*I *2792:gpio_defaults[6] I *D gpio_control_block -*I *2748:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2792:gpio_defaults[6] 3.13589e-05 -2 *2748:gpio_defaults[6] 3.13589e-05 -3 *2792:gpio_defaults[6] *2792:gpio_defaults[7] 3.10798e-06 -4 *2792:gpio_defaults[5] *2792:gpio_defaults[6] 2.89375e-05 -*RES -1 *2748:gpio_defaults[6] *2792:gpio_defaults[6] 0.830504 -*END - -*D_NET *204 0.00124313 -*CONN -*I *2792:gpio_defaults[7] I *D gpio_control_block -*I *2748:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2792:gpio_defaults[7] 0.000341947 -2 *2748:gpio_defaults[7] 0.000341947 -3 *2792:gpio_defaults[7] *2792:gpio_defaults[8] 0.000213725 -4 *2792:gpio_defaults[4] *2792:gpio_defaults[7] 0.00020502 -5 *2792:gpio_defaults[5] *2792:gpio_defaults[7] 0.000137383 -6 *2792:gpio_defaults[6] *2792:gpio_defaults[7] 3.10798e-06 -*RES -1 *2748:gpio_defaults[7] *2792:gpio_defaults[7] 16.932 -*END - -*D_NET *205 0.00200788 -*CONN -*I *2792:gpio_defaults[8] I *D gpio_control_block -*I *2748:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2792:gpio_defaults[8] 0.000364595 -2 *2748:gpio_defaults[8] 0.000364595 -3 *2792:gpio_defaults[8] *2792:gpio_defaults[9] 0.000736122 -4 *2792:gpio_defaults[3] *2792:gpio_defaults[8] 1.00937e-05 -5 *2792:gpio_defaults[4] *2792:gpio_defaults[8] 0.00031875 -6 *2792:gpio_defaults[7] *2792:gpio_defaults[8] 0.000213725 -*RES -1 *2748:gpio_defaults[8] *2792:gpio_defaults[8] 22.6415 -*END - -*D_NET *206 0.00305205 -*CONN -*I *2792:gpio_defaults[9] I *D gpio_control_block -*I *2748:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2792:gpio_defaults[9] 0.000439453 -2 *2748:gpio_defaults[9] 0.000439453 -3 *2792:gpio_defaults[1] *2792:gpio_defaults[9] 0.00125431 -4 *2792:gpio_defaults[2] *2792:gpio_defaults[9] 0 -5 *2792:gpio_defaults[3] *2792:gpio_defaults[9] 0.000182712 -6 *2792:gpio_defaults[8] *2792:gpio_defaults[9] 0.000736122 -*RES -1 *2748:gpio_defaults[9] *2792:gpio_defaults[9] 28.5975 -*END - -*D_NET *207 0.00447426 -*CONN -*I *2792:gpio_defaults[10] I *D gpio_control_block -*I *2748:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2792:gpio_defaults[10] 0.000415317 -2 *2748:gpio_defaults[10] 0.000415317 -3 *2792:gpio_defaults[10] *2792:gpio_defaults[12] 0.00187492 -4 *2792:gpio_defaults[1] *2792:gpio_defaults[10] 0 -5 *2792:gpio_defaults[2] *2792:gpio_defaults[10] 0.00176871 -6 *2792:gpio_defaults[3] *2792:gpio_defaults[10] 0 -*RES -1 *2748:gpio_defaults[10] *2792:gpio_defaults[10] 34.9668 -*END - -*D_NET *208 0.00178121 -*CONN -*I *2782:gpio_defaults[4] I *D gpio_control_block -*I *2744:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2782:gpio_defaults[4] 0.000417982 -2 *2744:gpio_defaults[4] 0.000417982 -3 *2782:gpio_defaults[4] *2782:gpio_defaults[5] 0.000167076 -4 *2782:gpio_defaults[4] *2782:gpio_defaults[7] 0.000217937 -5 *2782:gpio_defaults[4] *2782:gpio_defaults[8] 0.00031875 -6 *2782:gpio_defaults[3] *2782:gpio_defaults[4] 0.000241483 -*RES -1 *2744:gpio_defaults[4] *2782:gpio_defaults[4] 22.4853 -*END - -*D_NET *209 0.0041883 -*CONN -*I *2792:gpio_defaults[11] I *D gpio_control_block -*I *2748:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2792:gpio_defaults[11] 0.000807846 -2 *2748:gpio_defaults[11] 0.000807846 -3 *2792:gpio_defaults[11] *2792:gpio_defaults[12] 0 -4 *2792:gpio_defaults[0] *2792:gpio_defaults[11] 0.000281238 -5 *2792:gpio_defaults[1] *2792:gpio_defaults[11] 0.00229137 -6 *2792:gpio_defaults[2] *2792:gpio_defaults[11] 0 -*RES -1 *2748:gpio_defaults[11] *2792:gpio_defaults[11] 40.5129 -*END - -*D_NET *210 0.00412638 -*CONN -*I *2792:gpio_defaults[12] I *D gpio_control_block -*I *2748:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2792:gpio_defaults[12] 0.00110018 -2 *2748:gpio_defaults[12] 0.00110018 -3 *2792:gpio_defaults[0] *2792:gpio_defaults[12] 5.10987e-05 -4 *2792:gpio_defaults[10] *2792:gpio_defaults[12] 0.00187492 -5 *2792:gpio_defaults[11] *2792:gpio_defaults[12] 0 -6 *2792:gpio_defaults[1] *2792:gpio_defaults[12] 0 -*RES -1 *2748:gpio_defaults[12] *2792:gpio_defaults[12] 47.9031 -*END - -*D_NET *211 0.00566208 -*CONN -*I *2793:gpio_defaults[0] I *D gpio_control_block -*I *2749:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2793:gpio_defaults[0] 0.000565637 -2 *2749:gpio_defaults[0] 0.000732933 -3 *211:15 0.00209811 -4 *211:9 0.0022654 -5 *2793:gpio_defaults[0] *2793:gpio_defaults[12] 0 -6 *2793:gpio_defaults[0] *2793:gpio_defaults[1] 0 -*RES -1 *2749:gpio_defaults[0] *211:9 25.2015 -2 *211:9 *211:15 47.0884 -3 *211:15 *2793:gpio_defaults[0] 16.0483 -*END - -*D_NET *212 0.00387747 -*CONN -*I *2793:gpio_defaults[1] I *D gpio_control_block -*I *2749:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2793:gpio_defaults[1] 0.000846372 -2 *2749:gpio_defaults[1] 0.000846372 -3 *2793:gpio_defaults[1] *2793:gpio_defaults[10] 0.00187071 -4 *2793:gpio_defaults[1] *2793:gpio_defaults[11] 0.000314017 -5 *2793:gpio_defaults[1] *2793:gpio_defaults[12] 0 -6 *2793:gpio_defaults[1] *2793:gpio_defaults[2] 0 -7 *2793:gpio_defaults[0] *2793:gpio_defaults[1] 0 -*RES -1 *2749:gpio_defaults[1] *2793:gpio_defaults[1] 39.9539 -*END - -*D_NET *213 0.00387412 -*CONN -*I *2793:gpio_defaults[2] I *D gpio_control_block -*I *2749:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2793:gpio_defaults[2] 0.000516006 -2 *2749:gpio_defaults[2] 0.000516006 -3 *2793:gpio_defaults[2] *2793:gpio_defaults[10] 0.00182519 -4 *2793:gpio_defaults[2] *2793:gpio_defaults[11] 0.000231712 -5 *2793:gpio_defaults[2] *2793:gpio_defaults[12] 0 -6 *2793:gpio_defaults[2] *2793:gpio_defaults[3] 2.9752e-05 -7 *2793:gpio_defaults[2] *2793:gpio_defaults[8] 0.000755455 -8 *2793:gpio_defaults[2] *2793:gpio_defaults[9] 0 -9 *2793:gpio_defaults[1] *2793:gpio_defaults[2] 0 -*RES -1 *2749:gpio_defaults[2] *2793:gpio_defaults[2] 33.5774 -*END - -*D_NET *214 0.00285234 -*CONN -*I *2793:gpio_defaults[3] I *D gpio_control_block -*I *2749:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2793:gpio_defaults[3] 0.000403067 -2 *2749:gpio_defaults[3] 0.000403067 -3 *2793:gpio_defaults[3] *2793:gpio_defaults[10] 0 -4 *2793:gpio_defaults[3] *2793:gpio_defaults[4] 0.000706559 -5 *2793:gpio_defaults[3] *2793:gpio_defaults[8] 1.00937e-05 -6 *2793:gpio_defaults[3] *2793:gpio_defaults[9] 0.0012998 -7 *2793:gpio_defaults[2] *2793:gpio_defaults[3] 2.9752e-05 -*RES -1 *2749:gpio_defaults[3] *2793:gpio_defaults[3] 28.0313 -*END - -*D_NET *215 0.00185623 -*CONN -*I *2793:gpio_defaults[4] I *D gpio_control_block -*I *2749:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2793:gpio_defaults[4] 0.000326191 -2 *2749:gpio_defaults[4] 0.000326191 -3 *2793:gpio_defaults[4] *2793:gpio_defaults[5] 0.000175485 -4 *2793:gpio_defaults[4] *2793:gpio_defaults[7] 4.82966e-05 -5 *2793:gpio_defaults[4] *2793:gpio_defaults[8] 0.000273507 -6 *2793:gpio_defaults[4] *2793:gpio_defaults[9] 0 -7 *2793:gpio_defaults[3] *2793:gpio_defaults[4] 0.000706559 -*RES -1 *2749:gpio_defaults[4] *2793:gpio_defaults[4] 22.07 -*END - -*D_NET *216 0.00112549 -*CONN -*I *2793:gpio_defaults[5] I *D gpio_control_block -*I *2749:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2793:gpio_defaults[5] 0.00031878 -2 *2749:gpio_defaults[5] 0.00031878 -3 *2793:gpio_defaults[5] *2793:gpio_defaults[6] 2.57385e-05 -4 *2793:gpio_defaults[5] *2793:gpio_defaults[7] 0.000286703 -5 *2793:gpio_defaults[4] *2793:gpio_defaults[5] 0.000175485 -*RES -1 *2749:gpio_defaults[5] *2793:gpio_defaults[5] 16.1087 -*END - -*D_NET *217 0.000105255 -*CONN -*I *2793:gpio_defaults[6] I *D gpio_control_block -*I *2749:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2793:gpio_defaults[6] 3.69649e-05 -2 *2749:gpio_defaults[6] 3.69649e-05 -3 *2793:gpio_defaults[6] *2793:gpio_defaults[7] 5.58683e-06 -4 *2793:gpio_defaults[5] *2793:gpio_defaults[6] 2.57385e-05 -*RES -1 *2749:gpio_defaults[6] *2793:gpio_defaults[6] 0.830504 -*END - -*D_NET *218 0.0010773 -*CONN -*I *2793:gpio_defaults[7] I *D gpio_control_block -*I *2749:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2793:gpio_defaults[7] 0.000239291 -2 *2749:gpio_defaults[7] 0.000239291 -3 *2793:gpio_defaults[7] *2793:gpio_defaults[8] 0.000258128 -4 *2793:gpio_defaults[4] *2793:gpio_defaults[7] 4.82966e-05 -5 *2793:gpio_defaults[5] *2793:gpio_defaults[7] 0.000286703 -6 *2793:gpio_defaults[6] *2793:gpio_defaults[7] 5.58683e-06 -*RES -1 *2749:gpio_defaults[7] *2793:gpio_defaults[7] 16.2578 -*END - -*D_NET *219 0.00124088 -*CONN -*I *2782:gpio_defaults[5] I *D gpio_control_block -*I *2744:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2782:gpio_defaults[5] 0.000372877 -2 *2744:gpio_defaults[5] 0.000372877 -3 *2782:gpio_defaults[5] *2782:gpio_defaults[6] 2.0798e-05 -4 *2782:gpio_defaults[5] *2782:gpio_defaults[7] 0.00013177 -5 *2782:gpio_defaults[3] *2782:gpio_defaults[5] 0.000175485 -6 *2782:gpio_defaults[4] *2782:gpio_defaults[5] 0.000167076 -*RES -1 *2744:gpio_defaults[5] *2782:gpio_defaults[5] 16.9393 -*END - -*D_NET *220 0.00199229 -*CONN -*I *2793:gpio_defaults[8] I *D gpio_control_block -*I *2749:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2793:gpio_defaults[8] 0.000293793 -2 *2749:gpio_defaults[8] 0.000293793 -3 *2793:gpio_defaults[8] *2793:gpio_defaults[11] 0.000107517 -4 *2793:gpio_defaults[2] *2793:gpio_defaults[8] 0.000755455 -5 *2793:gpio_defaults[3] *2793:gpio_defaults[8] 1.00937e-05 -6 *2793:gpio_defaults[4] *2793:gpio_defaults[8] 0.000273507 -7 *2793:gpio_defaults[7] *2793:gpio_defaults[8] 0.000258128 -*RES -1 *2749:gpio_defaults[8] *2793:gpio_defaults[8] 22.221 -*END - -*D_NET *221 0.0032658 -*CONN -*I *2793:gpio_defaults[9] I *D gpio_control_block -*I *2749:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2793:gpio_defaults[9] 0.000311078 -2 *2749:gpio_defaults[9] 0.000311078 -3 *2793:gpio_defaults[9] *2793:gpio_defaults[12] 0.00134385 -4 *2793:gpio_defaults[2] *2793:gpio_defaults[9] 0 -5 *2793:gpio_defaults[3] *2793:gpio_defaults[9] 0.0012998 -6 *2793:gpio_defaults[4] *2793:gpio_defaults[9] 0 -*RES -1 *2749:gpio_defaults[9] *2793:gpio_defaults[9] 28.5903 -*END - -*D_NET *222 0.00459381 -*CONN -*I *2793:gpio_defaults[10] I *D gpio_control_block -*I *2749:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2793:gpio_defaults[10] 0.00033564 -2 *2749:gpio_defaults[10] 0.00033564 -3 *2793:gpio_defaults[10] *2793:gpio_defaults[11] 0.000226637 -4 *2793:gpio_defaults[10] *2793:gpio_defaults[12] 0 -5 *2793:gpio_defaults[1] *2793:gpio_defaults[10] 0.00187071 -6 *2793:gpio_defaults[2] *2793:gpio_defaults[10] 0.00182519 -7 *2793:gpio_defaults[3] *2793:gpio_defaults[10] 0 -*RES -1 *2749:gpio_defaults[10] *2793:gpio_defaults[10] 34.1363 -*END - -*D_NET *223 0.00432568 -*CONN -*I *2793:gpio_defaults[11] I *D gpio_control_block -*I *2749:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2793:gpio_defaults[11] 0.0017229 -2 *2749:gpio_defaults[11] 0.0017229 -3 *2793:gpio_defaults[10] *2793:gpio_defaults[11] 0.000226637 -4 *2793:gpio_defaults[1] *2793:gpio_defaults[11] 0.000314017 -5 *2793:gpio_defaults[2] *2793:gpio_defaults[11] 0.000231712 -6 *2793:gpio_defaults[8] *2793:gpio_defaults[11] 0.000107517 -*RES -1 *2749:gpio_defaults[11] *2793:gpio_defaults[11] 13.4224 -*END - -*D_NET *224 0.00360477 -*CONN -*I *2793:gpio_defaults[12] I *D gpio_control_block -*I *2749:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2793:gpio_defaults[12] 0.00113046 -2 *2749:gpio_defaults[12] 0.00113046 -3 *2793:gpio_defaults[0] *2793:gpio_defaults[12] 0 -4 *2793:gpio_defaults[10] *2793:gpio_defaults[12] 0 -5 *2793:gpio_defaults[1] *2793:gpio_defaults[12] 0 -6 *2793:gpio_defaults[2] *2793:gpio_defaults[12] 0 -7 *2793:gpio_defaults[9] *2793:gpio_defaults[12] 0.00134385 -*RES -1 *2749:gpio_defaults[12] *2793:gpio_defaults[12] 46.2421 -*END - -*D_NET *225 3.95199e-05 -*CONN -*I *2794:gpio_defaults[0] I *D gpio_control_block -*I *2750:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2794:gpio_defaults[0] 1.97599e-05 -2 *2750:gpio_defaults[0] 1.97599e-05 -3 *2794:gpio_defaults[0] *2794:gpio_defaults[1] 0 -*RES -1 *2750:gpio_defaults[0] *2794:gpio_defaults[0] 0.561812 -*END - -*D_NET *226 3.95199e-05 -*CONN -*I *2794:gpio_defaults[1] I *D gpio_control_block -*I *2750:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2794:gpio_defaults[1] 1.97599e-05 -2 *2750:gpio_defaults[1] 1.97599e-05 -3 *2794:gpio_defaults[1] *2794:gpio_defaults[2] 0 -4 *2794:gpio_defaults[0] *2794:gpio_defaults[1] 0 -*RES -1 *2750:gpio_defaults[1] *2794:gpio_defaults[1] 0.561812 -*END - -*D_NET *227 3.95199e-05 -*CONN -*I *2794:gpio_defaults[2] I *D gpio_control_block -*I *2750:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2794:gpio_defaults[2] 1.97599e-05 -2 *2750:gpio_defaults[2] 1.97599e-05 -3 *2794:gpio_defaults[2] *2794:gpio_defaults[3] 0 -4 *2794:gpio_defaults[1] *2794:gpio_defaults[2] 0 -*RES -1 *2750:gpio_defaults[2] *2794:gpio_defaults[2] 0.561812 -*END - -*D_NET *228 3.95199e-05 -*CONN -*I *2794:gpio_defaults[3] I *D gpio_control_block -*I *2750:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2794:gpio_defaults[3] 1.97599e-05 -2 *2750:gpio_defaults[3] 1.97599e-05 -3 *2794:gpio_defaults[3] *2794:gpio_defaults[4] 0 -4 *2794:gpio_defaults[2] *2794:gpio_defaults[3] 0 -*RES -1 *2750:gpio_defaults[3] *2794:gpio_defaults[3] 0.561812 -*END - -*D_NET *229 3.95199e-05 -*CONN -*I *2794:gpio_defaults[4] I *D gpio_control_block -*I *2750:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2794:gpio_defaults[4] 1.97599e-05 -2 *2750:gpio_defaults[4] 1.97599e-05 -3 *2794:gpio_defaults[4] *2794:gpio_defaults[5] 0 -4 *2794:gpio_defaults[3] *2794:gpio_defaults[4] 0 -*RES -1 *2750:gpio_defaults[4] *2794:gpio_defaults[4] 0.561812 -*END - -*D_NET *230 0.000109938 -*CONN -*I *2782:gpio_defaults[6] I *D gpio_control_block -*I *2744:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2782:gpio_defaults[6] 3.59357e-05 -2 *2744:gpio_defaults[6] 3.59357e-05 -3 *2782:gpio_defaults[6] *2782:gpio_defaults[7] 1.7269e-05 -4 *2782:gpio_defaults[5] *2782:gpio_defaults[6] 2.0798e-05 -*RES -1 *2744:gpio_defaults[6] *2782:gpio_defaults[6] 0.830504 -*END - -*D_NET *231 0.00420547 -*CONN -*I *2781:gpio_defaults[1] I *D gpio_control_block -*I *2743:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2781:gpio_defaults[1] 0.000811021 -2 *2743:gpio_defaults[1] 0.000811021 -3 *2781:gpio_defaults[0] *2781:gpio_defaults[1] 0.000292058 -4 *2781:gpio_defaults[10] *2781:gpio_defaults[1] 0 -5 *2781:gpio_defaults[11] *2781:gpio_defaults[1] 0.00229137 -6 *2781:gpio_defaults[12] *2781:gpio_defaults[1] 0 -*RES -1 *2743:gpio_defaults[1] *2781:gpio_defaults[1] 40.5129 -*END - -*D_NET *232 3.95199e-05 -*CONN -*I *2794:gpio_defaults[5] I *D gpio_control_block -*I *2750:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2794:gpio_defaults[5] 1.97599e-05 -2 *2750:gpio_defaults[5] 1.97599e-05 -3 *2794:gpio_defaults[5] *2794:gpio_defaults[6] 0 -4 *2794:gpio_defaults[4] *2794:gpio_defaults[5] 0 -*RES -1 *2750:gpio_defaults[5] *2794:gpio_defaults[5] 0.561812 -*END - -*D_NET *233 3.95199e-05 -*CONN -*I *2794:gpio_defaults[6] I *D gpio_control_block -*I *2750:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2794:gpio_defaults[6] 1.97599e-05 -2 *2750:gpio_defaults[6] 1.97599e-05 -3 *2794:gpio_defaults[6] *2794:gpio_defaults[7] 0 -4 *2794:gpio_defaults[5] *2794:gpio_defaults[6] 0 -*RES -1 *2750:gpio_defaults[6] *2794:gpio_defaults[6] 0.561812 -*END - -*D_NET *234 3.95199e-05 -*CONN -*I *2794:gpio_defaults[7] I *D gpio_control_block -*I *2750:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2794:gpio_defaults[7] 1.97599e-05 -2 *2750:gpio_defaults[7] 1.97599e-05 -3 *2794:gpio_defaults[7] *2794:gpio_defaults[8] 0 -4 *2794:gpio_defaults[6] *2794:gpio_defaults[7] 0 -*RES -1 *2750:gpio_defaults[7] *2794:gpio_defaults[7] 0.561812 -*END - -*D_NET *235 3.95199e-05 -*CONN -*I *2794:gpio_defaults[8] I *D gpio_control_block -*I *2750:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2794:gpio_defaults[8] 1.97599e-05 -2 *2750:gpio_defaults[8] 1.97599e-05 -3 *2794:gpio_defaults[8] *2794:gpio_defaults[9] 0 -4 *2794:gpio_defaults[7] *2794:gpio_defaults[8] 0 -*RES -1 *2750:gpio_defaults[8] *2794:gpio_defaults[8] 0.561812 -*END - -*D_NET *236 3.95199e-05 -*CONN -*I *2794:gpio_defaults[9] I *D gpio_control_block -*I *2750:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2794:gpio_defaults[9] 1.97599e-05 -2 *2750:gpio_defaults[9] 1.97599e-05 -3 *2794:gpio_defaults[9] *2794:gpio_defaults[10] 0 -4 *2794:gpio_defaults[8] *2794:gpio_defaults[9] 0 -*RES -1 *2750:gpio_defaults[9] *2794:gpio_defaults[9] 0.561812 -*END - -*D_NET *237 3.95199e-05 -*CONN -*I *2794:gpio_defaults[10] I *D gpio_control_block -*I *2750:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2794:gpio_defaults[10] 1.97599e-05 -2 *2750:gpio_defaults[10] 1.97599e-05 -3 *2794:gpio_defaults[10] *2794:gpio_defaults[11] 0 -4 *2794:gpio_defaults[9] *2794:gpio_defaults[10] 0 -*RES -1 *2750:gpio_defaults[10] *2794:gpio_defaults[10] 0.561812 -*END - -*D_NET *238 3.95199e-05 -*CONN -*I *2794:gpio_defaults[11] I *D gpio_control_block -*I *2750:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2794:gpio_defaults[11] 1.97599e-05 -2 *2750:gpio_defaults[11] 1.97599e-05 -3 *2794:gpio_defaults[11] *2794:gpio_defaults[12] 0 -4 *2794:gpio_defaults[10] *2794:gpio_defaults[11] 0 -*RES -1 *2750:gpio_defaults[11] *2794:gpio_defaults[11] 0.561812 -*END - -*D_NET *239 3.95199e-05 -*CONN -*I *2794:gpio_defaults[12] I *D gpio_control_block -*I *2750:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2794:gpio_defaults[12] 1.97599e-05 -2 *2750:gpio_defaults[12] 1.97599e-05 -3 *2794:gpio_defaults[11] *2794:gpio_defaults[12] 0 -*RES -1 *2750:gpio_defaults[12] *2794:gpio_defaults[12] 0.561812 -*END - -*D_NET *240 3.95199e-05 -*CONN -*I *2795:gpio_defaults[0] I *D gpio_control_block -*I *2751:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2795:gpio_defaults[0] 1.97599e-05 -2 *2751:gpio_defaults[0] 1.97599e-05 -3 *2795:gpio_defaults[0] *2795:gpio_defaults[1] 0 -*RES -1 *2751:gpio_defaults[0] *2795:gpio_defaults[0] 0.561812 -*END - -*D_NET *241 3.95199e-05 -*CONN -*I *2795:gpio_defaults[1] I *D gpio_control_block -*I *2751:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2795:gpio_defaults[1] 1.97599e-05 -2 *2751:gpio_defaults[1] 1.97599e-05 -3 *2795:gpio_defaults[1] *2795:gpio_defaults[2] 0 -4 *2795:gpio_defaults[0] *2795:gpio_defaults[1] 0 -*RES -1 *2751:gpio_defaults[1] *2795:gpio_defaults[1] 0.561812 -*END - -*D_NET *242 0.0012043 -*CONN -*I *2782:gpio_defaults[7] I *D gpio_control_block -*I *2744:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2782:gpio_defaults[7] 0.000318267 -2 *2744:gpio_defaults[7] 0.000318267 -3 *2782:gpio_defaults[7] *2782:gpio_defaults[8] 0.000200794 -4 *2782:gpio_defaults[4] *2782:gpio_defaults[7] 0.000217937 -5 *2782:gpio_defaults[5] *2782:gpio_defaults[7] 0.00013177 -6 *2782:gpio_defaults[6] *2782:gpio_defaults[7] 1.7269e-05 -*RES -1 *2744:gpio_defaults[7] *2782:gpio_defaults[7] 16.932 -*END - -*D_NET *243 3.95199e-05 -*CONN -*I *2795:gpio_defaults[2] I *D gpio_control_block -*I *2751:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2795:gpio_defaults[2] 1.97599e-05 -2 *2751:gpio_defaults[2] 1.97599e-05 -3 *2795:gpio_defaults[2] *2795:gpio_defaults[3] 0 -4 *2795:gpio_defaults[1] *2795:gpio_defaults[2] 0 -*RES -1 *2751:gpio_defaults[2] *2795:gpio_defaults[2] 0.561812 -*END - -*D_NET *244 3.95199e-05 -*CONN -*I *2795:gpio_defaults[3] I *D gpio_control_block -*I *2751:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2795:gpio_defaults[3] 1.97599e-05 -2 *2751:gpio_defaults[3] 1.97599e-05 -3 *2795:gpio_defaults[3] *2795:gpio_defaults[4] 0 -4 *2795:gpio_defaults[2] *2795:gpio_defaults[3] 0 -*RES -1 *2751:gpio_defaults[3] *2795:gpio_defaults[3] 0.561812 -*END - -*D_NET *245 3.95199e-05 -*CONN -*I *2795:gpio_defaults[4] I *D gpio_control_block -*I *2751:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2795:gpio_defaults[4] 1.97599e-05 -2 *2751:gpio_defaults[4] 1.97599e-05 -3 *2795:gpio_defaults[4] *2795:gpio_defaults[5] 0 -4 *2795:gpio_defaults[3] *2795:gpio_defaults[4] 0 -*RES -1 *2751:gpio_defaults[4] *2795:gpio_defaults[4] 0.561812 -*END - -*D_NET *246 3.95199e-05 -*CONN -*I *2795:gpio_defaults[5] I *D gpio_control_block -*I *2751:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2795:gpio_defaults[5] 1.97599e-05 -2 *2751:gpio_defaults[5] 1.97599e-05 -3 *2795:gpio_defaults[5] *2795:gpio_defaults[6] 0 -4 *2795:gpio_defaults[4] *2795:gpio_defaults[5] 0 -*RES -1 *2751:gpio_defaults[5] *2795:gpio_defaults[5] 0.561812 -*END - -*D_NET *247 3.95199e-05 -*CONN -*I *2795:gpio_defaults[6] I *D gpio_control_block -*I *2751:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2795:gpio_defaults[6] 1.97599e-05 -2 *2751:gpio_defaults[6] 1.97599e-05 -3 *2795:gpio_defaults[6] *2795:gpio_defaults[7] 0 -4 *2795:gpio_defaults[5] *2795:gpio_defaults[6] 0 -*RES -1 *2751:gpio_defaults[6] *2795:gpio_defaults[6] 0.561812 -*END - -*D_NET *248 3.95199e-05 -*CONN -*I *2795:gpio_defaults[7] I *D gpio_control_block -*I *2751:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2795:gpio_defaults[7] 1.97599e-05 -2 *2751:gpio_defaults[7] 1.97599e-05 -3 *2795:gpio_defaults[7] *2795:gpio_defaults[8] 0 -4 *2795:gpio_defaults[6] *2795:gpio_defaults[7] 0 -*RES -1 *2751:gpio_defaults[7] *2795:gpio_defaults[7] 0.561812 -*END - -*D_NET *249 3.95199e-05 -*CONN -*I *2795:gpio_defaults[8] I *D gpio_control_block -*I *2751:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2795:gpio_defaults[8] 1.97599e-05 -2 *2751:gpio_defaults[8] 1.97599e-05 -3 *2795:gpio_defaults[8] *2795:gpio_defaults[9] 0 -4 *2795:gpio_defaults[7] *2795:gpio_defaults[8] 0 -*RES -1 *2751:gpio_defaults[8] *2795:gpio_defaults[8] 0.561812 -*END - -*D_NET *250 3.95199e-05 -*CONN -*I *2795:gpio_defaults[9] I *D gpio_control_block -*I *2751:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2795:gpio_defaults[9] 1.97599e-05 -2 *2751:gpio_defaults[9] 1.97599e-05 -3 *2795:gpio_defaults[9] *2795:gpio_defaults[10] 0 -4 *2795:gpio_defaults[8] *2795:gpio_defaults[9] 0 -*RES -1 *2751:gpio_defaults[9] *2795:gpio_defaults[9] 0.561812 -*END - -*D_NET *251 3.95199e-05 -*CONN -*I *2795:gpio_defaults[10] I *D gpio_control_block -*I *2751:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2795:gpio_defaults[10] 1.97599e-05 -2 *2751:gpio_defaults[10] 1.97599e-05 -3 *2795:gpio_defaults[10] *2795:gpio_defaults[11] 0 -4 *2795:gpio_defaults[9] *2795:gpio_defaults[10] 0 -*RES -1 *2751:gpio_defaults[10] *2795:gpio_defaults[10] 0.561812 -*END - -*D_NET *252 3.95199e-05 -*CONN -*I *2795:gpio_defaults[11] I *D gpio_control_block -*I *2751:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2795:gpio_defaults[11] 1.97599e-05 -2 *2751:gpio_defaults[11] 1.97599e-05 -3 *2795:gpio_defaults[11] *2795:gpio_defaults[12] 0 -4 *2795:gpio_defaults[10] *2795:gpio_defaults[11] 0 -*RES -1 *2751:gpio_defaults[11] *2795:gpio_defaults[11] 0.561812 -*END - -*D_NET *253 0.00200103 -*CONN -*I *2782:gpio_defaults[8] I *D gpio_control_block -*I *2744:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2782:gpio_defaults[8] 0.000374098 -2 *2744:gpio_defaults[8] 0.000374098 -3 *2782:gpio_defaults[8] *2782:gpio_defaults[9] 0.000723192 -4 *2782:gpio_defaults[3] *2782:gpio_defaults[8] 1.00937e-05 -5 *2782:gpio_defaults[4] *2782:gpio_defaults[8] 0.00031875 -6 *2782:gpio_defaults[7] *2782:gpio_defaults[8] 0.000200794 -*RES -1 *2744:gpio_defaults[8] *2782:gpio_defaults[8] 22.6415 -*END - -*D_NET *254 3.95199e-05 -*CONN -*I *2795:gpio_defaults[12] I *D gpio_control_block -*I *2751:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2795:gpio_defaults[12] 1.97599e-05 -2 *2751:gpio_defaults[12] 1.97599e-05 -3 *2795:gpio_defaults[11] *2795:gpio_defaults[12] 0 -*RES -1 *2751:gpio_defaults[12] *2795:gpio_defaults[12] 0.561812 -*END - -*D_NET *255 3.95199e-05 -*CONN -*I *2796:gpio_defaults[0] I *D gpio_control_block -*I *2752:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2796:gpio_defaults[0] 1.97599e-05 -2 *2752:gpio_defaults[0] 1.97599e-05 -3 *2796:gpio_defaults[0] *2796:gpio_defaults[1] 0 -*RES -1 *2752:gpio_defaults[0] *2796:gpio_defaults[0] 0.561812 -*END - -*D_NET *256 3.95199e-05 -*CONN -*I *2796:gpio_defaults[1] I *D gpio_control_block -*I *2752:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2796:gpio_defaults[1] 1.97599e-05 -2 *2752:gpio_defaults[1] 1.97599e-05 -3 *2796:gpio_defaults[1] *2796:gpio_defaults[2] 0 -4 *2796:gpio_defaults[0] *2796:gpio_defaults[1] 0 -*RES -1 *2752:gpio_defaults[1] *2796:gpio_defaults[1] 0.561812 -*END - -*D_NET *257 3.95199e-05 -*CONN -*I *2796:gpio_defaults[2] I *D gpio_control_block -*I *2752:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2796:gpio_defaults[2] 1.97599e-05 -2 *2752:gpio_defaults[2] 1.97599e-05 -3 *2796:gpio_defaults[2] *2796:gpio_defaults[3] 0 -4 *2796:gpio_defaults[1] *2796:gpio_defaults[2] 0 -*RES -1 *2752:gpio_defaults[2] *2796:gpio_defaults[2] 0.561812 -*END - -*D_NET *258 3.95199e-05 -*CONN -*I *2796:gpio_defaults[3] I *D gpio_control_block -*I *2752:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2796:gpio_defaults[3] 1.97599e-05 -2 *2752:gpio_defaults[3] 1.97599e-05 -3 *2796:gpio_defaults[3] *2796:gpio_defaults[4] 0 -4 *2796:gpio_defaults[2] *2796:gpio_defaults[3] 0 -*RES -1 *2752:gpio_defaults[3] *2796:gpio_defaults[3] 0.561812 -*END - -*D_NET *259 3.95199e-05 -*CONN -*I *2796:gpio_defaults[4] I *D gpio_control_block -*I *2752:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2796:gpio_defaults[4] 1.97599e-05 -2 *2752:gpio_defaults[4] 1.97599e-05 -3 *2796:gpio_defaults[4] *2796:gpio_defaults[5] 0 -4 *2796:gpio_defaults[3] *2796:gpio_defaults[4] 0 -*RES -1 *2752:gpio_defaults[4] *2796:gpio_defaults[4] 0.561812 -*END - -*D_NET *260 3.95199e-05 -*CONN -*I *2796:gpio_defaults[5] I *D gpio_control_block -*I *2752:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2796:gpio_defaults[5] 1.97599e-05 -2 *2752:gpio_defaults[5] 1.97599e-05 -3 *2796:gpio_defaults[5] *2796:gpio_defaults[6] 0 -4 *2796:gpio_defaults[4] *2796:gpio_defaults[5] 0 -*RES -1 *2752:gpio_defaults[5] *2796:gpio_defaults[5] 0.561812 -*END - -*D_NET *261 3.95199e-05 -*CONN -*I *2796:gpio_defaults[6] I *D gpio_control_block -*I *2752:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2796:gpio_defaults[6] 1.97599e-05 -2 *2752:gpio_defaults[6] 1.97599e-05 -3 *2796:gpio_defaults[6] *2796:gpio_defaults[7] 0 -4 *2796:gpio_defaults[5] *2796:gpio_defaults[6] 0 -*RES -1 *2752:gpio_defaults[6] *2796:gpio_defaults[6] 0.561812 -*END - -*D_NET *262 3.95199e-05 -*CONN -*I *2796:gpio_defaults[7] I *D gpio_control_block -*I *2752:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2796:gpio_defaults[7] 1.97599e-05 -2 *2752:gpio_defaults[7] 1.97599e-05 -3 *2796:gpio_defaults[7] *2796:gpio_defaults[8] 0 -4 *2796:gpio_defaults[6] *2796:gpio_defaults[7] 0 -*RES -1 *2752:gpio_defaults[7] *2796:gpio_defaults[7] 0.561812 -*END - -*D_NET *263 3.95199e-05 -*CONN -*I *2796:gpio_defaults[8] I *D gpio_control_block -*I *2752:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2796:gpio_defaults[8] 1.97599e-05 -2 *2752:gpio_defaults[8] 1.97599e-05 -3 *2796:gpio_defaults[8] *2796:gpio_defaults[9] 0 -4 *2796:gpio_defaults[7] *2796:gpio_defaults[8] 0 -*RES -1 *2752:gpio_defaults[8] *2796:gpio_defaults[8] 0.561812 -*END - -*D_NET *264 0.00307871 -*CONN -*I *2782:gpio_defaults[9] I *D gpio_control_block -*I *2744:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2782:gpio_defaults[9] 0.000436933 -2 *2744:gpio_defaults[9] 0.000436933 -3 *2782:gpio_defaults[9] *2782:gpio_defaults[10] 0.00129893 -4 *2782:gpio_defaults[2] *2782:gpio_defaults[9] 0 -5 *2782:gpio_defaults[3] *2782:gpio_defaults[9] 0.000182712 -6 *2782:gpio_defaults[8] *2782:gpio_defaults[9] 0.000723192 -*RES -1 *2744:gpio_defaults[9] *2782:gpio_defaults[9] 28.5975 -*END - -*D_NET *265 3.95199e-05 -*CONN -*I *2796:gpio_defaults[9] I *D gpio_control_block -*I *2752:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2796:gpio_defaults[9] 1.97599e-05 -2 *2752:gpio_defaults[9] 1.97599e-05 -3 *2796:gpio_defaults[9] *2796:gpio_defaults[10] 0 -4 *2796:gpio_defaults[8] *2796:gpio_defaults[9] 0 -*RES -1 *2752:gpio_defaults[9] *2796:gpio_defaults[9] 0.561812 -*END - -*D_NET *266 3.95199e-05 -*CONN -*I *2796:gpio_defaults[10] I *D gpio_control_block -*I *2752:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2796:gpio_defaults[10] 1.97599e-05 -2 *2752:gpio_defaults[10] 1.97599e-05 -3 *2796:gpio_defaults[10] *2796:gpio_defaults[11] 0 -4 *2796:gpio_defaults[9] *2796:gpio_defaults[10] 0 -*RES -1 *2752:gpio_defaults[10] *2796:gpio_defaults[10] 0.561812 -*END - -*D_NET *267 3.95199e-05 -*CONN -*I *2796:gpio_defaults[11] I *D gpio_control_block -*I *2752:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2796:gpio_defaults[11] 1.97599e-05 -2 *2752:gpio_defaults[11] 1.97599e-05 -3 *2796:gpio_defaults[11] *2796:gpio_defaults[12] 0 -4 *2796:gpio_defaults[10] *2796:gpio_defaults[11] 0 -*RES -1 *2752:gpio_defaults[11] *2796:gpio_defaults[11] 0.561812 -*END - -*D_NET *268 3.95199e-05 -*CONN -*I *2796:gpio_defaults[12] I *D gpio_control_block -*I *2752:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2796:gpio_defaults[12] 1.97599e-05 -2 *2752:gpio_defaults[12] 1.97599e-05 -3 *2796:gpio_defaults[11] *2796:gpio_defaults[12] 0 -*RES -1 *2752:gpio_defaults[12] *2796:gpio_defaults[12] 0.561812 -*END - -*D_NET *269 3.95199e-05 -*CONN -*I *2787:gpio_defaults[0] I *D gpio_control_block -*I *2753:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2787:gpio_defaults[0] 1.97599e-05 -2 *2753:gpio_defaults[0] 1.97599e-05 -3 *2787:gpio_defaults[0] *2787:gpio_defaults[1] 0 -*RES -1 *2753:gpio_defaults[0] *2787:gpio_defaults[0] 0.561812 -*END - -*D_NET *270 3.95199e-05 -*CONN -*I *2787:gpio_defaults[1] I *D gpio_control_block -*I *2753:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2787:gpio_defaults[1] 1.97599e-05 -2 *2753:gpio_defaults[1] 1.97599e-05 -3 *2787:gpio_defaults[1] *2787:gpio_defaults[2] 0 -4 *2787:gpio_defaults[0] *2787:gpio_defaults[1] 0 -*RES -1 *2753:gpio_defaults[1] *2787:gpio_defaults[1] 0.561812 -*END - -*D_NET *271 3.95199e-05 -*CONN -*I *2787:gpio_defaults[2] I *D gpio_control_block -*I *2753:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2787:gpio_defaults[2] 1.97599e-05 -2 *2753:gpio_defaults[2] 1.97599e-05 -3 *2787:gpio_defaults[2] *2787:gpio_defaults[3] 0 -4 *2787:gpio_defaults[1] *2787:gpio_defaults[2] 0 -*RES -1 *2753:gpio_defaults[2] *2787:gpio_defaults[2] 0.561812 -*END - -*D_NET *272 0.000133688 -*CONN -*I *2787:gpio_defaults[3] I *D gpio_control_block -*I *2753:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2787:gpio_defaults[3] 6.68441e-05 -2 *2753:gpio_defaults[3] 6.68441e-05 -3 *2787:gpio_defaults[3] *2787:gpio_defaults[4] 0 -4 *2787:gpio_defaults[2] *2787:gpio_defaults[3] 0 -*RES -1 *2753:gpio_defaults[3] *2787:gpio_defaults[3] 2.2961 -*END - -*D_NET *273 3.95199e-05 -*CONN -*I *2787:gpio_defaults[4] I *D gpio_control_block -*I *2753:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2787:gpio_defaults[4] 1.97599e-05 -2 *2753:gpio_defaults[4] 1.97599e-05 -3 *2787:gpio_defaults[4] *2787:gpio_defaults[5] 0 -4 *2787:gpio_defaults[3] *2787:gpio_defaults[4] 0 -*RES -1 *2753:gpio_defaults[4] *2787:gpio_defaults[4] 0.561812 -*END - -*D_NET *274 3.95199e-05 -*CONN -*I *2787:gpio_defaults[5] I *D gpio_control_block -*I *2753:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2787:gpio_defaults[5] 1.97599e-05 -2 *2753:gpio_defaults[5] 1.97599e-05 -3 *2787:gpio_defaults[5] *2787:gpio_defaults[6] 0 -4 *2787:gpio_defaults[4] *2787:gpio_defaults[5] 0 -*RES -1 *2753:gpio_defaults[5] *2787:gpio_defaults[5] 0.561812 -*END - -*D_NET *275 0.00426269 -*CONN -*I *2782:gpio_defaults[10] I *D gpio_control_block -*I *2744:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2782:gpio_defaults[10] 0.000487936 -2 *2744:gpio_defaults[10] 0.000487936 -3 *2782:gpio_defaults[10] *2782:gpio_defaults[11] 0.00021471 -4 *2782:gpio_defaults[10] *2782:gpio_defaults[12] 3.62662e-06 -5 *2782:gpio_defaults[1] *2782:gpio_defaults[10] 0.00163548 -6 *2782:gpio_defaults[2] *2782:gpio_defaults[10] 0.000134072 -7 *2782:gpio_defaults[9] *2782:gpio_defaults[10] 0.00129893 -*RES -1 *2744:gpio_defaults[10] *2782:gpio_defaults[10] 34.7223 -*END - -*D_NET *276 0.000192061 -*CONN -*I *2787:gpio_defaults[6] I *D gpio_control_block -*I *2753:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2787:gpio_defaults[6] 6.95667e-05 -2 *2753:gpio_defaults[6] 6.95667e-05 -3 *2787:gpio_defaults[6] *2787:gpio_defaults[7] 5.29277e-05 -4 *2787:gpio_defaults[5] *2787:gpio_defaults[6] 0 -*RES -1 *2753:gpio_defaults[6] *2787:gpio_defaults[6] 3.1266 -*END - -*D_NET *277 0.000192061 -*CONN -*I *2787:gpio_defaults[7] I *D gpio_control_block -*I *2753:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2787:gpio_defaults[7] 6.95667e-05 -2 *2753:gpio_defaults[7] 6.95667e-05 -3 *2787:gpio_defaults[7] *2787:gpio_defaults[8] 0 -4 *2787:gpio_defaults[6] *2787:gpio_defaults[7] 5.29277e-05 -*RES -1 *2753:gpio_defaults[7] *2787:gpio_defaults[7] 3.1266 -*END - -*D_NET *278 3.95199e-05 -*CONN -*I *2787:gpio_defaults[8] I *D gpio_control_block -*I *2753:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2787:gpio_defaults[8] 1.97599e-05 -2 *2753:gpio_defaults[8] 1.97599e-05 -3 *2787:gpio_defaults[8] *2787:gpio_defaults[9] 0 -4 *2787:gpio_defaults[7] *2787:gpio_defaults[8] 0 -*RES -1 *2753:gpio_defaults[8] *2787:gpio_defaults[8] 0.561812 -*END - -*D_NET *279 3.95199e-05 -*CONN -*I *2787:gpio_defaults[9] I *D gpio_control_block -*I *2753:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2787:gpio_defaults[9] 1.97599e-05 -2 *2753:gpio_defaults[9] 1.97599e-05 -3 *2787:gpio_defaults[9] *2787:gpio_defaults[10] 0 -4 *2787:gpio_defaults[8] *2787:gpio_defaults[9] 0 -*RES -1 *2753:gpio_defaults[9] *2787:gpio_defaults[9] 0.561812 -*END - -*D_NET *280 0.000133688 -*CONN -*I *2787:gpio_defaults[10] I *D gpio_control_block -*I *2753:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2787:gpio_defaults[10] 6.68441e-05 -2 *2753:gpio_defaults[10] 6.68441e-05 -3 *2787:gpio_defaults[10] *2787:gpio_defaults[11] 0 -4 *2787:gpio_defaults[9] *2787:gpio_defaults[10] 0 -*RES -1 *2753:gpio_defaults[10] *2787:gpio_defaults[10] 2.2961 -*END - -*D_NET *281 3.95199e-05 -*CONN -*I *2787:gpio_defaults[11] I *D gpio_control_block -*I *2753:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2787:gpio_defaults[11] 1.97599e-05 -2 *2753:gpio_defaults[11] 1.97599e-05 -3 *2787:gpio_defaults[11] *2787:gpio_defaults[12] 0 -4 *2787:gpio_defaults[10] *2787:gpio_defaults[11] 0 -*RES -1 *2753:gpio_defaults[11] *2787:gpio_defaults[11] 0.561812 -*END - -*D_NET *282 3.95199e-05 -*CONN -*I *2787:gpio_defaults[12] I *D gpio_control_block -*I *2753:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2787:gpio_defaults[12] 1.97599e-05 -2 *2753:gpio_defaults[12] 1.97599e-05 -3 *2787:gpio_defaults[11] *2787:gpio_defaults[12] 0 -*RES -1 *2753:gpio_defaults[12] *2787:gpio_defaults[12] 0.561812 -*END - -*D_NET *283 3.95199e-05 -*CONN -*I *2803:gpio_defaults[0] I *D gpio_control_block -*I *2754:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2803:gpio_defaults[0] 1.97599e-05 -2 *2754:gpio_defaults[0] 1.97599e-05 -3 *2803:gpio_defaults[0] *2803:gpio_defaults[1] 0 -*RES -1 *2754:gpio_defaults[0] *2803:gpio_defaults[0] 0.561812 -*END - -*D_NET *284 3.95199e-05 -*CONN -*I *2803:gpio_defaults[1] I *D gpio_control_block -*I *2754:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2803:gpio_defaults[1] 1.97599e-05 -2 *2754:gpio_defaults[1] 1.97599e-05 -3 *2803:gpio_defaults[1] *2803:gpio_defaults[2] 0 -4 *2803:gpio_defaults[0] *2803:gpio_defaults[1] 0 -*RES -1 *2754:gpio_defaults[1] *2803:gpio_defaults[1] 0.561812 -*END - -*D_NET *285 3.95199e-05 -*CONN -*I *2803:gpio_defaults[2] I *D gpio_control_block -*I *2754:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2803:gpio_defaults[2] 1.97599e-05 -2 *2754:gpio_defaults[2] 1.97599e-05 -3 *2803:gpio_defaults[2] *2803:gpio_defaults[3] 0 -4 *2803:gpio_defaults[1] *2803:gpio_defaults[2] 0 -*RES -1 *2754:gpio_defaults[2] *2803:gpio_defaults[2] 0.561812 -*END - -*D_NET *286 0.00410254 -*CONN -*I *2782:gpio_defaults[11] I *D gpio_control_block -*I *2744:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2782:gpio_defaults[11] 0.0018067 -2 *2744:gpio_defaults[11] 0.0018067 -3 *2782:gpio_defaults[10] *2782:gpio_defaults[11] 0.00021471 -4 *2782:gpio_defaults[1] *2782:gpio_defaults[11] 0.000274437 -*RES -1 *2744:gpio_defaults[11] *2782:gpio_defaults[11] 14.4239 -*END - -*D_NET *287 3.95199e-05 -*CONN -*I *2803:gpio_defaults[3] I *D gpio_control_block -*I *2754:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2803:gpio_defaults[3] 1.97599e-05 -2 *2754:gpio_defaults[3] 1.97599e-05 -3 *2803:gpio_defaults[3] *2803:gpio_defaults[4] 0 -4 *2803:gpio_defaults[2] *2803:gpio_defaults[3] 0 -*RES -1 *2754:gpio_defaults[3] *2803:gpio_defaults[3] 0.561812 -*END - -*D_NET *288 3.95199e-05 -*CONN -*I *2803:gpio_defaults[4] I *D gpio_control_block -*I *2754:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2803:gpio_defaults[4] 1.97599e-05 -2 *2754:gpio_defaults[4] 1.97599e-05 -3 *2803:gpio_defaults[4] *2803:gpio_defaults[5] 0 -4 *2803:gpio_defaults[3] *2803:gpio_defaults[4] 0 -*RES -1 *2754:gpio_defaults[4] *2803:gpio_defaults[4] 0.561812 -*END - -*D_NET *289 3.95199e-05 -*CONN -*I *2803:gpio_defaults[5] I *D gpio_control_block -*I *2754:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2803:gpio_defaults[5] 1.97599e-05 -2 *2754:gpio_defaults[5] 1.97599e-05 -3 *2803:gpio_defaults[5] *2803:gpio_defaults[6] 0 -4 *2803:gpio_defaults[4] *2803:gpio_defaults[5] 0 -*RES -1 *2754:gpio_defaults[5] *2803:gpio_defaults[5] 0.561812 -*END - -*D_NET *290 3.95199e-05 -*CONN -*I *2803:gpio_defaults[6] I *D gpio_control_block -*I *2754:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2803:gpio_defaults[6] 1.97599e-05 -2 *2754:gpio_defaults[6] 1.97599e-05 -3 *2803:gpio_defaults[6] *2803:gpio_defaults[7] 0 -4 *2803:gpio_defaults[5] *2803:gpio_defaults[6] 0 -*RES -1 *2754:gpio_defaults[6] *2803:gpio_defaults[6] 0.561812 -*END - -*D_NET *291 3.95199e-05 -*CONN -*I *2803:gpio_defaults[7] I *D gpio_control_block -*I *2754:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2803:gpio_defaults[7] 1.97599e-05 -2 *2754:gpio_defaults[7] 1.97599e-05 -3 *2803:gpio_defaults[7] *2803:gpio_defaults[8] 0 -4 *2803:gpio_defaults[6] *2803:gpio_defaults[7] 0 -*RES -1 *2754:gpio_defaults[7] *2803:gpio_defaults[7] 0.561812 -*END - -*D_NET *292 3.95199e-05 -*CONN -*I *2803:gpio_defaults[8] I *D gpio_control_block -*I *2754:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2803:gpio_defaults[8] 1.97599e-05 -2 *2754:gpio_defaults[8] 1.97599e-05 -3 *2803:gpio_defaults[8] *2803:gpio_defaults[9] 0 -4 *2803:gpio_defaults[7] *2803:gpio_defaults[8] 0 -*RES -1 *2754:gpio_defaults[8] *2803:gpio_defaults[8] 0.561812 -*END - -*D_NET *293 3.95199e-05 -*CONN -*I *2803:gpio_defaults[9] I *D gpio_control_block -*I *2754:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2803:gpio_defaults[9] 1.97599e-05 -2 *2754:gpio_defaults[9] 1.97599e-05 -3 *2803:gpio_defaults[9] *2803:gpio_defaults[10] 0 -4 *2803:gpio_defaults[8] *2803:gpio_defaults[9] 0 -*RES -1 *2754:gpio_defaults[9] *2803:gpio_defaults[9] 0.561812 -*END - -*D_NET *294 3.95199e-05 -*CONN -*I *2803:gpio_defaults[10] I *D gpio_control_block -*I *2754:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2803:gpio_defaults[10] 1.97599e-05 -2 *2754:gpio_defaults[10] 1.97599e-05 -3 *2803:gpio_defaults[10] *2803:gpio_defaults[11] 0 -4 *2803:gpio_defaults[9] *2803:gpio_defaults[10] 0 -*RES -1 *2754:gpio_defaults[10] *2803:gpio_defaults[10] 0.561812 -*END - -*D_NET *295 3.95199e-05 -*CONN -*I *2803:gpio_defaults[11] I *D gpio_control_block -*I *2754:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2803:gpio_defaults[11] 1.97599e-05 -2 *2754:gpio_defaults[11] 1.97599e-05 -3 *2803:gpio_defaults[11] *2803:gpio_defaults[12] 0 -4 *2803:gpio_defaults[10] *2803:gpio_defaults[11] 0 -*RES -1 *2754:gpio_defaults[11] *2803:gpio_defaults[11] 0.561812 -*END - -*D_NET *296 3.95199e-05 -*CONN -*I *2803:gpio_defaults[12] I *D gpio_control_block -*I *2754:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2803:gpio_defaults[12] 1.97599e-05 -2 *2754:gpio_defaults[12] 1.97599e-05 -3 *2803:gpio_defaults[11] *2803:gpio_defaults[12] 0 -*RES -1 *2754:gpio_defaults[12] *2803:gpio_defaults[12] 0.561812 -*END - -*D_NET *297 0.00393992 -*CONN -*I *2782:gpio_defaults[12] I *D gpio_control_block -*I *2744:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2782:gpio_defaults[12] 0.00108379 -2 *2744:gpio_defaults[12] 0.00108379 -3 *2782:gpio_defaults[0] *2782:gpio_defaults[12] 0 -4 *2782:gpio_defaults[10] *2782:gpio_defaults[12] 3.62662e-06 -5 *2782:gpio_defaults[1] *2782:gpio_defaults[12] 0 -6 *2782:gpio_defaults[2] *2782:gpio_defaults[12] 0.00176871 -*RES -1 *2744:gpio_defaults[12] *2782:gpio_defaults[12] 46.6574 -*END - -*D_NET *298 3.95199e-05 -*CONN -*I *2810:gpio_defaults[0] I *D gpio_control_block -*I *2755:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2810:gpio_defaults[0] 1.97599e-05 -2 *2755:gpio_defaults[0] 1.97599e-05 -3 *2810:gpio_defaults[0] *2810:gpio_defaults[1] 0 -*RES -1 *2755:gpio_defaults[0] *2810:gpio_defaults[0] 0.561812 -*END - -*D_NET *299 3.95199e-05 -*CONN -*I *2810:gpio_defaults[1] I *D gpio_control_block -*I *2755:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2810:gpio_defaults[1] 1.97599e-05 -2 *2755:gpio_defaults[1] 1.97599e-05 -3 *2810:gpio_defaults[1] *2810:gpio_defaults[2] 0 -4 *2810:gpio_defaults[0] *2810:gpio_defaults[1] 0 -*RES -1 *2755:gpio_defaults[1] *2810:gpio_defaults[1] 0.561812 -*END - -*D_NET *300 3.95199e-05 -*CONN -*I *2810:gpio_defaults[2] I *D gpio_control_block -*I *2755:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2810:gpio_defaults[2] 1.97599e-05 -2 *2755:gpio_defaults[2] 1.97599e-05 -3 *2810:gpio_defaults[2] *2810:gpio_defaults[3] 0 -4 *2810:gpio_defaults[1] *2810:gpio_defaults[2] 0 -*RES -1 *2755:gpio_defaults[2] *2810:gpio_defaults[2] 0.561812 -*END - -*D_NET *301 3.95199e-05 -*CONN -*I *2810:gpio_defaults[3] I *D gpio_control_block -*I *2755:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2810:gpio_defaults[3] 1.97599e-05 -2 *2755:gpio_defaults[3] 1.97599e-05 -3 *2810:gpio_defaults[3] *2810:gpio_defaults[4] 0 -4 *2810:gpio_defaults[2] *2810:gpio_defaults[3] 0 -*RES -1 *2755:gpio_defaults[3] *2810:gpio_defaults[3] 0.561812 -*END - -*D_NET *302 3.95199e-05 -*CONN -*I *2810:gpio_defaults[4] I *D gpio_control_block -*I *2755:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2810:gpio_defaults[4] 1.97599e-05 -2 *2755:gpio_defaults[4] 1.97599e-05 -3 *2810:gpio_defaults[4] *2810:gpio_defaults[5] 0 -4 *2810:gpio_defaults[3] *2810:gpio_defaults[4] 0 -*RES -1 *2755:gpio_defaults[4] *2810:gpio_defaults[4] 0.561812 -*END - -*D_NET *303 3.95199e-05 -*CONN -*I *2810:gpio_defaults[5] I *D gpio_control_block -*I *2755:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2810:gpio_defaults[5] 1.97599e-05 -2 *2755:gpio_defaults[5] 1.97599e-05 -3 *2810:gpio_defaults[5] *2810:gpio_defaults[6] 0 -4 *2810:gpio_defaults[4] *2810:gpio_defaults[5] 0 -*RES -1 *2755:gpio_defaults[5] *2810:gpio_defaults[5] 0.561812 -*END - -*D_NET *304 3.95199e-05 -*CONN -*I *2810:gpio_defaults[6] I *D gpio_control_block -*I *2755:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2810:gpio_defaults[6] 1.97599e-05 -2 *2755:gpio_defaults[6] 1.97599e-05 -3 *2810:gpio_defaults[6] *2810:gpio_defaults[7] 0 -4 *2810:gpio_defaults[5] *2810:gpio_defaults[6] 0 -*RES -1 *2755:gpio_defaults[6] *2810:gpio_defaults[6] 0.561812 -*END - -*D_NET *305 3.95199e-05 -*CONN -*I *2810:gpio_defaults[7] I *D gpio_control_block -*I *2755:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2810:gpio_defaults[7] 1.97599e-05 -2 *2755:gpio_defaults[7] 1.97599e-05 -3 *2810:gpio_defaults[7] *2810:gpio_defaults[8] 0 -4 *2810:gpio_defaults[6] *2810:gpio_defaults[7] 0 -*RES -1 *2755:gpio_defaults[7] *2810:gpio_defaults[7] 0.561812 -*END - -*D_NET *306 3.95199e-05 -*CONN -*I *2810:gpio_defaults[8] I *D gpio_control_block -*I *2755:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2810:gpio_defaults[8] 1.97599e-05 -2 *2755:gpio_defaults[8] 1.97599e-05 -3 *2810:gpio_defaults[8] *2810:gpio_defaults[9] 0 -4 *2810:gpio_defaults[7] *2810:gpio_defaults[8] 0 -*RES -1 *2755:gpio_defaults[8] *2810:gpio_defaults[8] 0.561812 -*END - -*D_NET *307 3.95199e-05 -*CONN -*I *2810:gpio_defaults[9] I *D gpio_control_block -*I *2755:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2810:gpio_defaults[9] 1.97599e-05 -2 *2755:gpio_defaults[9] 1.97599e-05 -3 *2810:gpio_defaults[9] *2810:gpio_defaults[10] 0 -4 *2810:gpio_defaults[8] *2810:gpio_defaults[9] 0 -*RES -1 *2755:gpio_defaults[9] *2810:gpio_defaults[9] 0.561812 -*END - -*D_NET *308 0.00628423 -*CONN -*I *2797:gpio_defaults[0] I *D gpio_control_block -*I *2758:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2797:gpio_defaults[0] 0.000551801 -2 *2758:gpio_defaults[0] 0.000551801 -3 *2797:gpio_defaults[0] *2797:gpio_defaults[11] 0 -4 *2797:gpio_defaults[0] *2797:gpio_defaults[12] 0.00288926 -5 *2797:gpio_defaults[0] *2797:gpio_defaults[1] 0.00229137 -*RES -1 *2758:gpio_defaults[0] *2797:gpio_defaults[0] 45.853 -*END - -*D_NET *309 3.95199e-05 -*CONN -*I *2810:gpio_defaults[10] I *D gpio_control_block -*I *2755:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2810:gpio_defaults[10] 1.97599e-05 -2 *2755:gpio_defaults[10] 1.97599e-05 -3 *2810:gpio_defaults[10] *2810:gpio_defaults[11] 0 -4 *2810:gpio_defaults[9] *2810:gpio_defaults[10] 0 -*RES -1 *2755:gpio_defaults[10] *2810:gpio_defaults[10] 0.561812 -*END - -*D_NET *310 3.95199e-05 -*CONN -*I *2810:gpio_defaults[11] I *D gpio_control_block -*I *2755:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2810:gpio_defaults[11] 1.97599e-05 -2 *2755:gpio_defaults[11] 1.97599e-05 -3 *2810:gpio_defaults[11] *2810:gpio_defaults[12] 0 -4 *2810:gpio_defaults[10] *2810:gpio_defaults[11] 0 -*RES -1 *2755:gpio_defaults[11] *2810:gpio_defaults[11] 0.561812 -*END - -*D_NET *311 3.95199e-05 -*CONN -*I *2810:gpio_defaults[12] I *D gpio_control_block -*I *2755:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2810:gpio_defaults[12] 1.97599e-05 -2 *2755:gpio_defaults[12] 1.97599e-05 -3 *2810:gpio_defaults[11] *2810:gpio_defaults[12] 0 -*RES -1 *2755:gpio_defaults[12] *2810:gpio_defaults[12] 0.561812 -*END - -*D_NET *312 3.95199e-05 -*CONN -*I *2811:gpio_defaults[0] I *D gpio_control_block -*I *2756:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2811:gpio_defaults[0] 1.97599e-05 -2 *2756:gpio_defaults[0] 1.97599e-05 -3 *2811:gpio_defaults[0] *2811:gpio_defaults[1] 0 -*RES -1 *2756:gpio_defaults[0] *2811:gpio_defaults[0] 0.561812 -*END - -*D_NET *313 3.95199e-05 -*CONN -*I *2811:gpio_defaults[1] I *D gpio_control_block -*I *2756:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2811:gpio_defaults[1] 1.97599e-05 -2 *2756:gpio_defaults[1] 1.97599e-05 -3 *2811:gpio_defaults[1] *2811:gpio_defaults[2] 0 -4 *2811:gpio_defaults[0] *2811:gpio_defaults[1] 0 -*RES -1 *2756:gpio_defaults[1] *2811:gpio_defaults[1] 0.561812 -*END - -*D_NET *314 3.95199e-05 -*CONN -*I *2811:gpio_defaults[2] I *D gpio_control_block -*I *2756:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2811:gpio_defaults[2] 1.97599e-05 -2 *2756:gpio_defaults[2] 1.97599e-05 -3 *2811:gpio_defaults[2] *2811:gpio_defaults[3] 0 -4 *2811:gpio_defaults[1] *2811:gpio_defaults[2] 0 -*RES -1 *2756:gpio_defaults[2] *2811:gpio_defaults[2] 0.561812 -*END - -*D_NET *315 3.95199e-05 -*CONN -*I *2811:gpio_defaults[3] I *D gpio_control_block -*I *2756:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2811:gpio_defaults[3] 1.97599e-05 -2 *2756:gpio_defaults[3] 1.97599e-05 -3 *2811:gpio_defaults[3] *2811:gpio_defaults[4] 0 -4 *2811:gpio_defaults[2] *2811:gpio_defaults[3] 0 -*RES -1 *2756:gpio_defaults[3] *2811:gpio_defaults[3] 0.561812 -*END - -*D_NET *316 3.95199e-05 -*CONN -*I *2811:gpio_defaults[4] I *D gpio_control_block -*I *2756:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2811:gpio_defaults[4] 1.97599e-05 -2 *2756:gpio_defaults[4] 1.97599e-05 -3 *2811:gpio_defaults[4] *2811:gpio_defaults[5] 0 -4 *2811:gpio_defaults[3] *2811:gpio_defaults[4] 0 -*RES -1 *2756:gpio_defaults[4] *2811:gpio_defaults[4] 0.561812 -*END - -*D_NET *317 3.95199e-05 -*CONN -*I *2811:gpio_defaults[5] I *D gpio_control_block -*I *2756:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2811:gpio_defaults[5] 1.97599e-05 -2 *2756:gpio_defaults[5] 1.97599e-05 -3 *2811:gpio_defaults[5] *2811:gpio_defaults[6] 0 -4 *2811:gpio_defaults[4] *2811:gpio_defaults[5] 0 -*RES -1 *2756:gpio_defaults[5] *2811:gpio_defaults[5] 0.561812 -*END - -*D_NET *318 3.95199e-05 -*CONN -*I *2811:gpio_defaults[6] I *D gpio_control_block -*I *2756:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2811:gpio_defaults[6] 1.97599e-05 -2 *2756:gpio_defaults[6] 1.97599e-05 -3 *2811:gpio_defaults[6] *2811:gpio_defaults[7] 0 -4 *2811:gpio_defaults[5] *2811:gpio_defaults[6] 0 -*RES -1 *2756:gpio_defaults[6] *2811:gpio_defaults[6] 0.561812 -*END - -*D_NET *319 0.00487735 -*CONN -*I *2797:gpio_defaults[1] I *D gpio_control_block -*I *2758:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2797:gpio_defaults[1] 0.000642012 -2 *2758:gpio_defaults[1] 0.000642012 -3 *2797:gpio_defaults[1] *2797:gpio_defaults[10] 0 -4 *2797:gpio_defaults[1] *2797:gpio_defaults[11] 9.05844e-05 -5 *2797:gpio_defaults[1] *2797:gpio_defaults[12] 0 -6 *2797:gpio_defaults[1] *2797:gpio_defaults[2] 0 -7 *2797:gpio_defaults[1] *2797:gpio_defaults[9] 0.00121137 -8 *2797:gpio_defaults[0] *2797:gpio_defaults[1] 0.00229137 -*RES -1 *2758:gpio_defaults[1] *2797:gpio_defaults[1] 39.8989 -*END - -*D_NET *320 3.95199e-05 -*CONN -*I *2811:gpio_defaults[7] I *D gpio_control_block -*I *2756:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2811:gpio_defaults[7] 1.97599e-05 -2 *2756:gpio_defaults[7] 1.97599e-05 -3 *2811:gpio_defaults[7] *2811:gpio_defaults[8] 0 -4 *2811:gpio_defaults[6] *2811:gpio_defaults[7] 0 -*RES -1 *2756:gpio_defaults[7] *2811:gpio_defaults[7] 0.561812 -*END - -*D_NET *321 3.95199e-05 -*CONN -*I *2811:gpio_defaults[8] I *D gpio_control_block -*I *2756:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2811:gpio_defaults[8] 1.97599e-05 -2 *2756:gpio_defaults[8] 1.97599e-05 -3 *2811:gpio_defaults[8] *2811:gpio_defaults[9] 0 -4 *2811:gpio_defaults[7] *2811:gpio_defaults[8] 0 -*RES -1 *2756:gpio_defaults[8] *2811:gpio_defaults[8] 0.561812 -*END - -*D_NET *322 3.95199e-05 -*CONN -*I *2811:gpio_defaults[9] I *D gpio_control_block -*I *2756:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2811:gpio_defaults[9] 1.97599e-05 -2 *2756:gpio_defaults[9] 1.97599e-05 -3 *2811:gpio_defaults[9] *2811:gpio_defaults[10] 0 -4 *2811:gpio_defaults[8] *2811:gpio_defaults[9] 0 -*RES -1 *2756:gpio_defaults[9] *2811:gpio_defaults[9] 0.561812 -*END - -*D_NET *323 3.95199e-05 -*CONN -*I *2811:gpio_defaults[10] I *D gpio_control_block -*I *2756:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2811:gpio_defaults[10] 1.97599e-05 -2 *2756:gpio_defaults[10] 1.97599e-05 -3 *2811:gpio_defaults[10] *2811:gpio_defaults[11] 0 -4 *2811:gpio_defaults[9] *2811:gpio_defaults[10] 0 -*RES -1 *2756:gpio_defaults[10] *2811:gpio_defaults[10] 0.561812 -*END - -*D_NET *324 3.95199e-05 -*CONN -*I *2811:gpio_defaults[11] I *D gpio_control_block -*I *2756:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2811:gpio_defaults[11] 1.97599e-05 -2 *2756:gpio_defaults[11] 1.97599e-05 -3 *2811:gpio_defaults[11] *2811:gpio_defaults[12] 0 -4 *2811:gpio_defaults[10] *2811:gpio_defaults[11] 0 -*RES -1 *2756:gpio_defaults[11] *2811:gpio_defaults[11] 0.561812 -*END - -*D_NET *325 3.95199e-05 -*CONN -*I *2811:gpio_defaults[12] I *D gpio_control_block -*I *2756:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2811:gpio_defaults[12] 1.97599e-05 -2 *2756:gpio_defaults[12] 1.97599e-05 -3 *2811:gpio_defaults[11] *2811:gpio_defaults[12] 0 -*RES -1 *2756:gpio_defaults[12] *2811:gpio_defaults[12] 0.561812 -*END - -*D_NET *330 0.00421962 -*CONN -*I *2797:gpio_defaults[2] I *D gpio_control_block -*I *2758:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2797:gpio_defaults[2] 0.000531368 -2 *2758:gpio_defaults[2] 0.000531368 -3 *2797:gpio_defaults[2] *2797:gpio_defaults[10] 0.00176871 -4 *2797:gpio_defaults[2] *2797:gpio_defaults[11] 0.000140444 -5 *2797:gpio_defaults[2] *2797:gpio_defaults[3] 0.00123763 -6 *2797:gpio_defaults[2] *2797:gpio_defaults[9] 1.00937e-05 -7 *2797:gpio_defaults[1] *2797:gpio_defaults[2] 0 -*RES -1 *2758:gpio_defaults[2] *2797:gpio_defaults[2] 34.3529 -*END - -*D_NET *340 3.95199e-05 -*CONN -*I *2813:gpio_defaults[0] I *D gpio_control_block -*I *2761:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2813:gpio_defaults[0] 1.97599e-05 -2 *2761:gpio_defaults[0] 1.97599e-05 -3 *2813:gpio_defaults[0] *2813:gpio_defaults[1] 0 -*RES -1 *2761:gpio_defaults[0] *2813:gpio_defaults[0] 0.561812 -*END - -*D_NET *341 0.00276761 -*CONN -*I *2797:gpio_defaults[3] I *D gpio_control_block -*I *2758:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2797:gpio_defaults[3] 0.000464795 -2 *2758:gpio_defaults[3] 0.000464795 -3 *2797:gpio_defaults[3] *2797:gpio_defaults[10] 0 -4 *2797:gpio_defaults[3] *2797:gpio_defaults[4] 0.000241483 -5 *2797:gpio_defaults[3] *2797:gpio_defaults[5] 0.000175485 -6 *2797:gpio_defaults[3] *2797:gpio_defaults[8] 1.00937e-05 -7 *2797:gpio_defaults[3] *2797:gpio_defaults[9] 0.000173327 -8 *2797:gpio_defaults[2] *2797:gpio_defaults[3] 0.00123763 -*RES -1 *2758:gpio_defaults[3] *2797:gpio_defaults[3] 28.3916 -*END - -*D_NET *342 0.0044926 -*CONN -*I *2781:gpio_defaults[2] I *D gpio_control_block -*I *2743:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2781:gpio_defaults[2] 0.000424487 -2 *2743:gpio_defaults[2] 0.000424487 -3 *2781:gpio_defaults[2] *2781:gpio_defaults[3] 0 -4 *2781:gpio_defaults[2] *2781:gpio_defaults[9] 0 -5 *2781:gpio_defaults[10] *2781:gpio_defaults[2] 0.00176871 -6 *2781:gpio_defaults[11] *2781:gpio_defaults[2] 0 -7 *2781:gpio_defaults[12] *2781:gpio_defaults[2] 0.00187492 -*RES -1 *2743:gpio_defaults[2] *2781:gpio_defaults[2] 34.9668 -*END - -*D_NET *343 3.95199e-05 -*CONN -*I *2813:gpio_defaults[1] I *D gpio_control_block -*I *2761:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2813:gpio_defaults[1] 1.97599e-05 -2 *2761:gpio_defaults[1] 1.97599e-05 -3 *2813:gpio_defaults[1] *2813:gpio_defaults[2] 0 -4 *2813:gpio_defaults[0] *2813:gpio_defaults[1] 0 -*RES -1 *2761:gpio_defaults[1] *2813:gpio_defaults[1] 0.561812 -*END - -*D_NET *344 3.95199e-05 -*CONN -*I *2813:gpio_defaults[2] I *D gpio_control_block -*I *2761:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2813:gpio_defaults[2] 1.97599e-05 -2 *2761:gpio_defaults[2] 1.97599e-05 -3 *2813:gpio_defaults[2] *2813:gpio_defaults[3] 0 -4 *2813:gpio_defaults[1] *2813:gpio_defaults[2] 0 -*RES -1 *2761:gpio_defaults[2] *2813:gpio_defaults[2] 0.561812 -*END - -*D_NET *345 3.95199e-05 -*CONN -*I *2813:gpio_defaults[3] I *D gpio_control_block -*I *2761:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2813:gpio_defaults[3] 1.97599e-05 -2 *2761:gpio_defaults[3] 1.97599e-05 -3 *2813:gpio_defaults[3] *2813:gpio_defaults[4] 0 -4 *2813:gpio_defaults[2] *2813:gpio_defaults[3] 0 -*RES -1 *2761:gpio_defaults[3] *2813:gpio_defaults[3] 0.561812 -*END - -*D_NET *346 3.95199e-05 -*CONN -*I *2813:gpio_defaults[4] I *D gpio_control_block -*I *2761:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2813:gpio_defaults[4] 1.97599e-05 -2 *2761:gpio_defaults[4] 1.97599e-05 -3 *2813:gpio_defaults[4] *2813:gpio_defaults[5] 0 -4 *2813:gpio_defaults[3] *2813:gpio_defaults[4] 0 -*RES -1 *2761:gpio_defaults[4] *2813:gpio_defaults[4] 0.561812 -*END - -*D_NET *347 3.95199e-05 -*CONN -*I *2813:gpio_defaults[5] I *D gpio_control_block -*I *2761:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2813:gpio_defaults[5] 1.97599e-05 -2 *2761:gpio_defaults[5] 1.97599e-05 -3 *2813:gpio_defaults[5] *2813:gpio_defaults[6] 0 -4 *2813:gpio_defaults[4] *2813:gpio_defaults[5] 0 -*RES -1 *2761:gpio_defaults[5] *2813:gpio_defaults[5] 0.561812 -*END - -*D_NET *348 3.95199e-05 -*CONN -*I *2813:gpio_defaults[6] I *D gpio_control_block -*I *2761:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2813:gpio_defaults[6] 1.97599e-05 -2 *2761:gpio_defaults[6] 1.97599e-05 -3 *2813:gpio_defaults[6] *2813:gpio_defaults[7] 0 -4 *2813:gpio_defaults[5] *2813:gpio_defaults[6] 0 -*RES -1 *2761:gpio_defaults[6] *2813:gpio_defaults[6] 0.561812 -*END - -*D_NET *349 3.95199e-05 -*CONN -*I *2813:gpio_defaults[7] I *D gpio_control_block -*I *2761:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2813:gpio_defaults[7] 1.97599e-05 -2 *2761:gpio_defaults[7] 1.97599e-05 -3 *2813:gpio_defaults[7] *2813:gpio_defaults[8] 0 -4 *2813:gpio_defaults[6] *2813:gpio_defaults[7] 0 -*RES -1 *2761:gpio_defaults[7] *2813:gpio_defaults[7] 0.561812 -*END - -*D_NET *350 3.95199e-05 -*CONN -*I *2813:gpio_defaults[8] I *D gpio_control_block -*I *2761:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2813:gpio_defaults[8] 1.97599e-05 -2 *2761:gpio_defaults[8] 1.97599e-05 -3 *2813:gpio_defaults[8] *2813:gpio_defaults[9] 0 -4 *2813:gpio_defaults[7] *2813:gpio_defaults[8] 0 -*RES -1 *2761:gpio_defaults[8] *2813:gpio_defaults[8] 0.561812 -*END - -*D_NET *351 3.95199e-05 -*CONN -*I *2813:gpio_defaults[9] I *D gpio_control_block -*I *2761:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2813:gpio_defaults[9] 1.97599e-05 -2 *2761:gpio_defaults[9] 1.97599e-05 -3 *2813:gpio_defaults[9] *2813:gpio_defaults[10] 0 -4 *2813:gpio_defaults[8] *2813:gpio_defaults[9] 0 -*RES -1 *2761:gpio_defaults[9] *2813:gpio_defaults[9] 0.561812 -*END - -*D_NET *352 3.95199e-05 -*CONN -*I *2813:gpio_defaults[10] I *D gpio_control_block -*I *2761:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2813:gpio_defaults[10] 1.97599e-05 -2 *2761:gpio_defaults[10] 1.97599e-05 -3 *2813:gpio_defaults[10] *2813:gpio_defaults[11] 0 -4 *2813:gpio_defaults[9] *2813:gpio_defaults[10] 0 -*RES -1 *2761:gpio_defaults[10] *2813:gpio_defaults[10] 0.561812 -*END - -*D_NET *353 0.00180821 -*CONN -*I *2797:gpio_defaults[4] I *D gpio_control_block -*I *2758:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2797:gpio_defaults[4] 0.000434822 -2 *2758:gpio_defaults[4] 0.000434822 -3 *2797:gpio_defaults[4] *2797:gpio_defaults[5] 0.000167076 -4 *2797:gpio_defaults[4] *2797:gpio_defaults[7] 0.000217937 -5 *2797:gpio_defaults[4] *2797:gpio_defaults[8] 0.000312066 -6 *2797:gpio_defaults[3] *2797:gpio_defaults[4] 0.000241483 -*RES -1 *2758:gpio_defaults[4] *2797:gpio_defaults[4] 22.4303 -*END - -*D_NET *354 3.95199e-05 -*CONN -*I *2813:gpio_defaults[11] I *D gpio_control_block -*I *2761:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2813:gpio_defaults[11] 1.97599e-05 -2 *2761:gpio_defaults[11] 1.97599e-05 -3 *2813:gpio_defaults[11] *2813:gpio_defaults[12] 0 -4 *2813:gpio_defaults[10] *2813:gpio_defaults[11] 0 -*RES -1 *2761:gpio_defaults[11] *2813:gpio_defaults[11] 0.561812 -*END - -*D_NET *355 3.95199e-05 -*CONN -*I *2813:gpio_defaults[12] I *D gpio_control_block -*I *2761:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2813:gpio_defaults[12] 1.97599e-05 -2 *2761:gpio_defaults[12] 1.97599e-05 -3 *2813:gpio_defaults[11] *2813:gpio_defaults[12] 0 -*RES -1 *2761:gpio_defaults[12] *2813:gpio_defaults[12] 0.561812 -*END - -*D_NET *356 5.84207e-05 -*CONN -*I *2814:gpio_defaults[0] I *D gpio_control_block -*I *2762:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2814:gpio_defaults[0] 2.92104e-05 -2 *2762:gpio_defaults[0] 2.92104e-05 -3 *2814:gpio_defaults[0] *2814:gpio_defaults[1] 0 -*RES -1 *2762:gpio_defaults[0] *2814:gpio_defaults[0] 0.830504 -*END - -*D_NET *357 5.84207e-05 -*CONN -*I *2814:gpio_defaults[1] I *D gpio_control_block -*I *2762:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2814:gpio_defaults[1] 2.92104e-05 -2 *2762:gpio_defaults[1] 2.92104e-05 -3 *2814:gpio_defaults[1] *2814:gpio_defaults[2] 0 -4 *2814:gpio_defaults[0] *2814:gpio_defaults[1] 0 -*RES -1 *2762:gpio_defaults[1] *2814:gpio_defaults[1] 0.830504 -*END - -*D_NET *358 5.84207e-05 -*CONN -*I *2814:gpio_defaults[2] I *D gpio_control_block -*I *2762:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2814:gpio_defaults[2] 2.92104e-05 -2 *2762:gpio_defaults[2] 2.92104e-05 -3 *2814:gpio_defaults[2] *2814:gpio_defaults[3] 0 -4 *2814:gpio_defaults[1] *2814:gpio_defaults[2] 0 -*RES -1 *2762:gpio_defaults[2] *2814:gpio_defaults[2] 0.830504 -*END - -*D_NET *359 5.84207e-05 -*CONN -*I *2814:gpio_defaults[3] I *D gpio_control_block -*I *2762:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2814:gpio_defaults[3] 2.92104e-05 -2 *2762:gpio_defaults[3] 2.92104e-05 -3 *2814:gpio_defaults[3] *2814:gpio_defaults[4] 0 -4 *2814:gpio_defaults[2] *2814:gpio_defaults[3] 0 -*RES -1 *2762:gpio_defaults[3] *2814:gpio_defaults[3] 0.830504 -*END - -*D_NET *360 5.84207e-05 -*CONN -*I *2814:gpio_defaults[4] I *D gpio_control_block -*I *2762:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2814:gpio_defaults[4] 2.92104e-05 -2 *2762:gpio_defaults[4] 2.92104e-05 -3 *2814:gpio_defaults[4] *2814:gpio_defaults[5] 0 -4 *2814:gpio_defaults[3] *2814:gpio_defaults[4] 0 -*RES -1 *2762:gpio_defaults[4] *2814:gpio_defaults[4] 0.830504 -*END - -*D_NET *361 5.84207e-05 -*CONN -*I *2814:gpio_defaults[5] I *D gpio_control_block -*I *2762:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2814:gpio_defaults[5] 2.92104e-05 -2 *2762:gpio_defaults[5] 2.92104e-05 -3 *2814:gpio_defaults[5] *2814:gpio_defaults[6] 0 -4 *2814:gpio_defaults[4] *2814:gpio_defaults[5] 0 -*RES -1 *2762:gpio_defaults[5] *2814:gpio_defaults[5] 0.830504 -*END - -*D_NET *362 5.84207e-05 -*CONN -*I *2814:gpio_defaults[6] I *D gpio_control_block -*I *2762:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2814:gpio_defaults[6] 2.92104e-05 -2 *2762:gpio_defaults[6] 2.92104e-05 -3 *2814:gpio_defaults[6] *2814:gpio_defaults[7] 0 -4 *2814:gpio_defaults[5] *2814:gpio_defaults[6] 0 -*RES -1 *2762:gpio_defaults[6] *2814:gpio_defaults[6] 0.830504 -*END - -*D_NET *363 5.84207e-05 -*CONN -*I *2814:gpio_defaults[7] I *D gpio_control_block -*I *2762:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2814:gpio_defaults[7] 2.92104e-05 -2 *2762:gpio_defaults[7] 2.92104e-05 -3 *2814:gpio_defaults[7] *2814:gpio_defaults[8] 0 -4 *2814:gpio_defaults[6] *2814:gpio_defaults[7] 0 -*RES -1 *2762:gpio_defaults[7] *2814:gpio_defaults[7] 0.830504 -*END - -*D_NET *364 0.00122346 -*CONN -*I *2797:gpio_defaults[5] I *D gpio_control_block -*I *2758:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2797:gpio_defaults[5] 0.000367746 -2 *2758:gpio_defaults[5] 0.000367746 -3 *2797:gpio_defaults[5] *2797:gpio_defaults[6] 2.08075e-05 -4 *2797:gpio_defaults[5] *2797:gpio_defaults[7] 0.000124597 -5 *2797:gpio_defaults[3] *2797:gpio_defaults[5] 0.000175485 -6 *2797:gpio_defaults[4] *2797:gpio_defaults[5] 0.000167076 -*RES -1 *2758:gpio_defaults[5] *2797:gpio_defaults[5] 16.8843 -*END - -*D_NET *365 5.84207e-05 -*CONN -*I *2814:gpio_defaults[8] I *D gpio_control_block -*I *2762:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2814:gpio_defaults[8] 2.92104e-05 -2 *2762:gpio_defaults[8] 2.92104e-05 -3 *2814:gpio_defaults[8] *2814:gpio_defaults[9] 0 -4 *2814:gpio_defaults[7] *2814:gpio_defaults[8] 0 -*RES -1 *2762:gpio_defaults[8] *2814:gpio_defaults[8] 0.830504 -*END - -*D_NET *366 5.84207e-05 -*CONN -*I *2814:gpio_defaults[9] I *D gpio_control_block -*I *2762:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2814:gpio_defaults[9] 2.92104e-05 -2 *2762:gpio_defaults[9] 2.92104e-05 -3 *2814:gpio_defaults[9] *2814:gpio_defaults[10] 0 -4 *2814:gpio_defaults[8] *2814:gpio_defaults[9] 0 -*RES -1 *2762:gpio_defaults[9] *2814:gpio_defaults[9] 0.830504 -*END - -*D_NET *367 5.84207e-05 -*CONN -*I *2814:gpio_defaults[10] I *D gpio_control_block -*I *2762:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2814:gpio_defaults[10] 2.92104e-05 -2 *2762:gpio_defaults[10] 2.92104e-05 -3 *2814:gpio_defaults[10] *2814:gpio_defaults[11] 0 -4 *2814:gpio_defaults[9] *2814:gpio_defaults[10] 0 -*RES -1 *2762:gpio_defaults[10] *2814:gpio_defaults[10] 0.830504 -*END - -*D_NET *368 5.84207e-05 -*CONN -*I *2814:gpio_defaults[11] I *D gpio_control_block -*I *2762:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2814:gpio_defaults[11] 2.92104e-05 -2 *2762:gpio_defaults[11] 2.92104e-05 -3 *2814:gpio_defaults[11] *2814:gpio_defaults[12] 0 -4 *2814:gpio_defaults[10] *2814:gpio_defaults[11] 0 -*RES -1 *2762:gpio_defaults[11] *2814:gpio_defaults[11] 0.830504 -*END - -*D_NET *369 5.84207e-05 -*CONN -*I *2814:gpio_defaults[12] I *D gpio_control_block -*I *2762:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2814:gpio_defaults[12] 2.92104e-05 -2 *2762:gpio_defaults[12] 2.92104e-05 -3 *2814:gpio_defaults[11] *2814:gpio_defaults[12] 0 -*RES -1 *2762:gpio_defaults[12] *2814:gpio_defaults[12] 0.830504 -*END - -*D_NET *370 6.76037e-05 -*CONN -*I *2815:gpio_defaults[0] I *D gpio_control_block -*I *2763:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2815:gpio_defaults[0] 3.32647e-05 -2 *2763:gpio_defaults[0] 3.32647e-05 -3 *2815:gpio_defaults[0] *2815:gpio_defaults[1] 0 -4 *115:20 *2815:gpio_defaults[0] 1.07421e-06 -*RES -1 *2763:gpio_defaults[0] *2815:gpio_defaults[0] 0.830504 -*END - -*D_NET *371 6.74231e-05 -*CONN -*I *2815:gpio_defaults[1] I *D gpio_control_block -*I *2763:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2815:gpio_defaults[1] 3.37115e-05 -2 *2763:gpio_defaults[1] 3.37115e-05 -3 *2815:gpio_defaults[1] *2815:gpio_defaults[2] 0 -4 *2815:gpio_defaults[0] *2815:gpio_defaults[1] 0 -*RES -1 *2763:gpio_defaults[1] *2815:gpio_defaults[1] 0.830504 -*END - -*D_NET *372 6.74231e-05 -*CONN -*I *2815:gpio_defaults[2] I *D gpio_control_block -*I *2763:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2815:gpio_defaults[2] 3.37115e-05 -2 *2763:gpio_defaults[2] 3.37115e-05 -3 *2815:gpio_defaults[2] *2815:gpio_defaults[3] 0 -4 *2815:gpio_defaults[1] *2815:gpio_defaults[2] 0 -*RES -1 *2763:gpio_defaults[2] *2815:gpio_defaults[2] 0.830504 -*END - -*D_NET *373 6.74231e-05 -*CONN -*I *2815:gpio_defaults[3] I *D gpio_control_block -*I *2763:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2815:gpio_defaults[3] 3.37115e-05 -2 *2763:gpio_defaults[3] 3.37115e-05 -3 *2815:gpio_defaults[3] *2815:gpio_defaults[4] 0 -4 *2815:gpio_defaults[2] *2815:gpio_defaults[3] 0 -*RES -1 *2763:gpio_defaults[3] *2815:gpio_defaults[3] 0.830504 -*END - -*D_NET *374 6.74231e-05 -*CONN -*I *2815:gpio_defaults[4] I *D gpio_control_block -*I *2763:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2815:gpio_defaults[4] 3.37115e-05 -2 *2763:gpio_defaults[4] 3.37115e-05 -3 *2815:gpio_defaults[4] *2815:gpio_defaults[5] 0 -4 *2815:gpio_defaults[3] *2815:gpio_defaults[4] 0 -*RES -1 *2763:gpio_defaults[4] *2815:gpio_defaults[4] 0.830504 -*END - -*D_NET *375 0.000110382 -*CONN -*I *2797:gpio_defaults[6] I *D gpio_control_block -*I *2758:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2797:gpio_defaults[6] 3.56757e-05 -2 *2758:gpio_defaults[6] 3.56757e-05 -3 *2797:gpio_defaults[6] *2797:gpio_defaults[7] 1.82233e-05 -4 *2797:gpio_defaults[5] *2797:gpio_defaults[6] 2.08075e-05 -*RES -1 *2758:gpio_defaults[6] *2797:gpio_defaults[6] 0.830504 -*END - -*D_NET *376 6.74231e-05 -*CONN -*I *2815:gpio_defaults[5] I *D gpio_control_block -*I *2763:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2815:gpio_defaults[5] 3.37115e-05 -2 *2763:gpio_defaults[5] 3.37115e-05 -3 *2815:gpio_defaults[5] *2815:gpio_defaults[6] 0 -4 *2815:gpio_defaults[4] *2815:gpio_defaults[5] 0 -*RES -1 *2763:gpio_defaults[5] *2815:gpio_defaults[5] 0.830504 -*END - -*D_NET *377 6.74231e-05 -*CONN -*I *2815:gpio_defaults[6] I *D gpio_control_block -*I *2763:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2815:gpio_defaults[6] 3.37115e-05 -2 *2763:gpio_defaults[6] 3.37115e-05 -3 *2815:gpio_defaults[6] *2815:gpio_defaults[7] 0 -4 *2815:gpio_defaults[5] *2815:gpio_defaults[6] 0 -*RES -1 *2763:gpio_defaults[6] *2815:gpio_defaults[6] 0.830504 -*END - -*D_NET *378 6.74231e-05 -*CONN -*I *2815:gpio_defaults[7] I *D gpio_control_block -*I *2763:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2815:gpio_defaults[7] 3.37115e-05 -2 *2763:gpio_defaults[7] 3.37115e-05 -3 *2815:gpio_defaults[7] *2815:gpio_defaults[8] 0 -4 *2815:gpio_defaults[6] *2815:gpio_defaults[7] 0 -*RES -1 *2763:gpio_defaults[7] *2815:gpio_defaults[7] 0.830504 -*END - -*D_NET *379 6.74231e-05 -*CONN -*I *2815:gpio_defaults[8] I *D gpio_control_block -*I *2763:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2815:gpio_defaults[8] 3.37115e-05 -2 *2763:gpio_defaults[8] 3.37115e-05 -3 *2815:gpio_defaults[8] *2815:gpio_defaults[9] 0 -4 *2815:gpio_defaults[7] *2815:gpio_defaults[8] 0 -*RES -1 *2763:gpio_defaults[8] *2815:gpio_defaults[8] 0.830504 -*END - -*D_NET *380 6.74231e-05 -*CONN -*I *2815:gpio_defaults[9] I *D gpio_control_block -*I *2763:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2815:gpio_defaults[9] 3.37115e-05 -2 *2763:gpio_defaults[9] 3.37115e-05 -3 *2815:gpio_defaults[9] *2815:gpio_defaults[10] 0 -4 *2815:gpio_defaults[8] *2815:gpio_defaults[9] 0 -*RES -1 *2763:gpio_defaults[9] *2815:gpio_defaults[9] 0.830504 -*END - -*D_NET *381 6.74231e-05 -*CONN -*I *2815:gpio_defaults[10] I *D gpio_control_block -*I *2763:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2815:gpio_defaults[10] 3.37115e-05 -2 *2763:gpio_defaults[10] 3.37115e-05 -3 *2815:gpio_defaults[10] *2815:gpio_defaults[11] 0 -4 *2815:gpio_defaults[9] *2815:gpio_defaults[10] 0 -*RES -1 *2763:gpio_defaults[10] *2815:gpio_defaults[10] 0.830504 -*END - -*D_NET *382 6.74231e-05 -*CONN -*I *2815:gpio_defaults[11] I *D gpio_control_block -*I *2763:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2815:gpio_defaults[11] 3.37115e-05 -2 *2763:gpio_defaults[11] 3.37115e-05 -3 *2815:gpio_defaults[11] *2815:gpio_defaults[12] 0 -4 *2815:gpio_defaults[10] *2815:gpio_defaults[11] 0 -*RES -1 *2763:gpio_defaults[11] *2815:gpio_defaults[11] 0.830504 -*END - -*D_NET *383 6.74231e-05 -*CONN -*I *2815:gpio_defaults[12] I *D gpio_control_block -*I *2763:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2815:gpio_defaults[12] 3.37115e-05 -2 *2763:gpio_defaults[12] 3.37115e-05 -3 *2815:gpio_defaults[11] *2815:gpio_defaults[12] 0 -*RES -1 *2763:gpio_defaults[12] *2815:gpio_defaults[12] 0.830504 -*END - -*D_NET *384 5.84207e-05 -*CONN -*I *2816:gpio_defaults[0] I *D gpio_control_block -*I *2764:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2816:gpio_defaults[0] 2.92104e-05 -2 *2764:gpio_defaults[0] 2.92104e-05 -3 *2816:gpio_defaults[0] *2816:gpio_defaults[1] 0 -*RES -1 *2764:gpio_defaults[0] *2816:gpio_defaults[0] 0.830504 -*END - -*D_NET *385 5.84207e-05 -*CONN -*I *2816:gpio_defaults[1] I *D gpio_control_block -*I *2764:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2816:gpio_defaults[1] 2.92104e-05 -2 *2764:gpio_defaults[1] 2.92104e-05 -3 *2816:gpio_defaults[1] *2816:gpio_defaults[2] 0 -4 *2816:gpio_defaults[0] *2816:gpio_defaults[1] 0 -*RES -1 *2764:gpio_defaults[1] *2816:gpio_defaults[1] 0.830504 -*END - -*D_NET *386 0.00126263 -*CONN -*I *2797:gpio_defaults[7] I *D gpio_control_block -*I *2758:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2797:gpio_defaults[7] 0.00035054 -2 *2758:gpio_defaults[7] 0.00035054 -3 *2797:gpio_defaults[7] *2797:gpio_defaults[8] 0.000200794 -4 *2797:gpio_defaults[4] *2797:gpio_defaults[7] 0.000217937 -5 *2797:gpio_defaults[5] *2797:gpio_defaults[7] 0.000124597 -6 *2797:gpio_defaults[6] *2797:gpio_defaults[7] 1.82233e-05 -*RES -1 *2758:gpio_defaults[7] *2797:gpio_defaults[7] 16.932 -*END - -*D_NET *387 5.84207e-05 -*CONN -*I *2816:gpio_defaults[2] I *D gpio_control_block -*I *2764:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2816:gpio_defaults[2] 2.92104e-05 -2 *2764:gpio_defaults[2] 2.92104e-05 -3 *2816:gpio_defaults[2] *2816:gpio_defaults[3] 0 -4 *2816:gpio_defaults[1] *2816:gpio_defaults[2] 0 -*RES -1 *2764:gpio_defaults[2] *2816:gpio_defaults[2] 0.830504 -*END - -*D_NET *388 5.84207e-05 -*CONN -*I *2816:gpio_defaults[3] I *D gpio_control_block -*I *2764:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2816:gpio_defaults[3] 2.92104e-05 -2 *2764:gpio_defaults[3] 2.92104e-05 -3 *2816:gpio_defaults[3] *2816:gpio_defaults[4] 0 -4 *2816:gpio_defaults[2] *2816:gpio_defaults[3] 0 -*RES -1 *2764:gpio_defaults[3] *2816:gpio_defaults[3] 0.830504 -*END - -*D_NET *389 5.84207e-05 -*CONN -*I *2816:gpio_defaults[4] I *D gpio_control_block -*I *2764:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2816:gpio_defaults[4] 2.92104e-05 -2 *2764:gpio_defaults[4] 2.92104e-05 -3 *2816:gpio_defaults[4] *2816:gpio_defaults[5] 0 -4 *2816:gpio_defaults[3] *2816:gpio_defaults[4] 0 -*RES -1 *2764:gpio_defaults[4] *2816:gpio_defaults[4] 0.830504 -*END - -*D_NET *390 5.84207e-05 -*CONN -*I *2816:gpio_defaults[5] I *D gpio_control_block -*I *2764:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2816:gpio_defaults[5] 2.92104e-05 -2 *2764:gpio_defaults[5] 2.92104e-05 -3 *2816:gpio_defaults[5] *2816:gpio_defaults[6] 0 -4 *2816:gpio_defaults[4] *2816:gpio_defaults[5] 0 -*RES -1 *2764:gpio_defaults[5] *2816:gpio_defaults[5] 0.830504 -*END - -*D_NET *391 5.84207e-05 -*CONN -*I *2816:gpio_defaults[6] I *D gpio_control_block -*I *2764:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2816:gpio_defaults[6] 2.92104e-05 -2 *2764:gpio_defaults[6] 2.92104e-05 -3 *2816:gpio_defaults[6] *2816:gpio_defaults[7] 0 -4 *2816:gpio_defaults[5] *2816:gpio_defaults[6] 0 -*RES -1 *2764:gpio_defaults[6] *2816:gpio_defaults[6] 0.830504 -*END - -*D_NET *392 5.84207e-05 -*CONN -*I *2816:gpio_defaults[7] I *D gpio_control_block -*I *2764:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2816:gpio_defaults[7] 2.92104e-05 -2 *2764:gpio_defaults[7] 2.92104e-05 -3 *2816:gpio_defaults[7] *2816:gpio_defaults[8] 0 -4 *2816:gpio_defaults[6] *2816:gpio_defaults[7] 0 -*RES -1 *2764:gpio_defaults[7] *2816:gpio_defaults[7] 0.830504 -*END - -*D_NET *393 5.84207e-05 -*CONN -*I *2816:gpio_defaults[8] I *D gpio_control_block -*I *2764:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2816:gpio_defaults[8] 2.92104e-05 -2 *2764:gpio_defaults[8] 2.92104e-05 -3 *2816:gpio_defaults[8] *2816:gpio_defaults[9] 0 -4 *2816:gpio_defaults[7] *2816:gpio_defaults[8] 0 -*RES -1 *2764:gpio_defaults[8] *2816:gpio_defaults[8] 0.830504 -*END - -*D_NET *394 5.84207e-05 -*CONN -*I *2816:gpio_defaults[9] I *D gpio_control_block -*I *2764:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2816:gpio_defaults[9] 2.92104e-05 -2 *2764:gpio_defaults[9] 2.92104e-05 -3 *2816:gpio_defaults[9] *2816:gpio_defaults[10] 0 -4 *2816:gpio_defaults[8] *2816:gpio_defaults[9] 0 -*RES -1 *2764:gpio_defaults[9] *2816:gpio_defaults[9] 0.830504 -*END - -*D_NET *395 5.84207e-05 -*CONN -*I *2816:gpio_defaults[10] I *D gpio_control_block -*I *2764:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2816:gpio_defaults[10] 2.92104e-05 -2 *2764:gpio_defaults[10] 2.92104e-05 -3 *2816:gpio_defaults[10] *2816:gpio_defaults[11] 0 -4 *2816:gpio_defaults[9] *2816:gpio_defaults[10] 0 -*RES -1 *2764:gpio_defaults[10] *2816:gpio_defaults[10] 0.830504 -*END - -*D_NET *396 5.84207e-05 -*CONN -*I *2816:gpio_defaults[11] I *D gpio_control_block -*I *2764:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2816:gpio_defaults[11] 2.92104e-05 -2 *2764:gpio_defaults[11] 2.92104e-05 -3 *2816:gpio_defaults[11] *2816:gpio_defaults[12] 0 -4 *2816:gpio_defaults[10] *2816:gpio_defaults[11] 0 -*RES -1 *2764:gpio_defaults[11] *2816:gpio_defaults[11] 0.830504 -*END - -*D_NET *397 0.00202969 -*CONN -*I *2797:gpio_defaults[8] I *D gpio_control_block -*I *2758:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2797:gpio_defaults[8] 0.000391771 -2 *2758:gpio_defaults[8] 0.000391771 -3 *2797:gpio_defaults[8] *2797:gpio_defaults[9] 0.000723192 -4 *2797:gpio_defaults[3] *2797:gpio_defaults[8] 1.00937e-05 -5 *2797:gpio_defaults[4] *2797:gpio_defaults[8] 0.000312066 -6 *2797:gpio_defaults[7] *2797:gpio_defaults[8] 0.000200794 -*RES -1 *2758:gpio_defaults[8] *2797:gpio_defaults[8] 22.6415 -*END - -*D_NET *398 5.84207e-05 -*CONN -*I *2816:gpio_defaults[12] I *D gpio_control_block -*I *2764:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2816:gpio_defaults[12] 2.92104e-05 -2 *2764:gpio_defaults[12] 2.92104e-05 -3 *2816:gpio_defaults[11] *2816:gpio_defaults[12] 0 -*RES -1 *2764:gpio_defaults[12] *2816:gpio_defaults[12] 0.830504 -*END - -*D_NET *399 5.84207e-05 -*CONN -*I *2817:gpio_defaults[0] I *D gpio_control_block -*I *2765:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2817:gpio_defaults[0] 2.92104e-05 -2 *2765:gpio_defaults[0] 2.92104e-05 -3 *2817:gpio_defaults[0] *2817:gpio_defaults[1] 0 -*RES -1 *2765:gpio_defaults[0] *2817:gpio_defaults[0] 0.830504 -*END - -*D_NET *400 5.84207e-05 -*CONN -*I *2817:gpio_defaults[1] I *D gpio_control_block -*I *2765:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2817:gpio_defaults[1] 2.92104e-05 -2 *2765:gpio_defaults[1] 2.92104e-05 -3 *2817:gpio_defaults[1] *2817:gpio_defaults[2] 0 -4 *2817:gpio_defaults[0] *2817:gpio_defaults[1] 0 -*RES -1 *2765:gpio_defaults[1] *2817:gpio_defaults[1] 0.830504 -*END - -*D_NET *401 5.84207e-05 -*CONN -*I *2817:gpio_defaults[2] I *D gpio_control_block -*I *2765:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2817:gpio_defaults[2] 2.92104e-05 -2 *2765:gpio_defaults[2] 2.92104e-05 -3 *2817:gpio_defaults[2] *2817:gpio_defaults[3] 0 -4 *2817:gpio_defaults[1] *2817:gpio_defaults[2] 0 -*RES -1 *2765:gpio_defaults[2] *2817:gpio_defaults[2] 0.830504 -*END - -*D_NET *402 5.84207e-05 -*CONN -*I *2817:gpio_defaults[3] I *D gpio_control_block -*I *2765:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2817:gpio_defaults[3] 2.92104e-05 -2 *2765:gpio_defaults[3] 2.92104e-05 -3 *2817:gpio_defaults[3] *2817:gpio_defaults[4] 0 -4 *2817:gpio_defaults[2] *2817:gpio_defaults[3] 0 -*RES -1 *2765:gpio_defaults[3] *2817:gpio_defaults[3] 0.830504 -*END - -*D_NET *403 5.84207e-05 -*CONN -*I *2817:gpio_defaults[4] I *D gpio_control_block -*I *2765:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2817:gpio_defaults[4] 2.92104e-05 -2 *2765:gpio_defaults[4] 2.92104e-05 -3 *2817:gpio_defaults[4] *2817:gpio_defaults[5] 0 -4 *2817:gpio_defaults[3] *2817:gpio_defaults[4] 0 -*RES -1 *2765:gpio_defaults[4] *2817:gpio_defaults[4] 0.830504 -*END - -*D_NET *404 5.84207e-05 -*CONN -*I *2817:gpio_defaults[5] I *D gpio_control_block -*I *2765:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2817:gpio_defaults[5] 2.92104e-05 -2 *2765:gpio_defaults[5] 2.92104e-05 -3 *2817:gpio_defaults[5] *2817:gpio_defaults[6] 0 -4 *2817:gpio_defaults[4] *2817:gpio_defaults[5] 0 -*RES -1 *2765:gpio_defaults[5] *2817:gpio_defaults[5] 0.830504 -*END - -*D_NET *405 5.84207e-05 -*CONN -*I *2817:gpio_defaults[6] I *D gpio_control_block -*I *2765:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2817:gpio_defaults[6] 2.92104e-05 -2 *2765:gpio_defaults[6] 2.92104e-05 -3 *2817:gpio_defaults[6] *2817:gpio_defaults[7] 0 -4 *2817:gpio_defaults[5] *2817:gpio_defaults[6] 0 -*RES -1 *2765:gpio_defaults[6] *2817:gpio_defaults[6] 0.830504 -*END - -*D_NET *406 5.84207e-05 -*CONN -*I *2817:gpio_defaults[7] I *D gpio_control_block -*I *2765:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2817:gpio_defaults[7] 2.92104e-05 -2 *2765:gpio_defaults[7] 2.92104e-05 -3 *2817:gpio_defaults[7] *2817:gpio_defaults[8] 0 -4 *2817:gpio_defaults[6] *2817:gpio_defaults[7] 0 -*RES -1 *2765:gpio_defaults[7] *2817:gpio_defaults[7] 0.830504 -*END - -*D_NET *407 5.84207e-05 -*CONN -*I *2817:gpio_defaults[8] I *D gpio_control_block -*I *2765:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2817:gpio_defaults[8] 2.92104e-05 -2 *2765:gpio_defaults[8] 2.92104e-05 -3 *2817:gpio_defaults[8] *2817:gpio_defaults[9] 0 -4 *2817:gpio_defaults[7] *2817:gpio_defaults[8] 0 -*RES -1 *2765:gpio_defaults[8] *2817:gpio_defaults[8] 0.830504 -*END - -*D_NET *408 0.00303921 -*CONN -*I *2797:gpio_defaults[9] I *D gpio_control_block -*I *2758:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2797:gpio_defaults[9] 0.000460612 -2 *2758:gpio_defaults[9] 0.000460612 -3 *2797:gpio_defaults[9] *2797:gpio_defaults[10] 0 -4 *2797:gpio_defaults[1] *2797:gpio_defaults[9] 0.00121137 -5 *2797:gpio_defaults[2] *2797:gpio_defaults[9] 1.00937e-05 -6 *2797:gpio_defaults[3] *2797:gpio_defaults[9] 0.000173327 -7 *2797:gpio_defaults[8] *2797:gpio_defaults[9] 0.000723192 -*RES -1 *2758:gpio_defaults[9] *2797:gpio_defaults[9] 28.7663 -*END - -*D_NET *409 5.84207e-05 -*CONN -*I *2817:gpio_defaults[9] I *D gpio_control_block -*I *2765:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2817:gpio_defaults[9] 2.92104e-05 -2 *2765:gpio_defaults[9] 2.92104e-05 -3 *2817:gpio_defaults[9] *2817:gpio_defaults[10] 0 -4 *2817:gpio_defaults[8] *2817:gpio_defaults[9] 0 -*RES -1 *2765:gpio_defaults[9] *2817:gpio_defaults[9] 0.830504 -*END - -*D_NET *410 5.84207e-05 -*CONN -*I *2817:gpio_defaults[10] I *D gpio_control_block -*I *2765:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2817:gpio_defaults[10] 2.92104e-05 -2 *2765:gpio_defaults[10] 2.92104e-05 -3 *2817:gpio_defaults[10] *2817:gpio_defaults[11] 0 -4 *2817:gpio_defaults[9] *2817:gpio_defaults[10] 0 -*RES -1 *2765:gpio_defaults[10] *2817:gpio_defaults[10] 0.830504 -*END - -*D_NET *411 5.84207e-05 -*CONN -*I *2817:gpio_defaults[11] I *D gpio_control_block -*I *2765:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2817:gpio_defaults[11] 2.92104e-05 -2 *2765:gpio_defaults[11] 2.92104e-05 -3 *2817:gpio_defaults[11] *2817:gpio_defaults[12] 0 -4 *2817:gpio_defaults[10] *2817:gpio_defaults[11] 0 -*RES -1 *2765:gpio_defaults[11] *2817:gpio_defaults[11] 0.830504 -*END - -*D_NET *412 5.84207e-05 -*CONN -*I *2817:gpio_defaults[12] I *D gpio_control_block -*I *2765:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2817:gpio_defaults[12] 2.92104e-05 -2 *2765:gpio_defaults[12] 2.92104e-05 -3 *2817:gpio_defaults[11] *2817:gpio_defaults[12] 0 -*RES -1 *2765:gpio_defaults[12] *2817:gpio_defaults[12] 0.830504 -*END - -*D_NET *413 5.84207e-05 -*CONN -*I *2818:gpio_defaults[0] I *D gpio_control_block -*I *2766:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2818:gpio_defaults[0] 2.92104e-05 -2 *2766:gpio_defaults[0] 2.92104e-05 -3 *2818:gpio_defaults[0] *2818:gpio_defaults[1] 0 -*RES -1 *2766:gpio_defaults[0] *2818:gpio_defaults[0] 0.830504 -*END - -*D_NET *414 5.84207e-05 -*CONN -*I *2818:gpio_defaults[1] I *D gpio_control_block -*I *2766:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2818:gpio_defaults[1] 2.92104e-05 -2 *2766:gpio_defaults[1] 2.92104e-05 -3 *2818:gpio_defaults[1] *2818:gpio_defaults[2] 0 -4 *2818:gpio_defaults[0] *2818:gpio_defaults[1] 0 -*RES -1 *2766:gpio_defaults[1] *2818:gpio_defaults[1] 0.830504 -*END - -*D_NET *415 5.84207e-05 -*CONN -*I *2818:gpio_defaults[2] I *D gpio_control_block -*I *2766:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2818:gpio_defaults[2] 2.92104e-05 -2 *2766:gpio_defaults[2] 2.92104e-05 -3 *2818:gpio_defaults[2] *2818:gpio_defaults[3] 0 -4 *2818:gpio_defaults[1] *2818:gpio_defaults[2] 0 -*RES -1 *2766:gpio_defaults[2] *2818:gpio_defaults[2] 0.830504 -*END - -*D_NET *416 5.84207e-05 -*CONN -*I *2818:gpio_defaults[3] I *D gpio_control_block -*I *2766:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2818:gpio_defaults[3] 2.92104e-05 -2 *2766:gpio_defaults[3] 2.92104e-05 -3 *2818:gpio_defaults[3] *2818:gpio_defaults[4] 0 -4 *2818:gpio_defaults[2] *2818:gpio_defaults[3] 0 -*RES -1 *2766:gpio_defaults[3] *2818:gpio_defaults[3] 0.830504 -*END - -*D_NET *417 5.84207e-05 -*CONN -*I *2818:gpio_defaults[4] I *D gpio_control_block -*I *2766:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2818:gpio_defaults[4] 2.92104e-05 -2 *2766:gpio_defaults[4] 2.92104e-05 -3 *2818:gpio_defaults[4] *2818:gpio_defaults[5] 0 -4 *2818:gpio_defaults[3] *2818:gpio_defaults[4] 0 -*RES -1 *2766:gpio_defaults[4] *2818:gpio_defaults[4] 0.830504 -*END - -*D_NET *418 5.84207e-05 -*CONN -*I *2818:gpio_defaults[5] I *D gpio_control_block -*I *2766:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2818:gpio_defaults[5] 2.92104e-05 -2 *2766:gpio_defaults[5] 2.92104e-05 -3 *2818:gpio_defaults[5] *2818:gpio_defaults[6] 0 -4 *2818:gpio_defaults[4] *2818:gpio_defaults[5] 0 -*RES -1 *2766:gpio_defaults[5] *2818:gpio_defaults[5] 0.830504 -*END - -*D_NET *419 0.00322099 -*CONN -*I *2797:gpio_defaults[10] I *D gpio_control_block -*I *2758:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2797:gpio_defaults[10] 0.000726143 -2 *2758:gpio_defaults[10] 0.000726143 -3 *2797:gpio_defaults[1] *2797:gpio_defaults[10] 0 -4 *2797:gpio_defaults[2] *2797:gpio_defaults[10] 0.00176871 -5 *2797:gpio_defaults[3] *2797:gpio_defaults[10] 0 -6 *2797:gpio_defaults[9] *2797:gpio_defaults[10] 0 -*RES -1 *2758:gpio_defaults[10] *2797:gpio_defaults[10] 34.9668 -*END - -*D_NET *420 5.84207e-05 -*CONN -*I *2818:gpio_defaults[6] I *D gpio_control_block -*I *2766:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2818:gpio_defaults[6] 2.92104e-05 -2 *2766:gpio_defaults[6] 2.92104e-05 -3 *2818:gpio_defaults[6] *2818:gpio_defaults[7] 0 -4 *2818:gpio_defaults[5] *2818:gpio_defaults[6] 0 -*RES -1 *2766:gpio_defaults[6] *2818:gpio_defaults[6] 0.830504 -*END - -*D_NET *421 5.84207e-05 -*CONN -*I *2818:gpio_defaults[7] I *D gpio_control_block -*I *2766:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2818:gpio_defaults[7] 2.92104e-05 -2 *2766:gpio_defaults[7] 2.92104e-05 -3 *2818:gpio_defaults[7] *2818:gpio_defaults[8] 0 -4 *2818:gpio_defaults[6] *2818:gpio_defaults[7] 0 -*RES -1 *2766:gpio_defaults[7] *2818:gpio_defaults[7] 0.830504 -*END - -*D_NET *422 5.84207e-05 -*CONN -*I *2818:gpio_defaults[8] I *D gpio_control_block -*I *2766:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2818:gpio_defaults[8] 2.92104e-05 -2 *2766:gpio_defaults[8] 2.92104e-05 -3 *2818:gpio_defaults[8] *2818:gpio_defaults[9] 0 -4 *2818:gpio_defaults[7] *2818:gpio_defaults[8] 0 -*RES -1 *2766:gpio_defaults[8] *2818:gpio_defaults[8] 0.830504 -*END - -*D_NET *423 5.84207e-05 -*CONN -*I *2818:gpio_defaults[9] I *D gpio_control_block -*I *2766:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2818:gpio_defaults[9] 2.92104e-05 -2 *2766:gpio_defaults[9] 2.92104e-05 -3 *2818:gpio_defaults[9] *2818:gpio_defaults[10] 0 -4 *2818:gpio_defaults[8] *2818:gpio_defaults[9] 0 -*RES -1 *2766:gpio_defaults[9] *2818:gpio_defaults[9] 0.830504 -*END - -*D_NET *424 5.84207e-05 -*CONN -*I *2818:gpio_defaults[10] I *D gpio_control_block -*I *2766:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2818:gpio_defaults[10] 2.92104e-05 -2 *2766:gpio_defaults[10] 2.92104e-05 -3 *2818:gpio_defaults[10] *2818:gpio_defaults[11] 0 -4 *2818:gpio_defaults[9] *2818:gpio_defaults[10] 0 -*RES -1 *2766:gpio_defaults[10] *2818:gpio_defaults[10] 0.830504 -*END - -*D_NET *425 5.84207e-05 -*CONN -*I *2818:gpio_defaults[11] I *D gpio_control_block -*I *2766:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2818:gpio_defaults[11] 2.92104e-05 -2 *2766:gpio_defaults[11] 2.92104e-05 -3 *2818:gpio_defaults[11] *2818:gpio_defaults[12] 0 -4 *2818:gpio_defaults[10] *2818:gpio_defaults[11] 0 -*RES -1 *2766:gpio_defaults[11] *2818:gpio_defaults[11] 0.830504 -*END - -*D_NET *426 5.84207e-05 -*CONN -*I *2818:gpio_defaults[12] I *D gpio_control_block -*I *2766:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2818:gpio_defaults[12] 2.92104e-05 -2 *2766:gpio_defaults[12] 2.92104e-05 -3 *2818:gpio_defaults[11] *2818:gpio_defaults[12] 0 -*RES -1 *2766:gpio_defaults[12] *2818:gpio_defaults[12] 0.830504 -*END - -*D_NET *427 6.74496e-05 -*CONN -*I *2804:gpio_defaults[0] I *D gpio_control_block -*I *2767:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2804:gpio_defaults[0] 3.37248e-05 -2 *2767:gpio_defaults[0] 3.37248e-05 -3 *2804:gpio_defaults[0] *2804:gpio_defaults[1] 0 -4 *101:16 *2804:gpio_defaults[0] 0 -*RES -1 *2767:gpio_defaults[0] *2804:gpio_defaults[0] 0.830504 -*END - -*D_NET *428 6.74496e-05 -*CONN -*I *2804:gpio_defaults[1] I *D gpio_control_block -*I *2767:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2804:gpio_defaults[1] 3.37248e-05 -2 *2767:gpio_defaults[1] 3.37248e-05 -3 *2804:gpio_defaults[1] *2804:gpio_defaults[2] 0 -4 *2804:gpio_defaults[0] *2804:gpio_defaults[1] 0 -*RES -1 *2767:gpio_defaults[1] *2804:gpio_defaults[1] 0.830504 -*END - -*D_NET *429 6.74496e-05 -*CONN -*I *2804:gpio_defaults[2] I *D gpio_control_block -*I *2767:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2804:gpio_defaults[2] 3.37248e-05 -2 *2767:gpio_defaults[2] 3.37248e-05 -3 *2804:gpio_defaults[2] *2804:gpio_defaults[3] 0 -4 *2804:gpio_defaults[1] *2804:gpio_defaults[2] 0 -*RES -1 *2767:gpio_defaults[2] *2804:gpio_defaults[2] 0.830504 -*END - -*D_NET *430 0.00383354 -*CONN -*I *2797:gpio_defaults[11] I *D gpio_control_block -*I *2758:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2797:gpio_defaults[11] 0.00180126 -2 *2758:gpio_defaults[11] 0.00180126 -3 *2797:gpio_defaults[11] *2797:gpio_defaults[12] 0 -4 *2797:gpio_defaults[0] *2797:gpio_defaults[11] 0 -5 *2797:gpio_defaults[1] *2797:gpio_defaults[11] 9.05844e-05 -6 *2797:gpio_defaults[2] *2797:gpio_defaults[11] 0.000140444 -*RES -1 *2758:gpio_defaults[11] *2797:gpio_defaults[11] 15.6979 -*END - -*D_NET *431 6.74496e-05 -*CONN -*I *2804:gpio_defaults[3] I *D gpio_control_block -*I *2767:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2804:gpio_defaults[3] 3.37248e-05 -2 *2767:gpio_defaults[3] 3.37248e-05 -3 *2804:gpio_defaults[3] *2804:gpio_defaults[4] 0 -4 *2804:gpio_defaults[2] *2804:gpio_defaults[3] 0 -*RES -1 *2767:gpio_defaults[3] *2804:gpio_defaults[3] 0.830504 -*END - -*D_NET *432 6.74496e-05 -*CONN -*I *2804:gpio_defaults[4] I *D gpio_control_block -*I *2767:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2804:gpio_defaults[4] 3.37248e-05 -2 *2767:gpio_defaults[4] 3.37248e-05 -3 *2804:gpio_defaults[4] *2804:gpio_defaults[5] 0 -4 *2804:gpio_defaults[3] *2804:gpio_defaults[4] 0 -*RES -1 *2767:gpio_defaults[4] *2804:gpio_defaults[4] 0.830504 -*END - -*D_NET *433 6.74496e-05 -*CONN -*I *2804:gpio_defaults[5] I *D gpio_control_block -*I *2767:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2804:gpio_defaults[5] 3.37248e-05 -2 *2767:gpio_defaults[5] 3.37248e-05 -3 *2804:gpio_defaults[5] *2804:gpio_defaults[6] 0 -4 *2804:gpio_defaults[4] *2804:gpio_defaults[5] 0 -*RES -1 *2767:gpio_defaults[5] *2804:gpio_defaults[5] 0.830504 -*END - -*D_NET *434 6.74496e-05 -*CONN -*I *2804:gpio_defaults[6] I *D gpio_control_block -*I *2767:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2804:gpio_defaults[6] 3.37248e-05 -2 *2767:gpio_defaults[6] 3.37248e-05 -3 *2804:gpio_defaults[6] *2804:gpio_defaults[7] 0 -4 *2804:gpio_defaults[5] *2804:gpio_defaults[6] 0 -*RES -1 *2767:gpio_defaults[6] *2804:gpio_defaults[6] 0.830504 -*END - -*D_NET *435 6.74496e-05 -*CONN -*I *2804:gpio_defaults[7] I *D gpio_control_block -*I *2767:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2804:gpio_defaults[7] 3.37248e-05 -2 *2767:gpio_defaults[7] 3.37248e-05 -3 *2804:gpio_defaults[7] *2804:gpio_defaults[8] 0 -4 *2804:gpio_defaults[6] *2804:gpio_defaults[7] 0 -*RES -1 *2767:gpio_defaults[7] *2804:gpio_defaults[7] 0.830504 -*END - -*D_NET *436 6.74496e-05 -*CONN -*I *2804:gpio_defaults[8] I *D gpio_control_block -*I *2767:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2804:gpio_defaults[8] 3.37248e-05 -2 *2767:gpio_defaults[8] 3.37248e-05 -3 *2804:gpio_defaults[8] *2804:gpio_defaults[9] 0 -4 *2804:gpio_defaults[7] *2804:gpio_defaults[8] 0 -*RES -1 *2767:gpio_defaults[8] *2804:gpio_defaults[8] 0.830504 -*END - -*D_NET *437 6.74496e-05 -*CONN -*I *2804:gpio_defaults[9] I *D gpio_control_block -*I *2767:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2804:gpio_defaults[9] 3.37248e-05 -2 *2767:gpio_defaults[9] 3.37248e-05 -3 *2804:gpio_defaults[9] *2804:gpio_defaults[10] 0 -4 *2804:gpio_defaults[8] *2804:gpio_defaults[9] 0 -*RES -1 *2767:gpio_defaults[9] *2804:gpio_defaults[9] 0.830504 -*END - -*D_NET *438 6.74496e-05 -*CONN -*I *2804:gpio_defaults[10] I *D gpio_control_block -*I *2767:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2804:gpio_defaults[10] 3.37248e-05 -2 *2767:gpio_defaults[10] 3.37248e-05 -3 *2804:gpio_defaults[10] *2804:gpio_defaults[11] 0 -4 *2804:gpio_defaults[9] *2804:gpio_defaults[10] 0 -*RES -1 *2767:gpio_defaults[10] *2804:gpio_defaults[10] 0.830504 -*END - -*D_NET *439 6.74496e-05 -*CONN -*I *2804:gpio_defaults[11] I *D gpio_control_block -*I *2767:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2804:gpio_defaults[11] 3.37248e-05 -2 *2767:gpio_defaults[11] 3.37248e-05 -3 *2804:gpio_defaults[11] *2804:gpio_defaults[12] 0 -4 *2804:gpio_defaults[10] *2804:gpio_defaults[11] 0 -*RES -1 *2767:gpio_defaults[11] *2804:gpio_defaults[11] 0.830504 -*END - -*D_NET *440 6.74496e-05 -*CONN -*I *2804:gpio_defaults[12] I *D gpio_control_block -*I *2767:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2804:gpio_defaults[12] 3.37248e-05 -2 *2767:gpio_defaults[12] 3.37248e-05 -3 *2804:gpio_defaults[11] *2804:gpio_defaults[12] 0 -*RES -1 *2767:gpio_defaults[12] *2804:gpio_defaults[12] 0.830504 -*END - -*D_NET *441 0.00484233 -*CONN -*I *2797:gpio_defaults[12] I *D gpio_control_block -*I *2758:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2797:gpio_defaults[12] 0.000976533 -2 *2758:gpio_defaults[12] 0.000976533 -3 *2797:gpio_defaults[0] *2797:gpio_defaults[12] 0.00288926 -4 *2797:gpio_defaults[11] *2797:gpio_defaults[12] 0 -5 *2797:gpio_defaults[1] *2797:gpio_defaults[12] 0 -*RES -1 *2758:gpio_defaults[12] *2797:gpio_defaults[12] 48.7148 -*END - -*D_NET *442 5.84207e-05 -*CONN -*I *2805:gpio_defaults[0] I *D gpio_control_block -*I *2768:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2805:gpio_defaults[0] 2.92104e-05 -2 *2768:gpio_defaults[0] 2.92104e-05 -3 *2805:gpio_defaults[0] *2805:gpio_defaults[1] 0 -4 *101:28 *2805:gpio_defaults[0] 0 -*RES -1 *2768:gpio_defaults[0] *2805:gpio_defaults[0] 0.830504 -*END - -*D_NET *443 5.84207e-05 -*CONN -*I *2805:gpio_defaults[1] I *D gpio_control_block -*I *2768:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2805:gpio_defaults[1] 2.92104e-05 -2 *2768:gpio_defaults[1] 2.92104e-05 -3 *2805:gpio_defaults[1] *2805:gpio_defaults[2] 0 -4 *2805:gpio_defaults[0] *2805:gpio_defaults[1] 0 -*RES -1 *2768:gpio_defaults[1] *2805:gpio_defaults[1] 0.830504 -*END - -*D_NET *444 5.84207e-05 -*CONN -*I *2805:gpio_defaults[2] I *D gpio_control_block -*I *2768:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2805:gpio_defaults[2] 2.92104e-05 -2 *2768:gpio_defaults[2] 2.92104e-05 -3 *2805:gpio_defaults[2] *2805:gpio_defaults[3] 0 -4 *2805:gpio_defaults[1] *2805:gpio_defaults[2] 0 -*RES -1 *2768:gpio_defaults[2] *2805:gpio_defaults[2] 0.830504 -*END - -*D_NET *445 5.84207e-05 -*CONN -*I *2805:gpio_defaults[3] I *D gpio_control_block -*I *2768:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2805:gpio_defaults[3] 2.92104e-05 -2 *2768:gpio_defaults[3] 2.92104e-05 -3 *2805:gpio_defaults[3] *2805:gpio_defaults[4] 0 -4 *2805:gpio_defaults[2] *2805:gpio_defaults[3] 0 -*RES -1 *2768:gpio_defaults[3] *2805:gpio_defaults[3] 0.830504 -*END - -*D_NET *446 5.84207e-05 -*CONN -*I *2805:gpio_defaults[4] I *D gpio_control_block -*I *2768:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2805:gpio_defaults[4] 2.92104e-05 -2 *2768:gpio_defaults[4] 2.92104e-05 -3 *2805:gpio_defaults[4] *2805:gpio_defaults[5] 0 -4 *2805:gpio_defaults[3] *2805:gpio_defaults[4] 0 -*RES -1 *2768:gpio_defaults[4] *2805:gpio_defaults[4] 0.830504 -*END - -*D_NET *447 5.84207e-05 -*CONN -*I *2805:gpio_defaults[5] I *D gpio_control_block -*I *2768:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2805:gpio_defaults[5] 2.92104e-05 -2 *2768:gpio_defaults[5] 2.92104e-05 -3 *2805:gpio_defaults[5] *2805:gpio_defaults[6] 0 -4 *2805:gpio_defaults[4] *2805:gpio_defaults[5] 0 -*RES -1 *2768:gpio_defaults[5] *2805:gpio_defaults[5] 0.830504 -*END - -*D_NET *448 5.84207e-05 -*CONN -*I *2805:gpio_defaults[6] I *D gpio_control_block -*I *2768:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2805:gpio_defaults[6] 2.92104e-05 -2 *2768:gpio_defaults[6] 2.92104e-05 -3 *2805:gpio_defaults[6] *2805:gpio_defaults[7] 0 -4 *2805:gpio_defaults[5] *2805:gpio_defaults[6] 0 -*RES -1 *2768:gpio_defaults[6] *2805:gpio_defaults[6] 0.830504 -*END - -*D_NET *449 5.84207e-05 -*CONN -*I *2805:gpio_defaults[7] I *D gpio_control_block -*I *2768:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2805:gpio_defaults[7] 2.92104e-05 -2 *2768:gpio_defaults[7] 2.92104e-05 -3 *2805:gpio_defaults[7] *2805:gpio_defaults[8] 0 -4 *2805:gpio_defaults[6] *2805:gpio_defaults[7] 0 -*RES -1 *2768:gpio_defaults[7] *2805:gpio_defaults[7] 0.830504 -*END - -*D_NET *450 5.84207e-05 -*CONN -*I *2805:gpio_defaults[8] I *D gpio_control_block -*I *2768:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2805:gpio_defaults[8] 2.92104e-05 -2 *2768:gpio_defaults[8] 2.92104e-05 -3 *2805:gpio_defaults[8] *2805:gpio_defaults[9] 0 -4 *2805:gpio_defaults[7] *2805:gpio_defaults[8] 0 -*RES -1 *2768:gpio_defaults[8] *2805:gpio_defaults[8] 0.830504 -*END - -*D_NET *451 5.84207e-05 -*CONN -*I *2805:gpio_defaults[9] I *D gpio_control_block -*I *2768:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2805:gpio_defaults[9] 2.92104e-05 -2 *2768:gpio_defaults[9] 2.92104e-05 -3 *2805:gpio_defaults[9] *2805:gpio_defaults[10] 0 -4 *2805:gpio_defaults[8] *2805:gpio_defaults[9] 0 -*RES -1 *2768:gpio_defaults[9] *2805:gpio_defaults[9] 0.830504 -*END - -*D_NET *452 0.00371827 -*CONN -*I *2798:gpio_defaults[0] I *D gpio_control_block -*I *2759:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2798:gpio_defaults[0] 0.00183551 -2 *2759:gpio_defaults[0] 0.00183551 -3 *2798:gpio_defaults[0] *2798:gpio_defaults[11] 2.57246e-05 -4 *2798:gpio_defaults[0] *2798:gpio_defaults[12] 2.15266e-05 -*RES -1 *2759:gpio_defaults[0] *2798:gpio_defaults[0] 14.4906 -*END - -*D_NET *453 0.00275292 -*CONN -*I *2781:gpio_defaults[3] I *D gpio_control_block -*I *2743:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2781:gpio_defaults[3] 0.000452756 -2 *2743:gpio_defaults[3] 0.000452756 -3 *2781:gpio_defaults[3] *2781:gpio_defaults[4] 0.000241483 -4 *2781:gpio_defaults[3] *2781:gpio_defaults[5] 0.000175485 -5 *2781:gpio_defaults[3] *2781:gpio_defaults[8] 1.00937e-05 -6 *2781:gpio_defaults[3] *2781:gpio_defaults[9] 0.000182712 -7 *2781:gpio_defaults[10] *2781:gpio_defaults[3] 0.00123763 -8 *2781:gpio_defaults[2] *2781:gpio_defaults[3] 0 -*RES -1 *2743:gpio_defaults[3] *2781:gpio_defaults[3] 28.4466 -*END - -*D_NET *454 5.84207e-05 -*CONN -*I *2805:gpio_defaults[10] I *D gpio_control_block -*I *2768:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2805:gpio_defaults[10] 2.92104e-05 -2 *2768:gpio_defaults[10] 2.92104e-05 -3 *2805:gpio_defaults[10] *2805:gpio_defaults[11] 0 -4 *2805:gpio_defaults[9] *2805:gpio_defaults[10] 0 -*RES -1 *2768:gpio_defaults[10] *2805:gpio_defaults[10] 0.830504 -*END - -*D_NET *455 5.84207e-05 -*CONN -*I *2805:gpio_defaults[11] I *D gpio_control_block -*I *2768:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2805:gpio_defaults[11] 2.92104e-05 -2 *2768:gpio_defaults[11] 2.92104e-05 -3 *2805:gpio_defaults[11] *2805:gpio_defaults[12] 0 -4 *2805:gpio_defaults[10] *2805:gpio_defaults[11] 0 -*RES -1 *2768:gpio_defaults[11] *2805:gpio_defaults[11] 0.830504 -*END - -*D_NET *456 5.84207e-05 -*CONN -*I *2805:gpio_defaults[12] I *D gpio_control_block -*I *2768:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2805:gpio_defaults[12] 2.92104e-05 -2 *2768:gpio_defaults[12] 2.92104e-05 -3 *2805:gpio_defaults[11] *2805:gpio_defaults[12] 0 -*RES -1 *2768:gpio_defaults[12] *2805:gpio_defaults[12] 0.830504 -*END - -*D_NET *457 5.84207e-05 -*CONN -*I *2806:gpio_defaults[0] I *D gpio_control_block -*I *2769:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2806:gpio_defaults[0] 2.92104e-05 -2 *2769:gpio_defaults[0] 2.92104e-05 -3 *2806:gpio_defaults[0] *2806:gpio_defaults[1] 0 -*RES -1 *2769:gpio_defaults[0] *2806:gpio_defaults[0] 0.830504 -*END - -*D_NET *458 5.84207e-05 -*CONN -*I *2806:gpio_defaults[1] I *D gpio_control_block -*I *2769:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2806:gpio_defaults[1] 2.92104e-05 -2 *2769:gpio_defaults[1] 2.92104e-05 -3 *2806:gpio_defaults[1] *2806:gpio_defaults[2] 0 -4 *2806:gpio_defaults[0] *2806:gpio_defaults[1] 0 -*RES -1 *2769:gpio_defaults[1] *2806:gpio_defaults[1] 0.830504 -*END - -*D_NET *459 5.84207e-05 -*CONN -*I *2806:gpio_defaults[2] I *D gpio_control_block -*I *2769:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2806:gpio_defaults[2] 2.92104e-05 -2 *2769:gpio_defaults[2] 2.92104e-05 -3 *2806:gpio_defaults[2] *2806:gpio_defaults[3] 0 -4 *2806:gpio_defaults[1] *2806:gpio_defaults[2] 0 -*RES -1 *2769:gpio_defaults[2] *2806:gpio_defaults[2] 0.830504 -*END - -*D_NET *460 5.84207e-05 -*CONN -*I *2806:gpio_defaults[3] I *D gpio_control_block -*I *2769:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2806:gpio_defaults[3] 2.92104e-05 -2 *2769:gpio_defaults[3] 2.92104e-05 -3 *2806:gpio_defaults[3] *2806:gpio_defaults[4] 0 -4 *2806:gpio_defaults[2] *2806:gpio_defaults[3] 0 -*RES -1 *2769:gpio_defaults[3] *2806:gpio_defaults[3] 0.830504 -*END - -*D_NET *461 5.84207e-05 -*CONN -*I *2806:gpio_defaults[4] I *D gpio_control_block -*I *2769:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2806:gpio_defaults[4] 2.92104e-05 -2 *2769:gpio_defaults[4] 2.92104e-05 -3 *2806:gpio_defaults[4] *2806:gpio_defaults[5] 0 -4 *2806:gpio_defaults[3] *2806:gpio_defaults[4] 0 -*RES -1 *2769:gpio_defaults[4] *2806:gpio_defaults[4] 0.830504 -*END - -*D_NET *462 5.84207e-05 -*CONN -*I *2806:gpio_defaults[5] I *D gpio_control_block -*I *2769:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2806:gpio_defaults[5] 2.92104e-05 -2 *2769:gpio_defaults[5] 2.92104e-05 -3 *2806:gpio_defaults[5] *2806:gpio_defaults[6] 0 -4 *2806:gpio_defaults[4] *2806:gpio_defaults[5] 0 -*RES -1 *2769:gpio_defaults[5] *2806:gpio_defaults[5] 0.830504 -*END - -*D_NET *463 5.84207e-05 -*CONN -*I *2806:gpio_defaults[6] I *D gpio_control_block -*I *2769:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2806:gpio_defaults[6] 2.92104e-05 -2 *2769:gpio_defaults[6] 2.92104e-05 -3 *2806:gpio_defaults[6] *2806:gpio_defaults[7] 0 -4 *2806:gpio_defaults[5] *2806:gpio_defaults[6] 0 -*RES -1 *2769:gpio_defaults[6] *2806:gpio_defaults[6] 0.830504 -*END - -*D_NET *464 0.00323534 -*CONN -*I *2798:gpio_defaults[1] I *D gpio_control_block -*I *2759:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2798:gpio_defaults[1] 0.000945746 -2 *2759:gpio_defaults[1] 0.000945746 -3 *2798:gpio_defaults[1] *2798:gpio_defaults[10] 0 -4 *2798:gpio_defaults[1] *2798:gpio_defaults[11] 0 -5 *2798:gpio_defaults[1] *2798:gpio_defaults[2] 0 -6 *2798:gpio_defaults[1] *2798:gpio_defaults[3] 0 -7 *2798:gpio_defaults[1] *2798:gpio_defaults[9] 0.00134385 -*RES -1 *2759:gpio_defaults[1] *2798:gpio_defaults[1] 39.9539 -*END - -*D_NET *465 5.84207e-05 -*CONN -*I *2806:gpio_defaults[7] I *D gpio_control_block -*I *2769:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2806:gpio_defaults[7] 2.92104e-05 -2 *2769:gpio_defaults[7] 2.92104e-05 -3 *2806:gpio_defaults[7] *2806:gpio_defaults[8] 0 -4 *2806:gpio_defaults[6] *2806:gpio_defaults[7] 0 -*RES -1 *2769:gpio_defaults[7] *2806:gpio_defaults[7] 0.830504 -*END - -*D_NET *466 5.84207e-05 -*CONN -*I *2806:gpio_defaults[8] I *D gpio_control_block -*I *2769:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2806:gpio_defaults[8] 2.92104e-05 -2 *2769:gpio_defaults[8] 2.92104e-05 -3 *2806:gpio_defaults[8] *2806:gpio_defaults[9] 0 -4 *2806:gpio_defaults[7] *2806:gpio_defaults[8] 0 -*RES -1 *2769:gpio_defaults[8] *2806:gpio_defaults[8] 0.830504 -*END - -*D_NET *467 5.84207e-05 -*CONN -*I *2806:gpio_defaults[9] I *D gpio_control_block -*I *2769:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2806:gpio_defaults[9] 2.92104e-05 -2 *2769:gpio_defaults[9] 2.92104e-05 -3 *2806:gpio_defaults[9] *2806:gpio_defaults[10] 0 -4 *2806:gpio_defaults[8] *2806:gpio_defaults[9] 0 -*RES -1 *2769:gpio_defaults[9] *2806:gpio_defaults[9] 0.830504 -*END - -*D_NET *468 5.84207e-05 -*CONN -*I *2806:gpio_defaults[10] I *D gpio_control_block -*I *2769:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2806:gpio_defaults[10] 2.92104e-05 -2 *2769:gpio_defaults[10] 2.92104e-05 -3 *2806:gpio_defaults[10] *2806:gpio_defaults[11] 0 -4 *2806:gpio_defaults[9] *2806:gpio_defaults[10] 0 -*RES -1 *2769:gpio_defaults[10] *2806:gpio_defaults[10] 0.830504 -*END - -*D_NET *469 5.84207e-05 -*CONN -*I *2806:gpio_defaults[11] I *D gpio_control_block -*I *2769:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2806:gpio_defaults[11] 2.92104e-05 -2 *2769:gpio_defaults[11] 2.92104e-05 -3 *2806:gpio_defaults[11] *2806:gpio_defaults[12] 0 -4 *2806:gpio_defaults[10] *2806:gpio_defaults[11] 0 -*RES -1 *2769:gpio_defaults[11] *2806:gpio_defaults[11] 0.830504 -*END - -*D_NET *470 5.84207e-05 -*CONN -*I *2806:gpio_defaults[12] I *D gpio_control_block -*I *2769:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2806:gpio_defaults[12] 2.92104e-05 -2 *2769:gpio_defaults[12] 2.92104e-05 -3 *2806:gpio_defaults[11] *2806:gpio_defaults[12] 0 -*RES -1 *2769:gpio_defaults[12] *2806:gpio_defaults[12] 0.830504 -*END - -*D_NET *471 5.84207e-05 -*CONN -*I *2807:gpio_defaults[0] I *D gpio_control_block -*I *2770:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2807:gpio_defaults[0] 2.92104e-05 -2 *2770:gpio_defaults[0] 2.92104e-05 -3 *2807:gpio_defaults[0] *2807:gpio_defaults[1] 0 -*RES -1 *2770:gpio_defaults[0] *2807:gpio_defaults[0] 0.830504 -*END - -*D_NET *472 5.84207e-05 -*CONN -*I *2807:gpio_defaults[1] I *D gpio_control_block -*I *2770:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2807:gpio_defaults[1] 2.92104e-05 -2 *2770:gpio_defaults[1] 2.92104e-05 -3 *2807:gpio_defaults[1] *2807:gpio_defaults[2] 0 -4 *2807:gpio_defaults[0] *2807:gpio_defaults[1] 0 -*RES -1 *2770:gpio_defaults[1] *2807:gpio_defaults[1] 0.830504 -*END - -*D_NET *473 5.84207e-05 -*CONN -*I *2807:gpio_defaults[2] I *D gpio_control_block -*I *2770:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2807:gpio_defaults[2] 2.92104e-05 -2 *2770:gpio_defaults[2] 2.92104e-05 -3 *2807:gpio_defaults[2] *2807:gpio_defaults[3] 0 -4 *2807:gpio_defaults[1] *2807:gpio_defaults[2] 0 -*RES -1 *2770:gpio_defaults[2] *2807:gpio_defaults[2] 0.830504 -*END - -*D_NET *474 5.84207e-05 -*CONN -*I *2807:gpio_defaults[3] I *D gpio_control_block -*I *2770:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2807:gpio_defaults[3] 2.92104e-05 -2 *2770:gpio_defaults[3] 2.92104e-05 -3 *2807:gpio_defaults[3] *2807:gpio_defaults[4] 0 -4 *2807:gpio_defaults[2] *2807:gpio_defaults[3] 0 -*RES -1 *2770:gpio_defaults[3] *2807:gpio_defaults[3] 0.830504 -*END - -*D_NET *475 0.00369449 -*CONN -*I *2798:gpio_defaults[2] I *D gpio_control_block -*I *2759:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2798:gpio_defaults[2] 0.000542046 -2 *2759:gpio_defaults[2] 0.000542046 -3 *2798:gpio_defaults[2] *2798:gpio_defaults[10] 0.00182519 -4 *2798:gpio_defaults[2] *2798:gpio_defaults[11] 0 -5 *2798:gpio_defaults[2] *2798:gpio_defaults[3] 2.9752e-05 -6 *2798:gpio_defaults[2] *2798:gpio_defaults[8] 0.000755455 -7 *2798:gpio_defaults[2] *2798:gpio_defaults[9] 0 -8 *2798:gpio_defaults[1] *2798:gpio_defaults[2] 0 -*RES -1 *2759:gpio_defaults[2] *2798:gpio_defaults[2] 33.5774 -*END - -*D_NET *476 5.84207e-05 -*CONN -*I *2807:gpio_defaults[4] I *D gpio_control_block -*I *2770:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2807:gpio_defaults[4] 2.92104e-05 -2 *2770:gpio_defaults[4] 2.92104e-05 -3 *2807:gpio_defaults[4] *2807:gpio_defaults[5] 0 -4 *2807:gpio_defaults[3] *2807:gpio_defaults[4] 0 -*RES -1 *2770:gpio_defaults[4] *2807:gpio_defaults[4] 0.830504 -*END - -*D_NET *477 5.84207e-05 -*CONN -*I *2807:gpio_defaults[5] I *D gpio_control_block -*I *2770:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2807:gpio_defaults[5] 2.92104e-05 -2 *2770:gpio_defaults[5] 2.92104e-05 -3 *2807:gpio_defaults[5] *2807:gpio_defaults[6] 0 -4 *2807:gpio_defaults[4] *2807:gpio_defaults[5] 0 -*RES -1 *2770:gpio_defaults[5] *2807:gpio_defaults[5] 0.830504 -*END - -*D_NET *478 5.84207e-05 -*CONN -*I *2807:gpio_defaults[6] I *D gpio_control_block -*I *2770:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2807:gpio_defaults[6] 2.92104e-05 -2 *2770:gpio_defaults[6] 2.92104e-05 -3 *2807:gpio_defaults[6] *2807:gpio_defaults[7] 0 -4 *2807:gpio_defaults[5] *2807:gpio_defaults[6] 0 -*RES -1 *2770:gpio_defaults[6] *2807:gpio_defaults[6] 0.830504 -*END - -*D_NET *479 5.84207e-05 -*CONN -*I *2807:gpio_defaults[7] I *D gpio_control_block -*I *2770:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2807:gpio_defaults[7] 2.92104e-05 -2 *2770:gpio_defaults[7] 2.92104e-05 -3 *2807:gpio_defaults[7] *2807:gpio_defaults[8] 0 -4 *2807:gpio_defaults[6] *2807:gpio_defaults[7] 0 -*RES -1 *2770:gpio_defaults[7] *2807:gpio_defaults[7] 0.830504 -*END - -*D_NET *480 5.84207e-05 -*CONN -*I *2807:gpio_defaults[8] I *D gpio_control_block -*I *2770:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2807:gpio_defaults[8] 2.92104e-05 -2 *2770:gpio_defaults[8] 2.92104e-05 -3 *2807:gpio_defaults[8] *2807:gpio_defaults[9] 0 -4 *2807:gpio_defaults[7] *2807:gpio_defaults[8] 0 -*RES -1 *2770:gpio_defaults[8] *2807:gpio_defaults[8] 0.830504 -*END - -*D_NET *481 5.84207e-05 -*CONN -*I *2807:gpio_defaults[9] I *D gpio_control_block -*I *2770:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2807:gpio_defaults[9] 2.92104e-05 -2 *2770:gpio_defaults[9] 2.92104e-05 -3 *2807:gpio_defaults[9] *2807:gpio_defaults[10] 0 -4 *2807:gpio_defaults[8] *2807:gpio_defaults[9] 0 -*RES -1 *2770:gpio_defaults[9] *2807:gpio_defaults[9] 0.830504 -*END - -*D_NET *482 5.84207e-05 -*CONN -*I *2807:gpio_defaults[10] I *D gpio_control_block -*I *2770:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2807:gpio_defaults[10] 2.92104e-05 -2 *2770:gpio_defaults[10] 2.92104e-05 -3 *2807:gpio_defaults[10] *2807:gpio_defaults[11] 0 -4 *2807:gpio_defaults[9] *2807:gpio_defaults[10] 0 -*RES -1 *2770:gpio_defaults[10] *2807:gpio_defaults[10] 0.830504 -*END - -*D_NET *483 5.84207e-05 -*CONN -*I *2807:gpio_defaults[11] I *D gpio_control_block -*I *2770:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2807:gpio_defaults[11] 2.92104e-05 -2 *2770:gpio_defaults[11] 2.92104e-05 -3 *2807:gpio_defaults[11] *2807:gpio_defaults[12] 0 -4 *2807:gpio_defaults[10] *2807:gpio_defaults[11] 0 -*RES -1 *2770:gpio_defaults[11] *2807:gpio_defaults[11] 0.830504 -*END - -*D_NET *484 5.84207e-05 -*CONN -*I *2807:gpio_defaults[12] I *D gpio_control_block -*I *2770:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2807:gpio_defaults[12] 2.92104e-05 -2 *2770:gpio_defaults[12] 2.92104e-05 -3 *2807:gpio_defaults[11] *2807:gpio_defaults[12] 0 -*RES -1 *2770:gpio_defaults[12] *2807:gpio_defaults[12] 0.830504 -*END - -*D_NET *485 5.84207e-05 -*CONN -*I *2808:gpio_defaults[0] I *D gpio_control_block -*I *2771:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2808:gpio_defaults[0] 2.92104e-05 -2 *2771:gpio_defaults[0] 2.92104e-05 -3 *2808:gpio_defaults[0] *2808:gpio_defaults[1] 0 -*RES -1 *2771:gpio_defaults[0] *2808:gpio_defaults[0] 0.830504 -*END - -*D_NET *486 0.00294098 -*CONN -*I *2798:gpio_defaults[3] I *D gpio_control_block -*I *2759:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2798:gpio_defaults[3] 0.00044739 -2 *2759:gpio_defaults[3] 0.00044739 -3 *2798:gpio_defaults[3] *2798:gpio_defaults[10] 0 -4 *2798:gpio_defaults[3] *2798:gpio_defaults[4] 0.000706559 -5 *2798:gpio_defaults[3] *2798:gpio_defaults[8] 1.00937e-05 -6 *2798:gpio_defaults[3] *2798:gpio_defaults[9] 0.0012998 -7 *2798:gpio_defaults[1] *2798:gpio_defaults[3] 0 -8 *2798:gpio_defaults[2] *2798:gpio_defaults[3] 2.9752e-05 -*RES -1 *2759:gpio_defaults[3] *2798:gpio_defaults[3] 28.0313 -*END - -*D_NET *487 5.84207e-05 -*CONN -*I *2808:gpio_defaults[1] I *D gpio_control_block -*I *2771:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2808:gpio_defaults[1] 2.92104e-05 -2 *2771:gpio_defaults[1] 2.92104e-05 -3 *2808:gpio_defaults[1] *2808:gpio_defaults[2] 0 -4 *2808:gpio_defaults[0] *2808:gpio_defaults[1] 0 -*RES -1 *2771:gpio_defaults[1] *2808:gpio_defaults[1] 0.830504 -*END - -*D_NET *488 5.84207e-05 -*CONN -*I *2808:gpio_defaults[2] I *D gpio_control_block -*I *2771:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2808:gpio_defaults[2] 2.92104e-05 -2 *2771:gpio_defaults[2] 2.92104e-05 -3 *2808:gpio_defaults[2] *2808:gpio_defaults[3] 0 -4 *2808:gpio_defaults[1] *2808:gpio_defaults[2] 0 -*RES -1 *2771:gpio_defaults[2] *2808:gpio_defaults[2] 0.830504 -*END - -*D_NET *489 5.84207e-05 -*CONN -*I *2808:gpio_defaults[3] I *D gpio_control_block -*I *2771:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2808:gpio_defaults[3] 2.92104e-05 -2 *2771:gpio_defaults[3] 2.92104e-05 -3 *2808:gpio_defaults[3] *2808:gpio_defaults[4] 0 -4 *2808:gpio_defaults[2] *2808:gpio_defaults[3] 0 -*RES -1 *2771:gpio_defaults[3] *2808:gpio_defaults[3] 0.830504 -*END - -*D_NET *490 5.84207e-05 -*CONN -*I *2808:gpio_defaults[4] I *D gpio_control_block -*I *2771:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2808:gpio_defaults[4] 2.92104e-05 -2 *2771:gpio_defaults[4] 2.92104e-05 -3 *2808:gpio_defaults[4] *2808:gpio_defaults[5] 0 -4 *2808:gpio_defaults[3] *2808:gpio_defaults[4] 0 -*RES -1 *2771:gpio_defaults[4] *2808:gpio_defaults[4] 0.830504 -*END - -*D_NET *491 5.84207e-05 -*CONN -*I *2808:gpio_defaults[5] I *D gpio_control_block -*I *2771:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2808:gpio_defaults[5] 2.92104e-05 -2 *2771:gpio_defaults[5] 2.92104e-05 -3 *2808:gpio_defaults[5] *2808:gpio_defaults[6] 0 -4 *2808:gpio_defaults[4] *2808:gpio_defaults[5] 0 -*RES -1 *2771:gpio_defaults[5] *2808:gpio_defaults[5] 0.830504 -*END - -*D_NET *492 5.84207e-05 -*CONN -*I *2808:gpio_defaults[6] I *D gpio_control_block -*I *2771:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2808:gpio_defaults[6] 2.92104e-05 -2 *2771:gpio_defaults[6] 2.92104e-05 -3 *2808:gpio_defaults[6] *2808:gpio_defaults[7] 0 -4 *2808:gpio_defaults[5] *2808:gpio_defaults[6] 0 -*RES -1 *2771:gpio_defaults[6] *2808:gpio_defaults[6] 0.830504 -*END - -*D_NET *493 5.84207e-05 -*CONN -*I *2808:gpio_defaults[7] I *D gpio_control_block -*I *2771:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2808:gpio_defaults[7] 2.92104e-05 -2 *2771:gpio_defaults[7] 2.92104e-05 -3 *2808:gpio_defaults[7] *2808:gpio_defaults[8] 0 -4 *2808:gpio_defaults[6] *2808:gpio_defaults[7] 0 -*RES -1 *2771:gpio_defaults[7] *2808:gpio_defaults[7] 0.830504 -*END - -*D_NET *494 5.84207e-05 -*CONN -*I *2808:gpio_defaults[8] I *D gpio_control_block -*I *2771:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2808:gpio_defaults[8] 2.92104e-05 -2 *2771:gpio_defaults[8] 2.92104e-05 -3 *2808:gpio_defaults[8] *2808:gpio_defaults[9] 0 -4 *2808:gpio_defaults[7] *2808:gpio_defaults[8] 0 -*RES -1 *2771:gpio_defaults[8] *2808:gpio_defaults[8] 0.830504 -*END - -*D_NET *495 5.84207e-05 -*CONN -*I *2808:gpio_defaults[9] I *D gpio_control_block -*I *2771:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2808:gpio_defaults[9] 2.92104e-05 -2 *2771:gpio_defaults[9] 2.92104e-05 -3 *2808:gpio_defaults[9] *2808:gpio_defaults[10] 0 -4 *2808:gpio_defaults[8] *2808:gpio_defaults[9] 0 -*RES -1 *2771:gpio_defaults[9] *2808:gpio_defaults[9] 0.830504 -*END - -*D_NET *496 5.84207e-05 -*CONN -*I *2808:gpio_defaults[10] I *D gpio_control_block -*I *2771:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2808:gpio_defaults[10] 2.92104e-05 -2 *2771:gpio_defaults[10] 2.92104e-05 -3 *2808:gpio_defaults[10] *2808:gpio_defaults[11] 0 -4 *2808:gpio_defaults[9] *2808:gpio_defaults[10] 0 -*RES -1 *2771:gpio_defaults[10] *2808:gpio_defaults[10] 0.830504 -*END - -*D_NET *497 0.00195489 -*CONN -*I *2798:gpio_defaults[4] I *D gpio_control_block -*I *2759:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2798:gpio_defaults[4] 0.000377292 -2 *2759:gpio_defaults[4] 0.000377292 -3 *2798:gpio_defaults[4] *2798:gpio_defaults[5] 0.000175485 -4 *2798:gpio_defaults[4] *2798:gpio_defaults[7] 4.82966e-05 -5 *2798:gpio_defaults[4] *2798:gpio_defaults[8] 0.000269963 -6 *2798:gpio_defaults[3] *2798:gpio_defaults[4] 0.000706559 -*RES -1 *2759:gpio_defaults[4] *2798:gpio_defaults[4] 22.07 -*END - -*D_NET *498 5.84207e-05 -*CONN -*I *2808:gpio_defaults[11] I *D gpio_control_block -*I *2771:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2808:gpio_defaults[11] 2.92104e-05 -2 *2771:gpio_defaults[11] 2.92104e-05 -3 *2808:gpio_defaults[11] *2808:gpio_defaults[12] 0 -4 *2808:gpio_defaults[10] *2808:gpio_defaults[11] 0 -*RES -1 *2771:gpio_defaults[11] *2808:gpio_defaults[11] 0.830504 -*END - -*D_NET *499 5.84207e-05 -*CONN -*I *2808:gpio_defaults[12] I *D gpio_control_block -*I *2771:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2808:gpio_defaults[12] 2.92104e-05 -2 *2771:gpio_defaults[12] 2.92104e-05 -3 *2808:gpio_defaults[11] *2808:gpio_defaults[12] 0 -*RES -1 *2771:gpio_defaults[12] *2808:gpio_defaults[12] 0.830504 -*END - -*D_NET *500 5.84207e-05 -*CONN -*I *2809:gpio_defaults[0] I *D gpio_control_block -*I *2772:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2809:gpio_defaults[0] 2.92104e-05 -2 *2772:gpio_defaults[0] 2.92104e-05 -3 *2809:gpio_defaults[0] *2809:gpio_defaults[1] 0 -*RES -1 *2772:gpio_defaults[0] *2809:gpio_defaults[0] 0.830504 -*END - -*D_NET *501 5.84207e-05 -*CONN -*I *2809:gpio_defaults[1] I *D gpio_control_block -*I *2772:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2809:gpio_defaults[1] 2.92104e-05 -2 *2772:gpio_defaults[1] 2.92104e-05 -3 *2809:gpio_defaults[1] *2809:gpio_defaults[2] 0 -4 *2809:gpio_defaults[0] *2809:gpio_defaults[1] 0 -*RES -1 *2772:gpio_defaults[1] *2809:gpio_defaults[1] 0.830504 -*END - -*D_NET *502 5.84207e-05 -*CONN -*I *2809:gpio_defaults[2] I *D gpio_control_block -*I *2772:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2809:gpio_defaults[2] 2.92104e-05 -2 *2772:gpio_defaults[2] 2.92104e-05 -3 *2809:gpio_defaults[2] *2809:gpio_defaults[3] 0 -4 *2809:gpio_defaults[1] *2809:gpio_defaults[2] 0 -*RES -1 *2772:gpio_defaults[2] *2809:gpio_defaults[2] 0.830504 -*END - -*D_NET *503 5.84207e-05 -*CONN -*I *2809:gpio_defaults[3] I *D gpio_control_block -*I *2772:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2809:gpio_defaults[3] 2.92104e-05 -2 *2772:gpio_defaults[3] 2.92104e-05 -3 *2809:gpio_defaults[3] *2809:gpio_defaults[4] 0 -4 *2809:gpio_defaults[2] *2809:gpio_defaults[3] 0 -*RES -1 *2772:gpio_defaults[3] *2809:gpio_defaults[3] 0.830504 -*END - -*D_NET *504 5.84207e-05 -*CONN -*I *2809:gpio_defaults[4] I *D gpio_control_block -*I *2772:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2809:gpio_defaults[4] 2.92104e-05 -2 *2772:gpio_defaults[4] 2.92104e-05 -3 *2809:gpio_defaults[4] *2809:gpio_defaults[5] 0 -4 *2809:gpio_defaults[3] *2809:gpio_defaults[4] 0 -*RES -1 *2772:gpio_defaults[4] *2809:gpio_defaults[4] 0.830504 -*END - -*D_NET *505 5.84207e-05 -*CONN -*I *2809:gpio_defaults[5] I *D gpio_control_block -*I *2772:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2809:gpio_defaults[5] 2.92104e-05 -2 *2772:gpio_defaults[5] 2.92104e-05 -3 *2809:gpio_defaults[5] *2809:gpio_defaults[6] 0 -4 *2809:gpio_defaults[4] *2809:gpio_defaults[5] 0 -*RES -1 *2772:gpio_defaults[5] *2809:gpio_defaults[5] 0.830504 -*END - -*D_NET *506 5.84207e-05 -*CONN -*I *2809:gpio_defaults[6] I *D gpio_control_block -*I *2772:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2809:gpio_defaults[6] 2.92104e-05 -2 *2772:gpio_defaults[6] 2.92104e-05 -3 *2809:gpio_defaults[6] *2809:gpio_defaults[7] 0 -4 *2809:gpio_defaults[5] *2809:gpio_defaults[6] 0 -*RES -1 *2772:gpio_defaults[6] *2809:gpio_defaults[6] 0.830504 -*END - -*D_NET *507 5.84207e-05 -*CONN -*I *2809:gpio_defaults[7] I *D gpio_control_block -*I *2772:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2809:gpio_defaults[7] 2.92104e-05 -2 *2772:gpio_defaults[7] 2.92104e-05 -3 *2809:gpio_defaults[7] *2809:gpio_defaults[8] 0 -4 *2809:gpio_defaults[6] *2809:gpio_defaults[7] 0 -*RES -1 *2772:gpio_defaults[7] *2809:gpio_defaults[7] 0.830504 -*END - -*D_NET *508 0.00122838 -*CONN -*I *2798:gpio_defaults[5] I *D gpio_control_block -*I *2759:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2798:gpio_defaults[5] 0.000371682 -2 *2759:gpio_defaults[5] 0.000371682 -3 *2798:gpio_defaults[5] *2798:gpio_defaults[6] 2.44253e-05 -4 *2798:gpio_defaults[5] *2798:gpio_defaults[7] 0.000285103 -5 *2798:gpio_defaults[4] *2798:gpio_defaults[5] 0.000175485 -*RES -1 *2759:gpio_defaults[5] *2798:gpio_defaults[5] 16.1087 -*END - -*D_NET *509 5.84207e-05 -*CONN -*I *2809:gpio_defaults[8] I *D gpio_control_block -*I *2772:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2809:gpio_defaults[8] 2.92104e-05 -2 *2772:gpio_defaults[8] 2.92104e-05 -3 *2809:gpio_defaults[8] *2809:gpio_defaults[9] 0 -4 *2809:gpio_defaults[7] *2809:gpio_defaults[8] 0 -*RES -1 *2772:gpio_defaults[8] *2809:gpio_defaults[8] 0.830504 -*END - -*D_NET *510 5.84207e-05 -*CONN -*I *2809:gpio_defaults[9] I *D gpio_control_block -*I *2772:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2809:gpio_defaults[9] 2.92104e-05 -2 *2772:gpio_defaults[9] 2.92104e-05 -3 *2809:gpio_defaults[9] *2809:gpio_defaults[10] 0 -4 *2809:gpio_defaults[8] *2809:gpio_defaults[9] 0 -*RES -1 *2772:gpio_defaults[9] *2809:gpio_defaults[9] 0.830504 -*END - -*D_NET *511 5.84207e-05 -*CONN -*I *2809:gpio_defaults[10] I *D gpio_control_block -*I *2772:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2809:gpio_defaults[10] 2.92104e-05 -2 *2772:gpio_defaults[10] 2.92104e-05 -3 *2809:gpio_defaults[10] *2809:gpio_defaults[11] 0 -4 *2809:gpio_defaults[9] *2809:gpio_defaults[10] 0 -*RES -1 *2772:gpio_defaults[10] *2809:gpio_defaults[10] 0.830504 -*END - -*D_NET *512 5.84207e-05 -*CONN -*I *2809:gpio_defaults[11] I *D gpio_control_block -*I *2772:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2809:gpio_defaults[11] 2.92104e-05 -2 *2772:gpio_defaults[11] 2.92104e-05 -3 *2809:gpio_defaults[11] *2809:gpio_defaults[12] 0 -4 *2809:gpio_defaults[10] *2809:gpio_defaults[11] 0 -*RES -1 *2772:gpio_defaults[11] *2809:gpio_defaults[11] 0.830504 -*END - -*D_NET *513 5.84207e-05 -*CONN -*I *2809:gpio_defaults[12] I *D gpio_control_block -*I *2772:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2809:gpio_defaults[12] 2.92104e-05 -2 *2772:gpio_defaults[12] 2.92104e-05 -3 *2809:gpio_defaults[11] *2809:gpio_defaults[12] 0 -*RES -1 *2772:gpio_defaults[12] *2809:gpio_defaults[12] 0.830504 -*END - -*D_NET *514 5.84207e-05 -*CONN -*I *2783:gpio_defaults[0] I *D gpio_control_block -*I *2773:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2783:gpio_defaults[0] 2.92104e-05 -2 *2773:gpio_defaults[0] 2.92104e-05 -3 *2783:gpio_defaults[0] *2783:gpio_defaults[1] 0 -*RES -1 *2773:gpio_defaults[0] *2783:gpio_defaults[0] 0.830504 -*END - -*D_NET *515 5.84207e-05 -*CONN -*I *2783:gpio_defaults[1] I *D gpio_control_block -*I *2773:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2783:gpio_defaults[1] 2.92104e-05 -2 *2773:gpio_defaults[1] 2.92104e-05 -3 *2783:gpio_defaults[1] *2783:gpio_defaults[2] 0 -4 *2783:gpio_defaults[0] *2783:gpio_defaults[1] 0 -*RES -1 *2773:gpio_defaults[1] *2783:gpio_defaults[1] 0.830504 -*END - -*D_NET *516 5.84207e-05 -*CONN -*I *2783:gpio_defaults[2] I *D gpio_control_block -*I *2773:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2783:gpio_defaults[2] 2.92104e-05 -2 *2773:gpio_defaults[2] 2.92104e-05 -3 *2783:gpio_defaults[2] *2783:gpio_defaults[3] 0 -4 *2783:gpio_defaults[1] *2783:gpio_defaults[2] 0 -*RES -1 *2773:gpio_defaults[2] *2783:gpio_defaults[2] 0.830504 -*END - -*D_NET *517 5.84207e-05 -*CONN -*I *2783:gpio_defaults[3] I *D gpio_control_block -*I *2773:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2783:gpio_defaults[3] 2.92104e-05 -2 *2773:gpio_defaults[3] 2.92104e-05 -3 *2783:gpio_defaults[3] *2783:gpio_defaults[4] 0 -4 *2783:gpio_defaults[2] *2783:gpio_defaults[3] 0 -*RES -1 *2773:gpio_defaults[3] *2783:gpio_defaults[3] 0.830504 -*END - -*D_NET *518 5.84207e-05 -*CONN -*I *2783:gpio_defaults[4] I *D gpio_control_block -*I *2773:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2783:gpio_defaults[4] 2.92104e-05 -2 *2773:gpio_defaults[4] 2.92104e-05 -3 *2783:gpio_defaults[4] *2783:gpio_defaults[5] 0 -4 *2783:gpio_defaults[3] *2783:gpio_defaults[4] 0 -*RES -1 *2773:gpio_defaults[4] *2783:gpio_defaults[4] 0.830504 -*END - -*D_NET *519 0.000104205 -*CONN -*I *2798:gpio_defaults[6] I *D gpio_control_block -*I *2759:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2798:gpio_defaults[6] 3.6932e-05 -2 *2759:gpio_defaults[6] 3.6932e-05 -3 *2798:gpio_defaults[6] *2798:gpio_defaults[7] 5.91599e-06 -4 *2798:gpio_defaults[5] *2798:gpio_defaults[6] 2.44253e-05 -*RES -1 *2759:gpio_defaults[6] *2798:gpio_defaults[6] 0.830504 -*END - -*D_NET *520 5.84207e-05 -*CONN -*I *2783:gpio_defaults[5] I *D gpio_control_block -*I *2773:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2783:gpio_defaults[5] 2.92104e-05 -2 *2773:gpio_defaults[5] 2.92104e-05 -3 *2783:gpio_defaults[5] *2783:gpio_defaults[6] 0 -4 *2783:gpio_defaults[4] *2783:gpio_defaults[5] 0 -*RES -1 *2773:gpio_defaults[5] *2783:gpio_defaults[5] 0.830504 -*END - -*D_NET *521 5.84207e-05 -*CONN -*I *2783:gpio_defaults[6] I *D gpio_control_block -*I *2773:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2783:gpio_defaults[6] 2.92104e-05 -2 *2773:gpio_defaults[6] 2.92104e-05 -3 *2783:gpio_defaults[6] *2783:gpio_defaults[7] 0 -4 *2783:gpio_defaults[5] *2783:gpio_defaults[6] 0 -*RES -1 *2773:gpio_defaults[6] *2783:gpio_defaults[6] 0.830504 -*END - -*D_NET *522 5.84207e-05 -*CONN -*I *2783:gpio_defaults[7] I *D gpio_control_block -*I *2773:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2783:gpio_defaults[7] 2.92104e-05 -2 *2773:gpio_defaults[7] 2.92104e-05 -3 *2783:gpio_defaults[7] *2783:gpio_defaults[8] 0 -4 *2783:gpio_defaults[6] *2783:gpio_defaults[7] 0 -*RES -1 *2773:gpio_defaults[7] *2783:gpio_defaults[7] 0.830504 -*END - -*D_NET *523 5.84207e-05 -*CONN -*I *2783:gpio_defaults[8] I *D gpio_control_block -*I *2773:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2783:gpio_defaults[8] 2.92104e-05 -2 *2773:gpio_defaults[8] 2.92104e-05 -3 *2783:gpio_defaults[8] *2783:gpio_defaults[9] 0 -4 *2783:gpio_defaults[7] *2783:gpio_defaults[8] 0 -*RES -1 *2773:gpio_defaults[8] *2783:gpio_defaults[8] 0.830504 -*END - -*D_NET *524 5.84207e-05 -*CONN -*I *2783:gpio_defaults[9] I *D gpio_control_block -*I *2773:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2783:gpio_defaults[9] 2.92104e-05 -2 *2773:gpio_defaults[9] 2.92104e-05 -3 *2783:gpio_defaults[9] *2783:gpio_defaults[10] 0 -4 *2783:gpio_defaults[8] *2783:gpio_defaults[9] 0 -*RES -1 *2773:gpio_defaults[9] *2783:gpio_defaults[9] 0.830504 -*END - -*D_NET *525 5.84207e-05 -*CONN -*I *2783:gpio_defaults[10] I *D gpio_control_block -*I *2773:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2783:gpio_defaults[10] 2.92104e-05 -2 *2773:gpio_defaults[10] 2.92104e-05 -3 *2783:gpio_defaults[10] *2783:gpio_defaults[11] 0 -4 *2783:gpio_defaults[9] *2783:gpio_defaults[10] 0 -*RES -1 *2773:gpio_defaults[10] *2783:gpio_defaults[10] 0.830504 -*END - -*D_NET *526 5.84207e-05 -*CONN -*I *2783:gpio_defaults[11] I *D gpio_control_block -*I *2773:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2783:gpio_defaults[11] 2.92104e-05 -2 *2773:gpio_defaults[11] 2.92104e-05 -3 *2783:gpio_defaults[11] *2783:gpio_defaults[12] 0 -4 *2783:gpio_defaults[10] *2783:gpio_defaults[11] 0 -*RES -1 *2773:gpio_defaults[11] *2783:gpio_defaults[11] 0.830504 -*END - -*D_NET *527 5.84207e-05 -*CONN -*I *2783:gpio_defaults[12] I *D gpio_control_block -*I *2773:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2783:gpio_defaults[12] 2.92104e-05 -2 *2773:gpio_defaults[12] 2.92104e-05 -3 *2783:gpio_defaults[11] *2783:gpio_defaults[12] 0 -*RES -1 *2773:gpio_defaults[12] *2783:gpio_defaults[12] 0.830504 -*END - -*D_NET *528 7.55152e-05 -*CONN -*I *2784:gpio_defaults[0] I *D gpio_control_block -*I *2774:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2784:gpio_defaults[0] 3.07164e-05 -2 *2774:gpio_defaults[0] 3.07164e-05 -3 *2784:gpio_defaults[0] *2287:25 1.7767e-06 -4 *108:88 *2784:gpio_defaults[0] 1.23056e-05 -*RES -1 *2774:gpio_defaults[0] *2784:gpio_defaults[0] 0.830504 -*END - -*D_NET *529 7.64785e-05 -*CONN -*I *2784:gpio_defaults[1] I *D gpio_control_block -*I *2774:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2784:gpio_defaults[1] 3.82393e-05 -2 *2774:gpio_defaults[1] 3.82393e-05 -*RES -1 *2774:gpio_defaults[1] *2784:gpio_defaults[1] 0.830504 -*END - -*D_NET *530 0.00113663 -*CONN -*I *2798:gpio_defaults[7] I *D gpio_control_block -*I *2759:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2798:gpio_defaults[7] 0.000269594 -2 *2759:gpio_defaults[7] 0.000269594 -3 *2798:gpio_defaults[7] *2798:gpio_defaults[8] 0.000258128 -4 *2798:gpio_defaults[4] *2798:gpio_defaults[7] 4.82966e-05 -5 *2798:gpio_defaults[5] *2798:gpio_defaults[7] 0.000285103 -6 *2798:gpio_defaults[6] *2798:gpio_defaults[7] 5.91599e-06 -*RES -1 *2759:gpio_defaults[7] *2798:gpio_defaults[7] 16.2578 -*END - -*D_NET *531 7.64785e-05 -*CONN -*I *2784:gpio_defaults[2] I *D gpio_control_block -*I *2774:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2784:gpio_defaults[2] 3.82393e-05 -2 *2774:gpio_defaults[2] 3.82393e-05 -*RES -1 *2774:gpio_defaults[2] *2784:gpio_defaults[2] 0.830504 -*END - -*D_NET *532 7.64785e-05 -*CONN -*I *2784:gpio_defaults[3] I *D gpio_control_block -*I *2774:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2784:gpio_defaults[3] 3.82393e-05 -2 *2774:gpio_defaults[3] 3.82393e-05 -*RES -1 *2774:gpio_defaults[3] *2784:gpio_defaults[3] 0.830504 -*END - -*D_NET *533 7.64785e-05 -*CONN -*I *2784:gpio_defaults[4] I *D gpio_control_block -*I *2774:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2784:gpio_defaults[4] 3.82393e-05 -2 *2774:gpio_defaults[4] 3.82393e-05 -*RES -1 *2774:gpio_defaults[4] *2784:gpio_defaults[4] 0.830504 -*END - -*D_NET *534 7.64785e-05 -*CONN -*I *2784:gpio_defaults[5] I *D gpio_control_block -*I *2774:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2784:gpio_defaults[5] 3.82393e-05 -2 *2774:gpio_defaults[5] 3.82393e-05 -*RES -1 *2774:gpio_defaults[5] *2784:gpio_defaults[5] 0.830504 -*END - -*D_NET *535 7.64785e-05 -*CONN -*I *2784:gpio_defaults[6] I *D gpio_control_block -*I *2774:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2784:gpio_defaults[6] 3.82393e-05 -2 *2774:gpio_defaults[6] 3.82393e-05 -*RES -1 *2774:gpio_defaults[6] *2784:gpio_defaults[6] 0.830504 -*END - -*D_NET *536 7.64785e-05 -*CONN -*I *2784:gpio_defaults[7] I *D gpio_control_block -*I *2774:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2784:gpio_defaults[7] 3.82393e-05 -2 *2774:gpio_defaults[7] 3.82393e-05 -*RES -1 *2774:gpio_defaults[7] *2784:gpio_defaults[7] 0.830504 -*END - -*D_NET *537 7.64785e-05 -*CONN -*I *2784:gpio_defaults[8] I *D gpio_control_block -*I *2774:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2784:gpio_defaults[8] 3.82393e-05 -2 *2774:gpio_defaults[8] 3.82393e-05 -*RES -1 *2774:gpio_defaults[8] *2784:gpio_defaults[8] 0.830504 -*END - -*D_NET *538 7.64785e-05 -*CONN -*I *2784:gpio_defaults[9] I *D gpio_control_block -*I *2774:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2784:gpio_defaults[9] 3.82393e-05 -2 *2774:gpio_defaults[9] 3.82393e-05 -*RES -1 *2774:gpio_defaults[9] *2784:gpio_defaults[9] 0.830504 -*END - -*D_NET *539 7.64785e-05 -*CONN -*I *2784:gpio_defaults[10] I *D gpio_control_block -*I *2774:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2784:gpio_defaults[10] 3.82393e-05 -2 *2774:gpio_defaults[10] 3.82393e-05 -*RES -1 *2774:gpio_defaults[10] *2784:gpio_defaults[10] 0.830504 -*END - -*D_NET *540 7.64785e-05 -*CONN -*I *2784:gpio_defaults[11] I *D gpio_control_block -*I *2774:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2784:gpio_defaults[11] 3.82393e-05 -2 *2774:gpio_defaults[11] 3.82393e-05 -*RES -1 *2774:gpio_defaults[11] *2784:gpio_defaults[11] 0.830504 -*END - -*D_NET *541 0.00196433 -*CONN -*I *2798:gpio_defaults[8] I *D gpio_control_block -*I *2759:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2798:gpio_defaults[8] 0.000335346 -2 *2759:gpio_defaults[8] 0.000335346 -3 *2798:gpio_defaults[8] *2798:gpio_defaults[9] 0 -4 *2798:gpio_defaults[2] *2798:gpio_defaults[8] 0.000755455 -5 *2798:gpio_defaults[3] *2798:gpio_defaults[8] 1.00937e-05 -6 *2798:gpio_defaults[4] *2798:gpio_defaults[8] 0.000269963 -7 *2798:gpio_defaults[7] *2798:gpio_defaults[8] 0.000258128 -*RES -1 *2759:gpio_defaults[8] *2798:gpio_defaults[8] 22.221 -*END - -*D_NET *542 7.64785e-05 -*CONN -*I *2784:gpio_defaults[12] I *D gpio_control_block -*I *2774:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2784:gpio_defaults[12] 3.82393e-05 -2 *2774:gpio_defaults[12] 3.82393e-05 -*RES -1 *2774:gpio_defaults[12] *2784:gpio_defaults[12] 0.830504 -*END - -*D_NET *543 5.84207e-05 -*CONN -*I *2785:gpio_defaults[0] I *D gpio_control_block -*I *2775:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2785:gpio_defaults[0] 2.92104e-05 -2 *2775:gpio_defaults[0] 2.92104e-05 -3 *2785:gpio_defaults[0] *2785:gpio_defaults[1] 0 -*RES -1 *2775:gpio_defaults[0] *2785:gpio_defaults[0] 0.830504 -*END - -*D_NET *544 5.84207e-05 -*CONN -*I *2785:gpio_defaults[1] I *D gpio_control_block -*I *2775:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2785:gpio_defaults[1] 2.92104e-05 -2 *2775:gpio_defaults[1] 2.92104e-05 -3 *2785:gpio_defaults[1] *2785:gpio_defaults[2] 0 -4 *2785:gpio_defaults[0] *2785:gpio_defaults[1] 0 -*RES -1 *2775:gpio_defaults[1] *2785:gpio_defaults[1] 0.830504 -*END - -*D_NET *545 5.84207e-05 -*CONN -*I *2785:gpio_defaults[2] I *D gpio_control_block -*I *2775:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2785:gpio_defaults[2] 2.92104e-05 -2 *2775:gpio_defaults[2] 2.92104e-05 -3 *2785:gpio_defaults[2] *2785:gpio_defaults[3] 0 -4 *2785:gpio_defaults[1] *2785:gpio_defaults[2] 0 -*RES -1 *2775:gpio_defaults[2] *2785:gpio_defaults[2] 0.830504 -*END - -*D_NET *546 5.84207e-05 -*CONN -*I *2785:gpio_defaults[3] I *D gpio_control_block -*I *2775:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2785:gpio_defaults[3] 2.92104e-05 -2 *2775:gpio_defaults[3] 2.92104e-05 -3 *2785:gpio_defaults[3] *2785:gpio_defaults[4] 0 -4 *2785:gpio_defaults[2] *2785:gpio_defaults[3] 0 -*RES -1 *2775:gpio_defaults[3] *2785:gpio_defaults[3] 0.830504 -*END - -*D_NET *547 5.84207e-05 -*CONN -*I *2785:gpio_defaults[4] I *D gpio_control_block -*I *2775:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2785:gpio_defaults[4] 2.92104e-05 -2 *2775:gpio_defaults[4] 2.92104e-05 -3 *2785:gpio_defaults[4] *2785:gpio_defaults[5] 0 -4 *2785:gpio_defaults[3] *2785:gpio_defaults[4] 0 -*RES -1 *2775:gpio_defaults[4] *2785:gpio_defaults[4] 0.830504 -*END - -*D_NET *548 5.84207e-05 -*CONN -*I *2785:gpio_defaults[5] I *D gpio_control_block -*I *2775:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2785:gpio_defaults[5] 2.92104e-05 -2 *2775:gpio_defaults[5] 2.92104e-05 -3 *2785:gpio_defaults[5] *2785:gpio_defaults[6] 0 -4 *2785:gpio_defaults[4] *2785:gpio_defaults[5] 0 -*RES -1 *2775:gpio_defaults[5] *2785:gpio_defaults[5] 0.830504 -*END - -*D_NET *549 5.84207e-05 -*CONN -*I *2785:gpio_defaults[6] I *D gpio_control_block -*I *2775:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2785:gpio_defaults[6] 2.92104e-05 -2 *2775:gpio_defaults[6] 2.92104e-05 -3 *2785:gpio_defaults[6] *2785:gpio_defaults[7] 0 -4 *2785:gpio_defaults[5] *2785:gpio_defaults[6] 0 -*RES -1 *2775:gpio_defaults[6] *2785:gpio_defaults[6] 0.830504 -*END - -*D_NET *550 5.84207e-05 -*CONN -*I *2785:gpio_defaults[7] I *D gpio_control_block -*I *2775:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2785:gpio_defaults[7] 2.92104e-05 -2 *2775:gpio_defaults[7] 2.92104e-05 -3 *2785:gpio_defaults[7] *2785:gpio_defaults[8] 0 -4 *2785:gpio_defaults[6] *2785:gpio_defaults[7] 0 -*RES -1 *2775:gpio_defaults[7] *2785:gpio_defaults[7] 0.830504 -*END - -*D_NET *551 5.84207e-05 -*CONN -*I *2785:gpio_defaults[8] I *D gpio_control_block -*I *2775:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2785:gpio_defaults[8] 2.92104e-05 -2 *2775:gpio_defaults[8] 2.92104e-05 -3 *2785:gpio_defaults[8] *2785:gpio_defaults[9] 0 -4 *2785:gpio_defaults[7] *2785:gpio_defaults[8] 0 -*RES -1 *2775:gpio_defaults[8] *2785:gpio_defaults[8] 0.830504 -*END - -*D_NET *552 0.00333056 -*CONN -*I *2798:gpio_defaults[9] I *D gpio_control_block -*I *2759:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2798:gpio_defaults[9] 0.000343457 -2 *2759:gpio_defaults[9] 0.000343457 -3 *2798:gpio_defaults[1] *2798:gpio_defaults[9] 0.00134385 -4 *2798:gpio_defaults[2] *2798:gpio_defaults[9] 0 -5 *2798:gpio_defaults[3] *2798:gpio_defaults[9] 0.0012998 -6 *2798:gpio_defaults[8] *2798:gpio_defaults[9] 0 -*RES -1 *2759:gpio_defaults[9] *2798:gpio_defaults[9] 28.5903 -*END - -*D_NET *553 5.84207e-05 -*CONN -*I *2785:gpio_defaults[9] I *D gpio_control_block -*I *2775:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2785:gpio_defaults[9] 2.92104e-05 -2 *2775:gpio_defaults[9] 2.92104e-05 -3 *2785:gpio_defaults[9] *2785:gpio_defaults[10] 0 -4 *2785:gpio_defaults[8] *2785:gpio_defaults[9] 0 -*RES -1 *2775:gpio_defaults[9] *2785:gpio_defaults[9] 0.830504 -*END - -*D_NET *554 5.84207e-05 -*CONN -*I *2785:gpio_defaults[10] I *D gpio_control_block -*I *2775:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2785:gpio_defaults[10] 2.92104e-05 -2 *2775:gpio_defaults[10] 2.92104e-05 -3 *2785:gpio_defaults[10] *2785:gpio_defaults[11] 0 -4 *2785:gpio_defaults[9] *2785:gpio_defaults[10] 0 -*RES -1 *2775:gpio_defaults[10] *2785:gpio_defaults[10] 0.830504 -*END - -*D_NET *555 5.84207e-05 -*CONN -*I *2785:gpio_defaults[11] I *D gpio_control_block -*I *2775:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2785:gpio_defaults[11] 2.92104e-05 -2 *2775:gpio_defaults[11] 2.92104e-05 -3 *2785:gpio_defaults[11] *2785:gpio_defaults[12] 0 -4 *2785:gpio_defaults[10] *2785:gpio_defaults[11] 0 -*RES -1 *2775:gpio_defaults[11] *2785:gpio_defaults[11] 0.830504 -*END - -*D_NET *556 5.84207e-05 -*CONN -*I *2785:gpio_defaults[12] I *D gpio_control_block -*I *2775:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2785:gpio_defaults[12] 2.92104e-05 -2 *2775:gpio_defaults[12] 2.92104e-05 -3 *2785:gpio_defaults[11] *2785:gpio_defaults[12] 0 -*RES -1 *2775:gpio_defaults[12] *2785:gpio_defaults[12] 0.830504 -*END - -*D_NET *557 0.00440508 -*CONN -*I *2798:gpio_defaults[10] I *D gpio_control_block -*I *2759:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2798:gpio_defaults[10] 0.000354593 -2 *2759:gpio_defaults[10] 0.000354593 -3 *2798:gpio_defaults[10] *2798:gpio_defaults[11] 0.00187071 -4 *2798:gpio_defaults[1] *2798:gpio_defaults[10] 0 -5 *2798:gpio_defaults[2] *2798:gpio_defaults[10] 0.00182519 -6 *2798:gpio_defaults[3] *2798:gpio_defaults[10] 0 -*RES -1 *2759:gpio_defaults[10] *2798:gpio_defaults[10] 34.1363 -*END - -*D_NET *558 0.00181255 -*CONN -*I *2781:gpio_defaults[4] I *D gpio_control_block -*I *2743:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2781:gpio_defaults[4] 0.000446576 -2 *2743:gpio_defaults[4] 0.000446576 -3 *2781:gpio_defaults[4] *2781:gpio_defaults[5] 0.000154145 -4 *2781:gpio_defaults[4] *2781:gpio_defaults[7] 0.00020502 -5 *2781:gpio_defaults[4] *2781:gpio_defaults[8] 0.00031875 -6 *2781:gpio_defaults[10] *2781:gpio_defaults[4] 0 -7 *2781:gpio_defaults[3] *2781:gpio_defaults[4] 0.000241483 -*RES -1 *2743:gpio_defaults[4] *2781:gpio_defaults[4] 22.4853 -*END - -*D_NET *559 0.00551175 -*CONN -*I *2798:gpio_defaults[11] I *D gpio_control_block -*I *2759:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2798:gpio_defaults[11] 0.000529203 -2 *2759:gpio_defaults[11] 0.000529203 -3 *2798:gpio_defaults[11] *2798:gpio_defaults[12] 0.00255691 -4 *2798:gpio_defaults[0] *2798:gpio_defaults[11] 2.57246e-05 -5 *2798:gpio_defaults[10] *2798:gpio_defaults[11] 0.00187071 -6 *2798:gpio_defaults[1] *2798:gpio_defaults[11] 0 -7 *2798:gpio_defaults[2] *2798:gpio_defaults[11] 0 -*RES -1 *2759:gpio_defaults[11] *2798:gpio_defaults[11] 41.6033 -*END - -*D_NET *560 0.00471794 -*CONN -*I *2798:gpio_defaults[12] I *D gpio_control_block -*I *2759:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2798:gpio_defaults[12] 0.00106975 -2 *2759:gpio_defaults[12] 0.00106975 -3 *2798:gpio_defaults[0] *2798:gpio_defaults[12] 2.15266e-05 -4 *2798:gpio_defaults[11] *2798:gpio_defaults[12] 0.00255691 -*RES -1 *2759:gpio_defaults[12] *2798:gpio_defaults[12] 49.3774 -*END - -*D_NET *561 0.00660866 -*CONN -*I *2799:gpio_defaults[0] I *D gpio_control_block -*I *2760:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2799:gpio_defaults[0] 0.000570961 -2 *2760:gpio_defaults[0] 0.000570961 -3 *2799:gpio_defaults[0] *2799:gpio_defaults[11] 0.000260057 -4 *2799:gpio_defaults[0] *2799:gpio_defaults[12] 0.00292175 -5 *2799:gpio_defaults[0] *2799:gpio_defaults[1] 0.00228493 -*RES -1 *2760:gpio_defaults[0] *2799:gpio_defaults[0] 46.7385 -*END - -*D_NET *562 0.00545916 -*CONN -*I *2799:gpio_defaults[1] I *D gpio_control_block -*I *2760:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2799:gpio_defaults[1] 0.0005433 -2 *2760:gpio_defaults[1] 0.0005433 -3 *2799:gpio_defaults[1] *2799:gpio_defaults[10] 0.0018176 -4 *2799:gpio_defaults[1] *2799:gpio_defaults[11] 0.000270029 -5 *2799:gpio_defaults[1] *2799:gpio_defaults[3] 0 -6 *2799:gpio_defaults[0] *2799:gpio_defaults[1] 0.00228493 -*RES -1 *2760:gpio_defaults[1] *2799:gpio_defaults[1] 40.3692 -*END - -*D_NET *563 0.002877 -*CONN -*I *2799:gpio_defaults[2] I *D gpio_control_block -*I *2760:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2799:gpio_defaults[2] 0.000765544 -2 *2760:gpio_defaults[2] 0.000765544 -3 *2799:gpio_defaults[2] *2799:gpio_defaults[10] 0.000108284 -4 *2799:gpio_defaults[2] *2799:gpio_defaults[3] 0.00123763 -5 *2799:gpio_defaults[2] *2799:gpio_defaults[9] 0 -*RES -1 *2760:gpio_defaults[2] *2799:gpio_defaults[2] 34.4079 -*END - -*D_NET *564 0.00272754 -*CONN -*I *2799:gpio_defaults[3] I *D gpio_control_block -*I *2760:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2799:gpio_defaults[3] 0.000440066 -2 *2760:gpio_defaults[3] 0.000440066 -3 *2799:gpio_defaults[3] *2799:gpio_defaults[4] 0.000241483 -4 *2799:gpio_defaults[3] *2799:gpio_defaults[5] 0.000175485 -5 *2799:gpio_defaults[3] *2799:gpio_defaults[8] 1.00937e-05 -6 *2799:gpio_defaults[3] *2799:gpio_defaults[9] 0.000182712 -7 *2799:gpio_defaults[1] *2799:gpio_defaults[3] 0 -8 *2799:gpio_defaults[2] *2799:gpio_defaults[3] 0.00123763 -*RES -1 *2760:gpio_defaults[3] *2799:gpio_defaults[3] 28.4466 -*END - -*D_NET *565 0.00178834 -*CONN -*I *2799:gpio_defaults[4] I *D gpio_control_block -*I *2760:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2799:gpio_defaults[4] 0.000421547 -2 *2760:gpio_defaults[4] 0.000421547 -3 *2799:gpio_defaults[4] *2799:gpio_defaults[5] 0.000167076 -4 *2799:gpio_defaults[4] *2799:gpio_defaults[7] 0.000217937 -5 *2799:gpio_defaults[4] *2799:gpio_defaults[8] 0.00031875 -6 *2799:gpio_defaults[3] *2799:gpio_defaults[4] 0.000241483 -*RES -1 *2760:gpio_defaults[4] *2799:gpio_defaults[4] 22.4853 -*END - -*D_NET *566 0.00121266 -*CONN -*I *2799:gpio_defaults[5] I *D gpio_control_block -*I *2760:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2799:gpio_defaults[5] 0.000358766 -2 *2760:gpio_defaults[5] 0.000358766 -3 *2799:gpio_defaults[5] *2799:gpio_defaults[6] 2.0798e-05 -4 *2799:gpio_defaults[5] *2799:gpio_defaults[7] 0.00013177 -5 *2799:gpio_defaults[3] *2799:gpio_defaults[5] 0.000175485 -6 *2799:gpio_defaults[4] *2799:gpio_defaults[5] 0.000167076 -*RES -1 *2760:gpio_defaults[5] *2799:gpio_defaults[5] 16.9393 -*END - -*D_NET *567 0.000109938 -*CONN -*I *2799:gpio_defaults[6] I *D gpio_control_block -*I *2760:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2799:gpio_defaults[6] 3.59357e-05 -2 *2760:gpio_defaults[6] 3.59357e-05 -3 *2799:gpio_defaults[6] *2799:gpio_defaults[7] 1.7269e-05 -4 *2799:gpio_defaults[5] *2799:gpio_defaults[6] 2.0798e-05 -*RES -1 *2760:gpio_defaults[6] *2799:gpio_defaults[6] 0.830504 -*END - -*D_NET *568 0.0012426 -*CONN -*I *2799:gpio_defaults[7] I *D gpio_control_block -*I *2760:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2799:gpio_defaults[7] 0.000337414 -2 *2760:gpio_defaults[7] 0.000337414 -3 *2799:gpio_defaults[7] *2799:gpio_defaults[8] 0.000200794 -4 *2799:gpio_defaults[4] *2799:gpio_defaults[7] 0.000217937 -5 *2799:gpio_defaults[5] *2799:gpio_defaults[7] 0.00013177 -6 *2799:gpio_defaults[6] *2799:gpio_defaults[7] 1.7269e-05 -*RES -1 *2760:gpio_defaults[7] *2799:gpio_defaults[7] 16.932 -*END - -*D_NET *569 0.00128377 -*CONN -*I *2781:gpio_defaults[5] I *D gpio_control_block -*I *2743:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2781:gpio_defaults[5] 0.000393908 -2 *2743:gpio_defaults[5] 0.000393908 -3 *2781:gpio_defaults[5] *2781:gpio_defaults[6] 2.89375e-05 -4 *2781:gpio_defaults[5] *2781:gpio_defaults[7] 0.000137383 -5 *2781:gpio_defaults[3] *2781:gpio_defaults[5] 0.000175485 -6 *2781:gpio_defaults[4] *2781:gpio_defaults[5] 0.000154145 -*RES -1 *2743:gpio_defaults[5] *2781:gpio_defaults[5] 16.9393 -*END - -*D_NET *570 0.00201293 -*CONN -*I *2799:gpio_defaults[8] I *D gpio_control_block -*I *2760:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2799:gpio_defaults[8] 0.000380049 -2 *2760:gpio_defaults[8] 0.000380049 -3 *2799:gpio_defaults[8] *2799:gpio_defaults[9] 0.000723192 -4 *2799:gpio_defaults[3] *2799:gpio_defaults[8] 1.00937e-05 -5 *2799:gpio_defaults[4] *2799:gpio_defaults[8] 0.00031875 -6 *2799:gpio_defaults[7] *2799:gpio_defaults[8] 0.000200794 -*RES -1 *2760:gpio_defaults[8] *2799:gpio_defaults[8] 22.6415 -*END - -*D_NET *571 0.00305006 -*CONN -*I *2799:gpio_defaults[9] I *D gpio_control_block -*I *2760:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2799:gpio_defaults[9] 0.00045139 -2 *2760:gpio_defaults[9] 0.00045139 -3 *2799:gpio_defaults[9] *2799:gpio_defaults[10] 0.00124138 -4 *2799:gpio_defaults[2] *2799:gpio_defaults[9] 0 -5 *2799:gpio_defaults[3] *2799:gpio_defaults[9] 0.000182712 -6 *2799:gpio_defaults[8] *2799:gpio_defaults[9] 0.000723192 -*RES -1 *2760:gpio_defaults[9] *2799:gpio_defaults[9] 28.5975 -*END - -*D_NET *572 0.00446642 -*CONN -*I *2799:gpio_defaults[10] I *D gpio_control_block -*I *2760:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2799:gpio_defaults[10] 0.000527737 -2 *2760:gpio_defaults[10] 0.000527737 -3 *2799:gpio_defaults[10] *2799:gpio_defaults[11] 0.000243676 -4 *2799:gpio_defaults[1] *2799:gpio_defaults[10] 0.0018176 -5 *2799:gpio_defaults[2] *2799:gpio_defaults[10] 0.000108284 -6 *2799:gpio_defaults[9] *2799:gpio_defaults[10] 0.00124138 -*RES -1 *2760:gpio_defaults[10] *2799:gpio_defaults[10] 34.5535 -*END - -*D_NET *573 0.00483159 -*CONN -*I *2799:gpio_defaults[11] I *D gpio_control_block -*I *2760:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2799:gpio_defaults[11] 0.00190719 -2 *2760:gpio_defaults[11] 0.00190719 -3 *2799:gpio_defaults[11] *2799:gpio_defaults[12] 0.000243449 -4 *2799:gpio_defaults[0] *2799:gpio_defaults[11] 0.000260057 -5 *2799:gpio_defaults[10] *2799:gpio_defaults[11] 0.000243676 -6 *2799:gpio_defaults[1] *2799:gpio_defaults[11] 0.000270029 -*RES -1 *2760:gpio_defaults[11] *2799:gpio_defaults[11] 14.4239 -*END - -*D_NET *574 0.00515427 -*CONN -*I *2799:gpio_defaults[12] I *D gpio_control_block -*I *2760:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2799:gpio_defaults[12] 0.000994533 -2 *2760:gpio_defaults[12] 0.000994533 -3 *2799:gpio_defaults[0] *2799:gpio_defaults[12] 0.00292175 -4 *2799:gpio_defaults[11] *2799:gpio_defaults[12] 0.000243449 -*RES -1 *2760:gpio_defaults[12] *2799:gpio_defaults[12] 49.5453 -*END - -*D_NET *575 0.00468708 -*CONN -*I *2800:gpio_defaults[0] I *D gpio_control_block -*I *2776:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2800:gpio_defaults[0] 0.00101799 -2 *2776:gpio_defaults[0] 0.00101799 -3 *2800:gpio_defaults[0] *2800:gpio_defaults[11] 0.000260057 -4 *2800:gpio_defaults[0] *2800:gpio_defaults[12] 0.000106108 -5 *2800:gpio_defaults[0] *2800:gpio_defaults[1] 0.00228493 -*RES -1 *2776:gpio_defaults[0] *2800:gpio_defaults[0] 47.0582 -*END - -*D_NET *576 0.00531232 -*CONN -*I *2800:gpio_defaults[1] I *D gpio_control_block -*I *2776:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2800:gpio_defaults[1] 0.000560942 -2 *2776:gpio_defaults[1] 0.000560942 -3 *2800:gpio_defaults[1] *2800:gpio_defaults[10] 0.00163548 -4 *2800:gpio_defaults[1] *2800:gpio_defaults[11] 0.000270029 -5 *2800:gpio_defaults[1] *2800:gpio_defaults[12] 0 -6 *2800:gpio_defaults[1] *2800:gpio_defaults[3] 0 -7 *2800:gpio_defaults[0] *2800:gpio_defaults[1] 0.00228493 -*RES -1 *2776:gpio_defaults[1] *2800:gpio_defaults[1] 40.3692 -*END - -*D_NET *577 0.0041394 -*CONN -*I *2800:gpio_defaults[2] I *D gpio_control_block -*I *2776:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2800:gpio_defaults[2] 0.000499496 -2 *2776:gpio_defaults[2] 0.000499496 -3 *2800:gpio_defaults[2] *2800:gpio_defaults[10] 0.000134072 -4 *2800:gpio_defaults[2] *2800:gpio_defaults[12] 0.00176871 -5 *2800:gpio_defaults[2] *2800:gpio_defaults[3] 0.00123763 -6 *2800:gpio_defaults[2] *2800:gpio_defaults[9] 0 -*RES -1 *2776:gpio_defaults[2] *2800:gpio_defaults[2] 34.4079 -*END - -*D_NET *578 0.00276617 -*CONN -*I *2800:gpio_defaults[3] I *D gpio_control_block -*I *2776:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2800:gpio_defaults[3] 0.000459379 -2 *2776:gpio_defaults[3] 0.000459379 -3 *2800:gpio_defaults[3] *2800:gpio_defaults[4] 0.000241483 -4 *2800:gpio_defaults[3] *2800:gpio_defaults[5] 0.000175485 -5 *2800:gpio_defaults[3] *2800:gpio_defaults[8] 1.00937e-05 -6 *2800:gpio_defaults[3] *2800:gpio_defaults[9] 0.000182712 -7 *2800:gpio_defaults[1] *2800:gpio_defaults[3] 0 -8 *2800:gpio_defaults[2] *2800:gpio_defaults[3] 0.00123763 -*RES -1 *2776:gpio_defaults[3] *2800:gpio_defaults[3] 28.4466 -*END - -*D_NET *579 0.00180666 -*CONN -*I *2800:gpio_defaults[4] I *D gpio_control_block -*I *2776:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2800:gpio_defaults[4] 0.000430708 -2 *2776:gpio_defaults[4] 0.000430708 -3 *2800:gpio_defaults[4] *2800:gpio_defaults[5] 0.000167076 -4 *2800:gpio_defaults[4] *2800:gpio_defaults[7] 0.000217937 -5 *2800:gpio_defaults[4] *2800:gpio_defaults[8] 0.00031875 -6 *2800:gpio_defaults[3] *2800:gpio_defaults[4] 0.000241483 -*RES -1 *2776:gpio_defaults[4] *2800:gpio_defaults[4] 22.4853 -*END - -*D_NET *580 9.47632e-05 -*CONN -*I *2781:gpio_defaults[6] I *D gpio_control_block -*I *2743:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2781:gpio_defaults[6] 3.13589e-05 -2 *2743:gpio_defaults[6] 3.13589e-05 -3 *2781:gpio_defaults[6] *2781:gpio_defaults[7] 3.10798e-06 -4 *2781:gpio_defaults[5] *2781:gpio_defaults[6] 2.89375e-05 -*RES -1 *2743:gpio_defaults[6] *2781:gpio_defaults[6] 0.830504 -*END - -*D_NET *581 0.00126339 -*CONN -*I *2800:gpio_defaults[5] I *D gpio_control_block -*I *2776:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2800:gpio_defaults[5] 0.000384129 -2 *2776:gpio_defaults[5] 0.000384129 -3 *2800:gpio_defaults[5] *2800:gpio_defaults[6] 2.0798e-05 -4 *2800:gpio_defaults[5] *2800:gpio_defaults[7] 0.00013177 -5 *2800:gpio_defaults[3] *2800:gpio_defaults[5] 0.000175485 -6 *2800:gpio_defaults[4] *2800:gpio_defaults[5] 0.000167076 -*RES -1 *2776:gpio_defaults[5] *2800:gpio_defaults[5] 16.9393 -*END - -*D_NET *582 0.000109938 -*CONN -*I *2800:gpio_defaults[6] I *D gpio_control_block -*I *2776:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2800:gpio_defaults[6] 3.59357e-05 -2 *2776:gpio_defaults[6] 3.59357e-05 -3 *2800:gpio_defaults[6] *2800:gpio_defaults[7] 1.7269e-05 -4 *2800:gpio_defaults[5] *2800:gpio_defaults[6] 2.0798e-05 -*RES -1 *2776:gpio_defaults[6] *2800:gpio_defaults[6] 0.830504 -*END - -*D_NET *583 0.00122886 -*CONN -*I *2800:gpio_defaults[7] I *D gpio_control_block -*I *2776:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2800:gpio_defaults[7] 0.000330547 -2 *2776:gpio_defaults[7] 0.000330547 -3 *2800:gpio_defaults[7] *2800:gpio_defaults[8] 0.000200794 -4 *2800:gpio_defaults[4] *2800:gpio_defaults[7] 0.000217937 -5 *2800:gpio_defaults[5] *2800:gpio_defaults[7] 0.00013177 -6 *2800:gpio_defaults[6] *2800:gpio_defaults[7] 1.7269e-05 -*RES -1 *2776:gpio_defaults[7] *2800:gpio_defaults[7] 16.932 -*END - -*D_NET *584 0.00202399 -*CONN -*I *2800:gpio_defaults[8] I *D gpio_control_block -*I *2776:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2800:gpio_defaults[8] 0.00038558 -2 *2776:gpio_defaults[8] 0.00038558 -3 *2800:gpio_defaults[8] *2800:gpio_defaults[9] 0.000723192 -4 *2800:gpio_defaults[3] *2800:gpio_defaults[8] 1.00937e-05 -5 *2800:gpio_defaults[4] *2800:gpio_defaults[8] 0.00031875 -6 *2800:gpio_defaults[7] *2800:gpio_defaults[8] 0.000200794 -*RES -1 *2776:gpio_defaults[8] *2800:gpio_defaults[8] 22.6415 -*END - -*D_NET *585 0.00309964 -*CONN -*I *2800:gpio_defaults[9] I *D gpio_control_block -*I *2776:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2800:gpio_defaults[9] 0.000440935 -2 *2776:gpio_defaults[9] 0.000440935 -3 *2800:gpio_defaults[9] *2800:gpio_defaults[10] 0.00131187 -4 *2800:gpio_defaults[2] *2800:gpio_defaults[9] 0 -5 *2800:gpio_defaults[3] *2800:gpio_defaults[9] 0.000182712 -6 *2800:gpio_defaults[8] *2800:gpio_defaults[9] 0.000723192 -*RES -1 *2776:gpio_defaults[9] *2800:gpio_defaults[9] 28.5975 -*END - -*D_NET *586 0.00429699 -*CONN -*I *2800:gpio_defaults[10] I *D gpio_control_block -*I *2776:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2800:gpio_defaults[10] 0.00049862 -2 *2776:gpio_defaults[10] 0.00049862 -3 *2800:gpio_defaults[10] *2800:gpio_defaults[11] 0.00021471 -4 *2800:gpio_defaults[10] *2800:gpio_defaults[12] 3.62662e-06 -5 *2800:gpio_defaults[1] *2800:gpio_defaults[10] 0.00163548 -6 *2800:gpio_defaults[2] *2800:gpio_defaults[10] 0.000134072 -7 *2800:gpio_defaults[9] *2800:gpio_defaults[10] 0.00131187 -*RES -1 *2776:gpio_defaults[10] *2800:gpio_defaults[10] 34.7223 -*END - -*D_NET *587 0.00455997 -*CONN -*I *2800:gpio_defaults[11] I *D gpio_control_block -*I *2776:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2800:gpio_defaults[11] 0.00190759 -2 *2776:gpio_defaults[11] 0.00190759 -3 *2800:gpio_defaults[0] *2800:gpio_defaults[11] 0.000260057 -4 *2800:gpio_defaults[10] *2800:gpio_defaults[11] 0.00021471 -5 *2800:gpio_defaults[1] *2800:gpio_defaults[11] 0.000270029 -*RES -1 *2776:gpio_defaults[11] *2800:gpio_defaults[11] 14.4239 -*END - -*D_NET *588 0.00398571 -*CONN -*I *2800:gpio_defaults[12] I *D gpio_control_block -*I *2776:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2800:gpio_defaults[12] 0.00105363 -2 *2776:gpio_defaults[12] 0.00105363 -3 *2800:gpio_defaults[0] *2800:gpio_defaults[12] 0.000106108 -4 *2800:gpio_defaults[10] *2800:gpio_defaults[12] 3.62662e-06 -5 *2800:gpio_defaults[1] *2800:gpio_defaults[12] 0 -6 *2800:gpio_defaults[2] *2800:gpio_defaults[12] 0.00176871 -*RES -1 *2776:gpio_defaults[12] *2800:gpio_defaults[12] 46.803 -*END - -*D_NET *589 0.00474908 -*CONN -*I *2801:gpio_defaults[0] I *D gpio_control_block -*I *2777:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2801:gpio_defaults[0] 0.0020719 -2 *2777:gpio_defaults[0] 0.0020719 -3 *2801:gpio_defaults[0] *2801:gpio_defaults[11] 2.57246e-05 -4 *2801:gpio_defaults[0] *2801:gpio_defaults[12] 2.15266e-05 -5 *2801:gpio_defaults[0] *2801:gpio_defaults[1] 0.000405598 -6 *2801:gpio_defaults[0] *2801:gpio_defaults[9] 0.000152428 -*RES -1 *2777:gpio_defaults[0] *2801:gpio_defaults[0] 14.4906 -*END - -*D_NET *590 0.00366561 -*CONN -*I *2801:gpio_defaults[1] I *D gpio_control_block -*I *2777:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2801:gpio_defaults[1] 0.000958081 -2 *2777:gpio_defaults[1] 0.000958081 -3 *2801:gpio_defaults[1] *2801:gpio_defaults[10] 0 -4 *2801:gpio_defaults[1] *2801:gpio_defaults[11] 0 -5 *2801:gpio_defaults[1] *2801:gpio_defaults[2] 0 -6 *2801:gpio_defaults[1] *2801:gpio_defaults[3] 0 -7 *2801:gpio_defaults[1] *2801:gpio_defaults[9] 0.00134385 -8 *2801:gpio_defaults[0] *2801:gpio_defaults[1] 0.000405598 -*RES -1 *2777:gpio_defaults[1] *2801:gpio_defaults[1] 39.9539 -*END - -*D_NET *591 0.00121674 -*CONN -*I *2781:gpio_defaults[7] I *D gpio_control_block -*I *2743:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2781:gpio_defaults[7] 0.000328751 -2 *2743:gpio_defaults[7] 0.000328751 -3 *2781:gpio_defaults[7] *2781:gpio_defaults[8] 0.000213725 -4 *2781:gpio_defaults[4] *2781:gpio_defaults[7] 0.00020502 -5 *2781:gpio_defaults[5] *2781:gpio_defaults[7] 0.000137383 -6 *2781:gpio_defaults[6] *2781:gpio_defaults[7] 3.10798e-06 -*RES -1 *2743:gpio_defaults[7] *2781:gpio_defaults[7] 16.932 -*END - -*D_NET *592 0.00369449 -*CONN -*I *2801:gpio_defaults[2] I *D gpio_control_block -*I *2777:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2801:gpio_defaults[2] 0.000542046 -2 *2777:gpio_defaults[2] 0.000542046 -3 *2801:gpio_defaults[2] *2801:gpio_defaults[10] 0.00182519 -4 *2801:gpio_defaults[2] *2801:gpio_defaults[11] 0 -5 *2801:gpio_defaults[2] *2801:gpio_defaults[3] 2.9752e-05 -6 *2801:gpio_defaults[2] *2801:gpio_defaults[8] 0.000755455 -7 *2801:gpio_defaults[2] *2801:gpio_defaults[9] 0 -8 *2801:gpio_defaults[1] *2801:gpio_defaults[2] 0 -*RES -1 *2777:gpio_defaults[2] *2801:gpio_defaults[2] 33.5774 -*END - -*D_NET *593 0.0029636 -*CONN -*I *2801:gpio_defaults[3] I *D gpio_control_block -*I *2777:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2801:gpio_defaults[3] 0.000458697 -2 *2777:gpio_defaults[3] 0.000458697 -3 *2801:gpio_defaults[3] *2801:gpio_defaults[10] 0 -4 *2801:gpio_defaults[3] *2801:gpio_defaults[4] 0.000706559 -5 *2801:gpio_defaults[3] *2801:gpio_defaults[8] 1.00937e-05 -6 *2801:gpio_defaults[3] *2801:gpio_defaults[9] 0.0012998 -7 *2801:gpio_defaults[1] *2801:gpio_defaults[3] 0 -8 *2801:gpio_defaults[2] *2801:gpio_defaults[3] 2.9752e-05 -*RES -1 *2777:gpio_defaults[3] *2801:gpio_defaults[3] 28.0313 -*END - -*D_NET *594 0.0019728 -*CONN -*I *2801:gpio_defaults[4] I *D gpio_control_block -*I *2777:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2801:gpio_defaults[4] 0.000386249 -2 *2777:gpio_defaults[4] 0.000386249 -3 *2801:gpio_defaults[4] *2801:gpio_defaults[5] 0.000175485 -4 *2801:gpio_defaults[4] *2801:gpio_defaults[7] 4.82966e-05 -5 *2801:gpio_defaults[4] *2801:gpio_defaults[8] 0.000269963 -6 *2801:gpio_defaults[3] *2801:gpio_defaults[4] 0.000706559 -*RES -1 *2777:gpio_defaults[4] *2801:gpio_defaults[4] 22.07 -*END - -*D_NET *595 0.00124629 -*CONN -*I *2801:gpio_defaults[5] I *D gpio_control_block -*I *2777:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2801:gpio_defaults[5] 0.000380639 -2 *2777:gpio_defaults[5] 0.000380639 -3 *2801:gpio_defaults[5] *2801:gpio_defaults[6] 2.44253e-05 -4 *2801:gpio_defaults[5] *2801:gpio_defaults[7] 0.000285103 -5 *2801:gpio_defaults[4] *2801:gpio_defaults[5] 0.000175485 -*RES -1 *2777:gpio_defaults[5] *2801:gpio_defaults[5] 16.1087 -*END - -*D_NET *596 0.000104205 -*CONN -*I *2801:gpio_defaults[6] I *D gpio_control_block -*I *2777:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2801:gpio_defaults[6] 3.6932e-05 -2 *2777:gpio_defaults[6] 3.6932e-05 -3 *2801:gpio_defaults[6] *2801:gpio_defaults[7] 5.91599e-06 -4 *2801:gpio_defaults[5] *2801:gpio_defaults[6] 2.44253e-05 -*RES -1 *2777:gpio_defaults[6] *2801:gpio_defaults[6] 0.830504 -*END - -*D_NET *597 0.00115455 -*CONN -*I *2801:gpio_defaults[7] I *D gpio_control_block -*I *2777:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2801:gpio_defaults[7] 0.000278551 -2 *2777:gpio_defaults[7] 0.000278551 -3 *2801:gpio_defaults[7] *2801:gpio_defaults[8] 0.000258128 -4 *2801:gpio_defaults[4] *2801:gpio_defaults[7] 4.82966e-05 -5 *2801:gpio_defaults[5] *2801:gpio_defaults[7] 0.000285103 -6 *2801:gpio_defaults[6] *2801:gpio_defaults[7] 5.91599e-06 -*RES -1 *2777:gpio_defaults[7] *2801:gpio_defaults[7] 16.2578 -*END - -*D_NET *598 0.001989 -*CONN -*I *2801:gpio_defaults[8] I *D gpio_control_block -*I *2777:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2801:gpio_defaults[8] 0.00034768 -2 *2777:gpio_defaults[8] 0.00034768 -3 *2801:gpio_defaults[8] *2801:gpio_defaults[9] 0 -4 *2801:gpio_defaults[2] *2801:gpio_defaults[8] 0.000755455 -5 *2801:gpio_defaults[3] *2801:gpio_defaults[8] 1.00937e-05 -6 *2801:gpio_defaults[4] *2801:gpio_defaults[8] 0.000269963 -7 *2801:gpio_defaults[7] *2801:gpio_defaults[8] 0.000258128 -*RES -1 *2777:gpio_defaults[8] *2801:gpio_defaults[8] 22.221 -*END - -*D_NET *599 0.0035009 -*CONN -*I *2801:gpio_defaults[9] I *D gpio_control_block -*I *2777:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2801:gpio_defaults[9] 0.000352414 -2 *2777:gpio_defaults[9] 0.000352414 -3 *2801:gpio_defaults[0] *2801:gpio_defaults[9] 0.000152428 -4 *2801:gpio_defaults[1] *2801:gpio_defaults[9] 0.00134385 -5 *2801:gpio_defaults[2] *2801:gpio_defaults[9] 0 -6 *2801:gpio_defaults[3] *2801:gpio_defaults[9] 0.0012998 -7 *2801:gpio_defaults[8] *2801:gpio_defaults[9] 0 -*RES -1 *2777:gpio_defaults[9] *2801:gpio_defaults[9] 28.5903 -*END - -*D_NET *600 0.00440508 -*CONN -*I *2801:gpio_defaults[10] I *D gpio_control_block -*I *2777:gpio_defaults[10] O *D gpio_defaults_block -*CAP -1 *2801:gpio_defaults[10] 0.000354593 -2 *2777:gpio_defaults[10] 0.000354593 -3 *2801:gpio_defaults[10] *2801:gpio_defaults[11] 0.00187071 -4 *2801:gpio_defaults[1] *2801:gpio_defaults[10] 0 -5 *2801:gpio_defaults[2] *2801:gpio_defaults[10] 0.00182519 -6 *2801:gpio_defaults[3] *2801:gpio_defaults[10] 0 -*RES -1 *2777:gpio_defaults[10] *2801:gpio_defaults[10] 34.1363 -*END - -*D_NET *601 0.00551175 -*CONN -*I *2801:gpio_defaults[11] I *D gpio_control_block -*I *2777:gpio_defaults[11] O *D gpio_defaults_block -*CAP -1 *2801:gpio_defaults[11] 0.000529203 -2 *2777:gpio_defaults[11] 0.000529203 -3 *2801:gpio_defaults[11] *2801:gpio_defaults[12] 0.00255691 -4 *2801:gpio_defaults[0] *2801:gpio_defaults[11] 2.57246e-05 -5 *2801:gpio_defaults[10] *2801:gpio_defaults[11] 0.00187071 -6 *2801:gpio_defaults[1] *2801:gpio_defaults[11] 0 -7 *2801:gpio_defaults[2] *2801:gpio_defaults[11] 0 -*RES -1 *2777:gpio_defaults[11] *2801:gpio_defaults[11] 41.6033 -*END - -*D_NET *602 0.00200789 -*CONN -*I *2781:gpio_defaults[8] I *D gpio_control_block -*I *2743:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2781:gpio_defaults[8] 0.0003646 -2 *2743:gpio_defaults[8] 0.0003646 -3 *2781:gpio_defaults[8] *2781:gpio_defaults[9] 0.000736122 -4 *2781:gpio_defaults[3] *2781:gpio_defaults[8] 1.00937e-05 -5 *2781:gpio_defaults[4] *2781:gpio_defaults[8] 0.00031875 -6 *2781:gpio_defaults[7] *2781:gpio_defaults[8] 0.000213725 -*RES -1 *2743:gpio_defaults[8] *2781:gpio_defaults[8] 22.6415 -*END - -*D_NET *603 0.00471794 -*CONN -*I *2801:gpio_defaults[12] I *D gpio_control_block -*I *2777:gpio_defaults[12] O *D gpio_defaults_block -*CAP -1 *2801:gpio_defaults[12] 0.00106975 -2 *2777:gpio_defaults[12] 0.00106975 -3 *2801:gpio_defaults[0] *2801:gpio_defaults[12] 2.15266e-05 -4 *2801:gpio_defaults[11] *2801:gpio_defaults[12] 0.00255691 -*RES -1 *2777:gpio_defaults[12] *2801:gpio_defaults[12] 49.3774 -*END - -*D_NET *604 0.00559052 -*CONN -*I *2802:gpio_defaults[0] I *D gpio_control_block -*I *2778:gpio_defaults[0] O *D gpio_defaults_block -*CAP -1 *2802:gpio_defaults[0] 0.00226683 -2 *2778:gpio_defaults[0] 0.00226683 -3 *2802:gpio_defaults[0] *2802:gpio_defaults[1] 0.000242578 -4 *2802:gpio_defaults[0] *2802:gpio_defaults[2] 0.000184212 -5 *2802:gpio_defaults[10] *2802:gpio_defaults[0] 0.000146471 -6 *2802:gpio_defaults[11] *2802:gpio_defaults[0] 0.000253101 -7 *2802:gpio_defaults[12] *2802:gpio_defaults[0] 0.000230493 -*RES -1 *2778:gpio_defaults[0] *2802:gpio_defaults[0] 15.4372 -*END - -*D_NET *605 0.00416382 -*CONN -*I *2802:gpio_defaults[1] I *D gpio_control_block -*I *2778:gpio_defaults[1] O *D gpio_defaults_block -*CAP -1 *2802:gpio_defaults[1] 0.000814937 -2 *2778:gpio_defaults[1] 0.000814937 -3 *2802:gpio_defaults[0] *2802:gpio_defaults[1] 0.000242578 -4 *2802:gpio_defaults[10] *2802:gpio_defaults[1] 0 -5 *2802:gpio_defaults[11] *2802:gpio_defaults[1] 0.00229137 -6 *2802:gpio_defaults[12] *2802:gpio_defaults[1] 0 -*RES -1 *2778:gpio_defaults[1] *2802:gpio_defaults[1] 40.4579 -*END - -*D_NET *606 0.00465408 -*CONN -*I *2802:gpio_defaults[2] I *D gpio_control_block -*I *2778:gpio_defaults[2] O *D gpio_defaults_block -*CAP -1 *2802:gpio_defaults[2] 0.000431695 -2 *2778:gpio_defaults[2] 0.000431695 -3 *2802:gpio_defaults[2] *2802:gpio_defaults[3] 0 -4 *2802:gpio_defaults[0] *2802:gpio_defaults[2] 0.000184212 -5 *2802:gpio_defaults[10] *2802:gpio_defaults[2] 0.00178466 -6 *2802:gpio_defaults[11] *2802:gpio_defaults[2] 0 -7 *2802:gpio_defaults[12] *2802:gpio_defaults[2] 0.00182182 -8 *2802:gpio_defaults[9] *2802:gpio_defaults[2] 0 -*RES -1 *2778:gpio_defaults[2] *2802:gpio_defaults[2] 34.7609 -*END - -*D_NET *607 0.00276024 -*CONN -*I *2802:gpio_defaults[3] I *D gpio_control_block -*I *2778:gpio_defaults[3] O *D gpio_defaults_block -*CAP -1 *2802:gpio_defaults[3] 0.000434419 -2 *2778:gpio_defaults[3] 0.000434419 -3 *2802:gpio_defaults[3] *2802:gpio_defaults[4] 0.000241483 -4 *2802:gpio_defaults[3] *2802:gpio_defaults[5] 0.000175485 -5 *2802:gpio_defaults[3] *2802:gpio_defaults[8] 1.00937e-05 -6 *2802:gpio_defaults[10] *2802:gpio_defaults[3] 0.00129074 -7 *2802:gpio_defaults[11] *2802:gpio_defaults[3] 0 -8 *2802:gpio_defaults[2] *2802:gpio_defaults[3] 0 -9 *2802:gpio_defaults[9] *2802:gpio_defaults[3] 0.000173601 -*RES -1 *2778:gpio_defaults[3] *2802:gpio_defaults[3] 28.5425 -*END - -*D_NET *608 0.00181266 -*CONN -*I *2802:gpio_defaults[4] I *D gpio_control_block -*I *2778:gpio_defaults[4] O *D gpio_defaults_block -*CAP -1 *2802:gpio_defaults[4] 0.000437049 -2 *2778:gpio_defaults[4] 0.000437049 -3 *2802:gpio_defaults[4] *2802:gpio_defaults[5] 0.000167076 -4 *2802:gpio_defaults[4] *2802:gpio_defaults[7] 0.000217937 -5 *2802:gpio_defaults[4] *2802:gpio_defaults[8] 0.000312066 -6 *2802:gpio_defaults[10] *2802:gpio_defaults[4] 0 -7 *2802:gpio_defaults[3] *2802:gpio_defaults[4] 0.000241483 -8 *2802:gpio_defaults[9] *2802:gpio_defaults[4] 0 -*RES -1 *2778:gpio_defaults[4] *2802:gpio_defaults[4] 22.4303 -*END - -*D_NET *609 0.00125764 -*CONN -*I *2802:gpio_defaults[5] I *D gpio_control_block -*I *2778:gpio_defaults[5] O *D gpio_defaults_block -*CAP -1 *2802:gpio_defaults[5] 0.000384835 -2 *2778:gpio_defaults[5] 0.000384835 -3 *2802:gpio_defaults[5] *2802:gpio_defaults[6] 2.08075e-05 -4 *2802:gpio_defaults[5] *2802:gpio_defaults[7] 0.000124597 -5 *2802:gpio_defaults[3] *2802:gpio_defaults[5] 0.000175485 -6 *2802:gpio_defaults[4] *2802:gpio_defaults[5] 0.000167076 -*RES -1 *2778:gpio_defaults[5] *2802:gpio_defaults[5] 16.8843 -*END - -*D_NET *610 0.000110382 -*CONN -*I *2802:gpio_defaults[6] I *D gpio_control_block -*I *2778:gpio_defaults[6] O *D gpio_defaults_block -*CAP -1 *2802:gpio_defaults[6] 3.56757e-05 -2 *2778:gpio_defaults[6] 3.56757e-05 -3 *2802:gpio_defaults[6] *2802:gpio_defaults[7] 1.82233e-05 -4 *2802:gpio_defaults[5] *2802:gpio_defaults[6] 2.08075e-05 -*RES -1 *2778:gpio_defaults[6] *2802:gpio_defaults[6] 0.830504 -*END - -*D_NET *611 0.00122885 -*CONN -*I *2802:gpio_defaults[7] I *D gpio_control_block -*I *2778:gpio_defaults[7] O *D gpio_defaults_block -*CAP -1 *2802:gpio_defaults[7] 0.000333648 -2 *2778:gpio_defaults[7] 0.000333648 -3 *2802:gpio_defaults[7] *2802:gpio_defaults[8] 0.000200794 -4 *2802:gpio_defaults[4] *2802:gpio_defaults[7] 0.000217937 -5 *2802:gpio_defaults[5] *2802:gpio_defaults[7] 0.000124597 -6 *2802:gpio_defaults[6] *2802:gpio_defaults[7] 1.82233e-05 -*RES -1 *2778:gpio_defaults[7] *2802:gpio_defaults[7] 16.932 -*END - -*D_NET *612 0.00202024 -*CONN -*I *2802:gpio_defaults[8] I *D gpio_control_block -*I *2778:gpio_defaults[8] O *D gpio_defaults_block -*CAP -1 *2802:gpio_defaults[8] 0.000387049 -2 *2778:gpio_defaults[8] 0.000387049 -3 *2802:gpio_defaults[3] *2802:gpio_defaults[8] 1.00937e-05 -4 *2802:gpio_defaults[4] *2802:gpio_defaults[8] 0.000312066 -5 *2802:gpio_defaults[7] *2802:gpio_defaults[8] 0.000200794 -6 *2802:gpio_defaults[9] *2802:gpio_defaults[8] 0.000723192 -*RES -1 *2778:gpio_defaults[8] *2802:gpio_defaults[8] 22.6415 -*END - -*D_NET *613 0.00305205 -*CONN -*I *2781:gpio_defaults[9] I *D gpio_control_block -*I *2743:gpio_defaults[9] O *D gpio_defaults_block -*CAP -1 *2781:gpio_defaults[9] 0.000439453 -2 *2743:gpio_defaults[9] 0.000439453 -3 *2781:gpio_defaults[10] *2781:gpio_defaults[9] 0 -4 *2781:gpio_defaults[11] *2781:gpio_defaults[9] 0.00125431 -5 *2781:gpio_defaults[2] *2781:gpio_defaults[9] 0 -6 *2781:gpio_defaults[3] *2781:gpio_defaults[9] 0.000182712 -7 *2781:gpio_defaults[8] *2781:gpio_defaults[9] 0.000736122 -*RES -1 *2743:gpio_defaults[9] *2781:gpio_defaults[9] 28.5975 -*END - -*D_NET *614 0.370902 -*CONN -*I *2826:gpio_in_pad I *D mgmt_core_wrapper -*I *2822:gpio_in_core O *D chip_io -*CAP -1 *2826:gpio_in_pad 0.00160824 -2 *2822:gpio_in_core 0.000970544 -3 *614:22 0.0470645 -4 *614:21 0.0454562 -5 *614:19 0.00142141 -6 *614:16 0.00651015 -7 *614:15 0.00605929 -8 *614:15 *637:34 0.00048572 -9 *67:29 *614:22 0.00541073 -10 *72:16 *614:22 0.13998 -11 *79:14 *614:22 0.0945261 -12 *89:71 *614:16 0.00287977 -13 *89:72 *614:16 0.017325 -14 *89:77 *614:19 0.00120528 -*RES -1 *2822:gpio_in_core *614:15 40.4976 -2 *614:15 *614:16 231.438 -3 *614:16 *614:19 47.8572 -4 *614:19 *614:21 4.5 -5 *614:21 *614:22 2354.46 -6 *614:22 *2826:gpio_in_pad 49.7014 -*END - -*D_NET *615 0.233389 -*CONN -*I *2822:gpio_inenb_core I *D chip_io -*I *2826:gpio_inenb_pad O *D mgmt_core_wrapper -*CAP -1 *2822:gpio_inenb_core 6.33595e-05 -2 *2826:gpio_inenb_pad 0.00103866 -3 *615:16 0.0018137 -4 *615:10 0.0450699 -5 *615:9 0.0443582 -6 *615:10 *636:10 0.136757 -7 *615:10 *638:10 2.20702e-05 -8 *615:16 *636:22 0.000722624 -9 *66:28 *615:10 0.00112301 -10 *84:28 *615:16 0.00210142 -11 *89:60 *615:16 0.000318594 -*RES -1 *2826:gpio_inenb_pad *615:9 33.5066 -2 *615:9 *615:10 1823.15 -3 *615:10 *615:16 48.6859 -4 *615:16 *2822:gpio_inenb_core 4.5779 -*END - -*D_NET *616 1.04672 -*CONN -*I *2782:serial_load I *D gpio_control_block -*I *2781:serial_load_out O *D gpio_control_block -*I *2810:serial_load I *D gpio_control_block -*I *2803:serial_load_out O *D gpio_control_block -*CAP -1 *2782:serial_load 0.000207171 -2 *2781:serial_load_out 0.000691556 -3 *2810:serial_load 9.98934e-05 -4 *2803:serial_load_out 0.000416142 -5 *616:56 0.00301993 -6 *616:55 0.00232837 -7 *616:53 0.000943074 -8 *616:49 0.00182077 -9 *616:44 0.0765446 -10 *616:43 0.0754598 -11 *616:41 0.0312442 -12 *616:40 0.0312442 -13 *616:38 0.00118954 -14 *616:37 0.00154434 -15 *616:23 0.00200633 -16 *616:22 0.00190644 -17 *616:20 0.000428751 -18 *616:19 0.000428751 -19 *616:17 0.0041895 -20 *616:16 0.00496044 -21 *2781:serial_load_out *2781:serial_load 9.52305e-06 -22 *2781:serial_load_out *635:38 0 -23 *2781:serial_load_out *660:15 0 -24 *2781:serial_load_out *2619:15 1.75765e-05 -25 *2781:serial_load_out *2657:19 0.000106243 -26 *2781:serial_load_out *2695:19 0 -27 *2782:serial_load *2782:serial_load_out 4.31122e-05 -28 *2782:serial_load *2782:user_gpio_out 3.93117e-06 -29 *2782:serial_load *669:13 6.47508e-05 -30 *2782:serial_load *2630:13 1.00846e-05 -31 *2782:serial_load *2668:19 0.00152628 -32 *2782:serial_load *2706:17 0.0014081 -33 *616:16 *640:13 0.000317679 -34 *616:16 *2344:13 0.000266832 -35 *616:16 *2458:16 0.00117561 -36 *616:17 *640:68 2.41483e-05 -37 *616:17 *1715:26 0.000188614 -38 *616:17 *2136:11 0.00384779 -39 *616:20 *640:71 0.0033072 -40 *616:23 *2810:user_gpio_oeb 0.000388522 -41 *616:23 *626:19 0.000972097 -42 *616:23 *640:74 0.00349464 -43 *616:37 *2803:user_gpio_out 0.00012601 -44 *616:41 *625:17 0.0301721 -45 *616:41 *635:17 4.33819e-05 -46 *616:41 *640:19 0.000183679 -47 *616:41 *665:19 0.0491572 -48 *616:41 *2591:14 0 -49 *616:44 *640:22 0.00037434 -50 *616:44 *2537:12 1.29348e-05 -51 *616:44 *2694:14 0.0496311 -52 *616:44 *2706:14 0.0600062 -53 *616:44 *2727:14 0.0224909 -54 *616:53 *1708:20 0 -55 *616:53 *2619:16 0 -56 *616:53 *2630:14 0 -57 *616:53 *2668:19 0.00109865 -58 *616:53 *2706:17 0.00110284 -59 *616:56 *660:16 0.0167141 -60 *616:56 *1727:20 0 -61 *616:56 *1737:20 0.0168018 -62 *100:14 *616:16 0.00123295 -63 *100:17 *616:17 0.0215294 -64 *100:17 *616:37 4.88955e-05 -65 *100:20 *616:20 0.00330362 -66 *100:23 *616:23 7.92757e-06 -67 *100:37 *616:37 0.00132899 -68 *100:38 *616:38 0.00323215 -69 *100:44 *616:44 0.308006 -70 *110:13 *616:23 0.00165828 -71 *119:17 *616:41 0.200615 -*RES -1 *2803:serial_load_out *616:16 40.9265 -2 *616:16 *616:17 226.447 -3 *616:17 *616:19 4.5 -4 *616:19 *616:20 52.908 -5 *616:20 *616:22 4.5 -6 *616:22 *616:23 92.233 -7 *616:23 *2810:serial_load 8.38708 -8 *616:16 *616:37 19.6431 -9 *616:37 *616:38 52.4928 -10 *616:38 *616:40 4.5 -11 *616:40 *616:41 2106 -12 *616:41 *616:43 4.5 -13 *616:43 *616:44 4935.86 -14 *616:44 *616:49 35.7898 -15 *616:49 *616:53 31.9901 -16 *616:53 *616:55 4.5 -17 *616:55 *616:56 272.576 -18 *616:56 *2781:serial_load_out 30.8897 -19 *616:53 *2782:serial_load 25.2705 -*END - -*D_NET *617 1.16789 -*CONN -*I *2805:serial_load I *D gpio_control_block -*I *2790:serial_load I *D gpio_control_block -*I *2789:serial_load_out O *D gpio_control_block -*I *2804:serial_load_out O *D gpio_control_block -*CAP -1 *2805:serial_load 0.000897903 -2 *2790:serial_load 0.000965917 -3 *2789:serial_load_out 8.27562e-05 -4 *2804:serial_load_out 0.000308051 -5 *617:70 0.00615048 -6 *617:69 0.00536046 -7 *617:44 0.002085 -8 *617:43 0.00347918 -9 *617:38 0.00580971 -10 *617:37 0.00433277 -11 *617:35 0.00106598 -12 *617:32 0.0414254 -13 *617:31 0.0413254 -14 *617:29 0.0763459 -15 *617:28 0.0763459 -16 *617:26 0.00130015 -17 *617:25 0.00130015 -18 *617:23 0.00273166 -19 *617:22 0.00273166 -20 *617:20 0.00225186 -21 *617:19 0.00237489 -22 *617:14 0.0215635 -23 *617:13 0.0214404 -24 *617:11 0.000415935 -25 *2790:serial_load *2790:serial_load_out 4.54889e-05 -26 *2790:serial_load *2298:8 0 -27 *2805:serial_load *2805:user_gpio_out 1.66626e-05 -28 *2805:serial_load *618:5 2.12195e-05 -29 *2805:serial_load *680:7 9.52305e-06 -30 *2805:serial_load *2642:9 4.97448e-06 -31 *2805:serial_load *2718:17 6.82095e-05 -32 *617:11 *2804:serial_load 4.68456e-05 -33 *617:11 *2804:user_gpio_oeb 0 -34 *617:11 *679:9 2.9304e-05 -35 *617:11 *2640:12 4.31243e-06 -36 *617:14 *2822:mprj_io_analog_pol[26] 0 -37 *617:14 *2822:mprj_io_analog_sel[26] 0 -38 *617:14 *2822:mprj_io_dm[78] 0 -39 *617:14 *2822:mprj_io_dm[80] 0 -40 *617:14 *2822:mprj_io_holdover[26] 0 -41 *617:14 *2822:mprj_io_ib_mode_sel[26] 0 -42 *617:14 *2822:mprj_io_inp_dis[26] 0 -43 *617:14 *2822:mprj_io_oeb[26] 0 -44 *617:14 *2822:mprj_io_out[26] 0 -45 *617:14 *2822:mprj_io_slow_sel[26] 0 -46 *617:14 *2822:mprj_io_vtrip_sel[26] 0 -47 *617:14 *2822:mprj_io_oeb[27] 0 -48 *617:14 *2822:mprj_io_ib_mode_sel[28] 0.000494687 -49 *617:14 *2822:mprj_io_oeb[28] 0 -50 *617:14 *2822:mprj_io_slow_sel[28] 0 -51 *617:14 *633:14 0 -52 *617:14 *634:8 0.00488671 -53 *617:14 *634:14 0.0872481 -54 *617:14 *634:73 0.000184595 -55 *617:14 *656:13 0.000451188 -56 *617:14 *657:75 0.00139838 -57 *617:14 *694:19 0.000158917 -58 *617:14 *2156:23 0.000144315 -59 *617:14 *2238:33 0.000788158 -60 *617:14 *2240:20 0.00287101 -61 *617:14 *2353:16 0.000749487 -62 *617:14 *2391:8 0 -63 *617:14 *2428:8 0 -64 *617:14 *2430:8 0.00556434 -65 *617:14 *2602:11 0.000691679 -66 *617:20 *630:8 0.00236818 -67 *617:20 *632:67 0.000204402 -68 *617:20 *634:14 0.000556649 -69 *617:20 *634:18 0.00367182 -70 *617:20 *1970:8 0.000272174 -71 *617:20 *2152:8 0.00799157 -72 *617:23 *634:21 0.0111452 -73 *617:26 *629:26 7.77309e-06 -74 *617:26 *634:24 0.0101468 -75 *617:29 *631:33 0.000254937 -76 *617:32 *641:51 0.119761 -77 *617:32 *662:16 0 -78 *617:32 *663:14 0 -79 *617:32 *2105:16 0 -80 *617:32 *2300:20 0 -81 *617:38 *641:51 0 -82 *617:38 *661:14 0.000150293 -83 *617:38 *1707:20 0 -84 *617:38 *1709:20 0 -85 *617:38 *2105:16 0 -86 *617:43 *2103:35 3.77235e-05 -87 *617:43 *2409:35 0.000351426 -88 *617:43 *2449:15 0.000376602 -89 *617:44 *2449:10 0.000346749 -90 *617:70 *2804:resetn 0.000786057 -91 *617:70 *641:10 0 -92 *617:70 *641:12 0 -93 *617:70 *658:36 7.34085e-05 -94 *617:70 *680:8 0 -95 *617:70 *2013:10 0.00544955 -96 *617:70 *2167:10 0.0055771 -97 *617:70 *2241:38 0.00134141 -98 *617:70 *2317:10 0.000676981 -99 *617:70 *2355:10 0 -100 *617:70 *2393:10 0.000644849 -101 *617:70 *2393:16 0.000530665 -102 *617:70 *2431:10 0 -103 *617:70 *2469:10 0.000151697 -104 *2804:serial_clock *617:11 0.000599113 -105 *2804:serial_clock *617:69 6.50727e-05 -106 *101:64 *617:44 0.00178023 -107 *101:75 *617:38 0.0115252 -108 *102:52 *617:29 0.10309 -109 *106:37 *617:14 0.00698871 -110 *106:37 *617:70 0.00197015 -111 *106:43 *617:14 0.062606 -112 *106:51 *617:14 0.00042233 -113 *106:55 *617:20 0.00125346 -114 *117:8 *617:14 0 -115 *117:22 *617:14 0.00127709 -116 *117:47 *617:26 0.0101694 -117 *117:50 *617:29 0.349432 -118 *118:8 *617:14 0.000294841 -119 *118:10 *617:14 0.0155728 -*RES -1 *2804:serial_load_out *617:11 16.8857 -2 *617:11 *617:13 4.5 -3 *617:13 *617:14 1746.72 -4 *617:14 *617:19 11.3872 -5 *617:19 *617:20 165.026 -6 *617:20 *617:22 4.5 -7 *617:22 *617:23 118.854 -8 *617:23 *617:25 4.5 -9 *617:25 *617:26 162.535 -10 *617:26 *617:28 4.5 -11 *617:28 *617:29 3674.97 -12 *617:29 *617:31 4.5 -13 *617:31 *617:32 1912.41 -14 *617:32 *617:35 6.88721 -15 *617:35 *617:37 4.5 -16 *617:37 *617:38 194.924 -17 *617:38 *617:43 46.3272 -18 *617:43 *617:44 77.2003 -19 *617:44 *2789:serial_load_out 3.59493 -20 *617:35 *2790:serial_load 28.0435 -21 *617:11 *617:69 7.44181 -22 *617:69 *617:70 250.983 -23 *617:70 *2805:serial_load 35.7221 -*END - -*D_NET *618 1.25076 -*CONN -*I *2791:serial_load I *D gpio_control_block -*I *2790:serial_load_out O *D gpio_control_block -*I *2806:serial_load I *D gpio_control_block -*I *2805:serial_load_out O *D gpio_control_block -*CAP -1 *2791:serial_load 0.00121184 -2 *2790:serial_load_out 0.000475045 -3 *2806:serial_load 0.00126019 -4 *2805:serial_load_out 0.000284674 -5 *618:47 0.00146882 -6 *618:42 0.00330317 -7 *618:40 0.0206011 -8 *618:39 0.0170799 -9 *618:37 0.0691909 -10 *618:36 0.0691909 -11 *618:34 0.0446351 -12 *618:33 0.0446874 -13 *618:19 0.00252242 -14 *618:16 0.00149719 -15 *618:11 0.0025989 -16 *618:10 0.00236394 -17 *618:8 0.00320052 -18 *618:7 0.00320052 -19 *618:5 0.000337052 -20 *2790:serial_load_out *2790:serial_data_in 5.39635e-06 -21 *2790:serial_load_out *2298:8 0 -22 *2791:serial_load *2791:serial_load_out 1.94242e-05 -23 *2791:serial_load *619:32 0.000211492 -24 *2791:serial_load *663:13 9.39435e-05 -25 *2791:serial_load *2698:17 0.00122643 -26 *2806:serial_load *2806:resetn 1.72594e-05 -27 *618:5 *680:7 0 -28 *618:5 *2642:9 0.00123223 -29 *618:8 *2805:user_gpio_oeb 0 -30 *618:8 *642:14 0.00122659 -31 *618:8 *680:8 0 -32 *618:8 *681:8 0.00215629 -33 *618:8 *2015:8 0.00560835 -34 *618:8 *2171:8 1.75318e-05 -35 *618:8 *2173:14 0.000660824 -36 *618:8 *2243:8 0.00409073 -37 *618:8 *2319:16 0.00256177 -38 *618:8 *2357:19 0.000102523 -39 *618:8 *2471:13 0.00180614 -40 *618:11 *642:17 0.0108287 -41 *618:19 *642:31 1.82679e-05 -42 *618:33 *680:7 0 -43 *618:33 *2642:9 0.000237503 -44 *618:34 *2816:serial_load 0 -45 *618:34 *2822:mprj_io_analog_en[25] 0 -46 *618:34 *2822:mprj_io_holdover[26] 0.000198288 -47 *618:34 *2822:mprj_io_holdover[28] 0.000157035 -48 *618:34 *632:18 0.0001184 -49 *618:34 *632:37 0.00080941 -50 *618:34 *632:43 0.000513186 -51 *618:34 *632:49 0.00067385 -52 *618:34 *632:61 0.000500468 -53 *618:34 *632:67 1.83572e-05 -54 *618:34 *633:14 0.00155464 -55 *618:34 *633:35 0.026821 -56 *618:34 *634:74 0.00197909 -57 *618:34 *642:52 0.161811 -58 *618:34 *655:8 0.000902363 -59 *618:34 *656:13 0.00161426 -60 *618:34 *657:8 0.000889337 -61 *618:34 *658:30 0.000592985 -62 *618:34 *658:36 0.00107823 -63 *618:34 *679:10 0.00255121 -64 *618:34 *694:8 0.00145779 -65 *618:34 *694:19 0 -66 *618:34 *695:16 0.000992266 -67 *618:34 *695:22 0.000450501 -68 *618:34 *2008:8 0.00170515 -69 *618:34 *2046:8 0.00143158 -70 *618:34 *2047:14 0.000704135 -71 *618:34 *2048:8 0.000442108 -72 *618:34 *2050:41 0.000377629 -73 *618:34 *2155:8 0.000628012 -74 *618:34 *2156:23 0 -75 *618:34 *2157:8 0.000758778 -76 *618:34 *2161:8 0.000722303 -77 *618:34 *2163:21 0.000349924 -78 *618:34 *2164:8 0.000783986 -79 *618:34 *2167:10 0.000751575 -80 *618:34 *2169:13 0.000267961 -81 *618:34 *2199:8 0.000737698 -82 *618:34 *2200:8 0.000546645 -83 *618:34 *2200:26 0.000192019 -84 *618:34 *2201:8 0.000624018 -85 *618:34 *2201:12 0.00048845 -86 *618:34 *2238:34 0.000341794 -87 *618:34 *2313:8 0.00060884 -88 *618:34 *2314:12 0.000859237 -89 *618:34 *2315:16 0.000198292 -90 *618:34 *2317:10 0.00085167 -91 *618:34 *2351:30 0.00069937 -92 *618:34 *2352:10 0.000109836 -93 *618:34 *2352:12 0.00139992 -94 *618:34 *2353:8 0.00042583 -95 *618:34 *2354:14 0.0012857 -96 *618:34 *2355:10 0.00153785 -97 *618:34 *2389:8 0.000844821 -98 *618:34 *2389:19 0.000789744 -99 *618:34 *2389:22 0.00040747 -100 *618:34 *2391:26 0.000368084 -101 *618:34 *2393:16 0.000864449 -102 *618:34 *2431:10 0.000833927 -103 *618:34 *2465:19 0.000155987 -104 *618:34 *2465:20 0.000533139 -105 *618:34 *2466:14 0.000854921 -106 *618:34 *2467:14 0.000451211 -107 *618:34 *2468:10 0.000100246 -108 *618:34 *2468:12 0.000537097 -109 *618:34 *2469:16 0.00103476 -110 *618:37 *632:70 0.412352 -111 *618:37 *633:38 4.42985e-05 -112 *618:37 *645:46 0.000155429 -113 *618:37 *645:64 0.0714636 -114 *618:40 *619:26 2.24484e-05 -115 *618:40 *632:73 0.0168879 -116 *618:40 *633:41 0.0169112 -117 *618:40 *644:78 0.0686497 -118 *618:42 *619:26 0.0177906 -119 *618:42 *633:41 0.000353381 -120 *618:42 *643:38 0.0170433 -121 *618:47 *2621:13 0.000494269 -122 *2790:serial_clock_out *2790:serial_load_out 5.39635e-06 -123 *2790:serial_clock_out *618:47 0.00126128 -124 *2790:serial_load *2790:serial_load_out 4.54889e-05 -125 *2805:serial_load *618:5 2.12195e-05 -126 *2806:serial_clock *2806:serial_load 0.00078274 -127 *102:37 *618:16 0.00052298 -128 *104:52 *618:40 0.068735 -129 *106:37 *618:8 0.00131039 -130 *106:37 *618:34 0 -131 *115:32 *618:34 0.000239103 -132 *116:16 *618:34 0.000534602 -133 *117:21 *618:34 0.000611413 -*RES -1 *2805:serial_load_out *618:5 1.59299 -2 *618:5 *618:7 3.36879 -3 *618:7 *618:8 219.632 -4 *618:8 *618:10 4.5 -5 *618:10 *618:11 113.308 -6 *618:11 *618:16 18.3065 -7 *618:16 *618:19 39.0542 -8 *618:19 *2806:serial_load 40.2136 -9 *618:5 *618:33 0.694236 -10 *618:33 *618:34 323.759 -11 *618:34 *618:36 0.376635 -12 *618:36 *618:37 520.986 -13 *618:37 *618:39 3.36879 -14 *618:39 *618:40 1653.91 -15 *618:40 *618:42 284.203 -16 *618:42 *618:47 45.218 -17 *618:47 *2790:serial_load_out 13.2222 -18 *618:40 *2791:serial_load 48.0724 -*END - -*D_NET *619 1.23526 -*CONN -*I *2792:serial_load I *D gpio_control_block -*I *2791:serial_load_out O *D gpio_control_block -*I *2807:serial_load I *D gpio_control_block -*I *2806:serial_load_out O *D gpio_control_block -*CAP -1 *2792:serial_load 9.21601e-05 -2 *2791:serial_load_out 0.0014212 -3 *2807:serial_load 0.000233473 -4 *2806:serial_load_out 0.00062489 -5 *619:53 0.000906759 -6 *619:47 0.00300797 -7 *619:32 0.0037063 -8 *619:26 0.0274997 -9 *619:25 0.0274079 -10 *619:23 0.0813865 -11 *619:22 0.0813865 -12 *619:20 0.0283788 -13 *619:19 0.0283788 -14 *619:11 0.000540946 -15 *619:8 0.0165175 -16 *619:7 0.0168349 -17 *2791:serial_load_out *2791:user_gpio_out 1.66771e-05 -18 *2791:serial_load_out *2622:10 2.48182e-05 -19 *2791:serial_load_out *2622:13 0 -20 *2791:serial_load_out *2698:17 0.000434837 -21 *2792:serial_load *2792:serial_load_out 9.39238e-05 -22 *2792:serial_load *664:13 1.02886e-05 -23 *2807:serial_load *682:7 0.000208248 -24 *2807:serial_load *2644:13 0 -25 *619:7 *2806:serial_data_in 0 -26 *619:7 *681:7 0 -27 *619:7 *2643:12 6.19346e-06 -28 *619:8 *682:8 0.0537618 -29 *619:11 *2807:serial_data_in 0 -30 *619:11 *682:7 0.000159793 -31 *619:11 *2644:13 0 -32 *619:20 *2784:serial_load 6.14743e-05 -33 *619:20 *2822:mprj_io_dm[97] 0.000539459 -34 *619:20 *2822:mprj_io_inp_dis[32] 0 -35 *619:20 *2822:mprj_io_oeb[33] 0 -36 *619:20 *2822:mprj_io_dm[103] 0.000100007 -37 *619:20 *2822:mprj_io_holdover[34] 0.00414029 -38 *619:20 *2822:mprj_io_ib_mode_sel[34] 0.000433199 -39 *619:20 *2822:mprj_io_inp_dis[34] 0.000133972 -40 *619:20 *2822:mprj_io_oeb[34] 0 -41 *619:20 *2822:mprj_io_out[34] 4.44764e-05 -42 *619:20 *2822:mprj_io_slow_sel[34] 0.000148104 -43 *619:20 *2822:mprj_io_oeb[35] 2.27235e-05 -44 *619:20 *2822:mprj_io_out[35] 0.0033237 -45 *619:20 *2822:mprj_io_vtrip_sel[35] 0.000173176 -46 *619:20 *620:23 0.0016137 -47 *619:20 *620:27 0.000595861 -48 *619:20 *621:15 0.0144173 -49 *619:20 *682:8 0 -50 *619:20 *1733:8 0.00484828 -51 *619:20 *1745:13 0.000151739 -52 *619:20 *1979:8 0.000781717 -53 *619:20 *1980:16 0.000411592 -54 *619:20 *1981:8 0.000601465 -55 *619:20 *2017:8 0.000776783 -56 *619:20 *2019:8 0.000747974 -57 *619:20 *2055:8 0.000921869 -58 *619:20 *2057:8 0.000556909 -59 *619:20 *2057:14 0.000442119 -60 *619:20 *2069:8 0.000742907 -61 *619:20 *2072:8 0.0010033 -62 *619:20 *2073:16 0.000635277 -63 *619:20 *2074:23 0.000906339 -64 *619:20 *2076:8 0.000178927 -65 *619:20 *2177:16 0.000162347 -66 *619:20 *2177:21 0.000361797 -67 *619:20 *2248:8 0.000694257 -68 *619:20 *2322:8 0.000366804 -69 *619:20 *2323:8 0.000882822 -70 *619:20 *2397:8 0.000597982 -71 *619:20 *2397:18 0.000381043 -72 *619:20 *2435:8 0.000535294 -73 *619:20 *2436:8 0.000665473 -74 *619:20 *2473:14 0.00090309 -75 *619:20 *2609:13 0.000174147 -76 *619:23 *630:26 2.01888e-05 -77 *619:23 *658:56 0.0515766 -78 *619:26 *632:73 0.0695815 -79 *619:26 *643:38 0.0541289 -80 *619:26 *644:72 0.000546928 -81 *619:26 *657:34 0.0962116 -82 *619:26 *2730:14 0.0378691 -83 *619:32 *1711:17 0.000128678 -84 *619:32 *2698:17 0.000253916 -85 *619:47 *633:41 0.000495028 -86 *619:47 *1711:17 0.0167676 -87 *619:53 *2792:serial_data_in 0.00138276 -88 *619:53 *620:74 0.000234632 -89 *619:53 *2186:8 8.00339e-05 -90 *619:53 *2414:13 1.88014e-05 -91 *619:53 *2623:13 0.00296454 -92 *2784:serial_clock *619:20 0.00018817 -93 *2791:serial_load *2791:serial_load_out 1.94242e-05 -94 *2791:serial_load *619:32 0.000211492 -95 *101:51 *619:23 0.0496329 -96 *103:18 *619:20 0 -97 *103:36 *619:32 0.000132276 -98 *103:36 *619:47 0.0157497 -99 *105:16 *619:20 0.000261226 -100 *106:13 *619:20 0 -101 *106:25 *619:20 0 -102 *106:31 *619:20 0.000549308 -103 *106:37 *619:8 0 -104 *114:39 *619:23 0.400226 -105 *618:40 *619:26 2.24484e-05 -106 *618:42 *619:26 0.0177906 -*RES -1 *2806:serial_load_out *619:7 2.5433 -2 *619:7 *619:8 107.61 -3 *619:8 *619:11 1.49738 -4 *619:11 *2807:serial_load 1.0958 -5 *619:11 *619:19 0.376635 -6 *619:19 *619:20 126.813 -7 *619:20 *619:22 0.376635 -8 *619:22 *619:23 521.675 -9 *619:23 *619:25 3.36879 -10 *619:25 *619:26 2665.47 -11 *619:26 *619:32 14.1891 -12 *619:32 *2791:serial_load_out 45.3759 -13 *619:32 *619:47 268.009 -14 *619:47 *619:53 46.8368 -15 *619:53 *2792:serial_load 3.58495 -*END - -*D_NET *620 1.52736 -*CONN -*I *2792:serial_load_out O *D gpio_control_block -*I *2793:serial_load I *D gpio_control_block -*I *2808:serial_load I *D gpio_control_block -*I *2807:serial_load_out O *D gpio_control_block -*CAP -1 *2792:serial_load_out 0.000150158 -2 *2793:serial_load 0.000604529 -3 *2808:serial_load 0.000266869 -4 *2807:serial_load_out 0.00333781 -5 *620:86 2.68958e-05 -6 *620:74 0.000741429 -7 *620:57 0.00164459 -8 *620:56 0.00104007 -9 *620:54 0.00843508 -10 *620:53 0.00843508 -11 *620:51 0.000606363 -12 *620:48 0.0234357 -13 *620:47 0.0234206 -14 *620:45 0.0205745 -15 *620:44 0.0205745 -16 *620:42 0.011188 -17 *620:41 0.011188 -18 *620:39 0.00438111 -19 *620:38 0.00438111 -20 *620:27 0.00157401 -21 *620:23 0.00420077 -22 *620:22 0.00289363 -23 *620:20 0.00760864 -24 *620:19 0.00760864 -25 *620:17 0.00318252 -26 *620:16 0.00324589 -27 *620:11 0.00337428 -28 *2792:serial_load_out *664:13 1.75893e-06 -29 *2808:serial_load *621:7 0.00035581 -30 *2808:serial_load *683:7 0.000204245 -31 *620:23 *2017:8 0.00327517 -32 *620:23 *2055:8 1.72799e-05 -33 *620:23 *2397:18 0.00352547 -34 *620:23 *2435:8 0.00151421 -35 *620:23 *2473:14 0.00123208 -36 *620:39 *621:29 0.00221574 -37 *620:42 *644:66 0.0143945 -38 *620:42 *1729:31 9.2346e-06 -39 *620:45 *644:69 1.92336e-05 -40 *620:45 *654:40 0.0474171 -41 *620:45 *657:31 0.000236869 -42 *620:48 *629:44 0.000515187 -43 *620:48 *631:36 0.10349 -44 *620:48 *632:73 0.000369557 -45 *620:48 *644:72 1.2693e-05 -46 *620:48 *656:35 0.0793754 -47 *620:48 *657:34 2.55661e-06 -48 *620:51 *2623:13 0.00011818 -49 *620:51 *2699:17 0.000113968 -50 *620:54 *631:36 4.06778e-05 -51 *620:54 *632:73 0.0675884 -52 *620:54 *664:16 0.0674525 -53 *620:57 *664:21 0.00350318 -54 *620:57 *665:13 3.31745e-05 -55 *620:74 *2792:user_gpio_oeb 8.36121e-05 -56 *620:74 *664:13 2.01653e-05 -57 *620:74 *2186:8 0 -58 *620:74 *2623:12 1.75625e-05 -59 *620:74 *2623:13 0.00334581 -60 *620:74 *2699:17 0.00335495 -61 *2792:serial_load *2792:serial_load_out 9.39238e-05 -62 *103:27 *620:45 0.349858 -63 *104:11 *620:11 0.0149064 -64 *104:11 *620:16 0 -65 *104:20 *620:23 0 -66 *104:20 *620:27 0 -67 *104:40 *620:42 0.0412754 -68 *104:43 *620:45 0.350681 -69 *104:46 *620:48 0.182766 -70 *104:58 *620:57 0.00336215 -71 *619:20 *620:23 0.0016137 -72 *619:20 *620:27 0.000595861 -73 *619:53 *620:74 0.000234632 -*RES -1 *2807:serial_load_out *620:11 47.575 -2 *620:11 *620:16 6.94044 -3 *620:16 *620:17 90.0731 -4 *620:17 *620:19 4.5 -5 *620:19 *620:20 210.918 -6 *620:20 *620:22 4.5 -7 *620:22 *620:23 143.421 -8 *620:23 *620:27 36.2369 -9 *620:27 *2808:serial_load 6.95771 -10 *620:27 *620:38 4.5 -11 *620:38 *620:39 114.417 -12 *620:39 *620:41 4.5 -13 *620:41 *620:42 660.422 -14 *620:42 *620:44 4.5 -15 *620:44 *620:45 3665.54 -16 *620:45 *620:47 4.5 -17 *620:47 *620:48 2937.25 -18 *620:48 *620:51 5.778 -19 *620:51 *620:53 4.5 -20 *620:53 *620:54 1078.17 -21 *620:54 *620:56 4.5 -22 *620:56 *620:57 54.5199 -23 *620:57 *2793:serial_load 22.2899 -24 *620:51 *620:74 46.7736 -25 *620:74 *2792:serial_load_out 3.78449 -26 *2807:serial_load_out *620:86 0.0631875 -*END - -*D_NET *621 1.20346 -*CONN -*I *2794:serial_load I *D gpio_control_block -*I *2793:serial_load_out O *D gpio_control_block -*I *2809:serial_load I *D gpio_control_block -*I *2808:serial_load_out O *D gpio_control_block -*CAP -1 *2794:serial_load 0.000531298 -2 *2793:serial_load_out 0.000216504 -3 *2809:serial_load 6.94771e-05 -4 *2808:serial_load_out 0.000345914 -5 *621:65 0.0018847 -6 *621:56 0.00201898 -7 *621:55 0.00205718 -8 *621:48 0.00274413 -9 *621:47 0.00268965 -10 *621:42 0.00354363 -11 *621:41 0.00334341 -12 *621:39 0.0145063 -13 *621:38 0.0145063 -14 *621:36 0.00173673 -15 *621:33 0.0630573 -16 *621:32 0.0626739 -17 *621:30 0.0289864 -18 *621:29 0.0337497 -19 *621:16 0.00199185 -20 *621:15 0.00613078 -21 *621:7 0.00931757 -22 *2793:serial_load_out *2793:user_gpio_oeb 7.18322e-06 -23 *2793:serial_load_out *665:12 0 -24 *2793:serial_load_out *2624:12 5.00967e-05 -25 *2794:serial_load *2794:resetn 4.65017e-05 -26 *2794:serial_load *2794:serial_load_out 0.00230354 -27 *2794:serial_load *665:22 2.15398e-05 -28 *2794:serial_load *666:13 0.001832 -29 *621:7 *683:7 4.26518e-06 -30 *621:15 *2018:16 0.000666555 -31 *621:15 *2398:14 0.000210571 -32 *621:15 *2398:16 0.00109454 -33 *621:16 *645:21 0 -34 *621:29 *683:7 8.74882e-05 -35 *621:30 *645:37 0.22843 -36 *621:33 *645:40 1.65872e-05 -37 *621:33 *652:45 1.00846e-05 -38 *621:39 *632:70 0.00799443 -39 *621:39 *641:48 0.000286435 -40 *621:48 *2822:mprj_io_analog_sel[14] 0 -41 *621:48 *2822:mprj_io_dm[44] 0 -42 *621:48 *2822:mprj_io_holdover[14] 0 -43 *621:48 *2822:mprj_io_ib_mode_sel[14] 0 -44 *621:48 *2822:mprj_io_oeb[14] 0 -45 *621:48 *2822:mprj_io_out[14] 0 -46 *621:48 *2822:mprj_io_slow_sel[14] 0 -47 *621:48 *2822:mprj_io_vtrip_sel[14] 0 -48 *621:48 *2263:10 0 -49 *621:48 *2301:16 1.87125e-05 -50 *621:48 *2339:20 0.0046048 -51 *621:48 *2415:14 0.000658277 -52 *621:48 *2616:11 0.00091651 -53 *621:55 *2263:10 0.00013999 -54 *621:56 *2263:11 0 -55 *621:56 *2339:8 0.00467156 -56 *621:65 *665:22 0.00146202 -57 *2793:serial_clock_out *621:48 0.000761986 -58 *2808:serial_load *621:7 0.00035581 -59 *105:8 *621:15 0.000500268 -60 *105:16 *621:15 0.0133529 -61 *105:37 *621:30 0.228426 -62 *105:40 *621:33 0.289528 -63 *105:43 *621:36 0.000533093 -64 *105:43 *621:65 9.97141e-05 -65 *105:58 *2794:serial_load 1.63133e-05 -66 *105:58 *621:65 0.00494034 -67 *106:56 *621:33 0.0761984 -68 *113:63 *621:39 0.0604808 -69 *619:20 *621:15 0.0144173 -70 *620:39 *621:29 0.00221574 -*RES -1 *2808:serial_load_out *621:7 1.41174 -2 *621:7 *621:15 41.364 -3 *621:15 *621:16 54.1538 -4 *621:16 *2809:serial_load 3.59493 -5 *621:7 *621:29 19.5199 -6 *621:29 *621:30 3649.61 -7 *621:30 *621:32 4.5 -8 *621:32 *621:33 3027.2 -9 *621:33 *621:36 15.0523 -10 *621:36 *621:38 4.5 -11 *621:38 *621:39 670.685 -12 *621:39 *621:41 4.5 -13 *621:41 *621:42 94.8485 -14 *621:42 *621:47 14.1602 -15 *621:47 *621:48 109.798 -16 *621:48 *621:55 16.7886 -17 *621:55 *621:56 79.6918 -18 *621:56 *2793:serial_load_out 3.89091 -19 *621:36 *621:65 82.5741 -20 *621:65 *2794:serial_load 41.859 -*END - -*D_NET *622 1.06917 -*CONN -*I *2794:serial_load_out O *D gpio_control_block -*I *2795:serial_load I *D gpio_control_block -*I *2783:serial_load I *D gpio_control_block -*I *2809:serial_load_out O *D gpio_control_block -*CAP -1 *2794:serial_load_out 0.000488949 -2 *2795:serial_load 0.000650836 -3 *2783:serial_load 0.000611952 -4 *2809:serial_load_out 0.000714811 -5 *622:61 0.00180835 -6 *622:56 0.00777423 -7 *622:43 0.00173606 -8 *622:38 0.00780274 -9 *622:35 0.00244979 -10 *622:34 0.00218711 -11 *622:32 0.0199851 -12 *622:31 0.0199851 -13 *622:29 0.0870509 -14 *622:28 0.0882506 -15 *622:14 0.00385333 -16 *622:13 0.00515585 -17 *2783:serial_load *2783:serial_load_out 6.71357e-05 -18 *2783:serial_load *623:31 0.00038353 -19 *2783:serial_load *685:10 5.76298e-05 -20 *2794:serial_load_out *2794:resetn 4.62974e-05 -21 *2794:serial_load_out *2036:16 2.33103e-06 -22 *2794:serial_load_out *2625:11 0.00283461 -23 *2795:serial_load *2795:resetn 0.00185781 -24 *2795:serial_load *2795:serial_data_in 2.20702e-05 -25 *2795:serial_load *2795:user_gpio_oeb 0 -26 *2795:serial_load *667:13 0.000125129 -27 *2795:serial_load *2189:11 0.00376548 -28 *2795:serial_load *2626:13 0 -29 *622:13 *2809:serial_data_in 0 -30 *622:13 *2809:user_gpio_out 0 -31 *622:13 *684:15 7.10615e-06 -32 *622:13 *2646:12 2.65302e-06 -33 *622:14 *646:8 0.000516232 -34 *622:14 *646:10 0.0137207 -35 *622:14 *685:14 0.0141771 -36 *622:28 *2809:user_gpio_oeb 0.000543039 -37 *622:28 *2809:user_gpio_out 0.000272973 -38 *622:28 *684:16 0 -39 *622:29 *2807:user_gpio_oeb 0.000982269 -40 *622:29 *649:56 0.23143 -41 *622:29 *2635:10 0 -42 *622:32 *628:53 1.92336e-05 -43 *622:32 *645:40 0.0053788 -44 *622:32 *646:32 0.266772 -45 *622:32 *652:45 0.000419843 -46 *622:32 *653:27 0.0112476 -47 *622:35 *623:16 8.62625e-06 -48 *622:38 *646:56 0.000997462 -49 *622:43 *2455:16 0 -50 *622:43 *2664:11 0.00103787 -51 *622:56 *646:56 0.0276149 -52 *622:56 *2264:10 0.000387123 -53 *2783:serial_clock_out *2783:serial_load 0 -54 *2794:serial_load *2794:serial_load_out 0.00230354 -55 *2795:serial_clock *2795:serial_load 4.0752e-05 -56 *106:56 *622:32 0.0271529 -57 *106:80 *622:56 2.41483e-05 -58 *107:31 *2783:serial_load 0 -59 *111:19 *622:32 0.00068028 -60 *112:45 *622:32 0.20215 -61 *113:29 *622:32 0.00161462 -*RES -1 *2809:serial_load_out *622:13 29.2924 -2 *622:13 *622:14 266.555 -3 *622:14 *2783:serial_load 5.87966 -4 *622:13 *622:28 42.4598 -5 *622:28 *622:29 3904.37 -6 *622:29 *622:31 4.5 -7 *622:31 *622:32 2804.8 -8 *622:32 *622:34 4.5 -9 *622:34 *622:35 55.8148 -10 *622:35 *622:38 15.2063 -11 *622:38 *622:43 47.7894 -12 *622:43 *2795:serial_load 48.0092 -13 *622:38 *622:56 305.201 -14 *622:56 *622:61 44.8827 -15 *622:61 *2794:serial_load_out 40.7994 -*END - -*D_NET *623 1.16782 -*CONN -*I *2796:serial_load I *D gpio_control_block -*I *2784:serial_load I *D gpio_control_block -*I *2783:serial_load_out O *D gpio_control_block -*I *2795:serial_load_out O *D gpio_control_block -*CAP -1 *2796:serial_load 0.000570892 -2 *2784:serial_load 0.00648666 -3 *2783:serial_load_out 0.000156605 -4 *2795:serial_load_out 0.000772958 -5 *623:56 0.00138002 -6 *623:31 0.00749266 -7 *623:28 0.0340366 -8 *623:27 0.0331872 -9 *623:25 0.0154419 -10 *623:24 0.0154419 -11 *623:22 0.0021387 -12 *623:21 0.00223338 -13 *623:17 0.00371753 -14 *623:16 0.00386779 -15 *623:13 0.00182703 -16 *2783:serial_load_out *2647:17 4.80336e-05 -17 *2784:serial_load *2783:serial_data_in 3.43715e-05 -18 *2784:serial_load *2784:resetn 0.0171462 -19 *2796:serial_load *2796:resetn 0.00171139 -20 *2796:serial_load *2796:user_gpio_oeb 0 -21 *2796:serial_load *667:26 0.00361906 -22 *2796:serial_load *668:14 0.000205749 -23 *2796:serial_load *2627:13 4.49334e-05 -24 *623:13 *2795:user_gpio_oeb 0.0019978 -25 *623:13 *1961:14 1.66626e-05 -26 *623:13 *2626:13 9.65932e-05 -27 *623:16 *667:16 0.00114357 -28 *623:17 *2822:mprj_io_dm[51] 0.00246876 -29 *623:17 *646:38 0.000642796 -30 *623:17 *646:56 0.000845507 -31 *623:17 *647:17 0.0419475 -32 *623:17 *2265:8 1.55995e-05 -33 *623:17 *2591:10 0.000920983 -34 *623:21 *647:17 0.00105057 -35 *623:25 *646:32 0.0435782 -36 *623:25 *647:25 0.223502 -37 *623:28 *647:28 0.261661 -38 *623:56 *667:22 0.000669578 -39 *623:56 *2665:11 0 -40 *623:56 *2703:11 0.00104691 -41 *2783:serial_load *2783:serial_load_out 6.71357e-05 -42 *2783:serial_load *623:31 0.00038353 -43 *2784:serial_clock *2784:serial_load 0.000140742 -44 *2796:serial_clock *2796:serial_load 4.0752e-05 -45 *107:13 *623:13 5.42115e-05 -46 *107:17 *623:17 0.0419844 -47 *107:17 *623:21 0.00105478 -48 *107:28 *623:28 0.261657 -49 *110:41 *623:25 0.000391571 -50 *111:19 *623:25 0.128813 -51 *619:20 *2784:serial_load 6.14743e-05 -52 *622:35 *623:16 8.62625e-06 -*RES -1 *2795:serial_load_out *623:13 39.1987 -2 *623:13 *623:16 39.5522 -3 *623:16 *623:17 454.944 -4 *623:17 *623:21 15.7609 -5 *623:21 *623:22 54.569 -6 *623:22 *623:24 4.5 -7 *623:24 *623:25 2352.24 -8 *623:25 *623:27 4.5 -9 *623:27 *623:28 4179.89 -10 *623:28 *623:31 6.6695 -11 *623:31 *2783:serial_load_out 0.483884 -12 *623:31 *2784:serial_load 38.3124 -13 *623:17 *623:56 47.3742 -14 *623:56 *2796:serial_load 46.3454 -*END - -*D_NET *624 1.03647 -*CONN -*I *2787:serial_load I *D gpio_control_block -*I *2785:serial_load I *D gpio_control_block -*I *2784:serial_load_out O *D gpio_control_block -*I *2796:serial_load_out O *D gpio_control_block -*CAP -1 *2787:serial_load 0.000436283 -2 *2785:serial_load 0.00696085 -3 *2784:serial_load_out 0.000121354 -4 *2796:serial_load_out 0.000343157 -5 *624:55 0.00159158 -6 *624:34 0.00798426 -7 *624:26 0.037261 -8 *624:25 0.036359 -9 *624:23 0.0307248 -10 *624:22 0.0307248 -11 *624:20 0.0020452 -12 *624:19 0.0032005 -13 *624:17 0.00574035 -14 *624:16 0.0069791 -15 *624:13 0.00158191 -16 *2784:serial_load_out *2784:serial_data_in 0 -17 *2784:serial_load_out *2648:12 2.34894e-06 -18 *2785:serial_load *2784:resetn 6.27234e-05 -19 *2785:serial_load *2784:serial_data_in 0 -20 *2785:serial_load *2785:serial_data_in 0 -21 *2785:serial_load *2785:serial_load_out 5.16691e-06 -22 *2785:serial_load *2822:mprj_io_oeb[36] 0.000522863 -23 *2785:serial_load *648:77 0.0102175 -24 *2785:serial_load *687:15 2.12195e-05 -25 *2785:serial_load *1733:8 0.00151958 -26 *2787:serial_load *2787:user_gpio_out 0.000115848 -27 *2787:serial_load *625:13 0.000291261 -28 *624:13 *2796:user_gpio_oeb 0.00185138 -29 *624:13 *668:17 0.000694254 -30 *624:13 *2592:20 0.000432613 -31 *624:13 *2627:13 1.41853e-05 -32 *624:16 *668:14 4.9073e-05 -33 *624:17 *2822:mprj_io_slow_sel[18] 0.00100538 -34 *624:17 *647:17 0.00085524 -35 *624:17 *647:21 0.000645042 -36 *624:17 *648:17 0.0375096 -37 *624:17 *2267:8 0.0175583 -38 *624:17 *2593:8 0.000897913 -39 *624:23 *633:38 0.0184632 -40 *624:23 *647:25 0 -41 *624:23 *649:35 0.159859 -42 *624:23 *659:28 0.000373913 -43 *624:23 *1714:20 0.0104146 -44 *624:23 *1715:20 0.00232728 -45 *624:26 *625:20 0.0040325 -46 *624:26 *647:28 0.00420177 -47 *624:26 *653:36 0.0113797 -48 *624:26 *1721:27 0.258118 -49 *624:34 *2648:13 0 -50 *624:55 *668:29 0.000353367 -51 *2784:serial_clock *2785:serial_load 0.000392149 -52 *2787:serial_clock *2787:serial_load 0.00170075 -53 *100:41 *624:23 0.0294141 -54 *107:21 *624:17 5.51483e-06 -55 *107:25 *624:23 0.0086717 -56 *107:28 *624:26 0 -57 *108:13 *624:13 0.000749011 -58 *108:38 *2785:serial_load 7.31006e-05 -59 *109:13 *2787:serial_load 0.00165184 -60 *109:17 *624:23 0.00432426 -61 *109:26 *624:26 0.27002 -62 *110:41 *624:23 0.0036216 -*RES -1 *2796:serial_load_out *624:13 37.4584 -2 *624:13 *624:16 39.1369 -3 *624:16 *624:17 408.357 -4 *624:17 *624:19 4.5 -5 *624:19 *624:20 52.4928 -6 *624:20 *624:22 4.5 -7 *624:22 *624:23 1945.72 -8 *624:23 *624:25 4.5 -9 *624:25 *624:26 4446.69 -10 *624:26 *624:34 36.2095 -11 *624:34 *2784:serial_load_out 0.292658 -12 *624:34 *2785:serial_load 38.4119 -13 *624:19 *624:55 39.1369 -14 *624:55 *2787:serial_load 33.5895 -*END - -*D_NET *625 0.685866 -*CONN -*I *2785:serial_load_out O *D gpio_control_block -*I *2787:serial_load_out O *D gpio_control_block -*CAP -1 *2785:serial_load_out 0.000864042 -2 *2787:serial_load_out 0.0005248 -3 *625:20 0.0984162 -4 *625:19 0.0975521 -5 *625:17 0.0647425 -6 *625:16 0.0647425 -7 *625:14 0.00223083 -8 *625:13 0.00275563 -9 *2785:serial_load_out *2785:user_gpio_oeb 0 -10 *2785:serial_load_out *687:15 0.000292117 -11 *2785:serial_load_out *2649:12 9.94897e-06 -12 *625:13 *2787:user_gpio_oeb 0.000330532 -13 *625:13 *2787:user_gpio_out 0.000102438 -14 *625:13 *668:29 0.00221892 -15 *625:14 *2419:22 0.00172634 -16 *625:17 *626:47 0 -17 *625:17 *627:19 0 -18 *625:17 *640:19 0.000270482 -19 *625:17 *650:41 0 -20 *625:17 *651:19 0 -21 *625:17 *1717:34 0.00147158 -22 *625:17 *1718:34 0 -23 *625:20 *646:8 0 -24 *625:20 *646:10 0 -25 *625:20 *2684:14 0.02634 -26 *625:20 *2725:14 0 -27 *2785:serial_load *2785:serial_load_out 5.16691e-06 -28 *2787:serial_clock *625:13 0.00143941 -29 *2787:serial_load *625:13 0.000291261 -30 *109:26 *625:20 0.285334 -31 *616:41 *625:17 0.0301721 -32 *624:26 *625:20 0.0040325 -*RES -1 *2787:serial_load_out *625:13 44.1902 -2 *625:13 *625:14 71.5944 -3 *625:14 *625:16 4.5 -4 *625:16 *625:17 1955.7 -5 *625:17 *625:19 4.5 -6 *625:19 *625:20 4725.33 -7 *625:20 *2785:serial_load_out 36.9903 -*END - -*D_NET *626 1.2252 -*CONN -*I *2797:serial_load I *D gpio_control_block -*I *2782:serial_load_out O *D gpio_control_block -*I *2811:serial_load I *D gpio_control_block -*I *2810:serial_load_out O *D gpio_control_block -*CAP -1 *2797:serial_load 0.000276137 -2 *2782:serial_load_out 0.000640498 -3 *2811:serial_load 0.000126226 -4 *2810:serial_load_out 0.000409967 -5 *626:72 0.00280882 -6 *626:71 0.00231168 -7 *626:66 0.00121532 -8 *626:65 0.00137764 -9 *626:56 0.00225485 -10 *626:55 0.00194918 -11 *626:53 0.00560883 -12 *626:52 0.00533269 -13 *626:50 0.0412729 -14 *626:49 0.0412729 -15 *626:47 0.0136982 -16 *626:46 0.0136982 -17 *626:44 0.00197203 -18 *626:43 0.00256956 -19 *626:29 0.00116482 -20 *626:28 0.0010386 -21 *626:26 0.00121543 -22 *626:25 0.00121543 -23 *626:23 0.00515119 -24 *626:22 0.00574872 -25 *626:20 0.00117325 -26 *626:19 0.00158322 -27 *2782:serial_load_out *2782:user_gpio_oeb 0.0003186 -28 *2782:serial_load_out *2782:user_gpio_out 0.000474865 -29 *2782:serial_load_out *2630:13 3.84497e-05 -30 *2797:serial_load *2797:serial_data_in 0 -31 *2797:serial_load *2797:serial_load_out 5.52762e-05 -32 *2797:serial_load *2797:user_gpio_oeb 0.000124334 -33 *2797:serial_load *670:13 0.000170013 -34 *626:19 *2810:user_gpio_oeb 0.00226483 -35 *626:19 *2810:user_gpio_out 0.00233203 -36 *626:19 *640:74 0.00116519 -37 *626:20 *2669:7 0.00327122 -38 *626:23 *2822:mprj_io_holdover[20] 0.000860423 -39 *626:23 *650:17 0.0214789 -40 *626:23 *650:37 0.00138855 -41 *626:26 *650:20 0.00338534 -42 *626:29 *2811:user_gpio_out 0.000336633 -43 *626:29 *650:23 1.58551e-05 -44 *626:29 *1718:40 0.00439741 -45 *626:47 *627:19 2.20702e-05 -46 *626:47 *640:19 0.00035468 -47 *626:47 *650:41 0.22039 -48 *626:47 *1717:34 0.215723 -49 *626:50 *650:44 0.000349754 -50 *626:56 *669:30 0.00184632 -51 *626:56 *2159:8 0.00355242 -52 *626:65 *2822:mprj_io_ib_mode_sel[2] 6.1557e-05 -53 *626:65 *2822:mprj_io_oeb[2] 0.000510748 -54 *626:65 *669:29 6.81162e-05 -55 *626:65 *2159:13 0 -56 *626:66 *669:20 0.00358688 -57 *626:66 *1976:20 2.01595e-05 -58 *626:66 *2052:14 0 -59 *626:66 *2148:14 0 -60 *626:66 *2204:14 0.000161551 -61 *626:66 *2242:14 8.88627e-05 -62 *626:66 *2280:11 0 -63 *626:66 *2394:14 0.00101785 -64 *626:71 *2822:mprj_io_dm[7] 5.20546e-06 -65 *626:72 *2822:mprj_io_dm[7] 0 -66 *626:72 *2822:mprj_io_slow_sel[2] 0 -67 *626:72 *650:56 1.87269e-05 -68 *626:72 *669:14 0.00606568 -69 *626:72 *2159:14 0.000107729 -70 *626:72 *2280:10 0 -71 *2782:serial_load *2782:serial_load_out 4.31122e-05 -72 *2797:serial_clock_out *626:56 0.000577902 -73 *110:20 *626:26 3.31736e-05 -74 *110:23 *626:29 0.00441664 -75 *110:44 *626:50 0.29148 -76 *110:52 *626:56 0 -77 *110:57 *626:71 5.481e-05 -78 *111:22 *626:50 0.274513 -79 *616:23 *626:19 0.000972097 -80 *625:17 *626:47 0 -*RES -1 *2810:serial_load_out *626:19 33.1879 -2 *626:19 *626:20 53.1156 -3 *626:20 *626:22 4.5 -4 *626:22 *626:23 240.867 -5 *626:23 *626:25 4.5 -6 *626:25 *626:26 55.3995 -7 *626:26 *626:28 4.5 -8 *626:28 *626:29 102.77 -9 *626:29 *2811:serial_load 8.46357 -10 *626:22 *626:43 20.1977 -11 *626:43 *626:44 54.569 -12 *626:44 *626:46 4.5 -13 *626:46 *626:47 2305.66 -14 *626:47 *626:49 4.5 -15 *626:49 *626:50 4672.17 -16 *626:50 *626:52 4.5 -17 *626:52 *626:53 136.601 -18 *626:53 *626:55 4.5 -19 *626:55 *626:56 99.001 -20 *626:56 *626:65 19.8509 -21 *626:65 *626:66 59.9673 -22 *626:66 *626:71 11.9418 -23 *626:71 *626:72 99.4163 -24 *626:72 *2782:serial_load_out 31.8435 -25 *626:53 *2797:serial_load 12.1866 -*END - -*D_NET *627 1.00338 -*CONN -*I *2812:serial_load I *D gpio_control_block -*I *2798:serial_load I *D gpio_control_block -*I *2797:serial_load_out O *D gpio_control_block -*I *2811:serial_load_out O *D gpio_control_block -*CAP -1 *2812:serial_load 0.000127782 -2 *2798:serial_load 0.000240668 -3 *2797:serial_load_out 0.000158363 -4 *2811:serial_load_out 0.000626093 -5 *627:65 0.000619207 -6 *627:64 0.000491425 -7 *627:62 0.00116507 -8 *627:61 0.00116507 -9 *627:59 0.00534363 -10 *627:58 0.00534363 -11 *627:40 0.00188094 -12 *627:39 0.00190508 -13 *627:34 0.00121121 -14 *627:33 0.00123092 -15 *627:28 0.00107823 -16 *627:27 0.00111668 -17 *627:25 0.00289289 -18 *627:24 0.00289289 -19 *627:22 0.139897 -20 *627:21 0.139897 -21 *627:19 0.0163585 -22 *627:18 0.0163585 -23 *627:16 0.0020022 -24 *627:14 0.00266047 -25 *627:13 0.00128436 -26 *2797:serial_load_out *2797:user_gpio_oeb 0 -27 *2797:serial_load_out *2641:10 2.72062e-05 -28 *2798:serial_load *2798:serial_load_out 5.27207e-05 -29 *2798:serial_load *671:13 9.12075e-05 -30 *627:13 *2632:13 0.00207025 -31 *627:19 *640:19 9.93327e-05 -32 *627:19 *650:41 0.000322971 -33 *627:19 *651:19 0.253743 -34 *627:19 *1718:34 0.244322 -35 *627:22 *2821:io_in[10] 0 -36 *627:22 *2821:io_in[11] 0 -37 *627:22 *2821:io_in[12] 0 -38 *627:22 *2821:io_in[13] 0 -39 *627:22 *2821:io_in[2] 0 -40 *627:22 *2821:io_in[3] 0 -41 *627:22 *2821:io_in[7] 0 -42 *627:22 *2821:io_in[8] 0 -43 *627:22 *2821:io_in[9] 0 -44 *627:22 *2601:17 0 -45 *627:22 *2611:17 0.00889298 -46 *627:22 *2612:17 0.0122739 -47 *627:22 *2613:17 0.0146728 -48 *627:22 *2614:17 0.0181291 -49 *627:22 *2615:17 0.00158027 -50 *627:22 *2616:17 0.000445714 -51 *627:22 *2658:10 0 -52 *627:22 *2661:10 0 -53 *627:22 *2662:8 0.0142767 -54 *627:22 *2668:10 0 -55 *627:22 *2688:10 0 -56 *627:22 *2689:10 0 -57 *627:22 *2690:10 0 -58 *627:22 *2691:10 0 -59 *627:22 *2696:10 0 -60 *627:22 *2698:10 0 -61 *627:22 *2699:10 0 -62 *627:22 *2706:10 0 -63 *627:22 *2726:10 0 -64 *627:22 *2729:10 0 -65 *627:22 *2731:10 0 -66 *627:22 *2732:10 0 -67 *627:28 *2797:resetn_out 0.000382728 -68 *627:28 *2798:resetn_out 4.88112e-06 -69 *627:28 *2798:serial_data_in 0.000117848 -70 *627:28 *2365:8 0.00303528 -71 *627:28 *2479:13 0.000107108 -72 *627:34 *670:14 0.00750816 -73 *627:40 *2797:resetn_out 0.000633806 -74 *627:40 *2797:user_gpio_oeb 0.000318589 -75 *627:40 *670:13 0.000476538 -76 *627:59 *2822:mprj_io_slow_sel[21] 0.000515281 -77 *627:59 *651:56 0.0221586 -78 *627:59 *2143:22 0.000680923 -79 *627:62 *651:59 7.77309e-06 -80 *627:65 *651:62 0.00834562 -81 *2797:serial_clock_out *627:40 0.000589426 -82 *2797:serial_load *2797:serial_load_out 5.52762e-05 -83 *2798:serial_clock_out *627:25 3.99086e-06 -84 *111:13 *627:13 0.000115827 -85 *111:25 *627:25 0.0114697 -86 *111:28 *627:28 0.00034899 -87 *111:30 *627:28 0.003768 -88 *111:35 *627:33 0.000530151 -89 *111:36 *627:34 0.00747852 -90 *111:41 *627:39 0.000543025 -91 *111:42 *627:40 0.00353023 -92 *111:63 *627:59 5.99856e-05 -93 *111:66 *627:62 0.00327757 -94 *111:69 *627:65 0.00834337 -95 *625:17 *627:19 0 -96 *626:47 *627:19 2.20702e-05 -*RES -1 *2811:serial_load_out *627:13 37.4584 -2 *627:13 *627:14 18.4421 -3 *627:14 *627:16 55.8148 -4 *627:16 *627:18 4.5 -5 *627:18 *627:19 2653.39 -6 *627:19 *627:21 4.5 -7 *627:21 *627:22 4401.01 -8 *627:22 *627:24 4.5 -9 *627:24 *627:25 122.736 -10 *627:25 *627:27 4.5 -11 *627:27 *627:28 68.2723 -12 *627:28 *627:33 15.824 -13 *627:33 *627:34 120.594 -14 *627:34 *627:39 15.824 -15 *627:39 *627:40 80.9375 -16 *627:40 *2797:serial_load_out 3.81442 -17 *627:27 *2798:serial_load 7.93031 -18 *627:14 *627:58 4.5 -19 *627:58 *627:59 249.74 -20 *627:59 *627:61 4.5 -21 *627:61 *627:62 52.908 -22 *627:62 *627:64 4.5 -23 *627:64 *627:65 87.2416 -24 *627:65 *2812:serial_load 8.46357 -*END - -*D_NET *628 1.19203 -*CONN -*I *2799:serial_load I *D gpio_control_block -*I *2798:serial_load_out O *D gpio_control_block -*I *2813:serial_load I *D gpio_control_block -*I *2812:serial_load_out O *D gpio_control_block -*CAP -1 *2799:serial_load 0.000693399 -2 *2798:serial_load_out 0.000828608 -3 *2813:serial_load 0.000958834 -4 *2812:serial_load_out 0.000351339 -5 *628:68 0.00307277 -6 *628:67 0.00224416 -7 *628:65 0.00123863 -8 *628:59 0.00367043 -9 *628:58 0.0031252 -10 *628:56 0.0882087 -11 *628:55 0.0882087 -12 *628:53 0.0168815 -13 *628:52 0.0168815 -14 *628:50 0.00175949 -15 *628:49 0.00208063 -16 *628:23 0.00220318 -17 *628:22 0.00124435 -18 *628:20 0.000450276 -19 *628:19 0.000450276 -20 *628:17 0.00130343 -21 *628:16 0.00197591 -22 *2798:serial_load_out *671:13 0.000200597 -23 *2798:serial_load_out *2650:10 3.84497e-05 -24 *2798:serial_load_out *2726:17 0.000219767 -25 *2799:serial_load *2799:serial_load_out 3.74656e-05 -26 *2799:serial_load *2799:user_gpio_oeb 4.69495e-06 -27 *2799:serial_load *672:13 3.84497e-05 -28 *2799:serial_load *2689:17 0.00207163 -29 *2813:serial_load *2813:serial_data_in 0.000963687 -30 *2813:serial_load *629:19 0.00237209 -31 *2813:serial_load *652:25 0.000150029 -32 *2813:serial_load *1720:40 0.000337843 -33 *2813:serial_load *2634:19 0.00248234 -34 *628:16 *652:13 0.000307023 -35 *628:16 *652:17 7.77309e-06 -36 *628:16 *2348:16 0.00117052 -37 *628:16 *2424:16 2.33103e-06 -38 *628:16 *2424:17 0.000171273 -39 *628:17 *2822:mprj_io_inp_dis[22] 0.000273267 -40 *628:17 *2822:mprj_io_slow_sel[22] 0.000158444 -41 *628:17 *652:17 0.000158371 -42 *628:17 *652:19 0.0197837 -43 *628:20 *652:22 0.00332815 -44 *628:23 *652:25 0 -45 *628:49 *652:17 0.000102003 -46 *628:49 *2633:12 0.0003013 -47 *628:53 *652:45 0.285646 -48 *628:53 *1711:20 0.00137797 -49 *628:56 *651:22 7.77309e-06 -50 *628:56 *652:48 0.256166 -51 *628:65 *2799:serial_load_out 0 -52 *628:65 *1708:20 0 -53 *628:65 *1715:17 0.000960665 -54 *628:65 *2689:17 0.000623421 -55 *628:68 *1707:20 0.0170024 -56 *628:68 *1709:20 0.017006 -57 *2798:serial_load *2798:serial_load_out 5.27207e-05 -58 *2813:serial_clock *2813:serial_load 0.000972097 -59 *106:56 *628:53 0.0212103 -60 *112:14 *628:16 0.00114957 -61 *112:17 *628:17 0.0198748 -62 *112:17 *628:49 6.50727e-05 -63 *112:20 *628:20 0.00328116 -64 *112:23 *628:23 0.00568799 -65 *112:41 *628:49 0.00142324 -66 *112:42 *628:50 0.00440928 -67 *112:45 *628:53 0.282585 -68 *622:32 *628:53 1.92336e-05 -*RES -1 *2812:serial_load_out *628:16 39.7408 -2 *628:16 *628:17 209.254 -3 *628:17 *628:19 4.5 -4 *628:19 *628:20 53.3233 -5 *628:20 *628:22 4.5 -6 *628:22 *628:23 59.5114 -7 *628:23 *2813:serial_load 40.2083 -8 *628:16 *628:49 20.1977 -9 *628:49 *628:50 72.8401 -10 *628:50 *628:52 4.5 -11 *628:52 *628:53 2986.71 -12 *628:53 *628:55 4.5 -13 *628:55 *628:56 4089.16 -14 *628:56 *628:58 4.5 -15 *628:58 *628:59 77.2587 -16 *628:59 *628:65 31.3594 -17 *628:65 *628:67 4.5 -18 *628:67 *628:68 272.576 -19 *628:68 *2798:serial_load_out 35.393 -20 *628:65 *2799:serial_load 31.3711 -*END - -*D_NET *629 1.35108 -*CONN -*I *2800:serial_load I *D gpio_control_block -*I *2799:serial_load_out O *D gpio_control_block -*I *2814:serial_load I *D gpio_control_block -*I *2813:serial_load_out O *D gpio_control_block -*CAP -1 *2800:serial_load 0.00121612 -2 *2799:serial_load_out 0.00116773 -3 *2814:serial_load 4.34505e-05 -4 *2813:serial_load_out 0.000670395 -5 *629:50 0.00327355 -6 *629:49 0.00210582 -7 *629:47 0.00172722 -8 *629:44 0.0313024 -9 *629:43 0.0307913 -10 *629:41 0.0214909 -11 *629:32 0.00097351 -12 *629:31 0.000930059 -13 *629:29 0.00280128 -14 *629:28 0.00280128 -15 *629:26 0.00228278 -16 *629:25 0.00228278 -17 *629:23 0.00977574 -18 *629:22 0.0312666 -19 *629:20 0.0025982 -20 *629:19 0.0032686 -21 *2799:serial_load_out *2799:user_gpio_oeb 5.38612e-06 -22 *2799:serial_load_out *2651:10 3.28031e-05 -23 *2799:serial_load_out *2651:11 0 -24 *2800:serial_load *2800:serial_load_out 8.64306e-05 -25 *2800:serial_load *654:51 0 -26 *2800:serial_load *672:14 9.35753e-06 -27 *2800:serial_load *673:7 8.15092e-05 -28 *2800:serial_load *673:8 1.66771e-05 -29 *2800:serial_load *1739:20 0.000356541 -30 *2800:serial_load *2652:11 0 -31 *2800:serial_load *2728:17 0.00022826 -32 *2814:serial_load *630:7 5.36925e-05 -33 *2814:serial_load *692:7 5.83673e-05 -34 *629:19 *2813:serial_data_in 0.000706559 -35 *629:19 *691:13 0.00069815 -36 *629:20 *2597:35 0.00210551 -37 *629:20 *2634:20 0.00281941 -38 *629:23 *653:21 0.00579002 -39 *629:23 *653:51 0.00165185 -40 *629:26 *631:30 0.0122871 -41 *629:26 *634:24 0.0110344 -42 *629:26 *692:14 0.00059791 -43 *629:29 *692:11 0.0117316 -44 *629:29 *2153:21 2.41483e-05 -45 *629:29 *2198:14 0.000649254 -46 *629:32 *2814:user_gpio_out 0.000741252 -47 *629:32 *692:8 0.00340272 -48 *629:41 *635:17 0 -49 *629:41 *640:19 0 -50 *629:41 *651:19 0.000992545 -51 *629:41 *653:51 0.320626 -52 *629:41 *1719:34 0.0005252 -53 *629:41 *1720:34 0.302098 -54 *629:44 *631:36 0.189156 -55 *629:44 *632:73 0 -56 *629:44 *635:14 4.3116e-06 -57 *629:44 *653:54 0.241211 -58 *629:44 *656:35 0.0496724 -59 *629:47 *2728:17 0.000495389 -60 *629:50 *659:25 0.0166787 -61 *629:50 *1708:20 0.0166823 -62 *2799:serial_load *2799:serial_load_out 3.74656e-05 -63 *2813:serial_load *629:19 0.00237209 -64 *113:19 *629:19 0.00135517 -65 *114:45 *2800:serial_load 0 -66 *117:47 *629:26 0.000709454 -67 *617:26 *629:26 7.77309e-06 -68 *620:48 *629:44 0.000515187 -69 *628:65 *2799:serial_load_out 0 -*RES -1 *2813:serial_load_out *629:19 30.4914 -2 *629:19 *629:20 111.251 -3 *629:20 *629:22 4.5 -4 *629:22 *629:23 305.201 -5 *629:23 *629:25 4.5 -6 *629:25 *629:26 219.424 -7 *629:26 *629:28 4.5 -8 *629:28 *629:29 126.064 -9 *629:29 *629:31 4.5 -10 *629:31 *629:32 55.1919 -11 *629:32 *2814:serial_load 3.66144 -12 *629:22 *629:41 3353.86 -13 *629:41 *629:43 4.5 -14 *629:43 *629:44 3851.64 -15 *629:44 *629:47 18.5339 -16 *629:47 *629:49 4.5 -17 *629:49 *629:50 266.348 -18 *629:50 *2799:serial_load_out 38.0895 -19 *629:47 *2800:serial_load 46.3523 -*END - -*D_NET *630 1.59125 -*CONN -*I *2800:serial_load_out O *D gpio_control_block -*I *2801:serial_load I *D gpio_control_block -*I *2815:serial_load I *D gpio_control_block -*I *2814:serial_load_out O *D gpio_control_block -*CAP -1 *2800:serial_load_out 0.000238916 -2 *2801:serial_load 0.000546735 -3 *2815:serial_load 0.000457018 -4 *2814:serial_load_out 0.000320238 -5 *630:47 0.00388869 -6 *630:46 0.00367613 -7 *630:35 0.00359032 -8 *630:34 0.00325614 -9 *630:32 0.000552667 -10 *630:29 0.00383422 -11 *630:28 0.00352047 -12 *630:26 0.0208753 -13 *630:25 0.0208753 -14 *630:23 0.0327933 -15 *630:22 0.0327933 -16 *630:20 0.00386594 -17 *630:19 0.00386594 -18 *630:8 0.0147977 -19 *630:7 0.0146609 -20 *2800:serial_load_out *2652:11 3.84497e-05 -21 *2801:serial_load *2801:serial_data_in 0 -22 *2801:serial_load *2801:serial_load_out 3.27908e-05 -23 *2801:serial_load *655:51 0.000623179 -24 *2801:serial_load *674:9 0.000105333 -25 *2801:serial_load *674:10 5.81291e-05 -26 *2815:serial_load *2815:serial_data_in 0 -27 *2815:serial_load *631:10 0.000103407 -28 *2815:serial_load *634:14 0.000357669 -29 *2815:serial_load *654:22 0.000354072 -30 *2815:serial_load *2636:13 0 -31 *630:7 *692:7 0 -32 *630:7 *2635:10 0.00021808 -33 *630:8 *632:61 0.00128572 -34 *630:8 *632:67 0.000382783 -35 *630:8 *633:29 0 -36 *630:8 *634:14 0.0478966 -37 *630:8 *654:22 0.00401131 -38 *630:20 *2602:22 0.00313886 -39 *630:20 *2674:17 0.000282447 -40 *630:23 *631:30 0.00107828 -41 *630:23 *645:37 1.04352e-05 -42 *630:23 *1722:27 0.201813 -43 *630:23 *1750:13 2.23142e-05 -44 *630:26 *643:35 0.051616 -45 *630:26 *654:40 0.402533 -46 *630:26 *655:42 0.402317 -47 *630:26 *657:31 0.0474219 -48 *630:29 *654:43 1.48618e-05 -49 *630:29 *655:45 0.0336423 -50 *630:29 *2063:14 0.00065835 -51 *630:29 *2215:14 0.000737797 -52 *630:29 *2480:14 0.000852576 -53 *630:35 *654:51 0 -54 *630:35 *654:53 0 -55 *630:35 *673:8 0 -56 *630:35 *2292:10 0.00019711 -57 *630:46 *2822:mprj_io_slow_sel[6] 0.000225624 -58 *630:47 *2822:mprj_io_analog_sel[6] 0.000570698 -59 *630:47 *2822:mprj_io_dm[18] 0.000198495 -60 *630:47 *2822:mprj_io_dm[19] 0.000312733 -61 *630:47 *2822:mprj_io_inp_dis[6] 0.000223881 -62 *630:47 *654:59 0 -63 *630:47 *673:20 0 -64 *630:47 *2064:14 0.00404338 -65 *630:47 *2094:16 9.80857e-05 -66 *630:47 *2216:14 0.00475023 -67 *630:47 *2254:8 0.000387981 -68 *630:47 *2406:14 0 -69 *630:47 *2482:14 0 -70 *2800:serial_load *2800:serial_load_out 8.64306e-05 -71 *2814:serial_load *630:7 5.36925e-05 -72 *103:24 *630:23 0.00699714 -73 *105:37 *630:23 0.165679 -74 *106:51 *630:8 0.000215994 -75 *106:55 *630:8 0.000395777 -76 *108:26 *630:23 0 -77 *114:36 *630:23 0.00151151 -78 *114:39 *630:26 4.42985e-05 -79 *114:42 *630:29 0.0328512 -80 *114:54 *630:35 0 -81 *114:54 *630:46 0 -82 *114:54 *630:47 0 -83 *617:20 *630:8 0.00236818 -84 *619:23 *630:26 2.01888e-05 -*RES -1 *2814:serial_load_out *630:7 4.65582 -2 *630:7 *630:8 765.273 -3 *630:8 *2815:serial_load 10.4327 -4 *630:8 *630:19 4.5 -5 *630:19 *630:20 117.745 -6 *630:20 *630:22 4.5 -7 *630:22 *630:23 3291.25 -8 *630:23 *630:25 3.36879 -9 *630:25 *630:26 508.518 -10 *630:26 *630:28 0.376635 -11 *630:28 *630:29 66.8039 -12 *630:29 *630:32 1.45914 -13 *630:32 *630:34 3.36879 -14 *630:34 *630:35 92.9799 -15 *630:35 *630:46 28.3221 -16 *630:46 *630:47 161.704 -17 *630:47 *2801:serial_load 28.6444 -18 *630:32 *2800:serial_load_out 0.828089 -*END - -*D_NET *631 1.13201 -*CONN -*I *2802:serial_load I *D gpio_control_block -*I *2801:serial_load_out O *D gpio_control_block -*I *2816:serial_load I *D gpio_control_block -*I *2815:serial_load_out O *D gpio_control_block -*CAP -1 *2802:serial_load 0.000379733 -2 *2801:serial_load_out 0.000135279 -3 *2816:serial_load 0.00931827 -4 *2815:serial_load_out 0.000368749 -5 *631:46 0.00889071 -6 *631:44 0.0087812 -7 *631:42 0.00277246 -8 *631:41 0.00274668 -9 *631:39 0.00203541 -10 *631:36 0.0331838 -11 *631:35 0.0315282 -12 *631:33 0.0756953 -13 *631:32 0.0756953 -14 *631:30 0.0221761 -15 *631:29 0.0253119 -16 *631:10 0.0128228 -17 *2801:serial_load_out *2801:serial_data_in 0 -18 *2801:serial_load_out *2653:10 3.74656e-05 -19 *2802:serial_load *2802:serial_load_out 3.32394e-05 -20 *2802:serial_load *2802:user_gpio_oeb 0 -21 *2802:serial_load *674:10 0.00018228 -22 *2802:serial_load *675:15 8.81049e-05 -23 *2802:serial_load *2445:11 0.00070788 -24 *2816:serial_load *655:7 0.000243127 -25 *2816:serial_load *2351:29 0 -26 *631:10 *632:55 0.000418887 -27 *631:10 *2636:13 0.000501796 -28 *631:29 *655:7 0.000878005 -29 *631:29 *2636:13 0.0110211 -30 *631:30 *692:14 0.0123116 -31 *631:36 *632:73 0 -32 *631:36 *664:16 0.0328703 -33 *631:42 *656:41 0.000979535 -34 *631:42 *656:50 6.7566e-05 -35 *631:42 *2027:13 7.22422e-05 -36 *2801:serial_load *2801:serial_load_out 3.27908e-05 -37 *2802:serial_clock *631:42 0.00187597 -38 *2802:serial_clock_out *2802:serial_load 0 -39 *2815:serial_clock *2816:serial_load 1.79334e-05 -40 *2815:serial_load *631:10 0.000103407 -41 *102:52 *631:33 0.0473954 -42 *105:37 *631:30 0.0248776 -43 *106:43 *631:10 0 -44 *114:36 *631:30 0.0015992 -45 *115:70 *631:42 0.00262156 -46 *115:70 *631:46 0.0254898 -47 *117:47 *631:30 0 -48 *117:50 *631:33 0.349432 -49 *617:29 *631:33 0.000254937 -50 *618:34 *2816:serial_load 0 -51 *620:48 *631:36 0.10349 -52 *620:54 *631:36 4.06778e-05 -53 *629:26 *631:30 0.0122871 -54 *629:44 *631:36 0.189156 -55 *630:23 *631:30 0.00107828 -*RES -1 *2815:serial_load_out *631:10 21.6258 -2 *631:10 *2816:serial_load 37.7681 -3 *631:10 *631:29 20.3995 -4 *631:29 *631:30 1031.45 -5 *631:30 *631:32 4.5 -6 *631:32 *631:33 3660 -7 *631:33 *631:35 4.5 -8 *631:35 *631:36 3019.47 -9 *631:36 *631:39 40.718 -10 *631:39 *631:41 4.5 -11 *631:41 *631:42 118.725 -12 *631:42 *631:44 0.732798 -13 *631:44 *631:46 406.703 -14 *631:46 *2801:serial_load_out 3.81442 -15 *631:39 *2802:serial_load 21.5845 -*END - -*D_NET *632 1.5041 -*CONN -*I *2786:serial_load I *D gpio_control_block -*I *2802:serial_load_out O *D gpio_control_block -*I *2817:serial_load I *D gpio_control_block -*I *2816:serial_load_out O *D gpio_control_block -*CAP -1 *2786:serial_load 0.000369547 -2 *2802:serial_load_out 0.000668407 -3 *2817:serial_load 0.00119658 -4 *2816:serial_load_out 0.000540142 -5 *632:87 0.00283532 -6 *632:85 0.00286936 -7 *632:78 0.00159673 -8 *632:73 0.0286165 -9 *632:72 0.0280918 -10 *632:70 0.0217397 -11 *632:69 0.0217397 -12 *632:67 0.00314104 -13 *632:66 0.00319389 -14 *632:61 0.00164276 -15 *632:60 0.00193362 -16 *632:55 0.0219508 -17 *632:54 0.0221414 -18 *632:49 0.00122217 -19 *632:48 0.000734841 -20 *632:43 0.000525643 -21 *632:42 0.000662306 -22 *632:37 0.00213348 -23 *632:20 0.00388453 -24 *632:18 0.00363374 -25 *632:15 0.0034357 -26 *2786:serial_load *2786:serial_load_out 0.000173619 -27 *2786:serial_load *2786:user_gpio_oeb 0 -28 *2786:serial_load *676:7 4.4596e-05 -29 *2786:serial_load *676:8 7.24334e-05 -30 *2802:serial_load_out *2802:user_gpio_oeb 1.65082e-05 -31 *2802:serial_load_out *675:15 0.000146558 -32 *2802:serial_load_out *2445:11 9.04626e-05 -33 *2802:serial_load_out *2654:10 0.000126851 -34 *2802:serial_load_out *2730:20 0.00016519 -35 *2817:serial_load *633:13 4.87207e-05 -36 *2817:serial_load *695:15 1.29018e-05 -37 *2817:serial_load *2638:13 4.97448e-06 -38 *632:15 *694:7 0 -39 *632:15 *2637:12 1.75765e-05 -40 *632:15 *2637:15 0 -41 *632:18 *2816:resetn 0.000966316 -42 *632:18 *633:29 0 -43 *632:18 *634:14 0.000380695 -44 *632:18 *655:24 0.000237959 -45 *632:18 *694:8 0 -46 *632:18 *2314:10 0.000191469 -47 *632:18 *2352:10 0 -48 *632:20 *634:14 0 -49 *632:20 *656:13 0.00171457 -50 *632:20 *695:16 0.0013475 -51 *632:20 *2010:16 0.00341621 -52 *632:20 *2157:8 0.0056292 -53 *632:20 *2158:8 0.00121298 -54 *632:20 *2160:17 0.00135285 -55 *632:20 *2238:34 0.00291625 -56 *632:20 *2314:10 1.07248e-05 -57 *632:20 *2314:12 0.000253189 -58 *632:37 *655:24 0.00123348 -59 *632:37 *694:8 0 -60 *632:37 *2237:22 0.00055967 -61 *632:42 *2822:mprj_io_holdover[25] 5.04829e-06 -62 *632:42 *2822:mprj_io_out[25] 0 -63 *632:43 *633:29 8.34211e-06 -64 *632:43 *694:8 0.00323808 -65 *632:43 *2155:8 2.60879e-06 -66 *632:43 *2351:30 0.00319881 -67 *632:43 *2465:19 2.77564e-05 -68 *632:48 *655:15 1.56384e-05 -69 *632:49 *633:29 0.000854443 -70 *632:49 *655:8 4.31485e-06 -71 *632:49 *2389:8 0.00423556 -72 *632:49 *2465:19 0.000907035 -73 *632:55 *2815:serial_data_in 0.000134984 -74 *632:55 *2815:user_gpio_oeb 5.38612e-06 -75 *632:55 *2815:user_gpio_out 5.38612e-06 -76 *632:55 *694:20 0 -77 *632:55 *2237:8 0.000258117 -78 *632:55 *2275:11 0.000717295 -79 *632:55 *2351:16 9.64979e-05 -80 *632:55 *2465:8 0 -81 *632:55 *2636:13 0 -82 *632:61 *633:29 0 -83 *632:67 *633:35 0.0268031 -84 *632:67 *1970:8 0.00168006 -85 *632:70 *633:38 0.41244 -86 *632:73 *644:72 0.0854181 -87 *632:78 *633:48 0.00299375 -88 *632:78 *2655:13 0.00318682 -89 *632:85 *2786:pad_gpio_in 0.000124334 -90 *632:85 *656:58 0.000883136 -91 *632:85 *2098:13 0.000124334 -92 *632:85 *2099:13 0.000569872 -93 *632:85 *2332:13 0.000420325 -94 *632:85 *2446:13 0.000543803 -95 *632:87 *656:50 0 -96 *632:87 *656:54 0.00743689 -97 *632:87 *656:58 0.00143768 -98 *632:87 *2255:8 0.0017491 -99 *2786:serial_clock_out *2786:serial_load 4.62112e-05 -100 *2802:serial_load *2802:serial_load_out 3.32394e-05 -101 *2815:serial_clock *632:55 0.000952497 -102 *104:52 *632:73 0.0687386 -103 *106:43 *632:55 0 -104 *106:51 *632:67 0.00217723 -105 *106:55 *632:67 0.0215675 -106 *113:63 *632:70 0.0467789 -107 *114:11 *632:55 0 -108 *115:32 *632:49 0.00156989 -109 *115:39 *632:37 0.00473871 -110 *115:43 *632:37 1.3813e-05 -111 *116:22 *632:20 0.00259912 -112 *116:64 *2802:serial_load_out 0 -113 *116:64 *632:87 1.38068e-05 -114 *116:65 *632:85 8.73869e-05 -115 *116:65 *632:87 0.0127131 -116 *617:20 *632:67 0.000204402 -117 *618:34 *632:18 0.0001184 -118 *618:34 *632:37 0.00080941 -119 *618:34 *632:43 0.000513186 -120 *618:34 *632:49 0.00067385 -121 *618:34 *632:61 0.000500468 -122 *618:34 *632:67 1.83572e-05 -123 *618:37 *632:70 0.412352 -124 *618:40 *632:73 0.0168879 -125 *619:26 *632:73 0.0695815 -126 *620:48 *632:73 0.000369557 -127 *620:54 *632:73 0.0675884 -128 *621:39 *632:70 0.00799443 -129 *629:44 *632:73 0 -130 *630:8 *632:61 0.00128572 -131 *630:8 *632:67 0.000382783 -132 *631:10 *632:55 0.000418887 -133 *631:36 *632:73 0 -*RES -1 *2816:serial_load_out *632:15 23.2383 -2 *632:15 *632:18 39.0948 -3 *632:18 *632:20 209.886 -4 *632:20 *2817:serial_load 42.3662 -5 *632:15 *632:37 96.5095 -6 *632:37 *632:42 12.4964 -7 *632:42 *632:43 52.4928 -8 *632:43 *632:48 10.3986 -9 *632:48 *632:49 68.2723 -10 *632:49 *632:54 19.7063 -11 *632:54 *632:55 628.863 -12 *632:55 *632:60 16.9332 -13 *632:60 *632:61 60.1749 -14 *632:61 *632:66 3.83355 -15 *632:66 *632:67 53.4304 -16 *632:67 *632:69 0.376635 -17 *632:69 *632:70 521.139 -18 *632:70 *632:72 3.36879 -19 *632:72 *632:73 2763.88 -20 *632:73 *632:78 44.6634 -21 *632:78 *632:85 37.4582 -22 *632:85 *632:87 203.645 -23 *632:87 *2802:serial_load_out 23.4926 -24 *632:78 *2786:serial_load 13.1756 -*END - -*D_NET *633 1.3459 -*CONN -*I *2788:serial_load I *D gpio_control_block -*I *2786:serial_load_out O *D gpio_control_block -*I *2818:serial_load I *D gpio_control_block -*I *2817:serial_load_out O *D gpio_control_block -*CAP -1 *2788:serial_load 0.000378645 -2 *2786:serial_load_out 0.000430408 -3 *2818:serial_load 0.000305557 -4 *2817:serial_load_out 0.000380499 -5 *633:61 0.00199633 -6 *633:60 0.00161769 -7 *633:48 0.00106545 -8 *633:43 0.00278552 -9 *633:41 0.0329237 -10 *633:40 0.0307732 -11 *633:38 0.0810149 -12 *633:37 0.0810149 -13 *633:35 0.00264661 -14 *633:34 0.00283487 -15 *633:29 0.0332546 -16 *633:28 0.0333529 -17 *633:14 0.00574571 -18 *633:13 0.00610719 -19 *2786:serial_load_out *2786:user_gpio_oeb 0 -20 *2786:serial_load_out *2786:user_gpio_out 0 -21 *2786:serial_load_out *676:8 0 -22 *2788:serial_load *2409:10 0.000822903 -23 *2818:serial_load *634:5 0.000198857 -24 *2818:serial_load *634:73 4.51392e-05 -25 *2818:serial_load *696:7 0.000288789 -26 *633:13 *2817:serial_data_in 0 -27 *633:13 *2817:user_gpio_oeb 0.000398386 -28 *633:13 *2638:13 0.000653802 -29 *633:14 *2817:resetn 0.000699573 -30 *633:14 *634:14 0 -31 *633:14 *634:73 4.82972e-05 -32 *633:14 *656:14 0.000460026 -33 *633:14 *657:8 0 -34 *633:14 *657:20 0 -35 *633:14 *657:24 0 -36 *633:14 *657:75 0 -37 *633:14 *695:16 0.000117452 -38 *633:14 *696:8 0 -39 *633:14 *2049:8 0.00686988 -40 *633:14 *2201:12 1.87125e-05 -41 *633:14 *2353:8 0.00570502 -42 *633:14 *2429:8 0.000721007 -43 *633:14 *2467:14 0.00395661 -44 *633:28 *2817:user_gpio_out 0.000124051 -45 *633:28 *656:14 0.000289251 -46 *633:28 *695:16 0.000156912 -47 *633:29 *2815:resetn 0 -48 *633:29 *642:52 0.0116512 -49 *633:29 *654:22 0 -50 *633:29 *655:8 0 -51 *633:29 *694:8 0.00170721 -52 *633:29 *695:16 0 -53 *633:29 *2009:16 0.00141212 -54 *633:29 *2009:28 0 -55 *633:29 *2160:8 0.00617883 -56 *633:29 *2237:14 0.00373116 -57 *633:29 *2351:30 0.00460529 -58 *633:29 *2352:10 0.000343785 -59 *633:29 *2352:12 0.00940401 -60 *633:29 *2389:8 0.000355675 -61 *633:29 *2390:20 8.35615e-06 -62 *633:29 *2390:25 0.00189039 -63 *633:29 *2465:19 0.00101426 -64 *633:29 *2466:8 0.00060115 -65 *633:35 *2814:user_gpio_oeb 0.000262245 -66 *633:38 *634:27 0.0465717 -67 *633:38 *641:48 0.0508275 -68 *633:38 *645:64 2.48636e-05 -69 *633:38 *649:35 0.0166651 -70 *633:38 *1713:20 0.0139187 -71 *633:38 *1714:20 0.0187242 -72 *633:41 *634:36 0.00812496 -73 *633:41 *642:64 0.000574068 -74 *633:41 *643:38 0.0519002 -75 *633:41 *644:78 0.0686461 -76 *633:41 *1711:17 0.0353422 -77 *633:41 *1712:17 0 -78 *633:41 *1713:17 0 -79 *633:43 *643:38 0.0168891 -80 *633:43 *1711:17 3.21704e-05 -81 *633:48 *2655:13 6.98314e-05 -82 *633:61 *2788:resetn_out 0.000242355 -83 *633:61 *2788:serial_data_in 0.000468302 -84 *633:61 *657:43 0.00125216 -85 *2786:serial_clock_out *2786:serial_load_out 1.4026e-05 -86 *2786:serial_clock_out *633:48 0.00122785 -87 *2786:serial_load *2786:serial_load_out 0.000173619 -88 *2788:serial_clock *633:61 2.42138e-05 -89 *2817:serial_clock *633:14 0.000108229 -90 *2817:serial_load *633:13 4.87207e-05 -91 *102:61 *633:41 0.01712 -92 *103:36 *633:41 0.0163703 -93 *109:17 *633:38 0.0198872 -94 *115:32 *633:29 0.0015663 -95 *115:36 *633:29 4.58666e-05 -96 *116:22 *633:28 1.49176e-05 -97 *116:28 *633:14 0.000149861 -98 *117:21 *633:14 0.000159454 -99 *117:22 *633:14 0 -100 *117:59 *633:43 0.0167655 -101 *118:34 *633:38 0.0508432 -102 *617:14 *633:14 0 -103 *618:34 *633:14 0.00155464 -104 *618:34 *633:35 0.026821 -105 *618:37 *633:38 4.42985e-05 -106 *618:40 *633:41 0.0169112 -107 *618:42 *633:41 0.000353381 -108 *619:47 *633:41 0.000495028 -109 *624:23 *633:38 0.0184632 -110 *630:8 *633:29 0 -111 *632:18 *633:29 0 -112 *632:43 *633:29 8.34211e-06 -113 *632:49 *633:29 0.000854443 -114 *632:61 *633:29 0 -115 *632:67 *633:35 0.0268031 -116 *632:70 *633:38 0.41244 -117 *632:78 *633:48 0.00299375 -*RES -1 *2817:serial_load_out *633:13 13.5812 -2 *633:13 *633:14 268.424 -3 *633:14 *2818:serial_load 4.96178 -4 *633:13 *633:28 13.2309 -5 *633:28 *633:29 1164.54 -6 *633:29 *633:34 4.13951 -7 *633:34 *633:35 53.3161 -8 *633:35 *633:37 0.376635 -9 *633:37 *633:38 521.292 -10 *633:38 *633:40 3.36879 -11 *633:40 *633:41 2493.14 -12 *633:41 *633:43 269.67 -13 *633:43 *633:48 44.1088 -14 *633:48 *2786:serial_load_out 13.9961 -15 *633:41 *633:60 4.5 -16 *633:60 *633:61 51.7469 -17 *633:61 *2788:serial_load 21.3464 -*END - -*D_NET *634 1.40783 -*CONN -*I *2804:serial_load I *D gpio_control_block -*I *2789:serial_load I *D gpio_control_block -*I *2788:serial_load_out O *D gpio_control_block -*I *2818:serial_load_out O *D gpio_control_block -*CAP -1 *2804:serial_load 0.000721637 -2 *2789:serial_load 0.00113867 -3 *2788:serial_load_out 0.00130999 -4 *2818:serial_load_out 0.000277549 -5 *634:74 0.00314842 -6 *634:73 0.00344067 -7 *634:36 0.00434041 -8 *634:35 0.00303042 -9 *634:33 0.00146594 -10 *634:30 0.019795 -11 *634:29 0.0194677 -12 *634:27 0.0251391 -13 *634:26 0.0251391 -14 *634:24 0.00176636 -15 *634:23 0.00176636 -16 *634:21 0.00273208 -17 *634:20 0.00273208 -18 *634:18 0.000871941 -19 *634:16 0.000918036 -20 *634:14 0.015973 -21 *634:13 0.0159913 -22 *634:8 0.00178322 -23 *634:7 0.00171881 -24 *634:5 0.00129144 -25 *2788:serial_load_out *2788:user_gpio_oeb 5.92996e-05 -26 *2788:serial_load_out *2788:user_gpio_out 7.01545e-06 -27 *2788:serial_load_out *677:13 0 -28 *2788:serial_load_out *2103:8 0 -29 *2788:serial_load_out *2656:12 4.17001e-05 -30 *2788:serial_load_out *2694:17 0.00120876 -31 *2789:serial_load *2789:user_gpio_oeb 0.000111611 -32 *2789:serial_load *2789:user_gpio_out 6.09945e-05 -33 *2789:serial_load *661:13 4.02086e-05 -34 *2789:serial_load *2411:13 0.00101164 -35 *2789:serial_load *2620:10 3.99335e-05 -36 *2789:serial_load *2620:11 0.00294073 -37 *2789:serial_load *2658:17 3.62662e-06 -38 *2789:serial_load *2696:17 0 -39 *2804:serial_load *679:9 1.29018e-05 -40 *2804:serial_load *2640:12 0 -41 *2804:serial_load *2640:13 0 -42 *2804:serial_load *2678:17 5.81099e-05 -43 *634:5 *2818:user_gpio_oeb 0 -44 *634:5 *2639:12 1.80858e-05 -45 *634:8 *2822:mprj_io_oeb[27] 3.61346e-05 -46 *634:13 *2822:mprj_io_ib_mode_sel[27] 1.82679e-05 -47 *634:14 *2815:resetn 0.000337722 -48 *634:14 *2816:resetn 0.000136087 -49 *634:14 *2817:resetn 0 -50 *634:14 *654:22 8.67443e-05 -51 *634:14 *655:8 0 -52 *634:14 *655:16 0.0079875 -53 *634:14 *656:14 0 -54 *634:14 *657:19 0.000193047 -55 *634:14 *694:19 0.000143066 -56 *634:14 *1972:19 0.00235848 -57 *634:14 *2011:8 0.00105054 -58 *634:14 *2154:14 1.87125e-05 -59 *634:14 *2156:23 0.000144315 -60 *634:14 *2158:8 0.00151271 -61 *634:14 *2162:19 0.00244485 -62 *634:14 *2426:8 0 -63 *634:14 *2427:8 0.00554363 -64 *634:14 *2429:8 0.000544663 -65 *634:18 *2152:8 9.22013e-06 -66 *634:18 *2153:24 0.00206477 -67 *634:18 *2198:17 7.77309e-06 -68 *634:18 *2426:8 0 -69 *634:27 *641:48 0.000137345 -70 *634:30 *642:58 7.75133e-06 -71 *634:30 *2655:16 0.00210161 -72 *634:30 *2696:14 0.0156222 -73 *634:33 *2620:11 0.000773529 -74 *634:36 *1711:17 0.0166862 -75 *634:73 *679:10 0 -76 *634:73 *2354:13 0.000536397 -77 *634:73 *2392:8 0.000383704 -78 *634:73 *2468:10 0 -79 *634:74 *658:36 0.00775064 -80 *634:74 *679:10 0.00368584 -81 *634:74 *2012:14 1.87125e-05 -82 *634:74 *2050:41 0.00246267 -83 *634:74 *2164:8 0.00566301 -84 *634:74 *2354:13 0.000217049 -85 *634:74 *2468:12 0.00408386 -86 *2815:serial_load *634:14 0.000357669 -87 *2816:serial_clock *634:14 0.000636412 -88 *2818:serial_load *634:5 0.000198857 -89 *2818:serial_load *634:73 4.51392e-05 -90 *102:55 *634:30 0.103228 -91 *106:37 *634:8 0.00147219 -92 *106:37 *634:14 0.00126442 -93 *106:37 *634:73 0.000100822 -94 *106:51 *634:14 0.000660552 -95 *106:55 *634:14 0.000750412 -96 *109:17 *634:27 1.22938e-05 -97 *113:28 *634:27 0.000436825 -98 *113:63 *634:27 0.321329 -99 *115:39 *634:14 1.3813e-05 -100 *115:43 *634:14 0.00152941 -101 *116:22 *634:14 0.00404022 -102 *117:22 *634:14 0.00358974 -103 *117:47 *634:24 8.6297e-06 -104 *118:8 *634:73 0.000142838 -105 *118:10 *634:73 0.00036422 -106 *118:31 *634:24 0 -107 *118:34 *634:27 0.349031 -108 *118:37 *634:30 0 -109 *119:14 *634:30 0.138349 -110 *617:11 *2804:serial_load 4.68456e-05 -111 *617:14 *634:8 0.00488671 -112 *617:14 *634:14 0.0872481 -113 *617:14 *634:73 0.000184595 -114 *617:20 *634:14 0.000556649 -115 *617:20 *634:18 0.00367182 -116 *617:23 *634:21 0.0111452 -117 *617:26 *634:24 0.0101468 -118 *618:34 *634:74 0.00197909 -119 *629:26 *634:24 0.0110344 -120 *630:8 *634:14 0.0478966 -121 *632:18 *634:14 0.000380695 -122 *632:20 *634:14 0 -123 *633:14 *634:14 0 -124 *633:14 *634:73 4.82972e-05 -125 *633:38 *634:27 0.0465717 -126 *633:41 *634:36 0.00812496 -*RES -1 *2818:serial_load_out *634:5 1.05756 -2 *634:5 *634:7 3.36879 -3 *634:7 *634:8 78.446 -4 *634:8 *634:13 10.278 -5 *634:13 *634:14 1508.48 -6 *634:14 *634:16 1.29461 -7 *634:16 *634:18 59.4421 -8 *634:18 *634:20 4.5 -9 *634:20 *634:21 118.854 -10 *634:21 *634:23 4.5 -11 *634:23 *634:24 177.899 -12 *634:24 *634:26 4.5 -13 *634:26 *634:27 3655.56 -14 *634:27 *634:29 4.5 -15 *634:29 *634:30 2208.9 -16 *634:30 *634:33 13.5424 -17 *634:33 *634:35 4.5 -18 *634:35 *634:36 266.348 -19 *634:36 *2788:serial_load_out 47.9302 -20 *634:33 *2789:serial_load 46.3235 -21 *634:5 *634:73 48.7106 -22 *634:73 *634:74 227.314 -23 *634:74 *2804:serial_load 28.9981 -*END - -*D_NET *635 1.19909 -*CONN -*I *2781:serial_load I *D gpio_control_block -*I *2803:serial_load I *D gpio_control_block -*I *2819:serial_load O *D housekeeping -*CAP -1 *2781:serial_load 9.57729e-05 -2 *2803:serial_load 0.000368812 -3 *2819:serial_load 0.00101881 -4 *635:38 0.0014122 -5 *635:20 0.00231628 -6 *635:19 0.00194747 -7 *635:17 0.0122146 -8 *635:16 0.0122146 -9 *635:14 0.0431594 -10 *635:13 0.0433769 -11 *635:8 0.00315153 -12 *635:7 0.00263643 -13 *2781:serial_load *2781:serial_data_in 0 -14 *2781:serial_load *2781:user_gpio_oeb 0 -15 *2781:serial_load *660:15 1.53776e-05 -16 *2803:serial_load *2803:resetn 0.00122161 -17 *2803:serial_load *2803:serial_data_in 0.000489918 -18 *2803:serial_load *2458:13 7.68538e-06 -19 *2803:serial_load *2629:19 0.00228493 -20 *635:8 *697:8 0.00849135 -21 *635:14 *653:54 0.000207612 -22 *635:14 *656:35 0 -23 *635:14 *2657:14 0.068222 -24 *635:14 *2668:14 0.00722607 -25 *635:17 *640:19 0.200619 -26 *635:38 *660:15 0.000410014 -27 *635:38 *697:8 0.000701757 -28 *2781:serial_clock *635:8 2.09495e-05 -29 *2781:serial_clock *635:38 8.52802e-05 -30 *2781:serial_load_out *2781:serial_load 9.52305e-06 -31 *2781:serial_load_out *635:38 0 -32 *113:66 *635:14 0.241788 -33 *119:8 *635:8 0.00976494 -34 *119:13 *635:13 0.000908319 -35 *119:14 *635:14 0.327733 -36 *119:17 *635:17 0.201994 -37 *119:20 *635:20 0.0022154 -38 *119:25 *2803:serial_load 0.000716904 -39 *616:41 *635:17 4.33819e-05 -40 *629:41 *635:17 0 -41 *629:44 *635:14 4.3116e-06 -*RES -1 *2819:serial_load *635:7 7.17999 -2 *635:7 *635:8 157.344 -3 *635:8 *635:13 18.5971 -4 *635:13 *635:14 5237.33 -5 *635:14 *635:16 4.5 -6 *635:16 *635:17 2113.76 -7 *635:17 *635:19 4.5 -8 *635:19 *635:20 74.5011 -9 *635:20 *2803:serial_load 42.5263 -10 *635:8 *635:38 47.1094 -11 *635:38 *2781:serial_load 8.46357 -*END - -*D_NET *636 0.235189 -*CONN -*I *2822:gpio_mode0_core I *D chip_io -*I *2826:gpio_mode0_pad O *D mgmt_core_wrapper -*CAP -1 *2822:gpio_mode0_core 0.000554763 -2 *2826:gpio_mode0_pad 0.000977702 -3 *636:22 0.00186551 -4 *636:10 0.0214783 -5 *636:9 0.0211452 -6 *636:10 *637:10 0.0429758 -7 *636:10 *638:10 0.00820288 -8 *73:16 *636:22 0.000116613 -9 *80:8 *636:22 0.000122276 -10 *85:28 *636:22 0.000130618 -11 *92:42 *636:22 0.000139048 -12 *615:10 *636:10 0.136757 -13 *615:16 *636:22 0.000722624 -*RES -1 *2826:gpio_mode0_pad *636:9 31.9677 -2 *636:9 *636:10 1428.27 -3 *636:10 *636:22 38.7063 -4 *636:22 *2822:gpio_mode0_core 12.5431 -*END - -*D_NET *637 0.0886475 -*CONN -*I *2822:gpio_mode1_core I *D chip_io -*I *2826:gpio_mode1_pad O *D mgmt_core_wrapper -*CAP -1 *2822:gpio_mode1_core 8.54102e-05 -2 *2826:gpio_mode1_pad 0.00100262 -3 *637:34 0.00163415 -4 *637:33 0.00167126 -5 *637:28 0.00178225 -6 *637:27 0.00165973 -7 *637:25 0.00109757 -8 *637:21 0.00186415 -9 *637:16 0.002649 -10 *637:15 0.00241511 -11 *637:10 0.00896187 -12 *637:9 0.0094318 -13 *637:10 *638:10 0.00516337 -14 *637:25 *2540:29 0.00282245 -15 *87:10 *637:16 0.00120024 -16 *89:60 *637:34 0.000307893 -17 *89:65 *637:33 0.000182014 -18 *91:16 *637:34 0.00125509 -19 *614:15 *637:34 0.00048572 -20 *636:10 *637:10 0.0429758 -*RES -1 *2826:gpio_mode1_pad *637:9 32.6761 -2 *637:9 *637:10 448.843 -3 *637:10 *637:15 23.2896 -4 *637:15 *637:16 66.1666 -5 *637:16 *637:21 27.0268 -6 *637:21 *637:25 45.8608 -7 *637:25 *637:27 4.5 -8 *637:27 *637:28 46.2009 -9 *637:28 *637:33 12.493 -10 *637:33 *637:34 48.4193 -11 *637:34 *2822:gpio_mode1_core 6.64954 -*END - -*D_NET *638 0.0325764 -*CONN -*I *2822:gpio_out_core I *D chip_io -*I *2826:gpio_out_pad O *D mgmt_core_wrapper -*CAP -1 *2822:gpio_out_core 0.00153135 -2 *2826:gpio_out_pad 0.000998136 -3 *638:10 0.0085959 -4 *638:9 0.00806268 -5 *615:10 *638:10 2.20702e-05 -6 *636:10 *638:10 0.00820288 -7 *637:10 *638:10 0.00516337 -*RES -1 *2826:gpio_out_pad *638:9 32.2608 -2 *638:9 *638:10 289.672 -3 *638:10 *2822:gpio_out_core 43.8268 -*END - -*D_NET *639 0.0127755 -*CONN -*I *2822:gpio_outenb_core I *D chip_io -*I *2826:gpio_outenb_pad O *D mgmt_core_wrapper -*CAP -1 *2822:gpio_outenb_core 0.000337533 -2 *2826:gpio_outenb_pad 8.22986e-05 -3 *639:16 0.00182137 -4 *639:15 0.00247183 -5 *639:7 0.00289312 -6 *639:5 0.00198742 -7 *639:16 *2540:29 0.000933841 -8 *82:60 *639:16 0 -9 *85:28 *639:15 0.000434669 -10 *92:42 *639:15 0.00181341 -*RES -1 *2826:gpio_outenb_pad *639:5 2.05183 -2 *639:5 *639:7 52.2851 -3 *639:7 *639:15 49.7385 -4 *639:15 *639:16 51.7469 -5 *639:16 *2822:gpio_outenb_core 12.6096 -*END - -*D_NET *640 1.23448 -*CONN -*I *2810:resetn I *D gpio_control_block -*I *2782:resetn I *D gpio_control_block -*I *2781:resetn_out O *D gpio_control_block -*I *2803:resetn_out O *D gpio_control_block -*CAP -1 *2810:resetn 0.000109906 -2 *2782:resetn 0.00106766 -3 *2781:resetn_out 0.000836155 -4 *2803:resetn_out 0.000272075 -5 *640:74 0.00103315 -6 *640:73 0.000923241 -7 *640:71 0.000459945 -8 *640:70 0.000459945 -9 *640:68 0.00285611 -10 *640:67 0.00285611 -11 *640:40 0.00676124 -12 *640:39 0.00601686 -13 *640:36 0.00115944 -14 *640:34 0.000972754 -15 *640:30 0.00199756 -16 *640:27 0.00115935 -17 *640:22 0.0392432 -18 *640:21 0.0391086 -19 *640:19 0.0134324 -20 *640:18 0.0134324 -21 *640:16 0.00152836 -22 *640:14 0.00170116 -23 *640:13 0.000444877 -24 *2781:resetn_out *2781:resetn 0.000427871 -25 *2781:resetn_out *2448:13 0 -26 *2782:resetn *2782:resetn_out 3.84497e-05 -27 *2782:resetn *2459:13 3.84497e-05 -28 *640:13 *688:23 0.00165828 -29 *640:13 *2344:13 0.000647089 -30 *640:14 *2344:16 0.00118284 -31 *640:14 *2458:16 0.00119147 -32 *640:16 *2344:16 0.000886497 -33 *640:16 *2458:16 0.00090859 -34 *640:19 *651:19 0 -35 *640:19 *1717:34 0.194074 -36 *640:22 *2537:12 0.307122 -37 *640:30 *2819:mgmt_gpio_in[13] 0 -38 *640:30 *2706:14 0.00269172 -39 *640:34 *659:25 0.000386873 -40 *640:34 *1707:20 0.000236262 -41 *640:34 *2706:17 3.21865e-05 -42 *640:40 *659:25 0.0170544 -43 *640:40 *1708:20 0.000331623 -44 *640:68 *2803:pad_gpio_in 0.000139301 -45 *640:68 *2822:mprj_io_inp_dis[19] 0.000288582 -46 *640:68 *1715:26 0.000218674 -47 *640:68 *1964:11 0.000277187 -48 *640:68 *2192:13 0.00801827 -49 *640:68 *2230:11 0.00362675 -50 *640:71 *1717:37 0.00340631 -51 *640:74 *650:13 7.06457e-05 -52 *640:74 *689:23 0.000702348 -53 *640:74 *1717:40 1.30651e-05 -54 *2781:serial_clock *2781:resetn_out 0.000184356 -55 *2781:serial_clock *640:40 0 -56 *100:17 *640:68 0.0214361 -57 *100:20 *640:71 3.31736e-05 -58 *100:23 *640:74 0.00964524 -59 *100:44 *640:22 0.30627 -60 *100:44 *640:30 2.35336e-05 -61 *100:50 *640:34 0.00267752 -62 *100:56 *640:34 0.000316096 -63 *110:13 *640:74 0.000177235 -64 *119:8 *640:40 0 -65 *616:16 *640:13 0.000317679 -66 *616:17 *640:68 2.41483e-05 -67 *616:20 *640:71 0.0033072 -68 *616:23 *640:74 0.00349464 -69 *616:41 *640:19 0.000183679 -70 *616:44 *640:22 0.00037434 -71 *625:17 *640:19 0.000270482 -72 *626:19 *640:74 0.00116519 -73 *626:47 *640:19 0.00035468 -74 *627:19 *640:19 9.93327e-05 -75 *629:41 *640:19 0 -76 *635:17 *640:19 0.200619 -*RES -1 *2803:resetn_out *640:13 31.4343 -2 *640:13 *640:14 19.2726 -3 *640:14 *640:16 52.908 -4 *640:16 *640:18 4.5 -5 *640:18 *640:19 2120.97 -6 *640:19 *640:21 4.5 -7 *640:21 *640:22 4906.79 -8 *640:22 *640:27 11.3872 -9 *640:27 *640:30 49.5182 -10 *640:30 *640:34 48.7704 -11 *640:34 *640:36 4.5 -12 *640:36 *640:39 6.3326 -13 *640:39 *640:40 273.407 -14 *640:40 *2781:resetn_out 38.0895 -15 *640:36 *2782:resetn 31.9257 -16 *640:14 *640:67 4.5 -17 *640:67 *640:68 225.892 -18 *640:68 *640:70 4.5 -19 *640:70 *640:71 54.569 -20 *640:71 *640:73 4.5 -21 *640:73 *640:74 106.098 -22 *640:74 *2810:resetn 8.46357 -*END - -*D_NET *641 1.12559 -*CONN -*I *2790:resetn I *D gpio_control_block -*I *2789:resetn_out O *D gpio_control_block -*I *2805:resetn I *D gpio_control_block -*I *2804:resetn_out O *D gpio_control_block -*CAP -1 *2790:resetn 0.000920065 -2 *2789:resetn_out 0.00114084 -3 *2805:resetn 0.000130443 -4 *2804:resetn_out 0.000450918 -5 *641:57 0.00336347 -6 *641:56 0.00222263 -7 *641:54 0.00113368 -8 *641:51 0.0277743 -9 *641:50 0.0275606 -10 *641:48 0.0471055 -11 *641:47 0.0471055 -12 *641:45 0.04287 -13 *641:44 0.04287 -14 *641:42 0.00481299 -15 *641:41 0.00481299 -16 *641:30 0.0012358 -17 *641:29 0.00382728 -18 *641:24 0.00400206 -19 *641:23 0.00195569 -20 *641:18 0.00309437 -21 *641:17 0.00241882 -22 *641:15 0.00804935 -23 *641:14 0.00804935 -24 *641:12 0.00477895 -25 *641:10 0.00522987 -26 *2789:resetn_out *2789:resetn 1.48422e-06 -27 *2789:resetn_out *2411:13 0 -28 *2790:resetn *2412:13 8.10016e-06 -29 *2790:resetn *2450:7 3.95327e-06 -30 *2790:resetn *2621:13 0 -31 *641:10 *2804:resetn 0.000902168 -32 *641:10 *2804:serial_data_in 0 -33 *641:10 *2469:10 1.59078e-05 -34 *641:12 *2822:mprj_io_analog_en[29] 0 -35 *641:12 *2822:mprj_io_analog_pol[29] 0 -36 *641:12 *2822:mprj_io_dm[87] 0 -37 *641:12 *2822:mprj_io_holdover[29] 0 -38 *641:12 *2822:mprj_io_ib_mode_sel[29] 0 -39 *641:12 *2822:mprj_io_inp_dis[29] 0 -40 *641:12 *2822:mprj_io_oeb[29] 0 -41 *641:12 *2822:mprj_io_out[29] 0 -42 *641:12 *2822:mprj_io_vtrip_sel[29] 0 -43 *641:12 *1975:18 0.000911644 -44 *641:12 *2168:10 0.0049625 -45 *641:12 *2203:34 0.000805424 -46 *641:12 *2241:38 0.000682126 -47 *641:12 *2469:10 0.000644508 -48 *641:48 *659:28 0 -49 *641:48 *1714:20 0.0330188 -50 *641:51 *659:25 0 -51 *641:51 *1709:20 0.0152896 -52 *641:51 *1710:20 0.0232553 -53 *641:54 *2621:13 0 -54 *641:57 *661:14 0.0164913 -55 *641:57 *677:14 0.000478785 -56 *641:57 *2105:16 0.0170978 -57 *641:57 *2221:8 0.00229056 -58 *2789:serial_clock *2789:resetn_out 0.000195568 -59 *2790:serial_clock *2790:resetn 1.48422e-06 -60 *2804:serial_clock *641:10 8.63825e-06 -61 *101:28 *641:24 0.00149321 -62 *101:33 *641:29 0.0143514 -63 *101:34 *641:30 0.00307479 -64 *101:58 *641:57 6.11207e-05 -65 *106:37 *641:12 0.00225303 -66 *109:17 *641:48 0.0464588 -67 *113:63 *641:48 2.37827e-05 -68 *115:58 *641:45 0.0507105 -69 *116:43 *641:45 0.033809 -70 *118:31 *641:45 0.0394342 -71 *118:34 *641:48 0.348924 -72 *617:32 *641:51 0.119761 -73 *617:38 *641:51 0 -74 *617:70 *641:10 0 -75 *617:70 *641:12 0 -76 *621:39 *641:48 0.000286435 -77 *633:38 *641:48 0.0508275 -78 *634:27 *641:48 0.000137345 -*RES -1 *2804:resetn_out *641:10 10.3861 -2 *641:10 *641:12 193.679 -3 *641:12 *641:14 4.5 -4 *641:14 *641:15 225.338 -5 *641:15 *641:17 4.5 -6 *641:17 *641:18 68.48 -7 *641:18 *641:23 9.23514 -8 *641:23 *641:24 50.0013 -9 *641:24 *641:29 24.8391 -10 *641:29 *641:30 50.0013 -11 *641:30 *2805:resetn 3.708 -12 *641:10 *641:41 4.5 -13 *641:41 *641:42 123.845 -14 *641:42 *641:44 4.5 -15 *641:44 *641:45 2107.58 -16 *641:45 *641:47 4.5 -17 *641:47 *641:48 3671.64 -18 *641:48 *641:50 4.5 -19 *641:50 *641:51 1941.47 -20 *641:51 *641:54 9.10562 -21 *641:54 *641:56 4.5 -22 *641:56 *641:57 273.615 -23 *641:57 *2789:resetn_out 6.03264 -24 *641:54 *2790:resetn 27.4989 -*END - -*D_NET *642 1.24465 -*CONN -*I *2791:resetn I *D gpio_control_block -*I *2790:resetn_out O *D gpio_control_block -*I *2806:resetn I *D gpio_control_block -*I *2805:resetn_out O *D gpio_control_block -*CAP -1 *2791:resetn 0.00158222 -2 *2790:resetn_out 0.000560714 -3 *2806:resetn 0.00079256 -4 *2805:resetn_out 0.000226768 -5 *642:67 0.00253104 -6 *642:66 0.00197032 -7 *642:64 0.00235622 -8 *642:63 0.00235622 -9 *642:61 0.00217413 -10 *642:58 0.0209476 -11 *642:57 0.0203557 -12 *642:55 0.0786477 -13 *642:54 0.0786477 -14 *642:52 0.0164622 -15 *642:51 0.0168612 -16 *642:37 0.00201607 -17 *642:31 0.00244619 -18 *642:28 0.00213716 -19 *642:25 0.00223943 -20 *642:17 0.00262244 -21 *642:16 0.00129749 -22 *642:14 0.00176334 -23 *642:13 0.00205944 -24 *642:8 0.00329728 -25 *642:7 0.00300118 -26 *642:5 0.000625698 -27 *2791:resetn *2791:resetn_out 1.94242e-05 -28 *2791:resetn *2299:13 0 -29 *2791:resetn *2413:13 0 -30 *2791:resetn *2451:7 1.94242e-05 -31 *642:5 *2471:13 0 -32 *642:8 *681:8 0 -33 *642:8 *1977:8 0 -34 *642:8 *2172:16 0.000541641 -35 *642:8 *2281:10 0 -36 *642:8 *2281:11 0 -37 *642:8 *2433:8 0 -38 *642:13 *1977:17 0.000110297 -39 *642:13 *2243:13 5.20754e-06 -40 *642:13 *2319:13 2.37827e-05 -41 *642:13 *2395:13 5.04829e-06 -42 *642:14 *2173:14 0.00366078 -43 *642:14 *2243:14 0.00505202 -44 *642:51 *2471:13 0 -45 *642:52 *657:20 0.00107026 -46 *642:52 *1973:8 0.000630292 -47 *642:52 *2051:10 0.00103579 -48 *642:52 *2151:8 0.00173861 -49 *642:52 *2160:8 0.000932536 -50 *642:52 *2165:20 0.000150051 -51 *642:52 *2166:8 0.000563374 -52 *642:52 *2169:16 0.000813097 -53 *642:52 *2202:8 0.000527202 -54 *642:52 *2202:26 0.000469038 -55 *642:52 *2237:14 0.000539902 -56 *642:52 *2315:8 0.000810191 -57 *642:52 *2390:25 0.000157966 -58 *642:52 *2468:24 0.00054386 -59 *642:58 *2622:14 0.0149299 -60 *642:58 *2658:14 0.0188396 -61 *642:58 *2661:14 0.0624552 -62 *642:58 *2696:14 0.0139597 -63 *642:61 *643:43 0 -64 *642:64 *1711:17 0.0180229 -65 *642:67 *2790:pad_gpio_in 0.000326366 -66 *642:67 *2109:13 0 -67 *2806:serial_clock *2806:resetn 0.00141252 -68 *2806:serial_load *2806:resetn 1.72594e-05 -69 *102:7 *642:5 0 -70 *102:7 *642:51 0 -71 *102:13 *642:52 0.00035568 -72 *102:25 *642:13 0.000137746 -73 *102:29 *642:17 0.0105187 -74 *102:37 *642:37 0.00507718 -75 *102:49 *642:52 0.162547 -76 *102:52 *642:55 0.411596 -77 *102:55 *642:58 0.0131767 -78 *102:61 *642:64 0.01684 -79 *106:37 *642:8 0.000434672 -80 *106:37 *642:52 0 -81 *106:56 *642:55 0 -82 *118:37 *642:58 0.0184923 -83 *618:8 *642:14 0.00122659 -84 *618:11 *642:17 0.0108287 -85 *618:19 *642:31 1.82679e-05 -86 *618:34 *642:52 0.161811 -87 *633:29 *642:52 0.0116512 -88 *633:41 *642:64 0.000574068 -89 *634:30 *642:58 7.75133e-06 -*RES -1 *2805:resetn_out *642:5 0.828089 -2 *642:5 *642:7 3.36879 -3 *642:7 *642:8 87.9968 -4 *642:8 *642:13 16.3786 -5 *642:13 *642:14 117.272 -6 *642:14 *642:16 4.5 -7 *642:16 *642:17 129.391 -8 *642:17 *642:25 31.9696 -9 *642:25 *642:28 30.209 -10 *642:28 *642:31 37.945 -11 *642:31 *642:37 35.6871 -12 *642:37 *2806:resetn 32.1161 -13 *642:5 *642:51 1.61212 -14 *642:51 *642:52 323.645 -15 *642:52 *642:54 0.376635 -16 *642:54 *642:55 519.686 -17 *642:55 *642:57 3.36879 -18 *642:57 *642:58 1652.67 -19 *642:58 *642:61 15.2063 -20 *642:61 *642:63 4.5 -21 *642:63 *642:64 287.941 -22 *642:64 *642:66 4.5 -23 *642:66 *642:67 50.6377 -24 *642:67 *2790:resetn_out 21.0442 -25 *642:61 *2791:resetn 41.354 -*END - -*D_NET *643 1.35823 -*CONN -*I *2791:resetn_out O *D gpio_control_block -*I *2792:resetn I *D gpio_control_block -*I *2807:resetn I *D gpio_control_block -*I *2806:resetn_out O *D gpio_control_block -*CAP -1 *2791:resetn_out 0.00158972 -2 *2792:resetn 0.000229615 -3 *2807:resetn 0.000734524 -4 *2806:resetn_out 0.00404707 -5 *643:47 0.00129289 -6 *643:46 0.00106328 -7 *643:44 0.0057477 -8 *643:43 0.0063912 -9 *643:40 0.00223322 -10 *643:38 0.0320073 -11 *643:37 0.0320073 -12 *643:35 0.0204188 -13 *643:34 0.0204188 -14 *643:32 0.00731137 -15 *643:31 0.00731137 -16 *643:29 0.00360022 -17 *643:16 0.00433475 -18 *643:14 0.0227223 -19 *643:13 0.0267693 -20 *2792:resetn *2792:pad_gpio_in 7.77309e-06 -21 *2792:resetn *2792:resetn_out 3.51785e-06 -22 *2792:resetn *644:98 0.000286446 -23 *2792:resetn *2452:7 4.02086e-05 -24 *2807:resetn *644:21 0.00014867 -25 *2807:resetn *2473:7 5.27207e-05 -26 *643:13 *2806:serial_data_in 0 -27 *643:13 *2282:11 0.00075158 -28 *643:13 *2472:7 0 -29 *643:14 *682:8 0.0110501 -30 *643:14 *683:8 8.07542e-05 -31 *643:14 *2054:16 0.00362062 -32 *643:14 *2174:16 0.00225543 -33 *643:14 *2320:8 0.000236262 -34 *643:14 *2358:12 0 -35 *643:14 *2472:8 0.000794755 -36 *643:29 *2807:user_gpio_oeb 0.00155432 -37 *643:29 *2722:11 0.00664542 -38 *643:35 *656:32 0.349875 -39 *643:35 *657:31 0.350081 -40 *643:35 *658:56 0 -41 *643:38 *657:34 2.15933e-05 -42 *643:38 *1711:17 0 -43 *643:38 *2690:14 0.024715 -44 *643:38 *2717:14 0.0382538 -45 *643:38 *2730:14 0.0378655 -46 *643:47 *644:75 0.000200794 -47 *643:47 *644:98 0.00321781 -48 *2791:resetn *2791:resetn_out 1.94242e-05 -49 *2791:serial_clock *2791:resetn_out 0.000181571 -50 *2792:serial_clock *2792:resetn 0.000265099 -51 *102:58 *2791:resetn_out 3.24705e-06 -52 *102:58 *643:43 2.16024e-05 -53 *103:8 *643:13 0 -54 *103:41 *643:47 0.00307357 -55 *104:49 *643:47 1.65872e-05 -56 *106:31 *643:14 0 -57 *106:37 *643:13 0 -58 *115:58 *643:32 0.0572142 -59 *116:43 *643:32 0.0572108 -60 *117:53 *643:44 0.0166526 -61 *618:42 *643:38 0.0170433 -62 *619:26 *643:38 0.0541289 -63 *630:26 *643:35 0.051616 -64 *633:41 *643:38 0.0519002 -65 *633:43 *643:38 0.0168891 -66 *642:61 *643:43 0 -*RES -1 *2806:resetn_out *643:13 17.8568 -2 *643:13 *643:14 690.943 -3 *643:14 *643:16 4.5 -4 *643:16 *2807:resetn 26.7237 -5 *643:16 *643:29 117.745 -6 *643:29 *643:31 4.5 -7 *643:31 *643:32 914.556 -8 *643:32 *643:34 4.5 -9 *643:34 *643:35 3659.44 -10 *643:35 *643:37 4.5 -11 *643:37 *643:38 2655.29 -12 *643:38 *643:40 4.5 -13 *643:40 *643:43 16.3155 -14 *643:43 *643:44 265.932 -15 *643:44 *643:46 4.5 -16 *643:46 *643:47 47.3101 -17 *643:47 *2792:resetn 13.1544 -18 *643:40 *2791:resetn_out 43.0178 -*END - -*D_NET *644 1.52002 -*CONN -*I *2792:resetn_out O *D gpio_control_block -*I *2793:resetn I *D gpio_control_block -*I *2808:resetn I *D gpio_control_block -*I *2807:resetn_out O *D gpio_control_block -*CAP -1 *2792:resetn_out 0.000147909 -2 *2793:resetn 0.000939636 -3 *2808:resetn 6.94771e-05 -4 *2807:resetn_out 0.00101163 -5 *644:98 0.000816205 -6 *644:78 0.00951153 -7 *644:77 0.00857189 -8 *644:75 0.000716023 -9 *644:72 0.0232051 -10 *644:71 0.0231573 -11 *644:69 0.0206057 -12 *644:68 0.0206057 -13 *644:66 0.00502135 -14 *644:65 0.00808057 -15 *644:60 0.0122686 -16 *644:59 0.00949902 -17 *644:46 0.00239398 -18 *644:45 0.00705452 -19 *644:40 0.00655794 -20 *644:39 0.0025013 -21 *644:36 0.00214285 -22 *644:31 0.00818429 -23 *644:30 0.00730364 -24 *644:24 0.00850389 -25 *644:21 0.00863709 -26 *2793:resetn *2793:resetn_out 6.19346e-06 -27 *2793:resetn *664:21 0.00325817 -28 *2793:resetn *2301:15 3.58208e-05 -29 *2793:resetn *2415:13 0.000738355 -30 *2793:resetn *2453:13 0.000136032 -31 *644:21 *2807:serial_data_in 0 -32 *644:21 *2473:8 0 -33 *644:65 *2822:mprj_io_holdover[34] 0.000174474 -34 *644:69 *657:31 1.00937e-05 -35 *644:69 *658:56 0.350102 -36 *644:72 *657:34 0.0962193 -37 *644:98 *2792:pad_gpio_in 4.3116e-06 -38 *2792:resetn *2792:resetn_out 3.51785e-06 -39 *2792:resetn *644:98 0.000286446 -40 *2792:serial_clock *2792:resetn_out 4.18503e-06 -41 *2792:serial_clock *644:98 7.61581e-05 -42 *2793:serial_clock *2793:resetn 0 -43 *2807:resetn *644:21 0.00014867 -44 *101:51 *644:69 0.00027562 -45 *103:18 *644:24 0 -46 *103:18 *644:60 0.0309044 -47 *103:23 *644:65 0.0131947 -48 *104:23 *644:31 0.00147548 -49 *104:43 *644:69 0.350717 -50 *104:46 *644:72 0.182745 -51 *104:49 *644:75 0.000107496 -52 *104:69 *644:75 0.000111722 -53 *104:69 *644:98 0.00325679 -54 *114:39 *644:69 0.0474171 -55 *618:40 *644:78 0.0686497 -56 *619:26 *644:72 0.000546928 -57 *620:42 *644:66 0.0143945 -58 *620:45 *644:69 1.92336e-05 -59 *620:48 *644:72 1.2693e-05 -60 *632:73 *644:72 0.0854181 -61 *633:41 *644:78 0.0686461 -62 *643:47 *644:75 0.000200794 -63 *643:47 *644:98 0.00321781 -*RES -1 *2807:resetn_out *644:21 39.7036 -2 *644:21 *644:24 30.6941 -3 *644:24 *644:30 16.0504 -4 *644:30 *644:31 200.381 -5 *644:31 *644:36 49.3574 -6 *644:36 *644:39 5.86636 -7 *644:39 *644:40 51.6623 -8 *644:40 *644:45 24.8391 -9 *644:45 *644:46 65.7808 -10 *644:46 *2808:resetn 3.59493 -11 *644:24 *644:59 1.3444 -12 *644:59 *644:60 61.3173 -13 *644:60 *644:65 20.8909 -14 *644:65 *644:66 230.428 -15 *644:66 *644:68 4.5 -16 *644:68 *644:69 3667.21 -17 *644:69 *644:71 4.5 -18 *644:71 *644:72 2918.56 -19 *644:72 *644:75 6.88721 -20 *644:75 *644:77 4.5 -21 *644:77 *644:78 1095.19 -22 *644:78 *2793:resetn 48.75 -23 *644:75 *644:98 48.5712 -24 *644:98 *2792:resetn_out 3.708 -*END - -*D_NET *645 1.39375 -*CONN -*I *2793:resetn_out O *D gpio_control_block -*I *2794:resetn I *D gpio_control_block -*I *2809:resetn I *D gpio_control_block -*I *2808:resetn_out O *D gpio_control_block -*CAP -1 *2793:resetn_out 0.00702063 -2 *2794:resetn 0.000598111 -3 *2809:resetn 5.90925e-05 -4 *2808:resetn_out 0.000652266 -5 *645:64 0.011696 -6 *645:49 0.00375514 -7 *645:48 0.00315703 -8 *645:46 0.00524233 -9 *645:40 0.0197221 -10 *645:39 0.0191551 -11 *645:37 0.0292212 -12 *645:36 0.0319215 -13 *645:22 0.00222962 -14 *645:21 0.00341968 -15 *645:15 0.00740142 -16 *645:8 0.00950484 -17 *2793:resetn_out *2453:13 0 -18 *2794:resetn *2794:resetn_out 0.00020979 -19 *2794:resetn *666:13 1.30651e-05 -20 *2794:resetn *1998:16 2.02035e-05 -21 *2794:resetn *2454:11 1.30651e-05 -22 *2794:resetn *2625:11 0.000115827 -23 *2794:resetn *2663:17 0.000167076 -24 *645:8 *2474:7 0 -25 *645:15 *2822:mprj_io_oeb[33] 0 -26 *645:15 *684:16 0.00165394 -27 *645:15 *2056:16 0.000691 -28 *645:15 *2070:8 0.00104687 -29 *645:15 *2208:8 0.000981074 -30 *645:15 *2246:8 0.00155459 -31 *645:15 *2474:8 0.00149094 -32 *645:36 *2474:7 3.76933e-05 -33 *645:37 *692:14 5.66868e-06 -34 *645:40 *652:45 0.231446 -35 *645:49 *2036:16 0 -36 *645:49 *2122:16 0 -37 *645:49 *2663:17 2.33103e-06 -38 *2793:resetn *2793:resetn_out 6.19346e-06 -39 *2793:serial_clock *2793:resetn_out 4.46752e-05 -40 *2793:serial_clock_out *2793:resetn_out 0.0206425 -41 *2794:serial_clock_out *2794:resetn 0.00159229 -42 *2794:serial_load *2794:resetn 4.65017e-05 -43 *2794:serial_load_out *2794:resetn 4.62974e-05 -44 *105:8 *645:8 0.000182478 -45 *105:16 *645:8 4.96282e-05 -46 *105:16 *645:15 0.0122898 -47 *105:36 *645:8 1.98647e-05 -48 *105:36 *645:36 0.0122961 -49 *105:37 *645:37 0.000511116 -50 *105:40 *645:40 0.287828 -51 *105:46 *645:64 0.0726834 -52 *106:56 *645:40 0.0281595 -53 *108:26 *645:37 0.229559 -54 *113:29 *645:40 0.0281073 -55 *618:37 *645:46 0.000155429 -56 *618:37 *645:64 0.0714636 -57 *621:16 *645:21 0 -58 *621:30 *645:37 0.22843 -59 *621:33 *645:40 1.65872e-05 -60 *622:32 *645:40 0.0053788 -61 *630:23 *645:37 1.04352e-05 -62 *633:38 *645:64 2.48636e-05 -*RES -1 *2808:resetn_out *645:8 2.43902 -2 *645:8 *645:15 36.147 -3 *645:15 *645:21 43.0631 -4 *645:21 *645:22 61.4207 -5 *645:22 *2809:resetn 3.59493 -6 *645:8 *645:36 19.514 -7 *645:36 *645:37 3667.89 -8 *645:37 *645:39 4.5 -9 *645:39 *645:40 3009.45 -10 *645:40 *645:46 18.8316 -11 *645:46 *645:48 3.36879 -12 *645:48 *645:49 83.8443 -13 *645:49 *2794:resetn 36.9803 -14 *645:46 *645:64 94.324 -15 *645:64 *2793:resetn_out 43.5061 -*END - -*D_NET *646 1.17973 -*CONN -*I *2794:resetn_out O *D gpio_control_block -*I *2795:resetn I *D gpio_control_block -*I *2783:resetn I *D gpio_control_block -*I *2809:resetn_out O *D gpio_control_block -*CAP -1 *2794:resetn_out 0.000379407 -2 *2795:resetn 0.000407943 -3 *2783:resetn 0.00116334 -4 *2809:resetn_out 0.00093759 -5 *646:61 0.00131309 -6 *646:56 0.0027019 -7 *646:43 0.00149181 -8 *646:38 0.00290984 -9 *646:35 0.00149247 -10 *646:34 0.00143471 -11 *646:32 0.0180016 -12 *646:31 0.0180016 -13 *646:29 0.0478048 -14 *646:28 0.0482506 -15 *646:15 0.00175072 -16 *646:10 0.00533469 -17 *646:8 0.00613073 -18 *2783:resetn *2783:resetn_out 4.79494e-05 -19 *2783:resetn *2822:mprj_io_vtrip_sel[35] 5.00688e-05 -20 *2783:resetn *647:31 1.29918e-05 -21 *2783:resetn *685:10 0.00166032 -22 *2783:resetn *2647:17 0.000178623 -23 *2794:resetn_out *2794:serial_data_in 0.00222312 -24 *2794:resetn_out *1998:16 0 -25 *2794:resetn_out *2454:11 6.66147e-05 -26 *2795:resetn *647:13 4.65017e-05 -27 *2795:resetn *1999:13 0 -28 *2795:resetn *2189:11 0.000647089 -29 *646:8 *2809:serial_data_in 0 -30 *646:29 *1723:27 0.161848 -31 *646:38 *2265:8 1.65872e-05 -32 *2783:serial_clock *2783:resetn 1.30277e-05 -33 *2794:resetn *2794:resetn_out 0.00020979 -34 *2794:serial_clock *2794:resetn_out 0.000178097 -35 *2794:serial_clock_out *2794:resetn_out 0.00242489 -36 *2795:serial_clock *2795:resetn 0.00280954 -37 *2795:serial_load *2795:resetn 0.00185781 -38 *106:13 *2783:resetn 0.000101509 -39 *106:56 *646:32 0.0269156 -40 *106:59 *646:35 0.00332329 -41 *106:62 *646:38 0.000591935 -42 *106:62 *646:56 0.00011818 -43 *106:67 *646:43 0.00106721 -44 *106:80 *646:56 0.0293722 -45 *106:85 *646:61 0.00222943 -46 *107:16 *646:35 5.41377e-05 -47 *107:28 *646:29 0.246546 -48 *111:19 *646:32 0.171911 -49 *113:48 *646:29 0.00901458 -50 *622:14 *646:8 0.000516232 -51 *622:14 *646:10 0.0137207 -52 *622:32 *646:32 0.266772 -53 *622:38 *646:56 0.000997462 -54 *622:56 *646:56 0.0276149 -55 *623:17 *646:38 0.000642796 -56 *623:17 *646:56 0.000845507 -57 *623:25 *646:32 0.0435782 -58 *625:20 *646:8 0 -59 *625:20 *646:10 0 -*RES -1 *2809:resetn_out *646:8 14.4322 -2 *646:8 *646:10 219.217 -3 *646:10 *646:15 24.6977 -4 *646:15 *2783:resetn 43.2267 -5 *646:8 *646:28 8.08779 -6 *646:28 *646:29 3938.22 -7 *646:29 *646:31 4.5 -8 *646:31 *646:32 2801.47 -9 *646:32 *646:34 4.5 -10 *646:34 *646:35 55.8148 -11 *646:35 *646:38 11.324 -12 *646:38 *646:43 46.9589 -13 *646:43 *2795:resetn 43.0178 -14 *646:38 *646:56 308.528 -15 *646:56 *646:61 46.5437 -16 *646:61 *2794:resetn_out 39.1356 -*END - -*D_NET *647 1.19581 -*CONN -*I *2796:resetn I *D gpio_control_block -*I *2784:resetn I *D gpio_control_block -*I *2783:resetn_out O *D gpio_control_block -*I *2795:resetn_out O *D gpio_control_block -*CAP -1 *2796:resetn 0.000496288 -2 *2784:resetn 0.00266787 -3 *2783:resetn_out 8.32529e-05 -4 *2795:resetn_out 0.000336113 -5 *647:58 0.00152603 -6 *647:31 0.00358853 -7 *647:28 0.0347417 -8 *647:27 0.0339043 -9 *647:25 0.013202 -10 *647:24 0.013202 -11 *647:22 0.00141628 -12 *647:21 0.00147728 -13 *647:17 0.00714953 -14 *647:16 0.00693794 -15 *647:13 0.00121527 -16 *2783:resetn_out *2647:17 2.39277e-06 -17 *2784:resetn *2477:7 1.74395e-05 -18 *2796:resetn *648:13 6.66147e-05 -19 *2796:resetn *667:26 0.000217335 -20 *2796:resetn *2592:20 1.45065e-05 -21 *647:13 *2795:serial_data_in 9.65932e-05 -22 *647:13 *667:13 0.00137984 -23 *647:13 *1999:13 0.000127162 -24 *647:17 *2822:mprj_io_dm[51] 0.00108889 -25 *647:17 *2000:23 0.0022549 -26 *647:17 *2265:8 0.00298926 -27 *647:17 *2266:8 7.25324e-05 -28 *647:17 *2418:23 0.0049819 -29 *647:22 *648:16 8.62625e-06 -30 *647:28 *653:36 0.0113761 -31 *647:28 *1721:27 0.242546 -32 *647:28 *1732:31 2.87578e-05 -33 *647:28 *2725:14 9.2668e-05 -34 *647:31 *2822:mprj_io_vtrip_sel[35] 0 -35 *647:31 *2438:7 0 -36 *647:31 *2647:17 0.000446772 -37 *2783:resetn *2783:resetn_out 4.79494e-05 -38 *2783:resetn *647:31 1.29918e-05 -39 *2783:serial_clock *2783:resetn_out 4.42044e-05 -40 *2784:serial_clock *2784:resetn 0.0176211 -41 *2784:serial_load *2784:resetn 0.0171462 -42 *2785:serial_load *2784:resetn 6.27234e-05 -43 *2795:resetn *647:13 4.65017e-05 -44 *2795:serial_clock *647:13 0.000120974 -45 *2796:serial_clock *2796:resetn 0.00265668 -46 *2796:serial_load *2796:resetn 0.00171139 -47 *107:13 *647:13 0.00185361 -48 *107:16 *647:16 0.00219003 -49 *107:17 *647:17 0.000330532 -50 *107:21 *647:17 4.33655e-05 -51 *107:21 *647:21 0.000292214 -52 *107:22 *647:22 0.00318737 -53 *107:25 *647:25 0.223402 -54 *107:28 *647:28 0.000305738 -55 *107:56 *647:58 0.00108687 -56 *108:16 *647:22 7.77309e-06 -57 *110:41 *647:25 1.92172e-05 -58 *623:17 *647:17 0.0419475 -59 *623:21 *647:17 0.00105057 -60 *623:25 *647:25 0.223502 -61 *623:28 *647:28 0.261661 -62 *624:17 *647:17 0.00085524 -63 *624:17 *647:21 0.000645042 -64 *624:23 *647:25 0 -65 *624:26 *647:28 0.00420177 -*RES -1 *2795:resetn_out *647:13 37.4584 -2 *647:13 *647:16 40.7979 -3 *647:16 *647:17 458.271 -4 *647:17 *647:21 11.324 -5 *647:21 *647:22 54.569 -6 *647:22 *647:24 4.5 -7 *647:24 *647:25 2337.27 -8 *647:25 *647:27 4.5 -9 *647:27 *647:28 4195.26 -10 *647:28 *647:31 6.74599 -11 *647:31 *2783:resetn_out 0.330903 -12 *647:31 *2784:resetn 37.9761 -13 *647:17 *647:58 46.5437 -14 *647:58 *2796:resetn 41.354 -*END - -*D_NET *648 1.01302 -*CONN -*I *2787:resetn I *D gpio_control_block -*I *2785:resetn I *D gpio_control_block -*I *2784:resetn_out O *D gpio_control_block -*I *2796:resetn_out O *D gpio_control_block -*CAP -1 *2787:resetn 0.000833572 -2 *2785:resetn 0.00115991 -3 *2784:resetn_out 0.000724617 -4 *2796:resetn_out 0.000284777 -5 *648:102 0.00164179 -6 *648:88 0.00197661 -7 *648:81 0.00144589 -8 *648:77 0.00315154 -9 *648:51 0.00424943 -10 *648:40 0.00487509 -11 *648:39 0.00135027 -12 *648:37 0.0046453 -13 *648:36 0.00490899 -14 *648:32 0.00391556 -15 *648:29 0.0038594 -16 *648:28 0.00272988 -17 *648:26 0.0732874 -18 *648:25 0.0732874 -19 *648:23 0.0383659 -20 *648:22 0.0385619 -21 *648:19 0.00100423 -22 *648:17 0.00229235 -23 *648:16 0.00316524 -24 *648:13 0.00115767 -25 *2784:resetn_out *2784:pad_gpio_in 0.000144202 -26 *2785:resetn *2785:resetn_out 7.29313e-05 -27 *2787:resetn *649:13 0.00292222 -28 *2787:resetn *2191:16 7.86825e-06 -29 *2787:resetn *2229:15 0 -30 *648:13 *668:14 0.00128008 -31 *648:13 *2592:20 0.000765201 -32 *648:13 *2627:13 0.000538827 -33 *648:16 *2592:25 0 -34 *648:17 *2822:mprj_io_slow_sel[18] 0.000941171 -35 *648:17 *2267:8 0.000282235 -36 *648:17 *2593:8 0.000712926 -37 *648:26 *1729:31 0.112334 -38 *648:32 *2078:10 0 -39 *648:32 *2477:8 0 -40 *648:36 *2078:10 0 -41 *648:37 *2784:mgmt_gpio_oeb 0 -42 *648:37 *1734:15 8.27252e-05 -43 *648:40 *2287:17 0.00275499 -44 *648:51 *2287:25 1.72594e-05 -45 *648:51 *2287:28 0.0142799 -46 *648:77 *649:65 0.000572261 -47 *648:88 *649:75 6.27288e-05 -48 *648:88 *1752:14 0.00161958 -49 *648:102 *649:16 0.000632265 -50 *2784:serial_clock_out *2784:resetn_out 0.00119948 -51 *2785:serial_clock *2785:resetn 0.00191025 -52 *2785:serial_load *648:77 0.0102175 -53 *2796:resetn *648:13 6.66147e-05 -54 *2796:serial_clock *648:13 0.000313928 -55 *107:21 *648:17 5.04829e-06 -56 *108:16 *648:16 0.00214375 -57 *108:17 *648:17 0.0380938 -58 *108:22 *648:22 0.000446326 -59 *108:23 *648:23 0.175813 -60 *108:26 *648:26 0.28261 -61 *108:29 *648:29 0.0111883 -62 *108:38 *648:32 0 -63 *108:38 *648:77 0.00042485 -64 *108:45 *648:77 0.0118708 -65 *108:73 *648:36 2.87136e-06 -66 *108:74 *648:37 0.0209641 -67 *108:77 *648:40 0.000477435 -68 *108:88 *648:51 0.00264936 -69 *108:102 *648:22 3.31882e-05 -70 *108:102 *648:102 0.00216439 -71 *109:14 *648:22 7.77309e-06 -72 *624:17 *648:17 0.0375096 -73 *647:22 *648:16 8.62625e-06 -*RES -1 *2796:resetn_out *648:13 36.3492 -2 *648:13 *648:16 40.7979 -3 *648:16 *648:17 398.929 -4 *648:17 *648:19 4.5 -5 *648:19 *648:22 12.5608 -6 *648:22 *648:23 1840.34 -7 *648:23 *648:25 4.5 -8 *648:25 *648:26 4516.87 -9 *648:26 *648:28 4.5 -10 *648:28 *648:29 120.518 -11 *648:29 *648:32 34.3615 -12 *648:32 *648:36 11.1074 -13 *648:36 *648:37 222.01 -14 *648:37 *648:39 4.5 -15 *648:39 *648:40 59.7597 -16 *648:40 *648:51 47.6098 -17 *648:51 *2784:resetn_out 28.6964 -18 *648:32 *648:77 48.2168 -19 *648:77 *648:81 22.3192 -20 *648:81 *648:88 40.3563 -21 *648:88 *2785:resetn 46.3293 -22 *648:19 *648:102 42.4589 -23 *648:102 *2787:resetn 41.354 -*END - -*D_NET *649 1.00117 -*CONN -*I *2785:resetn_out O *D gpio_control_block -*I *2787:resetn_out O *D gpio_control_block -*CAP -1 *2785:resetn_out 0.000429609 -2 *2787:resetn_out 0.000499282 -3 *649:83 0.00117103 -4 *649:75 0.00222124 -5 *649:71 0.00203282 -6 *649:65 0.00243698 -7 *649:64 0.00188397 -8 *649:62 0.0130655 -9 *649:61 0.0134143 -10 *649:56 0.0361494 -11 *649:55 0.0364308 -12 *649:50 0.0029077 -13 *649:49 0.0033069 -14 *649:44 0.00283366 -15 *649:43 0.00260785 -16 *649:38 0.00440049 -17 *649:37 0.00359692 -18 *649:35 0.00947158 -19 *649:34 0.010918 -20 *649:31 0.00300963 -21 *649:28 0.00236101 -22 *649:25 0.00225383 -23 *649:22 0.00199734 -24 *649:17 0.00392875 -25 *649:16 0.00369948 -26 *649:13 0.000811282 -27 *2785:resetn_out *2478:10 0 -28 *649:13 *668:29 0.000244105 -29 *649:13 *2628:11 0.00131609 -30 *649:17 *2133:17 0 -31 *649:17 *2191:17 0.000857657 -32 *649:22 *1714:23 0.000649112 -33 *649:55 *692:11 0 -34 *649:55 *2711:11 0 -35 *649:56 *1728:27 0.104255 -36 *649:56 *2599:17 0.0464532 -37 *649:56 *2603:25 0.0369417 -38 *649:56 *2605:17 0.00738924 -39 *649:56 *2637:16 0.00493556 -40 *649:56 *2678:14 0.00103927 -41 *649:56 *2718:14 0.0126083 -42 *649:56 *2724:14 0.00739552 -43 *649:62 *1726:27 0 -44 *649:62 *1731:27 0.0331472 -45 *2785:resetn *2785:resetn_out 7.29313e-05 -46 *2785:serial_clock *2785:resetn_out 0.00180607 -47 *2785:serial_clock_out *2785:resetn_out 0.00164619 -48 *2787:resetn *649:13 0.00292222 -49 *2787:serial_clock *649:13 3.11982e-05 -50 *108:45 *649:71 0.0003013 -51 *108:52 *649:75 0 -52 *108:55 *649:83 3.99086e-06 -53 *109:17 *649:35 0.159854 -54 *109:20 *649:44 0 -55 *109:29 *649:83 0.00023642 -56 *113:32 *649:38 0 -57 *622:29 *649:56 0.23143 -58 *624:23 *649:35 0.159859 -59 *633:38 *649:35 0.0166651 -60 *648:77 *649:65 0.000572261 -61 *648:88 *649:75 6.27288e-05 -62 *648:102 *649:16 0.000632265 -*RES -1 *2787:resetn_out *649:13 44.1902 -2 *649:13 *649:16 15.4675 -3 *649:16 *649:17 101.661 -4 *649:17 *649:22 30.3488 -5 *649:22 *649:25 44.6003 -6 *649:25 *649:28 26.2641 -7 *649:28 *649:31 47.9279 -8 *649:31 *649:34 39.9674 -9 *649:34 *649:35 1671.74 -10 *649:35 *649:37 4.5 -11 *649:37 *649:38 99.4163 -12 *649:38 *649:43 30.7983 -13 *649:43 *649:44 50.8318 -14 *649:44 *649:49 37.4536 -15 *649:49 *649:50 63.7046 -16 *649:50 *649:55 26.3615 -17 *649:55 *649:56 3863.68 -18 *649:56 *649:61 18.0424 -19 *649:61 *649:62 573.219 -20 *649:62 *649:64 4.5 -21 *649:64 *649:65 49.5285 -22 *649:65 *649:71 19.6672 -23 *649:71 *649:75 46.3818 -24 *649:75 *649:83 35.0345 -25 *649:83 *2785:resetn_out 35.1175 -*END - -*D_NET *650 1.1137 -*CONN -*I *2797:resetn I *D gpio_control_block -*I *2782:resetn_out O *D gpio_control_block -*I *2811:resetn I *D gpio_control_block -*I *2810:resetn_out O *D gpio_control_block -*CAP -1 *2797:resetn 0.000292421 -2 *2782:resetn_out 0.000470675 -3 *2811:resetn 0.000104588 -4 *2810:resetn_out 0.000352184 -5 *650:56 0.00374806 -6 *650:55 0.00327738 -7 *650:53 0.0030984 -8 *650:52 0.00339076 -9 *650:44 0.102097 -10 *650:43 0.101512 -11 *650:41 0.0134086 -12 *650:40 0.0134086 -13 *650:38 0.000472003 -14 *650:37 0.000571864 -15 *650:23 0.00227124 -16 *650:22 0.00216666 -17 *650:20 0.000447129 -18 *650:19 0.000447129 -19 *650:17 0.00138101 -20 *650:16 0.00148087 -21 *650:14 0.00149893 -22 *650:13 0.00185111 -23 *2782:resetn_out *2782:serial_data_in 0 -24 *2797:resetn *2797:resetn_out 3.54846e-05 -25 *2797:resetn *669:30 1.38068e-05 -26 *2797:resetn *2470:13 3.84497e-05 -27 *650:13 *688:13 0.00196404 -28 *650:13 *1717:40 0.000429755 -29 *650:13 *2631:19 0.000751257 -30 *650:17 *2822:mprj_io_holdover[20] 0.000943385 -31 *650:17 *2460:15 0.000380884 -32 *650:23 *2811:user_gpio_out 0.000708883 -33 *650:23 *1718:40 0.0111008 -34 *650:23 *2670:14 0.00187071 -35 *650:38 *2669:7 0.00339254 -36 *650:41 *1718:34 0.221239 -37 *650:53 *1728:24 0.000967885 -38 *650:53 *1733:11 0.00116106 -39 *650:56 *669:14 0.00708716 -40 *650:56 *669:20 0.00014709 -41 *650:56 *1976:20 0.00345874 -42 *650:56 *2159:14 0.00401861 -43 *650:56 *2242:14 0.00176662 -44 *650:56 *2356:14 0.000330754 -45 *650:56 *2470:14 0 -46 *2782:resetn *2782:resetn_out 3.84497e-05 -47 *2782:serial_clock *2782:resetn_out 0.000200179 -48 *2797:serial_clock *2797:resetn 0.000622168 -49 *100:23 *650:13 0.000859493 -50 *110:14 *650:14 0.00159945 -51 *110:17 *650:17 0.0214278 -52 *110:20 *650:20 0.00341139 -53 *110:37 *650:17 0.00011818 -54 *110:37 *650:37 0.00132347 -55 *110:38 *650:38 0.00337008 -56 *110:44 *650:44 0.292023 -57 *110:49 *2797:resetn 0.000113968 -58 *110:49 *650:53 0.0117713 -59 *110:52 *650:56 0.00245862 -60 *110:58 *650:56 0.00738597 -61 *625:17 *650:41 0 -62 *626:23 *650:17 0.0214789 -63 *626:23 *650:37 0.00138855 -64 *626:26 *650:20 0.00338534 -65 *626:29 *650:23 1.58551e-05 -66 *626:47 *650:41 0.22039 -67 *626:50 *650:44 0.000349754 -68 *626:72 *650:56 1.87269e-05 -69 *627:19 *650:41 0.000322971 -70 *640:74 *650:13 7.06457e-05 -*RES -1 *2810:resetn_out *650:13 43.5591 -2 *650:13 *650:14 55.3995 -3 *650:14 *650:16 4.5 -4 *650:16 *650:17 225.892 -5 *650:17 *650:19 4.5 -6 *650:19 *650:20 54.569 -7 *650:20 *650:22 4.5 -8 *650:22 *650:23 117.19 -9 *650:23 *2811:resetn 8.46357 -10 *650:16 *650:37 19.0885 -11 *650:37 *650:38 54.569 -12 *650:38 *650:40 4.5 -13 *650:40 *650:41 2321.18 -14 *650:41 *650:43 4.5 -15 *650:43 *650:44 4680.48 -16 *650:44 *650:52 28.5242 -17 *650:52 *650:53 125.509 -18 *650:53 *650:55 4.5 -19 *650:55 *650:56 268.839 -20 *650:56 *2782:resetn_out 25.6039 -21 *650:53 *2797:resetn 17.3667 -*END - -*D_NET *651 1.10985 -*CONN -*I *2812:resetn I *D gpio_control_block -*I *2797:resetn_out O *D gpio_control_block -*I *2798:resetn I *D gpio_control_block -*I *2811:resetn_out O *D gpio_control_block -*CAP -1 *2812:resetn 7.5637e-05 -2 *2797:resetn_out 0.0107253 -3 *2798:resetn 0.00114898 -4 *2811:resetn_out 0.000444552 -5 *651:62 0.00153701 -6 *651:61 0.00146137 -7 *651:59 0.00119209 -8 *651:58 0.00119209 -9 *651:56 0.00141419 -10 *651:55 0.00141419 -11 *651:25 0.0158393 -12 *651:22 0.104085 -13 *651:21 0.10012 -14 *651:19 0.0159744 -15 *651:18 0.0159744 -16 *651:16 0.00144959 -17 *651:14 0.00200136 -18 *651:13 0.000996324 -19 *2797:resetn_out *2822:mprj_io_oeb[3] 0.000223621 -20 *2797:resetn_out *1985:15 0.000104808 -21 *2797:resetn_out *2023:13 0.00011443 -22 *2797:resetn_out *2365:8 0.00015856 -23 *2798:resetn *2798:resetn_out 4.31122e-05 -24 *2798:resetn *2365:7 0 -25 *2798:resetn *2479:13 3.84497e-05 -26 *651:13 *689:11 0.00128653 -27 *651:19 *1719:34 0.253059 -28 *651:22 *652:48 0.257234 -29 *651:56 *2822:mprj_io_slow_sel[21] 0.000596249 -30 *651:62 *1719:40 0.00186647 -31 *651:62 *2709:11 0.000127162 -32 *2797:resetn *2797:resetn_out 3.54846e-05 -33 *2797:serial_clock *2797:resetn_out 3.54846e-05 -34 *2797:serial_clock_out *2797:resetn_out 0.000485728 -35 *2798:serial_clock *2798:resetn 0 -36 *111:13 *651:13 0.00175385 -37 *111:14 *651:14 0.000580107 -38 *111:14 *651:16 7.77309e-06 -39 *111:16 *651:16 0.00166362 -40 *111:30 *2797:resetn_out 0.000263705 -41 *111:42 *2797:resetn_out 0.000945643 -42 *111:63 *651:56 0.0221628 -43 *111:66 *651:59 0.00330212 -44 *111:69 *651:62 0.000446342 -45 *625:17 *651:19 0 -46 *627:19 *651:19 0.253743 -47 *627:28 *2797:resetn_out 0.000382728 -48 *627:40 *2797:resetn_out 0.000633806 -49 *627:59 *651:56 0.0221586 -50 *627:62 *651:59 7.77309e-06 -51 *627:65 *651:62 0.00834562 -52 *628:56 *651:22 7.77309e-06 -53 *629:41 *651:19 0.000992545 -54 *640:19 *651:19 0 -*RES -1 *2811:resetn_out *651:13 35.8711 -2 *651:13 *651:14 20.1031 -3 *651:14 *651:16 55.8148 -4 *651:16 *651:18 4.5 -5 *651:18 *651:19 2686.11 -6 *651:19 *651:21 4.5 -7 *651:21 *651:22 4424.89 -8 *651:22 *651:25 16.7662 -9 *651:25 *2798:resetn 4.34029 -10 *651:25 *2797:resetn_out 38.4896 -11 *651:14 *651:55 4.5 -12 *651:55 *651:56 232.548 -13 *651:56 *651:58 4.5 -14 *651:58 *651:59 53.7385 -15 *651:59 *651:61 4.5 -16 *651:61 *651:62 103.325 -17 *651:62 *2812:resetn 8.38708 -*END - -*D_NET *652 1.27836 -*CONN -*I *2799:resetn I *D gpio_control_block -*I *2798:resetn_out O *D gpio_control_block -*I *2813:resetn I *D gpio_control_block -*I *2812:resetn_out O *D gpio_control_block -*CAP -1 *2799:resetn 0.00120851 -2 *2798:resetn_out 0.00111018 -3 *2813:resetn 9.90838e-05 -4 *2812:resetn_out 0.000335866 -5 *652:60 0.00707351 -6 *652:59 0.00596333 -7 *652:57 0.00164941 -8 *652:51 0.00219034 -9 *652:50 0.00174944 -10 *652:48 0.0325471 -11 *652:47 0.0325471 -12 *652:45 0.0255882 -13 *652:44 0.0271786 -14 *652:40 0.00259049 -15 *652:25 0.00363511 -16 *652:24 0.00353602 -17 *652:22 0.00057065 -18 *652:21 0.00057065 -19 *652:19 0.0036395 -20 *652:17 0.00518571 -21 *652:13 0.000882014 -22 *2798:resetn_out *2798:serial_data_in 0.000181643 -23 *2799:resetn *2799:resetn_out 3.84497e-05 -24 *2799:resetn *2480:13 3.84497e-05 -25 *652:13 *690:13 2.99978e-05 -26 *652:13 *2348:13 1.65872e-05 -27 *652:13 *2424:17 0.000627856 -28 *652:13 *2462:13 0.00159452 -29 *652:17 *2348:16 4.3116e-06 -30 *652:19 *2822:mprj_io_analog_pol[22] 1.00981e-05 -31 *652:19 *2822:mprj_io_inp_dis[22] 0.000273267 -32 *652:19 *2822:mprj_io_slow_sel[22] 0.000151106 -33 *652:19 *2006:23 0.00494157 -34 *652:19 *2146:17 0 -35 *652:22 *1720:37 0.0033072 -36 *652:25 *653:17 0.00254286 -37 *652:25 *691:13 0.00021369 -38 *652:25 *1720:40 0 -39 *652:25 *2672:14 0.00186428 -40 *652:25 *2710:14 0.000120742 -41 *652:40 *2348:16 5.1493e-06 -42 *652:40 *2462:16 0.000878734 -43 *652:51 *2657:11 0.00282613 -44 *652:51 *2689:17 0.00139505 -45 *652:57 *1708:20 0 -46 *652:57 *1715:17 0.000835517 -47 *652:60 *1708:20 0.0170075 -48 *652:60 *1715:17 0 -49 *2798:resetn *2798:resetn_out 4.31122e-05 -50 *2798:serial_clock *2798:resetn_out 3.84497e-05 -51 *2813:serial_load *652:25 0.000150029 -52 *105:40 *652:45 0.000767815 -53 *106:56 *652:45 0.0226633 -54 *112:14 *652:17 0.00117561 -55 *112:23 *652:25 0 -56 *113:19 *652:25 0.000148162 -57 *621:33 *652:45 1.00846e-05 -58 *622:32 *652:45 0.000419843 -59 *627:28 *2798:resetn_out 4.88112e-06 -60 *628:16 *652:13 0.000307023 -61 *628:16 *652:17 7.77309e-06 -62 *628:17 *652:17 0.000158371 -63 *628:17 *652:19 0.0197837 -64 *628:20 *652:22 0.00332815 -65 *628:23 *652:25 0 -66 *628:49 *652:17 0.000102003 -67 *628:53 *652:45 0.285646 -68 *628:56 *652:48 0.256166 -69 *645:40 *652:45 0.231446 -70 *651:22 *652:48 0.257234 -*RES -1 *2812:resetn_out *652:13 31.9889 -2 *652:13 *652:17 27.1297 -3 *652:17 *652:19 208.145 -4 *652:19 *652:21 4.5 -5 *652:21 *652:22 56.6453 -6 *652:22 *652:24 4.5 -7 *652:24 *652:25 120.518 -8 *652:25 *2813:resetn 8.46357 -9 *652:17 *652:40 37.0973 -10 *652:40 *652:44 45.6466 -11 *652:44 *652:45 3002.79 -12 *652:45 *652:47 4.5 -13 *652:47 *652:48 4105.77 -14 *652:48 *652:50 4.5 -15 *652:50 *652:51 77.8133 -16 *652:51 *652:57 25.9555 -17 *652:57 *652:59 4.5 -18 *652:59 *652:60 272.576 -19 *652:60 *2798:resetn_out 39.1987 -20 *652:57 *2799:resetn 34.6987 -*END - -*D_NET *653 1.16493 -*CONN -*I *2800:resetn I *D gpio_control_block -*I *2799:resetn_out O *D gpio_control_block -*I *2814:resetn I *D gpio_control_block -*I *2813:resetn_out O *D gpio_control_block -*CAP -1 *2800:resetn 0.000767503 -2 *2799:resetn_out 0.000686511 -3 *2814:resetn 0.000168153 -4 *2813:resetn_out 0.000363352 -5 *653:60 0.00296225 -6 *653:59 0.00392574 -7 *653:54 0.0315317 -8 *653:53 0.0306492 -9 *653:51 0.0700622 -10 *653:42 0.000798131 -11 *653:41 0.00154785 -12 *653:36 0.00239136 -13 *653:35 0.00147348 -14 *653:33 0.00256143 -15 *653:32 0.00353644 -16 *653:27 0.0033025 -17 *653:26 0.00298952 -18 *653:21 0.00193187 -19 *653:20 0.071332 -20 *653:18 0.0030612 -21 *653:17 0.00342455 -22 *2800:resetn *2800:resetn_out 3.84497e-05 -23 *2800:resetn *672:14 0.000472372 -24 *2800:resetn *2481:13 3.84497e-05 -25 *2814:resetn *654:9 3.84374e-05 -26 *2814:resetn *2426:7 0 -27 *653:17 *691:13 0.000212268 -28 *653:17 *1720:40 0 -29 *653:18 *692:20 0.00478883 -30 *653:18 *2597:32 0.000208756 -31 *653:41 *2711:11 0 -32 *653:42 *2814:serial_data_in 0 -33 *653:42 *2426:8 0.00404251 -34 *653:54 *656:35 0.000499357 -35 *653:60 *672:14 0.0159428 -36 *653:60 *1738:20 0.000147411 -37 *2799:resetn *2799:resetn_out 3.84497e-05 -38 *2799:serial_clock *2799:resetn_out 4.31122e-05 -39 *2800:serial_clock *2800:resetn 0.000423878 -40 *2813:serial_clock *653:17 0 -41 *2814:serial_clock *2814:resetn 0 -42 *106:56 *653:27 0.00266842 -43 *109:20 *653:32 0 -44 *113:19 *653:17 0.00164764 -45 *113:29 *653:27 0.0232681 -46 *113:53 *653:41 0 -47 *113:54 *653:42 0.00374132 -48 *113:66 *653:54 0.241784 -49 *113:71 *653:59 0.00076693 -50 *113:72 *2800:resetn 4.70104e-05 -51 *113:72 *653:60 0.0162518 -52 *116:49 *653:60 0.0023207 -53 *622:32 *653:27 0.0112476 -54 *624:26 *653:36 0.0113797 -55 *629:23 *653:21 0.00579002 -56 *629:23 *653:51 0.00165185 -57 *629:41 *653:51 0.320626 -58 *629:44 *653:54 0.241211 -59 *635:14 *653:54 0.000207612 -60 *647:28 *653:36 0.0113761 -61 *652:25 *653:17 0.00254286 -*RES -1 *2813:resetn_out *653:17 40.4244 -2 *653:17 *653:18 112.704 -3 *653:18 *653:20 4.5 -4 *653:20 *653:21 60.6206 -5 *653:21 *653:26 24.5353 -6 *653:26 *653:27 260.278 -7 *653:27 *653:32 35.7471 -8 *653:32 *653:33 69.4942 -9 *653:33 *653:35 4.5 -10 *653:35 *653:36 182.051 -11 *653:36 *653:41 30.7983 -12 *653:41 *653:42 65.158 -13 *653:42 *2814:resetn 3.9674 -14 *653:20 *653:51 3370.49 -15 *653:51 *653:53 4.5 -16 *653:53 *653:54 3867.83 -17 *653:54 *653:59 31.9075 -18 *653:59 *653:60 264.687 -19 *653:60 *2799:resetn_out 29.7705 -20 *653:59 *2800:resetn 37.416 -*END - -*D_NET *654 1.55107 -*CONN -*I *2800:resetn_out O *D gpio_control_block -*I *2801:resetn I *D gpio_control_block -*I *2815:resetn I *D gpio_control_block -*I *2814:resetn_out O *D gpio_control_block -*CAP -1 *2800:resetn_out 0.000383491 -2 *2801:resetn 0.000513629 -3 *2815:resetn 0.000687457 -4 *2814:resetn_out 0.000100158 -5 *654:59 0.0022054 -6 *654:58 0.00178171 -7 *654:53 0.002706 -8 *654:51 0.00411214 -9 *654:46 0.00199879 -10 *654:43 0.0102334 -11 *654:42 0.0101141 -12 *654:40 0.0207884 -13 *654:39 0.0207884 -14 *654:37 0.0284365 -15 *654:36 0.0284365 -16 *654:34 0.00457376 -17 *654:33 0.00457376 -18 *654:22 0.00230999 -19 *654:21 0.00246178 -20 *654:16 0.0227851 -21 *654:15 0.0224141 -22 *654:10 0.00177032 -23 *654:9 0.00140224 -24 *2801:resetn *2801:resetn_out 3.84497e-05 -25 *2801:resetn *2482:13 3.84497e-05 -26 *2815:resetn *655:7 0.000243681 -27 *2815:resetn *655:8 0 -28 *2815:resetn *2427:7 0 -29 *2815:resetn *2465:7 0.00013393 -30 *654:10 *2814:mgmt_gpio_out 0.000461064 -31 *654:10 *2814:serial_data_in 0.00206503 -32 *654:10 *693:8 0 -33 *654:10 *1721:33 2.02035e-05 -34 *654:15 *2814:mgmt_gpio_in 1.80858e-05 -35 *654:37 *655:39 0.00460404 -36 *654:37 *656:29 0.172395 -37 *654:37 *657:28 0.157441 -38 *654:43 *2800:pad_gpio_in 0 -39 *654:43 *2822:mprj_io_holdover[4] 0 -40 *654:43 *2822:mprj_io_dm[16] 0 -41 *654:43 *2822:mprj_io_slow_sel[5] 0 -42 *654:43 *671:14 0.00159696 -43 *654:43 *2062:14 0.000706134 -44 *654:43 *2087:14 0.000739296 -45 *654:43 *2252:18 0.000266423 -46 *654:43 *2404:14 0.000788003 -47 *654:51 *673:8 0 -48 *654:53 *673:14 0.00468227 -49 *654:58 *2822:mprj_io_out[6] 5.04829e-06 -50 *654:59 *655:45 0.000781549 -51 *654:59 *673:14 0.000364639 -52 *654:59 *1988:14 0.00167723 -53 *654:59 *2026:14 0.000277764 -54 *654:59 *2330:16 0 -55 *654:59 *2444:16 0 -56 *654:59 *2482:14 0.00504902 -57 *2800:resetn *2800:resetn_out 3.84497e-05 -58 *2800:serial_clock *2800:resetn_out 4.31122e-05 -59 *2800:serial_clock_out *2800:resetn_out 0 -60 *2800:serial_load *654:51 0 -61 *2814:resetn *654:9 3.84374e-05 -62 *2814:serial_clock *654:9 4.31122e-05 -63 *2815:serial_load *654:22 0.000354072 -64 *103:27 *654:40 0.0515766 -65 *114:8 *654:15 0.000207453 -66 *114:11 *654:10 0 -67 *114:36 *654:37 0.0160613 -68 *114:39 *654:40 0.402618 -69 *114:42 *654:43 0.0324959 -70 *114:45 *2800:resetn_out 0 -71 *114:45 *654:46 0 -72 *114:54 *654:53 0.0046598 -73 *114:60 *2801:resetn 4.05974e-05 -74 *117:47 *654:37 0.0385186 -75 *620:45 *654:40 0.0474171 -76 *630:8 *654:22 0.00401131 -77 *630:26 *654:40 0.402533 -78 *630:29 *654:43 1.48618e-05 -79 *630:35 *654:51 0 -80 *630:35 *654:53 0 -81 *630:47 *654:59 0 -82 *633:29 *2815:resetn 0 -83 *633:29 *654:22 0 -84 *634:14 *2815:resetn 0.000337722 -85 *634:14 *654:22 8.67443e-05 -*RES -1 *2814:resetn_out *654:9 3.74791 -2 *654:9 *654:10 50.8318 -3 *654:10 *654:15 5.36335 -4 *654:15 *654:16 87.3786 -5 *654:16 *654:21 6.51071 -6 *654:21 *654:22 73.0477 -7 *654:22 *2815:resetn 16.738 -8 *654:22 *654:33 4.5 -9 *654:33 *654:34 119.409 -10 *654:34 *654:36 4.5 -11 *654:36 *654:37 3281.7 -12 *654:37 *654:39 3.36879 -13 *654:39 *654:40 508.518 -14 *654:40 *654:42 0.376635 -15 *654:42 *654:43 64.6321 -16 *654:43 *654:46 0.694236 -17 *654:46 *654:51 44.1612 -18 *654:51 *654:53 131.159 -19 *654:53 *654:58 10.8326 -20 *654:58 *654:59 100.662 -21 *654:59 *2801:resetn 24.7762 -22 *654:46 *2800:resetn_out 1.28703 -*END - -*D_NET *655 1.59083 -*CONN -*I *2801:resetn_out O *D gpio_control_block -*I *2802:resetn I *D gpio_control_block -*I *2816:resetn I *D gpio_control_block -*I *2815:resetn_out O *D gpio_control_block -*CAP -1 *2801:resetn_out 6.00917e-05 -2 *2802:resetn 9.03988e-05 -3 *2816:resetn 0.000465412 -4 *2815:resetn_out 0.000260152 -5 *655:51 0.00631397 -6 *655:50 0.00622357 -7 *655:48 0.000604784 -8 *655:45 0.00936046 -9 *655:44 0.00881577 -10 *655:42 0.0228364 -11 *655:41 0.0228364 -12 *655:39 0.0254746 -13 *655:38 0.0254746 -14 *655:36 0.00277465 -15 *655:35 0.00277465 -16 *655:24 0.000784371 -17 *655:16 0.00142615 -18 *655:15 0.00131259 -19 *655:8 0.00149753 -20 *655:7 0.00155228 -21 *2802:resetn *2802:resetn_out 4.02931e-05 -22 *2802:resetn *2483:7 3.46219e-05 -23 *2816:resetn *656:13 0.000219699 -24 *2816:resetn *2466:7 0.000237365 -25 *655:8 *2155:8 0.00435768 -26 *655:8 *2313:8 0.000260365 -27 *655:8 *2389:8 0.00527649 -28 *655:8 *2427:8 0 -29 *655:15 *2822:mprj_io_slow_sel[25] 5.04829e-06 -30 *655:15 *2465:19 2.41274e-06 -31 *655:16 *2154:14 0.00133223 -32 *655:16 *2199:8 1.87125e-05 -33 *655:39 *656:29 9.65083e-06 -34 *655:39 *657:28 0.157448 -35 *655:39 *658:53 0.13943 -36 *655:39 *1722:27 0 -37 *655:42 *656:32 0.0949067 -38 *655:45 *673:14 0.00132628 -39 *655:45 *1988:14 0.000797342 -40 *655:45 *2024:16 0.00082487 -41 *655:45 *2026:14 0.000890627 -42 *655:45 *2328:16 0.00110168 -43 *655:45 *2367:14 0.000666555 -44 *655:45 *2481:14 0.000758727 -45 *655:45 *2482:14 0.000642242 -46 *655:51 *2802:mgmt_gpio_out 0.000474471 -47 *655:51 *2802:pad_gpio_in 7.22422e-05 -48 *655:51 *673:20 4.69341e-05 -49 *655:51 *674:10 0.0149273 -50 *655:51 *1989:13 0.000246801 -51 *655:51 *2096:13 0.000569872 -52 *655:51 *2331:13 0.000666293 -53 *655:51 *2407:13 0.000268171 -54 *655:51 *2445:11 0.000305756 -55 *655:51 *2653:10 4.61962e-05 -56 *2801:resetn *2801:resetn_out 3.84497e-05 -57 *2801:serial_clock *2801:resetn_out 5.37048e-05 -58 *2801:serial_clock *655:48 3.11219e-05 -59 *2801:serial_load *655:51 0.000623179 -60 *2802:serial_clock *655:51 0.000502891 -61 *2815:resetn *655:7 0.000243681 -62 *2815:resetn *655:8 0 -63 *2815:serial_clock *655:7 5.22322e-05 -64 *2816:serial_clock *2816:resetn 0.000632814 -65 *2816:serial_load *655:7 0.000243127 -66 *114:60 *655:51 6.81013e-05 -67 *115:39 *655:16 0.00660821 -68 *115:43 *2816:resetn 3.31882e-05 -69 *115:43 *655:24 0.00146429 -70 *115:55 *655:36 0.0113427 -71 *115:58 *655:39 0.00773729 -72 *115:61 *655:42 0.39886 -73 *115:64 *655:45 0.051819 -74 *115:67 *655:48 0 -75 *115:70 *655:51 0.0315725 -76 *117:47 *655:39 0.0222239 -77 *118:31 *655:39 0.0338248 -78 *618:34 *655:8 0.000902363 -79 *630:26 *655:42 0.402317 -80 *630:29 *655:45 0.0336423 -81 *631:29 *655:7 0.000878005 -82 *632:18 *2816:resetn 0.000966316 -83 *632:18 *655:24 0.000237959 -84 *632:37 *655:24 0.00123348 -85 *632:48 *655:15 1.56384e-05 -86 *632:49 *655:8 4.31485e-06 -87 *633:29 *655:8 0 -88 *634:14 *2816:resetn 0.000136087 -89 *634:14 *655:8 0 -90 *634:14 *655:16 0.0079875 -91 *654:37 *655:39 0.00460404 -92 *654:59 *655:45 0.000781549 -*RES -1 *2815:resetn_out *655:7 5.11476 -2 *655:7 *655:8 97.5476 -3 *655:8 *655:15 13.0751 -4 *655:15 *655:16 128.484 -5 *655:16 *655:24 34.9623 -6 *655:24 *2816:resetn 20.4753 -7 *655:24 *655:35 4.5 -8 *655:35 *655:36 120.518 -9 *655:36 *655:38 4.5 -10 *655:38 *655:39 3023 -11 *655:39 *655:41 3.36879 -12 *655:41 *655:42 507.906 -13 *655:42 *655:44 0.376635 -14 *655:44 *655:45 102.81 -15 *655:45 *655:48 2.14755 -16 *655:48 *655:50 3.36879 -17 *655:50 *655:51 536.677 -18 *655:51 *2802:resetn 3.66144 -19 *655:48 *2801:resetn_out 0.292658 -*END - -*D_NET *656 1.24229 -*CONN -*I *2786:resetn I *D gpio_control_block -*I *2802:resetn_out O *D gpio_control_block -*I *2817:resetn I *D gpio_control_block -*I *2816:resetn_out O *D gpio_control_block -*CAP -1 *2786:resetn 0.000158624 -2 *2802:resetn_out 0.000234664 -3 *2817:resetn 0.000575653 -4 *2816:resetn_out 0.00641732 -5 *656:58 0.00278708 -6 *656:56 0.00267455 -7 *656:54 0.00258555 -8 *656:52 0.00262623 -9 *656:50 0.00159675 -10 *656:41 0.00213558 -11 *656:40 0.00187225 -12 *656:35 0.0130088 -13 *656:34 0.0115275 -14 *656:32 0.0755808 -15 *656:31 0.0755808 -16 *656:29 0.0218911 -17 *656:28 0.0250406 -18 *656:14 0.00395436 -19 *656:13 0.00664649 -20 *2786:resetn *2484:9 0.000153859 -21 *2802:resetn_out *2483:7 0 -22 *2817:resetn *657:7 0.000273534 -23 *2817:resetn *2467:7 0.000273534 -24 *656:13 *2817:user_gpio_out 0.000237706 -25 *656:13 *1972:19 0.000302851 -26 *656:13 *2010:16 0.00050095 -27 *656:13 *2158:8 0.00071916 -28 *656:13 *2160:17 0.000198288 -29 *656:13 *2428:8 0.000106221 -30 *656:28 *2817:user_gpio_out 5.13735e-05 -31 *656:28 *2638:13 0.0104278 -32 *656:28 *2677:10 0 -33 *656:29 *657:28 6.34651e-06 -34 *656:29 *1722:27 4.13721e-05 -35 *656:32 *657:31 8.15039e-05 -36 *656:35 *2668:14 0.0096963 -37 *656:35 *2695:14 0.00774769 -38 *656:40 *2802:pad_gpio_in 0.000644917 -39 *656:40 *2096:13 2.14855e-05 -40 *656:50 *2255:8 0.000613356 -41 *656:50 *2445:11 4.69341e-05 -42 *656:50 *2445:14 0 -43 *656:54 *2255:8 0.00215736 -44 *656:58 *2255:8 0.00143367 -45 *2786:serial_clock *2786:resetn 1.43671e-05 -46 *2802:resetn *2802:resetn_out 4.02931e-05 -47 *2802:serial_clock *2802:resetn_out 6.16945e-05 -48 *2802:serial_clock *656:50 4.2993e-05 -49 *2816:resetn *656:13 0.000219699 -50 *2816:serial_clock *656:13 0.000219699 -51 *103:27 *656:32 2.42273e-05 -52 *106:37 *656:13 0.0111911 -53 *106:43 *656:13 0.000431282 -54 *114:36 *656:29 0.172355 -55 *116:22 *656:13 0.00115896 -56 *116:22 *656:14 0.000265893 -57 *116:56 *2802:resetn_out 0 -58 *116:64 *656:50 0.000862881 -59 *617:14 *656:13 0.000451188 -60 *618:34 *656:13 0.00161426 -61 *620:48 *656:35 0.0793754 -62 *629:44 *656:35 0.0496724 -63 *631:42 *656:41 0.000979535 -64 *631:42 *656:50 6.7566e-05 -65 *632:20 *656:13 0.00171457 -66 *632:85 *656:58 0.000883136 -67 *632:87 *656:50 0 -68 *632:87 *656:54 0.00743689 -69 *632:87 *656:58 0.00143768 -70 *633:14 *2817:resetn 0.000699573 -71 *633:14 *656:14 0.000460026 -72 *633:28 *656:14 0.000289251 -73 *634:14 *2817:resetn 0 -74 *634:14 *656:14 0 -75 *635:14 *656:35 0 -76 *643:35 *656:32 0.349875 -77 *653:54 *656:35 0.000499357 -78 *654:37 *656:29 0.172395 -79 *655:39 *656:29 9.65083e-06 -80 *655:42 *656:32 0.0949067 -*RES -1 *2816:resetn_out *656:13 39.0013 -2 *656:13 *656:14 12.6286 -3 *656:14 *2817:resetn 16.6833 -4 *656:14 *656:28 23.0034 -5 *656:28 *656:29 2755.16 -6 *656:29 *656:31 4.5 -7 *656:31 *656:32 3658.89 -8 *656:32 *656:34 4.5 -9 *656:34 *656:35 1269.18 -10 *656:35 *656:40 44.6634 -11 *656:40 *656:41 16.1582 -12 *656:41 *2802:resetn_out 3.9674 -13 *656:41 *656:50 51.1982 -14 *656:50 *656:52 2.41823 -15 *656:52 *656:54 118.567 -16 *656:54 *656:56 1.29461 -17 *656:56 *656:58 96.9248 -18 *656:58 *2786:resetn 3.81442 -*END - -*D_NET *657 1.45811 -*CONN -*I *2818:resetn I *D gpio_control_block -*I *2786:resetn_out O *D gpio_control_block -*I *2788:resetn I *D gpio_control_block -*I *2817:resetn_out O *D gpio_control_block -*CAP -1 *2818:resetn 0.00111673 -2 *2786:resetn_out 0.000589756 -3 *2788:resetn 8.65689e-05 -4 *2817:resetn_out 0.000454642 -5 *657:75 0.00190286 -6 *657:55 0.00275672 -7 *657:43 0.000980232 -8 *657:40 0.00341233 -9 *657:39 0.00284076 -10 *657:36 0.00248906 -11 *657:34 0.0122068 -12 *657:33 0.0122068 -13 *657:31 0.0204155 -14 *657:30 0.0204155 -15 *657:28 0.0199606 -16 *657:27 0.0199606 -17 *657:25 0.00442772 -18 *657:24 0.00457591 -19 *657:20 0.00310959 -20 *657:19 0.00270134 -21 *657:8 0.0020171 -22 *657:7 0.00194568 -23 *2788:resetn *2788:resetn_out 3.28031e-05 -24 *2788:resetn *2485:7 3.84497e-05 -25 *2818:resetn *2468:10 2.11882e-05 -26 *2818:resetn *2677:17 0 -27 *657:7 *2817:serial_data_in 0 -28 *657:7 *2467:7 0 -29 *657:8 *696:8 0 -30 *657:8 *1973:8 0.00448586 -31 *657:8 *2161:8 0.00494693 -32 *657:8 *2201:8 0.00022036 -33 *657:8 *2315:8 0.000677308 -34 *657:8 *2353:8 0 -35 *657:8 *2467:8 0.000206811 -36 *657:19 *2011:8 0.000185867 -37 *657:19 *2162:19 5.04829e-06 -38 *657:20 *2822:mprj_io_analog_en[27] 0.000264004 -39 *657:20 *696:8 0.00167057 -40 *657:20 *2239:20 0.00359789 -41 *657:20 *2391:26 0.00117555 -42 *657:20 *2467:14 0 -43 *657:24 *696:8 0.000163304 -44 *657:31 *658:56 2.18041e-06 -45 *657:40 *2655:16 0.0168907 -46 *657:55 *2786:pad_gpio_in 0.000914023 -47 *657:55 *2099:13 0 -48 *2788:serial_clock *2788:resetn 4.69495e-06 -49 *2788:serial_clock *657:43 0.00299817 -50 *2817:resetn *657:7 0.000273534 -51 *2817:serial_clock *657:7 0.000307994 -52 *103:27 *657:31 0.349523 -53 *103:30 *657:40 0 -54 *104:43 *657:31 1.41291e-05 -55 *104:46 *657:34 5.1493e-06 -56 *106:37 *657:75 0.000215356 -57 *117:56 *657:43 0.000713935 -58 *118:8 *2818:resetn 0 -59 *119:14 *657:40 0.0180019 -60 *617:14 *657:75 0.00139838 -61 *618:34 *657:8 0.000889337 -62 *619:26 *657:34 0.0962116 -63 *620:45 *657:31 0.000236869 -64 *620:48 *657:34 2.55661e-06 -65 *630:26 *657:31 0.0474219 -66 *633:14 *657:8 0 -67 *633:14 *657:20 0 -68 *633:14 *657:24 0 -69 *633:14 *657:75 0 -70 *633:61 *657:43 0.00125216 -71 *634:14 *657:19 0.000193047 -72 *642:52 *657:20 0.00107026 -73 *643:35 *657:31 0.350081 -74 *643:38 *657:34 2.15933e-05 -75 *644:69 *657:31 1.00937e-05 -76 *644:72 *657:34 0.0962193 -77 *654:37 *657:28 0.157441 -78 *655:39 *657:28 0.157448 -79 *656:29 *657:28 6.34651e-06 -80 *656:32 *657:31 8.15039e-05 -*RES -1 *2817:resetn_out *657:7 5.34423 -2 *657:7 *657:8 109.59 -3 *657:8 *657:19 32.5074 -4 *657:19 *657:20 112.289 -5 *657:20 *657:24 10.0693 -6 *657:24 *657:25 114.417 -7 *657:25 *657:27 4.5 -8 *657:27 *657:28 2515.35 -9 *657:28 *657:30 4.5 -10 *657:30 *657:31 3660 -11 *657:31 *657:33 4.5 -12 *657:33 *657:34 1537.85 -13 *657:34 *657:36 4.5 -14 *657:36 *657:39 10.2148 -15 *657:39 *657:40 287.526 -16 *657:40 *657:43 46.2641 -17 *657:43 *2788:resetn 8.46357 -18 *657:36 *657:55 53.9653 -19 *657:55 *2786:resetn_out 22.2334 -20 *657:20 *657:75 42.9186 -21 *657:75 *2818:resetn 33.9741 -*END - -*D_NET *658 1.43321 -*CONN -*I *2789:resetn I *D gpio_control_block -*I *2788:resetn_out O *D gpio_control_block -*I *2804:resetn I *D gpio_control_block -*I *2818:resetn_out O *D gpio_control_block -*CAP -1 *2789:resetn 0.00106564 -2 *2788:resetn_out 0.000777579 -3 *2804:resetn 0.000655478 -4 *2818:resetn_out 0.00582432 -5 *658:78 0.00672078 -6 *658:63 0.011325 -7 *658:61 0.00493781 -8 *658:59 0.0285797 -9 *658:58 0.0285342 -10 *658:56 0.0209785 -11 *658:55 0.0209785 -12 *658:53 0.0176068 -13 *658:52 0.0176068 -14 *658:50 0.00460827 -15 *658:49 0.00460827 -16 *658:36 0.00317048 -17 *658:35 0.00267897 -18 *658:30 0.000734115 -19 *658:29 0.000570148 -20 *658:27 0.00508776 -21 *658:26 0.00508776 -22 *658:24 0.00155218 -23 *658:19 0.00355574 -24 *658:13 0.00782788 -25 *2788:resetn_out *2788:serial_data_in 0.000196754 -26 *2788:resetn_out *2103:8 4.89469e-06 -27 *2788:resetn_out *2485:7 0 -28 *2789:resetn *2789:pad_gpio_in 0.000984522 -29 *2789:resetn *2103:30 0 -30 *2789:resetn *2411:13 0.000104529 -31 *2804:resetn *2804:serial_data_in 0 -32 *2804:resetn *679:10 2.86353e-06 -33 *2804:resetn *680:8 0 -34 *2804:resetn *2431:9 0 -35 *2804:resetn *2469:9 0.00011515 -36 *658:24 *2050:28 0.000544667 -37 *658:27 *2050:29 0.0213418 -38 *658:30 *2165:20 1.5254e-05 -39 *658:30 *2166:8 0.00174204 -40 *658:30 *2202:26 0.00213275 -41 *658:30 *2354:14 0.00392124 -42 *658:35 *2822:mprj_io_dm[84] 5.04829e-06 -43 *658:36 *2822:mprj_io_analog_sel[28] 0.00114957 -44 *658:36 *2822:mprj_io_out[28] 0.000327995 -45 *658:36 *679:10 0.000136977 -46 *658:36 *2012:14 0.000137372 -47 *658:36 *2316:14 1.2819e-05 -48 *658:59 *1739:20 0.0434379 -49 *658:59 *1740:20 0.077383 -50 *658:59 *2027:14 0 -51 *658:59 *2407:14 0 -52 *658:59 *2444:16 0 -53 *658:63 *2098:14 0 -54 *658:63 *2101:14 0 -55 *658:63 *2407:14 0 -56 *658:78 *677:14 0.0159949 -57 *658:78 *2101:14 0 -58 *658:78 *2105:16 0.0011271 -59 *2788:resetn *2788:resetn_out 3.28031e-05 -60 *2788:serial_clock *2788:resetn_out 1.53776e-05 -61 *2788:serial_clock_out *2788:resetn_out 0 -62 *2789:resetn_out *2789:resetn 1.48422e-06 -63 *101:51 *658:56 0.351547 -64 *101:54 *658:59 0.0137555 -65 *101:54 *658:63 0 -66 *101:54 *658:78 0 -67 *115:58 *658:53 0.139427 -68 *118:10 *658:36 0 -69 *617:70 *2804:resetn 0.000786057 -70 *617:70 *658:36 7.34085e-05 -71 *618:34 *658:30 0.000592985 -72 *618:34 *658:36 0.00107823 -73 *619:23 *658:56 0.0515766 -74 *633:61 *2788:resetn_out 0.000242355 -75 *634:74 *658:36 0.00775064 -76 *641:10 *2804:resetn 0.000902168 -77 *643:35 *658:56 0 -78 *644:69 *658:56 0.350102 -79 *655:39 *658:53 0.13943 -80 *657:31 *658:56 2.18041e-06 -*RES -1 *2818:resetn_out *658:13 26.3371 -2 *658:13 *658:19 47.5328 -3 *658:19 *658:24 47.3754 -4 *658:24 *658:26 4.5 -5 *658:26 *658:27 231.993 -6 *658:27 *658:29 4.5 -7 *658:29 *658:30 62.8741 -8 *658:30 *658:35 11.9418 -9 *658:35 *658:36 130.975 -10 *658:36 *2804:resetn 18.0174 -11 *658:36 *658:49 4.5 -12 *658:49 *658:50 119.409 -13 *658:50 *658:52 4.5 -14 *658:52 *658:53 2226.75 -15 *658:53 *658:55 4.5 -16 *658:55 *658:56 3676.08 -17 *658:56 *658:58 4.5 -18 *658:58 *658:59 1687.23 -19 *658:59 *658:61 1.29461 -20 *658:61 *658:63 138.145 -21 *658:63 *2788:resetn_out 30.4016 -22 *658:63 *658:78 265.932 -23 *658:78 *2789:resetn 38.756 -*END - -*D_NET *659 1.05762 -*CONN -*I *2803:resetn I *D gpio_control_block -*I *2781:resetn I *D gpio_control_block -*I *2819:serial_resetn O *D housekeeping -*CAP -1 *2803:resetn 0.000421298 -2 *2781:resetn 0.000905773 -3 *2819:serial_resetn 0.00126082 -4 *659:31 0.0038555 -5 *659:30 0.0034342 -6 *659:28 0.012563 -7 *659:27 0.012563 -8 *659:25 0.0798845 -9 *659:8 0.085144 -10 *659:7 0.00561459 -11 *2781:resetn *2822:mprj_io_slow_sel[0] 0 -12 *2781:resetn *2448:13 9.52305e-06 -13 *2803:resetn *2803:serial_data_in 0.00021242 -14 *2803:resetn *2458:13 0.000165504 -15 *659:8 *678:8 0 -16 *659:8 *1705:14 0.000645689 -17 *659:8 *1716:14 0.00576155 -18 *659:8 *1748:8 0 -19 *659:25 *1707:20 0 -20 *659:25 *1708:20 0.08233 -21 *659:25 *1709:20 0.000931168 -22 *659:25 *1710:20 0.284327 -23 *659:25 *1715:17 0 -24 *659:25 *1716:14 0.0143258 -25 *659:25 *1744:8 0.000152316 -26 *659:28 *1713:20 0.000996039 -27 *659:28 *1714:20 7.92757e-06 -28 *659:28 *1715:20 0.204639 -29 *659:31 *2821:analog_io[13] 0 -30 *2781:resetn_out *2781:resetn 0.000427871 -31 *2781:serial_clock_out *2781:resetn 0 -32 *2803:serial_load *2803:resetn 0.00122161 -33 *100:14 *659:31 0 -34 *100:41 *659:28 0.20139 -35 *100:56 *659:25 0.000853353 -36 *112:54 *659:25 0.0168982 -37 *119:25 *2803:resetn 0.00218496 -38 *624:23 *659:28 0.000373913 -39 *629:50 *659:25 0.0166787 -40 *640:34 *659:25 0.000386873 -41 *640:40 *659:25 0.0170544 -42 *641:48 *659:28 0 -43 *641:51 *659:25 0 -*RES -1 *2819:serial_resetn *659:7 8.09787 -2 *659:7 *659:8 164.818 -3 *659:8 *2781:resetn 38.6541 -4 *659:8 *659:25 5224.04 -5 *659:25 *659:27 4.5 -6 *659:27 *659:28 2146.48 -7 *659:28 *659:30 4.5 -8 *659:30 *659:31 90.696 -9 *659:31 *2803:resetn 40.3079 -*END - -*D_NET *660 0.0359391 -*CONN -*I *2782:serial_data_in I *D gpio_control_block -*I *2781:serial_data_out O *D gpio_control_block -*CAP -1 *2782:serial_data_in 0.000646967 -2 *2781:serial_data_out 0.000571884 -3 *660:16 0.00498678 -4 *660:15 0.0049117 -5 *2782:serial_data_in *669:13 3.84497e-05 -6 *660:15 *2781:serial_data_in 9.52305e-06 -7 *660:16 *1727:20 0 -8 *660:16 *2126:14 0.00752277 -9 *660:16 *2307:14 0 -10 *660:16 *2459:14 0 -11 *2781:serial_clock_out *660:15 0 -12 *2781:serial_load *660:15 1.53776e-05 -13 *2781:serial_load_out *660:15 0 -14 *2782:resetn_out *2782:serial_data_in 0 -15 *2782:serial_clock_out *2782:serial_data_in 0.000111633 -16 *616:56 *660:16 0.0167141 -17 *635:38 *660:15 0.000410014 -*RES -1 *2781:serial_data_out *660:15 30.335 -2 *660:15 *660:16 272.161 -3 *660:16 *2782:serial_data_in 28.7377 -*END - -*D_NET *661 0.0452332 -*CONN -*I *2790:serial_data_in I *D gpio_control_block -*I *2789:serial_data_out O *D gpio_control_block -*CAP -1 *2790:serial_data_in 0.000935348 -2 *2789:serial_data_out 0.00067501 -3 *661:14 0.00318707 -4 *661:13 0.00292673 -5 *2790:serial_data_in *2298:8 0 -6 *661:13 *2789:serial_data_in 3.81732e-05 -7 *661:13 *2103:30 3.93117e-06 -8 *661:13 *2620:10 1.5714e-05 -9 *661:13 *2696:17 0.00158848 -10 *661:14 *2105:16 0.000242012 -11 *661:14 *2221:8 0.00220944 -12 *2789:serial_load *661:13 4.02086e-05 -13 *2790:serial_clock_out *2790:serial_data_in 1.73002e-05 -14 *2790:serial_load_out *2790:serial_data_in 5.39635e-06 -15 *101:58 *661:14 0.00401821 -16 *101:75 *661:14 0.0126886 -17 *617:38 *661:14 0.000150293 -18 *641:57 *661:14 0.0164913 -*RES -1 *2789:serial_data_out *661:13 30.9562 -2 *661:13 *661:14 272.161 -3 *661:14 *2790:serial_data_in 31.4343 -*END - -*D_NET *662 0.0268242 -*CONN -*I *2791:serial_data_in I *D gpio_control_block -*I *2790:serial_data_out O *D gpio_control_block -*CAP -1 *2791:serial_data_in 0.00092397 -2 *2790:serial_data_out 8.87297e-05 -3 *662:16 0.00706753 -4 *662:15 0.00758525 -5 *662:10 0.00398636 -6 *662:9 0.0026334 -7 *2791:serial_data_in *663:13 1.94242e-05 -8 *662:10 *2621:12 0.000318589 -9 *662:16 *2105:16 0.00300243 -10 *662:16 *2107:16 0.000557717 -11 *662:16 *2109:14 0 -12 *662:16 *2109:18 0 -13 *662:16 *2221:8 0.000621346 -14 *2791:serial_clock_out *2791:serial_data_in 1.94242e-05 -15 *617:32 *662:16 0 -*RES -1 *2790:serial_data_out *662:9 3.59493 -2 *662:9 *662:10 73.8783 -3 *662:10 *662:15 45.218 -4 *662:15 *662:16 198.662 -5 *662:16 *2791:serial_data_in 30.8797 -*END - -*D_NET *663 0.0293171 -*CONN -*I *2792:serial_data_in I *D gpio_control_block -*I *2791:serial_data_out O *D gpio_control_block -*CAP -1 *2792:serial_data_in 0.000488399 -2 *2791:serial_data_out 0.000820127 -3 *663:14 0.00772104 -4 *663:13 0.00805277 -5 *2792:serial_data_in *664:13 3.95327e-06 -6 *2792:serial_data_in *2186:8 7.08723e-06 -7 *2792:serial_data_in *2414:13 0.00114584 -8 *663:14 *1956:20 0.000241167 -9 *663:14 *1995:26 0.00253483 -10 *663:14 *2109:18 0.00680578 -11 *663:14 *2261:19 0 -12 *663:14 *2375:14 0 -13 *2791:serial_data_in *663:13 1.94242e-05 -14 *2791:serial_load *663:13 9.39435e-05 -15 *617:32 *663:14 0 -16 *619:53 *2792:serial_data_in 0.00138276 -*RES -1 *2791:serial_data_out *663:13 29.2159 -2 *663:13 *663:14 272.161 -3 *663:14 *2792:serial_data_in 28.7377 -*END - -*D_NET *664 0.137859 -*CONN -*I *2793:serial_data_in I *D gpio_control_block -*I *2792:serial_data_out O *D gpio_control_block -*CAP -1 *2793:serial_data_in 0.000381074 -2 *2792:serial_data_out 0.0014086 -3 *664:21 0.000901061 -4 *664:16 0.0126629 -5 *664:15 0.0121429 -6 *664:13 0.0014086 -7 *2793:serial_data_in *665:12 0.000152305 -8 *664:13 *2792:user_gpio_oeb 1.66771e-05 -9 *664:13 *2661:17 0.00142138 -10 *664:21 *665:13 7.92757e-06 -11 *2792:serial_data_in *664:13 3.95327e-06 -12 *2792:serial_load *664:13 1.02886e-05 -13 *2792:serial_load_out *664:13 1.75893e-06 -14 *2793:resetn *664:21 0.00325817 -15 *2793:serial_clock_out *2793:serial_data_in 1.9775e-05 -16 *104:58 *2793:serial_data_in 0.000216071 -17 *620:54 *664:16 0.0674525 -18 *620:57 *664:21 0.00350318 -19 *620:74 *664:13 2.01653e-05 -20 *631:36 *664:16 0.0328703 -*RES -1 *2792:serial_data_out *664:13 46.91 -2 *664:13 *664:15 4.5 -3 *664:15 *664:16 1075.67 -4 *664:16 *664:21 47.991 -5 *664:21 *2793:serial_data_in 13.2222 -*END - -*D_NET *665 0.121366 -*CONN -*I *2794:serial_data_in I *D gpio_control_block -*I *2793:serial_data_out O *D gpio_control_block -*CAP -1 *2794:serial_data_in 0.000417952 -2 *2793:serial_data_out 0.000424109 -3 *665:22 0.00248323 -4 *665:21 0.00206527 -5 *665:19 0.0106282 -6 *665:18 0.0106282 -7 *665:16 0.011429 -8 *665:15 0.011429 -9 *665:13 0.00566338 -10 *665:12 0.00608749 -11 *2794:serial_data_in *2188:16 7.20173e-06 -12 *2794:serial_data_in *2454:11 8.90486e-05 -13 *2794:serial_data_in *2663:17 0.000159414 -14 *665:12 *2339:8 0 -15 *665:12 *2624:12 0 -16 *665:13 *2821:analog_io[7] 0.0003013 -17 *665:16 *1720:31 0 -18 *2793:serial_clock_out *665:12 1.29472e-05 -19 *2793:serial_data_in *665:12 0.000152305 -20 *2793:serial_load_out *665:12 0 -21 *2794:resetn_out *2794:serial_data_in 0.00222312 -22 *2794:serial_clock *2794:serial_data_in 0.00296242 -23 *2794:serial_clock_out *2794:serial_data_in 1.65872e-05 -24 *2794:serial_load *665:22 2.15398e-05 -25 *104:58 *665:12 0.000194724 -26 *104:58 *665:13 0.00330905 -27 *105:58 *665:22 0 -28 *616:41 *665:19 0.0491572 -29 *620:57 *665:13 3.31745e-05 -30 *621:65 *665:22 0.00146202 -31 *664:21 *665:13 7.92757e-06 -*RES -1 *2793:serial_data_out *665:12 19.0444 -2 *665:12 *665:13 158.231 -3 *665:13 *665:15 4.5 -4 *665:15 *665:16 316.178 -5 *665:16 *665:18 4.5 -6 *665:18 *665:19 513.732 -7 *665:19 *665:21 4.5 -8 *665:21 *665:22 73.6706 -9 *665:22 *2794:serial_data_in 46.3321 -*END - -*D_NET *666 0.0363165 -*CONN -*I *2795:serial_data_in I *D gpio_control_block -*I *2794:serial_data_out O *D gpio_control_block -*CAP -1 *2795:serial_data_in 0.000333356 -2 *2794:serial_data_out 0.000637771 -3 *666:22 0.000942092 -4 *666:17 0.0114661 -5 *666:16 0.011306 -6 *666:13 0.00108645 -7 *2795:serial_data_in *2795:user_gpio_out 0.000101794 -8 *2795:serial_data_in *667:13 0.00231869 -9 *666:13 *2188:16 0 -10 *666:17 *667:17 0.000695889 -11 *666:17 *2037:21 0 -12 *666:17 *2125:23 0 -13 *666:17 *2227:31 0.000709007 -14 *666:17 *2303:23 0.000318426 -15 *666:17 *2379:25 0.000174143 -16 *666:17 *2618:12 0.000128774 -17 *2794:resetn *666:13 1.30651e-05 -18 *2794:serial_load *666:13 0.001832 -19 *2795:serial_clock *2795:serial_data_in 0.0024249 -20 *2795:serial_load *2795:serial_data_in 2.20702e-05 -21 *106:67 *666:22 0.000590384 -22 *106:85 *666:16 0.00111905 -23 *647:13 *2795:serial_data_in 9.65932e-05 -*RES -1 *2794:serial_data_out *666:13 34.7619 -2 *666:13 *666:16 22.9421 -3 *666:16 *666:17 307.419 -4 *666:17 *666:22 29.9336 -5 *666:22 *2795:serial_data_in 35.2533 -*END - -*D_NET *667 0.0493234 -*CONN -*I *2796:serial_data_in I *D gpio_control_block -*I *2795:serial_data_out O *D gpio_control_block -*CAP -1 *2796:serial_data_in 7.53858e-05 -2 *2795:serial_data_out 0.000512963 -3 *667:26 0.0010466 -4 *667:22 0.0015584 -5 *667:17 0.0163897 -6 *667:16 0.0163519 -7 *667:13 0.00106231 -8 *667:13 *2795:user_gpio_out 0.000102215 -9 *667:13 *2626:13 0.000399122 -10 *667:17 *2822:mprj_io_inp_dis[17] 0.00036236 -11 *667:17 *2822:mprj_io_vtrip_sel[17] 3.38808e-05 -12 *667:17 *2304:23 0.00124287 -13 *2795:serial_data_in *667:13 0.00231869 -14 *2795:serial_load *667:13 0.000125129 -15 *2796:resetn *667:26 0.000217335 -16 *2796:serial_load *667:26 0.00361906 -17 *107:13 *667:13 1.65872e-05 -18 *623:16 *667:16 0.00114357 -19 *623:56 *667:22 0.000669578 -20 *647:13 *667:13 0.00137984 -21 *666:17 *667:17 0.000695889 -*RES -1 *2795:serial_data_out *667:13 44.6683 -2 *667:13 *667:16 25.4336 -3 *667:16 *667:17 454.389 -4 *667:17 *667:22 31.5946 -5 *667:22 *667:26 48.8611 -6 *667:26 *2796:serial_data_in 3.58495 -*END - -*D_NET *668 0.0467136 -*CONN -*I *2787:serial_data_in I *D gpio_control_block -*I *2796:serial_data_out O *D gpio_control_block -*CAP -1 *2787:serial_data_in 7.02753e-05 -2 *2796:serial_data_out 0.000520166 -3 *668:29 0.000784215 -4 *668:25 0.0080827 -5 *668:17 0.0131696 -6 *668:16 0.00580079 -7 *668:14 0.000520166 -8 *668:14 *2627:13 0.000701815 -9 *668:17 *2796:user_gpio_oeb 7.92757e-06 -10 *668:17 *2796:user_gpio_out 0.00020476 -11 *668:17 *2592:18 9.16264e-05 -12 *668:17 *2592:20 0.00463093 -13 *668:25 *2822:mprj_io_analog_sel[18] 0 -14 *668:25 *2305:20 0.000361565 -15 *668:25 *2592:18 0.000718715 -16 *668:29 *2787:user_gpio_oeb 0.00179826 -17 *668:29 *2628:11 9.65932e-05 -18 *2787:serial_clock *668:29 0.000330532 -19 *2796:serial_clock *668:14 0.0020725 -20 *2796:serial_load *668:14 0.000205749 -21 *108:13 *668:17 0.00170494 -22 *624:13 *668:17 0.000694254 -23 *624:16 *668:14 4.9073e-05 -24 *624:55 *668:29 0.000353367 -25 *625:13 *668:29 0.00221892 -26 *648:13 *668:14 0.00128008 -27 *649:13 *668:29 0.000244105 -*RES -1 *2796:serial_data_out *668:14 45.7435 -2 *668:14 *668:16 4.5 -3 *668:16 *668:17 218.683 -4 *668:17 *668:25 48.961 -5 *668:25 *668:29 49.3933 -6 *668:29 *2787:serial_data_in 8.38708 -*END - -*D_NET *669 0.0342502 -*CONN -*I *2797:serial_data_in I *D gpio_control_block -*I *2782:serial_data_out O *D gpio_control_block -*CAP -1 *2797:serial_data_in 0.000131461 -2 *2782:serial_data_out 0.000264736 -3 *669:30 0.002326 -4 *669:29 0.00264169 -5 *669:20 0.00103989 -6 *669:19 0.000694197 -7 *669:14 0.00126167 -8 *669:13 0.00142495 -9 *2797:serial_data_in *670:13 5.60014e-05 -10 *669:13 *2706:17 0.00015569 -11 *669:19 *2822:mprj_io_dm[7] 5.04829e-06 -12 *669:20 *1976:20 0.00322582 -13 *669:20 *2280:11 0 -14 *669:29 *2822:mprj_io_ib_mode_sel[2] 0.000464099 -15 *669:29 *2822:mprj_io_vtrip_sel[2] 0 -16 *669:30 *2797:pad_gpio_in 0.000130139 -17 *669:30 *2797:user_gpio_oeb 4.11147e-05 -18 *669:30 *1727:29 4.89469e-06 -19 *669:30 *1976:13 4.3116e-06 -20 *669:30 *2014:13 0.000282456 -21 *669:30 *2052:13 5.35541e-05 -22 *669:30 *2148:13 4.05974e-05 -23 *669:30 *2170:13 0.000124349 -24 *669:30 *2204:13 8.21013e-05 -25 *669:30 *2242:13 1.09128e-05 -26 *669:30 *2318:13 5.88867e-05 -27 *669:30 *2356:13 4.3116e-06 -28 *669:30 *2394:13 6.19102e-05 -29 *669:30 *2432:13 9.20603e-05 -30 *669:30 *2470:13 5.35541e-05 -31 *669:30 *2641:10 2.87136e-06 -32 *2782:serial_clock_out *669:13 0.000140404 -33 *2782:serial_data_in *669:13 3.84497e-05 -34 *2782:serial_load *669:13 6.47508e-05 -35 *2797:resetn *669:30 1.38068e-05 -36 *2797:serial_clock *669:30 0.000122352 -37 *2797:serial_clock_out *2797:serial_data_in 6.87017e-05 -38 *2797:serial_clock_out *669:30 5.07836e-05 -39 *2797:serial_load *2797:serial_data_in 0 -40 *110:58 *669:20 0.000210429 -41 *626:56 *669:30 0.00184632 -42 *626:65 *669:29 6.81162e-05 -43 *626:66 *669:20 0.00358688 -44 *626:72 *669:14 0.00606568 -45 *650:56 *669:14 0.00708716 -46 *650:56 *669:20 0.00014709 -*RES -1 *2782:serial_data_out *669:13 21.7273 -2 *669:13 *669:14 113.535 -3 *669:14 *669:19 10.8326 -4 *669:19 *669:20 60.3826 -5 *669:20 *669:29 23.0098 -6 *669:29 *669:30 92.1493 -7 *669:30 *2797:serial_data_in 3.81442 -*END - -*D_NET *670 0.0296488 -*CONN -*I *2798:serial_data_in I *D gpio_control_block -*I *2797:serial_data_out O *D gpio_control_block -*CAP -1 *2798:serial_data_in 0.00062748 -2 *2797:serial_data_out 0.000578828 -3 *670:14 0.00636267 -4 *670:13 0.00631402 -5 *2798:serial_data_in *671:13 3.84497e-05 -6 *670:13 *2797:user_gpio_oeb 8.85385e-05 -7 *670:13 *2797:user_gpio_out 0.000763089 -8 *670:14 *2061:16 0 -9 *670:14 *2084:14 0.000136165 -10 *670:14 *2213:16 0.00471338 -11 *670:14 *2289:11 0.00112293 -12 *670:14 *2289:15 0 -13 *670:14 *2403:14 0.000322117 -14 *670:14 *2479:14 0 -15 *2797:serial_data_in *670:13 5.60014e-05 -16 *2797:serial_load *670:13 0.000170013 -17 *2798:resetn_out *2798:serial_data_in 0.000181643 -18 *2798:serial_clock *2798:serial_data_in 0 -19 *2798:serial_clock_out *2798:serial_data_in 5.81968e-05 -20 *111:30 *2798:serial_data_in 0 -21 *111:36 *670:14 1.2693e-05 -22 *627:28 *2798:serial_data_in 0.000117848 -23 *627:34 *670:14 0.00750816 -24 *627:40 *670:13 0.000476538 -*RES -1 *2797:serial_data_out *670:13 33.5074 -2 *670:13 *670:14 253.047 -3 *670:14 *2798:serial_data_in 29.8492 -*END - -*D_NET *671 0.0263956 -*CONN -*I *2799:serial_data_in I *D gpio_control_block -*I *2798:serial_data_out O *D gpio_control_block -*CAP -1 *2799:serial_data_in 0.000296688 -2 *2798:serial_data_out 0.000386265 -3 *671:14 0.00857222 -4 *671:13 0.00866179 -5 *2799:serial_data_in *672:13 3.84497e-05 -6 *671:13 *2726:17 0.000751257 -7 *671:14 *2822:mprj_io_analog_en[4] 0 -8 *671:14 *2822:mprj_io_analog_pol[4] 0 -9 *671:14 *2822:mprj_io_analog_sel[4] 0 -10 *671:14 *2822:mprj_io_dm[12] 0 -11 *671:14 *2822:mprj_io_dm[13] 0 -12 *671:14 *2822:mprj_io_dm[14] 0 -13 *671:14 *2822:mprj_io_ib_mode_sel[4] 0 -14 *671:14 *2822:mprj_io_inp_dis[4] 0 -15 *671:14 *2822:mprj_io_out[4] 0 -16 *671:14 *2822:mprj_io_slow_sel[4] 0 -17 *671:14 *2822:mprj_io_vtrip_sel[4] 0 -18 *671:14 *2024:16 0 -19 *671:14 *2062:14 8.53927e-05 -20 *671:14 *2085:16 0 -21 *671:14 *2087:14 0.00504691 -22 *671:14 *2252:18 0 -23 *671:14 *2290:10 0 -24 *671:14 *2404:14 0.000250698 -25 *671:14 *2480:14 0 -26 *2798:serial_data_in *671:13 3.84497e-05 -27 *2798:serial_load *671:13 9.12075e-05 -28 *2798:serial_load_out *671:13 0.000200597 -29 *2799:serial_clock_out *2799:serial_data_in 0.000378692 -30 *654:43 *671:14 0.00159696 -*RES -1 *2798:serial_data_out *671:13 27.1256 -2 *671:13 *671:14 264.687 -3 *671:14 *2799:serial_data_in 22.1426 -*END - -*D_NET *672 0.0387106 -*CONN -*I *2800:serial_data_in I *D gpio_control_block -*I *2799:serial_data_out O *D gpio_control_block -*CAP -1 *2800:serial_data_in 0.000458772 -2 *2799:serial_data_out 0.000624082 -3 *672:14 0.00489493 -4 *672:13 0.00506024 -5 *2800:serial_data_in *2800:user_gpio_out 8.11463e-06 -6 *2800:serial_data_in *673:7 3.84497e-05 -7 *2800:serial_data_in *2652:11 2.18041e-06 -8 *2800:serial_data_in *2690:19 1.41291e-05 -9 *2800:serial_data_in *2728:17 0.00141562 -10 *672:13 *2689:17 0.000101235 -11 *672:14 *1739:20 0 -12 *672:14 *1987:14 0 -13 *672:14 *2025:14 0.000114237 -14 *672:14 *2088:14 9.81824e-05 -15 *672:14 *2329:14 0.00669946 -16 *672:14 *2481:14 0 -17 *2799:serial_data_in *672:13 3.84497e-05 -18 *2799:serial_load *672:13 3.84497e-05 -19 *2800:resetn *672:14 0.000472372 -20 *2800:serial_clock *672:14 0.000148843 -21 *2800:serial_clock_out *2800:serial_data_in 4.31122e-05 -22 *2800:serial_load *672:14 9.35753e-06 -23 *114:48 *2800:serial_data_in 4.3116e-06 -24 *116:49 *672:14 0.00248323 -25 *653:60 *672:14 0.0159428 -*RES -1 *2799:serial_data_out *672:13 29.2159 -2 *672:13 *672:14 271.746 -3 *672:14 *2800:serial_data_in 29.6311 -*END - -*D_NET *673 0.034438 -*CONN -*I *2801:serial_data_in I *D gpio_control_block -*I *2800:serial_data_out O *D gpio_control_block -*CAP -1 *2801:serial_data_in 0.000156725 -2 *2800:serial_data_out 0.000135375 -3 *673:20 0.00176906 -4 *673:19 0.00185465 -5 *673:14 0.00232655 -6 *673:13 0.0022766 -7 *673:8 0.00206057 -8 *673:7 0.00200358 -9 *2801:serial_data_in *674:9 1.36908e-05 -10 *673:14 *1988:14 0 -11 *673:14 *2092:14 0 -12 *673:14 *2406:14 0.00281501 -13 *673:14 *2482:14 0.00211687 -14 *673:20 *2254:8 0 -15 *673:20 *2368:8 7.77309e-06 -16 *2800:serial_clock_out *673:7 0 -17 *2800:serial_data_in *673:7 3.84497e-05 -18 *2800:serial_load *673:7 8.15092e-05 -19 *2800:serial_load *673:8 1.66771e-05 -20 *2801:serial_clock_out *2801:serial_data_in 5.76421e-05 -21 *2801:serial_load *2801:serial_data_in 0 -22 *2801:serial_load_out *2801:serial_data_in 0 -23 *114:45 *673:7 0 -24 *114:48 *673:8 0.00536542 -25 *114:53 *673:13 0.000536581 -26 *114:54 *673:14 1.87269e-05 -27 *114:59 *673:19 0.00050655 -28 *114:60 *673:20 0.00375711 -29 *115:67 *2801:serial_data_in 3.11219e-05 -30 *115:70 *673:20 7.15968e-05 -31 *630:35 *673:8 0 -32 *630:47 *673:20 0 -33 *654:51 *673:8 0 -34 *654:53 *673:14 0.00468227 -35 *654:59 *673:14 0.000364639 -36 *655:45 *673:14 0.00132628 -37 *655:51 *673:20 4.69341e-05 -*RES -1 *2800:serial_data_out *673:7 3.89091 -2 *673:7 *673:8 85.9206 -3 *673:8 *673:13 15.824 -4 *673:13 *673:14 121.009 -5 *673:14 *673:19 15.824 -6 *673:19 *673:20 66.819 -7 *673:20 *2801:serial_data_in 3.89091 -*END - -*D_NET *674 0.0449856 -*CONN -*I *2802:serial_data_in I *D gpio_control_block -*I *2801:serial_data_out O *D gpio_control_block -*CAP -1 *2802:serial_data_in 0.000103489 -2 *2801:serial_data_out 0.000128631 -3 *674:10 0.0135655 -4 *674:9 0.0135906 -5 *2802:serial_data_in *675:15 2.97005e-05 -6 *674:9 *2801:user_gpio_oeb 0 -7 *674:10 *2801:user_gpio_oeb 2.01653e-05 -8 *674:10 *2801:user_gpio_out 0.000124349 -9 *674:10 *2802:mgmt_gpio_out 0.000465214 -10 *674:10 *2802:pad_gpio_in 6.7566e-05 -11 *674:10 *1989:13 0.000242125 -12 *674:10 *2096:13 0.000565196 -13 *674:10 *2331:13 0.000661617 -14 *674:10 *2445:11 6.34306e-05 -15 *674:10 *2653:10 4.15201e-05 -16 *2801:serial_data_in *674:9 1.36908e-05 -17 *2801:serial_load *674:9 0.000105333 -18 *2801:serial_load *674:10 5.81291e-05 -19 *2802:serial_clock_out *2802:serial_data_in 2.97005e-05 -20 *2802:serial_load *674:10 0.00018228 -21 *655:51 *674:10 0.0149273 -*RES -1 *2801:serial_data_out *674:9 3.78449 -2 *674:9 *674:10 535.016 -3 *674:10 *2802:serial_data_in 4.31775 -*END - -*D_NET *675 0.0217012 -*CONN -*I *2786:serial_data_in I *D gpio_control_block -*I *2802:serial_data_out O *D gpio_control_block -*CAP -1 *2786:serial_data_in 8.4223e-05 -2 *2802:serial_data_out 0.000648879 -3 *675:16 0.00918343 -4 *675:15 0.00974808 -5 *675:15 *2445:11 0 -6 *675:16 *2822:mprj_io_analog_sel[7] 0.00177224 -7 *2802:serial_data_in *675:15 2.97005e-05 -8 *2802:serial_load *675:15 8.81049e-05 -9 *2802:serial_load_out *675:15 0.000146558 -*RES -1 *2802:serial_data_out *675:15 29.2507 -2 *675:15 *675:16 257.835 -3 *675:16 *2786:serial_data_in 3.65147 -*END - -*D_NET *676 0.0261049 -*CONN -*I *2788:serial_data_in I *D gpio_control_block -*I *2786:serial_data_out O *D gpio_control_block -*CAP -1 *2788:serial_data_in 0.000435941 -2 *2786:serial_data_out 0.000173592 -3 *676:20 0.0032917 -4 *676:19 0.0029756 -5 *676:14 0.00309297 -6 *676:13 0.00321808 -7 *676:8 0.002623 -8 *676:7 0.00255165 -9 *2788:serial_data_in *677:13 2.72062e-05 -10 *2788:serial_data_in *2103:8 0 -11 *676:8 *2786:user_gpio_oeb 1.28704e-05 -12 *676:8 *2786:user_gpio_out 0 -13 *676:8 *2255:8 0.00123884 -14 *676:14 *2822:mprj_io_dm[23] 0 -15 *676:14 *2822:mprj_io_holdover[7] 0 -16 *676:14 *2822:mprj_io_ib_mode_sel[7] 0 -17 *676:14 *2822:mprj_io_oeb[7] 0 -18 *676:14 *2822:mprj_io_out[7] 0 -19 *676:14 *2822:mprj_io_slow_sel[7] 0 -20 *676:14 *2822:mprj_io_vtrip_sel[7] 0 -21 *676:14 *2100:10 0.000730859 -22 *676:14 *2445:14 0.00120524 -23 *676:14 *2446:14 0.00297358 -24 *676:14 *2590:9 0 -25 *676:20 *1990:14 0 -26 *676:20 *2028:14 0 -27 *676:20 *2101:13 0 -28 *676:20 *2102:13 0 -29 *676:20 *2103:8 0 -30 *676:20 *2370:10 0.000565151 -31 *676:20 *2446:14 0 -32 *2786:serial_clock_out *676:7 0 -33 *2786:serial_load *676:7 4.4596e-05 -34 *2786:serial_load *676:8 7.24334e-05 -35 *2786:serial_load_out *676:8 0 -36 *2788:resetn_out *2788:serial_data_in 0.000196754 -37 *2788:serial_clock *2788:serial_data_in 7.6158e-05 -38 *2788:serial_clock_out *2788:serial_data_in 0.000130362 -39 *633:61 *2788:serial_data_in 0.000468302 -*RES -1 *2786:serial_data_out *676:7 3.81442 -2 *676:7 *676:8 67.6495 -3 *676:8 *676:13 15.2694 -4 *676:13 *676:14 118.103 -5 *676:14 *676:19 11.9418 -6 *676:19 *676:20 78.2384 -7 *676:20 *2788:serial_data_in 26.4288 -*END - -*D_NET *677 0.0407127 -*CONN -*I *2789:serial_data_in I *D gpio_control_block -*I *2788:serial_data_out O *D gpio_control_block -*CAP -1 *2789:serial_data_in 0.000567536 -2 *2788:serial_data_out 0.000947288 -3 *677:14 0.00323236 -4 *677:13 0.00361211 -5 *2789:serial_data_in *2103:30 3.93117e-06 -6 *2789:serial_data_in *2620:10 1.5714e-05 -7 *2789:serial_data_in *2620:11 0.00158427 -8 *2789:serial_data_in *2658:17 0.000344678 -9 *677:13 *2103:8 0 -10 *677:14 *2105:16 0.000323024 -11 *2788:serial_data_in *677:13 2.72062e-05 -12 *2788:serial_load_out *677:13 0 -13 *2789:serial_clock *2789:serial_data_in 0 -14 *101:54 *677:14 0.00186465 -15 *101:58 *677:14 0.011678 -16 *641:57 *677:14 0.000478785 -17 *658:78 *677:14 0.0159949 -18 *661:13 *2789:serial_data_in 3.81732e-05 -*RES -1 *2788:serial_data_out *677:13 31.4343 -2 *677:13 *677:14 274.237 -3 *677:14 *2789:serial_data_in 30.9562 -*END - -*D_NET *678 0.0190891 -*CONN -*I *2781:serial_data_in I *D gpio_control_block -*I *2819:serial_data_1 O *D housekeeping -*CAP -1 *2781:serial_data_in 0.000719718 -2 *2819:serial_data_1 0.00139625 -3 *678:8 0.00440463 -4 *678:7 0.00508117 -5 *678:8 *1705:14 0.0015577 -6 *678:8 *1727:20 0 -7 *678:8 *1736:20 0.000365823 -8 *678:8 *1743:8 0 -9 *678:8 *1749:8 0.00499993 -10 *2781:serial_clock *2781:serial_data_in 0 -11 *2781:serial_clock_out *2781:serial_data_in 0.000554307 -12 *2781:serial_load *2781:serial_data_in 0 -13 *659:8 *678:8 0 -14 *660:15 *2781:serial_data_in 9.52305e-06 -*RES -1 *2819:serial_data_1 *678:7 8.48033 -2 *678:7 *678:8 152.776 -3 *678:8 *2781:serial_data_in 34.7619 -*END - -*D_NET *679 0.0338136 -*CONN -*I *2818:serial_data_in I *D gpio_control_block -*I *2804:serial_data_out O *D gpio_control_block -*CAP -1 *2818:serial_data_in 0.000387575 -2 *2804:serial_data_out 0.000702921 -3 *679:10 0.00486549 -4 *679:9 0.00518084 -5 *2818:serial_data_in *696:7 0.000326409 -6 *679:9 *2804:serial_data_in 0 -7 *679:10 *2050:41 0.00246267 -8 *679:10 *2166:8 0.000276864 -9 *679:10 *2202:8 0 -10 *679:10 *2354:14 0.00842275 -11 *679:10 *2468:10 0.000346771 -12 *679:10 *2468:12 0.00420874 -13 *2804:resetn *679:10 2.86353e-06 -14 *2804:serial_load *679:9 1.29018e-05 -15 *118:8 *2818:serial_data_in 0.000213486 -16 *617:11 *679:9 2.9304e-05 -17 *618:34 *679:10 0.00255121 -18 *634:73 *679:10 0 -19 *634:74 *679:10 0.00368584 -20 *658:36 *679:10 0.000136977 -*RES -1 *2804:serial_data_out *679:9 5.41074 -2 *679:9 *679:10 260.119 -3 *679:10 *2818:serial_data_in 5.19125 -*END - -*D_NET *680 0.0309825 -*CONN -*I *2804:serial_data_in I *D gpio_control_block -*I *2805:serial_data_out O *D gpio_control_block -*CAP -1 *2804:serial_data_in 0.000824694 -2 *2805:serial_data_out 0.000593282 -3 *680:8 0.00685778 -4 *680:7 0.00662636 -5 *680:7 *2805:serial_data_in 0.000249643 -6 *680:7 *2805:user_gpio_oeb 6.69704e-05 -7 *680:7 *2642:9 0 -8 *680:8 *2051:10 0.00244918 -9 *680:8 *2169:16 6.68049e-05 -10 *680:8 *2355:10 0 -11 *680:8 *2431:10 0 -12 *680:8 *2469:16 0 -13 *680:8 *2604:13 0.00177467 -14 *2804:resetn *2804:serial_data_in 0 -15 *2804:resetn *680:8 0 -16 *2804:serial_clock *2804:serial_data_in 1.29018e-05 -17 *2805:serial_load *680:7 9.52305e-06 -18 *101:22 *680:8 0.00903642 -19 *102:49 *680:8 0.0024143 -20 *617:70 *680:8 0 -21 *618:5 *680:7 0 -22 *618:8 *680:8 0 -23 *618:33 *680:7 0 -24 *641:10 *2804:serial_data_in 0 -25 *679:9 *2804:serial_data_in 0 -*RES -1 *2805:serial_data_out *680:7 5.65019 -2 *680:7 *680:8 260.119 -3 *680:8 *2804:serial_data_in 5.86968 -*END - -*D_NET *681 0.0311929 -*CONN -*I *2805:serial_data_in I *D gpio_control_block -*I *2806:serial_data_out O *D gpio_control_block -*CAP -1 *2805:serial_data_in 0.000228446 -2 *2806:serial_data_out 0.00029598 -3 *681:8 0.00504794 -4 *681:7 0.00511547 -5 *2805:serial_data_in *2805:user_gpio_oeb 7.7053e-05 -6 *681:7 *2806:serial_data_in 0.000256425 -7 *681:8 *2805:user_gpio_oeb 3.04458e-05 -8 *681:8 *1977:17 0.00180374 -9 *681:8 *2171:8 0.00559271 -10 *681:8 *2173:13 0.00027952 -11 *681:8 *2243:8 0.000146685 -12 *681:8 *2319:8 0.00443596 -13 *681:8 *2319:16 0.000231356 -14 *681:8 *2357:19 0.000116513 -15 *681:8 *2433:8 0.000222857 -16 *102:7 *2805:serial_data_in 0.000207109 -17 *102:26 *681:8 0.00265741 -18 *106:37 *681:8 0.00204132 -19 *618:8 *681:8 0.00215629 -20 *619:7 *681:7 0 -21 *642:8 *681:8 0 -22 *680:7 *2805:serial_data_in 0.000249643 -*RES -1 *2806:serial_data_out *681:7 4.73231 -2 *681:7 *681:8 261.78 -3 *681:8 *2805:serial_data_in 4.73231 -*END - -*D_NET *682 0.106006 -*CONN -*I *2806:serial_data_in I *D gpio_control_block -*I *2807:serial_data_out O *D gpio_control_block -*CAP -1 *2806:serial_data_in 0.000493434 -2 *2807:serial_data_out 0.000333782 -3 *682:8 0.0167901 -4 *682:7 0.0166304 -5 *682:7 *2807:serial_data_in 0.0003748 -6 *682:8 *2016:16 0.000688531 -7 *682:8 *2054:16 0.00086048 -8 *682:8 *2174:16 0.000545415 -9 *682:8 *2244:8 0.0012353 -10 *682:8 *2320:8 0.00107933 -11 *682:8 *2472:8 0.00139111 -12 *682:8 *2606:13 0.000146578 -13 *2807:serial_load *682:7 0.000208248 -14 *106:37 *682:8 0 -15 *619:7 *2806:serial_data_in 0 -16 *619:8 *682:8 0.0537618 -17 *619:11 *682:7 0.000159793 -18 *619:20 *682:8 0 -19 *643:13 *2806:serial_data_in 0 -20 *643:14 *682:8 0.0110501 -21 *681:7 *2806:serial_data_in 0.000256425 -*RES -1 *2807:serial_data_out *682:7 2.39032 -2 *682:7 *682:8 106.925 -3 *682:8 *2806:serial_data_in 2.39032 -*END - -*D_NET *683 0.0268672 -*CONN -*I *2807:serial_data_in I *D gpio_control_block -*I *2808:serial_data_out O *D gpio_control_block -*CAP -1 *2807:serial_data_in 0.000510373 -2 *2808:serial_data_out 0.000441012 -3 *683:8 0.00762525 -4 *683:7 0.00755589 -5 *683:7 *2808:serial_data_in 0.000304747 -6 *683:8 *2245:8 0.00938187 -7 *683:8 *2359:8 0.000296518 -8 *683:8 *2359:14 0 -9 *683:8 *2435:8 0 -10 *2808:serial_load *683:7 0.000204245 -11 *104:20 *683:8 0 -12 *619:11 *2807:serial_data_in 0 -13 *621:7 *683:7 4.26518e-06 -14 *621:29 *683:7 8.74882e-05 -15 *643:14 *683:8 8.07542e-05 -16 *644:21 *2807:serial_data_in 0 -17 *682:7 *2807:serial_data_in 0.0003748 -*RES -1 *2808:serial_data_out *683:7 5.5737 -2 *683:7 *683:8 261.78 -3 *683:8 *2807:serial_data_in 5.5737 -*END - -*D_NET *684 0.0329342 -*CONN -*I *2808:serial_data_in I *D gpio_control_block -*I *2809:serial_data_out O *D gpio_control_block -*CAP -1 *2808:serial_data_in 0.000369412 -2 *2809:serial_data_out 0.000793409 -3 *684:16 0.00545569 -4 *684:15 0.00587969 -5 *684:15 *2809:serial_data_in 3.95327e-06 -6 *684:16 *2070:8 0.00757453 -7 *684:16 *2208:8 0.000177375 -8 *684:16 *2246:8 9.66387e-05 -9 *684:16 *2474:8 0.00999716 -10 *105:8 *2808:serial_data_in 0.00023046 -11 *105:16 *684:16 0.000390057 -12 *622:13 *684:15 7.10615e-06 -13 *622:28 *684:16 0 -14 *645:15 *684:16 0.00165394 -15 *683:7 *2808:serial_data_in 0.000304747 -*RES -1 *2809:serial_data_out *684:15 31.9876 -2 *684:15 *684:16 253.267 -3 *684:16 *2808:serial_data_in 5.11476 -*END - -*D_NET *685 0.0309026 -*CONN -*I *2809:serial_data_in I *D gpio_control_block -*I *2783:serial_data_out O *D gpio_control_block -*CAP -1 *2809:serial_data_in 0.000686026 -2 *2783:serial_data_out 0.000839581 -3 *685:14 0.00561148 -4 *685:13 0.00548158 -5 *685:10 0.00139571 -6 *685:10 *2783:serial_data_in 4.80336e-05 -7 *685:10 *2783:user_gpio_oeb 1.66626e-05 -8 *685:10 *2647:17 0.000454662 -9 *685:14 *2071:8 0 -10 *2783:resetn *685:10 0.00166032 -11 *2783:serial_clock *685:10 0.000199471 -12 *2783:serial_clock_out *685:10 0 -13 *2783:serial_load *685:10 5.76298e-05 -14 *106:13 *685:10 0.000270418 -15 *622:13 *2809:serial_data_in 0 -16 *622:14 *685:14 0.0141771 -17 *646:8 *2809:serial_data_in 0 -18 *684:15 *2809:serial_data_in 3.95327e-06 -*RES -1 *2783:serial_data_out *685:10 43.4977 -2 *685:10 *685:13 19.6431 -3 *685:13 *685:14 226.691 -4 *685:14 *2809:serial_data_in 5.80317 -*END - -*D_NET *686 0.019247 -*CONN -*I *2783:serial_data_in I *D gpio_control_block -*I *2784:serial_data_out O *D gpio_control_block -*CAP -1 *2783:serial_data_in 0.00955829 -2 *2784:serial_data_out 0.00955829 -3 *2783:serial_clock_out *2783:serial_data_in 4.80336e-05 -4 *2784:serial_load *2783:serial_data_in 3.43715e-05 -5 *685:10 *2783:serial_data_in 4.80336e-05 -*RES -1 *2784:serial_data_out *2783:serial_data_in 38.6828 -*END - -*D_NET *687 0.0328035 -*CONN -*I *2784:serial_data_in I *D gpio_control_block -*I *2785:serial_data_out O *D gpio_control_block -*CAP -1 *2784:serial_data_in 0.000585108 -2 *2785:serial_data_out 0.000549309 -3 *687:16 0.00594271 -4 *687:15 0.00590691 -5 *687:15 *2785:serial_data_in 9.52305e-06 -6 *687:16 *1745:13 0.00235152 -7 *687:16 *2080:15 0.00179741 -8 *687:16 *2080:16 0.00578427 -9 *687:16 *2211:13 0.00196521 -10 *687:16 *2211:14 5.78792e-05 -11 *687:16 *2249:8 0 -12 *687:16 *2325:10 0.000201576 -13 *687:16 *2439:8 0.000444707 -14 *687:16 *2477:8 0 -15 *687:16 *2477:16 0.00689408 -16 *2784:serial_clock *2784:serial_data_in 0 -17 *2784:serial_load_out *2784:serial_data_in 0 -18 *2785:serial_load *2784:serial_data_in 0 -19 *2785:serial_load *687:15 2.12195e-05 -20 *2785:serial_load_out *687:15 0.000292117 -*RES -1 *2785:serial_data_out *687:15 28.5263 -2 *687:15 *687:16 256.174 -3 *687:16 *2784:serial_data_in 5.03827 -*END - -*D_NET *688 0.0434077 -*CONN -*I *2803:serial_data_in I *D gpio_control_block -*I *2810:serial_data_out O *D gpio_control_block -*CAP -1 *2803:serial_data_in 0.000320964 -2 *2810:serial_data_out 0.000109298 -3 *688:23 0.00237294 -4 *688:22 0.00899173 -5 *688:14 0.00739304 -6 *688:13 0.00087246 -7 *688:10 0.000528466 -8 *2803:serial_data_in *2344:16 7.14746e-05 -9 *2803:serial_data_in *2458:13 0.000437088 -10 *2803:serial_data_in *2458:16 8.62321e-06 -11 *2803:serial_data_in *2629:19 7.09666e-06 -12 *688:13 *1717:40 0.00420324 -13 *688:13 *2631:19 0.000374039 -14 *688:14 *2631:20 0.00300462 -15 *688:14 *2707:11 0.00295958 -16 *688:22 *2420:17 0 -17 *688:23 *2344:13 0.000250024 -18 *688:23 *2420:11 0.00659728 -19 *2803:resetn *2803:serial_data_in 0.00021242 -20 *2803:serial_load *2803:serial_data_in 0.000489918 -21 *100:23 *688:13 0.000581034 -22 *640:13 *688:23 0.00165828 -23 *650:13 *688:13 0.00196404 -*RES -1 *2810:serial_data_out *688:10 8.46357 -2 *688:10 *688:13 48.4825 -3 *688:13 *688:14 48.9631 -4 *688:14 *688:22 47.5077 -5 *688:22 *688:23 96.1152 -6 *688:23 *2803:serial_data_in 30.6165 -*END - -*D_NET *689 0.0290847 -*CONN -*I *2810:serial_data_in I *D gpio_control_block -*I *2811:serial_data_out O *D gpio_control_block -*CAP -1 *2810:serial_data_in 0.00010201 -2 *2811:serial_data_out 0.00108467 -3 *689:23 0.00300596 -4 *689:22 0.00290395 -5 *689:20 0.00138364 -6 *689:19 0.00138364 -7 *689:17 0.00704677 -8 *689:11 0.00813144 -9 *689:11 *2632:13 0.000573106 -10 *689:17 *2822:mprj_io_holdover[20] 0 -11 *689:17 *2632:14 0.000376198 -12 *689:20 *2822:mprj_io_out[20] 0.000460161 -13 *689:20 *1966:16 0.000425555 -14 *689:20 *2422:16 1.42919e-05 -15 *689:23 *2810:mgmt_gpio_in 0.000123387 -16 *689:23 *1717:40 0 -17 *110:13 *2810:serial_data_in 3.52699e-05 -18 *110:13 *689:23 5.04829e-06 -19 *111:13 *689:11 4.0752e-05 -20 *640:74 *689:23 0.000702348 -21 *651:13 *689:11 0.00128653 -*RES -1 *2811:serial_data_out *689:11 46.9 -2 *689:11 *689:17 46.6741 -3 *689:17 *689:19 3.36879 -4 *689:19 *689:20 46.8869 -5 *689:20 *689:22 4.5 -6 *689:22 *689:23 85.0231 -7 *689:23 *2810:serial_data_in 8.46357 -*END - -*D_NET *690 0.0346915 -*CONN -*I *2811:serial_data_in I *D gpio_control_block -*I *2812:serial_data_out O *D gpio_control_block -*CAP -1 *2811:serial_data_in 0.000126198 -2 *2812:serial_data_out 0.000699834 -3 *690:23 0.0022518 -4 *690:22 0.0021256 -5 *690:20 0.00112242 -6 *690:19 0.00832845 -7 *690:13 0.00790586 -8 *690:13 *2348:13 0.000749011 -9 *690:19 *2822:mprj_io_inp_dis[21] 0.00491246 -10 *690:19 *2822:mprj_io_slow_sel[21] 0 -11 *690:19 *2633:12 0 -12 *690:20 *2347:14 0 -13 *690:20 *2385:14 0.00293683 -14 *690:20 *2461:14 7.26852e-05 -15 *690:23 *1718:40 0.00203089 -16 *690:23 *2347:11 0 -17 *690:23 *2385:11 0 -18 *690:23 *2461:11 0.000449363 -19 *110:23 *690:23 9.90116e-05 -20 *112:14 *690:13 0.000851028 -21 *652:13 *690:13 2.99978e-05 -*RES -1 *2812:serial_data_out *690:13 36.3492 -2 *690:13 *690:19 48.8211 -3 *690:19 *690:20 49.7936 -4 *690:20 *690:22 4.5 -5 *690:22 *690:23 82.2501 -6 *690:23 *2811:serial_data_in 8.46357 -*END - -*D_NET *691 0.0431605 -*CONN -*I *2812:serial_data_in I *D gpio_control_block -*I *2813:serial_data_out O *D gpio_control_block -*CAP -1 *2812:serial_data_in 0.000111345 -2 *2813:serial_data_out 0.000510875 -3 *691:23 0.000755592 -4 *691:22 0.000644247 -5 *691:20 0.000676527 -6 *691:19 0.00815818 -7 *691:14 0.00875592 -8 *691:13 0.00178514 -9 *691:13 *2813:serial_data_in 0.000422177 -10 *691:19 *2822:mprj_io_slow_sel[22] 0 -11 *691:20 *2144:14 0.00140801 -12 *691:20 *2146:14 0.00302733 -13 *691:20 *2196:14 5.66868e-06 -14 *691:20 *2671:11 0 -15 *691:23 *1719:40 0.000756785 -16 *691:23 *1968:19 0.000134886 -17 *691:23 *2196:11 0.00467476 -18 *691:23 *2671:14 0.00754901 -19 *112:23 *691:13 4.99739e-05 -20 *113:19 *691:13 0.00118033 -21 *113:20 *691:14 0.00142966 -22 *629:19 *691:13 0.00069815 -23 *652:25 *691:13 0.00021369 -24 *653:17 *691:13 0.000212268 -*RES -1 *2813:serial_data_out *691:13 38.6441 -2 *691:13 *691:14 48.1326 -3 *691:14 *691:19 34.4769 -4 *691:19 *691:20 49.7936 -5 *691:20 *691:22 4.5 -6 *691:22 *691:23 78.9225 -7 *691:23 *2812:serial_data_in 8.46357 -*END - -*D_NET *692 0.108704 -*CONN -*I *2813:serial_data_in I *D gpio_control_block -*I *2814:serial_data_out O *D gpio_control_block -*CAP -1 *2813:serial_data_in 0.000306669 -2 *2814:serial_data_out 6.45171e-05 -3 *692:20 0.0020163 -4 *692:19 0.00170963 -5 *692:17 0.00599902 -6 *692:16 0.00599902 -7 *692:14 0.00346243 -8 *692:13 0.00346243 -9 *692:11 0.00248815 -10 *692:10 0.00248815 -11 *692:8 0.000745278 -12 *692:7 0.000809795 -13 *692:7 *2814:serial_data_in 5.36925e-05 -14 *692:8 *2426:8 0 -15 *692:11 *2426:13 0.00106699 -16 *2813:serial_clock *2813:serial_data_in 0.000397296 -17 *2813:serial_load *2813:serial_data_in 0.000963687 -18 *2814:serial_clock *692:7 0 -19 *2814:serial_load *692:7 5.83673e-05 -20 *105:37 *692:14 0.0126549 -21 *108:23 *692:17 0.0277147 -22 *108:26 *692:14 0 -23 *112:23 *2813:serial_data_in 1.18914e-05 -24 *113:19 *2813:serial_data_in 6.66147e-05 -25 *113:53 *692:11 0.000506595 -26 *113:54 *692:8 0.00169099 -27 *629:19 *2813:serial_data_in 0.000706559 -28 *629:26 *692:14 0.00059791 -29 *629:29 *692:11 0.0117316 -30 *629:32 *692:8 0.00340272 -31 *630:7 *692:7 0 -32 *631:30 *692:14 0.0123116 -33 *645:37 *692:14 5.66868e-06 -34 *649:55 *692:11 0 -35 *653:18 *692:20 0.00478883 -36 *691:13 *2813:serial_data_in 0.000422177 -*RES -1 *2814:serial_data_out *692:7 3.73793 -2 *692:7 *692:8 57.2682 -3 *692:8 *692:10 4.5 -4 *692:10 *692:11 124.4 -5 *692:11 *692:13 4.5 -6 *692:13 *692:14 253.89 -7 *692:14 *692:16 4.5 -8 *692:16 *692:17 289.672 -9 *692:17 *692:19 4.5 -10 *692:19 *692:20 76.9926 -11 *692:20 *2813:serial_data_in 33.0216 -*END - -*D_NET *693 0.0805045 -*CONN -*I *2814:serial_data_in I *D gpio_control_block -*I *2815:serial_data_out O *D gpio_control_block -*CAP -1 *2814:serial_data_in 0.00110635 -2 *2815:serial_data_out 1.65112e-05 -3 *693:13 0.00127722 -4 *693:8 0.0160926 -5 *693:7 0.0159382 -6 *2814:serial_data_in *2426:8 0 -7 *2814:serial_clock *2814:serial_data_in 0 -8 *2815:serial_clock *693:8 0.000531644 -9 *113:54 *2814:serial_data_in 0.000101851 -10 *114:11 *693:8 0.0433214 -11 *653:42 *2814:serial_data_in 0 -12 *654:10 *2814:serial_data_in 0.00206503 -13 *654:10 *693:8 0 -14 *692:7 *2814:serial_data_in 5.36925e-05 -*RES -1 *2815:serial_data_out *693:7 3.43197 -2 *693:7 *693:8 724.163 -3 *693:8 *693:13 13.051 -4 *693:13 *2814:serial_data_in 45.5653 -*END - -*D_NET *694 0.0317547 -*CONN -*I *2815:serial_data_in I *D gpio_control_block -*I *2816:serial_data_out O *D gpio_control_block -*CAP -1 *2815:serial_data_in 0.000421101 -2 *2816:serial_data_out 0.000586609 -3 *694:20 0.00259509 -4 *694:19 0.0034701 -5 *694:8 0.00428602 -6 *694:7 0.00357652 -7 *694:7 *2816:serial_data_in 8.42027e-05 -8 *694:8 *2155:8 4.31485e-06 -9 *694:8 *2237:22 9.35819e-05 -10 *694:8 *2351:30 0.000680517 -11 *694:8 *2465:20 0.00410122 -12 *694:19 *2822:mprj_io_analog_en[25] 0.000110968 -13 *694:19 *2154:14 0.000142034 -14 *694:19 *2156:8 0.000150388 -15 *694:19 *2156:23 6.55666e-06 -16 *694:19 *2199:8 0.000125376 -17 *694:19 *2313:8 0.000125376 -18 *694:19 *2351:29 0.000551692 -19 *694:19 *2389:19 3.8226e-05 -20 *694:19 *2427:8 0.000136754 -21 *694:20 *2822:mprj_io_analog_en[25] 0.000271637 -22 *694:20 *2351:16 0.0021964 -23 *694:20 *2351:29 0.000687142 -24 *2815:serial_clock *2815:serial_data_in 0.000313913 -25 *2815:serial_load *2815:serial_data_in 0 -26 *2816:serial_clock *694:7 0 -27 *106:43 *694:19 0.000158917 -28 *617:14 *694:19 0.000158917 -29 *618:34 *694:8 0.00145779 -30 *618:34 *694:19 0 -31 *632:15 *694:7 0 -32 *632:18 *694:8 0 -33 *632:37 *694:8 0 -34 *632:43 *694:8 0.00323808 -35 *632:55 *2815:serial_data_in 0.000134984 -36 *632:55 *694:20 0 -37 *633:29 *694:8 0.00170721 -38 *634:14 *694:19 0.000143066 -*RES -1 *2816:serial_data_out *694:7 5.19125 -2 *694:7 *694:8 155.475 -3 *694:8 *694:19 11.6864 -4 *694:19 *694:20 79.8994 -5 *694:20 *2815:serial_data_in 15.6549 -*END - -*D_NET *695 0.0313697 -*CONN -*I *2816:serial_data_in I *D gpio_control_block -*I *2817:serial_data_out O *D gpio_control_block -*CAP -1 *2816:serial_data_in 9.80129e-05 -2 *2817:serial_data_out 0.000620208 -3 *695:28 0.00189734 -4 *695:27 0.00227099 -5 *695:22 0.000881171 -6 *695:21 0.000466334 -7 *695:16 0.00286217 -8 *695:15 0.00342555 -9 *695:15 *2817:serial_data_in 9.52305e-06 -10 *695:15 *2638:13 0 -11 *695:16 *2817:user_gpio_out 0 -12 *695:16 *2822:mprj_io_holdover[26] 0.00135645 -13 *695:16 *2238:34 0.000505697 -14 *695:16 *2352:12 0.000147136 -15 *695:16 *2466:14 0.00108356 -16 *695:22 *2200:26 0.00115167 -17 *695:22 *2314:12 0.00298359 -18 *695:22 *2466:14 1.87125e-05 -19 *695:27 *1972:15 2.48087e-05 -20 *695:27 *2048:13 2.41274e-06 -21 *695:27 *2390:17 0 -22 *695:28 *2010:12 0 -23 *695:28 *2276:11 0.00260727 -24 *695:28 *2390:10 0.000259512 -25 *695:28 *2390:12 0.00363712 -26 *695:28 *2428:8 0 -27 *2816:serial_clock *2816:serial_data_in 0 -28 *2817:serial_load *695:15 1.29018e-05 -29 *106:43 *695:28 0 -30 *116:16 *695:22 0.00179564 -31 *116:22 *695:16 0 -32 *116:28 *695:15 0.000103139 -33 *618:34 *695:16 0.000992266 -34 *618:34 *695:22 0.000450501 -35 *632:20 *695:16 0.0013475 -36 *633:14 *695:16 0.000117452 -37 *633:28 *695:16 0.000156912 -38 *633:29 *695:16 0 -39 *694:7 *2816:serial_data_in 8.42027e-05 -*RES -1 *2817:serial_data_out *695:15 27.0046 -2 *695:15 *695:16 121.425 -3 *695:16 *695:21 10.3986 -4 *695:21 *695:22 47.925 -5 *695:22 *695:27 18.5971 -6 *695:27 *695:28 87.9968 -7 *695:28 *2816:serial_data_in 3.81442 -*END - -*D_NET *696 0.0320403 -*CONN -*I *2817:serial_data_in I *D gpio_control_block -*I *2818:serial_data_out O *D gpio_control_block -*CAP -1 *2817:serial_data_in 0.00055433 -2 *2818:serial_data_out 0.000541504 -3 *696:8 0.00677988 -4 *696:7 0.00676705 -5 *2817:serial_data_in *2638:13 0.00113148 -6 *696:8 *2817:user_gpio_out 3.5534e-06 -7 *696:8 *2239:17 0.000167891 -8 *696:8 *2239:20 0.00414486 -9 *696:8 *2391:19 0.000837016 -10 *696:8 *2391:22 1.87125e-05 -11 *696:8 *2467:8 0.00610579 -12 *2817:serial_clock *2817:serial_data_in 0 -13 *2817:serial_clock *696:8 0 -14 *2818:serial_data_in *696:7 0.000326409 -15 *2818:serial_load *696:7 0.000288789 -16 *102:49 *696:8 0.00243013 -17 *116:28 *696:8 0 -18 *117:7 *2817:serial_data_in 9.94578e-05 -19 *633:13 *2817:serial_data_in 0 -20 *633:14 *696:8 0 -21 *657:7 *2817:serial_data_in 0 -22 *657:8 *696:8 0 -23 *657:20 *696:8 0.00167057 -24 *657:24 *696:8 0.000163304 -25 *695:15 *2817:serial_data_in 9.52305e-06 -*RES -1 *2818:serial_data_out *696:7 5.65019 -2 *696:7 *696:8 261.78 -3 *696:8 *2817:serial_data_in 5.65019 -*END - -*D_NET *697 0.34362 -*CONN -*I *2785:serial_data_in I *D gpio_control_block -*I *2819:serial_data_2 O *D housekeeping -*CAP -1 *2785:serial_data_in 0.00198618 -2 *2819:serial_data_2 0.00098844 -3 *697:33 0.134715 -4 *697:32 0.13349 -5 *697:29 0.00188332 -6 *697:20 0.00226775 -7 *697:14 0.0103143 -8 *697:13 0.00945051 -9 *697:8 0.00456781 -10 *697:7 0.00527505 -11 *697:8 *2819:mgmt_gpio_in[5] 0.00123963 -12 *697:8 *2619:16 0.000225385 -13 *697:14 *2619:16 0 -14 *697:14 *2695:14 0.0259583 -15 *697:20 *2706:14 0.0013798 -16 *697:29 *1746:12 5.55031e-05 -17 *697:32 *2819:mgmt_gpio_in[34] 0 -18 *697:32 *1733:14 0 -19 *697:32 *1746:13 0 -20 *2785:serial_load *2785:serial_data_in 0 -21 *2826:flash_io3_di *697:33 0.000620249 -22 *98:11 *697:33 0 -23 *635:8 *697:8 0.00849135 -24 *635:38 *697:8 0.000701757 -25 *687:15 *2785:serial_data_in 9.52305e-06 -*RES -1 *2819:serial_data_2 *697:7 7.1035 -2 *697:7 *697:8 185.996 -3 *697:8 *697:13 15.824 -4 *697:13 *697:14 416.669 -5 *697:14 *697:20 47.4316 -6 *697:20 *697:29 39.3103 -7 *697:29 *697:32 25.8488 -8 *697:32 *697:33 3692.17 -9 *697:33 *2785:serial_data_in 19.686 -*END - -*D_NET *698 0.0407096 -*CONN -*I *2826:hk_ack_i I *D mgmt_core_wrapper -*I *2819:wb_ack_o O *D housekeeping -*CAP -1 *2826:hk_ack_i 0.00112906 -2 *2819:wb_ack_o 0.00172397 -3 *698:14 0.00227627 -4 *698:13 0.00114722 -5 *698:11 0.000770148 -6 *698:10 0.00249412 -7 *698:10 *2819:spi_enabled 0.000124349 -8 *698:10 *2819:wb_stb_i 0.000205961 -9 *698:11 *731:11 0.0107476 -10 *698:11 *2544:11 0.0103041 -11 *698:14 *699:14 0.0046344 -12 *698:14 *729:14 0 -13 *698:14 *731:8 0 -14 *698:14 *775:14 0.000385324 -15 *698:14 *2589:8 0.00476704 -*RES -1 *2819:wb_ack_o *698:10 22.5382 -2 *698:10 *698:11 112.753 -3 *698:11 *698:13 4.5 -4 *698:13 *698:14 93.3951 -5 *698:14 *2826:hk_ack_i 7.40946 -*END - -*D_NET *699 0.0308664 -*CONN -*I *2826:hk_dat_i[0] I *D mgmt_core_wrapper -*I *2819:wb_dat_o[0] O *D housekeeping -*CAP -1 *2826:hk_dat_i[0] 0.00117419 -2 *2819:wb_dat_o[0] 0.00158801 -3 *699:14 0.00305761 -4 *699:13 0.00188343 -5 *699:11 0.00243829 -6 *699:10 0.0040263 -7 *699:10 *2819:wb_stb_i 0 -8 *699:10 *710:10 0.000275937 -9 *699:11 *710:11 0.0105037 -10 *699:14 *710:14 0 -11 *699:14 *721:14 0 -12 *699:14 *727:14 0.00106213 -13 *699:14 *775:14 0.000222466 -14 *699:14 *776:14 0 -15 *699:14 *2586:8 0 -16 *698:14 *699:14 0.0046344 -*RES -1 *2819:wb_dat_o[0] *699:10 18.3092 -2 *699:10 *699:11 112.753 -3 *699:11 *699:13 4.5 -4 *699:13 *699:14 91.7341 -5 *699:14 *2826:hk_dat_i[0] 7.48595 -*END - -*D_NET *700 0.0282707 -*CONN -*I *2826:hk_dat_i[10] I *D mgmt_core_wrapper -*I *2819:wb_dat_o[10] O *D housekeeping -*CAP -1 *2826:hk_dat_i[10] 0.00146053 -2 *2819:wb_dat_o[10] 0.00206498 -3 *700:19 0.00378861 -4 *700:18 0.00269703 -5 *700:14 0.00243394 -6 *2826:hk_dat_i[10] *721:14 0.000450941 -7 *2826:hk_dat_i[10] *726:14 0.000927083 -8 *700:14 *701:10 0 -9 *700:14 *702:12 0.00209077 -10 *700:14 *704:10 0 -11 *700:14 *706:8 3.93117e-06 -12 *700:14 *726:10 3.25312e-05 -13 *700:14 *728:10 0.000833423 -14 *700:14 *729:10 0.000130145 -15 *700:14 *730:10 0.000120488 -16 *700:18 *721:10 3.809e-06 -17 *700:18 *725:10 0.00078253 -18 *700:19 *721:11 0.0104017 -19 *700:19 *725:11 4.82966e-05 -*RES -1 *2819:wb_dat_o[10] *700:14 47.0596 -2 *700:14 *700:18 18.9972 -3 *700:18 *700:19 110.535 -4 *700:19 *2826:hk_dat_i[10] 27.3573 -*END - -*D_NET *701 0.0256241 -*CONN -*I *2826:hk_dat_i[11] I *D mgmt_core_wrapper -*I *2819:wb_dat_o[11] O *D housekeeping -*CAP -1 *2826:hk_dat_i[11] 0.00107349 -2 *2819:wb_dat_o[11] 0.0015468 -3 *701:14 0.00281588 -4 *701:13 0.00174239 -5 *701:11 0.00240478 -6 *701:10 0.00395158 -7 *701:10 *702:7 0 -8 *701:10 *702:12 0.000116457 -9 *701:10 *706:8 0.000358763 -10 *701:11 *706:11 0.0108223 -11 *701:14 *2826:hk_dat_i[14] 0 -12 *701:14 *703:14 0.000791627 -13 *701:14 *727:14 0 -14 *701:14 *729:14 0 -15 *700:14 *701:10 0 -*RES -1 *2819:wb_dat_o[11] *701:10 19.1397 -2 *701:10 *701:11 113.863 -3 *701:11 *701:13 4.5 -4 *701:13 *701:14 56.8529 -5 *701:14 *2826:hk_dat_i[11] 7.33297 -*END - -*D_NET *702 0.0261994 -*CONN -*I *2826:hk_dat_i[12] I *D mgmt_core_wrapper -*I *2819:wb_dat_o[12] O *D housekeeping -*CAP -1 *2826:hk_dat_i[12] 0.0014372 -2 *2819:wb_dat_o[12] 0.001386 -3 *702:15 0.00379813 -4 *702:14 0.00236092 -5 *702:12 0.00121771 -6 *702:7 0.00260371 -7 *2826:hk_dat_i[12] *726:14 0.000286042 -8 *2826:hk_dat_i[12] *727:14 0.000412862 -9 *702:7 *703:10 0 -10 *702:12 *706:8 0 -11 *702:15 *726:11 0.0104146 -12 *702:15 *727:11 6.49003e-05 -13 *702:15 *728:11 1.00846e-05 -14 *700:14 *702:12 0.00209077 -15 *701:10 *702:7 0 -16 *701:10 *702:12 0.000116457 -*RES -1 *2819:wb_dat_o[12] *702:7 8.63331 -2 *702:7 *702:12 47.3754 -3 *702:12 *702:14 4.5 -4 *702:14 *702:15 111.644 -5 *702:15 *2826:hk_dat_i[12] 25.9586 -*END - -*D_NET *703 0.0249585 -*CONN -*I *2826:hk_dat_i[13] I *D mgmt_core_wrapper -*I *2819:wb_dat_o[13] O *D housekeeping -*CAP -1 *2826:hk_dat_i[13] 0.00101137 -2 *2819:wb_dat_o[13] 0.00148632 -3 *703:14 0.0026857 -4 *703:13 0.00167433 -5 *703:11 0.00248861 -6 *703:10 0.00397492 -7 *703:10 *704:7 0 -8 *703:10 *706:8 7.22572e-05 -9 *703:10 *708:10 6.75696e-05 -10 *703:11 *708:11 0.0106607 -11 *703:14 *2826:hk_dat_i[15] 4.50489e-05 -12 *703:14 *2826:hk_dat_i[18] 0 -13 *703:14 *729:14 0 -14 *701:14 *703:14 0.000791627 -15 *702:7 *703:10 0 -*RES -1 *2819:wb_dat_o[13] *703:10 14.5719 -2 *703:10 *703:11 115.526 -3 *703:11 *703:13 4.5 -4 *703:13 *703:14 55.6072 -5 *703:14 *2826:hk_dat_i[13] 7.1035 -*END - -*D_NET *704 0.0335797 -*CONN -*I *2826:hk_dat_i[14] I *D mgmt_core_wrapper -*I *2819:wb_dat_o[14] O *D housekeeping -*CAP -1 *2826:hk_dat_i[14] 0.0015917 -2 *2819:wb_dat_o[14] 0.00151624 -3 *704:11 0.00222619 -4 *704:10 0.00117461 -5 *704:7 0.00205636 -6 *2826:hk_dat_i[14] *730:14 0.000353697 -7 *704:7 *705:7 0 -8 *704:10 *705:8 0.000492975 -9 *704:10 *706:8 0.00156296 -10 *704:10 *707:10 0.0015886 -11 *704:10 *729:10 7.77309e-06 -12 *704:10 *730:10 0.000619223 -13 *704:11 *705:11 0.0101448 -14 *704:11 *729:11 0.0102446 -15 *700:14 *704:10 0 -16 *701:14 *2826:hk_dat_i[14] 0 -17 *703:10 *704:7 0 -*RES -1 *2819:wb_dat_o[14] *704:7 9.01576 -2 *704:7 *704:10 46.8191 -3 *704:10 *704:11 107.207 -4 *704:11 *2826:hk_dat_i[14] 24.6035 -*END - -*D_NET *705 0.0254421 -*CONN -*I *2826:hk_dat_i[15] I *D mgmt_core_wrapper -*I *2819:wb_dat_o[15] O *D housekeeping -*CAP -1 *2826:hk_dat_i[15] 0.00100034 -2 *2819:wb_dat_o[15] 0.00157856 -3 *705:11 0.00344405 -4 *705:10 0.00244371 -5 *705:8 0.00117673 -6 *705:7 0.00275529 -7 *2826:hk_dat_i[15] *729:14 4.83059e-05 -8 *705:7 *706:8 0 -9 *705:8 *707:10 0.00194855 -10 *705:11 *729:11 0.000363739 -11 *703:14 *2826:hk_dat_i[15] 4.50489e-05 -12 *704:7 *705:7 0 -13 *704:10 *705:8 0.000492975 -14 *704:11 *705:11 0.0101448 -*RES -1 *2819:wb_dat_o[15] *705:7 9.16874 -2 *705:7 *705:8 48.5479 -3 *705:8 *705:10 4.5 -4 *705:10 *705:11 114.972 -5 *705:11 *2826:hk_dat_i[15] 13.8289 -*END - -*D_NET *706 0.0325487 -*CONN -*I *2826:hk_dat_i[16] I *D mgmt_core_wrapper -*I *2819:wb_dat_o[16] O *D housekeeping -*CAP -1 *2826:hk_dat_i[16] 0.00110455 -2 *2819:wb_dat_o[16] 0.0020909 -3 *706:11 0.00221444 -4 *706:10 0.00110989 -5 *706:8 0.0020909 -6 *706:8 *707:7 0 -7 *706:8 *707:10 0.00036777 -8 *706:8 *708:10 0.000435472 -9 *706:8 *711:10 0.000275937 -10 *706:11 *707:11 0.0100386 -11 *700:14 *706:8 3.93117e-06 -12 *701:10 *706:8 0.000358763 -13 *701:11 *706:11 0.0108223 -14 *702:12 *706:8 0 -15 *703:10 *706:8 7.22572e-05 -16 *704:10 *706:8 0.00156296 -17 *705:7 *706:8 0 -*RES -1 *2819:wb_dat_o[16] *706:8 46.6906 -2 *706:8 *706:10 4.5 -3 *706:10 *706:11 121.627 -4 *706:11 *2826:hk_dat_i[16] 20.615 -*END - -*D_NET *707 0.0258657 -*CONN -*I *2826:hk_dat_i[17] I *D mgmt_core_wrapper -*I *2819:wb_dat_o[17] O *D housekeeping -*CAP -1 *2826:hk_dat_i[17] 0.00153659 -2 *2819:wb_dat_o[17] 0.00153623 -3 *707:11 0.00371922 -4 *707:10 0.00282802 -5 *707:7 0.00218163 -6 *707:7 *708:10 0 -7 *707:10 *709:10 0 -8 *707:10 *711:10 0.000120488 -9 *704:10 *707:10 0.0015886 -10 *705:8 *707:10 0.00194855 -11 *706:8 *707:7 0 -12 *706:8 *707:10 0.00036777 -13 *706:11 *707:11 0.0100386 -*RES -1 *2819:wb_dat_o[17] *707:7 9.09225 -2 *707:7 *707:10 48.4801 -3 *707:10 *707:11 104.989 -4 *707:11 *2826:hk_dat_i[17] 13.206 -*END - -*D_NET *708 0.0243687 -*CONN -*I *2826:hk_dat_i[18] I *D mgmt_core_wrapper -*I *2819:wb_dat_o[18] O *D housekeeping -*CAP -1 *2826:hk_dat_i[18] 0.001452 -2 *2819:wb_dat_o[18] 0.00225725 -3 *708:11 0.00382197 -4 *708:10 0.00462722 -5 *708:10 *709:10 0 -6 *708:10 *711:10 0.00104648 -7 *703:10 *708:10 6.75696e-05 -8 *703:11 *708:11 0.0106607 -9 *703:14 *2826:hk_dat_i[18] 0 -10 *706:8 *708:10 0.000435472 -11 *707:7 *708:10 0 -*RES -1 *2819:wb_dat_o[18] *708:10 46.4698 -2 *708:10 *708:11 112.199 -3 *708:11 *2826:hk_dat_i[18] 20.8226 -*END - -*D_NET *709 0.0230512 -*CONN -*I *2826:hk_dat_i[19] I *D mgmt_core_wrapper -*I *2819:wb_dat_o[19] O *D housekeeping -*CAP -1 *2826:hk_dat_i[19] 0.00154349 -2 *2819:wb_dat_o[19] 0.00251478 -3 *709:11 0.00385341 -4 *709:10 0.0048247 -5 *2826:hk_dat_i[19] *2826:hk_dat_i[20] 0 -6 *709:10 *711:10 0 -7 *709:10 *712:10 0 -8 *709:11 *711:11 0.0103148 -9 *707:10 *709:10 0 -10 *708:10 *709:10 0 -*RES -1 *2819:wb_dat_o[19] *709:10 36.5911 -2 *709:10 *709:11 109.98 -3 *709:11 *2826:hk_dat_i[19] 27.6852 -*END - -*D_NET *710 0.0311462 -*CONN -*I *2826:hk_dat_i[1] I *D mgmt_core_wrapper -*I *2819:wb_dat_o[1] O *D housekeeping -*CAP -1 *2826:hk_dat_i[1] 0.00128376 -2 *2819:wb_dat_o[1] 0.0017475 -3 *710:14 0.00244096 -4 *710:13 0.0011572 -5 *710:11 0.00233628 -6 *710:10 0.00408378 -7 *710:10 *721:10 0 -8 *710:14 *721:14 0.00456522 -9 *710:14 *726:14 0.00134915 -10 *710:14 *2544:8 0.000458898 -11 *710:14 *2586:8 0.000943882 -12 *699:10 *710:10 0.000275937 -13 *699:11 *710:11 0.0105037 -14 *699:14 *710:14 0 -*RES -1 *2819:wb_dat_o[1] *710:10 23.631 -2 *710:10 *710:11 110.535 -3 *710:11 *710:13 4.5 -4 *710:13 *710:14 83.0138 -5 *710:14 *2826:hk_dat_i[1] 7.8684 -*END - -*D_NET *711 0.0245182 -*CONN -*I *2826:hk_dat_i[20] I *D mgmt_core_wrapper -*I *2819:wb_dat_o[20] O *D housekeeping -*CAP -1 *2826:hk_dat_i[20] 0.00129902 -2 *2819:wb_dat_o[20] 0.00186011 -3 *711:11 0.00377031 -4 *711:10 0.0043314 -5 *711:10 *712:10 0.000762639 -6 *711:10 *713:10 0.000737002 -7 *2826:hk_dat_i[19] *2826:hk_dat_i[20] 0 -8 *706:8 *711:10 0.000275937 -9 *707:10 *711:10 0.000120488 -10 *708:10 *711:10 0.00104648 -11 *709:10 *711:10 0 -12 *709:11 *711:11 0.0103148 -*RES -1 *2819:wb_dat_o[20] *711:10 42.809 -2 *711:10 *711:11 113.863 -3 *711:11 *2826:hk_dat_i[20] 18.4404 -*END - -*D_NET *712 0.0238638 -*CONN -*I *2826:hk_dat_i[21] I *D mgmt_core_wrapper -*I *2819:wb_dat_o[21] O *D housekeeping -*CAP -1 *2826:hk_dat_i[21] 0.00138352 -2 *2819:wb_dat_o[21] 0.00193321 -3 *712:11 0.00382558 -4 *712:10 0.00437527 -5 *2826:hk_dat_i[21] *2826:hk_dat_i[22] 0.000275934 -6 *712:10 *713:10 0.000139296 -7 *712:10 *714:10 6.75696e-05 -8 *712:10 *715:10 0 -9 *712:11 *713:11 0.0111008 -10 *709:10 *712:10 0 -11 *711:10 *712:10 0.000762639 -*RES -1 *2819:wb_dat_o[21] *712:10 31.6737 -2 *712:10 *712:11 116.636 -3 *712:11 *2826:hk_dat_i[21] 25.8712 -*END - -*D_NET *713 0.023848 -*CONN -*I *2826:hk_dat_i[22] I *D mgmt_core_wrapper -*I *2819:wb_dat_o[22] O *D housekeeping -*CAP -1 *2826:hk_dat_i[22] 0.00107795 -2 *2819:wb_dat_o[22] 0.00200563 -3 *713:11 0.00355126 -4 *713:10 0.00447894 -5 *713:10 *714:10 0.00048123 -6 *2826:hk_dat_i[21] *2826:hk_dat_i[22] 0.000275934 -7 *711:10 *713:10 0.000737002 -8 *712:10 *713:10 0.000139296 -9 *712:11 *713:11 0.0111008 -*RES -1 *2819:wb_dat_o[22] *713:10 37.7495 -2 *713:10 *713:11 117.19 -3 *713:11 *2826:hk_dat_i[22] 16.3969 -*END - -*D_NET *714 0.0226029 -*CONN -*I *2826:hk_dat_i[23] I *D mgmt_core_wrapper -*I *2819:wb_dat_o[23] O *D housekeeping -*CAP -1 *2826:hk_dat_i[23] 0.00132249 -2 *2819:wb_dat_o[23] 0.00182456 -3 *714:11 0.00394097 -4 *714:10 0.00444303 -5 *2826:hk_dat_i[23] *2826:hk_dat_i[24] 0 -6 *714:10 *715:10 0 -7 *714:11 *715:11 0.010523 -8 *712:10 *714:10 6.75696e-05 -9 *713:10 *714:10 0.00048123 -*RES -1 *2819:wb_dat_o[23] *714:10 27.0295 -2 *714:10 *714:11 118.854 -3 *714:11 *2826:hk_dat_i[23] 23.5655 -*END - -*D_NET *715 0.0221854 -*CONN -*I *2826:hk_dat_i[24] I *D mgmt_core_wrapper -*I *2819:wb_dat_o[24] O *D housekeeping -*CAP -1 *2826:hk_dat_i[24] 0.00115251 -2 *2819:wb_dat_o[24] 0.00240327 -3 *715:11 0.00342794 -4 *715:10 0.0046787 -5 *715:10 *716:10 0 -6 *2826:hk_dat_i[23] *2826:hk_dat_i[24] 0 -7 *712:10 *715:10 0 -8 *714:10 *715:10 0 -9 *714:11 *715:11 0.010523 -*RES -1 *2819:wb_dat_o[24] *715:10 34.7772 -2 *715:10 *715:11 109.98 -3 *715:11 *2826:hk_dat_i[24] 14.5501 -*END - -*D_NET *716 0.0225785 -*CONN -*I *2826:hk_dat_i[25] I *D mgmt_core_wrapper -*I *2819:wb_dat_o[25] O *D housekeeping -*CAP -1 *2826:hk_dat_i[25] 0.00118412 -2 *2819:wb_dat_o[25] 0.0013158 -3 *716:11 0.00414129 -4 *716:10 0.00427296 -5 *716:10 *717:10 0.000559368 -6 *716:11 *717:11 0.011105 -7 *715:10 *716:10 0 -*RES -1 *2819:wb_dat_o[25] *716:10 21.5001 -2 *716:10 *716:11 131.055 -3 *716:11 *2826:hk_dat_i[25] 21.5985 -*END - -*D_NET *717 0.0223954 -*CONN -*I *2826:hk_dat_i[26] I *D mgmt_core_wrapper -*I *2819:wb_dat_o[26] O *D housekeeping -*CAP -1 *2826:hk_dat_i[26] 0.00139706 -2 *2819:wb_dat_o[26] 0.00156036 -3 *717:11 0.00380515 -4 *717:10 0.00396846 -5 *717:10 *718:10 0 -6 *716:10 *717:10 0.000559368 -7 *716:11 *717:11 0.011105 -*RES -1 *2819:wb_dat_o[26] *717:10 27.8054 -2 *717:10 *717:11 116.081 -3 *717:11 *2826:hk_dat_i[26] 14.867 -*END - -*D_NET *718 0.0146108 -*CONN -*I *2826:hk_dat_i[27] I *D mgmt_core_wrapper -*I *2819:wb_dat_o[27] O *D housekeeping -*CAP -1 *2826:hk_dat_i[27] 0.00113002 -2 *2819:wb_dat_o[27] 0.00162075 -3 *718:11 0.00548122 -4 *718:10 0.00597195 -5 *718:10 *719:10 0 -6 *718:11 *2826:hk_dat_i[28] 0.00040685 -7 *717:10 *718:10 0 -*RES -1 *2819:wb_dat_o[27] *718:10 17.8939 -2 *718:10 *718:11 121.627 -3 *718:11 *2826:hk_dat_i[27] 20.6915 -*END - -*D_NET *719 0.0214868 -*CONN -*I *2826:hk_dat_i[28] I *D mgmt_core_wrapper -*I *2819:wb_dat_o[28] O *D housekeeping -*CAP -1 *2826:hk_dat_i[28] 0.00160808 -2 *2819:wb_dat_o[28] 0.0015064 -3 *719:11 0.00386616 -4 *719:10 0.00376448 -5 *2826:hk_dat_i[28] *2826:hk_dat_i[29] 3.31733e-05 -6 *719:10 *720:10 0.000150395 -7 *719:11 *720:11 0.0101513 -8 *718:10 *719:10 0 -9 *718:11 *2826:hk_dat_i[28] 0.00040685 -*RES -1 *2819:wb_dat_o[28] *719:10 15.8176 -2 *719:10 *719:11 107.207 -3 *719:11 *2826:hk_dat_i[28] 21.4345 -*END - -*D_NET *720 0.0209572 -*CONN -*I *2826:hk_dat_i[29] I *D mgmt_core_wrapper -*I *2819:wb_dat_o[29] O *D housekeeping -*CAP -1 *2826:hk_dat_i[29] 0.00135545 -2 *2819:wb_dat_o[29] 0.00176019 -3 *720:11 0.00355101 -4 *720:10 0.00395576 -5 *720:10 *722:10 0 -6 *2826:hk_dat_i[28] *2826:hk_dat_i[29] 3.31733e-05 -7 *719:10 *720:10 0.000150395 -8 *719:11 *720:11 0.0101513 -*RES -1 *2819:wb_dat_o[29] *720:10 22.1229 -2 *720:10 *720:11 106.098 -3 *720:11 *2826:hk_dat_i[29] 14.0365 -*END - -*D_NET *721 0.0376104 -*CONN -*I *2826:hk_dat_i[2] I *D mgmt_core_wrapper -*I *2819:wb_dat_o[2] O *D housekeeping -*CAP -1 *2826:hk_dat_i[2] 0.00125629 -2 *2819:wb_dat_o[2] 0.00155495 -3 *721:14 0.002837 -4 *721:13 0.00158071 -5 *721:11 0.000657436 -6 *721:10 0.00221238 -7 *721:10 *724:10 0 -8 *721:10 *725:10 4.69495e-06 -9 *721:11 *725:11 0.0105037 -10 *721:14 *726:14 2.13629e-05 -11 *721:14 *727:14 0.0015603 -12 *2826:hk_dat_i[10] *721:14 0.000450941 -13 *699:14 *721:14 0 -14 *700:18 *721:10 3.809e-06 -15 *700:19 *721:11 0.0104017 -16 *710:10 *721:10 0 -17 *710:14 *721:14 0.00456522 -*RES -1 *2819:wb_dat_o[2] *721:10 14.2331 -2 *721:10 *721:11 109.98 -3 *721:11 *721:13 4.5 -4 *721:13 *721:14 90.0731 -5 *721:14 *2826:hk_dat_i[2] 7.79191 -*END - -*D_NET *722 0.0204337 -*CONN -*I *2826:hk_dat_i[30] I *D mgmt_core_wrapper -*I *2819:wb_dat_o[30] O *D housekeeping -*CAP -1 *2826:hk_dat_i[30] 0.00130349 -2 *2819:wb_dat_o[30] 0.00152277 -3 *722:11 0.00385324 -4 *722:10 0.00407251 -5 *722:10 *723:10 0 -6 *722:11 *723:11 0.00968171 -7 *720:10 *722:10 0 -*RES -1 *2819:wb_dat_o[30] *722:10 15.4024 -2 *722:10 *722:11 113.863 -3 *722:11 *2826:hk_dat_i[30] 18.8556 -*END - -*D_NET *723 0.0202872 -*CONN -*I *2826:hk_dat_i[31] I *D mgmt_core_wrapper -*I *2819:wb_dat_o[31] O *D housekeeping -*CAP -1 *2826:hk_dat_i[31] 0.00142385 -2 *2819:wb_dat_o[31] 0.00179141 -3 *723:11 0.00351134 -4 *723:10 0.00387891 -5 *2819:spimemio_flash_clk *723:10 0 -6 *722:10 *723:10 0 -7 *722:11 *723:11 0.00968171 -*RES -1 *2819:wb_dat_o[31] *723:10 17.8283 -2 *723:10 *723:11 101.107 -3 *723:11 *2826:hk_dat_i[31] 14.867 -*END - -*D_NET *724 0.028168 -*CONN -*I *2826:hk_dat_i[3] I *D mgmt_core_wrapper -*I *2819:wb_dat_o[3] O *D housekeeping -*CAP -1 *2826:hk_dat_i[3] 0.00144422 -2 *2819:wb_dat_o[3] 0.00160481 -3 *724:14 0.00362836 -4 *724:13 0.00218415 -5 *724:11 0.0022084 -6 *724:10 0.00381322 -7 *724:10 *725:10 0.000351464 -8 *724:11 *725:11 0.0102021 -9 *724:14 *726:14 0 -10 *724:14 *730:14 0.00160847 -11 *724:14 *2544:8 0.000705592 -12 *724:14 *2585:14 0.000417162 -13 *721:10 *724:10 0 -*RES -1 *2819:wb_dat_o[3] *724:10 19.1397 -2 *724:10 *724:11 106.653 -3 *724:11 *724:13 4.5 -4 *724:13 *724:14 81.768 -5 *724:14 *2826:hk_dat_i[3] 8.32734 -*END - -*D_NET *725 0.034727 -*CONN -*I *2826:hk_dat_i[4] I *D mgmt_core_wrapper -*I *2819:wb_dat_o[4] O *D housekeeping -*CAP -1 *2826:hk_dat_i[4] 0.000815998 -2 *2819:wb_dat_o[4] 0.00168008 -3 *725:14 0.00266608 -4 *725:13 0.00185008 -5 *725:11 0.00109911 -6 *725:10 0.0027792 -7 *725:10 *726:10 0 -8 *725:14 *728:14 0.000911252 -9 *725:14 *729:14 0.00103239 -10 *725:14 *731:8 0 -11 *700:18 *725:10 0.00078253 -12 *700:19 *725:11 4.82966e-05 -13 *721:10 *725:10 4.69495e-06 -14 *721:11 *725:11 0.0105037 -15 *724:10 *725:10 0.000351464 -16 *724:11 *725:11 0.0102021 -*RES -1 *2819:wb_dat_o[4] *725:10 26.1225 -2 *725:10 *725:11 120.518 -3 *725:11 *725:13 4.5 -4 *725:13 *725:14 71.3867 -5 *725:14 *2826:hk_dat_i[4] 6.49158 -*END - -*D_NET *726 0.0358811 -*CONN -*I *2826:hk_dat_i[5] I *D mgmt_core_wrapper -*I *2819:wb_dat_o[5] O *D housekeeping -*CAP -1 *2826:hk_dat_i[5] 0.00133913 -2 *2819:wb_dat_o[5] 0.0015369 -3 *726:14 0.0026714 -4 *726:13 0.00133228 -5 *726:11 0.000617305 -6 *726:10 0.00215421 -7 *726:10 *727:10 9.32067e-05 -8 *726:10 *728:10 6.75696e-05 -9 *726:11 *727:11 0.0103615 -10 *726:11 *728:11 1.92172e-05 -11 *726:14 *727:14 0 -12 *726:14 *730:14 0.000866851 -13 *726:14 *2544:8 0.00179079 -14 *2826:hk_dat_i[10] *726:14 0.000927083 -15 *2826:hk_dat_i[12] *726:14 0.000286042 -16 *700:14 *726:10 3.25312e-05 -17 *702:15 *726:11 0.0104146 -18 *710:14 *726:14 0.00134915 -19 *721:14 *726:14 2.13629e-05 -20 *724:14 *726:14 0 -21 *725:10 *726:10 0 -*RES -1 *2819:wb_dat_o[5] *726:10 15.4024 -2 *726:10 *726:11 108.871 -3 *726:11 *726:13 4.5 -4 *726:13 *726:14 78.8613 -5 *726:14 *2826:hk_dat_i[5] 8.02138 -*END - -*D_NET *727 0.0346694 -*CONN -*I *2826:hk_dat_i[6] I *D mgmt_core_wrapper -*I *2819:wb_dat_o[6] O *D housekeeping -*CAP -1 *2826:hk_dat_i[6] 0.00122515 -2 *2819:wb_dat_o[6] 0.00172878 -3 *727:14 0.00276072 -4 *727:13 0.00153557 -5 *727:11 0.000657265 -6 *727:10 0.00238605 -7 *727:10 *728:10 0.000198188 -8 *727:11 *728:11 0.0106228 -9 *727:14 *730:14 0 -10 *2826:hk_dat_i[12] *727:14 0.000412862 -11 *699:14 *727:14 0.00106213 -12 *701:14 *727:14 0 -13 *702:15 *727:11 6.49003e-05 -14 *721:14 *727:14 0.0015603 -15 *726:10 *727:10 9.32067e-05 -16 *726:11 *727:11 0.0103615 -17 *726:14 *727:14 0 -*RES -1 *2819:wb_dat_o[6] *727:10 21.7077 -2 *727:10 *727:11 111.09 -3 *727:11 *727:13 4.5 -4 *727:13 *727:14 70.141 -5 *727:14 *2826:hk_dat_i[6] 7.63893 -*END - -*D_NET *728 0.0267444 -*CONN -*I *2826:hk_dat_i[7] I *D mgmt_core_wrapper -*I *2819:wb_dat_o[7] O *D housekeeping -*CAP -1 *2826:hk_dat_i[7] 0.000756037 -2 *2819:wb_dat_o[7] 0.00169031 -3 *728:14 0.00260031 -4 *728:13 0.00184427 -5 *728:11 0.00275034 -6 *728:10 0.00444065 -7 *728:10 *729:10 0 -8 *728:14 *729:14 0 -9 *700:14 *728:10 0.000833423 -10 *702:15 *728:11 1.00846e-05 -11 *725:14 *728:14 0.000911252 -12 *726:10 *728:10 6.75696e-05 -13 *726:11 *728:11 1.92172e-05 -14 *727:10 *728:10 0.000198188 -15 *727:11 *728:11 0.0106228 -*RES -1 *2819:wb_dat_o[7] *728:10 26.953 -2 *728:10 *728:11 122.182 -3 *728:11 *728:13 4.5 -4 *728:13 *728:14 61.4207 -5 *728:14 *2826:hk_dat_i[7] 6.26211 -*END - -*D_NET *729 0.0333238 -*CONN -*I *2826:hk_dat_i[8] I *D mgmt_core_wrapper -*I *2819:wb_dat_o[8] O *D housekeeping -*CAP -1 *2826:hk_dat_i[8] 0.000891445 -2 *2819:wb_dat_o[8] 0.00154381 -3 *729:14 0.00300097 -4 *729:13 0.00210953 -5 *729:11 0.000972188 -6 *729:10 0.00251599 -7 *729:10 *730:10 0.000254579 -8 *729:11 *730:11 0.0101957 -9 *729:14 *731:8 1.26032e-05 -10 *2826:hk_dat_i[15] *729:14 4.83059e-05 -11 *698:14 *729:14 0 -12 *700:14 *729:10 0.000130145 -13 *701:14 *729:14 0 -14 *703:14 *729:14 0 -15 *704:10 *729:10 7.77309e-06 -16 *704:11 *729:11 0.0102446 -17 *705:11 *729:11 0.000363739 -18 *725:14 *729:14 0.00103239 -19 *728:10 *729:10 0 -20 *728:14 *729:14 0 -*RES -1 *2819:wb_dat_o[8] *729:10 17.8939 -2 *729:10 *729:11 118.854 -3 *729:11 *729:13 4.5 -4 *729:13 *729:14 68.0647 -5 *729:14 *2826:hk_dat_i[8] 6.64456 -*END - -*D_NET *730 0.0271377 -*CONN -*I *2826:hk_dat_i[9] I *D mgmt_core_wrapper -*I *2819:wb_dat_o[9] O *D housekeeping -*CAP -1 *2826:hk_dat_i[9] 0.00137608 -2 *2819:wb_dat_o[9] 0.00161066 -3 *730:14 0.00272468 -4 *730:13 0.0013486 -5 *730:11 0.002224 -6 *730:10 0.00383467 -7 *2826:hk_dat_i[14] *730:14 0.000353697 -8 *700:14 *730:10 0.000120488 -9 *704:10 *730:10 0.000619223 -10 *724:14 *730:14 0.00160847 -11 *726:14 *730:14 0.000866851 -12 *727:14 *730:14 0 -13 *729:10 *730:10 0.000254579 -14 *729:11 *730:11 0.0101957 -*RES -1 *2819:wb_dat_o[9] *730:10 23.3687 -2 *730:10 *730:11 106.653 -3 *730:11 *730:13 4.5 -4 *730:13 *730:14 59.3444 -5 *730:14 *2826:hk_dat_i[9] 8.25085 -*END - -*D_NET *731 0.0302688 -*CONN -*I *2819:wb_stb_i I *D housekeeping -*I *2826:hk_stb_o O *D mgmt_core_wrapper -*CAP -1 *2819:wb_stb_i 0.00190088 -2 *2826:hk_stb_o 0.000915934 -3 *731:11 0.00448562 -4 *731:10 0.00258474 -5 *731:8 0.00209491 -6 *731:7 0.00301084 -7 *2819:wb_stb_i *2819:spi_enabled 0.000119662 -8 *731:8 *2539:8 0.00416589 -9 *731:8 *2541:14 0 -10 *731:8 *2589:8 0 -11 *731:11 *2544:11 2.41483e-05 -12 *698:10 *2819:wb_stb_i 0.000205961 -13 *698:11 *731:11 0.0107476 -14 *698:14 *731:8 0 -15 *699:10 *2819:wb_stb_i 0 -16 *725:14 *731:8 0 -17 *729:14 *731:8 1.26032e-05 -*RES -1 *2826:hk_stb_o *731:7 6.87403 -2 *731:7 *731:8 83.8443 -3 *731:8 *731:10 4.5 -4 *731:10 *731:11 117.745 -5 *731:11 *2819:wb_stb_i 28.614 -*END - -*D_NET *732 0.0581192 -*CONN -*I *2826:sram_ro_addr[0] I *D mgmt_core_wrapper -*I *2819:sram_ro_addr[0] O *D housekeeping -*CAP -1 *2826:sram_ro_addr[0] 0.00125637 -2 *2819:sram_ro_addr[0] 0.00127864 -3 *732:14 0.00541447 -4 *732:13 0.0041581 -5 *732:11 0.000780187 -6 *732:10 0.000780187 -7 *732:8 0.000906163 -8 *732:7 0.00218481 -9 *732:7 *733:7 0 -10 *732:7 *741:10 0 -11 *732:8 *733:8 4.3116e-06 -12 *732:8 *734:8 0.00639939 -13 *732:8 *735:8 0.00642074 -14 *732:8 *737:8 4.09467e-05 -15 *732:11 *733:11 0.0106156 -16 *732:11 *735:11 5.80703e-06 -17 *732:11 *737:11 2.41483e-05 -18 *732:14 *733:14 0.00503089 -19 *732:14 *738:14 0 -20 *732:14 *741:14 0 -21 *732:14 *743:8 3.65454e-05 -22 *732:14 *764:8 0 -23 *732:14 *768:8 0 -24 *732:14 *769:8 0.000385324 -25 *732:14 *771:8 0.000296518 -26 *732:14 *772:8 0.00117332 -27 *89:25 *732:8 5.85596e-05 -28 *89:26 *732:11 0.0108682 -*RES -1 *2819:sram_ro_addr[0] *732:7 8.17437 -2 *732:7 *732:8 105.022 -3 *732:8 *732:10 4.5 -4 *732:10 *732:11 114.417 -5 *732:11 *732:13 4.5 -6 *732:13 *732:14 176.861 -7 *732:14 *2826:sram_ro_addr[0] 7.94489 -*END - -*D_NET *733 0.0629899 -*CONN -*I *2826:sram_ro_addr[1] I *D mgmt_core_wrapper -*I *2819:sram_ro_addr[1] O *D housekeeping -*CAP -1 *2826:sram_ro_addr[1] 0.00139062 -2 *2819:sram_ro_addr[1] 0.00136891 -3 *733:14 0.00384003 -4 *733:13 0.00244941 -5 *733:11 0.000722204 -6 *733:10 0.000722204 -7 *733:8 0.000951134 -8 *733:7 0.00232005 -9 *733:7 *734:7 0 -10 *733:8 *736:8 0.00688408 -11 *733:8 *737:8 0.00690544 -12 *733:11 *737:11 0.0105647 -13 *733:14 *736:14 0.000206811 -14 *733:14 *737:14 0.00815055 -15 *733:14 *743:8 6.11074e-05 -16 *85:22 *2826:sram_ro_addr[1] 0.000247473 -17 *92:30 *2826:sram_ro_addr[1] 0.000554387 -18 *732:7 *733:7 0 -19 *732:8 *733:8 4.3116e-06 -20 *732:11 *733:11 0.0106156 -21 *732:14 *733:14 0.00503089 -*RES -1 *2819:sram_ro_addr[1] *733:7 8.40384 -2 *733:7 *733:8 111.251 -3 *733:8 *733:10 4.5 -4 *733:10 *733:11 111.644 -5 *733:11 *733:13 4.5 -6 *733:13 *733:14 168.141 -7 *733:14 *2826:sram_ro_addr[1] 8.09787 -*END - -*D_NET *734 0.0587585 -*CONN -*I *2826:sram_ro_addr[2] I *D mgmt_core_wrapper -*I *2819:sram_ro_addr[2] O *D housekeeping -*CAP -1 *2826:sram_ro_addr[2] 0.00180585 -2 *2819:sram_ro_addr[2] 0.0012986 -3 *734:14 0.00524235 -4 *734:13 0.00343649 -5 *734:11 0.000912455 -6 *734:10 0.000912455 -7 *734:8 0.000997939 -8 *734:7 0.00229654 -9 *734:7 *735:7 0 -10 *734:8 *735:8 0.000367776 -11 *734:8 *737:8 0.007173 -12 *734:11 *735:11 0.00967257 -13 *734:11 *739:11 0.00800539 -14 *734:11 *742:11 1.65872e-05 -15 *734:14 *735:14 0.00941094 -16 *734:14 *773:14 0.000731912 -17 *75:19 *734:14 9.22013e-06 -18 *85:16 *734:11 6.89789e-05 -19 *732:8 *734:8 0.00639939 -20 *733:7 *734:7 0 -*RES -1 *2819:sram_ro_addr[2] *734:7 8.25085 -2 *734:7 *734:8 114.988 -3 *734:8 *734:10 4.5 -4 *734:10 *734:11 102.216 -5 *734:11 *734:13 4.5 -6 *734:13 *734:14 158.797 -7 *734:14 *2826:sram_ro_addr[2] 42.69 -*END - -*D_NET *735 0.0598489 -*CONN -*I *2826:sram_ro_addr[3] I *D mgmt_core_wrapper -*I *2819:sram_ro_addr[3] O *D housekeeping -*CAP -1 *2826:sram_ro_addr[3] 0.00176831 -2 *2819:sram_ro_addr[3] 0.00124609 -3 *735:14 0.00355653 -4 *735:13 0.00178823 -5 *735:11 0.000701466 -6 *735:10 0.000701466 -7 *735:8 0.00245416 -8 *735:7 0.00370025 -9 *735:7 *736:7 0 -10 *735:8 *737:8 0.000142811 -11 *735:11 *736:11 4.33655e-05 -12 *735:11 *737:11 1.80122e-05 -13 *735:11 *742:11 0.00942862 -14 *75:19 *735:14 0.00696917 -15 *82:15 *735:8 0 -16 *85:16 *735:11 1.80122e-05 -17 *89:25 *735:8 0.00143459 -18 *89:26 *735:11 0 -19 *732:8 *735:8 0.00642074 -20 *732:11 *735:11 5.80703e-06 -21 *734:7 *735:7 0 -22 *734:8 *735:8 0.000367776 -23 *734:11 *735:11 0.00967257 -24 *734:14 *735:14 0.00941094 -*RES -1 *2819:sram_ro_addr[3] *735:7 8.09787 -2 *735:7 *735:8 122.048 -3 *735:8 *735:10 4.5 -4 *735:10 *735:11 102.77 -5 *735:11 *735:13 4.5 -6 *735:13 *735:14 150.908 -7 *735:14 *2826:sram_ro_addr[3] 41.5836 -*END - -*D_NET *736 0.0591561 -*CONN -*I *2826:sram_ro_addr[4] I *D mgmt_core_wrapper -*I *2819:sram_ro_addr[4] O *D housekeeping -*CAP -1 *2826:sram_ro_addr[4] 0.00138139 -2 *2819:sram_ro_addr[4] 0.00136374 -3 *736:14 0.00468477 -4 *736:13 0.00330338 -5 *736:11 0.000679294 -6 *736:10 0.000679294 -7 *736:8 0.00114673 -8 *736:7 0.00251048 -9 *736:7 *737:7 0 -10 *736:8 *737:8 0.000553593 -11 *736:8 *739:8 0.00793608 -12 *736:8 *742:14 2.33193e-05 -13 *736:11 *737:11 0.0104225 -14 *736:11 *742:11 0.0103163 -15 *736:14 *737:14 0.00109906 -16 *736:14 *742:8 0.00592194 -17 *733:8 *736:8 0.00688408 -18 *733:14 *736:14 0.000206811 -19 *735:7 *736:7 0 -20 *735:11 *736:11 4.33655e-05 -*RES -1 *2819:sram_ro_addr[4] *736:7 8.48033 -2 *736:7 *736:8 128.692 -3 *736:8 *736:10 4.5 -4 *736:10 *736:11 109.426 -5 *736:11 *736:13 4.5 -6 *736:13 *736:14 141.564 -7 *736:14 *2826:sram_ro_addr[4] 8.32734 -*END - -*D_NET *737 0.0632718 -*CONN -*I *2826:sram_ro_addr[5] I *D mgmt_core_wrapper -*I *2819:sram_ro_addr[5] O *D housekeeping -*CAP -1 *2826:sram_ro_addr[5] 0.00134144 -2 *2819:sram_ro_addr[5] 0.00130605 -3 *737:14 0.00257075 -4 *737:13 0.00122931 -5 *737:11 0.000738029 -6 *737:10 0.000738029 -7 *737:8 0.00143896 -8 *737:7 0.00274501 -9 *737:7 *738:10 0 -10 *737:8 *739:8 0.000142811 -11 *737:11 *742:11 1.65872e-05 -12 *737:14 *742:8 0.00589738 -13 *737:14 *743:8 1.2693e-05 -14 *732:8 *737:8 4.09467e-05 -15 *732:11 *737:11 2.41483e-05 -16 *733:8 *737:8 0.00690544 -17 *733:11 *737:11 0.0105647 -18 *733:14 *737:14 0.00815055 -19 *734:8 *737:8 0.007173 -20 *735:8 *737:8 0.000142811 -21 *735:11 *737:11 1.80122e-05 -22 *736:7 *737:7 0 -23 *736:8 *737:8 0.000553593 -24 *736:11 *737:11 0.0104225 -25 *736:14 *737:14 0.00109906 -*RES -1 *2819:sram_ro_addr[5] *737:7 8.32734 -2 *737:7 *737:8 135.751 -3 *737:8 *737:10 4.5 -4 *737:10 *737:11 111.644 -5 *737:11 *737:13 4.5 -6 *737:13 *737:14 131.183 -7 *737:14 *2826:sram_ro_addr[5] 8.17437 -*END - -*D_NET *738 0.0485546 -*CONN -*I *2826:sram_ro_addr[6] I *D mgmt_core_wrapper -*I *2819:sram_ro_addr[6] O *D housekeeping -*CAP -1 *2826:sram_ro_addr[6] 0.00110607 -2 *2819:sram_ro_addr[6] 0.001913 -3 *738:14 0.00395236 -4 *738:13 0.00284628 -5 *738:11 0.00420389 -6 *738:10 0.0061169 -7 *738:10 *739:7 0 -8 *738:10 *742:14 0.000339599 -9 *738:14 *740:14 0.0142442 -10 *738:14 *741:14 0.000376123 -11 *738:14 *764:8 0.012485 -12 *738:14 *767:10 0.000971166 -13 *732:14 *738:14 0 -14 *737:7 *738:10 0 -*RES -1 *2819:sram_ro_addr[6] *738:10 29.521 -2 *738:10 *738:11 113.308 -3 *738:11 *738:13 4.5 -4 *738:13 *738:14 247.454 -5 *738:14 *2826:sram_ro_addr[6] 7.40946 -*END - -*D_NET *739 0.052267 -*CONN -*I *2826:sram_ro_addr[7] I *D mgmt_core_wrapper -*I *2819:sram_ro_addr[7] O *D housekeeping -*CAP -1 *2826:sram_ro_addr[7] 0.00102397 -2 *2819:sram_ro_addr[7] 0.00136601 -3 *739:22 0.00222595 -4 *739:14 0.00528771 -5 *739:13 0.00408573 -6 *739:11 0.000754546 -7 *739:10 0.000754546 -8 *739:8 0.00139409 -9 *739:7 0.0027601 -10 *739:7 *740:10 0 -11 *739:8 *742:14 0.00912644 -12 *739:22 *741:14 6.7566e-05 -13 *75:22 *2826:sram_ro_addr[7] 0.000490525 -14 *75:22 *739:22 0.000431115 -15 *85:16 *739:11 0.00641439 -16 *734:11 *739:11 0.00800539 -17 *736:8 *739:8 0.00793608 -18 *737:8 *739:8 0.000142811 -19 *738:10 *739:7 0 -*RES -1 *2819:sram_ro_addr[7] *739:7 8.55682 -2 *739:7 *739:8 146.132 -3 *739:8 *739:10 4.5 -4 *739:10 *739:11 83.9139 -5 *739:11 *739:13 4.5 -6 *739:13 *739:14 113.95 -7 *739:14 *739:22 47.5775 -8 *739:22 *2826:sram_ro_addr[7] 3.73472 -*END - -*D_NET *740 0.0494894 -*CONN -*I *2826:sram_ro_clk I *D mgmt_core_wrapper -*I *2819:sram_ro_clk O *D housekeeping -*CAP -1 *2826:sram_ro_clk 0.00108609 -2 *2819:sram_ro_clk 0.00202149 -3 *740:14 0.00331409 -4 *740:13 0.002228 -5 *740:11 0.00408523 -6 *740:10 0.00610672 -7 *740:10 *2819:sram_ro_data[0] 0 -8 *740:10 *742:14 0 -9 *740:14 *741:14 0.000542263 -10 *740:14 *753:8 0.0141662 -11 *740:14 *764:8 0.000516941 -12 *740:14 *767:10 0.00117826 -13 *738:14 *740:14 0.0142442 -14 *739:7 *740:10 0 -*RES -1 *2819:sram_ro_clk *740:10 25.4886 -2 *740:10 *740:11 109.98 -3 *740:11 *740:13 4.5 -4 *740:13 *740:14 246.208 -5 *740:14 *2826:sram_ro_clk 7.33297 -*END - -*D_NET *741 0.0427816 -*CONN -*I *2826:sram_ro_csb I *D mgmt_core_wrapper -*I *2819:sram_ro_csb O *D housekeeping -*CAP -1 *2826:sram_ro_csb 0.00103357 -2 *2819:sram_ro_csb 0.00174498 -3 *741:14 0.00794265 -4 *741:13 0.00690908 -5 *741:11 0.00429767 -6 *741:10 0.00604265 -7 *741:10 *742:14 0.000134308 -8 *741:14 *746:8 0 -9 *741:14 *750:8 0.0017902 -10 *741:14 *753:8 0.01099 -11 *741:14 *767:10 0.000910484 -12 *732:7 *741:10 0 -13 *732:14 *741:14 0 -14 *738:14 *741:14 0.000376123 -15 *739:22 *741:14 6.7566e-05 -16 *740:14 *741:14 0.000542263 -*RES -1 *2819:sram_ro_csb *741:10 19.9702 -2 *741:10 *741:11 114.972 -3 *741:11 *741:13 4.5 -4 *741:13 *741:14 278.598 -5 *741:14 *2826:sram_ro_csb 7.17999 -*END - -*D_NET *742 0.0571066 -*CONN -*I *2819:sram_ro_data[0] I *D housekeeping -*I *2826:sram_ro_data[0] O *D mgmt_core_wrapper -*CAP -1 *2819:sram_ro_data[0] 0.001386 -2 *2826:sram_ro_data[0] 0.00139912 -3 *742:14 0.00488347 -4 *742:13 0.00349747 -5 *742:11 0.000829582 -6 *742:10 0.000829582 -7 *742:8 0.000810312 -8 *742:7 0.00220943 -9 *2819:sram_ro_data[0] *2819:sram_ro_data[1] 0 -10 *742:8 *743:8 1.78514e-05 -11 *742:14 *2819:sram_ro_data[1] 2.2746e-05 -12 *734:11 *742:11 1.65872e-05 -13 *735:11 *742:11 0.00942862 -14 *736:8 *742:14 2.33193e-05 -15 *736:11 *742:11 0.0103163 -16 *736:14 *742:8 0.00592194 -17 *737:11 *742:11 1.65872e-05 -18 *737:14 *742:8 0.00589738 -19 *738:10 *742:14 0.000339599 -20 *739:8 *742:14 0.00912644 -21 *740:10 *2819:sram_ro_data[0] 0 -22 *740:10 *742:14 0 -23 *741:10 *742:14 0.000134308 -*RES -1 *2826:sram_ro_data[0] *742:7 8.25085 -2 *742:7 *742:8 95.4714 -3 *742:8 *742:10 4.5 -4 *742:10 *742:11 108.871 -5 *742:11 *742:13 4.5 -6 *742:13 *742:14 159.835 -7 *742:14 *2819:sram_ro_data[0] 8.63331 -*END - -*D_NET *743 0.0503197 -*CONN -*I *2819:sram_ro_data[10] I *D housekeeping -*I *2826:sram_ro_data[10] O *D mgmt_core_wrapper -*CAP -1 *2819:sram_ro_data[10] 0.00204106 -2 *2826:sram_ro_data[10] 0.00141326 -3 *743:11 0.00428599 -4 *743:10 0.00224493 -5 *743:8 0.00202292 -6 *743:7 0.00343618 -7 *2819:sram_ro_data[10] *2819:sram_ro_data[11] 0 -8 *2819:sram_ro_data[10] *2819:sram_ro_data[9] 0 -9 *743:8 *744:8 0.0125896 -10 *743:8 *747:8 0.0108376 -11 *743:8 *772:8 0.00109865 -12 *743:11 *772:11 0.010119 -13 *89:26 *743:7 0.00010238 -14 *732:14 *743:8 3.65454e-05 -15 *733:14 *743:8 6.11074e-05 -16 *737:14 *743:8 1.2693e-05 -17 *742:8 *743:8 1.78514e-05 -*RES -1 *2826:sram_ro_data[10] *743:7 8.02138 -2 *743:7 *743:8 211.327 -3 *743:8 *743:10 4.5 -4 *743:10 *743:11 106.098 -5 *743:11 *2819:sram_ro_data[10] 26.9967 -*END - -*D_NET *744 0.0574039 -*CONN -*I *2819:sram_ro_data[11] I *D housekeeping -*I *2826:sram_ro_data[11] O *D mgmt_core_wrapper -*CAP -1 *2819:sram_ro_data[11] 0.00148067 -2 *2826:sram_ro_data[11] 0.00141026 -3 *744:11 0.00213789 -4 *744:10 0.000657218 -5 *744:8 0.0021379 -6 *744:7 0.00354816 -7 *2819:sram_ro_data[11] *2819:sram_ro_data[12] 7.22572e-05 -8 *2819:sram_ro_data[11] *2819:sram_ro_data[13] 9.36156e-05 -9 *744:8 *745:8 0.00085501 -10 *744:8 *747:8 0.000523296 -11 *744:8 *748:8 0.0113474 -12 *744:11 *745:11 0.0102021 -13 *744:11 *746:11 0.0103485 -14 *2819:sram_ro_data[10] *2819:sram_ro_data[11] 0 -15 *743:8 *744:8 0.0125896 -*RES -1 *2826:sram_ro_data[11] *744:7 8.09787 -2 *744:7 *744:8 219.632 -3 *744:8 *744:10 4.5 -4 *744:10 *744:11 108.316 -5 *744:11 *2819:sram_ro_data[11] 14.9871 -*END - -*D_NET *745 0.0489965 -*CONN -*I *2819:sram_ro_data[12] I *D housekeeping -*I *2826:sram_ro_data[12] O *D mgmt_core_wrapper -*CAP -1 *2819:sram_ro_data[12] 0.00168759 -2 *2826:sram_ro_data[12] 0.00146281 -3 *745:11 0.00390175 -4 *745:10 0.00221416 -5 *745:8 0.0023824 -6 *745:7 0.00384521 -7 *2819:sram_ro_data[12] *2819:sram_ro_data[13] 0.000198188 -8 *745:8 *748:8 0.0113719 -9 *745:8 *749:8 0.0108031 -10 *2819:sram_ro_data[11] *2819:sram_ro_data[12] 7.22572e-05 -11 *744:8 *745:8 0.00085501 -12 *744:11 *745:11 0.0102021 -*RES -1 *2826:sram_ro_data[12] *745:7 8.25085 -2 *745:7 *745:8 210.911 -3 *745:8 *745:10 4.5 -4 *745:10 *745:11 106.653 -5 *745:11 *2819:sram_ro_data[12] 21.2924 -*END - -*D_NET *746 0.0460205 -*CONN -*I *2819:sram_ro_data[13] I *D housekeeping -*I *2826:sram_ro_data[13] O *D mgmt_core_wrapper -*CAP -1 *2819:sram_ro_data[13] 0.00187683 -2 *2826:sram_ro_data[13] 0.000906332 -3 *746:11 0.00465386 -4 *746:10 0.00277703 -5 *746:8 0.00344392 -6 *746:7 0.00435025 -7 *2819:sram_ro_data[13] *2819:sram_ro_data[14] 0 -8 *746:8 *750:8 0 -9 *746:8 *751:8 0.000567649 -10 *746:8 *754:8 0.00842607 -11 *746:8 *755:8 0.0078489 -12 *746:8 *767:10 0.000529343 -13 *2819:sram_ro_data[11] *2819:sram_ro_data[13] 9.36156e-05 -14 *2819:sram_ro_data[12] *2819:sram_ro_data[13] 0.000198188 -15 *741:14 *746:8 0 -16 *744:11 *746:11 0.0103485 -*RES -1 *2826:sram_ro_data[13] *746:7 6.79754 -2 *746:7 *746:8 200.945 -3 *746:8 *746:10 4.5 -4 *746:10 *746:11 118.299 -5 *746:11 *2819:sram_ro_data[13] 27.7835 -*END - -*D_NET *747 0.0554097 -*CONN -*I *2819:sram_ro_data[14] I *D housekeeping -*I *2826:sram_ro_data[14] O *D mgmt_core_wrapper -*CAP -1 *2819:sram_ro_data[14] 0.00162501 -2 *2826:sram_ro_data[14] 0.00137029 -3 *747:11 0.00230856 -4 *747:10 0.000683548 -5 *747:8 0.00202676 -6 *747:7 0.00339705 -7 *2819:sram_ro_data[14] *2819:sram_ro_data[15] 7.77309e-06 -8 *2819:sram_ro_data[14] *2819:sram_ro_data[16] 0.000223845 -9 *747:8 *748:8 0.000348101 -10 *747:8 *749:8 0 -11 *747:8 *772:8 0.01086 -12 *747:8 *2588:8 0.000279531 -13 *747:11 *748:11 0.0102021 -14 *747:11 *749:11 0.0100982 -15 *2819:sram_ro_data[13] *2819:sram_ro_data[14] 0 -16 *68:14 *747:8 0.00061804 -17 *743:8 *747:8 0.0108376 -18 *744:8 *747:8 0.000523296 -*RES -1 *2826:sram_ro_data[14] *747:7 7.94489 -2 *747:7 *747:8 208.005 -3 *747:8 *747:10 4.5 -4 *747:10 *747:11 108.316 -5 *747:11 *2819:sram_ro_data[14] 17.6316 -*END - -*D_NET *748 0.0488211 -*CONN -*I *2819:sram_ro_data[15] I *D housekeeping -*I *2826:sram_ro_data[15] O *D mgmt_core_wrapper -*CAP -1 *2819:sram_ro_data[15] 0.00169961 -2 *2826:sram_ro_data[15] 0.00147838 -3 *748:11 0.00394978 -4 *748:10 0.00225017 -5 *748:8 0.00179952 -6 *748:7 0.00327789 -7 *2819:sram_ro_data[15] *2819:sram_ro_data[16] 0.000585414 -8 *748:8 *749:8 0.000478862 -9 *748:11 *749:11 2.41483e-05 -10 *2819:sram_ro_data[14] *2819:sram_ro_data[15] 7.77309e-06 -11 *744:8 *748:8 0.0113474 -12 *745:8 *748:8 0.0113719 -13 *747:8 *748:8 0.000348101 -14 *747:11 *748:11 0.0102021 -*RES -1 *2826:sram_ro_data[15] *748:7 8.17437 -2 *748:7 *748:8 198.454 -3 *748:8 *748:10 4.5 -4 *748:10 *748:11 107.762 -5 *748:11 *2819:sram_ro_data[15] 23.7074 -*END - -*D_NET *749 0.0420053 -*CONN -*I *2819:sram_ro_data[16] I *D housekeeping -*I *2826:sram_ro_data[16] O *D mgmt_core_wrapper -*CAP -1 *2819:sram_ro_data[16] 0.00188048 -2 *2826:sram_ro_data[16] 0.00153308 -3 *749:11 0.00408289 -4 *749:10 0.00220241 -5 *749:8 0.00427993 -6 *749:7 0.00581301 -7 *2819:sram_ro_data[16] *2819:sram_ro_data[17] 0 -8 *749:8 *2588:8 0 -9 *2819:sram_ro_data[14] *2819:sram_ro_data[16] 0.000223845 -10 *2819:sram_ro_data[15] *2819:sram_ro_data[16] 0.000585414 -11 *745:8 *749:8 0.0108031 -12 *747:8 *749:8 0 -13 *747:11 *749:11 0.0100982 -14 *748:8 *749:8 0.000478862 -15 *748:11 *749:11 2.41483e-05 -*RES -1 *2826:sram_ro_data[16] *749:7 8.32734 -2 *749:7 *749:8 190.149 -3 *749:8 *749:10 4.5 -4 *749:10 *749:11 106.098 -5 *749:11 *2819:sram_ro_data[16] 29.5975 -*END - -*D_NET *750 0.0491065 -*CONN -*I *2819:sram_ro_data[17] I *D housekeeping -*I *2826:sram_ro_data[17] O *D mgmt_core_wrapper -*CAP -1 *2819:sram_ro_data[17] 0.00158472 -2 *2826:sram_ro_data[17] 0.00098844 -3 *750:11 0.00409288 -4 *750:10 0.00250815 -5 *750:8 0.00188019 -6 *750:7 0.00286863 -7 *2819:sram_ro_data[17] *2819:sram_ro_data[18] 0.000332717 -8 *750:8 *751:8 0.000271132 -9 *750:8 *752:8 0.0111361 -10 *750:8 *753:8 0.000543693 -11 *750:8 *756:8 0.00936917 -12 *750:8 *767:10 0.000804022 -13 *750:11 *751:11 0.0109364 -14 *2819:sram_ro_data[16] *2819:sram_ro_data[17] 0 -15 *741:14 *750:8 0.0017902 -16 *746:8 *750:8 0 -*RES -1 *2826:sram_ro_data[17] *750:7 7.1035 -2 *750:7 *750:8 197.208 -3 *750:8 *750:10 4.5 -4 *750:10 *750:11 115.526 -5 *750:11 *2819:sram_ro_data[17] 19.1397 -*END - -*D_NET *751 0.04848 -*CONN -*I *2819:sram_ro_data[18] I *D housekeeping -*I *2826:sram_ro_data[18] O *D mgmt_core_wrapper -*CAP -1 *2819:sram_ro_data[18] 0.00183037 -2 *2826:sram_ro_data[18] 0.00095885 -3 *751:11 0.00436202 -4 *751:10 0.00253165 -5 *751:8 0.00170045 -6 *751:7 0.0026593 -7 *2819:sram_ro_data[18] *2819:sram_ro_data[19] 0 -8 *751:8 *752:8 0.0111586 -9 *751:8 *754:8 0.0105371 -10 *751:8 *767:10 0.000633785 -11 *2819:sram_ro_data[17] *2819:sram_ro_data[18] 0.000332717 -12 *746:8 *751:8 0.000567649 -13 *750:8 *751:8 0.000271132 -14 *750:11 *751:11 0.0109364 -*RES -1 *2826:sram_ro_data[18] *751:7 6.95052 -2 *751:7 *751:8 188.488 -3 *751:8 *751:10 4.5 -4 *751:10 *751:11 116.081 -5 *751:11 *2819:sram_ro_data[18] 25.4449 -*END - -*D_NET *752 0.0558674 -*CONN -*I *2819:sram_ro_data[19] I *D housekeeping -*I *2826:sram_ro_data[19] O *D mgmt_core_wrapper -*CAP -1 *2819:sram_ro_data[19] 0.00147466 -2 *2826:sram_ro_data[19] 0.000980996 -3 *752:11 0.00228334 -4 *752:10 0.000808673 -5 *752:8 0.00180829 -6 *752:7 0.00278929 -7 *2819:sram_ro_data[19] *2819:sram_ro_data[20] 2.01653e-05 -8 *752:8 *754:8 0.000499279 -9 *752:8 *756:8 0.000503579 -10 *752:8 *767:10 0.000665834 -11 *752:11 *754:11 0.0109744 -12 *752:11 *755:11 0.0107642 -13 *2819:sram_ro_data[18] *2819:sram_ro_data[19] 0 -14 *750:8 *752:8 0.0111361 -15 *751:8 *752:8 0.0111586 -*RES -1 *2826:sram_ro_data[19] *752:7 7.02701 -2 *752:7 *752:8 196.378 -3 *752:8 *752:10 4.5 -4 *752:10 *752:11 116.081 -5 *752:11 *2819:sram_ro_data[19] 14.1566 -*END - -*D_NET *753 0.0565792 -*CONN -*I *2819:sram_ro_data[1] I *D housekeeping -*I *2826:sram_ro_data[1] O *D mgmt_core_wrapper -*CAP -1 *2819:sram_ro_data[1] 0.00160819 -2 *2826:sram_ro_data[1] 0.0010661 -3 *753:11 0.00415086 -4 *753:10 0.00254267 -5 *753:8 0.00223713 -6 *753:7 0.00330323 -7 *2819:sram_ro_data[1] *2819:sram_ro_data[2] 0.000410855 -8 *753:8 *756:8 0.00311078 -9 *753:8 *761:8 9.20633e-05 -10 *753:8 *764:8 0.00029239 -11 *753:8 *767:10 0.00138014 -12 *753:11 *764:11 0.0106622 -13 *2819:sram_ro_data[0] *2819:sram_ro_data[1] 0 -14 *740:14 *753:8 0.0141662 -15 *741:14 *753:8 0.01099 -16 *742:14 *2819:sram_ro_data[1] 2.2746e-05 -17 *750:8 *753:8 0.000543693 -*RES -1 *2826:sram_ro_data[1] *753:7 7.25648 -2 *753:7 *753:8 244.962 -3 *753:8 *753:10 4.5 -4 *753:10 *753:11 114.417 -5 *753:11 *2819:sram_ro_data[1] 20.3854 -*END - -*D_NET *754 0.0466741 -*CONN -*I *2819:sram_ro_data[20] I *D housekeeping -*I *2826:sram_ro_data[20] O *D mgmt_core_wrapper -*CAP -1 *2819:sram_ro_data[20] 0.00172067 -2 *2826:sram_ro_data[20] 0.00093889 -3 *754:11 0.00426781 -4 *754:10 0.00254714 -5 *754:8 0.00185565 -6 *754:7 0.00279454 -7 *2819:sram_ro_data[20] *2819:sram_ro_data[21] 0 -8 *754:8 *755:8 0.0015045 -9 *754:8 *756:8 0 -10 *754:8 *757:8 1.78514e-05 -11 *754:8 *767:10 0.000529343 -12 *754:11 *755:11 4.0752e-05 -13 *2819:sram_ro_data[19] *2819:sram_ro_data[20] 2.01653e-05 -14 *746:8 *754:8 0.00842607 -15 *751:8 *754:8 0.0105371 -16 *752:8 *754:8 0.000499279 -17 *752:11 *754:11 0.0109744 -*RES -1 *2826:sram_ro_data[20] *754:7 6.87403 -2 *754:7 *754:8 186.827 -3 *754:8 *754:10 4.5 -4 *754:10 *754:11 116.636 -5 *754:11 *2819:sram_ro_data[20] 20.4619 -*END - -*D_NET *755 0.0449233 -*CONN -*I *2819:sram_ro_data[21] I *D housekeeping -*I *2826:sram_ro_data[21] O *D mgmt_core_wrapper -*CAP -1 *2819:sram_ro_data[21] 0.00207615 -2 *2826:sram_ro_data[21] 0.00087596 -3 *755:11 0.00462723 -4 *755:10 0.00255107 -5 *755:8 0.00195067 -6 *755:7 0.00282663 -7 *2819:sram_ro_data[21] *2819:sram_ro_data[22] 0 -8 *755:8 *757:8 0.00985719 -9 *2819:sram_ro_data[20] *2819:sram_ro_data[21] 0 -10 *746:8 *755:8 0.0078489 -11 *752:11 *755:11 0.0107642 -12 *754:8 *755:8 0.0015045 -13 *754:11 *755:11 4.0752e-05 -*RES -1 *2826:sram_ro_data[21] *755:7 6.72105 -2 *755:7 *755:8 176.861 -3 *755:8 *755:10 4.5 -4 *755:10 *755:11 115.526 -5 *755:11 *2819:sram_ro_data[21] 27.4119 -*END - -*D_NET *756 0.0457201 -*CONN -*I *2819:sram_ro_data[22] I *D housekeeping -*I *2826:sram_ro_data[22] O *D mgmt_core_wrapper -*CAP -1 *2819:sram_ro_data[22] 0.00147761 -2 *2826:sram_ro_data[22] 0.00108273 -3 *756:11 0.00398141 -4 *756:10 0.0025038 -5 *756:8 0.00213311 -6 *756:7 0.00321584 -7 *2819:sram_ro_data[22] *2819:sram_ro_data[23] 0.000176441 -8 *2819:sram_ro_data[22] *2819:sram_ro_data[24] 0.000171753 -9 *756:8 *757:8 0 -10 *756:8 *759:8 0 -11 *756:8 *761:8 0.000122802 -12 *756:8 *762:8 0.00138104 -13 *756:8 *767:10 0.000460046 -14 *756:11 *758:11 0.0109213 -15 *2819:sram_ro_data[21] *2819:sram_ro_data[22] 0 -16 *69:8 *756:8 0.00510871 -17 *750:8 *756:8 0.00936917 -18 *752:8 *756:8 0.000503579 -19 *753:8 *756:8 0.00311078 -20 *754:8 *756:8 0 -*RES -1 *2826:sram_ro_data[22] *756:7 7.17999 -2 *756:7 *756:8 185.166 -3 *756:8 *756:10 4.5 -4 *756:10 *756:11 114.972 -5 *756:11 *2819:sram_ro_data[22] 16.2329 -*END - -*D_NET *757 0.0455451 -*CONN -*I *2819:sram_ro_data[23] I *D housekeeping -*I *2826:sram_ro_data[23] O *D mgmt_core_wrapper -*CAP -1 *2819:sram_ro_data[23] 0.0017425 -2 *2826:sram_ro_data[23] 0.000866358 -3 *757:11 0.00431744 -4 *757:10 0.00257494 -5 *757:8 0.00188777 -6 *757:7 0.00275413 -7 *2819:sram_ro_data[23] *2819:sram_ro_data[24] 0.000180575 -8 *757:8 *758:8 0.00018896 -9 *757:8 *759:8 0.00974525 -10 *757:11 *758:11 0.0112357 -11 *2819:sram_ro_data[22] *2819:sram_ro_data[23] 0.000176441 -12 *754:8 *757:8 1.78514e-05 -13 *755:8 *757:8 0.00985719 -14 *756:8 *757:8 0 -*RES -1 *2826:sram_ro_data[23] *757:7 6.64456 -2 *757:7 *757:8 175.2 -3 *757:8 *757:10 4.5 -4 *757:10 *757:11 118.299 -5 *757:11 *2819:sram_ro_data[23] 22.9534 -*END - -*D_NET *758 0.0512306 -*CONN -*I *2819:sram_ro_data[24] I *D housekeeping -*I *2826:sram_ro_data[24] O *D mgmt_core_wrapper -*CAP -1 *2819:sram_ro_data[24] 0.00191017 -2 *2826:sram_ro_data[24] 0.000796011 -3 *758:11 0.00286649 -4 *758:10 0.000956318 -5 *758:8 0.00216278 -6 *758:7 0.00295879 -7 *2819:sram_ro_data[24] *2819:sram_ro_data[25] 0 -8 *758:8 *759:8 0.000296518 -9 *758:8 *760:8 0.00914259 -10 *758:8 *763:8 0.00744268 -11 *2819:sram_ro_data[22] *2819:sram_ro_data[24] 0.000171753 -12 *2819:sram_ro_data[23] *2819:sram_ro_data[24] 0.000180575 -13 *756:11 *758:11 0.0109213 -14 *757:8 *758:8 0.00018896 -15 *757:11 *758:11 0.0112357 -*RES -1 *2826:sram_ro_data[24] *758:7 6.41509 -2 *758:7 *758:8 166.479 -3 *758:8 *758:10 4.5 -4 *758:10 *758:11 121.072 -5 *758:11 *2819:sram_ro_data[24] 29.0292 -*END - -*D_NET *759 0.0454371 -*CONN -*I *2819:sram_ro_data[25] I *D housekeeping -*I *2826:sram_ro_data[25] O *D mgmt_core_wrapper -*CAP -1 *2819:sram_ro_data[25] 0.00157316 -2 *2826:sram_ro_data[25] 0.000858914 -3 *759:11 0.00419019 -4 *759:10 0.00261703 -5 *759:8 0.00178123 -6 *759:7 0.00264014 -7 *2819:sram_ro_data[25] *2819:sram_ro_data[26] 0.000280625 -8 *759:8 *760:8 0.0101674 -9 *759:8 *2543:8 0 -10 *759:11 *760:11 0.0112866 -11 *2819:sram_ro_data[24] *2819:sram_ro_data[25] 0 -12 *69:8 *759:8 0 -13 *756:8 *759:8 0 -14 *757:8 *759:8 0.00974525 -15 *758:8 *759:8 0.000296518 -*RES -1 *2826:sram_ro_data[25] *759:7 6.56807 -2 *759:7 *759:8 173.954 -3 *759:8 *759:10 4.5 -4 *759:10 *759:11 119.409 -5 *759:11 *2819:sram_ro_data[25] 18.3092 -*END - -*D_NET *760 0.0449006 -*CONN -*I *2819:sram_ro_data[26] I *D housekeeping -*I *2826:sram_ro_data[26] O *D mgmt_core_wrapper -*CAP -1 *2819:sram_ro_data[26] 0.00181254 -2 *2826:sram_ro_data[26] 0.000828542 -3 *760:11 0.00442956 -4 *760:10 0.00261702 -5 *760:8 0.00150152 -6 *760:7 0.00233006 -7 *2819:sram_ro_data[26] *2819:sram_ro_data[27] 0 -8 *760:8 *763:8 0.000504184 -9 *760:8 *2543:8 0 -10 *2819:sram_ro_data[25] *2819:sram_ro_data[26] 0.000280625 -11 *758:8 *760:8 0.00914259 -12 *759:8 *760:8 0.0101674 -13 *759:11 *760:11 0.0112866 -*RES -1 *2826:sram_ro_data[26] *760:7 6.49158 -2 *760:7 *760:8 164.403 -3 *760:8 *760:10 4.5 -4 *760:10 *760:11 119.409 -5 *760:11 *2819:sram_ro_data[26] 24.6144 -*END - -*D_NET *761 0.0446215 -*CONN -*I *2819:sram_ro_data[27] I *D housekeeping -*I *2826:sram_ro_data[27] O *D mgmt_core_wrapper -*CAP -1 *2819:sram_ro_data[27] 0.00146457 -2 *2826:sram_ro_data[27] 0.00124565 -3 *761:11 0.00392394 -4 *761:10 0.00245937 -5 *761:8 0.001714 -6 *761:7 0.00295965 -7 *2819:sram_ro_data[27] *2819:sram_ro_data[28] 1.9101e-05 -8 *2819:sram_ro_data[27] *2819:sram_ro_data[29] 1.66626e-05 -9 *761:8 *762:8 0.0100453 -10 *761:8 *764:8 0.000222358 -11 *761:8 *765:8 0.00893578 -12 *761:8 *768:8 0.000492159 -13 *761:8 *770:8 0.000153717 -14 *761:11 *762:11 0.0107175 -15 *761:11 *763:11 2.41483e-05 -16 *2819:sram_ro_data[26] *2819:sram_ro_data[27] 0 -17 *69:8 *761:8 1.2693e-05 -18 *753:8 *761:8 9.20633e-05 -19 *756:8 *761:8 0.000122802 -*RES -1 *2826:sram_ro_data[27] *761:7 7.40946 -2 *761:7 *761:8 172.293 -3 *761:8 *761:10 4.5 -4 *761:10 *761:11 113.308 -5 *761:11 *2819:sram_ro_data[27] 13.7414 -*END - -*D_NET *762 0.0508786 -*CONN -*I *2819:sram_ro_data[28] I *D housekeeping -*I *2826:sram_ro_data[28] O *D mgmt_core_wrapper -*CAP -1 *2819:sram_ro_data[28] 0.00167772 -2 *2826:sram_ro_data[28] 0.0012164 -3 *762:11 0.00241739 -4 *762:10 0.000739667 -5 *762:8 0.00166126 -6 *762:7 0.00287766 -7 *2819:sram_ro_data[28] *2819:sram_ro_data[29] 0.000194296 -8 *762:11 *763:11 0.0107684 -9 *2819:sram_ro_data[27] *2819:sram_ro_data[28] 1.9101e-05 -10 *69:8 *762:8 0.00716285 -11 *756:8 *762:8 0.00138104 -12 *761:8 *762:8 0.0100453 -13 *761:11 *762:11 0.0107175 -*RES -1 *2826:sram_ro_data[28] *762:7 7.33297 -2 *762:7 *762:8 162.742 -3 *762:8 *762:10 4.5 -4 *762:10 *762:11 113.308 -5 *762:11 *2819:sram_ro_data[28] 20.4619 -*END - -*D_NET *763 0.0409398 -*CONN -*I *2819:sram_ro_data[29] I *D housekeeping -*I *2826:sram_ro_data[29] O *D mgmt_core_wrapper -*CAP -1 *2819:sram_ro_data[29] 0.00185408 -2 *2826:sram_ro_data[29] 0.000776024 -3 *763:11 0.00465278 -4 *763:10 0.0027987 -5 *763:8 0.00226132 -6 *763:7 0.00303734 -7 *2819:sram_ro_data[29] *2819:sram_ro_data[30] 0 -8 *763:8 *2543:8 0 -9 *2819:sram_ro_data[27] *2819:sram_ro_data[29] 1.66626e-05 -10 *2819:sram_ro_data[28] *2819:sram_ro_data[29] 0.000194296 -11 *70:8 *763:8 0.00660921 -12 *758:8 *763:8 0.00744268 -13 *760:8 *763:8 0.000504184 -14 *761:11 *763:11 2.41483e-05 -15 *762:11 *763:11 0.0107684 -*RES -1 *2826:sram_ro_data[29] *763:7 6.3386 -2 *763:7 *763:8 153.191 -3 *763:8 *763:10 4.5 -4 *763:10 *763:11 121.627 -5 *763:11 *2819:sram_ro_data[29] 26.5377 -*END - -*D_NET *764 0.0551709 -*CONN -*I *2819:sram_ro_data[2] I *D housekeeping -*I *2826:sram_ro_data[2] O *D mgmt_core_wrapper -*CAP -1 *2819:sram_ro_data[2] 0.00152318 -2 *2826:sram_ro_data[2] 0.00116377 -3 *764:11 0.00396007 -4 *764:10 0.00243688 -5 *764:8 0.00238578 -6 *764:7 0.00354955 -7 *2819:sram_ro_data[2] *2819:sram_ro_data[3] 0.000835188 -8 *764:8 *767:10 0.00122332 -9 *764:8 *768:8 0.0135034 -10 *2819:sram_ro_data[1] *2819:sram_ro_data[2] 0.000410855 -11 *732:14 *764:8 0 -12 *738:14 *764:8 0.012485 -13 *740:14 *764:8 0.000516941 -14 *753:8 *764:8 0.00029239 -15 *753:11 *764:11 0.0106622 -16 *761:8 *764:8 0.000222358 -*RES -1 *2826:sram_ro_data[2] *764:7 7.48595 -2 *764:7 *764:8 235.411 -3 *764:8 *764:10 4.5 -4 *764:10 *764:11 112.199 -5 *764:11 *2819:sram_ro_data[2] 26.6907 -*END - -*D_NET *765 0.0433907 -*CONN -*I *2819:sram_ro_data[30] I *D housekeeping -*I *2826:sram_ro_data[30] O *D mgmt_core_wrapper -*CAP -1 *2819:sram_ro_data[30] 0.00159099 -2 *2826:sram_ro_data[30] 0.00126681 -3 *765:11 0.00403856 -4 *765:10 0.00244757 -5 *765:8 0.0015869 -6 *765:7 0.00285371 -7 *2819:sram_ro_data[30] *2819:sram_ro_data[31] 0.000119662 -8 *765:7 *2819:sram_ro_data[3] 0 -9 *765:8 *766:8 0.00937348 -10 *765:8 *770:8 0.000271132 -11 *765:11 *766:11 3.31745e-05 -12 *2819:sram_ro_data[29] *2819:sram_ro_data[30] 0 -13 *68:10 *2819:sram_ro_data[30] 7.77309e-06 -14 *68:11 *765:11 0.0105245 -15 *69:8 *765:8 0.000340696 -16 *761:8 *765:8 0.00893578 -*RES -1 *2826:sram_ro_data[30] *765:7 7.48595 -2 *765:7 *765:8 161.081 -3 *765:8 *765:10 4.5 -4 *765:10 *765:11 112.199 -5 *765:11 *2819:sram_ro_data[30] 16.3094 -*END - -*D_NET *766 0.0417821 -*CONN -*I *2819:sram_ro_data[31] I *D housekeeping -*I *2826:sram_ro_data[31] O *D mgmt_core_wrapper -*CAP -1 *2819:sram_ro_data[31] 0.00161999 -2 *2826:sram_ro_data[31] 0.00128433 -3 *766:11 0.00404912 -4 *766:10 0.00242914 -5 *766:8 0.00174797 -6 *766:7 0.0030323 -7 *766:8 *770:8 2.02035e-05 -8 *766:8 *771:8 7.84597e-06 -9 *766:8 *772:8 0 -10 *766:8 *775:14 0.00534136 -11 *2819:sram_ro_data[30] *2819:sram_ro_data[31] 0.000119662 -12 *68:10 *2819:sram_ro_data[31] 0.000476542 -13 *68:11 *766:11 0.0105753 -14 *68:14 *766:8 0.00167161 -15 *69:8 *766:8 0 -16 *765:8 *766:8 0.00937348 -17 *765:11 *766:11 3.31745e-05 -*RES -1 *2826:sram_ro_data[31] *766:7 7.56244 -2 *766:7 *766:8 152.776 -3 *766:8 *766:10 4.5 -4 *766:10 *766:11 112.199 -5 *766:11 *2819:sram_ro_data[31] 21.2159 -*END - -*D_NET *767 0.05846 -*CONN -*I *2819:sram_ro_data[3] I *D housekeeping -*I *2826:sram_ro_data[3] O *D mgmt_core_wrapper -*CAP -1 *2819:sram_ro_data[3] 0.0055429 -2 *2826:sram_ro_data[3] 0.013475 -3 *767:10 0.0190179 -4 *2819:sram_ro_data[3] *768:11 0.00297733 -5 *2819:sram_ro_data[3] *769:11 0.00148867 -6 *767:10 *768:8 0.00131641 -7 *767:10 *769:8 0.00146267 -8 *767:10 *770:8 0.00131641 -9 *767:10 *771:8 0.00131641 -10 *2819:sram_ro_data[2] *2819:sram_ro_data[3] 0.000835188 -11 *78:16 *767:10 0.000425309 -12 *738:14 *767:10 0.000971166 -13 *740:14 *767:10 0.00117826 -14 *741:14 *767:10 0.000910484 -15 *746:8 *767:10 0.000529343 -16 *750:8 *767:10 0.000804022 -17 *751:8 *767:10 0.000633785 -18 *752:8 *767:10 0.000665834 -19 *753:8 *767:10 0.00138014 -20 *754:8 *767:10 0.000529343 -21 *756:8 *767:10 0.000460046 -22 *764:8 *767:10 0.00122332 -23 *765:7 *2819:sram_ro_data[3] 0 -*RES -1 *2826:sram_ro_data[3] *767:10 38.0299 -2 *767:10 *2819:sram_ro_data[3] 21.6783 -*END - -*D_NET *768 0.0581283 -*CONN -*I *2819:sram_ro_data[4] I *D housekeeping -*I *2826:sram_ro_data[4] O *D mgmt_core_wrapper -*CAP -1 *2819:sram_ro_data[4] 0.001716 -2 *2826:sram_ro_data[4] 0.0012075 -3 *768:11 0.00415424 -4 *768:10 0.00243824 -5 *768:8 0.00219275 -6 *768:7 0.00340025 -7 *2819:sram_ro_data[4] *2819:sram_ro_data[5] 0.000559832 -8 *768:8 *769:8 0.000271132 -9 *768:8 *770:8 0.013381 -10 *768:11 *769:11 0.010518 -11 *2819:sram_ro_data[3] *768:11 0.00297733 -12 *732:14 *768:8 0 -13 *761:8 *768:8 0.000492159 -14 *764:8 *768:8 0.0135034 -15 *767:10 *768:8 0.00131641 -*RES -1 *2826:sram_ro_data[4] *768:7 7.56244 -2 *768:7 *768:8 233.335 -3 *768:8 *768:10 4.5 -4 *768:10 *768:11 111.644 -5 *768:11 *2819:sram_ro_data[4] 22.9534 -*END - -*D_NET *769 0.056077 -*CONN -*I *2819:sram_ro_data[5] I *D housekeeping -*I *2826:sram_ro_data[5] O *D mgmt_core_wrapper -*CAP -1 *2819:sram_ro_data[5] 0.00186967 -2 *2826:sram_ro_data[5] 0.00127043 -3 *769:11 0.00427665 -4 *769:10 0.00240698 -5 *769:8 0.00203122 -6 *769:7 0.00330165 -7 *2819:sram_ro_data[5] *2819:sram_ro_data[6] 0 -8 *769:8 *770:8 0.0134017 -9 *769:8 *771:8 0.012833 -10 *2819:sram_ro_data[3] *769:11 0.00148867 -11 *2819:sram_ro_data[4] *2819:sram_ro_data[5] 0.000559832 -12 *732:14 *769:8 0.000385324 -13 *767:10 *769:8 0.00146267 -14 *768:8 *769:8 0.000271132 -15 *768:11 *769:11 0.010518 -*RES -1 *2826:sram_ro_data[5] *769:7 7.71542 -2 *769:7 *769:8 224.615 -3 *769:8 *769:10 4.5 -4 *769:10 *769:11 111.09 -5 *769:11 *2819:sram_ro_data[5] 28.2752 -*END - -*D_NET *770 0.0545288 -*CONN -*I *2819:sram_ro_data[6] I *D housekeeping -*I *2826:sram_ro_data[6] O *D mgmt_core_wrapper -*CAP -1 *2819:sram_ro_data[6] 0.00158172 -2 *2826:sram_ro_data[6] 0.00124006 -3 *770:11 0.00399857 -4 *770:10 0.00241685 -5 *770:8 0.00210194 -6 *770:7 0.003342 -7 *2819:sram_ro_data[6] *2819:sram_ro_data[7] 0.000275937 -8 *770:8 *771:8 0.000503065 -9 *770:11 *771:11 0.0105245 -10 *2819:sram_ro_data[5] *2819:sram_ro_data[6] 0 -11 *761:8 *770:8 0.000153717 -12 *765:8 *770:8 0.000271132 -13 *766:8 *770:8 2.02035e-05 -14 *767:10 *770:8 0.00131641 -15 *768:8 *770:8 0.013381 -16 *769:8 *770:8 0.0134017 -*RES -1 *2826:sram_ro_data[6] *770:7 7.63893 -2 *770:7 *770:8 232.089 -3 *770:8 *770:10 4.5 -4 *770:10 *770:11 111.644 -5 *770:11 *2819:sram_ro_data[6] 18.3092 -*END - -*D_NET *771 0.0539237 -*CONN -*I *2819:sram_ro_data[7] I *D housekeeping -*I *2826:sram_ro_data[7] O *D mgmt_core_wrapper -*CAP -1 *2819:sram_ro_data[7] 0.0017475 -2 *2826:sram_ro_data[7] 0.00130517 -3 *771:11 0.0041446 -4 *771:10 0.0023971 -5 *771:8 0.00199727 -6 *771:7 0.00330245 -7 *2819:sram_ro_data[7] *2819:sram_ro_data[8] 0 -8 *771:8 *772:8 0.0132723 -9 *2819:sram_ro_data[6] *2819:sram_ro_data[7] 0.000275937 -10 *732:14 *771:8 0.000296518 -11 *766:8 *771:8 7.84597e-06 -12 *767:10 *771:8 0.00131641 -13 *769:8 *771:8 0.012833 -14 *770:8 *771:8 0.000503065 -15 *770:11 *771:11 0.0105245 -*RES -1 *2826:sram_ro_data[7] *771:7 7.79191 -2 *771:7 *771:8 223.369 -3 *771:8 *771:10 4.5 -4 *771:10 *771:11 111.09 -5 *771:11 *2819:sram_ro_data[7] 23.631 -*END - -*D_NET *772 0.0581153 -*CONN -*I *2819:sram_ro_data[8] I *D housekeeping -*I *2826:sram_ro_data[8] O *D mgmt_core_wrapper -*CAP -1 *2819:sram_ro_data[8] 0.00147334 -2 *2826:sram_ro_data[8] 0.00134814 -3 *772:11 0.00239682 -4 *772:10 0.000923487 -5 *772:8 0.00223917 -6 *772:7 0.00358731 -7 *2819:sram_ro_data[8] *2819:sram_ro_data[9] 0 -8 *772:11 *773:17 0.00940132 -9 *2819:sram_ro_data[7] *2819:sram_ro_data[8] 0 -10 *68:14 *772:8 0.000222466 -11 *732:14 *772:8 0.00117332 -12 *743:8 *772:8 0.00109865 -13 *743:11 *772:11 0.010119 -14 *747:8 *772:8 0.01086 -15 *766:8 *772:8 0 -16 *771:8 *772:8 0.0132723 -*RES -1 *2826:sram_ro_data[8] *772:7 7.8684 -2 *772:7 *772:8 230.013 -3 *772:8 *772:10 4.5 -4 *772:10 *772:11 109.98 -5 *772:11 *2819:sram_ro_data[8] 13.7414 -*END - -*D_NET *773 0.0367939 -*CONN -*I *2819:sram_ro_data[9] I *D housekeeping -*I *2826:sram_ro_data[9] O *D mgmt_core_wrapper -*CAP -1 *2819:sram_ro_data[9] 0.00163355 -2 *2826:sram_ro_data[9] 0.00180734 -3 *773:17 0.00371049 -4 *773:16 0.00207695 -5 *773:14 0.00747212 -6 *773:13 0.00927946 -7 *2819:sram_ro_data[10] *2819:sram_ro_data[9] 0 -8 *2819:sram_ro_data[8] *2819:sram_ro_data[9] 0 -9 *75:19 *773:14 0.0006605 -10 *89:34 *773:13 2.02035e-05 -11 *734:14 *773:14 0.000731912 -12 *772:11 *773:17 0.00940132 -*RES -1 *2826:sram_ro_data[9] *773:13 39.581 -2 *773:13 *773:14 221.085 -3 *773:14 *773:16 4.5 -4 *773:16 *773:17 98.8882 -5 *773:17 *2819:sram_ro_data[9] 19.0632 -*END - -*D_NET *774 0.0351049 -*CONN -*I *2826:irq[3] I *D mgmt_core_wrapper -*I *2819:irq[0] O *D housekeeping -*CAP -1 *2826:irq[3] 0.00152701 -2 *2819:irq[0] 0.00181899 -3 *774:14 0.00325881 -4 *774:13 0.0017318 -5 *774:11 0.00221828 -6 *774:10 0.00403727 -7 *774:10 *2819:trap 0.000384809 -8 *774:10 *775:10 0 -9 *774:11 *2588:11 0.0101957 -10 *774:14 *2584:8 0.00518855 -11 *774:14 *2585:14 0.00399753 -12 *774:14 *2587:8 0.000746169 -13 *774:14 *2588:8 0 -*RES -1 *2819:irq[0] *774:10 25.4449 -2 *774:10 *774:11 106.653 -3 *774:11 *774:13 4.5 -4 *774:13 *774:14 111.251 -5 *774:14 *2826:irq[3] 8.25085 -*END - -*D_NET *775 0.0377582 -*CONN -*I *2826:irq[4] I *D mgmt_core_wrapper -*I *2819:irq[1] O *D housekeeping -*CAP -1 *2826:irq[4] 0.00135606 -2 *2819:irq[1] 0.00149775 -3 *775:14 0.00326334 -4 *775:13 0.00190728 -5 *775:11 0.00239014 -6 *775:10 0.0038879 -7 *775:10 *2819:spi_sdoenb 6.75696e-05 -8 *775:10 *776:10 9.32067e-05 -9 *775:11 *776:11 0.010495 -10 *775:11 *2587:11 1.92172e-05 -11 *775:14 *776:14 0.00151273 -12 *775:14 *2589:8 0 -13 *68:14 *775:14 0.00531892 -14 *69:8 *775:14 0 -15 *698:14 *775:14 0.000385324 -16 *699:14 *775:14 0.000222466 -17 *766:8 *775:14 0.00534136 -18 *774:10 *775:10 0 -*RES -1 *2819:irq[1] *775:10 15.4024 -2 *775:10 *775:11 111.644 -3 *775:11 *775:13 4.5 -4 *775:13 *775:14 136.997 -5 *775:14 *2826:irq[4] 7.63893 -*END - -*D_NET *776 0.0478446 -*CONN -*I *2826:irq[5] I *D mgmt_core_wrapper -*I *2819:irq[2] O *D housekeeping -*CAP -1 *2826:irq[5] 0.00140496 -2 *2819:irq[2] 0.0016829 -3 *776:14 0.00301488 -4 *776:13 0.00160992 -5 *776:11 0.00068181 -6 *776:10 0.00236471 -7 *776:10 *2819:spi_sdoenb 0.000172802 -8 *776:11 *2587:11 0.0103443 -9 *776:14 *2586:8 0.000524714 -10 *776:14 *2588:8 0.00800223 -11 *68:14 *776:14 0.00594041 -12 *699:14 *776:14 0 -13 *775:10 *776:10 9.32067e-05 -14 *775:11 *776:11 0.010495 -15 *775:14 *776:14 0.00151273 -*RES -1 *2819:irq[2] *776:10 20.8772 -2 *776:10 *776:11 109.98 -3 *776:11 *776:13 4.5 -4 *776:13 *776:14 147.378 -5 *776:14 *2826:irq[5] 7.79191 -*END - -*D_NET *777 0.170778 -*CONN -*I *2826:la_input[0] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[0] O *D mgmt_protect -*CAP -1 *2826:la_input[0] 0.00122511 -2 *2820:la_data_in_mprj[0] 0.00244508 -3 *777:14 0.00858082 -4 *777:13 0.00783452 -5 *777:8 0.00101214 -6 *777:7 0.000533331 -7 *777:5 0.00244508 -8 *2826:la_input[0] *1289:7 0 -9 *2826:la_input[0] *1417:9 0 -10 *777:5 *2820:la_data_out_mprj[0] 0.000510561 -11 *777:5 *1096:7 0 -12 *777:8 *808:8 0.00238617 -13 *777:8 *840:14 0.00048572 -14 *777:8 *1323:10 0.000441684 -15 *777:8 *1340:10 0.00580867 -16 *777:13 *2826:la_input[38] 0 -17 *777:14 *849:14 0 -18 *777:14 *1083:10 0.0686565 -19 *777:14 *1105:10 0.0648399 -20 *777:14 *1339:10 0.000392907 -21 *777:14 *1417:10 0.000102438 -22 *777:14 *1467:10 0.00307725 -*RES -1 *2820:la_data_in_mprj[0] *777:5 49.2929 -2 *777:5 *777:7 4.5 -3 *777:7 *777:8 61.1752 -4 *777:8 *777:13 16.6455 -5 *777:13 *777:14 775.505 -6 *777:14 *2826:la_input[0] 37.2439 -*END - -*D_NET *778 0.0727379 -*CONN -*I *2826:la_input[100] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[100] O *D mgmt_protect -*CAP -1 *2826:la_input[100] 0.00106887 -2 *2820:la_data_in_mprj[100] 0.000526946 -3 *778:17 0.0026466 -4 *778:13 0.0022178 -5 *778:8 0.00390554 -6 *778:7 0.00379241 -7 *2826:la_input[100] *1290:9 0 -8 *2826:la_input[100] *1418:9 0 -9 *778:7 *2820:la_data_out_mprj[100] 0.000332124 -10 *778:7 *2820:la_oenb_mprj[99] 0 -11 *778:8 *779:8 0.0295473 -12 *778:8 *781:8 0.0250665 -13 *778:8 *783:8 0.000356194 -14 *778:8 *806:8 1.65872e-05 -15 *778:8 *895:8 0.000339574 -16 *778:8 *1537:16 0.0010229 -17 *778:8 *1757:24 3.13797e-05 -18 *778:8 *1787:30 0.000380813 -19 *778:13 *2820:mprj_adr_o_core[11] 0 -20 *778:13 *2820:mprj_dat_o_core[10] 0.000128425 -21 *778:13 *779:11 0.000754192 -22 *778:13 *1826:5 2.55661e-06 -23 *778:17 *2820:mprj_adr_o_core[11] 7.10146e-06 -24 *778:17 *779:11 0.000593975 -25 *778:17 *1290:9 0 -*RES -1 *2820:la_data_in_mprj[100] *778:7 21.8185 -2 *778:7 *778:8 326.276 -3 *778:8 *778:13 20.878 -4 *778:13 *778:17 34.3682 -5 *778:17 *2826:la_input[100] 24.3777 -*END - -*D_NET *779 0.0733738 -*CONN -*I *2826:la_input[101] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[101] O *D mgmt_protect -*CAP -1 *2826:la_input[101] 0.00108492 -2 *2820:la_data_in_mprj[101] 0.000687557 -3 *779:16 0.0014064 -4 *779:11 0.00213909 -5 *779:10 0.0018176 -6 *779:8 0.00341097 -7 *779:7 0.00409853 -8 *2826:la_input[101] *1291:7 0 -9 *2826:la_input[101] *1419:9 0 -10 *2826:la_input[101] *1830:5 1.77537e-06 -11 *779:7 *2820:la_data_out_mprj[101] 0 -12 *779:7 *2820:la_oenb_mprj[100] 0 -13 *779:8 *780:8 0.00178597 -14 *779:8 *806:8 0.00950239 -15 *779:8 *895:8 0.0128217 -16 *779:11 *1290:9 0 -17 *779:11 *1826:5 0.000697764 -18 *779:11 *1915:32 8.67307e-05 -19 *779:16 *780:16 0.000479262 -20 *779:16 *1302:10 0.00030473 -21 *779:16 *1424:20 0.00215292 -22 *778:8 *779:8 0.0295473 -23 *778:13 *779:11 0.000754192 -24 *778:17 *779:11 0.000593975 -*RES -1 *2820:la_data_in_mprj[101] *779:7 22.2337 -2 *779:7 *779:8 317.402 -3 *779:8 *779:10 4.5 -4 *779:10 *779:11 47.5097 -5 *779:11 *779:16 31.9075 -6 *779:16 *2826:la_input[101] 24.4388 -*END - -*D_NET *780 0.0702118 -*CONN -*I *2826:la_input[102] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[102] O *D mgmt_protect -*CAP -1 *2826:la_input[102] 0.0010742 -2 *2820:la_data_in_mprj[102] 0.000605237 -3 *780:16 0.00140326 -4 *780:11 0.00216921 -5 *780:10 0.00184015 -6 *780:8 0.00388318 -7 *780:7 0.00448842 -8 *2826:la_input[102] *1292:7 0 -9 *2826:la_input[102] *1420:9 0 -10 *780:7 *2820:la_data_out_mprj[102] 0.000349371 -11 *780:7 *2820:la_oenb_mprj[101] 0 -12 *780:8 *782:8 0.02877 -13 *780:8 *806:8 0.00114706 -14 *780:8 *895:8 0.00342152 -15 *780:8 *1291:10 0.00070333 -16 *780:8 *1539:16 0.00412743 -17 *780:8 *1825:8 0.00855062 -18 *780:11 *2820:mprj_adr_o_core[13] 0.000165314 -19 *780:11 *1034:9 0 -20 *780:11 *1291:7 0.00226077 -21 *780:16 *1294:12 0.000691706 -22 *780:16 *1302:10 0.000441509 -23 *780:16 *1424:20 6.24819e-05 -24 *780:16 *1830:10 0.00179183 -25 *779:8 *780:8 0.00178597 -26 *779:16 *780:16 0.000479262 -*RES -1 *2820:la_data_in_mprj[102] *780:7 23.4795 -2 *780:7 *780:8 326.276 -3 *780:8 *780:10 4.5 -4 *780:10 *780:11 46.6792 -5 *780:11 *780:16 34.6806 -6 *780:16 *2826:la_input[102] 24.0236 -*END - -*D_NET *781 0.0831224 -*CONN -*I *2826:la_input[103] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[103] O *D mgmt_protect -*CAP -1 *2826:la_input[103] 0.00133294 -2 *2820:la_data_in_mprj[103] 0.000651536 -3 *781:14 0.00204448 -4 *781:13 0.000711534 -5 *781:11 0.00185866 -6 *781:8 0.00430468 -7 *781:7 0.00309756 -8 *2826:la_input[103] *1293:12 0 -9 *2826:la_input[103] *1421:11 0 -10 *2826:la_input[103] *1851:13 8.62625e-06 -11 *781:7 *2820:la_data_out_mprj[103] 0 -12 *781:7 *2820:la_oenb_mprj[102] 0 -13 *781:8 *783:8 0.0234782 -14 *781:8 *1536:16 0.000194701 -15 *781:8 *1537:16 0.000884788 -16 *781:11 *2820:mprj_adr_o_core[5] 0 -17 *781:11 *2820:mprj_adr_o_core[6] 0.000100025 -18 *781:11 *2820:mprj_dat_o_core[5] 3.29488e-05 -19 *781:11 *1039:19 0.000886713 -20 *781:11 *1158:9 0 -21 *781:11 *1542:9 0.00044658 -22 *781:11 *1853:5 0 -23 *781:14 *1782:10 0.00894732 -24 *781:14 *1847:8 0.00895152 -25 *781:14 *2499:10 0.00012309 -26 *778:8 *781:8 0.0250665 -*RES -1 *2820:la_data_in_mprj[103] *781:7 21.4032 -2 *781:7 *781:8 269.152 -3 *781:8 *781:11 48.2725 -4 *781:11 *781:13 4.5 -5 *781:13 *781:14 95.006 -6 *781:14 *2826:la_input[103] 33.5066 -*END - -*D_NET *782 0.0846024 -*CONN -*I *2826:la_input[104] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[104] O *D mgmt_protect -*CAP -1 *2826:la_input[104] 0.00144065 -2 *2820:la_data_in_mprj[104] 0.00060738 -3 *782:14 0.00181769 -4 *782:13 0.00200999 -5 *782:8 0.00463122 -6 *782:7 0.00360565 -7 *2826:la_input[104] *1422:12 0 -8 *2826:la_input[104] *1422:15 0 -9 *782:7 *2820:la_data_out_mprj[104] 0.000317098 -10 *782:7 *2820:la_oenb_mprj[103] 0 -11 *782:7 *1537:13 0.000155272 -12 *782:8 *1291:10 0.0288209 -13 *782:8 *1825:8 0.000679181 -14 *782:8 *1850:8 0.000655033 -15 *782:13 *2820:mprj_adr_o_core[16] 0.000294715 -16 *782:13 *2820:mprj_dat_o_core[15] 0.000673289 -17 *782:13 *1035:9 6.62712e-05 -18 *782:13 *1294:15 0.000221481 -19 *782:14 *783:14 0.00476961 -20 *782:14 *1420:10 0.000133887 -21 *782:14 *1786:24 0.00477383 -22 *782:14 *1897:16 0.000159297 -23 *780:8 *782:8 0.02877 -*RES -1 *2820:la_data_in_mprj[104] *782:7 23.8947 -2 *782:7 *782:8 325.721 -3 *782:8 *782:13 47.7894 -4 *782:13 *782:14 50.6377 -5 *782:14 *2826:la_input[104] 34.8745 -*END - -*D_NET *783 0.0813359 -*CONN -*I *2826:la_input[105] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[105] O *D mgmt_protect -*CAP -1 *2826:la_input[105] 0.00149936 -2 *2820:la_data_in_mprj[105] 0.000623278 -3 *783:14 0.00309513 -4 *783:13 0.00159577 -5 *783:11 0.00183193 -6 *783:8 0.00462132 -7 *783:7 0.00341266 -8 *2826:la_input[105] *1295:7 0 -9 *2826:la_input[105] *1423:7 0 -10 *783:7 *2820:la_data_out_mprj[105] 0 -11 *783:7 *2820:la_oenb_mprj[104] 0 -12 *783:8 *1297:20 0.0209066 -13 *783:8 *1757:24 0.000331969 -14 *783:11 *2820:mprj_dat_o_core[6] 0 -15 *783:11 *1415:9 7.09666e-06 -16 *783:11 *1786:27 0.000797848 -17 *783:11 *1853:5 0 -18 *783:14 *1036:10 0.00710789 -19 *783:14 *1419:10 0.00476094 -20 *783:14 *1420:10 0.000252177 -21 *783:14 *1774:16 7.46601e-05 -22 *783:14 *1786:24 0.00107822 -23 *783:14 *1826:8 0.000135103 -24 *783:14 *1851:8 9.48476e-05 -25 *783:14 *1855:8 0 -26 *783:14 *1897:16 0.000341421 -27 *783:14 *1906:10 0.000163617 -28 *778:8 *783:8 0.000356194 -29 *781:8 *783:8 0.0234782 -30 *782:14 *783:14 0.00476961 -*RES -1 *2820:la_data_in_mprj[105] *783:7 20.988 -2 *783:7 *783:8 260.832 -3 *783:8 *783:11 45.781 -4 *783:11 *783:13 4.5 -5 *783:13 *783:14 126.618 -6 *783:14 *2826:la_input[105] 36.4134 -*END - -*D_NET *784 0.0628349 -*CONN -*I *2826:la_input[106] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[106] O *D mgmt_protect -*CAP -1 *2826:la_input[106] 0.000433035 -2 *2820:la_data_in_mprj[106] 0.00312093 -3 *784:8 0.00953833 -4 *784:7 0.0091053 -5 *784:5 0.00312093 -6 *2826:la_input[106] *1296:9 0 -7 *2826:la_input[106] *1424:9 0 -8 *784:5 *2820:la_data_out_mprj[106] 0.000666065 -9 *784:5 *2820:la_oenb_mprj[105] 0 -10 *784:5 *1145:9 0 -11 *784:5 *1529:9 0 -12 *784:8 *785:8 0.0368503 -13 *784:8 *1726:24 0 -*RES -1 *2820:la_data_in_mprj[106] *784:5 77.53 -2 *784:5 *784:7 4.5 -3 *784:7 *784:8 398.374 -4 *784:8 *2826:la_input[106] 16.4812 -*END - -*D_NET *785 0.0894992 -*CONN -*I *2826:la_input[107] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[107] O *D mgmt_protect -*CAP -1 *2826:la_input[107] 0.00044764 -2 *2820:la_data_in_mprj[107] 0.00299776 -3 *785:8 0.00380384 -4 *785:7 0.0033562 -5 *785:5 0.00299776 -6 *2826:la_input[107] *1297:9 0 -7 *785:5 *2820:la_data_out_mprj[107] 0 -8 *785:5 *2820:la_oenb_mprj[106] 0 -9 *785:5 *1145:9 0 -10 *785:5 *1402:9 0.000428789 -11 *785:5 *1539:13 0.000605676 -12 *785:8 *786:8 0.0379591 -13 *785:8 *1726:24 5.21591e-05 -14 *784:8 *785:8 0.0368503 -*RES -1 *2820:la_data_in_mprj[107] *785:5 77.1148 -2 *785:5 *785:7 4.5 -3 *785:7 *785:8 410.575 -4 *785:8 *2826:la_input[107] 16.8965 -*END - -*D_NET *786 0.0915908 -*CONN -*I *2826:la_input[108] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[108] O *D mgmt_protect -*CAP -1 *2826:la_input[108] 0.000462246 -2 *2820:la_data_in_mprj[108] 0.0028554 -3 *786:8 0.00386189 -4 *786:7 0.00339964 -5 *786:5 0.0028554 -6 *2826:la_input[108] *1298:9 0 -7 *2826:la_input[108] *1426:7 0 -8 *786:5 *2820:la_data_out_mprj[108] 0.000491836 -9 *786:5 *2820:la_oenb_mprj[107] 0 -10 *786:5 *2826:la_input[87] 0 -11 *786:5 *1530:9 0.000395615 -12 *786:5 *1538:15 0 -13 *786:5 *1540:15 0.000459291 -14 *786:8 *787:8 0.000371284 -15 *786:8 *789:8 0.0382311 -16 *786:8 *1726:24 0.00013526 -17 *786:8 *1733:11 0.00011282 -18 *785:8 *786:8 0.0379591 -*RES -1 *2820:la_data_in_mprj[108] *786:5 76.6995 -2 *786:5 *786:7 4.5 -3 *786:7 *786:8 421.668 -4 *786:8 *2826:la_input[108] 17.3118 -*END - -*D_NET *787 0.0952145 -*CONN -*I *2826:la_input[109] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[109] O *D mgmt_protect -*CAP -1 *2826:la_input[109] 0.000491363 -2 *2820:la_data_in_mprj[109] 0.00318475 -3 *787:8 0.00367303 -4 *787:7 0.00318167 -5 *787:5 0.00318475 -6 *2826:la_input[109] *1299:7 0 -7 *2826:la_input[109] *1427:9 0 -8 *787:5 *2820:la_data_out_mprj[109] 0 -9 *787:5 *2820:la_iena_mprj[108] 0 -10 *787:5 *2820:la_iena_mprj[109] 0 -11 *787:5 *2820:la_oenb_mprj[108] 0 -12 *787:5 *1146:9 0 -13 *787:5 *1403:9 0 -14 *787:5 *1542:15 0.000440845 -15 *787:8 *789:8 0.0401998 -16 *787:8 *790:8 0.0394138 -17 *787:8 *1726:24 0.000713504 -18 *787:8 *1733:11 0.000359698 -19 *786:8 *787:8 0.000371284 -*RES -1 *2820:la_data_in_mprj[109] *787:5 75.869 -2 *787:5 *787:7 4.5 -3 *787:7 *787:8 434.423 -4 *787:8 *2826:la_input[109] 17.5804 -*END - -*D_NET *788 0.0912316 -*CONN -*I *2826:la_input[10] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[10] O *D mgmt_protect -*CAP -1 *2826:la_input[10] 0.00160982 -2 *2820:la_data_in_mprj[10] 0.0021316 -3 *788:18 0.0162339 -4 *788:17 0.0147217 -5 *788:12 0.00280792 -6 *788:10 0.00274836 -7 *788:8 0.00184094 -8 *788:7 0.00180279 -9 *788:5 0.0021316 -10 *2826:la_input[10] *1300:7 0 -11 *2826:la_input[10] *1428:7 0 -12 *788:5 *2820:la_data_out_mprj[10] 0.00054302 -13 *788:5 *2820:la_oenb_mprj[9] 0 -14 *788:8 *808:8 6.11914e-05 -15 *788:8 *837:8 2.15184e-05 -16 *788:8 *839:8 0.0104552 -17 *788:8 *844:8 0.00042956 -18 *788:8 *882:8 0.000693066 -19 *788:8 *1081:16 0.0014486 -20 *788:8 *1097:16 8.03262e-05 -21 *788:12 *808:8 0.00254609 -22 *788:12 *833:8 0 -23 *788:12 *834:10 0 -24 *788:12 *835:8 0 -25 *788:12 *836:8 0.000131972 -26 *788:12 *837:8 0.000347228 -27 *788:12 *882:8 0.000871419 -28 *788:17 *2826:la_input[35] 0.00017296 -29 *788:18 *882:14 0 -30 *788:18 *1329:10 0 -31 *788:18 *1331:10 0 -32 *788:18 *1333:10 0.00025368 -33 *788:18 *1335:10 0.000179601 -34 *788:18 *1337:10 0.00041958 -35 *788:18 *1340:10 0.00994838 -36 *788:18 *1449:10 0.00301214 -37 *788:18 *1459:10 0 -38 *788:18 *1463:10 0.000746162 -39 *788:18 *1465:10 0.0128413 -*RES -1 *2820:la_data_in_mprj[10] *788:5 46.3861 -2 *788:5 *788:7 4.5 -3 *788:7 *788:8 111.644 -4 *788:8 *788:10 0.988641 -5 *788:10 *788:12 102.77 -6 *788:12 *788:17 12.0778 -7 *788:17 *788:18 505.967 -8 *788:18 *2826:la_input[10] 43.8879 -*END - -*D_NET *789 0.0965508 -*CONN -*I *2826:la_input[110] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[110] O *D mgmt_protect -*CAP -1 *2826:la_input[110] 0.000494527 -2 *2820:la_data_in_mprj[110] 0.00222292 -3 *789:8 0.00436743 -4 *789:7 0.0038729 -5 *789:5 0.00222292 -6 *2826:la_input[110] *1301:9 0 -7 *2826:la_input[110] *1429:7 0 -8 *789:5 *2820:la_data_out_mprj[110] 0.000460632 -9 *789:5 *2820:la_oenb_mprj[109] 0.00149775 -10 *789:5 *891:11 0.00119889 -11 *789:5 *1531:9 0 -12 *789:5 *1543:15 0.000611412 -13 *789:8 *790:8 0.00083916 -14 *789:8 *1733:11 0.000331419 -15 *786:8 *789:8 0.0382311 -16 *787:8 *789:8 0.0401998 -*RES -1 *2820:la_data_in_mprj[110] *789:5 76.2843 -2 *789:5 *789:7 4.5 -3 *789:7 *789:8 445.515 -4 *789:8 *2826:la_input[110] 17.727 -*END - -*D_NET *790 0.0995785 -*CONN -*I *2826:la_input[111] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[111] O *D mgmt_protect -*CAP -1 *2826:la_input[111] 0.000523737 -2 *2820:la_data_in_mprj[111] 0.00317184 -3 *790:8 0.00414236 -4 *790:7 0.00361863 -5 *790:5 0.00317184 -6 *2826:la_input[111] *1302:9 0 -7 *2826:la_input[111] *1430:7 0 -8 *790:5 *2820:la_data_out_mprj[111] 0 -9 *790:5 *2820:la_iena_mprj[110] 0 -10 *790:5 *2820:la_iena_mprj[111] 0 -11 *790:5 *2820:la_oenb_mprj[110] 0 -12 *790:5 *1147:9 0.000865254 -13 *790:5 *1531:9 0 -14 *790:8 *1726:24 0.0431947 -15 *790:8 *1733:11 0.000637172 -16 *787:8 *790:8 0.0394138 -17 *789:8 *790:8 0.00083916 -*RES -1 *2820:la_data_in_mprj[111] *790:5 75.4538 -2 *790:5 *790:7 4.5 -3 *790:7 *790:8 457.162 -4 *790:8 *2826:la_input[111] 18.5575 -*END - -*D_NET *791 0.101659 -*CONN -*I *2826:la_input[112] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[112] O *D mgmt_protect -*CAP -1 *2826:la_input[112] 0.000968893 -2 *2820:la_data_in_mprj[112] 0.00279461 -3 *791:8 0.00459729 -4 *791:7 0.00362839 -5 *791:5 0.00279461 -6 *2826:la_input[112] *1303:7 0 -7 *2826:la_input[112] *1431:7 0 -8 *791:5 *2820:la_data_out_mprj[112] 0.000549702 -9 *791:5 *2820:la_iena_mprj[111] 0 -10 *791:5 *2820:la_oenb_mprj[111] 0 -11 *791:5 *892:11 0 -12 *791:5 *1404:9 0 -13 *791:8 *792:8 0.0434425 -14 *791:8 *1302:10 0.0418026 -15 *791:8 *1826:14 0.00108064 -*RES -1 *2820:la_data_in_mprj[112] *791:5 67.1487 -2 *791:5 *791:7 4.5 -3 *791:7 *791:8 468.809 -4 *791:8 *2826:la_input[112] 26.8626 -*END - -*D_NET *792 0.103802 -*CONN -*I *2826:la_input[113] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[113] O *D mgmt_protect -*CAP -1 *2826:la_input[113] 0.000873658 -2 *2820:la_data_in_mprj[113] 0.00300082 -3 *792:8 0.00471275 -4 *792:7 0.00383909 -5 *792:5 0.00300082 -6 *2826:la_input[113] *1432:7 0 -7 *792:5 *2820:la_data_out_mprj[113] 0 -8 *792:5 *2820:la_iena_mprj[112] 0 -9 *792:5 *2820:la_iena_mprj[113] 0 -10 *792:5 *2820:la_oenb_mprj[112] 0 -11 *792:5 *1148:9 0 -12 *792:5 *1532:9 0.000231363 -13 *792:8 *793:10 0.000301469 -14 *792:8 *794:8 0.0438738 -15 *792:8 *1826:14 0.000526284 -16 *791:8 *792:8 0.0434425 -*RES -1 *2820:la_data_in_mprj[113] *792:5 67.564 -2 *792:5 *792:7 4.5 -3 *792:7 *792:8 482.119 -4 *792:8 *2826:la_input[113] 24.7619 -*END - -*D_NET *793 0.107366 -*CONN -*I *2826:la_input[114] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[114] O *D mgmt_protect -*CAP -1 *2826:la_input[114] 0.000904585 -2 *2820:la_data_in_mprj[114] 4.25268e-05 -3 *793:10 0.00476458 -4 *793:9 0.00386 -5 *793:7 0.00248736 -6 *793:5 0.00252989 -7 *2826:la_input[114] *1305:7 0 -8 *2826:la_input[114] *1433:9 0 -9 *793:7 *2820:la_data_out_mprj[114] 0.0022998 -10 *793:7 *2820:la_iena_mprj[113] 0 -11 *793:7 *2820:la_oenb_mprj[113] 0 -12 *793:7 *1406:9 0.000216312 -13 *793:10 *794:8 0.0456578 -14 *793:10 *795:8 0.000414665 -15 *793:10 *796:10 0.0438867 -16 *792:8 *793:10 0.000301469 -*RES -1 *2820:la_data_in_mprj[114] *793:5 1.20912 -2 *793:5 *793:7 68.48 -3 *793:7 *793:9 4.5 -4 *793:9 *793:10 490.993 -5 *793:10 *2826:la_input[114] 25.6168 -*END - -*D_NET *794 0.107448 -*CONN -*I *2826:la_input[115] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[115] O *D mgmt_protect -*CAP -1 *2826:la_input[115] 0.00091917 -2 *2820:la_data_in_mprj[115] 0.00268411 -3 *794:8 0.00494915 -4 *794:7 0.00402998 -5 *794:5 0.00268411 -6 *2826:la_input[115] *1306:7 0 -7 *2826:la_input[115] *1434:7 0 -8 *794:5 *2820:la_data_out_mprj[115] 0 -9 *794:5 *2820:la_oenb_mprj[114] 0 -10 *794:5 *894:15 0.000986943 -11 *794:5 *1534:9 0.000159754 -12 *794:8 *796:10 0.00083916 -13 *794:8 *1826:14 0.000663933 -14 *792:8 *794:8 0.0438738 -15 *793:10 *794:8 0.0456578 -*RES -1 *2820:la_data_in_mprj[115] *794:5 67.9792 -2 *794:5 *794:7 4.5 -3 *794:7 *794:8 503.749 -4 *794:8 *2826:la_input[115] 26.032 -*END - -*D_NET *795 0.110943 -*CONN -*I *2826:la_input[116] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[116] O *D mgmt_protect -*CAP -1 *2826:la_input[116] 0.000861712 -2 *2820:la_data_in_mprj[116] 0.00288018 -3 *795:8 0.00489654 -4 *795:7 0.00403483 -5 *795:5 0.00288018 -6 *2826:la_input[116] *1307:7 0 -7 *795:5 *2820:la_data_out_mprj[116] 0.000659677 -8 *795:5 *2820:la_iena_mprj[115] 0 -9 *795:5 *2820:la_oenb_mprj[115] 0 -10 *795:5 *1150:9 0 -11 *795:5 *1407:9 0 -12 *795:8 *796:10 0.0477161 -13 *795:8 *797:8 0.000342221 -14 *795:8 *798:8 0.0462571 -15 *795:8 *1721:24 0 -16 *793:10 *795:8 0.000414665 -*RES -1 *2820:la_data_in_mprj[116] *795:5 69.225 -2 *795:5 *795:7 4.5 -3 *795:7 *795:8 515.396 -4 *795:8 *2826:la_input[116] 24.7863 -*END - -*D_NET *796 0.111704 -*CONN -*I *2826:la_input[117] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[117] O *D mgmt_protect -*CAP -1 *2826:la_input[117] 0.000876298 -2 *2820:la_data_in_mprj[117] 6.22868e-05 -3 *796:10 0.00540215 -4 *796:9 0.00452585 -5 *796:7 0.00238687 -6 *796:5 0.00244916 -7 *2826:la_input[117] *1308:7 0 -8 *2826:la_input[117] *1436:7 0 -9 *796:7 *2820:la_data_out_mprj[117] 0.00198723 -10 *796:7 *2820:la_oenb_mprj[116] 0 -11 *796:7 *895:11 0.000708828 -12 *796:7 *1535:9 0 -13 *796:10 *798:8 0.000863309 -14 *796:10 *1826:14 0 -15 *793:10 *796:10 0.0438867 -16 *794:8 *796:10 0.00083916 -17 *795:8 *796:10 0.0477161 -*RES -1 *2820:la_data_in_mprj[117] *796:5 1.77093 -2 *796:5 *796:7 68.8952 -3 *796:7 *796:9 4.5 -4 *796:9 *796:10 525.933 -5 *796:10 *2826:la_input[117] 25.2015 -*END - -*D_NET *797 0.116291 -*CONN -*I *2826:la_input[118] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[118] O *D mgmt_protect -*CAP -1 *2826:la_input[118] 0.00081884 -2 *2820:la_data_in_mprj[118] 0.00284442 -3 *797:8 0.00498203 -4 *797:7 0.00416319 -5 *797:5 0.00284442 -6 *2826:la_input[118] *1309:9 0 -7 *2826:la_input[118] *1437:9 0 -8 *797:5 *2820:la_data_out_mprj[118] 0.000552858 -9 *797:5 *2820:la_iena_mprj[117] 0 -10 *797:5 *2820:la_oenb_mprj[117] 0 -11 *797:5 *1151:9 0.000403904 -12 *797:5 *1535:9 0 -13 *797:8 *798:8 0.0500866 -14 *797:8 *800:8 0.0492521 -15 *797:8 *1721:24 0 -16 *795:8 *797:8 0.000342221 -*RES -1 *2820:la_data_in_mprj[118] *797:5 70.0555 -2 *797:5 *797:7 4.5 -3 *797:7 *797:8 538.689 -4 *797:8 *2826:la_input[118] 23.9558 -*END - -*D_NET *798 0.116492 -*CONN -*I *2826:la_input[119] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[119] O *D mgmt_protect -*CAP -1 *2826:la_input[119] 0.000833425 -2 *2820:la_data_in_mprj[119] 0.00265163 -3 *798:8 0.00551386 -4 *798:7 0.00468044 -5 *798:5 0.00265163 -6 *2826:la_input[119] *1310:7 0 -7 *2826:la_input[119] *1438:7 0 -8 *798:5 *2820:la_data_out_mprj[119] 0 -9 *798:5 *2820:la_iena_mprj[118] 0 -10 *798:5 *2820:la_oenb_mprj[118] 0 -11 *798:5 *896:11 0 -12 *798:5 *1408:9 0.000386896 -13 *798:5 *1433:15 0.00170338 -14 *798:8 *800:8 0.000863309 -15 *798:8 *1826:14 0 -16 *795:8 *798:8 0.0462571 -17 *796:10 *798:8 0.000863309 -18 *797:8 *798:8 0.0500866 -*RES -1 *2820:la_data_in_mprj[119] *798:5 69.6402 -2 *798:5 *798:7 4.5 -3 *798:7 *798:8 550.89 -4 *798:8 *2826:la_input[119] 24.371 -*END - -*D_NET *799 0.148091 -*CONN -*I *2826:la_input[11] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[11] O *D mgmt_protect -*CAP -1 *2826:la_input[11] 7.21667e-05 -2 *2820:la_data_in_mprj[11] 0.000968114 -3 *799:23 0.00208236 -4 *799:22 0.00201019 -5 *799:20 0.00555468 -6 *799:19 0.00567662 -7 *799:14 0.00052173 -8 *799:13 0.00144366 -9 *799:8 0.00168532 -10 *799:7 0.00160957 -11 *799:7 *2820:la_data_out_mprj[11] 0 -12 *799:7 *2820:la_oenb_mprj[10] 0 -13 *799:8 *2820:la_data_out_mprj[7] 0.000207355 -14 *799:8 *1138:10 0.00150051 -15 *799:8 *1452:10 0.00114213 -16 *799:8 *1482:16 0.00411723 -17 *799:8 *1522:10 0.00347993 -18 *799:13 *2820:la_data_out_mprj[3] 1.05272e-06 -19 *799:13 *2820:la_iena_mprj[3] 3.29488e-05 -20 *799:13 *2820:la_oenb_mprj[3] 0 -21 *799:13 *810:19 4.13298e-05 -22 *799:13 *838:7 0 -23 *799:13 *1354:7 4.73692e-05 -24 *799:13 *1354:13 0 -25 *799:14 *816:8 0.000338665 -26 *799:14 *838:8 0.000101365 -27 *799:14 *871:8 0.00514979 -28 *799:14 *1044:14 0.00513492 -29 *799:19 *1064:19 2.46648e-05 -30 *799:20 *810:20 0.0501971 -31 *799:20 *860:8 0.00637173 -32 *799:20 *1044:10 0.000530796 -33 *799:20 *1064:10 0.00075235 -34 *799:20 *1067:10 0.0472956 -35 *799:23 *1311:9 0 -36 *799:23 *1439:9 0 -*RES -1 *2820:la_data_in_mprj[11] *799:7 27.2167 -2 *799:7 *799:8 65.0574 -3 *799:8 *799:13 27.0268 -4 *799:13 *799:14 54.5199 -5 *799:14 *799:19 10.832 -6 *799:19 *799:20 588.603 -7 *799:20 *799:22 4.5 -8 *799:22 *799:23 48.5479 -9 *799:23 *2826:la_input[11] 2.05183 -*END - -*D_NET *800 0.1197 -*CONN -*I *2826:la_input[120] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[120] O *D mgmt_protect -*CAP -1 *2826:la_input[120] 0.000797404 -2 *2820:la_data_in_mprj[120] 0.0029682 -3 *800:8 0.0053103 -4 *800:7 0.0045129 -5 *800:5 0.0029682 -6 *2826:la_input[120] *1312:7 0 -7 *2826:la_input[120] *1440:7 0 -8 *800:5 *2820:la_data_out_mprj[120] 0.000570104 -9 *800:5 *2820:la_iena_mprj[119] 0 -10 *800:5 *2820:la_oenb_mprj[119] 0 -11 *800:5 *1040:19 0 -12 *800:5 *1152:9 0 -13 *800:5 *1536:9 0.00016343 -14 *800:8 *801:8 0.0522936 -15 *800:8 *1721:24 0 -16 *800:8 *1826:14 0 -17 *797:8 *800:8 0.0492521 -18 *798:8 *800:8 0.000863309 -*RES -1 *2820:la_data_in_mprj[120] *800:5 70.4707 -2 *800:5 *800:7 4.5 -3 *800:7 *800:8 561.982 -4 *800:8 *2826:la_input[120] 23.5405 -*END - -*D_NET *801 0.1228 -*CONN -*I *2826:la_input[121] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[121] O *D mgmt_protect -*CAP -1 *2826:la_input[121] 0.000775968 -2 *2820:la_data_in_mprj[121] 0.00316843 -3 *801:8 0.00533717 -4 *801:7 0.0045612 -5 *801:5 0.00316843 -6 *2826:la_input[121] *1313:7 0 -7 *2826:la_input[121] *1441:9 0 -8 *801:5 *2820:la_data_out_mprj[121] 0 -9 *801:5 *2820:la_oenb_mprj[120] 0 -10 *801:5 *1152:9 0 -11 *801:5 *1409:9 0.000367245 -12 *801:8 *802:8 0.000342221 -13 *801:8 *803:8 0.0526251 -14 *801:8 *1721:24 5.36005e-05 -15 *801:8 *1745:10 0.000107152 -16 *800:8 *801:8 0.0522936 -*RES -1 *2820:la_data_in_mprj[121] *801:5 70.886 -2 *801:5 *801:7 4.5 -3 *801:7 *801:8 574.184 -4 *801:8 *2826:la_input[121] 23.1253 -*END - -*D_NET *802 0.12581 -*CONN -*I *2826:la_input[122] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[122] O *D mgmt_protect -*CAP -1 *2826:la_input[122] 0.000659296 -2 *2820:la_data_in_mprj[122] 0.00309596 -3 *802:8 0.00501163 -4 *802:7 0.00435234 -5 *802:5 0.00309596 -6 *2826:la_input[122] *1442:7 0 -7 *802:5 *2820:la_data_out_mprj[122] 0.000564871 -8 *802:5 *2820:la_oenb_mprj[121] 0 -9 *802:5 *2826:la_input[93] 0 -10 *802:5 *1537:9 0 -11 *802:8 *803:8 0.0547279 -12 *802:8 *804:10 0.000301469 -13 *802:8 *805:8 0.0531096 -14 *802:8 *1721:24 0.000441509 -15 *802:8 *1745:10 0.000107595 -16 *801:8 *802:8 0.000342221 -*RES -1 *2820:la_data_in_mprj[122] *802:5 71.7165 -2 *802:5 *802:7 4.5 -3 *802:7 *802:8 587.494 -4 *802:8 *2826:la_input[122] 20.6093 -*END - -*D_NET *803 0.126325 -*CONN -*I *2826:la_input[123] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[123] O *D mgmt_protect -*CAP -1 *2826:la_input[123] 0.00074768 -2 *2820:la_data_in_mprj[123] 0.00320335 -3 *803:8 0.00566864 -4 *803:7 0.00492096 -5 *803:5 0.00320335 -6 *2826:la_input[123] *1315:7 0 -7 *2826:la_input[123] *1443:9 0 -8 *803:5 *2820:la_data_out_mprj[123] 0 -9 *803:5 *2820:la_iena_mprj[122] 0 -10 *803:5 *2820:la_oenb_mprj[122] 0 -11 *803:5 *1153:9 0.000354439 -12 *803:5 *1410:9 0 -13 *803:5 *1424:25 0 -14 *803:8 *805:8 0.000766715 -15 *803:8 *1745:10 0.000107152 -16 *801:8 *803:8 0.0526251 -17 *802:8 *803:8 0.0547279 -*RES -1 *2820:la_data_in_mprj[123] *803:5 71.3012 -2 *803:5 *803:7 4.5 -3 *803:7 *803:8 597.477 -4 *803:8 *2826:la_input[123] 22.71 -*END - -*D_NET *804 0.133359 -*CONN -*I *2826:la_input[124] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[124] O *D mgmt_protect -*CAP -1 *2826:la_input[124] 0.000690223 -2 *2820:la_data_in_mprj[124] 4.25268e-05 -3 *804:10 0.00502271 -4 *804:9 0.00433249 -5 *804:7 0.00240391 -6 *804:5 0.00244643 -7 *2826:la_input[124] *1316:7 0 -8 *2826:la_input[124] *1444:7 0 -9 *804:7 *2820:la_data_out_mprj[124] 0.00217689 -10 *804:7 *2826:la_input[94] 0.000395166 -11 *804:7 *1443:13 0.000767499 -12 *804:7 *1538:9 0 -13 *804:10 *805:8 0.0567797 -14 *804:10 *1721:24 0.0574637 -15 *804:10 *1745:10 0.000536294 -16 *802:8 *804:10 0.000301469 -*RES -1 *2820:la_data_in_mprj[124] *804:5 1.20912 -2 *804:5 *804:7 72.6325 -3 *804:7 *804:9 4.5 -4 *804:9 *804:10 608.014 -5 *804:10 *2826:la_input[124] 21.4643 -*END - -*D_NET *805 0.130251 -*CONN -*I *2826:la_input[125] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[125] O *D mgmt_protect -*CAP -1 *2826:la_input[125] 0.000704808 -2 *2820:la_data_in_mprj[125] 0.00339027 -3 *805:8 0.00582959 -4 *805:7 0.00512478 -5 *805:5 0.00339027 -6 *2826:la_input[125] *1317:7 0 -7 *2826:la_input[125] *1445:7 0 -8 *805:5 *2820:la_data_out_mprj[125] 0 -9 *805:5 *2820:la_oenb_mprj[124] 0 -10 *805:5 *1154:9 0 -11 *805:5 *1538:9 0 -12 *805:8 *1721:24 0.00083916 -13 *805:8 *1745:10 0.000315895 -14 *802:8 *805:8 0.0531096 -15 *803:8 *805:8 0.000766715 -16 *804:10 *805:8 0.0567797 -*RES -1 *2820:la_data_in_mprj[125] *805:5 72.1318 -2 *805:5 *805:7 4.5 -3 *805:7 *805:8 620.77 -4 *805:8 *2826:la_input[125] 21.8795 -*END - -*D_NET *806 0.13865 -*CONN -*I *2826:la_input[126] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[126] O *D mgmt_protect -*CAP -1 *2826:la_input[126] 8.98429e-05 -2 *2820:la_data_in_mprj[126] 0.000679139 -3 *806:17 0.0026235 -4 *806:16 0.00253366 -5 *806:14 0.00080962 -6 *806:13 0.00169489 -7 *806:8 0.00508684 -8 *806:7 0.0048807 -9 *806:7 *2820:la_data_out_mprj[126] 0.000280765 -10 *806:7 *2820:la_oenb_mprj[125] 0 -11 *806:8 *1787:30 9.64752e-05 -12 *806:8 *1825:8 0.0448312 -13 *806:8 *1829:8 0.000207797 -14 *806:8 *1831:8 0.000517688 -15 *806:8 *1833:8 0.0338586 -16 *806:8 *1918:10 0.00353649 -17 *806:13 *1312:7 0 -18 *806:14 *807:14 0.0123109 -19 *806:14 *1762:24 0.00163036 -20 *806:14 *1779:28 0.0123151 -21 *806:17 *1318:9 0 -22 *806:17 *1446:7 0 -23 *778:8 *806:8 1.65872e-05 -24 *779:8 *806:8 0.00950239 -25 *780:8 *806:8 0.00114706 -*RES -1 *2820:la_data_in_mprj[126] *806:7 22.649 -2 *806:7 *806:8 503.194 -3 *806:8 *806:13 25.7811 -4 *806:13 *806:14 129.391 -5 *806:14 *806:16 4.5 -6 *806:16 *806:17 52.7004 -7 *806:17 *2826:la_input[126] 2.05183 -*END - -*D_NET *807 0.123477 -*CONN -*I *2826:la_input[127] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[127] O *D mgmt_protect -*CAP -1 *2826:la_input[127] 6.52431e-05 -2 *2820:la_data_in_mprj[127] 0.00150063 -3 *807:17 0.00261425 -4 *807:16 0.00254901 -5 *807:14 0.00464356 -6 *807:13 0.00475505 -7 *807:8 0.00165708 -8 *807:7 0.00304622 -9 *807:7 *2820:la_data_out_mprj[127] 0 -10 *807:7 *2820:la_iena_mprj[126] 0 -11 *807:7 *2820:la_oenb_mprj[126] 0 -12 *807:8 *1048:10 0.00368713 -13 *807:8 *1318:16 0.0135609 -14 *807:8 *1758:22 0.00511711 -15 *807:13 *2820:mprj_dat_o_core[18] 3.23649e-05 -16 *807:13 *1037:19 7.09666e-06 -17 *807:13 *1834:5 3.02446e-05 -18 *807:14 *1052:10 0.000645974 -19 *807:14 *1053:10 0.000233752 -20 *807:14 *1306:10 0.02369 -21 *807:14 *1308:10 0.0274944 -22 *807:14 *1445:10 0.00909787 -23 *807:14 *1762:24 0.0044242 -24 *807:14 *1779:28 0.00230879 -25 *807:14 *2487:10 5.04829e-06 -26 *807:17 *1319:7 0 -27 *807:17 *1447:9 0 -28 *806:14 *807:14 0.0123109 -*RES -1 *2820:la_data_in_mprj[127] *807:7 36.7675 -2 *807:7 *807:8 145.475 -3 *807:8 *807:13 11.2472 -4 *807:13 *807:14 499.312 -5 *807:14 *807:16 4.5 -6 *807:16 *807:17 53.1156 -7 *807:17 *2826:la_input[127] 1.49002 -*END - -*D_NET *808 0.0881254 -*CONN -*I *2826:la_input[12] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[12] O *D mgmt_protect -*CAP -1 *2826:la_input[12] 0.00112621 -2 *2820:la_data_in_mprj[12] 0.00209164 -3 *808:18 0.0102876 -4 *808:17 0.00986729 -5 *808:12 0.00362049 -6 *808:10 0.00298028 -7 *808:8 0.00363793 -8 *808:7 0.00357226 -9 *808:5 0.00209164 -10 *2826:la_input[12] *1320:7 0 -11 *808:5 *2820:la_data_out_mprj[12] 0.000384266 -12 *808:5 *2820:la_oenb_mprj[11] 0 -13 *808:5 *1101:7 0.000439878 -14 *808:5 *1439:19 0 -15 *808:8 *840:14 0.00875573 -16 *808:8 *845:16 0.000629908 -17 *808:8 *1081:16 0.0111664 -18 *808:8 *1323:10 0.000847564 -19 *808:8 *1340:10 0.00226168 -20 *808:12 *829:8 0 -21 *808:12 *831:8 0 -22 *808:12 *882:8 0.000464675 -23 *808:12 *1340:10 0 -24 *808:17 *2826:la_input[30] 0 -25 *808:17 *1084:7 0 -26 *808:17 *1468:9 2.43314e-05 -27 *808:18 *1339:10 0.0157025 -28 *808:18 *1451:10 0.0031188 -29 *808:18 *1468:10 6.08467e-05 -30 *777:8 *808:8 0.00238617 -31 *788:8 *808:8 6.11914e-05 -32 *788:12 *808:8 0.00254609 -*RES -1 *2820:la_data_in_mprj[12] *808:5 48.4624 -2 *808:5 *808:7 4.5 -3 *808:7 *808:8 247.799 -4 *808:8 *808:10 1.80849 -5 *808:10 *808:12 78.0906 -6 *808:12 *808:17 21.2133 -7 *808:17 *808:18 371.753 -8 *808:18 *2826:la_input[12] 34.044 -*END - -*D_NET *809 0.175888 -*CONN -*I *2826:la_input[13] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[13] O *D mgmt_protect -*CAP -1 *2826:la_input[13] 7.21667e-05 -2 *2820:la_data_in_mprj[13] 0.00156156 -3 *809:11 0.00225886 -4 *809:10 0.0021867 -5 *809:8 0.00689566 -6 *809:7 0.00845722 -7 *809:7 *2820:la_data_out_mprj[13] 0 -8 *809:7 *2820:la_oenb_mprj[12] 0 -9 *809:7 *1358:7 0.000505386 -10 *809:8 *820:8 0.00370733 -11 *809:8 *821:8 0.00434757 -12 *809:8 *1055:10 0.0726882 -13 *809:8 *1065:10 0.0732077 -14 *809:8 *1069:10 0 -15 *809:11 *1321:9 0 -16 *809:11 *1449:9 0 -*RES -1 *2820:la_data_in_mprj[13] *809:7 39.166 -2 *809:7 *809:8 94.4388 -3 *809:8 *809:10 3.36879 -4 *809:10 *809:11 51.6623 -5 *809:11 *2826:la_input[13] 2.05183 -*END - -*D_NET *810 0.147945 -*CONN -*I *2826:la_input[14] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[14] O *D mgmt_protect -*CAP -1 *2826:la_input[14] 7.21667e-05 -2 *2820:la_data_in_mprj[14] 0.00197479 -3 *810:23 0.00210021 -4 *810:22 0.00202805 -5 *810:20 0.0037928 -6 *810:19 0.00389127 -7 *810:10 0.000893756 -8 *810:9 0.00277008 -9 *810:9 *2820:la_data_out_mprj[14] 0 -10 *810:9 *1449:13 0 -11 *810:9 *1486:7 0 -12 *810:10 *811:8 0.0082778 -13 *810:10 *843:8 0.00121705 -14 *810:10 *846:16 0.000171288 -15 *810:10 *1044:18 0.00535955 -16 *810:10 *1069:10 0.000102215 -17 *810:19 *1354:7 2.8923e-05 -18 *810:20 *811:8 0.0534591 -19 *810:20 *838:8 0.00558021 -20 *810:20 *1064:10 0.000846705 -21 *810:20 *1064:20 0.00512622 -22 *810:20 *1067:10 1.41853e-05 -23 *810:23 *1322:7 0 -24 *810:23 *1450:7 0 -25 *799:13 *810:19 4.13298e-05 -26 *799:20 *810:20 0.0501971 -*RES -1 *2820:la_data_in_mprj[14] *810:9 46.5138 -2 *810:9 *810:10 88.3508 -3 *810:10 *810:19 10.9053 -4 *810:19 *810:20 582.503 -5 *810:20 *810:22 4.5 -6 *810:22 *810:23 48.1326 -7 *810:23 *2826:la_input[14] 2.05183 -*END - -*D_NET *811 0.146971 -*CONN -*I *2826:la_input[15] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[15] O *D mgmt_protect -*CAP -1 *2826:la_input[15] 0.0020943 -2 *2820:la_data_in_mprj[15] 0.00186513 -3 *811:10 0.0020943 -4 *811:8 0.00446393 -5 *811:7 0.00632906 -6 *2826:la_input[15] *1323:9 0 -7 *2826:la_input[15] *1451:9 0 -8 *811:7 *2820:la_data_out_mprj[15] 0 -9 *811:7 *2820:la_iena_mprj[15] 0 -10 *811:7 *2820:la_oenb_mprj[14] 0 -11 *811:8 *838:8 0.00590704 -12 *811:8 *842:8 0.000995723 -13 *811:8 *846:10 0.000912545 -14 *811:8 *846:16 1.67988e-05 -15 *811:8 *1044:10 1.67988e-05 -16 *811:8 *1044:14 0.00523666 -17 *811:8 *1044:18 1.67988e-05 -18 *811:8 *1064:10 0.0481984 -19 *811:8 *1064:20 1.15389e-05 -20 *811:8 *1064:24 0.00697366 -21 *811:8 *1069:10 0.000101794 -22 *810:10 *811:8 0.0082778 -23 *810:20 *811:8 0.0534591 -*RES -1 *2820:la_data_in_mprj[15] *811:7 44.2421 -2 *811:7 *811:8 661.256 -3 *811:8 *811:10 4.5 -4 *811:10 *2826:la_input[15] 49.7692 -*END - -*D_NET *812 0.141351 -*CONN -*I *2826:la_input[16] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[16] O *D mgmt_protect -*CAP -1 *2826:la_input[16] 7.21667e-05 -2 *2820:la_data_in_mprj[16] 0.00157322 -3 *812:11 0.00221065 -4 *812:10 0.00213849 -5 *812:8 0.00502901 -6 *812:7 0.00660222 -7 *812:7 *2820:la_data_out_mprj[16] 0.000496994 -8 *812:7 *2820:la_oenb_mprj[15] 0 -9 *812:8 *814:8 0.000878398 -10 *812:8 *815:8 0.0557876 -11 *812:8 *842:8 0.00027469 -12 *812:8 *904:8 0.00530845 -13 *812:8 *1067:10 0.0609792 -14 *812:11 *1324:7 0 -15 *812:11 *1452:7 0 -*RES -1 *2820:la_data_in_mprj[16] *812:7 42.5811 -2 *812:7 *812:8 649.61 -3 *812:8 *812:10 4.5 -4 *812:10 *812:11 49.3784 -5 *812:11 *2826:la_input[16] 2.05183 -*END - -*D_NET *813 0.159694 -*CONN -*I *2826:la_input[17] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[17] O *D mgmt_protect -*CAP -1 *2826:la_input[17] 0.00193084 -2 *2820:la_data_in_mprj[17] 0.00187383 -3 *813:8 0.00775137 -4 *813:7 0.00769436 -5 *2826:la_input[17] *1325:9 0 -6 *2826:la_input[17] *1453:9 0 -7 *813:7 *2820:la_data_out_mprj[17] 0 -8 *813:7 *2820:la_iena_mprj[16] 0 -9 *813:7 *2820:la_oenb_mprj[16] 0 -10 *813:7 *1103:7 0.000417113 -11 *813:8 *829:8 0.0028746 -12 *813:8 *832:8 0.00275659 -13 *813:8 *1033:10 0.000480973 -14 *813:8 *1069:10 0.0680454 -15 *813:8 *1070:10 0.0658688 -16 *813:8 *1323:10 0 -*RES -1 *2820:la_data_in_mprj[17] *813:7 46.6405 -2 *813:7 *813:8 88.0136 -3 *813:8 *2826:la_input[17] 49.6083 -*END - -*D_NET *814 0.135877 -*CONN -*I *2826:la_input[18] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[18] O *D mgmt_protect -*CAP -1 *2826:la_input[18] 7.21667e-05 -2 *2820:la_data_in_mprj[18] 0.00149636 -3 *814:11 0.00229593 -4 *814:10 0.00222376 -5 *814:8 0.00480758 -6 *814:7 0.00630394 -7 *814:7 *2820:la_data_out_mprj[18] 0.00053829 -8 *814:7 *1091:19 8.62625e-06 -9 *814:7 *1360:7 0 -10 *814:7 *1453:13 0 -11 *814:8 *815:8 0.0574059 -12 *814:8 *818:8 0.0535908 -13 *814:8 *893:8 0.00485165 -14 *814:8 *1055:10 0.000114604 -15 *814:8 *1071:10 0.00128892 -16 *814:11 *1454:7 0 -17 *812:8 *814:8 0.000878398 -*RES -1 *2820:la_data_in_mprj[18] *814:7 41.7506 -2 *814:7 *814:8 626.316 -3 *814:8 *814:10 4.5 -4 *814:10 *814:11 50.2089 -5 *814:11 *2826:la_input[18] 2.05183 -*END - -*D_NET *815 0.136958 -*CONN -*I *2826:la_input[19] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[19] O *D mgmt_protect -*CAP -1 *2826:la_input[19] 7.21667e-05 -2 *2820:la_data_in_mprj[19] 0.0015359 -3 *815:11 0.00229499 -4 *815:10 0.00222282 -5 *815:8 0.00433747 -6 *815:7 0.00587337 -7 *815:7 *2820:la_data_out_mprj[19] 0 -8 *815:7 *2820:la_oenb_mprj[18] 0 -9 *815:7 *1488:7 0.00076693 -10 *815:8 *818:8 1.41689e-05 -11 *815:8 *842:8 0.000452674 -12 *815:8 *848:8 0.000536595 -13 *815:8 *904:8 0.0048152 -14 *815:8 *1055:10 0.000103514 -15 *815:8 *1091:20 0.000738355 -16 *815:11 *1327:9 0 -17 *815:11 *1455:9 0 -18 *812:8 *815:8 0.0557876 -19 *814:8 *815:8 0.0574059 -*RES -1 *2820:la_data_in_mprj[19] *815:7 42.1658 -2 *815:7 *815:8 614.115 -3 *815:8 *815:10 4.5 -4 *815:10 *815:11 49.7936 -5 *815:11 *2826:la_input[19] 2.05183 -*END - -*D_NET *816 0.211202 -*CONN -*I *2826:la_input[1] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[1] O *D mgmt_protect -*CAP -1 *2826:la_input[1] 0.00181257 -2 *2820:la_data_in_mprj[1] 0.00162343 -3 *816:10 0.00181257 -4 *816:8 0.00768019 -5 *816:7 0.00930362 -6 *2826:la_input[1] *1328:7 0 -7 *2826:la_input[1] *1456:7 0 -8 *816:7 *2820:la_oenb_mprj[0] 0 -9 *816:7 *1072:13 0.00204838 -10 *816:8 *838:8 0.0853692 -11 *816:8 *1033:10 0.0889079 -12 *816:8 *1044:10 0.0062981 -13 *816:8 *1044:14 0.000311353 -14 *816:8 *1064:10 0.00540894 -15 *816:8 *1069:10 0.000287 -16 *799:14 *816:8 0.000338665 -*RES -1 *2820:la_data_in_mprj[1] *816:7 44.149 -2 *816:7 *816:8 113.791 -3 *816:8 *816:10 3.36879 -4 *816:10 *2826:la_input[1] 48.7311 -*END - -*D_NET *817 0.14979 -*CONN -*I *2826:la_input[20] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[20] O *D mgmt_protect -*CAP -1 *2826:la_input[20] 0.00190778 -2 *2820:la_data_in_mprj[20] 0.00153527 -3 *817:8 0.01183 -4 *817:7 0.0114575 -5 *2826:la_input[20] *882:13 0 -6 *2826:la_input[20] *1329:9 0 -7 *2826:la_input[20] *1457:9 0 -8 *817:7 *2820:la_data_out_mprj[20] 0.000629594 -9 *817:7 *2820:la_oenb_mprj[19] 0 -10 *817:7 *1362:7 0.0011837 -11 *817:8 *834:10 0.00194779 -12 *817:8 *835:8 0.00187449 -13 *817:8 *836:8 0.000606439 -14 *817:8 *837:8 0.000557532 -15 *817:8 *839:8 0.000392402 -16 *817:8 *840:8 0.000337446 -17 *817:8 *844:8 0.000112976 -18 *817:8 *849:8 0.0505341 -19 *817:8 *882:8 0.00111203 -20 *817:8 *1070:10 0.0636529 -21 *817:8 *1085:16 0.000118462 -22 *817:8 *1323:10 0 -*RES -1 *2820:la_data_in_mprj[20] *817:7 48.3015 -2 *817:7 *817:8 83.1947 -3 *817:8 *2826:la_input[20] 47.9473 -*END - -*D_NET *818 0.13354 -*CONN -*I *2826:la_input[21] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[21] O *D mgmt_protect -*CAP -1 *2826:la_input[21] 5.24068e-05 -2 *2820:la_data_in_mprj[21] 0.0012168 -3 *818:11 0.00236993 -4 *818:10 0.00231753 -5 *818:8 0.00412842 -6 *818:7 0.00534522 -7 *818:7 *2820:la_oenb_mprj[20] 0 -8 *818:7 *1074:13 0.00200956 -9 *818:8 *819:8 0.000216414 -10 *818:8 *893:8 0.00436626 -11 *818:8 *1055:10 0.000124658 -12 *818:8 *1071:10 0.0545783 -13 *818:8 *1091:20 0.00233087 -14 *818:8 *1092:16 0.00087833 -15 *818:11 *1330:7 0 -16 *818:11 *1458:7 0 -17 *814:8 *818:8 0.0535908 -18 *815:8 *818:8 1.41689e-05 -*RES -1 *2820:la_data_in_mprj[21] *818:7 41.3353 -2 *818:7 *818:8 591.376 -3 *818:8 *818:10 4.5 -4 *818:10 *818:11 50.6241 -5 *818:11 *2826:la_input[21] 1.49002 -*END - -*D_NET *819 0.127555 -*CONN -*I *2826:la_input[22] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[22] O *D mgmt_protect -*CAP -1 *2826:la_input[22] 7.21667e-05 -2 *2820:la_data_in_mprj[22] 0.00134139 -3 *819:11 0.0024621 -4 *819:10 0.00238994 -5 *819:8 0.00443731 -6 *819:7 0.0057787 -7 *819:7 *2820:la_data_out_mprj[22] 0.000529744 -8 *819:7 *2820:la_iena_mprj[21] 0 -9 *819:7 *2820:la_oenb_mprj[21] 0 -10 *819:7 *1106:7 0.000264352 -11 *819:8 *820:8 0.00085425 -12 *819:8 *821:8 0.0509772 -13 *819:8 *1055:10 0.00390283 -14 *819:8 *1071:10 0.0526155 -15 *819:8 *1092:16 0.00171291 -16 *819:11 *1331:9 0 -17 *819:11 *1459:9 0 -18 *818:8 *819:8 0.000216414 -*RES -1 *2820:la_data_in_mprj[22] *819:7 40.5048 -2 *819:7 *819:8 579.73 -3 *819:8 *819:10 4.5 -4 *819:10 *819:11 51.4546 -5 *819:11 *2826:la_input[22] 2.05183 -*END - -*D_NET *820 0.123711 -*CONN -*I *2826:la_input[23] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[23] O *D mgmt_protect -*CAP -1 *2826:la_input[23] 7.21667e-05 -2 *2820:la_data_in_mprj[23] 0.00161294 -3 *820:11 0.00252048 -4 *820:10 0.00244831 -5 *820:8 0.00467357 -6 *820:7 0.00628652 -7 *820:7 *2820:la_data_out_mprj[23] 0 -8 *820:7 *2820:la_oenb_mprj[22] 0 -9 *820:8 *821:8 0.0517458 -10 *820:8 *822:8 0.0497895 -11 *820:11 *1332:7 0 -12 *820:11 *1460:7 0 -13 *809:8 *820:8 0.00370733 -14 *819:8 *820:8 0.00085425 -*RES -1 *2820:la_data_in_mprj[23] *820:7 39.6743 -2 *820:7 *820:8 567.528 -3 *820:8 *820:10 4.5 -4 *820:10 *820:11 52.2851 -5 *820:11 *2826:la_input[23] 2.05183 -*END - -*D_NET *821 0.125496 -*CONN -*I *2826:la_input[24] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[24] O *D mgmt_protect -*CAP -1 *2826:la_input[24] 7.21667e-05 -2 *2820:la_data_in_mprj[24] 0.0011513 -3 *821:11 0.00251917 -4 *821:10 0.00244701 -5 *821:8 0.00402402 -6 *821:7 0.00517532 -7 *821:7 *2820:la_iena_mprj[23] 0 -8 *821:7 *2820:la_oenb_mprj[23] 0 -9 *821:7 *1077:13 0.00195942 -10 *821:7 *1491:7 0 -11 *821:8 *822:8 0.000366352 -12 *821:8 *1092:16 0.000710858 -13 *821:11 *1333:9 0 -14 *821:11 *1461:9 0 -15 *809:8 *821:8 0.00434757 -16 *819:8 *821:8 0.0509772 -17 *820:8 *821:8 0.0517458 -*RES -1 *2820:la_data_in_mprj[24] *821:7 40.0896 -2 *821:7 *821:8 556.436 -3 *821:8 *821:10 4.5 -4 *821:10 *821:11 51.8699 -5 *821:11 *2826:la_input[24] 2.05183 -*END - -*D_NET *822 0.120736 -*CONN -*I *2826:la_input[25] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[25] O *D mgmt_protect -*CAP -1 *2826:la_input[25] 7.21667e-05 -2 *2820:la_data_in_mprj[25] 0.00150343 -3 *822:11 0.00258589 -4 *822:10 0.00251372 -5 *822:8 0.00434013 -6 *822:7 0.00584356 -7 *822:7 *2820:la_data_out_mprj[25] 0 -8 *822:7 *2820:la_oenb_mprj[24] 0 -9 *822:7 *1364:11 0.000246211 -10 *822:8 *823:8 0.0494257 -11 *822:8 *1065:10 0.00392226 -12 *822:8 *1092:16 0.000127047 -13 *822:11 *1334:7 0 -14 *822:11 *1462:7 0 -15 *820:8 *822:8 0.0497895 -16 *821:8 *822:8 0.000366352 -*RES -1 *2820:la_data_in_mprj[25] *822:7 39.2591 -2 *822:7 *822:8 544.235 -3 *822:8 *822:10 4.5 -4 *822:10 *822:11 52.7004 -5 *822:11 *2826:la_input[25] 2.05183 -*END - -*D_NET *823 0.118584 -*CONN -*I *2826:la_input[26] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[26] O *D mgmt_protect -*CAP -1 *2826:la_input[26] 7.21667e-05 -2 *2820:la_data_in_mprj[26] 0.00116847 -3 *823:11 0.00263102 -4 *823:10 0.00255885 -5 *823:8 0.00429095 -6 *823:7 0.00545942 -7 *823:7 *2820:la_data_out_mprj[26] 0.000516304 -8 *823:7 *2820:la_oenb_mprj[25] 0 -9 *823:7 *1492:7 0.000706586 -10 *823:8 *824:8 0.0483571 -11 *823:8 *1065:10 0.0033308 -12 *823:8 *1092:16 4.30583e-05 -13 *823:8 *1095:20 2.32967e-05 -14 *823:11 *1335:9 0 -15 *823:11 *1463:9 0 -16 *822:8 *823:8 0.0494257 -*RES -1 *2820:la_data_in_mprj[26] *823:7 38.8438 -2 *823:7 *823:8 532.588 -3 *823:8 *823:10 4.5 -4 *823:10 *823:11 53.1156 -5 *823:11 *2826:la_input[26] 2.05183 -*END - -*D_NET *824 0.116101 -*CONN -*I *2826:la_input[27] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[27] O *D mgmt_protect -*CAP -1 *2826:la_input[27] 7.21667e-05 -2 *2820:la_data_in_mprj[27] 0.00154525 -3 *824:11 0.00267036 -4 *824:10 0.00259819 -5 *824:8 0.00425281 -6 *824:7 0.00579806 -7 *824:7 *2820:la_data_out_mprj[27] 0 -8 *824:7 *2820:la_iena_mprj[27] 0 -9 *824:7 *2820:la_oenb_mprj[26] 0 -10 *824:7 *853:11 0 -11 *824:8 *825:8 0.0471758 -12 *824:8 *1066:10 0.0035836 -13 *824:8 *1095:20 4.77612e-05 -14 *824:11 *1336:7 0 -15 *824:11 *1464:7 0 -16 *823:8 *824:8 0.0483571 -*RES -1 *2820:la_data_in_mprj[27] *824:7 38.4285 -2 *824:7 *824:8 520.942 -3 *824:8 *824:10 4.5 -4 *824:10 *824:11 53.5309 -5 *824:11 *2826:la_input[27] 2.05183 -*END - -*D_NET *825 0.113232 -*CONN -*I *2826:la_input[28] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[28] O *D mgmt_protect -*CAP -1 *2826:la_input[28] 7.21667e-05 -2 *2820:la_data_in_mprj[28] 0.0012955 -3 *825:11 0.00270868 -4 *825:10 0.00263651 -5 *825:8 0.00420138 -6 *825:7 0.00549688 -7 *825:7 *2820:la_data_out_mprj[28] 0.000518848 -8 *825:7 *2820:la_oenb_mprj[27] 0 -9 *825:7 *853:11 0 -10 *825:8 *826:8 0.0461137 -11 *825:8 *1066:10 0.00301221 -12 *825:8 *1095:20 0 -13 *825:11 *1337:9 0 -14 *825:11 *1465:9 0 -15 *824:8 *825:8 0.0471758 -*RES -1 *2820:la_data_in_mprj[28] *825:7 38.0133 -2 *825:7 *825:8 509.295 -3 *825:8 *825:10 4.5 -4 *825:10 *825:11 53.9461 -5 *825:11 *2826:la_input[28] 2.05183 -*END - -*D_NET *826 0.0780784 -*CONN -*I *2826:la_input[29] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[29] O *D mgmt_protect -*CAP -1 *2826:la_input[29] 7.21667e-05 -2 *2820:la_data_in_mprj[29] 0.00149004 -3 *826:11 0.00274811 -4 *826:10 0.00267595 -5 *826:8 0.0108103 -6 *826:7 0.0123004 -7 *826:7 *2820:la_data_out_mprj[29] 0 -8 *826:7 *2820:la_oenb_mprj[28] 0 -9 *826:8 *1090:16 0.00111189 -10 *826:8 *1093:10 0 -11 *826:8 *1095:20 0 -12 *826:8 *1096:10 0 -13 *826:8 *1099:10 0.000755873 -14 *826:8 *1348:10 0 -15 *826:8 *1477:10 0 -16 *826:11 *1338:7 0 -17 *826:11 *1466:7 0 -18 *825:8 *826:8 0.0461137 -*RES -1 *2820:la_data_in_mprj[29] *826:7 37.598 -2 *826:7 *826:8 497.648 -3 *826:8 *826:10 4.5 -4 *826:10 *826:11 54.3614 -5 *826:11 *2826:la_input[29] 2.05183 -*END - -*D_NET *827 0.144488 -*CONN -*I *2826:la_input[2] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[2] O *D mgmt_protect -*CAP -1 *2826:la_input[2] 0.00180436 -2 *2820:la_data_in_mprj[2] 0.00194906 -3 *827:10 0.00180436 -4 *827:8 0.0151011 -5 *827:7 0.0170501 -6 *2826:la_input[2] *1467:9 0 -7 *2826:la_input[2] *2687:8 0 -8 *827:7 *2820:la_data_out_mprj[2] 0.000514722 -9 *827:7 *2820:la_oenb_mprj[1] 0 -10 *827:7 *841:11 8.62625e-06 -11 *827:8 *828:8 0.0233501 -12 *827:8 *838:8 0.000367591 -13 *827:8 *871:8 0.0696262 -14 *827:8 *1033:10 0.0129115 -*RES -1 *2820:la_data_in_mprj[2] *827:7 45.9031 -2 *827:7 *827:8 813.218 -3 *827:8 *827:10 4.5 -4 *827:10 *2826:la_input[2] 48.1082 -*END - -*D_NET *828 0.10836 -*CONN -*I *2826:la_input[30] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[30] O *D mgmt_protect -*CAP -1 *2826:la_input[30] 0.00219488 -2 *2820:la_data_in_mprj[30] 0.00149538 -3 *828:10 0.00219488 -4 *828:8 0.00388833 -5 *828:7 0.00538371 -6 *2826:la_input[30] *1340:9 0 -7 *2826:la_input[30] *1468:9 0 -8 *828:7 *2820:la_data_out_mprj[30] 0.000323488 -9 *828:7 *2820:la_iena_mprj[30] 0 -10 *828:7 *2820:la_oenb_mprj[29] 0 -11 *828:7 *854:11 0.000434337 -12 *828:7 *1102:15 0.000264753 -13 *828:8 *829:8 0.000878398 -14 *828:8 *830:8 0.0420126 -15 *828:8 *841:8 0.0224811 -16 *828:8 *871:8 1.41689e-05 -17 *828:8 *1069:10 0.00344413 -18 *808:17 *2826:la_input[30] 0 -19 *827:8 *828:8 0.0233501 -*RES -1 *2820:la_data_in_mprj[30] *828:7 46.3183 -2 *828:7 *828:8 486.002 -3 *828:8 *828:10 4.5 -4 *828:10 *2826:la_input[30] 47.6929 -*END - -*D_NET *829 0.102936 -*CONN -*I *2826:la_input[31] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[31] O *D mgmt_protect -*CAP -1 *2826:la_input[31] 7.21667e-05 -2 *2820:la_data_in_mprj[31] 0.00193533 -3 *829:11 0.00216199 -4 *829:8 0.00629196 -5 *829:7 0.00613747 -6 *829:7 *2820:la_data_out_mprj[31] 0 -7 *829:7 *2820:la_oenb_mprj[30] 0 -8 *829:8 *830:8 0.0427921 -9 *829:8 *831:8 0.000846705 -10 *829:8 *832:8 0.0389453 -11 *829:11 *1341:7 0 -12 *829:11 *1469:7 0 -13 *808:12 *829:8 0 -14 *813:8 *829:8 0.0028746 -15 *828:8 *829:8 0.000878398 -*RES -1 *2820:la_data_in_mprj[31] *829:7 47.1488 -2 *829:7 *829:8 474.355 -3 *829:8 *829:11 49.3106 -4 *829:11 *2826:la_input[31] 2.05183 -*END - -*D_NET *830 0.104372 -*CONN -*I *2826:la_input[32] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[32] O *D mgmt_protect -*CAP -1 *2826:la_input[32] 7.21667e-05 -2 *2820:la_data_in_mprj[32] 0.00172232 -3 *830:11 0.00220825 -4 *830:8 0.00561771 -5 *830:7 0.00520395 -6 *830:7 *2820:la_data_out_mprj[32] 0.000428549 -7 *830:7 *2820:la_oenb_mprj[31] 0 -8 *830:7 *1342:13 0 -9 *830:8 *832:8 0.000378828 -10 *830:8 *841:8 0.000705943 -11 *830:8 *1069:10 0.00322915 -12 *830:11 *1342:9 0 -13 *830:11 *1470:9 0 -14 *828:8 *830:8 0.0420126 -15 *829:8 *830:8 0.0427921 -*RES -1 *2820:la_data_in_mprj[32] *830:7 46.7336 -2 *830:7 *830:8 462.708 -3 *830:8 *830:11 49.7258 -4 *830:11 *2826:la_input[32] 2.05183 -*END - -*D_NET *831 0.0989679 -*CONN -*I *2826:la_input[33] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[33] O *D mgmt_protect -*CAP -1 *2826:la_input[33] 7.21667e-05 -2 *2820:la_data_in_mprj[33] 0.00195771 -3 *831:11 0.00214804 -4 *831:8 0.00606078 -5 *831:7 0.00594262 -6 *831:7 *2820:la_data_out_mprj[33] 0 -7 *831:7 *2820:la_oenb_mprj[32] 0 -8 *831:8 *832:8 0.0405616 -9 *831:8 *833:8 0.0388197 -10 *831:8 *1070:10 0.00255854 -11 *831:11 *1343:7 0 -12 *831:11 *1471:7 0 -13 *808:12 *831:8 0 -14 *829:8 *831:8 0.000846705 -*RES -1 *2820:la_data_in_mprj[33] *831:7 47.9793 -2 *831:7 *831:8 450.507 -3 *831:8 *831:11 48.4801 -4 *831:11 *2826:la_input[33] 2.05183 -*END - -*D_NET *832 0.0987074 -*CONN -*I *2826:la_input[34] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[34] O *D mgmt_protect -*CAP -1 *2826:la_input[34] 7.21667e-05 -2 *2820:la_data_in_mprj[34] 0.0016319 -3 *832:11 0.00218343 -4 *832:8 0.00565192 -5 *832:7 0.00517255 -6 *832:7 *2820:la_data_out_mprj[34] 0.000435932 -7 *832:7 *2820:la_oenb_mprj[33] 0 -8 *832:7 *1110:13 0.000320522 -9 *832:8 *833:8 0.000348633 -10 *832:8 *841:8 0.000248003 -11 *832:11 *1344:7 0 -12 *832:11 *1472:9 0 -13 *813:8 *832:8 0.00275659 -14 *829:8 *832:8 0.0389453 -15 *830:8 *832:8 0.000378828 -16 *831:8 *832:8 0.0405616 -*RES -1 *2820:la_data_in_mprj[34] *832:7 47.5641 -2 *832:7 *832:8 439.415 -3 *832:8 *832:11 48.8953 -4 *832:11 *2826:la_input[34] 2.05183 -*END - -*D_NET *833 0.095818 -*CONN -*I *2826:la_input[35] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[35] O *D mgmt_protect -*CAP -1 *2826:la_input[35] 0.00205441 -2 *2820:la_data_in_mprj[35] 0.00178208 -3 *833:8 0.00581743 -4 *833:7 0.0055451 -5 *2826:la_input[35] *1345:9 0 -6 *833:7 *2820:la_data_out_mprj[35] 0 -7 *833:7 *2820:la_oenb_mprj[34] 0 -8 *833:7 *856:11 0.000535887 -9 *833:8 *834:10 0.038316 -10 *833:8 *841:8 0 -11 *833:8 *1070:10 0.00242581 -12 *788:12 *833:8 0 -13 *788:17 *2826:la_input[35] 0.00017296 -14 *831:8 *833:8 0.0388197 -15 *832:8 *833:8 0.000348633 -*RES -1 *2820:la_data_in_mprj[35] *833:7 48.3946 -2 *833:7 *833:8 429.432 -3 *833:8 *2826:la_input[35] 48.4312 -*END - -*D_NET *834 0.0937141 -*CONN -*I *2826:la_input[36] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[36] O *D mgmt_protect -*CAP -1 *2826:la_input[36] 0.00214122 -2 *2820:la_data_in_mprj[36] 0.00153478 -3 *834:10 0.0057389 -4 *834:9 0.00359767 -5 *834:7 0.00153478 -6 *2826:la_input[36] *1474:9 0 -7 *834:7 *2820:la_data_out_mprj[36] 0 -8 *834:7 *2820:la_iena_mprj[35] 0 -9 *834:7 *2820:la_oenb_mprj[35] 0.00149967 -10 *834:7 *1112:7 0.000368435 -11 *834:10 *835:8 0.0370349 -12 *834:10 *841:8 0 -13 *788:12 *834:10 0 -14 *817:8 *834:10 0.00194779 -15 *833:8 *834:10 0.038316 -*RES -1 *2820:la_data_in_mprj[36] *834:7 46.1663 -2 *834:7 *834:9 4.5 -3 *834:9 *834:10 414.458 -4 *834:10 *2826:la_input[36] 49.7014 -*END - -*D_NET *835 0.0904634 -*CONN -*I *2826:la_input[37] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[37] O *D mgmt_protect -*CAP -1 *2826:la_input[37] 0.00213708 -2 *2820:la_data_in_mprj[37] 0.00183368 -3 *835:8 0.00571604 -4 *835:7 0.00541264 -5 *2826:la_input[37] *1347:9 0 -6 *2826:la_input[37] *1475:7 0 -7 *835:7 *2820:la_data_out_mprj[37] 0 -8 *835:7 *2820:la_oenb_mprj[36] 0 -9 *835:7 *857:11 0.000369026 -10 *835:8 *836:8 0.0360855 -11 *835:8 *841:8 0 -12 *788:12 *835:8 0 -13 *817:8 *835:8 0.00187449 -14 *834:10 *835:8 0.0370349 -*RES -1 *2820:la_data_in_mprj[37] *835:7 49.2251 -2 *835:7 *835:8 403.92 -3 *835:8 *2826:la_input[37] 49.2862 -*END - -*D_NET *836 0.0879537 -*CONN -*I *2826:la_input[38] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[38] O *D mgmt_protect -*CAP -1 *2826:la_input[38] 0.00212192 -2 *2820:la_data_in_mprj[38] 0.00177431 -3 *836:8 0.00558535 -4 *836:7 0.00523773 -5 *2826:la_input[38] *1348:7 0 -6 *2826:la_input[38] *1476:9 0 -7 *836:7 *2820:la_data_out_mprj[38] 0.000582692 -8 *836:7 *2820:la_oenb_mprj[37] 0 -9 *836:7 *1113:7 0 -10 *836:7 *1359:17 0 -11 *836:8 *837:8 0.0349444 -12 *836:8 *841:8 0 -13 *836:8 *849:8 0.000883399 -14 *777:13 *2826:la_input[38] 0 -15 *788:12 *836:8 0.000131972 -16 *817:8 *836:8 0.000606439 -17 *835:8 *836:8 0.0360855 -*RES -1 *2820:la_data_in_mprj[38] *836:7 49.6404 -2 *836:7 *836:8 392.828 -3 *836:8 *2826:la_input[38] 48.8709 -*END - -*D_NET *837 0.0852839 -*CONN -*I *2826:la_input[39] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[39] O *D mgmt_protect -*CAP -1 *2826:la_input[39] 0.00212731 -2 *2820:la_data_in_mprj[39] 0.00202324 -3 *837:8 0.00543769 -4 *837:7 0.00331038 -5 *837:5 0.00202324 -6 *2826:la_input[39] *1349:9 0 -7 *2826:la_input[39] *1477:7 0 -8 *837:5 *2820:la_data_out_mprj[39] 0 -9 *837:5 *2820:la_oenb_mprj[38] 0 -10 *837:5 *1363:15 0 -11 *837:8 *839:8 0.0337825 -12 *837:8 *841:8 0 -13 *837:8 *849:8 0.000708836 -14 *788:8 *837:8 2.15184e-05 -15 *788:12 *837:8 0.000347228 -16 *817:8 *837:8 0.000557532 -17 *836:8 *837:8 0.0349444 -*RES -1 *2820:la_data_in_mprj[39] *837:5 45.5556 -2 *837:5 *837:7 4.5 -3 *837:7 *837:8 380.627 -4 *837:8 *2826:la_input[39] 48.4557 -*END - -*D_NET *838 0.204712 -*CONN -*I *2826:la_input[3] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[3] O *D mgmt_protect -*CAP -1 *2826:la_input[3] 0.00185122 -2 *2820:la_data_in_mprj[3] 0.00208433 -3 *838:10 0.00185122 -4 *838:8 0.0077729 -5 *838:7 0.00985723 -6 *2826:la_input[3] *1722:27 0 -7 *838:7 *2820:la_data_out_mprj[3] 0 -8 *838:7 *2820:la_oenb_mprj[2] 0 -9 *838:8 *860:8 0.0826304 -10 *838:8 *871:8 0.000784804 -11 *838:8 *1044:14 0.000110257 -12 *838:8 *1069:10 0.000444675 -13 *799:13 *838:7 0 -14 *799:14 *838:8 0.000101365 -15 *810:20 *838:8 0.00558021 -16 *811:8 *838:8 0.00590704 -17 *816:8 *838:8 0.0853692 -18 *827:8 *838:8 0.000367591 -*RES -1 *2820:la_data_in_mprj[3] *838:7 43.3185 -2 *838:7 *838:8 110.731 -3 *838:8 *838:10 3.36879 -4 *838:10 *2826:la_input[3] 48.4379 -*END - -*D_NET *839 0.0838974 -*CONN -*I *2826:la_input[40] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[40] O *D mgmt_protect -*CAP -1 *2826:la_input[40] 0.00213607 -2 *2820:la_data_in_mprj[40] 0.00181587 -3 *839:8 0.00526339 -4 *839:7 0.00312732 -5 *839:5 0.00181587 -6 *2826:la_input[40] *1351:9 0 -7 *2826:la_input[40] *1479:9 0 -8 *839:5 *2820:la_data_out_mprj[40] 0.000569193 -9 *839:5 *2820:la_iena_mprj[40] 0 -10 *839:5 *2820:la_oenb_mprj[39] 0 -11 *839:5 *1349:19 0 -12 *839:8 *840:8 0.0239465 -13 *839:8 *844:8 5.00593e-05 -14 *839:8 *882:8 0.000543049 -15 *788:8 *839:8 0.0104552 -16 *817:8 *839:8 0.000392402 -17 *837:8 *839:8 0.0337825 -*RES -1 *2820:la_data_in_mprj[40] *839:5 45.9709 -2 *839:5 *839:7 4.5 -3 *839:7 *839:8 368.98 -4 *839:8 *2826:la_input[40] 48.0404 -*END - -*D_NET *840 0.0725419 -*CONN -*I *2826:la_input[41] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[41] O *D mgmt_protect -*CAP -1 *2826:la_input[41] 0.00200358 -2 *2820:la_data_in_mprj[41] 0.00206616 -3 *840:14 0.00299514 -4 *840:13 0.00112215 -5 *840:8 0.00273304 -6 *840:7 0.00260245 -7 *840:5 0.00206616 -8 *2826:la_input[41] *1361:15 2.78668e-05 -9 *2826:la_input[41] *1480:12 0 -10 *840:5 *2820:la_data_out_mprj[41] 0 -11 *840:5 *1114:7 0 -12 *840:5 *1479:21 0 -13 *840:8 *844:8 0.00396021 -14 *840:8 *1085:16 0.0156852 -15 *840:14 *1323:10 0.000111178 -16 *840:14 *1340:10 0.00364334 -17 *777:8 *840:14 0.00048572 -18 *808:8 *840:14 0.00875573 -19 *817:8 *840:8 0.000337446 -20 *839:8 *840:8 0.0239465 -*RES -1 *2820:la_data_in_mprj[41] *840:5 46.3861 -2 *840:5 *840:7 4.5 -3 *840:7 *840:8 264.16 -4 *840:8 *840:13 11.6625 -5 *840:13 *840:14 93.3422 -6 *840:14 *2826:la_input[41] 45.1336 -*END - -*D_NET *841 0.0732502 -*CONN -*I *2826:la_input[42] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[42] O *D mgmt_protect -*CAP -1 *2826:la_input[42] 0.000185929 -2 *2820:la_data_in_mprj[42] 0.00145372 -3 *841:11 0.00240239 -4 *841:8 0.00646579 -5 *841:7 0.00570304 -6 *2826:la_input[42] *1353:9 0 -7 *2826:la_input[42] *1481:9 0 -8 *841:7 *2820:la_data_out_mprj[42] 0.000645681 -9 *841:7 *2820:la_oenb_mprj[41] 0 -10 *841:7 *859:11 0.000421687 -11 *841:8 *843:8 0.0285817 -12 *841:8 *871:8 0.00318706 -13 *841:8 *1044:18 0.000127366 -14 *841:8 *1069:10 0.000392402 -15 *841:11 *2820:la_data_out_mprj[2] 0.000239726 -16 *841:11 *1481:9 0 -17 *827:7 *841:11 8.62625e-06 -18 *828:8 *841:8 0.0224811 -19 *830:8 *841:8 0.000705943 -20 *832:8 *841:8 0.000248003 -21 *833:8 *841:8 0 -22 *834:10 *841:8 0 -23 *835:8 *841:8 0 -24 *836:8 *841:8 0 -25 *837:8 *841:8 0 -*RES -1 *2820:la_data_in_mprj[42] *841:7 45.9031 -2 *841:7 *841:8 343.468 -3 *841:8 *841:11 49.7258 -4 *841:11 *2826:la_input[42] 5.47156 -*END - -*D_NET *842 0.0704274 -*CONN -*I *2826:la_input[43] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[43] O *D mgmt_protect -*CAP -1 *2826:la_input[43] 7.21667e-05 -2 *2820:la_data_in_mprj[43] 0.00162629 -3 *842:11 0.00226216 -4 *842:10 0.00218999 -5 *842:8 0.00344235 -6 *842:7 0.00506865 -7 *842:7 *2820:la_data_out_mprj[43] 0 -8 *842:7 *2820:la_oenb_mprj[42] 0 -9 *842:7 *1115:7 0.000358846 -10 *842:8 *846:10 0.000193104 -11 *842:8 *847:10 0.00246762 -12 *842:8 *848:8 0.000801404 -13 *842:8 *850:8 0.0178801 -14 *842:8 *851:8 0.015958 -15 *842:8 *1064:24 0.00651081 -16 *842:8 *1067:10 0.00895952 -17 *842:11 *2820:la_data_out_mprj[4] 0.000808041 -18 *842:11 *849:7 0.000105305 -19 *842:11 *1354:7 0 -20 *842:11 *1482:12 0 -21 *811:8 *842:8 0.000995723 -22 *812:8 *842:8 0.00027469 -23 *815:8 *842:8 0.000452674 -*RES -1 *2820:la_data_in_mprj[43] *842:7 43.4116 -2 *842:7 *842:8 334.04 -3 *842:8 *842:10 4.5 -4 *842:10 *842:11 48.5479 -5 *842:11 *2826:la_input[43] 2.05183 -*END - -*D_NET *843 0.0725692 -*CONN -*I *2826:la_input[44] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[44] O *D mgmt_protect -*CAP -1 *2826:la_input[44] 0.00210991 -2 *2820:la_data_in_mprj[44] 0.00148667 -3 *843:10 0.00210991 -4 *843:8 0.00315605 -5 *843:7 0.00464272 -6 *2826:la_input[44] *2820:la_data_out_mprj[6] 0.00013702 -7 *2826:la_input[44] *2820:la_iena_mprj[6] 0.000645434 -8 *2826:la_input[44] *1355:9 0 -9 *2826:la_input[44] *1482:15 0 -10 *843:7 *2820:la_data_out_mprj[44] 0.000362769 -11 *843:7 *2820:la_oenb_mprj[43] 0 -12 *843:7 *1371:15 0.000432018 -13 *843:7 *1494:15 0.000156641 -14 *843:8 *845:8 0.0240854 -15 *843:8 *846:10 1.41689e-05 -16 *843:8 *846:16 0.000224395 -17 *843:8 *1044:18 0.00308083 -18 *843:8 *1069:10 0.000126544 -19 *810:10 *843:8 0.00121705 -20 *841:8 *843:8 0.0285817 -*RES -1 *2820:la_data_in_mprj[44] *843:7 45.4878 -2 *843:7 *843:8 323.503 -3 *843:8 *843:10 4.5 -4 *843:10 *2826:la_input[44] 47.3998 -*END - -*D_NET *844 0.0636233 -*CONN -*I *2826:la_input[45] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[45] O *D mgmt_protect -*CAP -1 *2826:la_input[45] 0.00195546 -2 *2820:la_data_in_mprj[45] 0.00176864 -3 *844:8 0.00575883 -4 *844:7 0.00380336 -5 *844:5 0.00176864 -6 *2826:la_input[45] *2820:la_data_out_mprj[9] 0 -7 *2826:la_input[45] *2820:la_oenb_mprj[8] 0.000103626 -8 *2826:la_input[45] *1097:15 0 -9 *2826:la_input[45] *1356:7 0 -10 *2826:la_input[45] *1484:9 0 -11 *844:5 *2820:la_data_out_mprj[45] 0 -12 *844:5 *2820:la_oenb_mprj[44] 0 -13 *844:5 *1369:15 0.00134487 -14 *844:5 *1487:15 0 -15 *844:8 *1085:16 0.0156894 -16 *844:8 *1097:16 0.0268776 -17 *844:8 *1369:10 0 -18 *788:8 *844:8 0.00042956 -19 *817:8 *844:8 0.000112976 -20 *839:8 *844:8 5.00593e-05 -21 *840:8 *844:8 0.00396021 -*RES -1 *2820:la_data_in_mprj[45] *844:5 47.2166 -2 *844:5 *844:7 4.5 -3 *844:7 *844:8 310.747 -4 *844:8 *2826:la_input[45] 46.7947 -*END - -*D_NET *845 0.0672577 -*CONN -*I *2826:la_input[46] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[46] O *D mgmt_protect -*CAP -1 *2826:la_input[46] 0.00190884 -2 *2820:la_data_in_mprj[46] 0.00156879 -3 *845:16 0.00265936 -4 *845:8 0.00352235 -5 *845:7 0.00434061 -6 *2826:la_input[46] *2820:la_iena_mprj[11] 0 -7 *2826:la_input[46] *1357:9 0 -8 *2826:la_input[46] *1439:19 0 -9 *2826:la_input[46] *1485:9 0 -10 *845:7 *2820:la_data_out_mprj[46] 0.000362101 -11 *845:7 *2820:la_iena_mprj[46] 0 -12 *845:7 *2820:la_oenb_mprj[45] 0 -13 *845:7 *1496:15 0.000633417 -14 *845:7 *1497:15 0 -15 *845:8 *846:10 0.0256478 -16 *845:8 *1069:10 0.000118462 -17 *845:16 *2826:la_input[47] 0 -18 *845:16 *1081:16 0.000681778 -19 *845:16 *1340:10 0.000956273 -20 *845:16 *1486:7 0.000142643 -21 *808:8 *845:16 0.000629908 -22 *843:8 *845:8 0.0240854 -*RES -1 *2820:la_data_in_mprj[46] *845:7 45.0726 -2 *845:7 *845:8 275.807 -3 *845:8 *845:16 45.4382 -4 *845:16 *2826:la_input[46] 40.6336 -*END - -*D_NET *846 0.0672646 -*CONN -*I *2826:la_input[47] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[47] O *D mgmt_protect -*CAP -1 *2826:la_input[47] 0.00220619 -2 *2820:la_data_in_mprj[47] 0.00127081 -3 *846:16 0.00224608 -4 *846:10 0.0027698 -5 *846:9 0.00400072 -6 *2826:la_input[47] *1449:13 0.000498957 -7 *2826:la_input[47] *1486:7 0 -8 *846:9 *2820:la_data_out_mprj[47] 0 -9 *846:9 *2820:la_oenb_mprj[46] 0.00220616 -10 *846:9 *1498:15 0.000246949 -11 *846:10 *847:10 0.0246388 -12 *810:10 *846:16 0.000171288 -13 *811:8 *846:10 0.000912545 -14 *811:8 *846:16 1.67988e-05 -15 *842:8 *846:10 0.000193104 -16 *843:8 *846:10 1.41689e-05 -17 *843:8 *846:16 0.000224395 -18 *845:8 *846:10 0.0256478 -19 *845:16 *2826:la_input[47] 0 -*RES -1 *2820:la_data_in_mprj[47] *846:9 46.5138 -2 *846:9 *846:10 283.017 -3 *846:10 *846:16 7.46592 -4 *846:16 *2826:la_input[47] 48.9387 -*END - -*D_NET *847 0.0621357 -*CONN -*I *2826:la_input[48] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[48] O *D mgmt_protect -*CAP -1 *2826:la_input[48] 0.00208164 -2 *2820:la_data_in_mprj[48] 0.00144508 -3 *847:12 0.00208164 -4 *847:10 0.00282839 -5 *847:9 0.00427347 -6 *2826:la_input[48] *2820:la_oenb_mprj[15] 0.000212541 -7 *2826:la_input[48] *1089:15 0.000736209 -8 *2826:la_input[48] *1359:9 0 -9 *2826:la_input[48] *1487:9 0 -10 *847:9 *2820:la_data_out_mprj[48] 0 -11 *847:9 *2820:la_oenb_mprj[47] 0.00133089 -12 *847:9 *1501:15 0.000244447 -13 *847:10 *851:8 0.019795 -14 *842:8 *847:10 0.00246762 -15 *846:10 *847:10 0.0246388 -*RES -1 *2820:la_data_in_mprj[48] *847:9 46.0985 -2 *847:9 *847:10 274.143 -3 *847:10 *847:12 4.5 -4 *847:12 *2826:la_input[48] 49.7692 -*END - -*D_NET *848 0.0585258 -*CONN -*I *2826:la_input[49] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[49] O *D mgmt_protect -*CAP -1 *2826:la_input[49] 7.21667e-05 -2 *2820:la_data_in_mprj[49] 0.00170392 -3 *848:11 0.00233691 -4 *848:10 0.00226474 -5 *848:8 0.00260846 -6 *848:7 0.00431238 -7 *848:7 *2820:la_data_out_mprj[49] 0 -8 *848:7 *2820:la_oenb_mprj[48] 0 -9 *848:8 *850:8 0.0226621 -10 *848:8 *852:8 0.0188713 -11 *848:8 *1091:20 0.00222976 -12 *848:11 *2820:la_data_out_mprj[18] 0.00012611 -13 *848:11 *1091:19 0 -14 *848:11 *1360:7 0 -15 *848:11 *1488:7 0 -16 *815:8 *848:8 0.000536595 -17 *842:8 *848:8 0.000801404 -*RES -1 *2820:la_data_in_mprj[49] *848:7 42.5811 -2 *848:7 *848:8 263.605 -3 *848:8 *848:10 4.5 -4 *848:10 *848:11 49.3784 -5 *848:11 *2826:la_input[49] 2.05183 -*END - -*D_NET *849 0.151268 -*CONN -*I *2826:la_input[4] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[4] O *D mgmt_protect -*CAP -1 *2826:la_input[4] 0.00137119 -2 *2820:la_data_in_mprj[4] 0.00212126 -3 *849:14 0.00642835 -4 *849:13 0.0053241 -5 *849:8 0.00424316 -6 *849:7 0.00609749 -7 *2826:la_input[4] *1361:9 0 -8 *2826:la_input[4] *1489:9 0 -9 *849:7 *2820:la_data_out_mprj[4] 0.00049962 -10 *849:7 *2820:la_oenb_mprj[3] 0 -11 *849:7 *1354:7 0 -12 *849:7 *1354:13 9.53674e-05 -13 *849:8 *882:8 0.0500987 -14 *849:8 *1070:10 0.00136586 -15 *849:8 *1323:10 0.000442349 -16 *849:13 *1070:9 0.000485569 -17 *849:14 *882:14 0.00986968 -18 *849:14 *1105:10 0.000247042 -19 *849:14 *1127:10 0.000698218 -20 *849:14 *1149:10 0.0085842 -21 *849:14 *1383:10 0.00106437 -22 *777:14 *849:14 0 -23 *817:8 *849:8 0.0505341 -24 *836:8 *849:8 0.000883399 -25 *837:8 *849:8 0.000708836 -26 *842:11 *849:7 0.000105305 -*RES -1 *2820:la_data_in_mprj[4] *849:7 49.132 -2 *849:7 *849:8 68.3556 -3 *849:8 *849:13 16.5524 -4 *849:13 *849:14 294.663 -5 *849:14 *2826:la_input[4] 39.7354 -*END - -*D_NET *850 0.0569793 -*CONN -*I *2826:la_input[50] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[50] O *D mgmt_protect -*CAP -1 *2826:la_input[50] 7.21667e-05 -2 *2820:la_data_in_mprj[50] 0.00152943 -3 *850:11 0.00193283 -4 *850:10 0.00186066 -5 *850:8 0.00245197 -6 *850:7 0.0039814 -7 *850:7 *2820:la_data_out_mprj[50] 0.000368439 -8 *850:7 *2820:la_oenb_mprj[49] 0 -9 *850:8 *851:8 0.00245096 -10 *850:8 *852:8 0.000381442 -11 *850:11 *2820:la_data_out_mprj[20] 0 -12 *850:11 *2820:la_iena_mprj[20] 0.000173831 -13 *850:11 *2820:la_oenb_mprj[20] 0.00123406 -14 *850:11 *1074:13 0 -15 *850:11 *1490:9 0 -16 *842:8 *850:8 0.0178801 -17 *848:8 *850:8 0.0226621 -*RES -1 *2820:la_data_in_mprj[50] *850:7 42.9963 -2 *850:7 *850:8 252.513 -3 *850:8 *850:10 4.5 -4 *850:10 *850:11 48.9631 -5 *850:11 *2826:la_input[50] 2.05183 -*END - -*D_NET *851 0.0518817 -*CONN -*I *2826:la_input[51] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[51] O *D mgmt_protect -*CAP -1 *2826:la_input[51] 7.21667e-05 -2 *2820:la_data_in_mprj[51] 0.00166632 -3 *851:11 0.00208729 -4 *851:10 0.00201512 -5 *851:8 0.00279115 -6 *851:7 0.00445746 -7 *851:7 *2820:la_data_out_mprj[51] 0 -8 *851:7 *2820:la_iena_mprj[51] 0 -9 *851:7 *2820:la_oenb_mprj[50] 0 -10 *851:7 *858:13 4.20662e-05 -11 *851:8 *852:8 0.000147426 -12 *851:11 *2820:la_data_out_mprj[23] 0.00039875 -13 *851:11 *2820:la_oenb_mprj[22] 0 -14 *851:11 *1363:9 0 -15 *851:11 *1491:7 0 -16 *842:8 *851:8 0.015958 -17 *847:10 *851:8 0.019795 -18 *850:8 *851:8 0.00245096 -*RES -1 *2820:la_data_in_mprj[51] *851:7 43.8268 -2 *851:7 *851:8 240.312 -3 *851:8 *851:10 4.5 -4 *851:10 *851:11 48.1326 -5 *851:11 *2826:la_input[51] 2.05183 -*END - -*D_NET *852 0.0462483 -*CONN -*I *2826:la_input[52] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[52] O *D mgmt_protect -*CAP -1 *2826:la_input[52] 7.21667e-05 -2 *2820:la_data_in_mprj[52] 0.00145804 -3 *852:11 0.00207069 -4 *852:10 0.00199852 -5 *852:8 0.00360282 -6 *852:7 0.00506086 -7 *852:7 *2820:la_data_out_mprj[52] 0.000332124 -8 *852:7 *2820:la_iena_mprj[51] 0 -9 *852:7 *2820:la_oenb_mprj[51] 0 -10 *852:8 *1091:20 0.00951946 -11 *852:8 *1098:16 0.00204948 -12 *852:11 *2820:la_data_out_mprj[25] 0.000684036 -13 *852:11 *1095:19 0 -14 *852:11 *1364:9 0 -15 *852:11 *1364:11 0 -16 *852:11 *1492:7 0 -17 *848:8 *852:8 0.0188713 -18 *850:8 *852:8 0.000381442 -19 *851:8 *852:8 0.000147426 -*RES -1 *2820:la_data_in_mprj[52] *852:7 42.1658 -2 *852:7 *852:8 229.22 -3 *852:8 *852:10 4.5 -4 *852:10 *852:11 49.7936 -5 *852:11 *2826:la_input[52] 2.05183 -*END - -*D_NET *853 0.0504291 -*CONN -*I *2826:la_input[53] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[53] O *D mgmt_protect -*CAP -1 *2826:la_input[53] 3.26469e-05 -2 *2820:la_data_in_mprj[53] 0.000851181 -3 *853:11 0.00291149 -4 *853:10 0.00287884 -5 *853:8 0.0022175 -6 *853:7 0.00306869 -7 *853:7 *2820:la_data_out_mprj[53] 0 -8 *853:7 *2820:la_iena_mprj[53] 0 -9 *853:7 *2820:la_oenb_mprj[52] 0 -10 *853:8 *855:8 0.0163609 -11 *853:8 *1364:14 0.019803 -12 *853:8 *1371:16 8.07497e-05 -13 *853:8 *1492:10 0.00166774 -14 *853:11 *2820:la_data_out_mprj[28] 0 -15 *853:11 *1098:15 0.00055632 -16 *853:11 *1365:9 0 -17 *824:7 *853:11 0 -18 *825:7 *853:11 0 -*RES -1 *2820:la_data_in_mprj[53] *853:7 25.5557 -2 *853:7 *853:8 218.128 -3 *853:8 *853:10 4.5 -4 *853:10 *853:11 66.4037 -5 *853:11 *2826:la_input[53] 0.928211 -*END - -*D_NET *854 0.0478078 -*CONN -*I *2826:la_input[54] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[54] O *D mgmt_protect -*CAP -1 *2826:la_input[54] 7.21667e-05 -2 *2820:la_data_in_mprj[54] 0.00064053 -3 *854:11 0.00303978 -4 *854:10 0.00296762 -5 *854:8 0.00241373 -6 *854:7 0.00305426 -7 *854:7 *2820:la_data_out_mprj[54] 0.000341064 -8 *854:7 *2820:la_iena_mprj[54] 0 -9 *854:7 *2820:la_oenb_mprj[53] 0 -10 *854:8 *855:8 0.000460332 -11 *854:8 *1108:16 0 -12 *854:8 *1109:16 7.39264e-05 -13 *854:8 *1111:14 0.0163143 -14 *854:8 *1341:10 0 -15 *854:8 *1492:10 0.0179959 -16 *854:11 *2820:la_iena_mprj[30] 0 -17 *854:11 *1102:15 0 -18 *854:11 *1366:9 0 -19 *854:11 *1494:9 0 -20 *828:7 *854:11 0.000434337 -*RES -1 *2820:la_data_in_mprj[54] *854:7 24.31 -2 *854:7 *854:8 205.372 -3 *854:8 *854:10 4.5 -4 *854:10 *854:11 67.6495 -5 *854:11 *2826:la_input[54] 2.05183 -*END - -*D_NET *855 0.0464661 -*CONN -*I *2826:la_input[55] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[55] O *D mgmt_protect -*CAP -1 *2826:la_input[55] 7.21667e-05 -2 *2820:la_data_in_mprj[55] 0.000805806 -3 *855:11 0.00252914 -4 *855:10 0.00245698 -5 *855:8 0.00211199 -6 *855:7 0.0029178 -7 *855:7 *2820:la_data_out_mprj[55] 0 -8 *855:7 *2820:la_iena_mprj[55] 0 -9 *855:7 *2820:la_oenb_mprj[54] 0 -10 *855:8 *1111:14 0.000195516 -11 *855:8 *1371:16 0.000103574 -12 *855:8 *1492:10 0.0161462 -13 *855:11 *2820:la_oenb_mprj[32] 0 -14 *855:11 *1108:13 0.00230574 -15 *855:11 *1367:9 0 -16 *855:11 *1495:9 0 -17 *853:8 *855:8 0.0163609 -18 *854:8 *855:8 0.000460332 -*RES -1 *2820:la_data_in_mprj[55] *855:7 25.1405 -2 *855:7 *855:8 193.725 -3 *855:8 *855:10 4.5 -4 *855:10 *855:11 66.819 -5 *855:11 *2826:la_input[55] 2.05183 -*END - -*D_NET *856 0.0432864 -*CONN -*I *2826:la_input[56] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[56] O *D mgmt_protect -*CAP -1 *2826:la_input[56] 7.21667e-05 -2 *2820:la_data_in_mprj[56] 0.000581834 -3 *856:11 0.00265955 -4 *856:10 0.00258738 -5 *856:8 0.00192622 -6 *856:7 0.00250805 -7 *856:7 *2820:la_data_out_mprj[56] 0.000327813 -8 *856:7 *2820:la_iena_mprj[56] 0 -9 *856:7 *2820:la_oenb_mprj[55] 0 -10 *856:8 *857:8 0.0150446 -11 *856:8 *1109:16 0.0146664 -12 *856:8 *1110:16 0.000786331 -13 *856:8 *1111:14 0.000698398 -14 *856:8 *1371:16 0 -15 *856:11 *2820:la_data_out_mprj[35] 0 -16 *856:11 *2820:la_iena_mprj[35] 0 -17 *856:11 *2820:la_oenb_mprj[34] 0.000891782 -18 *856:11 *1368:9 0 -19 *856:11 *1496:9 0 -20 *833:7 *856:11 0.000535887 -*RES -1 *2820:la_data_in_mprj[56] *856:7 23.0642 -2 *856:7 *856:8 182.079 -3 *856:8 *856:10 4.5 -4 *856:10 *856:11 68.8952 -5 *856:11 *2826:la_input[56] 2.05183 -*END - -*D_NET *857 0.0411517 -*CONN -*I *2826:la_input[57] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[57] O *D mgmt_protect -*CAP -1 *2826:la_input[57] 7.21667e-05 -2 *2820:la_data_in_mprj[57] 0.000690911 -3 *857:11 0.00273449 -4 *857:10 0.00266233 -5 *857:8 0.00192254 -6 *857:7 0.00261345 -7 *857:7 *2820:la_data_out_mprj[57] 0 -8 *857:7 *2820:la_iena_mprj[57] 0 -9 *857:7 *2820:la_oenb_mprj[56] 0 -10 *857:8 *1110:16 0.0136005 -11 *857:8 *1112:10 0.000394687 -12 *857:8 *1113:10 3.76478e-05 -13 *857:11 *2820:la_data_out_mprj[37] 0.00100936 -14 *857:11 *2820:la_iena_mprj[37] 0 -15 *857:11 *2820:la_oenb_mprj[36] 0 -16 *857:11 *1357:15 0 -17 *857:11 *1369:9 0 -18 *857:11 *1497:9 0 -19 *835:7 *857:11 0.000369026 -20 *856:8 *857:8 0.0150446 -*RES -1 *2820:la_data_in_mprj[57] *857:7 22.649 -2 *857:7 *857:8 170.432 -3 *857:8 *857:10 4.5 -4 *857:10 *857:11 69.3105 -5 *857:11 *2826:la_input[57] 2.05183 -*END - -*D_NET *858 0.0357645 -*CONN -*I *2826:la_input[58] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[58] O *D mgmt_protect -*CAP -1 *2826:la_input[58] 7.21667e-05 -2 *2820:la_data_in_mprj[58] 0.000785432 -3 *858:17 0.00170162 -4 *858:16 0.00162946 -5 *858:14 0.00142646 -6 *858:13 0.00153344 -7 *858:8 0.000681413 -8 *858:7 0.00135986 -9 *858:7 *2820:la_data_out_mprj[58] 0.000483558 -10 *858:7 *2820:la_oenb_mprj[57] 0 -11 *858:8 *1115:10 0.00582535 -12 *858:8 *1367:22 0.00378638 -13 *858:8 *1368:16 0.000349749 -14 *858:8 *1370:16 0.00023007 -15 *858:13 *2820:la_oenb_mprj[50] 1.09738e-05 -16 *858:14 *1363:16 0.000882819 -17 *858:14 *1365:16 0.00186537 -18 *858:14 *1370:16 0.00465051 -19 *858:14 *1495:16 0.000292759 -20 *858:14 *1499:16 0.0046016 -21 *858:17 *1349:19 0.00193565 -22 *858:17 *1365:15 0.00144828 -23 *858:17 *1366:15 0.000169479 -24 *858:17 *1370:9 0 -25 *858:17 *1498:9 0 -26 *851:7 *858:13 4.20662e-05 -*RES -1 *2820:la_data_in_mprj[58] *858:7 30.1235 -2 *858:7 *858:8 61.7298 -3 *858:8 *858:13 11.2472 -4 *858:13 *858:14 97.2244 -5 *858:14 *858:16 4.5 -6 *858:16 *858:17 59.7597 -7 *858:17 *2826:la_input[58] 2.05183 -*END - -*D_NET *859 0.0357245 -*CONN -*I *2826:la_input[59] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[59] O *D mgmt_protect -*CAP -1 *2826:la_input[59] 7.21667e-05 -2 *2820:la_data_in_mprj[59] 0.000920225 -3 *859:11 0.00251252 -4 *859:10 0.00244035 -5 *859:8 0.00126035 -6 *859:7 0.00218058 -7 *859:7 *2820:la_data_out_mprj[59] 0 -8 *859:7 *2820:la_iena_mprj[58] 0 -9 *859:7 *2820:la_oenb_mprj[58] 0 -10 *859:8 *1114:10 0.0131861 -11 *859:8 *1115:10 0.000282235 -12 *859:8 *1354:14 0.00130099 -13 *859:8 *1356:10 0.000524279 -14 *859:8 *1369:16 0.0101006 -15 *859:8 *1501:16 0.000520301 -16 *859:11 *2820:la_data_out_mprj[42] 0 -17 *859:11 *2820:la_iena_mprj[41] 0 -18 *859:11 *2820:la_iena_mprj[42] 0 -19 *859:11 *2820:la_oenb_mprj[41] 2.1203e-06 -20 *859:11 *1367:15 0 -21 *859:11 *1371:9 0 -22 *859:11 *1499:9 0 -23 *841:7 *859:11 0.000421687 -*RES -1 *2820:la_data_in_mprj[59] *859:7 28.8777 -2 *859:7 *859:8 147.139 -3 *859:8 *859:10 4.5 -4 *859:10 *859:11 63.0817 -5 *859:11 *2826:la_input[59] 2.05183 -*END - -*D_NET *860 0.195866 -*CONN -*I *2826:la_input[5] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[5] O *D mgmt_protect -*CAP -1 *2826:la_input[5] 7.21667e-05 -2 *2820:la_data_in_mprj[5] 0.00201815 -3 *860:11 0.00196257 -4 *860:10 0.00189041 -5 *860:8 0.00812152 -6 *860:7 0.0101397 -7 *860:7 *2820:la_data_out_mprj[5] 0 -8 *860:7 *2820:la_oenb_mprj[4] 0 -9 *860:8 *893:8 0.00093626 -10 *860:8 *904:8 0.075663 -11 *860:8 *1064:20 0.000441045 -12 *860:8 *1067:10 0.00529309 -13 *860:8 *1069:10 0.000325783 -14 *860:11 *1372:7 0 -15 *860:11 *1500:7 0 -16 *799:20 *860:8 0.00637173 -17 *838:8 *860:8 0.0826304 -*RES -1 *2820:la_data_in_mprj[5] *860:7 42.488 -2 *860:7 *860:8 107.289 -3 *860:8 *860:10 3.36879 -4 *860:10 *860:11 48.3402 -5 *860:11 *2826:la_input[5] 2.05183 -*END - -*D_NET *861 0.028071 -*CONN -*I *2826:la_input[60] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[60] O *D mgmt_protect -*CAP -1 *2826:la_input[60] 0.00119323 -2 *2820:la_data_in_mprj[60] 0.00168662 -3 *861:8 0.00272081 -4 *861:7 0.00152758 -5 *861:5 0.00168662 -6 *2826:la_input[60] *2820:la_iena_mprj[44] 6.08697e-06 -7 *2826:la_input[60] *1371:15 0.000172527 -8 *2826:la_input[60] *1373:9 0 -9 *2826:la_input[60] *1501:9 0 -10 *861:5 *2820:la_data_out_mprj[60] 0.00110377 -11 *861:5 *2820:la_oenb_mprj[59] 0 -12 *861:8 *862:8 0.00364489 -13 *861:8 *1355:10 0.000167076 -14 *861:8 *1373:10 0 -15 *861:8 *1374:10 0.000363723 -16 *861:8 *1483:10 0.000163928 -17 *861:8 *1484:10 0.000207488 -18 *861:8 *1485:10 3.51801e-05 -19 *861:8 *1502:10 0.0106179 -20 *861:8 *1506:12 0.00277355 -*RES -1 *2820:la_data_in_mprj[60] *861:5 57.1827 -2 *861:5 *861:7 4.5 -3 *861:7 *861:8 135.492 -4 *861:8 *2826:la_input[60] 36.8286 -*END - -*D_NET *862 0.0225719 -*CONN -*I *2826:la_input[61] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[61] O *D mgmt_protect -*CAP -1 *2826:la_input[61] 0.00120513 -2 *2820:la_data_in_mprj[61] 0.00217731 -3 *862:8 0.00324491 -4 *862:7 0.00203978 -5 *862:5 0.00217731 -6 *2826:la_input[61] *1374:9 0 -7 *2826:la_input[61] *1497:15 0 -8 *2826:la_input[61] *1498:15 0 -9 *2826:la_input[61] *1502:9 0 -10 *862:5 *2820:la_data_out_mprj[61] 0 -11 *862:5 *2820:la_iena_mprj[60] 0 -12 *862:5 *2820:la_oenb_mprj[60] 0 -13 *862:5 *2826:la_input[67] 0 -14 *862:5 *866:13 0 -15 *862:8 *866:16 0.0040288 -16 *862:8 *1373:10 0.000500717 -17 *862:8 *1506:12 0.00355306 -18 *861:8 *862:8 0.00364489 -*RES -1 *2820:la_data_in_mprj[61] *862:5 58.0132 -2 *862:5 *862:7 4.5 -3 *862:7 *862:8 123.291 -4 *862:8 *2826:la_input[61] 35.9981 -*END - -*D_NET *863 0.0289509 -*CONN -*I *2826:la_input[62] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[62] O *D mgmt_protect -*CAP -1 *2826:la_input[62] 0.00134831 -2 *2820:la_data_in_mprj[62] 0.00155247 -3 *863:8 0.00210722 -4 *863:7 0.000758912 -5 *863:5 0.00155247 -6 *2826:la_input[62] *1375:9 0 -7 *2826:la_input[62] *1503:9 0 -8 *863:5 *2820:la_data_out_mprj[62] 0.00109515 -9 *863:5 *2820:la_iena_mprj[62] 0 -10 *863:5 *2820:la_oenb_mprj[61] 0 -11 *863:8 *1117:10 0.000636915 -12 *863:8 *1375:10 0.0106623 -13 *863:8 *1376:10 0.00923711 -*RES -1 *2820:la_data_in_mprj[62] *863:5 54.6912 -2 *863:5 *863:7 4.5 -3 *863:7 *863:8 112.199 -4 *863:8 *2826:la_input[62] 39.3201 -*END - -*D_NET *864 0.0199845 -*CONN -*I *2826:la_input[63] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[63] O *D mgmt_protect -*CAP -1 *2826:la_input[63] 0.00106446 -2 *2820:la_data_in_mprj[63] 0.00227358 -3 *864:8 0.00250242 -4 *864:7 0.00143797 -5 *864:5 0.00227358 -6 *2826:la_input[63] *1376:9 0 -7 *2826:la_input[63] *1504:9 0 -8 *864:5 *2820:la_data_out_mprj[63] 0 -9 *864:5 *2820:la_oenb_mprj[62] 0 -10 *864:5 *1381:9 0 -11 *864:8 *867:8 0.00376326 -12 *864:8 *1120:10 0.000482144 -13 *864:8 *1121:10 0.00131458 -14 *864:8 *1373:10 0.00163805 -15 *864:8 *1507:10 0.00323442 -*RES -1 *2820:la_data_in_mprj[63] *864:5 61.3352 -2 *864:5 *864:7 4.5 -3 *864:7 *864:8 99.9974 -4 *864:8 *2826:la_input[63] 32.6761 -*END - -*D_NET *865 0.0171447 -*CONN -*I *2826:la_input[64] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[64] O *D mgmt_protect -*CAP -1 *2826:la_input[64] 0.000956836 -2 *2820:la_data_in_mprj[64] 0.00190123 -3 *865:8 0.00236261 -4 *865:7 0.00140577 -5 *865:5 0.00190123 -6 *2826:la_input[64] *1377:9 0 -7 *2826:la_input[64] *1505:9 0 -8 *865:5 *2820:la_data_out_mprj[64] 0.00125928 -9 *865:5 *2820:la_iena_mprj[63] 0 -10 *865:5 *2820:la_oenb_mprj[63] 0 -11 *865:5 *1125:9 0 -12 *865:5 *1509:9 0 -13 *865:8 *869:8 0.000695917 -14 *865:8 *1120:10 0.000186188 -15 *865:8 *1122:10 0.000498026 -16 *865:8 *1123:10 0.000846705 -17 *865:8 *1124:14 0.0016943 -18 *865:8 *1377:10 0.00343663 -*RES -1 *2820:la_data_in_mprj[64] *865:5 64.6572 -2 *865:5 *865:7 4.5 -3 *865:7 *865:8 88.9054 -4 *865:8 *2826:la_input[64] 29.3541 -*END - -*D_NET *866 0.0191104 -*CONN -*I *2826:la_input[65] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[65] O *D mgmt_protect -*CAP -1 *2826:la_input[65] 0.00120758 -2 *2820:la_data_in_mprj[65] 0.00201559 -3 *866:16 0.00162224 -4 *866:13 0.00111273 -5 *866:5 0.00271367 -6 *2826:la_input[65] *1378:9 0 -7 *2826:la_input[65] *1506:9 0 -8 *866:5 *2820:la_data_out_mprj[65] 0 -9 *866:5 *2820:la_iena_mprj[64] 0 -10 *866:5 *2820:la_oenb_mprj[64] 0 -11 *866:13 *2820:la_data_out_mprj[61] 8.62625e-06 -12 *866:13 *2820:la_iena_mprj[61] 1.03986e-05 -13 *866:13 *1375:10 0.00095501 -14 *866:13 *1376:10 0.000207502 -15 *866:13 *1378:10 0 -16 *866:13 *1503:10 0.00116323 -17 *866:13 *1504:10 1.45065e-05 -18 *866:13 *1506:16 0.000807467 -19 *866:16 *1373:10 0.000260351 -20 *866:16 *1379:10 0.00286688 -21 *866:16 *1506:12 0.00011581 -22 *862:5 *866:13 0 -23 *862:8 *866:16 0.0040288 -*RES -1 *2820:la_data_in_mprj[65] *866:5 55.5217 -2 *866:5 *866:13 48.3589 -3 *866:13 *866:16 49.5917 -4 *866:16 *2826:la_input[65] 31.0828 -*END - -*D_NET *867 0.018709 -*CONN -*I *2826:la_input[66] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[66] O *D mgmt_protect -*CAP -1 *2826:la_input[66] 0.00108393 -2 *2820:la_data_in_mprj[66] 0.00173047 -3 *867:8 0.00164033 -4 *867:7 0.0005564 -5 *867:5 0.00173047 -6 *2826:la_input[66] *1379:9 0 -7 *2826:la_input[66] *1507:9 0 -8 *867:5 *2820:la_data_out_mprj[66] 0.00126877 -9 *867:5 *2820:la_oenb_mprj[65] 0 -10 *867:8 *1121:10 0.00474875 -11 *867:8 *1380:12 4.7835e-05 -12 *867:8 *1380:16 0.0001532 -13 *867:8 *1382:12 0.000908347 -14 *867:8 *1507:10 0.00107721 -15 *864:8 *867:8 0.00376326 -*RES -1 *2820:la_data_in_mprj[66] *867:5 61.7504 -2 *867:5 *867:7 4.5 -3 *867:7 *867:8 65.612 -4 *867:8 *2826:la_input[66] 32.2608 -*END - -*D_NET *868 0.0115699 -*CONN -*I *2826:la_input[67] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[67] O *D mgmt_protect -*CAP -1 *2826:la_input[67] 0.00150061 -2 *2820:la_data_in_mprj[67] 0.00179409 -3 *868:8 0.00346432 -4 *868:7 0.0019637 -5 *868:5 0.00179409 -6 *2826:la_input[67] *2820:la_iena_mprj[60] 0.000245367 -7 *2826:la_input[67] *1380:9 0 -8 *868:5 *2820:la_data_out_mprj[67] 0 -9 *868:5 *2820:la_oenb_mprj[66] 0 -10 *868:8 *1378:10 0 -11 *868:8 *1505:10 0.000807668 -12 *862:5 *2826:la_input[67] 0 -*RES -1 *2820:la_data_in_mprj[67] *868:5 49.7081 -2 *868:5 *868:7 4.5 -3 *868:7 *868:8 55.0746 -4 *868:8 *2826:la_input[67] 42.6177 -*END - -*D_NET *869 0.01377 -*CONN -*I *2826:la_input[68] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[68] O *D mgmt_protect -*CAP -1 *2826:la_input[68] 0.000946661 -2 *2820:la_data_in_mprj[68] 0.00187349 -3 *869:8 0.00136171 -4 *869:5 0.00228853 -5 *2826:la_input[68] *1381:9 0 -6 *2826:la_input[68] *1509:9 0 -7 *869:5 *2820:la_data_out_mprj[68] 0.00123363 -8 *869:5 *2820:la_oenb_mprj[67] 0 -9 *869:5 *1512:10 0 -10 *869:8 *872:11 0.00011818 -11 *869:8 *1124:14 0.00240629 -12 *869:8 *1377:10 0.00105701 -13 *869:8 *1384:12 0.000585491 -14 *869:8 *1508:10 0.00118891 -15 *869:8 *1510:12 1.41689e-05 -16 *865:8 *869:8 0.000695917 -*RES -1 *2820:la_data_in_mprj[68] *869:5 65.0725 -2 *869:5 *869:8 46.2641 -3 *869:8 *2826:la_input[68] 28.9388 -*END - -*D_NET *870 0.0126242 -*CONN -*I *2826:la_input[69] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[69] O *D mgmt_protect -*CAP -1 *2826:la_input[69] 0.00102112 -2 *2820:la_data_in_mprj[69] 0.00182738 -3 *870:10 0.00131258 -4 *870:5 0.00211883 -5 *2826:la_input[69] *1382:9 0 -6 *2826:la_input[69] *1510:9 0 -7 *870:5 *2820:la_data_out_mprj[69] 0 -8 *870:5 *2820:la_iena_mprj[68] 0 -9 *870:5 *2820:la_oenb_mprj[68] 0 -10 *870:5 *1128:7 0.00213273 -11 *870:10 *1123:10 0.000591935 -12 *870:10 *1126:12 0.00190029 -13 *870:10 *1380:16 0.00041958 -14 *870:10 *1510:12 0.000756736 -15 *870:10 *1512:10 0.000543039 -*RES -1 *2820:la_data_in_mprj[69] *870:5 63.4115 -2 *870:5 *870:10 39.1174 -3 *870:10 *2826:la_input[69] 26.0998 -*END - -*D_NET *871 0.164723 -*CONN -*I *2826:la_input[6] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[6] O *D mgmt_protect -*CAP -1 *2826:la_input[6] 0.00189411 -2 *2820:la_data_in_mprj[6] 0.00175583 -3 *871:10 0.00189411 -4 *871:8 0.00633085 -5 *871:7 0.00808668 -6 *2826:la_input[6] *1383:9 0 -7 *2826:la_input[6] *1511:9 0 -8 *871:7 *2820:la_data_out_mprj[6] 0.000529736 -9 *871:7 *2820:la_oenb_mprj[5] 0 -10 *871:7 *1482:15 0.000293898 -11 *871:8 *1033:10 0.0061135 -12 *871:8 *1044:10 0.056888 -13 *871:8 *1044:14 3.35976e-05 -14 *871:8 *1044:18 0.00203802 -15 *871:8 *1069:10 0.000102438 -16 *799:14 *871:8 0.00514979 -17 *827:8 *871:8 0.0696262 -18 *828:8 *871:8 1.41689e-05 -19 *838:8 *871:8 0.000784804 -20 *841:8 *871:8 0.00318706 -*RES -1 *2820:la_data_in_mprj[6] *871:7 45.4878 -2 *871:7 *871:8 766.631 -3 *871:8 *871:10 4.5 -4 *871:10 *2826:la_input[6] 48.5234 -*END - -*D_NET *872 0.00848524 -*CONN -*I *2826:la_input[70] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[70] O *D mgmt_protect -*CAP -1 *2826:la_input[70] 7.21667e-05 -2 *2820:la_data_in_mprj[70] 0.00211181 -3 *872:11 0.00138379 -4 *872:5 0.00342344 -5 *872:5 *2820:la_data_out_mprj[70] 0.000600183 -6 *872:5 *2820:la_iena_mprj[70] 0 -7 *872:5 *2820:la_oenb_mprj[69] 0 -8 *872:5 *2826:la_input[71] 4.01708e-05 -9 *872:5 *1385:10 0 -10 *872:11 *2820:la_oenb_mprj[67] 1.44611e-05 -11 *872:11 *1384:9 0 -12 *872:11 *1384:12 0.000721032 -13 *872:11 *1512:10 0 -14 *869:8 *872:11 0.00011818 -*RES -1 *2820:la_data_in_mprj[70] *872:5 65.4877 -2 *872:5 *872:11 49.4424 -3 *872:11 *2826:la_input[70] 2.05183 -*END - -*D_NET *873 0.00775508 -*CONN -*I *2826:la_input[71] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[71] O *D mgmt_protect -*CAP -1 *2826:la_input[71] 0.00119305 -2 *2820:la_data_in_mprj[71] 0.00185103 -3 *873:5 0.00304408 -4 *2826:la_input[71] *2820:la_iena_mprj[70] 0 -5 *2826:la_input[71] *1385:10 0.000629908 -6 *2826:la_input[71] *1513:7 0 -7 *873:5 *2820:la_data_out_mprj[71] 0 -8 *873:5 *2820:la_oenb_mprj[70] 0 -9 *873:5 *1513:7 0.00099685 -10 *872:5 *2826:la_input[71] 4.01708e-05 -*RES -1 *2820:la_data_in_mprj[71] *873:5 62.9962 -2 *873:5 *2826:la_input[71] 42.3391 -*END - -*D_NET *874 0.00766518 -*CONN -*I *2826:la_input[72] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[72] O *D mgmt_protect -*CAP -1 *2826:la_input[72] 3.26469e-05 -2 *2820:la_data_in_mprj[72] 0.000920683 -3 *874:11 0.00175524 -4 *874:9 0.00264327 -5 *874:9 *2820:la_data_out_mprj[72] 0.00165476 -6 *874:9 *2820:la_iena_mprj[71] 0 -7 *874:9 *2820:la_iena_mprj[72] 0 -8 *874:9 *2820:la_oenb_mprj[71] 0 -9 *874:11 *2820:la_iena_mprj[72] 0.000658569 -10 *874:11 *2820:la_oenb_mprj[72] 0 -11 *874:11 *1386:12 0 -*RES -1 *2820:la_data_in_mprj[72] *874:9 35.3819 -2 *874:9 *874:11 54.6667 -3 *874:11 *2826:la_input[72] 0.928211 -*END - -*D_NET *875 0.00760121 -*CONN -*I *2826:la_input[73] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[73] O *D mgmt_protect -*CAP -1 *2826:la_input[73] 7.21667e-05 -2 *2820:la_data_in_mprj[73] 0.00139484 -3 *875:11 0.00235114 -4 *875:10 0.00367381 -5 *875:10 *2820:la_data_out_mprj[72] 4.95605e-05 -6 *875:10 *2820:la_data_out_mprj[73] 0 -7 *875:10 *2820:la_oenb_mprj[72] 0 -8 *875:10 *876:10 5.96914e-05 -9 *875:11 *2820:la_data_out_mprj[74] 0 -10 *875:11 *2820:la_oenb_mprj[74] 0 -11 *875:11 *877:7 0 -12 *875:11 *1387:7 0 -13 *875:11 *1515:7 0 -*RES -1 *2820:la_data_in_mprj[73] *875:10 47.1329 -2 *875:10 *875:11 62.2512 -3 *875:11 *2826:la_input[73] 2.05183 -*END - -*D_NET *876 0.00890255 -*CONN -*I *2826:la_input[74] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[74] O *D mgmt_protect -*CAP -1 *2826:la_input[74] 7.21667e-05 -2 *2820:la_data_in_mprj[74] 0.000784817 -3 *876:11 0.00232587 -4 *876:10 0.00309264 -5 *876:7 0.00162375 -6 *876:7 *2820:la_data_out_mprj[74] 0.000532814 -7 *876:7 *2820:la_iena_mprj[73] 0 -8 *876:7 *2820:la_oenb_mprj[73] 0 -9 *876:10 *877:10 0 -10 *876:10 *878:8 0 -11 *876:10 *1132:12 0 -12 *876:10 *1133:10 0 -13 *876:10 *1516:12 0.000410811 -14 *876:11 *2820:la_data_out_mprj[77] 0 -15 *876:11 *2820:la_iena_mprj[76] 0 -16 *876:11 *1388:7 0 -17 *876:11 *1516:7 0 -18 *875:10 *876:10 5.96914e-05 -*RES -1 *2820:la_data_in_mprj[74] *876:7 31.3693 -2 *876:7 *876:10 29.0714 -3 *876:10 *876:11 60.5902 -4 *876:11 *2826:la_input[74] 2.05183 -*END - -*D_NET *877 0.0136606 -*CONN -*I *2826:la_input[75] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[75] O *D mgmt_protect -*CAP -1 *2826:la_input[75] 7.21667e-05 -2 *2820:la_data_in_mprj[75] 0.00100274 -3 *877:11 0.00218512 -4 *877:10 0.00246309 -5 *877:7 0.00135288 -6 *877:7 *2820:la_data_out_mprj[75] 0 -7 *877:7 *2820:la_oenb_mprj[74] 0 -8 *877:7 *1515:7 0.000402434 -9 *877:10 *1132:12 0.00250954 -10 *877:10 *1133:10 0.00325304 -11 *877:10 *1517:12 0.00041958 -12 *877:11 *881:7 0 -13 *877:11 *1389:7 0 -14 *875:11 *877:7 0 -15 *876:10 *877:10 0 -*RES -1 *2820:la_data_in_mprj[75] *877:7 34.6913 -2 *877:7 *877:10 41.2726 -3 *877:10 *877:11 57.2682 -4 *877:11 *2826:la_input[75] 2.05183 -*END - -*D_NET *878 0.0127016 -*CONN -*I *2826:la_input[76] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[76] O *D mgmt_protect -*CAP -1 *2826:la_input[76] 7.21667e-05 -2 *2820:la_data_in_mprj[76] 0.000905669 -3 *878:11 0.00220069 -4 *878:10 0.00212852 -5 *878:8 0.00108658 -6 *878:7 0.00199225 -7 *878:7 *2820:la_data_out_mprj[76] 0.000456016 -8 *878:7 *2820:la_oenb_mprj[75] 0 -9 *878:7 *1388:7 0 -10 *878:8 *879:8 0 -11 *878:8 *881:8 0 -12 *878:8 *1133:10 0.00359103 -13 *878:8 *1135:10 0.000238216 -14 *878:8 *1390:12 3.04269e-05 -15 *878:8 *1516:12 0 -16 *878:11 *1390:7 0 -17 *878:11 *1518:7 0 -18 *876:10 *878:8 0 -*RES -1 *2820:la_data_in_mprj[76] *878:7 33.8608 -2 *878:7 *878:8 47.8647 -3 *878:8 *878:10 4.5 -4 *878:10 *878:11 58.0987 -5 *878:11 *2826:la_input[76] 2.05183 -*END - -*D_NET *879 0.0169029 -*CONN -*I *2826:la_input[77] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[77] O *D mgmt_protect -*CAP -1 *2826:la_input[77] 7.21667e-05 -2 *2820:la_data_in_mprj[77] 0.000993231 -3 *879:11 0.00232782 -4 *879:10 0.00225565 -5 *879:8 0.000735753 -6 *879:7 0.00172898 -7 *879:7 *2820:la_data_out_mprj[77] 0 -8 *879:7 *2820:la_iena_mprj[76] 0 -9 *879:7 *2820:la_oenb_mprj[76] 0 -10 *879:8 *883:8 0.00321928 -11 *879:8 *1135:10 0.000180727 -12 *879:8 *1391:10 0.000122506 -13 *879:8 *1516:12 0.000158997 -14 *879:8 *1518:10 0 -15 *879:8 *1519:10 0.0050674 -16 *879:8 *1521:10 4.03749e-05 -17 *879:11 *2820:la_data_out_mprj[84] 0 -18 *879:11 *1391:7 0 -19 *879:11 *1519:7 0 -20 *878:8 *879:8 0 -*RES -1 *2820:la_data_in_mprj[77] *879:7 30.954 -2 *879:7 *879:8 60.066 -3 *879:8 *879:10 4.5 -4 *879:10 *879:11 61.0054 -5 *879:11 *2826:la_input[77] 2.05183 -*END - -*D_NET *880 0.0184748 -*CONN -*I *2826:la_input[78] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[78] O *D mgmt_protect -*CAP -1 *2826:la_input[78] 7.21667e-05 -2 *2820:la_data_in_mprj[78] 0.000476942 -3 *880:11 0.0018709 -4 *880:10 0.00179874 -5 *880:8 0.00188217 -6 *880:7 0.00235911 -7 *880:7 *2820:la_data_out_mprj[78] 0.000314562 -8 *880:7 *2820:la_oenb_mprj[77] 0 -9 *880:8 *1136:10 0 -10 *880:8 *1392:10 0.00587509 -11 *880:8 *1520:10 0.000146388 -12 *880:11 *2820:la_data_out_mprj[86] 0.00279906 -13 *880:11 *2820:la_iena_mprj[86] 0.000879645 -14 *880:11 *1392:7 0 -15 *880:11 *1520:7 0 -*RES -1 *2820:la_data_in_mprj[78] *880:7 20.5727 -2 *880:7 *880:8 71.1581 -3 *880:8 *880:10 4.5 -4 *880:10 *880:11 71.3867 -5 *880:11 *2826:la_input[78] 2.05183 -*END - -*D_NET *881 0.0173129 -*CONN -*I *2826:la_input[79] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[79] O *D mgmt_protect -*CAP -1 *2826:la_input[79] 7.21667e-05 -2 *2820:la_data_in_mprj[79] 0.00128298 -3 *881:11 0.00204722 -4 *881:10 0.00197505 -5 *881:8 0.00230383 -6 *881:7 0.00358681 -7 *881:7 *2820:la_data_out_mprj[79] 0 -8 *881:7 *2820:la_oenb_mprj[78] 0 -9 *881:8 *1390:12 0.000723467 -10 *881:8 *1397:10 0.00493204 -11 *881:11 *2820:la_data_out_mprj[88] 0 -12 *881:11 *2820:la_iena_mprj[88] 0.000389313 -13 *881:11 *1393:7 0 -14 *881:11 *1521:7 0 -15 *877:11 *881:7 0 -16 *878:8 *881:8 0 -*RES -1 *2820:la_data_in_mprj[79] *881:7 36.7675 -2 *881:7 *881:8 83.3593 -3 *881:8 *881:10 4.5 -4 *881:10 *881:11 55.1919 -5 *881:11 *2826:la_input[79] 2.05183 -*END - -*D_NET *882 0.113786 -*CONN -*I *2826:la_input[7] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[7] O *D mgmt_protect -*CAP -1 *2826:la_input[7] 0.00144944 -2 *2820:la_data_in_mprj[7] 0.00242546 -3 *882:14 0.00805066 -4 *882:13 0.00690842 -5 *882:8 0.00820044 -6 *882:7 0.0103187 -7 *2826:la_input[7] *1394:7 0 -8 *2826:la_input[7] *1522:7 0 -9 *882:7 *2820:la_data_out_mprj[7] 0 -10 *882:7 *2820:la_oenb_mprj[6] 0 -11 *882:8 *1323:10 0.00974052 -12 *882:14 *1149:10 7.5555e-05 -13 *882:14 *1327:10 0.000870853 -14 *882:14 *1329:10 0.00085524 -15 *882:14 *1405:10 0.00123814 -16 *2826:la_input[20] *882:13 0 -17 *788:8 *882:8 0.000693066 -18 *788:12 *882:8 0.000871419 -19 *788:18 *882:14 0 -20 *808:12 *882:8 0.000464675 -21 *817:8 *882:8 0.00111203 -22 *839:8 *882:8 0.000543049 -23 *849:8 *882:8 0.0500987 -24 *849:14 *882:14 0.00986968 -*RES -1 *2820:la_data_in_mprj[7] *882:7 49.9625 -2 *882:7 *882:8 67.2083 -3 *882:8 *882:13 14.8914 -4 *882:13 *882:14 267.488 -5 *882:14 *2826:la_input[7] 40.5659 -*END - -*D_NET *883 0.0207328 -*CONN -*I *2826:la_input[80] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[80] O *D mgmt_protect -*CAP -1 *2826:la_input[80] 7.21667e-05 -2 *2820:la_data_in_mprj[80] 0.000887431 -3 *883:11 0.00247695 -4 *883:10 0.00240479 -5 *883:8 0.00154155 -6 *883:7 0.00242898 -7 *883:7 *2820:la_data_out_mprj[80] 0.000339679 -8 *883:7 *2820:la_iena_mprj[80] 0 -9 *883:7 *2820:la_oenb_mprj[79] 0 -10 *883:8 *891:8 0.00237332 -11 *883:8 *892:8 0.0016067 -12 *883:8 *1135:10 0.000108598 -13 *883:8 *1395:10 0.00297626 -14 *883:8 *1519:10 0.000202245 -15 *883:8 *1521:10 9.48729e-05 -16 *883:11 *2820:la_data_out_mprj[91] 0 -17 *883:11 *2820:la_iena_mprj[90] 0 -18 *883:11 *2820:la_oenb_mprj[90] 0 -19 *883:11 *1395:7 0 -20 *883:11 *1523:7 0 -21 *879:8 *883:8 0.00321928 -*RES -1 *2820:la_data_in_mprj[80] *883:7 30.5388 -2 *883:7 *883:8 94.4514 -3 *883:8 *883:10 4.5 -4 *883:10 *883:11 61.4207 -5 *883:11 *2826:la_input[80] 2.05183 -*END - -*D_NET *884 0.0280227 -*CONN -*I *2826:la_input[81] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[81] O *D mgmt_protect -*CAP -1 *2826:la_input[81] 1.28869e-05 -2 *2820:la_data_in_mprj[81] 0.000793723 -3 *884:11 0.00233321 -4 *884:10 0.00232033 -5 *884:8 0.00143261 -6 *884:7 0.00222633 -7 *884:7 *2820:la_data_out_mprj[81] 0 -8 *884:7 *2820:la_iena_mprj[80] 0 -9 *884:7 *2820:la_oenb_mprj[80] 0 -10 *884:8 *885:8 0.00917231 -11 *884:8 *895:8 0.000241889 -12 *884:8 *1134:10 0 -13 *884:8 *1137:10 0.00703205 -14 *884:8 *1140:10 7.67829e-05 -15 *884:8 *1523:10 0.000352299 -16 *884:8 *1524:10 5.24855e-05 -17 *884:11 *2820:la_data_out_mprj[93] 0 -18 *884:11 *2820:la_iena_mprj[93] 0.00197577 -19 *884:11 *1524:7 0 -*RES -1 *2820:la_data_in_mprj[81] *884:7 24.31 -2 *884:7 *884:8 108.316 -3 *884:8 *884:10 4.5 -4 *884:10 *884:11 67.6495 -5 *884:11 *2826:la_input[81] 0.366399 -*END - -*D_NET *885 0.0303569 -*CONN -*I *2826:la_input[82] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[82] O *D mgmt_protect -*CAP -1 *2826:la_input[82] 7.21667e-05 -2 *2820:la_data_in_mprj[82] 0.000672684 -3 *885:11 0.00285211 -4 *885:10 0.00277994 -5 *885:8 0.0014125 -6 *885:7 0.00208518 -7 *885:7 *2820:la_data_out_mprj[82] 0.000357678 -8 *885:7 *2820:la_oenb_mprj[81] 0 -9 *885:8 *895:8 0.000105175 -10 *885:8 *1141:10 0.0107735 -11 *885:8 *1524:10 7.24449e-05 -12 *885:8 *1539:16 1.21461e-06 -13 *885:11 *2820:la_data_out_mprj[95] 0 -14 *885:11 *2820:la_data_out_mprj[96] 0 -15 *885:11 *2820:la_iena_mprj[95] 0 -16 *885:11 *900:7 0 -17 *885:11 *1397:7 0 -18 *885:11 *1525:7 0 -19 *884:8 *885:8 0.00917231 -*RES -1 *2820:la_data_in_mprj[82] *885:7 24.7252 -2 *885:7 *885:8 118.299 -3 *885:8 *885:10 4.5 -4 *885:10 *885:11 67.2342 -5 *885:11 *2826:la_input[82] 2.05183 -*END - -*D_NET *886 0.0346015 -*CONN -*I *2826:la_input[83] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[83] O *D mgmt_protect -*CAP -1 *2826:la_input[83] 7.21667e-05 -2 *2820:la_data_in_mprj[83] 0.000646807 -3 *886:11 0.00218364 -4 *886:10 0.00211148 -5 *886:8 0.00139587 -6 *886:7 0.00204268 -7 *886:7 *2820:la_data_out_mprj[83] 0 -8 *886:7 *2820:la_iena_mprj[82] 0 -9 *886:7 *2820:la_oenb_mprj[82] 0.00129334 -10 *886:8 *887:8 0.0111726 -11 *886:8 *1391:10 5.35646e-05 -12 *886:8 *1398:10 0.000180727 -13 *886:8 *1521:10 5.383e-06 -14 *886:8 *1525:10 0.0106142 -15 *886:8 *1532:16 0.000591614 -16 *886:11 *2820:la_data_out_mprj[98] 0.000815439 -17 *886:11 *2820:la_oenb_mprj[97] 0 -18 *886:11 *902:5 0.00142202 -19 *886:11 *1398:7 0 -20 *886:11 *1413:13 0 -21 *886:11 *1526:7 0 -*RES -1 *2820:la_data_in_mprj[83] *886:7 27.2167 -2 *886:7 *886:8 129.946 -3 *886:8 *886:10 4.5 -4 *886:10 *886:11 64.7427 -5 *886:11 *2826:la_input[83] 2.05183 -*END - -*D_NET *887 0.0342106 -*CONN -*I *2826:la_input[84] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[84] O *D mgmt_protect -*CAP -1 *2826:la_input[84] 7.21667e-05 -2 *2820:la_data_in_mprj[84] 0.000800989 -3 *887:11 0.00258782 -4 *887:10 0.00251565 -5 *887:8 0.00174929 -6 *887:7 0.00255027 -7 *887:7 *2820:la_data_out_mprj[84] 0.000392487 -8 *887:7 *2820:la_oenb_mprj[83] 0 -9 *887:8 *894:12 2.82142e-05 -10 *887:8 *1398:10 0.0107182 -11 *887:8 *1399:10 0.000231312 -12 *887:8 *1526:10 0.000381824 -13 *887:8 *1532:16 0.000467085 -14 *887:11 *2820:la_data_out_mprj[100] 0 -15 *887:11 *2820:la_oenb_mprj[100] 0 -16 *887:11 *1290:13 0.000542699 -17 *887:11 *1399:7 0 -18 *886:8 *887:8 0.0111726 -*RES -1 *2820:la_data_in_mprj[84] *887:7 27.632 -2 *887:7 *887:8 141.593 -3 *887:8 *887:10 4.5 -4 *887:10 *887:11 64.3275 -5 *887:11 *2826:la_input[84] 2.05183 -*END - -*D_NET *888 0.029247 -*CONN -*I *2826:la_input[85] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[85] O *D mgmt_protect -*CAP -1 *2826:la_input[85] 0.00190028 -2 *2820:la_data_in_mprj[85] 0.00153592 -3 *888:10 0.00190028 -4 *888:8 0.00406859 -5 *888:7 0.00560451 -6 *2826:la_input[85] *2820:la_oenb_mprj[102] 0.000882194 -7 *2826:la_input[85] *1400:9 0 -8 *2826:la_input[85] *1528:9 0 -9 *888:7 *2820:la_data_out_mprj[85] 0 -10 *888:7 *2820:la_oenb_mprj[84] 0 -11 *888:7 *1135:7 0.000420032 -12 *888:8 *889:8 0.000324485 -13 *888:8 *890:8 0.0126107 -14 *888:8 *1034:10 0 -*RES -1 *2820:la_data_in_mprj[85] *888:7 45.9031 -2 *888:7 *888:8 153.239 -3 *888:8 *888:10 4.5 -4 *888:10 *2826:la_input[85] 48.1082 -*END - -*D_NET *889 0.0310631 -*CONN -*I *2826:la_input[86] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[86] O *D mgmt_protect -*CAP -1 *2826:la_input[86] 0.00213074 -2 *2820:la_data_in_mprj[86] 0.00115735 -3 *889:10 0.00213074 -4 *889:8 0.00418396 -5 *889:7 0.00534131 -6 *2826:la_input[86] *1529:9 0 -7 *2826:la_input[86] *1536:13 0 -8 *889:7 *2820:la_data_out_mprj[86] 0.000730507 -9 *889:7 *2820:la_oenb_mprj[85] 0 -10 *889:7 *1392:7 0.000412024 -11 *889:8 *890:8 0.0146519 -12 *888:8 *889:8 0.000324485 -*RES -1 *2820:la_data_in_mprj[86] *889:7 45.0726 -2 *889:7 *889:8 165.995 -3 *889:8 *889:10 4.5 -4 *889:10 *2826:la_input[86] 47.8151 -*END - -*D_NET *890 0.0421152 -*CONN -*I *2826:la_input[87] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[87] O *D mgmt_protect -*CAP -1 *2826:la_input[87] 0.00214775 -2 *2820:la_data_in_mprj[87] 0.00142989 -3 *890:10 0.00214775 -4 *890:8 0.00193283 -5 *890:7 0.00336272 -6 *2826:la_input[87] *1402:9 0 -7 *2826:la_input[87] *1530:9 0 -8 *2826:la_input[87] *1539:13 0 -9 *2826:la_input[87] *1540:15 0 -10 *890:7 *2820:la_data_out_mprj[87] 0 -11 *890:7 *2820:la_oenb_mprj[86] 0 -12 *890:7 *1520:7 0.000624848 -13 *890:8 *1040:20 0 -14 *890:8 *1293:16 0 -15 *890:8 *1421:14 0.00304932 -16 *890:8 *1424:26 0.000157429 -17 *786:5 *2826:la_input[87] 0 -18 *888:8 *890:8 0.0126107 -19 *889:8 *890:8 0.0146519 -*RES -1 *2820:la_data_in_mprj[87] *890:7 45.4878 -2 *890:7 *890:8 176.533 -3 *890:8 *890:10 4.5 -4 *890:10 *2826:la_input[87] 48.5234 -*END - -*D_NET *891 0.0368587 -*CONN -*I *2826:la_input[88] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[88] O *D mgmt_protect -*CAP -1 *2826:la_input[88] 7.21667e-05 -2 *2820:la_data_in_mprj[88] 0.000491744 -3 *891:11 0.0023259 -4 *891:10 0.00225373 -5 *891:8 0.00322309 -6 *891:7 0.00371483 -7 *891:7 *2820:la_data_out_mprj[88] 0.000348187 -8 *891:7 *1393:7 0.00044295 -9 *891:7 *1530:13 0.00153194 -10 *891:8 *892:8 0.00561506 -11 *891:8 *1041:16 0.00215069 -12 *891:8 *1531:16 0 -13 *891:8 *1538:16 0.0108767 -14 *891:8 *1542:16 0.000178097 -15 *891:8 *1543:16 5.28017e-05 -16 *891:11 *2820:la_iena_mprj[109] 0 -17 *891:11 *2820:la_oenb_mprj[109] 8.62625e-06 -18 *891:11 *1403:9 0 -19 *891:11 *1531:9 0 -20 *789:5 *891:11 0.00119889 -21 *883:8 *891:8 0.00237332 -*RES -1 *2820:la_data_in_mprj[88] *891:7 30.954 -2 *891:7 *891:8 188.179 -3 *891:8 *891:10 4.5 -4 *891:10 *891:11 61.0054 -5 *891:11 *2826:la_input[88] 2.05183 -*END - -*D_NET *892 0.0420287 -*CONN -*I *2826:la_input[89] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[89] O *D mgmt_protect -*CAP -1 *2826:la_input[89] 7.21667e-05 -2 *2820:la_data_in_mprj[89] 0.00106065 -3 *892:11 0.00258132 -4 *892:10 0.00250915 -5 *892:8 0.00229715 -6 *892:7 0.0033578 -7 *892:7 *2820:la_oenb_mprj[88] 0 -8 *892:7 *1148:13 0 -9 *892:8 *894:10 0.000406586 -10 *892:8 *894:12 0.0165494 -11 *892:8 *1041:16 0.00405344 -12 *892:8 *1395:10 0.00114388 -13 *892:11 *2820:la_data_out_mprj[112] 0.000472951 -14 *892:11 *2820:la_iena_mprj[112] 0.00030246 -15 *892:11 *1404:9 0 -16 *892:11 *1532:9 0 -17 *791:5 *892:11 0 -18 *883:8 *892:8 0.0016067 -19 *891:8 *892:8 0.00561506 -*RES -1 *2820:la_data_in_mprj[89] *892:7 30.1235 -2 *892:7 *892:8 200.381 -3 *892:8 *892:10 4.5 -4 *892:10 *892:11 61.8359 -5 *892:11 *2826:la_input[89] 2.05183 -*END - -*D_NET *893 0.184485 -*CONN -*I *2826:la_input[8] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[8] O *D mgmt_protect -*CAP -1 *2826:la_input[8] 7.21667e-05 -2 *2820:la_data_in_mprj[8] 0.00165696 -3 *893:11 0.00208406 -4 *893:10 0.00201189 -5 *893:8 0.00741546 -6 *893:7 0.00907242 -7 *893:7 *2820:la_data_out_mprj[8] 0.000506128 -8 *893:7 *2820:la_oenb_mprj[7] 0 -9 *893:7 *1099:7 0 -10 *893:8 *904:8 0.0784892 -11 *893:8 *1055:10 0.073023 -12 *893:11 *1405:9 0 -13 *893:11 *1533:9 0 -14 *814:8 *893:8 0.00485165 -15 *818:8 *893:8 0.00436626 -16 *860:8 *893:8 0.00093626 -*RES -1 *2820:la_data_in_mprj[8] *893:7 40.827 -2 *893:7 *893:8 102.547 -3 *893:8 *893:10 3.36879 -4 *893:10 *893:11 50.0013 -5 *893:11 *2826:la_input[8] 2.05183 -*END - -*D_NET *894 0.0446607 -*CONN -*I *2826:la_input[90] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[90] O *D mgmt_protect -*CAP -1 *2826:la_input[90] 1.28869e-05 -2 *2820:la_data_in_mprj[90] 0.000990202 -3 *894:15 0.00239921 -4 *894:14 0.00238632 -5 *894:12 0.00268284 -6 *894:10 0.00367305 -7 *894:10 *2820:la_data_out_mprj[90] 0.000432817 -8 *894:10 *2820:la_oenb_mprj[89] 0 -9 *894:10 *1137:7 6.10832e-05 -10 *894:10 *1395:10 0.000324151 -11 *894:10 *1399:10 0.00127989 -12 *894:12 *901:8 0 -13 *894:12 *1038:20 0.00857486 -14 *894:12 *1041:16 0.000898014 -15 *894:12 *1292:10 0 -16 *894:12 *1399:10 0.00279738 -17 *894:12 *1532:16 0 -18 *894:15 *2820:la_data_out_mprj[114] 0 -19 *894:15 *2820:la_iena_mprj[114] 0 -20 *894:15 *2820:la_oenb_mprj[114] 0.000176813 -21 *894:15 *1534:9 0 -22 *794:5 *894:15 0.000986943 -23 *887:8 *894:12 2.82142e-05 -24 *892:8 *894:10 0.000406586 -25 *892:8 *894:12 0.0165494 -*RES -1 *2820:la_data_in_mprj[90] *894:10 43.6283 -2 *894:10 *894:12 200.103 -3 *894:12 *894:14 4.5 -4 *894:14 *894:15 62.2512 -5 *894:15 *2826:la_input[90] 0.366399 -*END - -*D_NET *895 0.0433538 -*CONN -*I *2826:la_input[91] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[91] O *D mgmt_protect -*CAP -1 *2826:la_input[91] 7.21667e-05 -2 *2820:la_data_in_mprj[91] 0.000695351 -3 *895:11 0.00294589 -4 *895:10 0.00287372 -5 *895:8 0.00335371 -6 *895:7 0.00404907 -7 *895:7 *2820:la_data_out_mprj[91] 0 -8 *895:7 *2820:la_oenb_mprj[90] 0 -9 *895:8 *1139:10 0.000991017 -10 *895:8 *1396:10 0.000194684 -11 *895:8 *1523:10 0.000530137 -12 *895:8 *1536:16 4.5891e-05 -13 *895:8 *1537:16 0.000832927 -14 *895:8 *1539:16 0.00913061 -15 *895:11 *2820:la_iena_mprj[116] 0 -16 *895:11 *2820:la_oenb_mprj[116] 0 -17 *895:11 *1407:9 0 -18 *895:11 *1535:9 0 -19 *778:8 *895:8 0.000339574 -20 *779:8 *895:8 0.0128217 -21 *780:8 *895:8 0.00342152 -22 *796:7 *895:11 0.000708828 -23 *884:8 *895:8 0.000241889 -24 *885:8 *895:8 0.000105175 -*RES -1 *2820:la_data_in_mprj[91] *895:7 22.649 -2 *895:7 *895:8 223.674 -3 *895:8 *895:10 4.5 -4 *895:10 *895:11 69.3105 -5 *895:11 *2826:la_input[91] 2.05183 -*END - -*D_NET *896 0.0379768 -*CONN -*I *2826:la_input[92] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[92] O *D mgmt_protect -*CAP -1 *2826:la_input[92] 7.21667e-05 -2 *2820:la_data_in_mprj[92] 0.00114505 -3 *896:11 0.00260422 -4 *896:10 0.00253206 -5 *896:8 0.00477976 -6 *896:7 0.00592481 -7 *896:7 *2820:la_oenb_mprj[91] 0 -8 *896:7 *1139:7 0.000177635 -9 *896:7 *1152:13 0 -10 *896:8 *1039:20 0.0112822 -11 *896:8 *1309:16 0.000689445 -12 *896:8 *1397:10 0 -13 *896:8 *1427:16 0 -14 *896:8 *1435:16 0.00023598 -15 *896:8 *1531:16 0.00352764 -16 *896:8 *1540:16 0.00297891 -17 *896:8 *1543:16 0.00158142 -18 *896:11 *2820:la_data_out_mprj[119] 0.000348366 -19 *896:11 *2820:la_iena_mprj[119] 9.71019e-05 -20 *896:11 *1040:19 0 -21 *896:11 *1408:9 0 -22 *896:11 *1433:15 0 -23 *896:11 *1536:9 0 -24 *798:5 *896:11 0 -*RES -1 *2820:la_data_in_mprj[92] *896:7 34.276 -2 *896:7 *896:8 234.766 -3 *896:8 *896:10 4.5 -4 *896:10 *896:11 57.6834 -5 *896:11 *2826:la_input[92] 2.05183 -*END - -*D_NET *897 0.057937 -*CONN -*I *2826:la_input[93] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[93] O *D mgmt_protect -*CAP -1 *2826:la_input[93] 0.00156759 -2 *2820:la_data_in_mprj[93] 0.00181859 -3 *897:8 0.00368255 -4 *897:7 0.00211496 -5 *897:5 0.00181859 -6 *2826:la_input[93] *2820:la_oenb_mprj[121] 0.000569697 -7 *2826:la_input[93] *1409:9 0 -8 *2826:la_input[93] *1537:9 0 -9 *897:5 *2820:la_data_out_mprj[93] 0 -10 *897:5 *2820:la_iena_mprj[92] 0 -11 *897:5 *2820:la_oenb_mprj[92] 0 -12 *897:5 *1396:7 0.000621103 -13 *897:8 *1146:10 0.0126694 -14 *897:8 *1152:10 2.68003e-05 -15 *897:8 *1403:10 1.41853e-05 -16 *897:8 *1409:10 0.000183127 -17 *897:8 *1410:10 0.000351263 -18 *897:8 *1411:10 0.0211827 -19 *897:8 *1541:12 0.000850566 -20 *897:8 *1542:10 0.0104659 -21 *802:5 *2826:la_input[93] 0 -*RES -1 *2820:la_data_in_mprj[93] *897:5 52.1996 -2 *897:5 *897:7 4.5 -3 *897:7 *897:8 246.967 -4 *897:8 *2826:la_input[93] 41.8116 -*END - -*D_NET *898 0.0600388 -*CONN -*I *2826:la_input[94] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[94] O *D mgmt_protect -*CAP -1 *2826:la_input[94] 0.00136836 -2 *2820:la_data_in_mprj[94] 0.0012892 -3 *898:8 0.00356849 -4 *898:7 0.00220012 -5 *898:5 0.0012892 -6 *2826:la_input[94] *1410:9 0 -7 *2826:la_input[94] *1443:13 0.000476211 -8 *2826:la_input[94] *1538:9 0 -9 *898:5 *2820:la_data_out_mprj[94] 0.000988525 -10 *898:5 *2820:la_iena_mprj[93] 0 -11 *898:5 *2820:la_oenb_mprj[93] 0 -12 *898:5 *1524:7 0.00193747 -13 *898:8 *1152:10 0.0215457 -14 *898:8 *1154:10 0.00118023 -15 *898:8 *1409:10 0.0219004 -16 *898:8 *1410:10 0.000824055 -17 *898:8 *1411:10 0.000114773 -18 *898:8 *1414:12 0.000960823 -19 *804:7 *2826:la_input[94] 0.000395166 -*RES -1 *2820:la_data_in_mprj[94] *898:5 53.8607 -2 *898:5 *898:7 4.5 -3 *898:7 *898:8 258.059 -4 *898:8 *2826:la_input[94] 40.1506 -*END - -*D_NET *899 0.0617746 -*CONN -*I *2826:la_input[95] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[95] O *D mgmt_protect -*CAP -1 *2826:la_input[95] 0.00150003 -2 *2820:la_data_in_mprj[95] 0.00203308 -3 *899:8 0.00371008 -4 *899:7 0.00221005 -5 *899:5 0.00203308 -6 *2826:la_input[95] *1411:9 0 -7 *2826:la_input[95] *1539:9 0 -8 *899:5 *2820:la_data_out_mprj[95] 0 -9 *899:5 *2820:la_oenb_mprj[94] 0 -10 *899:5 *1140:7 0 -11 *899:5 *1397:7 0.000630429 -12 *899:8 *1142:10 3.42853e-05 -13 *899:8 *1153:10 0.000948957 -14 *899:8 *1155:10 0.000431269 -15 *899:8 *1412:10 0.0242773 -16 *899:8 *1413:10 0.0232854 -17 *899:8 *1418:12 0.000680605 -*RES -1 *2820:la_data_in_mprj[95] *899:5 55.9369 -2 *899:5 *899:7 4.5 -3 *899:7 *899:8 270.815 -4 *899:8 *2826:la_input[95] 37.5126 -*END - -*D_NET *900 0.0636219 -*CONN -*I *2826:la_input[96] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[96] O *D mgmt_protect -*CAP -1 *2826:la_input[96] 7.21667e-05 -2 *2820:la_data_in_mprj[96] 0.00065332 -3 *900:11 0.00272926 -4 *900:10 0.00265709 -5 *900:8 0.00310103 -6 *900:7 0.00375435 -7 *900:7 *2820:la_data_out_mprj[96] 0.000398627 -8 *900:7 *2820:la_iena_mprj[95] 0 -9 *900:7 *2820:la_oenb_mprj[95] 0 -10 *900:8 *901:8 0.0255065 -11 *900:8 *1035:16 0.00035468 -12 *900:8 *1036:16 0.0213057 -13 *900:8 *1141:10 0.000731924 -14 *900:8 *1532:16 0.000332046 -15 *900:8 *1539:16 0 -16 *900:11 *2820:mprj_stb_o_core 0 -17 *900:11 *2820:mprj_we_o_core 0.00202518 -18 *900:11 *1042:21 0 -19 *900:11 *1412:9 0 -20 *900:11 *1540:9 0 -21 *885:11 *900:7 0 -*RES -1 *2820:la_data_in_mprj[96] *900:7 25.5557 -2 *900:7 *900:8 281.907 -3 *900:8 *900:10 4.5 -4 *900:10 *900:11 66.4037 -5 *900:11 *2826:la_input[96] 2.05183 -*END - -*D_NET *901 0.0663917 -*CONN -*I *2826:la_input[97] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[97] O *D mgmt_protect -*CAP -1 *2826:la_input[97] 7.21667e-05 -2 *2820:la_data_in_mprj[97] 0.00082285 -3 *901:11 0.00295822 -4 *901:10 0.00288605 -5 *901:8 0.00276765 -6 *901:7 0.0035905 -7 *901:7 *2820:la_data_out_mprj[97] 0 -8 *901:7 *2820:la_oenb_mprj[96] 0 -9 *901:7 *1141:7 5.41227e-05 -10 *901:8 *1036:16 0.000565984 -11 *901:8 *1291:10 8.66592e-05 -12 *901:8 *1292:10 0.000698415 -13 *901:8 *1294:16 0.0213481 -14 *901:8 *1532:16 0.00416385 -15 *901:11 *2820:mprj_adr_o_core[2] 8.5866e-05 -16 *901:11 *2820:mprj_sel_o_core[1] 0 -17 *901:11 *1413:9 0 -18 *901:11 *1541:9 0 -19 *901:11 *1847:5 0.000784721 -20 *894:12 *901:8 0 -21 *900:8 *901:8 0.0255065 -*RES -1 *2820:la_data_in_mprj[97] *901:7 25.971 -2 *901:7 *901:8 293.554 -3 *901:8 *901:10 4.5 -4 *901:10 *901:11 65.9885 -5 *901:11 *2826:la_input[97] 2.05183 -*END - -*D_NET *902 0.0534763 -*CONN -*I *2826:la_input[98] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[98] O *D mgmt_protect -*CAP -1 *2826:la_input[98] 0.00191922 -2 *2820:la_data_in_mprj[98] 0.00131817 -3 *902:8 0.00851146 -4 *902:7 0.00659224 -5 *902:5 0.00131817 -6 *2826:la_input[98] *2820:mprj_adr_o_core[5] 0 -7 *2826:la_input[98] *1297:19 8.62625e-06 -8 *2826:la_input[98] *1414:9 0 -9 *2826:la_input[98] *1851:5 0 -10 *2826:la_input[98] *1915:33 0.000139486 -11 *902:5 *2820:la_data_out_mprj[98] 0.00042334 -12 *902:5 *2820:la_oenb_mprj[97] 0 -13 *902:8 *903:8 0.0279733 -14 *902:8 *1042:16 0.00338239 -15 *902:8 *1061:10 0.000467946 -16 *902:8 *1151:10 0 -17 *902:8 *1535:10 0 -18 *886:11 *902:5 0.00142202 -*RES -1 *2820:la_data_in_mprj[98] *902:5 46.3861 -2 *902:5 *902:7 4.5 -3 *902:7 *902:8 305.201 -4 *902:8 *2826:la_input[98] 47.6252 -*END - -*D_NET *903 0.0717111 -*CONN -*I *2826:la_input[99] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[99] O *D mgmt_protect -*CAP -1 *2826:la_input[99] 0.00203899 -2 *2820:la_data_in_mprj[99] 0.00179564 -3 *903:8 0.00460876 -4 *903:7 0.00256977 -5 *903:5 0.00179564 -6 *2826:la_input[99] *1038:19 8.62625e-06 -7 *2826:la_input[99] *1415:9 0 -8 *2826:la_input[99] *1543:9 0 -9 *2826:la_input[99] *1786:27 0 -10 *2826:la_input[99] *1855:5 0 -11 *903:5 *2820:la_data_out_mprj[99] 0 -12 *903:5 *2820:la_oenb_mprj[98] 0 -13 *903:5 *1526:7 0 -14 *903:8 *1034:10 0.0288374 -15 *903:8 *1042:16 1.41853e-05 -16 *903:8 *1061:10 0.000253937 -17 *903:8 *1297:14 0.00181493 -18 *902:8 *903:8 0.0279733 -*RES -1 *2820:la_data_in_mprj[99] *903:5 45.9709 -2 *903:5 *903:7 4.5 -3 *903:7 *903:8 316.847 -4 *903:8 *2826:la_input[99] 48.0404 -*END - -*D_NET *904 0.188098 -*CONN -*I *2826:la_input[9] I *D mgmt_core_wrapper -*I *2820:la_data_in_mprj[9] O *D mgmt_protect -*CAP -1 *2826:la_input[9] 7.21667e-05 -2 *2820:la_data_in_mprj[9] 0.00191695 -3 *904:11 0.00207309 -4 *904:10 0.00200092 -5 *904:8 0.00747978 -6 *904:7 0.00939673 -7 *904:7 *2820:la_data_out_mprj[9] 0 -8 *904:7 *2820:la_oenb_mprj[8] 0 -9 *904:8 *1055:10 0.000356986 -10 *904:8 *1067:10 0.00010238 -11 *904:8 *1069:10 0.000423598 -12 *904:11 *1544:7 0 -13 *812:8 *904:8 0.00530845 -14 *815:8 *904:8 0.0048152 -15 *860:8 *904:8 0.075663 -16 *893:8 *904:8 0.0784892 -*RES -1 *2820:la_data_in_mprj[9] *904:7 41.6575 -2 *904:7 *904:8 100.864 -3 *904:8 *904:10 3.36879 -4 *904:10 *904:11 49.1707 -5 *904:11 *2826:la_input[9] 2.05183 -*END - -*D_NET *905 0.0620687 -*CONN -*I *2821:la_data_in[0] I *D user_project_wrapper -*I *2820:la_data_in_core[0] O *D mgmt_protect -*CAP -1 *2821:la_data_in[0] 0.00147474 -2 *2820:la_data_in_core[0] 0.000947957 -3 *905:14 0.00353134 -4 *905:13 0.00283111 -5 *905:10 0.00171733 -6 *905:7 0.00189078 -7 *905:7 *2820:la_data_out_core[0] 0 -8 *905:7 *1545:7 0 -9 *905:7 *1945:7 0 -10 *905:10 *1010:8 0.00404321 -11 *905:10 *1200:12 0 -12 *905:10 *1808:8 0.000220183 -13 *905:13 *1939:7 0.000943126 -14 *905:14 *2821:la_data_in[2] 5.95501e-05 -15 *905:14 *955:8 0.000197716 -16 *905:14 *977:14 0.0154208 -17 *905:14 *1211:8 0.0187575 -18 *905:14 *1795:19 0.00027196 -19 *905:14 *1803:10 9.57557e-05 -20 *905:14 *1857:8 0.00243556 -21 *905:14 *1881:8 0.000667704 -22 *905:14 *1886:18 0.00656241 -*RES -1 *2820:la_data_in_core[0] *905:7 30.5388 -2 *905:7 *905:10 47.3733 -3 *905:10 *905:13 25.8488 -4 *905:13 *905:14 249.186 -5 *905:14 *2821:la_data_in[0] 39.6743 -*END - -*D_NET *906 0.224119 -*CONN -*I *2821:la_data_in[100] I *D user_project_wrapper -*I *2820:la_data_in_core[100] O *D mgmt_protect -*CAP -1 *2821:la_data_in[100] 0.00139807 -2 *2820:la_data_in_core[100] 0.00228426 -3 *906:8 0.00826134 -4 *906:7 0.00686327 -5 *906:5 0.00228426 -6 *906:5 *2820:la_data_out_core[100] 0 -7 *906:5 *2820:la_data_out_core[99] 0 -8 *906:5 *1235:5 0.00079648 -9 *906:5 *1546:13 0 -10 *906:5 *1671:7 0 -11 *906:8 *1188:8 0.0458681 -12 *906:8 *1261:8 0.000549364 -13 *906:8 *1284:8 0.000731073 -14 *906:8 *1546:18 0.0976144 -15 *906:8 *1568:8 0.00609215 -16 *906:8 *1646:8 0.0513758 -*RES -1 *2820:la_data_in_core[100] *906:5 49.7081 -2 *906:5 *906:7 4.5 -3 *906:7 *906:8 1041.16 -4 *906:8 *2821:la_data_in[100] 37.1828 -*END - -*D_NET *907 0.230277 -*CONN -*I *2821:la_data_in[101] I *D user_project_wrapper -*I *2820:la_data_in_core[101] O *D mgmt_protect -*CAP -1 *2821:la_data_in[101] 0.00279819 -2 *2820:la_data_in_core[101] 0.000790986 -3 *907:10 0.00279819 -4 *907:8 0.00684493 -5 *907:7 0.00763591 -6 *907:7 *1163:11 0 -7 *907:7 *1546:13 0 -8 *907:8 *908:8 8.90486e-05 -9 *907:8 *921:8 0.0107751 -10 *907:8 *980:8 0 -11 *907:8 *981:8 0 -12 *907:8 *1162:8 0.0991065 -13 *907:8 *1164:8 0.0994381 -*RES -1 *2820:la_data_in_core[101] *907:7 22.649 -2 *907:7 *907:8 1054.47 -3 *907:8 *907:10 4.5 -4 *907:10 *2821:la_data_in[101] 64.242 -*END - -*D_NET *908 0.23419 -*CONN -*I *2821:la_data_in[102] I *D user_project_wrapper -*I *2820:la_data_in_core[102] O *D mgmt_protect -*CAP -1 *2821:la_data_in[102] 0.00282962 -2 *2820:la_data_in_core[102] 0.000735779 -3 *908:10 0.00282962 -4 *908:8 0.00668755 -5 *908:7 0.00742333 -6 *908:7 *2820:la_data_out_core[102] 0 -7 *908:7 *2821:la_data_in[52] 5.39859e-05 -8 *908:7 *1547:7 0 -9 *908:8 *909:8 0.100978 -10 *908:8 *922:8 0.0110575 -11 *908:8 *981:8 0 -12 *908:8 *1164:8 0.101505 -13 *907:8 *908:8 8.90486e-05 -*RES -1 *2820:la_data_in_core[102] *908:7 21.8185 -2 *908:7 *908:8 1067.78 -3 *908:8 *908:10 4.5 -4 *908:10 *2821:la_data_in[102] 65.0725 -*END - -*D_NET *909 0.236918 -*CONN -*I *2821:la_data_in[103] I *D user_project_wrapper -*I *2820:la_data_in_core[103] O *D mgmt_protect -*CAP -1 *2821:la_data_in[103] 0.00282901 -2 *2820:la_data_in_core[103] 0.000740339 -3 *909:10 0.00282901 -4 *909:8 0.00697391 -5 *909:7 0.00771425 -6 *909:7 *2820:la_data_out_core[103] 0 -7 *909:7 *1548:5 0 -8 *909:8 *910:8 0.102213 -9 *909:8 *922:8 0.0123268 -10 *909:8 *981:8 0 -11 *909:8 *1164:8 0.000313928 -12 *908:8 *909:8 0.100978 -*RES -1 *2820:la_data_in_core[103] *909:7 21.4032 -2 *909:7 *909:8 1081.09 -3 *909:8 *909:10 4.5 -4 *909:10 *2821:la_data_in[103] 65.4877 -*END - -*D_NET *910 0.23899 -*CONN -*I *2821:la_data_in[104] I *D user_project_wrapper -*I *2820:la_data_in_core[104] O *D mgmt_protect -*CAP -1 *2821:la_data_in[104] 0.00283096 -2 *2820:la_data_in_core[104] 0.000725734 -3 *910:10 0.00283096 -4 *910:8 0.0070366 -5 *910:7 0.00776233 -6 *910:7 *2820:la_data_out_core[104] 0 -7 *910:7 *2821:la_data_in[53] 0 -8 *910:7 *1549:9 0 -9 *910:8 *923:8 0.0115137 -10 *910:8 *981:8 1.22938e-05 -11 *910:8 *1166:8 0.104065 -12 *909:8 *910:8 0.102213 -*RES -1 *2820:la_data_in_core[104] *910:7 20.988 -2 *910:7 *910:8 1094.4 -3 *910:8 *910:10 4.5 -4 *910:10 *2821:la_data_in[104] 65.903 -*END - -*D_NET *911 0.241965 -*CONN -*I *2821:la_data_in[105] I *D user_project_wrapper -*I *2820:la_data_in_core[105] O *D mgmt_protect -*CAP -1 *2821:la_data_in[105] 0.00286023 -2 *2820:la_data_in_core[105] 0.000689693 -3 *911:10 0.00286023 -4 *911:8 0.00703848 -5 *911:7 0.00772818 -6 *911:7 *2820:la_data_out_core[105] 0 -7 *911:7 *1550:5 0 -8 *911:8 *912:8 0.000113197 -9 *911:8 *924:8 0.0117889 -10 *911:8 *982:8 0.000133137 -11 *911:8 *1166:8 0.104237 -12 *911:8 *1168:8 0.104515 -*RES -1 *2820:la_data_in_core[105] *911:7 20.1574 -2 *911:7 *911:8 1107.71 -3 *911:8 *911:10 4.5 -4 *911:10 *2821:la_data_in[105] 66.7335 -*END - -*D_NET *912 0.245978 -*CONN -*I *2821:la_data_in[106] I *D user_project_wrapper -*I *2820:la_data_in_core[106] O *D mgmt_protect -*CAP -1 *2821:la_data_in[106] 0.00289032 -2 *2820:la_data_in_core[106] 0.000660482 -3 *912:10 0.00289032 -4 *912:8 0.00684604 -5 *912:7 0.00750652 -6 *912:7 *2820:la_data_out_core[106] 0 -7 *912:7 *1551:7 0 -8 *912:8 *913:8 0.106109 -9 *912:8 *925:8 0.0120713 -10 *912:8 *982:8 0.000315442 -11 *912:8 *1168:8 0.106576 -12 *911:8 *912:8 0.000113197 -*RES -1 *2820:la_data_in_core[106] *912:7 19.3269 -2 *912:7 *912:8 1121.02 -3 *912:8 *912:10 4.5 -4 *912:10 *2821:la_data_in[106] 67.564 -*END - -*D_NET *913 0.24881 -*CONN -*I *2821:la_data_in[107] I *D user_project_wrapper -*I *2820:la_data_in_core[107] O *D mgmt_protect -*CAP -1 *2821:la_data_in[107] 0.00289302 -2 *2820:la_data_in_core[107] 0.000641808 -3 *913:10 0.00289302 -4 *913:8 0.00717319 -5 *913:7 0.007815 -6 *913:7 *2820:la_data_out_core[107] 0 -7 *913:7 *2821:la_data_in[54] 1.09738e-05 -8 *913:7 *1552:5 0 -9 *913:8 *914:8 0.000113197 -10 *913:8 *925:8 0.0134374 -11 *913:8 *982:8 0.000113968 -12 *913:8 *983:8 0.000164845 -13 *913:8 *1168:8 0.000313928 -14 *913:8 *1170:8 0.107131 -15 *912:8 *913:8 0.106109 -*RES -1 *2820:la_data_in_core[107] *913:7 18.9117 -2 *913:7 *913:8 1134.89 -3 *913:8 *913:10 4.5 -4 *913:10 *2821:la_data_in[107] 67.9792 -*END - -*D_NET *914 0.252416 -*CONN -*I *2821:la_data_in[108] I *D user_project_wrapper -*I *2820:la_data_in_core[108] O *D mgmt_protect -*CAP -1 *2821:la_data_in[108] 0.00292223 -2 *2820:la_data_in_core[108] 0.000609866 -3 *914:10 0.00292223 -4 *914:8 0.00705616 -5 *914:7 0.00766602 -6 *914:7 *2820:la_data_out_core[108] 0 -7 *914:7 *1553:7 0 -8 *914:8 *919:8 0.106386 -9 *914:8 *926:8 0.0137367 -10 *914:8 *983:8 0.00136277 -11 *914:8 *985:8 0.00020979 -12 *914:8 *985:14 0.000293761 -13 *914:8 *1170:8 0.109138 -14 *913:8 *914:8 0.000113197 -*RES -1 *2820:la_data_in_core[108] *914:7 18.0812 -2 *914:7 *914:8 1147.64 -3 *914:8 *914:10 4.5 -4 *914:10 *2821:la_data_in[108] 68.8097 -*END - -*D_NET *915 0.238064 -*CONN -*I *2821:la_data_in[109] I *D user_project_wrapper -*I *2820:la_data_in_core[109] O *D mgmt_protect -*CAP -1 *2821:la_data_in[109] 0.00304885 -2 *2820:la_data_in_core[109] 0.000476454 -3 *915:10 0.00304885 -4 *915:8 0.0247437 -5 *915:7 0.0252202 -6 *915:7 *2820:la_data_out_core[109] 0 -7 *915:7 *1554:5 0 -8 *915:8 *917:8 0.12564 -9 *915:8 *1011:8 0.00022447 -10 *915:8 *1012:8 0.000535583 -11 *915:8 *1015:8 0.00649023 -12 *915:8 *1016:8 0.00623473 -13 *915:8 *1181:14 0.00278791 -14 *915:8 *1188:14 0.0396125 -*RES -1 *2820:la_data_in_core[109] *915:7 14.2508 -2 *915:7 *915:8 160.22 -3 *915:8 *915:10 3.36879 -4 *915:10 *2821:la_data_in[109] 71.5089 -*END - -*D_NET *916 0.0304928 -*CONN -*I *2821:la_data_in[10] I *D user_project_wrapper -*I *2820:la_data_in_core[10] O *D mgmt_protect -*CAP -1 *2821:la_data_in[10] 0.00168095 -2 *2820:la_data_in_core[10] 0.00134661 -3 *916:8 0.00319641 -4 *916:7 0.00286207 -5 *2821:la_data_in[10] *1803:7 0 -6 *2821:la_data_in[10] *1871:21 0.000123448 -7 *2821:la_data_in[10] *1935:5 0 -8 *916:7 *2820:la_data_out_core[10] 0 -9 *916:7 *1672:7 0 -10 *916:8 *1172:8 0.0143749 -11 *916:8 *1672:8 0.00661452 -12 *916:8 *1805:8 0.000293924 -*RES -1 *2820:la_data_in_core[10] *916:7 42.5811 -2 *916:7 *916:8 158.785 -3 *916:8 *2821:la_data_in[10] 48.8099 -*END - -*D_NET *917 0.301542 -*CONN -*I *2821:la_data_in[110] I *D user_project_wrapper -*I *2820:la_data_in_core[110] O *D mgmt_protect -*CAP -1 *2821:la_data_in[110] 0.00299433 -2 *2820:la_data_in_core[110] 0.000512116 -3 *917:10 0.00299433 -4 *917:8 0.0111827 -5 *917:7 0.0116948 -6 *917:7 *2820:la_data_out_core[110] 0 -7 *917:7 *986:13 0 -8 *917:7 *1242:13 4.38368e-05 -9 *917:7 *1555:7 0 -10 *917:8 *920:8 0 -11 *917:8 *921:8 0 -12 *917:8 *922:8 0 -13 *917:8 *923:8 9.37208e-05 -14 *917:8 *924:8 0.000151872 -15 *917:8 *925:8 0.000196619 -16 *917:8 *926:8 0.000364076 -17 *917:8 *928:8 0.119348 -18 *917:8 *1019:8 0.00767956 -19 *917:8 *1173:8 0 -20 *917:8 *1175:8 0 -21 *917:8 *1179:8 0.0186465 -22 *915:8 *917:8 0.12564 -*RES -1 *2820:la_data_in_core[110] *917:7 15.0813 -2 *917:7 *917:8 161.98 -3 *917:8 *917:10 3.36879 -4 *917:10 *2821:la_data_in[110] 70.6784 -*END - -*D_NET *918 0.193117 -*CONN -*I *2821:la_data_in[111] I *D user_project_wrapper -*I *2820:la_data_in_core[111] O *D mgmt_protect -*CAP -1 *2821:la_data_in[111] 0.00254127 -2 *2820:la_data_in_core[111] 0.00101734 -3 *918:10 0.00254127 -4 *918:8 0.0201492 -5 *918:7 0.0211665 -6 *918:7 *2820:la_data_out_core[111] 0 -7 *918:7 *1557:5 0 -8 *918:8 *1173:8 0.127839 -9 *918:8 *1175:8 0.000667856 -10 *918:8 *1281:8 0 -11 *918:8 *1547:8 0.00348738 -12 *918:8 *1656:8 0.0131332 -13 *918:8 *1658:8 0.000573548 -14 *918:8 *1660:8 0 -15 *918:8 *1663:8 0 -16 *918:8 *2738:8 0 -*RES -1 *2820:la_data_in_core[111] *918:7 24.2169 -2 *918:7 *918:8 163.892 -3 *918:8 *918:10 3.36879 -4 *918:10 *2821:la_data_in[111] 61.5428 -*END - -*D_NET *919 0.257698 -*CONN -*I *2821:la_data_in[112] I *D user_project_wrapper -*I *2820:la_data_in_core[112] O *D mgmt_protect -*CAP -1 *2821:la_data_in[112] 0.00286542 -2 *2820:la_data_in_core[112] 0.000611663 -3 *919:10 0.00286542 -4 *919:8 0.00843206 -5 *919:7 0.00904372 -6 *919:7 *2820:la_data_out_core[112] 0 -7 *919:7 *1558:7 0 -8 *919:8 *928:8 0.0136354 -9 *919:8 *985:14 0.000760669 -10 *919:8 *1170:8 0.000313928 -11 *919:8 *1177:8 0.112784 -12 *914:8 *919:8 0.106386 -*RES -1 *2820:la_data_in_core[112] *919:7 17.6659 -2 *919:7 *919:8 1200.89 -3 *919:8 *919:10 4.5 -4 *919:10 *2821:la_data_in[112] 69.225 -*END - -*D_NET *920 0.309128 -*CONN -*I *2821:la_data_in[113] I *D user_project_wrapper -*I *2820:la_data_in_core[113] O *D mgmt_protect -*CAP -1 *2821:la_data_in[113] 0.00260145 -2 *2820:la_data_in_core[113] 0.000878677 -3 *920:10 0.00260145 -4 *920:8 0.00909721 -5 *920:7 0.00997589 -6 *920:7 *1176:11 0 -7 *920:7 *1559:5 0 -8 *920:8 *921:8 0.131495 -9 *920:8 *1030:8 0.0100437 -10 *920:8 *1162:8 0.0116336 -11 *920:8 *1175:8 0.130801 -12 *917:8 *920:8 0 -*RES -1 *2820:la_data_in_core[113] *920:7 21.7254 -2 *920:7 *920:8 167.563 -3 *920:8 *920:10 3.36879 -4 *920:10 *2821:la_data_in[113] 64.0343 -*END - -*D_NET *921 0.312733 -*CONN -*I *2821:la_data_in[114] I *D user_project_wrapper -*I *2820:la_data_in_core[114] O *D mgmt_protect -*CAP -1 *2821:la_data_in[114] 0.00261349 -2 *2820:la_data_in_core[114] 0.000835805 -3 *921:10 0.00261349 -4 *921:8 0.00928385 -5 *921:7 0.0101197 -6 *921:7 *2820:la_data_out_core[114] 0 -7 *921:7 *1560:7 0 -8 *921:7 *1561:5 0 -9 *921:8 *922:8 0.13297 -10 *921:8 *1164:8 0.0120275 -11 *907:8 *921:8 0.0107751 -12 *917:8 *921:8 0 -13 *920:8 *921:8 0.131495 -*RES -1 *2820:la_data_in_core[114] *921:7 20.8949 -2 *921:7 *921:8 169.323 -3 *921:8 *921:10 3.36879 -4 *921:10 *2821:la_data_in[114] 64.8648 -*END - -*D_NET *922 0.316068 -*CONN -*I *2821:la_data_in[115] I *D user_project_wrapper -*I *2820:la_data_in_core[115] O *D mgmt_protect -*CAP -1 *2821:la_data_in[115] 0.00263455 -2 *2820:la_data_in_core[115] 0.000769323 -3 *922:10 0.00263455 -4 *922:8 0.00918662 -5 *922:7 0.00995594 -6 *922:7 *2820:la_data_out_core[115] 0 -7 *922:7 *2821:la_data_in[57] 8.16687e-05 -8 *922:7 *1561:5 0 -9 *922:8 *923:8 0.134451 -10 *908:8 *922:8 0.0110575 -11 *909:8 *922:8 0.0123268 -12 *917:8 *922:8 0 -13 *921:8 *922:8 0.13297 -*RES -1 *2820:la_data_in_core[115] *922:7 20.0644 -2 *922:7 *922:8 171.235 -3 *922:8 *922:10 3.36879 -4 *922:10 *2821:la_data_in[115] 65.6953 -*END - -*D_NET *923 0.319671 -*CONN -*I *2821:la_data_in[116] I *D user_project_wrapper -*I *2820:la_data_in_core[116] O *D mgmt_protect -*CAP -1 *2821:la_data_in[116] 0.00264659 -2 *2820:la_data_in_core[116] 0.00075008 -3 *923:10 0.00264659 -4 *923:8 0.00918169 -5 *923:7 0.00993177 -6 *923:7 *2820:la_data_out_core[116] 0 -7 *923:7 *1562:7 0 -8 *923:7 *1563:5 0 -9 *923:8 *924:8 0.135926 -10 *923:8 *1166:8 0.0125289 -11 *910:8 *923:8 0.0115137 -12 *917:8 *923:8 9.37208e-05 -13 *922:8 *923:8 0.134451 -*RES -1 *2820:la_data_in_core[116] *923:7 19.2339 -2 *923:7 *923:8 172.994 -3 *923:8 *923:10 3.36879 -4 *923:10 *2821:la_data_in[116] 66.5258 -*END - -*D_NET *924 0.323421 -*CONN -*I *2821:la_data_in[117] I *D user_project_wrapper -*I *2820:la_data_in_core[117] O *D mgmt_protect -*CAP -1 *2821:la_data_in[117] 0.00266766 -2 *2820:la_data_in_core[117] 0.000714039 -3 *924:10 0.00266766 -4 *924:8 0.00912606 -5 *924:7 0.0098401 -6 *924:7 *2820:la_data_out_core[117] 0 -7 *924:7 *1563:5 0 -8 *924:8 *925:8 0.137408 -9 *924:8 *1168:8 0.0131302 -10 *911:8 *924:8 0.0117889 -11 *917:8 *924:8 0.000151872 -12 *923:8 *924:8 0.135926 -*RES -1 *2820:la_data_in_core[117] *924:7 18.4034 -2 *924:7 *924:8 174.906 -3 *924:8 *924:10 3.36879 -4 *924:10 *2821:la_data_in[117] 67.3563 -*END - -*D_NET *925 0.326622 -*CONN -*I *2821:la_data_in[118] I *D user_project_wrapper -*I *2820:la_data_in_core[118] O *D mgmt_protect -*CAP -1 *2821:la_data_in[118] 0.00267882 -2 *2820:la_data_in_core[118] 0.000646839 -3 *925:10 0.00267882 -4 *925:8 0.00892903 -5 *925:7 0.00957587 -6 *925:7 *2820:la_data_out_core[118] 0 -7 *925:7 *2821:la_data_in[58] 4.86827e-05 -8 *925:7 *1242:5 0 -9 *925:7 *1564:7 0 -10 *925:8 *926:8 0.13895 -11 *912:8 *925:8 0.0120713 -12 *913:8 *925:8 0.0134374 -13 *917:8 *925:8 0.000196619 -14 *924:8 *925:8 0.137408 -*RES -1 *2820:la_data_in_core[118] *925:7 17.5729 -2 *925:7 *925:8 176.742 -3 *925:8 *925:10 3.36879 -4 *925:10 *2821:la_data_in[118] 68.1869 -*END - -*D_NET *926 0.330442 -*CONN -*I *2821:la_data_in[119] I *D user_project_wrapper -*I *2820:la_data_in_core[119] O *D mgmt_protect -*CAP -1 *2821:la_data_in[119] 0.00269305 -2 *2820:la_data_in_core[119] 0.000621463 -3 *926:10 0.00269305 -4 *926:8 0.00888062 -5 *926:7 0.00950208 -6 *926:7 *2820:la_data_out_core[119] 0 -7 *926:7 *1565:8 0 -8 *926:8 *928:8 0.140371 -9 *926:8 *1170:8 0.0126289 -10 *914:8 *926:8 0.0137367 -11 *917:8 *926:8 0.000364076 -12 *925:8 *926:8 0.13895 -*RES -1 *2820:la_data_in_core[119] *926:7 16.7423 -2 *926:7 *926:8 178.578 -3 *926:8 *926:10 3.36879 -4 *926:10 *2821:la_data_in[119] 69.0174 -*END - -*D_NET *927 0.0215416 -*CONN -*I *2821:la_data_in[11] I *D user_project_wrapper -*I *2820:la_data_in_core[11] O *D mgmt_protect -*CAP -1 *2821:la_data_in[11] 0.00121167 -2 *2820:la_data_in_core[11] 0.00180733 -3 *927:8 0.00428089 -4 *927:7 0.00306922 -5 *927:5 0.00180733 -6 *927:5 *2820:la_data_out_core[11] 0 -7 *927:5 *2821:la_data_in[18] 0 -8 *927:5 *2821:la_oenb[17] 0 -9 *927:5 *1556:7 0 -10 *927:8 *937:8 0.00045363 -11 *927:8 *977:14 0.000378828 -12 *927:8 *1567:8 0.000826775 -13 *927:8 *1578:8 0.0028274 -14 *927:8 *1881:8 0.0048785 -*RES -1 *2820:la_data_in_core[11] *927:5 48.4624 -2 *927:5 *927:7 4.5 -3 *927:7 *927:8 144.92 -4 *927:8 *2821:la_data_in[11] 38.4285 -*END - -*D_NET *928 0.323364 -*CONN -*I *2821:la_data_in[120] I *D user_project_wrapper -*I *2820:la_data_in_core[120] O *D mgmt_protect -*CAP -1 *2821:la_data_in[120] 0.00270421 -2 *2820:la_data_in_core[120] 0.000578619 -3 *928:10 0.00270421 -4 *928:8 0.0119863 -5 *928:7 0.0125649 -6 *928:7 *2820:la_data_out_core[120] 0 -7 *928:7 *2821:la_data_in[59] 0 -8 *928:7 *1566:7 0 -9 *928:8 *1177:8 0.0156429 -10 *928:8 *1179:8 0.00382805 -11 *917:8 *928:8 0.119348 -12 *919:8 *928:8 0.0136354 -13 *926:8 *928:8 0.140371 -*RES -1 *2820:la_data_in_core[120] *928:7 15.9118 -2 *928:7 *928:8 180.414 -3 *928:8 *928:10 3.36879 -4 *928:10 *2821:la_data_in[120] 69.8479 -*END - -*D_NET *929 0.256485 -*CONN -*I *2821:la_data_in[121] I *D user_project_wrapper -*I *2820:la_data_in_core[121] O *D mgmt_protect -*CAP -1 *2821:la_data_in[121] 0.000687802 -2 *2820:la_data_in_core[121] 0.00312151 -3 *929:14 0.0123142 -4 *929:13 0.0119805 -5 *929:8 0.00227623 -6 *929:7 0.00192219 -7 *929:5 0.00312151 -8 *929:5 *2821:la_data_in[59] 0 -9 *929:5 *1185:11 0 -10 *929:5 *1565:13 0 -11 *929:5 *1568:5 0 -12 *929:8 *933:8 0.00817273 -13 *929:8 *992:8 0.00135682 -14 *929:8 *994:8 6.11359e-06 -15 *929:8 *1655:14 0.00204744 -16 *929:8 *1668:8 0.000423086 -17 *929:13 *2821:la_data_in[65] 0 -18 *929:13 *933:13 0.000361224 -19 *929:14 *931:12 0.114155 -20 *929:14 *932:10 0.00409553 -21 *929:14 *1171:8 0.0904434 -*RES -1 *2820:la_data_in_core[121] *929:5 55.9369 -2 *929:5 *929:7 4.5 -3 *929:7 *929:8 119.409 -4 *929:8 *929:13 17.476 -5 *929:13 *929:14 1201.99 -6 *929:14 *2821:la_data_in[121] 22.649 -*END - -*D_NET *930 0.340384 -*CONN -*I *2821:la_data_in[122] I *D user_project_wrapper -*I *2820:la_data_in_core[122] O *D mgmt_protect -*CAP -1 *2821:la_data_in[122] 0.000644427 -2 *2820:la_data_in_core[122] 8.20467e-05 -3 *930:10 0.0201607 -4 *930:9 0.0195163 -5 *930:7 0.00325583 -6 *930:5 0.00333788 -7 *930:7 *2820:la_data_out_core[122] 0.000377948 -8 *930:7 *2821:la_oenb[59] 0.000914425 -9 *930:7 *1569:7 0 -10 *930:7 *1570:5 0 -11 *930:10 *932:10 0.142936 -12 *930:10 *1167:8 0.00233155 -13 *930:10 *1169:8 0.00273298 -14 *930:10 *1185:8 0.000371151 -15 *930:10 *1187:8 0.143722 -*RES -1 *2820:la_data_in_core[122] *930:5 2.33274 -2 *930:5 *930:7 64.9503 -3 *930:7 *930:9 3.36879 -4 *930:9 *930:10 183.779 -5 *930:10 *2821:la_data_in[122] 20.8949 -*END - -*D_NET *931 0.280741 -*CONN -*I *2821:la_data_in[123] I *D user_project_wrapper -*I *2820:la_data_in_core[123] O *D mgmt_protect -*CAP -1 *2821:la_data_in[123] 0.000688851 -2 *2820:la_data_in_core[123] 0.00280332 -3 *931:12 0.00942383 -4 *931:10 0.00875801 -5 *931:8 0.000985414 -6 *931:7 0.00096238 -7 *931:5 0.00280332 -8 *931:5 *2820:la_data_out_core[123] 0 -9 *931:5 *2821:la_data_in[60] 0.00275357 -10 *931:5 *1570:5 0 -11 *931:8 *2821:la_data_in[62] 0.000424495 -12 *931:8 *935:10 0.00111433 -13 *931:8 *935:14 0.00203149 -14 *931:8 *935:16 0.00024962 -15 *931:8 *990:8 0.000576142 -16 *931:8 *991:10 9.82896e-06 -17 *931:8 *1171:8 0.00967416 -18 *931:8 *1245:8 0.000738355 -19 *931:12 *933:14 0.118271 -20 *931:12 *1171:8 1.41689e-05 -21 *931:12 *1189:8 0.00430359 -22 *929:14 *931:12 0.114155 -*RES -1 *2820:la_data_in_core[123] *931:5 64.242 -2 *931:5 *931:7 4.5 -3 *931:7 *931:8 102.216 -4 *931:8 *931:10 0.578717 -5 *931:10 *931:12 1245.25 -6 *931:12 *2821:la_data_in[123] 23.0642 -*END - -*D_NET *932 0.348385 -*CONN -*I *2821:la_data_in[124] I *D user_project_wrapper -*I *2820:la_data_in_core[124] O *D mgmt_protect -*CAP -1 *2821:la_data_in[124] 0.000664609 -2 *2820:la_data_in_core[124] 6.22868e-05 -3 *932:10 0.0217123 -4 *932:9 0.0210477 -5 *932:7 0.00347228 -6 *932:5 0.00353457 -7 *932:7 *2820:la_data_out_core[124] 0.000257033 -8 *932:7 *2821:la_oenb[60] 0 -9 *932:7 *1245:7 3.93117e-06 -10 *932:7 *1571:7 0 -11 *932:7 *1572:5 0 -12 *932:10 *1171:8 0.0026936 -13 *932:10 *1187:8 0.0012888 -14 *932:10 *1189:8 0.146616 -15 *929:14 *932:10 0.00409553 -16 *930:10 *932:10 0.142936 -*RES -1 *2820:la_data_in_core[124] *932:5 1.77093 -2 *932:5 *932:7 64.1198 -3 *932:7 *932:9 3.36879 -4 *932:9 *932:10 187.527 -5 *932:10 *2821:la_data_in[124] 21.7254 -*END - -*D_NET *933 0.288191 -*CONN -*I *2821:la_data_in[125] I *D user_project_wrapper -*I *2820:la_data_in_core[125] O *D mgmt_protect -*CAP -1 *2821:la_data_in[125] 0.000689982 -2 *2820:la_data_in_core[125] 0.00308447 -3 *933:14 0.00968523 -4 *933:13 0.00924513 -5 *933:8 0.000980274 -6 *933:7 0.000730397 -7 *933:5 0.00308447 -8 *933:5 *2820:la_data_out_core[125] 0 -9 *933:5 *2821:la_oenb[60] 0.000245836 -10 *933:5 *1572:5 0 -11 *933:8 *992:8 0.0045872 -12 *933:8 *993:14 0.000926694 -13 *933:8 *994:8 0.000393324 -14 *933:8 *1668:8 0.000134497 -15 *933:13 *2821:la_oenb[64] 7.96949e-05 -16 *933:14 *935:16 0.122341 -17 *933:14 *1189:8 0.0051773 -18 *929:8 *933:8 0.00817273 -19 *929:13 *933:13 0.000361224 -20 *931:12 *933:14 0.118271 -*RES -1 *2820:la_data_in_core[125] *933:5 56.3522 -2 *933:5 *933:7 4.5 -3 *933:7 *933:8 86.1323 -4 *933:8 *933:13 16.2303 -5 *933:13 *933:14 1287.96 -6 *933:14 *2821:la_data_in[125] 23.4795 -*END - -*D_NET *934 0.269662 -*CONN -*I *2821:la_data_in[126] I *D user_project_wrapper -*I *2820:la_data_in_core[126] O *D mgmt_protect -*CAP -1 *2821:la_data_in[126] 0.00072984 -2 *2820:la_data_in_core[126] 0.000206688 -3 *934:14 0.0509859 -4 *934:13 0.0502561 -5 *934:11 0.00330263 -6 *934:9 0.00350932 -7 *934:9 *2820:la_data_out_core[126] 0.00012021 -8 *934:9 *1573:7 0 -9 *934:11 *2820:la_data_out_core[126] 0.000295244 -10 *934:11 *1246:5 0 -11 *934:11 *1573:7 0 -12 *934:14 *935:16 0.0101542 -13 *934:14 *1000:10 0.00015065 -14 *934:14 *1001:8 0.000282057 -15 *934:14 *1002:8 0.000163504 -16 *934:14 *1003:8 0.000181021 -17 *934:14 *1006:8 0.000196901 -18 *934:14 *1007:10 0.000196901 -19 *934:14 *1189:8 0.148797 -20 *934:14 *1254:8 0.000134497 -21 *934:14 *1668:8 0 -*RES -1 *2820:la_data_in_core[126] *934:9 7.16921 -2 *934:9 *934:11 58.5261 -3 *934:11 *934:13 3.36879 -4 *934:13 *934:14 191.046 -5 *934:14 *2821:la_data_in[126] 23.3864 -*END - -*D_NET *935 0.227974 -*CONN -*I *2821:la_data_in[127] I *D user_project_wrapper -*I *2820:la_data_in_core[127] O *D mgmt_protect -*CAP -1 *2821:la_data_in[127] 0.000700493 -2 *2820:la_data_in_core[127] 0.00360699 -3 *935:16 0.0264456 -4 *935:14 0.0262241 -5 *935:10 0.000587673 -6 *935:5 0.00371569 -7 *935:5 *2820:la_data_out_core[127] 0 -8 *935:5 *2821:la_oenb[61] 0 -9 *935:5 *1246:5 0 -10 *935:5 *1250:19 0 -11 *935:5 *1565:19 0 -12 *935:5 *1574:5 0 -13 *935:10 *2821:la_data_in[62] 0.00106346 -14 *935:10 *1250:16 1.67988e-05 -15 *935:14 *1007:10 0.000513755 -16 *935:14 *1250:16 0.00337859 -17 *935:16 *1007:10 0.0258299 -18 *931:8 *935:10 0.00111433 -19 *931:8 *935:14 0.00203149 -20 *931:8 *935:16 0.00024962 -21 *933:14 *935:16 0.122341 -22 *934:14 *935:16 0.0101542 -*RES -1 *2820:la_data_in_core[127] *935:5 63.8267 -2 *935:5 *935:10 16.8942 -3 *935:10 *935:14 48.4434 -4 *935:14 *935:16 1341.75 -5 *935:16 *2821:la_data_in[127] 23.8947 -*END - -*D_NET *936 0.0308654 -*CONN -*I *2821:la_data_in[12] I *D user_project_wrapper -*I *2820:la_data_in_core[12] O *D mgmt_protect -*CAP -1 *2821:la_data_in[12] 0.00112404 -2 *2820:la_data_in_core[12] 0.00189595 -3 *936:8 0.002193 -4 *936:7 0.00106896 -5 *936:5 0.00189595 -6 *936:5 *2820:la_data_out_core[12] 0 -7 *936:5 *1567:5 0 -8 *936:8 *1192:8 0.00121647 -9 *936:8 *1567:8 0.0122957 -10 *936:8 *1577:8 0.00917531 -11 *936:8 *1578:8 0 -*RES -1 *2820:la_data_in_core[12] *936:5 50.9539 -2 *936:5 *936:7 4.5 -3 *936:7 *936:8 132.164 -4 *936:8 *2821:la_data_in[12] 35.937 -*END - -*D_NET *937 0.0284686 -*CONN -*I *2821:la_data_in[13] I *D user_project_wrapper -*I *2820:la_data_in_core[13] O *D mgmt_protect -*CAP -1 *2821:la_data_in[13] 0.00130327 -2 *2820:la_data_in_core[13] 0.00175428 -3 *937:8 0.00232835 -4 *937:7 0.00102509 -5 *937:5 0.00175428 -6 *937:5 *2820:la_data_out_core[13] 0 -7 *937:5 *1576:5 0 -8 *937:8 *938:14 0.000186599 -9 *937:8 *939:8 0.00713367 -10 *937:8 *977:14 0.00164119 -11 *937:8 *1211:8 0.0030664 -12 *937:8 *1578:8 0.00782182 -13 *927:8 *937:8 0.00045363 -*RES -1 *2820:la_data_in_core[13] *937:5 47.2166 -2 *937:5 *937:7 4.5 -3 *937:7 *937:8 118.299 -4 *937:8 *2821:la_data_in[13] 39.6743 -*END - -*D_NET *938 0.0246317 -*CONN -*I *2821:la_data_in[14] I *D user_project_wrapper -*I *2820:la_data_in_core[14] O *D mgmt_protect -*CAP -1 *2821:la_data_in[14] 0.00137458 -2 *2820:la_data_in_core[14] 0.00167483 -3 *938:14 0.00182889 -4 *938:8 0.00101459 -5 *938:7 0.000560284 -6 *938:5 0.00167483 -7 *2821:la_data_in[14] *944:5 2.95757e-05 -8 *2821:la_data_in[14] *977:13 0 -9 *938:5 *2820:la_data_out_core[14] 0 -10 *938:5 *2821:la_data_in[19] 3.55296e-05 -11 *938:5 *1577:5 0 -12 *938:8 *1193:8 9.82896e-06 -13 *938:8 *1193:12 0.00602261 -14 *938:8 *1195:12 0.00664701 -15 *938:8 *1579:8 0.000242981 -16 *938:14 *939:8 0.000183679 -17 *938:14 *944:8 6.08467e-05 -18 *938:14 *955:8 0.00035468 -19 *938:14 *966:8 0.00158584 -20 *938:14 *1193:8 0.00079428 -21 *938:14 *1193:10 1.09551e-05 -22 *938:14 *1195:10 4.27168e-05 -23 *938:14 *1195:12 0.000246208 -24 *938:14 *1211:8 5.03285e-05 -25 *937:8 *938:14 0.000186599 -*RES -1 *2820:la_data_in_core[14] *938:5 45.9709 -2 *938:5 *938:7 4.5 -3 *938:7 *938:8 70.6034 -4 *938:8 *938:14 40.1875 -5 *938:14 *2821:la_data_in[14] 36.8353 -*END - -*D_NET *939 0.0236111 -*CONN -*I *2821:la_data_in[15] I *D user_project_wrapper -*I *2820:la_data_in_core[15] O *D mgmt_protect -*CAP -1 *2821:la_data_in[15] 0.00133153 -2 *2820:la_data_in_core[15] 0.00167484 -3 *939:8 0.00213928 -4 *939:7 0.000807744 -5 *939:5 0.00167484 -6 *939:5 *2820:la_data_out_core[15] 0 -7 *939:5 *1199:7 0.00016343 -8 *939:5 *1578:5 0 -9 *939:8 *1195:10 8.29362e-06 -10 *939:8 *1195:12 0.00792784 -11 *939:8 *1578:8 0.000565984 -12 *937:8 *939:8 0.00713367 -13 *938:14 *939:8 0.000183679 -*RES -1 *2820:la_data_in_core[15] *939:5 46.8014 -2 *939:5 *939:7 4.5 -3 *939:7 *939:8 91.6784 -4 *939:8 *2821:la_data_in[15] 40.0896 -*END - -*D_NET *940 0.021035 -*CONN -*I *2821:la_data_in[16] I *D user_project_wrapper -*I *2820:la_data_in_core[16] O *D mgmt_protect -*CAP -1 *2821:la_data_in[16] 0.00143871 -2 *2820:la_data_in_core[16] 0.00164616 -3 *940:8 0.00216918 -4 *940:7 0.00237662 -5 *940:7 *2820:la_data_out_core[16] 0 -6 *940:7 *1579:7 0 -7 *940:8 *941:10 0.00534245 -8 *940:8 *1183:12 0.000926694 -9 *940:8 *1579:8 0.00713521 -*RES -1 *2820:la_data_in_core[16] *940:7 49.2251 -2 *940:7 *940:8 78.9225 -3 *940:8 *2821:la_data_in[16] 42.1658 -*END - -*D_NET *941 0.0191515 -*CONN -*I *2821:la_data_in[17] I *D user_project_wrapper -*I *2820:la_data_in_core[17] O *D mgmt_protect -*CAP -1 *2821:la_data_in[17] 0.00146015 -2 *2820:la_data_in_core[17] 0.00167874 -3 *941:10 0.00216867 -4 *941:9 0.000708521 -5 *941:7 0.00167874 -6 *941:7 *2820:la_data_out_core[17] 0 -7 *941:7 *1580:7 0 -8 *941:7 *1581:7 0 -9 *941:10 *942:8 0.00419342 -10 *941:10 *945:10 5.67722e-05 -11 *941:10 *1183:12 0.00185138 -12 *941:10 *1580:8 1.26362e-05 -13 *940:8 *941:10 0.00534245 -*RES -1 *2820:la_data_in_core[17] *941:7 46.1663 -2 *941:7 *941:9 4.5 -3 *941:9 *941:10 66.7212 -4 *941:10 *2821:la_data_in[17] 42.5811 -*END - -*D_NET *942 0.0153305 -*CONN -*I *2821:la_data_in[18] I *D user_project_wrapper -*I *2820:la_data_in_core[18] O *D mgmt_protect -*CAP -1 *2821:la_data_in[18] 0.00138693 -2 *2820:la_data_in_core[18] 0.00157839 -3 *942:8 0.00202796 -4 *942:7 0.00221942 -5 *2821:la_data_in[18] *1567:5 0.000374412 -6 *942:7 *2820:la_data_out_core[18] 0 -7 *942:7 *1581:7 0 -8 *942:8 *943:10 0.00287711 -9 *942:8 *945:10 0.000115035 -10 *942:8 *1198:8 0.000161084 -11 *942:8 *1580:8 0.000102856 -12 *942:8 *1582:10 0.000293924 -13 *927:5 *2821:la_data_in[18] 0 -14 *941:10 *942:8 0.00419342 -*RES -1 *2820:la_data_in_core[18] *942:7 48.3946 -2 *942:7 *942:8 51.7469 -3 *942:8 *2821:la_data_in[18] 42.9963 -*END - -*D_NET *943 0.0132487 -*CONN -*I *2821:la_data_in[19] I *D user_project_wrapper -*I *2820:la_data_in_core[19] O *D mgmt_protect -*CAP -1 *2821:la_data_in[19] 0.0013462 -2 *2820:la_data_in_core[19] 0.00154329 -3 *943:10 0.00177591 -4 *943:7 0.001973 -5 *2821:la_data_in[19] *2820:la_data_out_core[14] 0.000243131 -6 *2821:la_data_in[19] *1577:5 0 -7 *943:7 *2820:la_data_out_core[19] 0 -8 *943:7 *2821:la_data_in[21] 0 -9 *943:7 *1582:7 0 -10 *943:10 *945:10 4.856e-05 -11 *943:10 *1199:10 0 -12 *943:10 *1582:10 0.00340594 -13 *938:5 *2821:la_data_in[19] 3.55296e-05 -14 *942:8 *943:10 0.00287711 -*RES -1 *2820:la_data_in_core[19] *943:7 47.9793 -2 *943:7 *943:10 42.9364 -3 *943:10 *2821:la_data_in[19] 38.9116 -*END - -*D_NET *944 0.0586181 -*CONN -*I *2821:la_data_in[1] I *D user_project_wrapper -*I *2820:la_data_in_core[1] O *D mgmt_protect -*CAP -1 *2821:la_data_in[1] 0.00196216 -2 *2820:la_data_in_core[1] 0.00182133 -3 *944:14 0.00265722 -4 *944:13 0.00108158 -5 *944:8 0.00222154 -6 *944:7 0.00183502 -7 *944:5 0.00182133 -8 *2821:la_data_in[1] *2820:mprj_dat_i_user[0] 0 -9 *2821:la_data_in[1] *2496:7 0 -10 *944:5 *2820:la_data_out_core[1] 0 -11 *944:5 *977:13 0 -12 *944:5 *1545:7 0 -13 *944:5 *1584:7 0 -14 *944:8 *955:8 0.021054 -15 *944:8 *966:8 0.00382662 -16 *944:8 *1803:10 0.0124684 -17 *944:13 *2821:la_oenb[3] 8.59499e-05 -18 *944:13 *1222:5 0 -19 *944:14 *1809:14 0.0014732 -20 *944:14 *1870:8 0.00524313 -21 *944:14 *1878:8 4.0752e-05 -22 *944:14 *1945:8 0.00093549 -23 *2821:la_data_in[14] *944:5 2.95757e-05 -24 *938:14 *944:8 6.08467e-05 -*RES -1 *2820:la_data_in_core[1] *944:5 45.9709 -2 *944:5 *944:7 4.5 -3 *944:7 *944:8 223.119 -4 *944:8 *944:13 17.0608 -5 *944:13 *944:14 55.6292 -6 *944:14 *2821:la_data_in[1] 48.8099 -*END - -*D_NET *945 0.00780264 -*CONN -*I *2821:la_data_in[20] I *D user_project_wrapper -*I *2820:la_data_in_core[20] O *D mgmt_protect -*CAP -1 *2821:la_data_in[20] 0.00132072 -2 *2820:la_data_in_core[20] 0.00161391 -3 *945:10 0.00217723 -4 *945:5 0.00247042 -5 *945:5 *2820:la_data_out_core[20] 0 -6 *945:5 *1583:5 0 -7 *941:10 *945:10 5.67722e-05 -8 *942:8 *945:10 0.000115035 -9 *943:10 *945:10 4.856e-05 -*RES -1 *2820:la_data_in_core[20] *945:5 45.5556 -2 *945:5 *945:10 34.126 -3 *945:10 *2821:la_data_in[20] 36.8353 -*END - -*D_NET *946 0.00703163 -*CONN -*I *2821:la_data_in[21] I *D user_project_wrapper -*I *2820:la_data_in_core[21] O *D mgmt_protect -*CAP -1 *2821:la_data_in[21] 0.00144379 -2 *2820:la_data_in_core[21] 0.0013296 -3 *946:10 0.00192583 -4 *946:7 0.00181164 -5 *2821:la_data_in[21] *2820:la_data_out_core[19] 4.50467e-05 -6 *2821:la_data_in[21] *1583:5 0.000475724 -7 *946:7 *2820:la_data_out_core[21] 0 -8 *946:7 *1585:5 0 -9 *946:10 *1202:10 0 -10 *943:7 *2821:la_data_in[21] 0 -*RES -1 *2820:la_data_in_core[21] *946:7 42.1658 -2 *946:7 *946:10 16.3155 -3 *946:10 *2821:la_data_in[21] 44.7251 -*END - -*D_NET *947 0.00598558 -*CONN -*I *2821:la_data_in[22] I *D user_project_wrapper -*I *2820:la_data_in_core[22] O *D mgmt_protect -*CAP -1 *2821:la_data_in[22] 8.34852e-05 -2 *2820:la_data_in_core[22] 0.0029093 -3 *947:5 0.00299279 -4 *947:5 *2820:la_data_out_core[22] 0 -5 *947:5 *1586:5 0 -*RES -1 *2820:la_data_in_core[22] *947:5 82.3054 -2 *947:5 *2821:la_data_in[22] 1.77093 -*END - -*D_NET *948 0.00836864 -*CONN -*I *2821:la_data_in[23] I *D user_project_wrapper -*I *2820:la_data_in_core[23] O *D mgmt_protect -*CAP -1 *2821:la_data_in[23] 0.00163351 -2 *2820:la_data_in_core[23] 0.00129798 -3 *948:10 0.00182611 -4 *948:7 0.00149058 -5 *2821:la_data_in[23] *950:7 1.77537e-06 -6 *948:7 *2820:la_data_out_core[23] 0 -7 *948:7 *1587:7 0 -8 *948:10 *1204:10 0.00013491 -9 *948:10 *1205:10 0.000379505 -10 *948:10 *1587:10 0.000695917 -11 *948:10 *1588:10 0.000908347 -*RES -1 *2820:la_data_in_core[23] *948:7 41.3353 -2 *948:7 *948:10 19.0885 -3 *948:10 *2821:la_data_in[23] 45.5556 -*END - -*D_NET *949 0.0102165 -*CONN -*I *2821:la_data_in[24] I *D user_project_wrapper -*I *2820:la_data_in_core[24] O *D mgmt_protect -*CAP -1 *2821:la_data_in[24] 0.00171317 -2 *2820:la_data_in_core[24] 0.00123956 -3 *949:10 0.00219093 -4 *949:7 0.00171732 -5 *949:7 *2820:la_data_out_core[24] 0 -6 *949:7 *1588:7 0 -7 *949:10 *1206:8 0.00148832 -8 *949:10 *1588:10 0.000128915 -9 *949:10 *1589:10 0.000298839 -10 *949:10 *1590:8 0.00143942 -*RES -1 *2820:la_data_in_core[24] *949:7 39.6743 -2 *949:7 *949:10 32.9536 -3 *949:10 *2821:la_data_in[24] 47.2166 -*END - -*D_NET *950 0.0131814 -*CONN -*I *2821:la_data_in[25] I *D user_project_wrapper -*I *2820:la_data_in_core[25] O *D mgmt_protect -*CAP -1 *2821:la_data_in[25] 0.00163428 -2 *2820:la_data_in_core[25] 0.00131909 -3 *950:10 0.00196607 -4 *950:7 0.00165088 -5 *950:7 *2820:la_data_out_core[24] 0 -6 *950:7 *2820:la_data_out_core[25] 0 -7 *950:7 *1589:7 0 -8 *950:10 *951:8 8.66138e-05 -9 *950:10 *1205:10 0.0025949 -10 *950:10 *1210:14 0.000695903 -11 *950:10 *1588:10 0.00122278 -12 *950:10 *1589:10 0.000892567 -13 *950:10 *1593:10 0.00111656 -14 *2821:la_data_in[23] *950:7 1.77537e-06 -*RES -1 *2820:la_data_in_core[25] *950:7 41.3353 -2 *950:7 *950:10 45.7095 -3 *950:10 *2821:la_data_in[25] 45.5556 -*END - -*D_NET *951 0.0129601 -*CONN -*I *2821:la_data_in[26] I *D user_project_wrapper -*I *2820:la_data_in_core[26] O *D mgmt_protect -*CAP -1 *2821:la_data_in[26] 0.00159141 -2 *2820:la_data_in_core[26] 0.00137641 -3 *951:8 0.00284577 -4 *951:7 0.00263078 -5 *2821:la_data_in[26] *2820:la_data_out_core[33] 0 -6 *951:7 *2820:la_data_out_core[25] 0 -7 *951:7 *2820:la_data_out_core[26] 0 -8 *951:7 *1590:7 0 -9 *951:8 *1205:10 0.00185362 -10 *951:8 *1209:8 0.000453708 -11 *951:8 *1593:10 0.00211693 -12 *951:8 *1593:12 4.89898e-06 -13 *950:10 *951:8 8.66138e-05 -*RES -1 *2820:la_data_in_core[26] *951:7 42.1658 -2 *951:7 *951:8 55.0746 -3 *951:8 *2821:la_data_in[26] 49.2251 -*END - -*D_NET *952 0.0174923 -*CONN -*I *2821:la_data_in[27] I *D user_project_wrapper -*I *2820:la_data_in_core[27] O *D mgmt_protect -*CAP -1 *2821:la_data_in[27] 0.00167248 -2 *2820:la_data_in_core[27] 0.00112316 -3 *952:16 0.00188549 -4 *952:11 0.00117523 -5 *952:7 0.00208538 -6 *2821:la_data_in[27] *2820:la_data_out_core[35] 0.000207891 -7 *2821:la_data_in[27] *1601:7 0.000141757 -8 *952:7 *2820:la_data_out_core[26] 0 -9 *952:7 *2820:la_data_out_core[27] 0 -10 *952:7 *1591:7 0 -11 *952:11 *2820:la_data_out_core[32] 1.77537e-06 -12 *952:11 *953:13 0.0027049 -13 *952:11 *954:13 8.62625e-06 -14 *952:11 *957:8 0.000838125 -15 *952:11 *958:8 0.00011818 -16 *952:11 *1209:16 0.000501532 -17 *952:11 *1590:8 0 -18 *952:16 *956:8 0.00251179 -19 *952:16 *1596:8 0.002516 -*RES -1 *2820:la_data_in_core[27] *952:7 35.937 -2 *952:7 *952:11 48.372 -3 *952:11 *952:16 35.7898 -4 *952:16 *2821:la_data_in[27] 48.4624 -*END - -*D_NET *953 0.0213967 -*CONN -*I *2821:la_data_in[28] I *D user_project_wrapper -*I *2820:la_data_in_core[28] O *D mgmt_protect -*CAP -1 *2821:la_data_in[28] 0.0015953 -2 *2820:la_data_in_core[28] 0.00112219 -3 *953:16 0.0015953 -4 *953:14 0.000455257 -5 *953:13 0.00136281 -6 *953:7 0.00202975 -7 *2821:la_data_in[28] *2820:la_data_out_core[38] 0.000159306 -8 *2821:la_data_in[28] *964:7 0.000195183 -9 *953:7 *2820:la_data_out_core[27] 0 -10 *953:7 *2820:la_data_out_core[28] 0 -11 *953:7 *1592:7 0 -12 *953:13 *2820:la_data_out_core[31] 1.47102e-05 -13 *953:13 *1209:16 0.000200784 -14 *953:13 *1597:7 9.60366e-05 -15 *953:14 *1209:8 0.00493048 -16 *953:14 *1593:12 0.00493469 -17 *952:11 *953:13 0.0027049 -*RES -1 *2820:la_data_in_core[28] *953:7 35.5218 -2 *953:7 *953:13 43.5774 -3 *953:13 *953:14 52.8561 -4 *953:14 *953:16 4.5 -5 *953:16 *2821:la_data_in[28] 45.9709 -*END - -*D_NET *954 0.024866 -*CONN -*I *2821:la_data_in[29] I *D user_project_wrapper -*I *2820:la_data_in_core[29] O *D mgmt_protect -*CAP -1 *2821:la_data_in[29] 0.00164535 -2 *2820:la_data_in_core[29] 0.00095337 -3 *954:16 0.00164535 -4 *954:14 0.000602256 -5 *954:13 0.000972968 -6 *954:7 0.00132408 -7 *2821:la_data_in[29] *2820:la_data_out_core[40] 0 -8 *2821:la_data_in[29] *2820:la_data_out_core[41] 0 -9 *2821:la_data_in[29] *968:7 4.04462e-05 -10 *2821:la_data_in[29] *1607:5 0.000460471 -11 *954:7 *2820:la_data_out_core[29] 0.000693779 -12 *954:7 *1593:7 0 -13 *954:13 *2821:la_oenb[25] 1.09738e-05 -14 *954:13 *956:8 0.00158807 -15 *954:13 *1209:16 0.000243701 -16 *954:13 *1212:8 0.000113197 -17 *954:13 *1590:8 0.000315442 -18 *954:13 *1596:8 0.00106121 -19 *954:14 *1210:8 0.00659125 -20 *954:14 *1594:12 0.00659546 -21 *952:11 *954:13 8.62625e-06 -*RES -1 *2820:la_data_in_core[29] *954:7 38.4285 -2 *954:7 *954:13 34.9881 -3 *954:13 *954:14 70.6034 -4 *954:14 *954:16 4.5 -5 *954:16 *2821:la_data_in[29] 47.2166 -*END - -*D_NET *955 0.0584551 -*CONN -*I *2821:la_data_in[2] I *D user_project_wrapper -*I *2820:la_data_in_core[2] O *D mgmt_protect -*CAP -1 *2821:la_data_in[2] 0.00158281 -2 *2820:la_data_in_core[2] 0.00182988 -3 *955:8 0.00361071 -4 *955:7 0.0020279 -5 *955:5 0.00182988 -6 *2821:la_data_in[2] *1803:10 0.000255147 -7 *2821:la_data_in[2] *1943:7 0 -8 *955:5 *2820:la_data_out_core[2] 0 -9 *955:5 *1584:7 0 -10 *955:8 *1211:8 0.0243589 -11 *955:8 *1803:10 0.00129404 -12 *905:14 *2821:la_data_in[2] 5.95501e-05 -13 *905:14 *955:8 0.000197716 -14 *938:14 *955:8 0.00035468 -15 *944:8 *955:8 0.021054 -*RES -1 *2820:la_data_in_core[2] *955:5 46.3861 -2 *955:5 *955:7 4.5 -3 *955:7 *955:8 262.774 -4 *955:8 *2821:la_data_in[2] 44.1633 -*END - -*D_NET *956 0.0249951 -*CONN -*I *2821:la_data_in[30] I *D user_project_wrapper -*I *2820:la_data_in_core[30] O *D mgmt_protect -*CAP -1 *2821:la_data_in[30] 0.00186149 -2 *2820:la_data_in_core[30] 0.0012649 -3 *956:10 0.00186149 -4 *956:8 0.00116722 -5 *956:7 0.00243213 -6 *2821:la_data_in[30] *2820:la_data_out_core[43] 0.000128678 -7 *2821:la_data_in[30] *970:7 0 -8 *2821:la_data_in[30] *971:7 0 -9 *956:7 *2820:la_data_out_core[30] 0 -10 *956:7 *1594:7 0 -11 *956:7 *1596:7 0 -12 *956:8 *968:8 0 -13 *956:8 *1590:8 0.00148607 -14 *956:8 *1594:10 1.67988e-05 -15 *956:8 *1594:12 0.00794823 -16 *956:8 *1596:8 0.00263427 -17 *956:8 *1609:8 9.39635e-05 -18 *952:16 *956:8 0.00251179 -19 *954:13 *956:8 0.00158807 -*RES -1 *2820:la_data_in_core[30] *956:7 38.8438 -2 *956:7 *956:8 108.316 -3 *956:8 *956:10 4.5 -4 *956:10 *2821:la_data_in[30] 48.0471 -*END - -*D_NET *957 0.0309904 -*CONN -*I *2821:la_data_in[31] I *D user_project_wrapper -*I *2820:la_data_in_core[31] O *D mgmt_protect -*CAP -1 *2821:la_data_in[31] 0.00189619 -2 *2820:la_data_in_core[31] 0.00116365 -3 *957:10 0.00189619 -4 *957:8 0.00101309 -5 *957:7 0.00217674 -6 *2821:la_data_in[31] *2820:la_data_out_core[46] 0.00029511 -7 *2821:la_data_in[31] *1612:7 0 -8 *957:7 *2820:la_data_out_core[31] 0 -9 *957:7 *1596:7 0 -10 *957:8 *958:8 6.24655e-05 -11 *957:8 *1209:16 0.000113197 -12 *957:8 *1213:8 0.0111278 -13 *957:8 *1214:8 0.0104079 -14 *952:11 *957:8 0.000838125 -*RES -1 *2820:la_data_in_core[31] *957:7 36.3523 -2 *957:7 *957:8 121.627 -3 *957:8 *957:10 4.5 -4 *957:10 *2821:la_data_in[31] 50.5386 -*END - -*D_NET *958 0.0333767 -*CONN -*I *2821:la_data_in[32] I *D user_project_wrapper -*I *2820:la_data_in_core[32] O *D mgmt_protect -*CAP -1 *2821:la_data_in[32] 0.00194531 -2 *2820:la_data_in_core[32] 0.00112079 -3 *958:10 0.00194531 -4 *958:8 0.00119309 -5 *958:7 0.00231388 -6 *2821:la_data_in[32] *2820:la_data_out_core[48] 0 -7 *2821:la_data_in[32] *1610:13 0.000312343 -8 *958:7 *2820:la_data_out_core[32] 0 -9 *958:7 *1597:7 0 -10 *958:8 *959:8 0.0118626 -11 *958:8 *1214:8 0.0123895 -12 *958:8 *1598:8 0.000113197 -13 *952:11 *958:8 0.00011818 -14 *957:8 *958:8 6.24655e-05 -*RES -1 *2820:la_data_in_core[32] *958:7 35.5218 -2 *958:7 *958:8 134.937 -3 *958:8 *958:10 4.5 -4 *958:10 *2821:la_data_in[32] 51.3691 -*END - -*D_NET *959 0.0352189 -*CONN -*I *2821:la_data_in[33] I *D user_project_wrapper -*I *2820:la_data_in_core[33] O *D mgmt_protect -*CAP -1 *2821:la_data_in[33] 0.00209629 -2 *2820:la_data_in_core[33] 0.00111201 -3 *959:10 0.00209629 -4 *959:8 0.00148813 -5 *959:7 0.00260014 -6 *2821:la_data_in[33] *2820:la_data_out_core[51] 0.000159821 -7 *2821:la_data_in[33] *1227:13 0 -8 *2821:la_data_in[33] *1619:7 0 -9 *959:7 *2820:la_data_out_core[32] 0 -10 *959:7 *2820:la_data_out_core[33] 0 -11 *959:7 *1598:7 0 -12 *959:8 *960:8 0.000291294 -13 *959:8 *1214:8 0.000298839 -14 *959:8 *1232:8 0 -15 *959:8 *1234:8 0 -16 *959:8 *1598:8 0.0132134 -17 *959:8 *1618:8 0 -18 *958:8 *959:8 0.0118626 -*RES -1 *2820:la_data_in_core[33] *959:7 35.1065 -2 *959:7 *959:8 148.248 -3 *959:8 *959:10 4.5 -4 *959:10 *2821:la_data_in[33] 51.7844 -*END - -*D_NET *960 0.0379156 -*CONN -*I *2821:la_data_in[34] I *D user_project_wrapper -*I *2820:la_data_in_core[34] O *D mgmt_protect -*CAP -1 *2821:la_data_in[34] 0.00195058 -2 *2820:la_data_in_core[34] 0.00108038 -3 *960:10 0.00195058 -4 *960:8 0.0016765 -5 *960:7 0.00275688 -6 *2821:la_data_in[34] *2820:la_data_out_core[54] 0 -7 *2821:la_data_in[34] *1621:7 0.000954803 -8 *960:7 *2820:la_data_out_core[34] 0 -9 *960:7 *1207:5 0 -10 *960:7 *1599:7 0 -11 *960:8 *967:8 0.000298839 -12 *960:8 *1235:12 0 -13 *960:8 *1236:8 0 -14 *960:8 *1237:8 0 -15 *960:8 *1598:8 0.012487 -16 *960:8 *1599:8 0.0144687 -17 *959:8 *960:8 0.000291294 -*RES -1 *2820:la_data_in_core[34] *960:7 34.276 -2 *960:7 *960:8 161.558 -3 *960:8 *960:10 4.5 -4 *960:10 *2821:la_data_in[34] 52.6149 -*END - -*D_NET *961 0.0413119 -*CONN -*I *2821:la_data_in[35] I *D user_project_wrapper -*I *2820:la_data_in_core[35] O *D mgmt_protect -*CAP -1 *2821:la_data_in[35] 0.00167172 -2 *2820:la_data_in_core[35] 0.00160787 -3 *961:8 0.00324131 -4 *961:7 0.00317746 -5 *961:7 *2820:la_data_out_core[35] 0 -6 *961:7 *2821:la_oenb[26] 0 -7 *961:7 *1600:7 0 -8 *961:8 *962:8 0.0156772 -9 *961:8 *1217:8 0.000218849 -10 *961:8 *1231:14 0 -11 *961:8 *1600:8 0.0157175 -*RES -1 *2820:la_data_in_core[35] *961:7 45.9031 -2 *961:7 *961:8 174.869 -3 *961:8 *2821:la_data_in[35] 45.4878 -*END - -*D_NET *962 0.0433695 -*CONN -*I *2821:la_data_in[36] I *D user_project_wrapper -*I *2820:la_data_in_core[36] O *D mgmt_protect -*CAP -1 *2821:la_data_in[36] 0.00133561 -2 *2820:la_data_in_core[36] 0.00162345 -3 *962:8 0.00316479 -4 *962:7 0.00345262 -5 *2821:la_data_in[36] *1243:11 0.00127094 -6 *962:7 *2820:la_data_out_core[36] 0 -7 *962:7 *1208:5 0 -8 *962:7 *1601:7 0 -9 *962:8 *1217:8 0.0163654 -10 *962:8 *1218:8 0.000165505 -11 *962:8 *1231:14 0 -12 *962:8 *1601:8 0.000313928 -13 *961:8 *962:8 0.0156772 -*RES -1 *2820:la_data_in_core[36] *962:7 46.3183 -2 *962:7 *962:8 188.179 -3 *962:8 *2821:la_data_in[36] 45.0726 -*END - -*D_NET *963 0.0465356 -*CONN -*I *2821:la_data_in[37] I *D user_project_wrapper -*I *2820:la_data_in_core[37] O *D mgmt_protect -*CAP -1 *2821:la_data_in[37] 0.00139943 -2 *2820:la_data_in_core[37] 0.00159847 -3 *963:8 0.00308291 -4 *963:7 0.00328196 -5 *2821:la_data_in[37] *991:7 0.000287038 -6 *963:7 *2820:la_data_out_core[37] 0 -7 *963:7 *2821:la_oenb[27] 0.000363864 -8 *963:7 *1208:5 0 -9 *963:7 *1602:7 0 -10 *963:8 *964:8 0.0182242 -11 *963:8 *1216:8 1.00763e-05 -12 *963:8 *1219:8 0.000264018 -13 *963:8 *1602:8 0.000315426 -14 *963:8 *1604:8 0.0177082 -*RES -1 *2820:la_data_in_core[37] *963:7 49.2251 -2 *963:7 *963:8 201.49 -3 *963:8 *2821:la_data_in[37] 42.1658 -*END - -*D_NET *964 0.0479601 -*CONN -*I *2821:la_data_in[38] I *D user_project_wrapper -*I *2820:la_data_in_core[38] O *D mgmt_protect -*CAP -1 *2821:la_data_in[38] 0.00155577 -2 *2820:la_data_in_core[38] 0.00166921 -3 *964:8 0.00342648 -4 *964:7 0.00353992 -5 *2821:la_data_in[38] *2820:la_data_out_core[65] 0 -6 *2821:la_data_in[38] *993:11 0 -7 *2821:la_data_in[38] *994:5 0 -8 *964:7 *2820:la_data_out_core[37] 0 -9 *964:7 *2820:la_data_out_core[38] 0 -10 *964:7 *1603:7 0 -11 *964:8 *1602:8 0.017536 -12 *964:8 *1603:8 0.000914219 -13 *964:8 *1604:8 0.000899129 -14 *2821:la_data_in[28] *964:7 0.000195183 -15 *963:8 *964:8 0.0182242 -*RES -1 *2820:la_data_in_core[38] *964:7 48.8099 -2 *964:7 *964:8 215.355 -3 *964:8 *2821:la_data_in[38] 42.5811 -*END - -*D_NET *965 0.0524338 -*CONN -*I *2821:la_data_in[39] I *D user_project_wrapper -*I *2820:la_data_in_core[39] O *D mgmt_protect -*CAP -1 *2821:la_data_in[39] 0.00109691 -2 *2820:la_data_in_core[39] 2.27669e-05 -3 *965:10 0.00293592 -4 *965:9 0.00183902 -5 *965:7 0.00152474 -6 *965:5 0.00154751 -7 *2821:la_data_in[39] *996:5 0 -8 *2821:la_data_in[39] *997:5 0 -9 *965:7 *2820:la_data_out_core[39] 0.000856498 -10 *965:7 *1209:5 0.00099861 -11 *965:7 *1604:7 0 -12 *965:7 *1605:5 0 -13 *965:10 *1223:8 0.0205723 -14 *965:10 *1605:8 0.0210396 -*RES -1 *2820:la_data_in_core[39] *965:5 0.647305 -2 *965:5 *965:7 53.9461 -3 *965:7 *965:9 4.5 -4 *965:9 *965:10 227.556 -5 *965:10 *2821:la_data_in[39] 33.0303 -*END - -*D_NET *966 0.0457494 -*CONN -*I *2821:la_data_in[3] I *D user_project_wrapper -*I *2820:la_data_in_core[3] O *D mgmt_protect -*CAP -1 *2821:la_data_in[3] 0.0015465 -2 *2820:la_data_in_core[3] 0.00176659 -3 *966:8 0.00409467 -4 *966:7 0.00431476 -5 *2821:la_data_in[3] *1815:5 0 -6 *2821:la_data_in[3] *1884:13 0 -7 *966:7 *2820:la_data_out_core[2] 0 -8 *966:7 *2820:la_data_out_core[3] 0 -9 *966:7 *1595:7 0 -10 *966:8 *1183:8 0.00184696 -11 *966:8 *1193:8 0.00289644 -12 *966:8 *1803:10 0.0136576 -13 *966:8 *1805:14 0.00371169 -14 *966:8 *1873:8 0.00447706 -15 *966:8 *1873:14 0.00181194 -16 *966:8 *1937:8 3.61993e-05 -17 *966:8 *1939:8 0.000176505 -18 *938:14 *966:8 0.00158584 -19 *944:8 *966:8 0.00382662 -*RES -1 *2820:la_data_in_core[3] *966:7 49.6404 -2 *966:7 *966:8 251.959 -3 *966:8 *2821:la_data_in[3] 41.7506 -*END - -*D_NET *967 0.0494407 -*CONN -*I *2821:la_data_in[40] I *D user_project_wrapper -*I *2820:la_data_in_core[40] O *D mgmt_protect -*CAP -1 *2821:la_data_in[40] 0.00230103 -2 *2820:la_data_in_core[40] 0.00102048 -3 *967:10 0.00230103 -4 *967:8 0.00281587 -5 *967:7 0.00383635 -6 *2821:la_data_in[40] *2820:la_data_out_core[69] 0 -7 *2821:la_data_in[40] *1000:7 0 -8 *967:7 *2820:la_data_out_core[40] 0 -9 *967:7 *1605:5 0 -10 *967:8 *969:8 0.0194077 -11 *967:8 *1237:8 0 -12 *967:8 *1238:8 0 -13 *967:8 *1239:8 0 -14 *967:8 *1240:8 1.22938e-05 -15 *967:8 *1599:8 0.00998149 -16 *967:8 *1620:8 0.000342204 -17 *967:8 *1624:8 0.000286628 -18 *967:8 *1626:8 0.000391181 -19 *967:8 *1629:8 0.000677667 -20 *967:8 *1631:8 0.00557424 -21 *967:8 *1635:10 0.000193699 -22 *960:8 *967:8 0.000298839 -*RES -1 *2820:la_data_in_core[40] *967:7 33.4455 -2 *967:7 *967:8 241.976 -3 *967:8 *967:10 4.5 -4 *967:10 *2821:la_data_in[40] 53.4454 -*END - -*D_NET *968 0.0539442 -*CONN -*I *2821:la_data_in[41] I *D user_project_wrapper -*I *2820:la_data_in_core[41] O *D mgmt_protect -*CAP -1 *2821:la_data_in[41] 0.00182655 -2 *2820:la_data_in_core[41] 0.00140142 -3 *968:8 0.0048322 -4 *968:7 0.00440708 -5 *2821:la_data_in[41] *1002:5 0 -6 *2821:la_data_in[41] *1003:5 0 -7 *2821:la_data_in[41] *1642:7 0.00029479 -8 *968:7 *2820:la_data_out_core[41] 0 -9 *968:7 *1607:5 0 -10 *968:8 *970:8 0.0225066 -11 *968:8 *1210:8 7.3776e-05 -12 *968:8 *1231:14 0.0184969 -13 *968:8 *1594:12 0 -14 *968:8 *1600:8 0 -15 *968:8 *1609:8 6.44576e-05 -16 *2821:la_data_in[29] *968:7 4.04462e-05 -17 *956:8 *968:8 0 -*RES -1 *2820:la_data_in_core[41] *968:7 41.7506 -2 *968:7 *968:8 254.732 -3 *968:8 *2821:la_data_in[41] 49.6404 -*END - -*D_NET *969 0.0554008 -*CONN -*I *2821:la_data_in[42] I *D user_project_wrapper -*I *2820:la_data_in_core[42] O *D mgmt_protect -*CAP -1 *2821:la_data_in[42] 0.00176227 -2 *2820:la_data_in_core[42] 0.00100587 -3 *969:14 0.00241039 -4 *969:13 0.00116167 -5 *969:8 0.00337596 -6 *969:7 0.00386828 -7 *2821:la_data_in[42] *1006:5 0 -8 *2821:la_data_in[42] *1226:13 0 -9 *2821:la_data_in[42] *1261:11 0 -10 *969:7 *2820:la_data_out_core[42] 0 -11 *969:7 *1608:7 0 -12 *969:8 *1620:8 0.011322 -13 *969:13 *996:5 0 -14 *969:13 *997:5 0 -15 *969:13 *1636:7 0.000239138 -16 *969:14 *1634:8 4.0752e-05 -17 *969:14 *1636:8 0.00579731 -18 *969:14 *1637:8 0.00500937 -19 *967:8 *969:8 0.0194077 -*RES -1 *2820:la_data_in_core[42] *969:7 33.0303 -2 *969:7 *969:8 205.927 -3 *969:8 *969:13 21.2133 -4 *969:13 *969:14 62.839 -5 *969:14 *2821:la_data_in[42] 46.3183 -*END - -*D_NET *970 0.0611691 -*CONN -*I *2821:la_data_in[43] I *D user_project_wrapper -*I *2820:la_data_in_core[43] O *D mgmt_protect -*CAP -1 *2821:la_data_in[43] 0.00134437 -2 *2820:la_data_in_core[43] 0.00139939 -3 *970:16 0.00199839 -4 *970:13 0.000873948 -5 *970:8 0.00204188 -6 *970:7 0.00322134 -7 *2821:la_data_in[43] *1007:7 0 -8 *2821:la_data_in[43] *1008:5 0.000471141 -9 *2821:la_data_in[43] *1231:13 0.000467497 -10 *970:7 *2820:la_data_out_core[43] 0 -11 *970:7 *2821:la_oenb[29] 0 -12 *970:7 *1609:7 0 -13 *970:8 *1226:14 0.0225999 -14 *970:8 *1231:14 0.000149001 -15 *970:8 *1609:8 0.000113197 -16 *970:13 *2820:la_data_out_core[73] 7.03521e-05 -17 *970:13 *1003:5 0.000419953 -18 *970:16 *998:8 0.000934007 -19 *970:16 *1226:13 0.00231003 -20 *970:16 *1642:8 0.000226066 -21 *970:16 *1644:8 2.20702e-05 -22 *2821:la_data_in[30] *970:7 0 -23 *968:8 *970:8 0.0225066 -*RES -1 *2820:la_data_in_core[43] *970:7 41.3353 -2 *970:7 *970:8 242.531 -3 *970:8 *970:13 16.6455 -4 *970:13 *970:16 42.9364 -5 *970:16 *2821:la_data_in[43] 38.9849 -*END - -*D_NET *971 0.0648412 -*CONN -*I *2821:la_data_in[44] I *D user_project_wrapper -*I *2820:la_data_in_core[44] O *D mgmt_protect -*CAP -1 *2821:la_data_in[44] 0.00189497 -2 *2820:la_data_in_core[44] 0.00135217 -3 *971:10 0.00189497 -4 *971:8 0.00266345 -5 *971:7 0.00401561 -6 *2821:la_data_in[44] *2820:la_data_out_core[80] 0 -7 *2821:la_data_in[44] *1651:7 0.000487883 -8 *971:7 *2820:la_data_out_core[43] 0 -9 *971:7 *2820:la_data_out_core[44] 0 -10 *971:7 *1212:5 0 -11 *971:7 *1610:7 0 -12 *971:7 *1611:7 0 -13 *971:8 *1231:14 4.27158e-05 -14 *971:8 *1267:8 1.27226e-05 -15 *971:8 *1596:8 3.18408e-05 -16 *971:8 *1609:8 0.0251233 -17 *971:8 *1611:8 0.0273215 -18 *971:8 *1620:14 0 -19 *2821:la_data_in[30] *971:7 0 -*RES -1 *2820:la_data_in_core[44] *971:7 40.0896 -2 *971:7 *971:8 295.218 -3 *971:8 *971:10 4.5 -4 *971:10 *2821:la_data_in[44] 46.8014 -*END - -*D_NET *972 0.0507752 -*CONN -*I *2821:la_data_in[45] I *D user_project_wrapper -*I *2820:la_data_in_core[45] O *D mgmt_protect -*CAP -1 *2821:la_data_in[45] 0.00219376 -2 *2820:la_data_in_core[45] 0.000626138 -3 *972:10 0.00219376 -4 *972:8 0.00734725 -5 *972:7 0.00797339 -6 *2821:la_data_in[45] *2820:la_data_out_core[83] 0.000606262 -7 *2821:la_data_in[45] *1014:5 0.000450585 -8 *2821:la_data_in[45] *1265:13 0.00120168 -9 *972:7 *2820:la_data_out_core[45] 0 -10 *972:7 *1611:7 0 -11 *972:8 *973:8 0.0281824 -12 *972:8 *1265:16 0 -13 *972:8 *1615:8 0 -14 *972:8 *1633:11 0 -*RES -1 *2820:la_data_in_core[45] *972:7 22.2337 -2 *972:7 *972:8 307.974 -3 *972:8 *972:10 4.5 -4 *972:10 *2821:la_data_in[45] 64.6572 -*END - -*D_NET *973 0.0722607 -*CONN -*I *2821:la_data_in[46] I *D user_project_wrapper -*I *2820:la_data_in_core[46] O *D mgmt_protect -*CAP -1 *2821:la_data_in[46] 0.00225205 -2 *2820:la_data_in_core[46] 0.000456125 -3 *973:10 0.00225205 -4 *973:8 0.00301266 -5 *973:7 0.00346879 -6 *2821:la_data_in[46] *2820:la_data_out_core[85] 0 -7 *2821:la_data_in[46] *1017:5 0.00283316 -8 *2821:la_data_in[46] *1656:7 0 -9 *973:7 *2820:la_data_out_core[46] 0 -10 *973:7 *1612:7 0.000372253 -11 *973:8 *974:8 0.0294312 -12 *972:8 *973:8 0.0281824 -*RES -1 *2820:la_data_in_core[46] *973:7 21.8185 -2 *973:7 *973:8 321.839 -3 *973:8 *973:10 4.5 -4 *973:10 *2821:la_data_in[46] 65.0725 -*END - -*D_NET *974 0.0744963 -*CONN -*I *2821:la_data_in[47] I *D user_project_wrapper -*I *2820:la_data_in_core[47] O *D mgmt_protect -*CAP -1 *2821:la_data_in[47] 0.00252989 -2 *2820:la_data_in_core[47] 0.000596928 -3 *974:10 0.00252989 -4 *974:8 0.00312864 -5 *974:7 0.00372557 -6 *2821:la_data_in[47] *2820:la_data_out_core[88] 0 -7 *2821:la_data_in[47] *1020:5 0 -8 *2821:la_data_in[47] *1659:5 0.00188068 -9 *974:7 *2820:la_data_out_core[47] 0 -10 *974:7 *1613:5 0 -11 *974:8 *975:8 0.0306735 -12 *973:8 *974:8 0.0294312 -*RES -1 *2820:la_data_in_core[47] *974:7 21.4032 -2 *974:7 *974:8 335.149 -3 *974:8 *974:10 4.5 -4 *974:10 *2821:la_data_in[47] 65.4877 -*END - -*D_NET *975 0.0765052 -*CONN -*I *2821:la_data_in[48] I *D user_project_wrapper -*I *2820:la_data_in_core[48] O *D mgmt_protect -*CAP -1 *2821:la_data_in[48] 0.00271723 -2 *2820:la_data_in_core[48] 0.000582322 -3 *975:10 0.00271723 -4 *975:8 0.00323312 -5 *975:7 0.00381545 -6 *2821:la_data_in[48] *2820:la_data_out_core[91] 0.000182352 -7 *2821:la_data_in[48] *1023:5 0.000674491 -8 *2821:la_data_in[48] *1663:7 0 -9 *975:7 *2820:la_data_out_core[48] 0 -10 *975:7 *1614:7 0 -11 *975:8 *976:8 0.0319094 -12 *975:8 *1022:8 0 -13 *974:8 *975:8 0.0306735 -*RES -1 *2820:la_data_in_core[48] *975:7 20.988 -2 *975:7 *975:8 347.905 -3 *975:8 *975:10 4.5 -4 *975:10 *2821:la_data_in[48] 65.903 -*END - -*D_NET *976 0.0793817 -*CONN -*I *2821:la_data_in[49] I *D user_project_wrapper -*I *2820:la_data_in_core[49] O *D mgmt_protect -*CAP -1 *2821:la_data_in[49] 0.00298206 -2 *2820:la_data_in_core[49] 0.000567717 -3 *976:10 0.00298206 -4 *976:8 0.00337552 -5 *976:7 0.00394324 -6 *2821:la_data_in[49] *2820:la_data_out_core[94] 0 -7 *2821:la_data_in[49] *1025:7 0 -8 *2821:la_data_in[49] *1026:7 0.000241556 -9 *2821:la_data_in[49] *1665:7 0.00023478 -10 *976:7 *2820:la_data_out_core[49] 0 -11 *976:7 *1615:7 0 -12 *976:8 *978:8 0.0331454 -13 *976:8 *1024:8 0 -14 *975:8 *976:8 0.0319094 -*RES -1 *2820:la_data_in_core[49] *976:7 20.5727 -2 *976:7 *976:8 361.77 -3 *976:8 *976:10 4.5 -4 *976:10 *2821:la_data_in[49] 66.3182 -*END - -*D_NET *977 0.0516166 -*CONN -*I *2821:la_data_in[4] I *D user_project_wrapper -*I *2820:la_data_in_core[4] O *D mgmt_protect -*CAP -1 *2821:la_data_in[4] 0.00139081 -2 *2820:la_data_in_core[4] 0.000941125 -3 *977:14 0.00298764 -4 *977:13 0.00254623 -5 *977:10 0.00165491 -6 *977:7 0.00164663 -7 *2821:la_data_in[4] *1817:5 0 -8 *2821:la_data_in[4] *1923:13 1.87469e-05 -9 *2821:la_data_in[4] *1949:5 0 -10 *977:7 *2820:la_data_out_core[4] 0 -11 *977:7 *1606:7 0 -12 *977:10 *1010:8 0.00270909 -13 *977:10 *1200:12 0 -14 *977:10 *1595:8 0 -15 *977:13 *1545:7 7.28919e-05 -16 *977:14 *1211:8 0.00124575 -17 *977:14 *1881:8 0.0189619 -18 *2821:la_data_in[14] *977:13 0 -19 *905:14 *977:14 0.0154208 -20 *927:8 *977:14 0.000378828 -21 *937:8 *977:14 0.00164119 -22 *944:5 *977:13 0 -*RES -1 *2820:la_data_in_core[4] *977:7 30.5388 -2 *977:7 *977:10 33.5082 -3 *977:10 *977:13 26.2641 -4 *977:13 *977:14 209.809 -5 *977:14 *2821:la_data_in[4] 39.2591 -*END - -*D_NET *978 0.0828185 -*CONN -*I *2821:la_data_in[50] I *D user_project_wrapper -*I *2820:la_data_in_core[50] O *D mgmt_protect -*CAP -1 *2821:la_data_in[50] 0.00276225 -2 *2820:la_data_in_core[50] 0.000338555 -3 *978:10 0.00276225 -4 *978:8 0.00347838 -5 *978:7 0.00381693 -6 *2821:la_data_in[50] *2820:la_data_out_core[96] 0.000402827 -7 *2821:la_data_in[50] *1029:5 0 -8 *2821:la_data_in[50] *1668:5 0.000748623 -9 *978:7 *2820:la_data_out_core[50] 0 -10 *978:7 *1616:7 0.000982087 -11 *978:8 *979:8 0.0343813 -12 *978:8 *1026:8 0 -13 *978:8 *1028:8 0 -14 *976:8 *978:8 0.0331454 -*RES -1 *2820:la_data_in_core[50] *978:7 20.1574 -2 *978:7 *978:8 374.526 -3 *978:8 *978:10 4.5 -4 *978:10 *2821:la_data_in[50] 66.7335 -*END - -*D_NET *979 0.0850887 -*CONN -*I *2821:la_data_in[51] I *D user_project_wrapper -*I *2820:la_data_in_core[51] O *D mgmt_protect -*CAP -1 *2821:la_data_in[51] 0.00286955 -2 *2820:la_data_in_core[51] 0.000538507 -3 *979:10 0.00286955 -4 *979:8 0.0036208 -5 *979:7 0.0041593 -6 *2821:la_data_in[51] *2820:la_data_out_core[99] 0.000420522 -7 *2821:la_data_in[51] *1031:5 0.000612065 -8 *979:7 *2820:la_data_out_core[51] 0 -9 *979:7 *1618:7 0 -10 *979:8 *980:8 0.0356172 -11 *979:8 *1028:8 0 -12 *979:8 *1030:8 0 -13 *978:8 *979:8 0.0343813 -*RES -1 *2820:la_data_in_core[51] *979:7 19.7422 -2 *979:7 *979:8 388.391 -3 *979:8 *979:10 4.5 -4 *979:10 *2821:la_data_in[51] 67.1487 -*END - -*D_NET *980 0.088352 -*CONN -*I *2821:la_data_in[52] I *D user_project_wrapper -*I *2820:la_data_in_core[52] O *D mgmt_protect -*CAP -1 *2821:la_data_in[52] 0.0030785 -2 *2820:la_data_in_core[52] 0.000320726 -3 *980:10 0.0030785 -4 *980:8 0.00372365 -5 *980:7 0.00404438 -6 *2821:la_data_in[52] *2820:la_data_out_core[102] 0 -7 *2821:la_data_in[52] *1163:11 0 -8 *2821:la_data_in[52] *1546:13 6.04912e-06 -9 *2821:la_data_in[52] *1546:17 0.000440932 -10 *2821:la_data_in[52] *1547:7 0.000205038 -11 *980:7 *2820:la_data_out_core[52] 0 -12 *980:7 *1619:7 0.000929995 -13 *980:8 *981:8 0.0368531 -14 *980:8 *1030:8 0 -15 *980:8 *1162:8 0 -16 *907:8 *980:8 0 -17 *908:7 *2821:la_data_in[52] 5.39859e-05 -18 *979:8 *980:8 0.0356172 -*RES -1 *2820:la_data_in_core[52] *980:7 19.3269 -2 *980:7 *980:8 401.147 -3 *980:8 *980:10 4.5 -4 *980:10 *2821:la_data_in[52] 67.564 -*END - -*D_NET *981 0.0906939 -*CONN -*I *2821:la_data_in[53] I *D user_project_wrapper -*I *2820:la_data_in_core[53] O *D mgmt_protect -*CAP -1 *2821:la_data_in[53] 0.00314436 -2 *2820:la_data_in_core[53] 0.000509296 -3 *981:10 0.00314436 -4 *981:8 0.00384978 -5 *981:7 0.00435907 -6 *2821:la_data_in[53] *2820:la_data_out_core[104] 3.28776e-05 -7 *2821:la_data_in[53] *1550:5 0.000674349 -8 *981:7 *2820:la_data_out_core[53] 0 -9 *981:7 *1620:7 0 -10 *981:8 *982:8 0.038089 -11 *981:8 *1166:8 2.54062e-05 -12 *907:8 *981:8 0 -13 *908:8 *981:8 0 -14 *909:8 *981:8 0 -15 *910:7 *2821:la_data_in[53] 0 -16 *910:8 *981:8 1.22938e-05 -17 *980:8 *981:8 0.0368531 -*RES -1 *2820:la_data_in_core[53] *981:7 18.9117 -2 *981:7 *981:8 415.012 -3 *981:8 *981:10 4.5 -4 *981:10 *2821:la_data_in[53] 67.9792 -*END - -*D_NET *982 0.0744403 -*CONN -*I *2821:la_data_in[54] I *D user_project_wrapper -*I *2820:la_data_in_core[54] O *D mgmt_protect -*CAP -1 *2821:la_data_in[54] 0.0032308 -2 *2820:la_data_in_core[54] 0.000302896 -3 *982:10 0.0032308 -4 *982:8 0.00501405 -5 *982:7 0.00531695 -6 *2821:la_data_in[54] *2820:la_data_out_core[107] 0.000541588 -7 *2821:la_data_in[54] *1552:5 0 -8 *982:7 *2820:la_data_out_core[54] 0 -9 *982:7 *1621:7 0.000877903 -10 *982:8 *983:8 0.0172415 -11 *982:8 *1166:8 2.12986e-05 -12 *911:8 *982:8 0.000133137 -13 *912:8 *982:8 0.000315442 -14 *913:7 *2821:la_data_in[54] 1.09738e-05 -15 *913:8 *982:8 0.000113968 -16 *981:8 *982:8 0.038089 -*RES -1 *2820:la_data_in_core[54] *982:7 18.4964 -2 *982:7 *982:8 427.768 -3 *982:8 *982:10 4.5 -4 *982:10 *2821:la_data_in[54] 68.3945 -*END - -*D_NET *983 0.077022 -*CONN -*I *2821:la_data_in[55] I *D user_project_wrapper -*I *2820:la_data_in_core[55] O *D mgmt_protect -*CAP -1 *2821:la_data_in[55] 0.00340794 -2 *2820:la_data_in_core[55] 0.000465481 -3 *983:10 0.00340794 -4 *983:8 0.0051641 -5 *983:7 0.00562958 -6 *2821:la_data_in[55] *2820:la_data_out_core[109] 0 -7 *2821:la_data_in[55] *1555:7 0.000341235 -8 *983:7 *2820:la_data_out_core[55] 0 -9 *983:7 *1622:7 0 -10 *983:8 *985:8 0.0398366 -11 *913:8 *983:8 0.000164845 -12 *914:8 *983:8 0.00136277 -13 *982:8 *983:8 0.0172415 -*RES -1 *2820:la_data_in_core[55] *983:7 17.6659 -2 *983:7 *983:8 441.633 -3 *983:8 *983:10 4.5 -4 *983:10 *2821:la_data_in[55] 69.225 -*END - -*D_NET *984 0.0929802 -*CONN -*I *2821:la_data_in[56] I *D user_project_wrapper -*I *2820:la_data_in_core[56] O *D mgmt_protect -*CAP -1 *2821:la_data_in[56] 0.00353252 -2 *2820:la_data_in_core[56] 0.000178087 -3 *984:10 0.00353252 -4 *984:8 0.00515319 -5 *984:7 0.00533128 -6 *2821:la_data_in[56] *2820:la_data_out_core[112] 0.000549616 -7 *2821:la_data_in[56] *1559:5 0.00041279 -8 *984:7 *2820:la_data_out_core[56] 0 -9 *984:7 *1623:7 0.000513259 -10 *984:8 *986:8 0 -11 *984:8 *987:8 0.000110029 -12 *984:8 *1242:14 0.00298084 -13 *984:8 *1246:8 0.000133137 -14 *984:8 *1247:8 0.000179244 -15 *984:8 *1248:8 0.000363723 -16 *984:8 *1249:8 0.0360934 -17 *984:8 *1252:8 0.0339166 -*RES -1 *2820:la_data_in_core[56] *984:7 12.6829 -2 *984:7 *984:8 454.389 -3 *984:8 *984:10 4.5 -4 *984:10 *2821:la_data_in[56] 74.208 -*END - -*D_NET *985 0.101449 -*CONN -*I *2821:la_data_in[57] I *D user_project_wrapper -*I *2820:la_data_in_core[57] O *D mgmt_protect -*CAP -1 *2821:la_data_in[57] 0.00340893 -2 *2820:la_data_in_core[57] 0.000457687 -3 *985:14 0.00391735 -4 *985:8 0.00420517 -5 *985:7 0.00415445 -6 *2821:la_data_in[57] *2820:la_data_out_core[115] 0.000561894 -7 *2821:la_data_in[57] *1561:5 0 -8 *985:7 *2820:la_data_out_core[57] 0 -9 *985:7 *1624:7 0 -10 *985:8 *986:8 0.0394205 -11 *985:8 *1019:8 3.07726e-05 -12 *985:14 *1019:8 0.00353079 -13 *985:14 *1177:8 0.000579046 -14 *914:8 *985:8 0.00020979 -15 *914:8 *985:14 0.000293761 -16 *919:8 *985:14 0.000760669 -17 *922:7 *2821:la_data_in[57] 8.16687e-05 -18 *983:8 *985:8 0.0398366 -*RES -1 *2820:la_data_in_core[57] *985:7 17.2507 -2 *985:7 *985:8 430.541 -3 *985:8 *985:14 42.9606 -4 *985:14 *2821:la_data_in[57] 70.0555 -*END - -*D_NET *986 0.0929696 -*CONN -*I *2821:la_data_in[58] I *D user_project_wrapper -*I *2820:la_data_in_core[58] O *D mgmt_protect -*CAP -1 *2821:la_data_in[58] 0.003764 -2 *2820:la_data_in_core[58] 0.000443082 -3 *986:16 0.003764 -4 *986:14 0.000536453 -5 *986:13 0.000690284 -6 *986:8 0.0068854 -7 *986:7 0.00717465 -8 *2821:la_data_in[58] *2820:la_data_out_core[117] 0 -9 *2821:la_data_in[58] *1564:7 0.00039406 -10 *986:7 *2820:la_data_out_core[58] 0 -11 *986:7 *1625:7 0 -12 *986:7 *1626:7 0 -13 *986:8 *1004:8 0 -14 *986:8 *1005:8 0 -15 *986:8 *1011:8 4.77612e-05 -16 *986:8 *1012:8 0.000379223 -17 *986:8 *1015:8 0.000193373 -18 *986:8 *1016:8 0.000970475 -19 *986:8 *1019:8 0.0167957 -20 *986:8 *1242:14 0 -21 *986:13 *2820:la_data_out_core[110] 0.000161404 -22 *986:13 *1242:13 8.62625e-06 -23 *986:14 *1004:8 0.00564177 -24 *986:14 *1252:8 0.0056502 -25 *917:7 *986:13 0 -26 *925:7 *2821:la_data_in[58] 4.86827e-05 -27 *984:8 *986:8 0 -28 *985:8 *986:8 0.0394205 -*RES -1 *2820:la_data_in_core[58] *986:7 16.8354 -2 *986:7 *986:8 421.113 -3 *986:8 *986:13 12.493 -4 *986:13 *986:14 60.6206 -5 *986:14 *986:16 4.5 -6 *986:16 *2821:la_data_in[58] 73.3775 -*END - -*D_NET *987 0.107968 -*CONN -*I *2821:la_data_in[59] I *D user_project_wrapper -*I *2820:la_data_in_core[59] O *D mgmt_protect -*CAP -1 *2821:la_data_in[59] 0.00411089 -2 *2820:la_data_in_core[59] 0.000217193 -3 *987:10 0.00411089 -4 *987:8 0.00463016 -5 *987:7 0.00484736 -6 *2821:la_data_in[59] *2820:la_data_out_core[120] 0.000113374 -7 *2821:la_data_in[59] *1568:5 0 -8 *987:7 *1243:11 0 -9 *987:7 *1626:7 0 -10 *987:8 *989:8 0.0454078 -11 *987:8 *1246:8 0.0444203 -12 *928:7 *2821:la_data_in[59] 0 -13 *929:5 *2821:la_data_in[59] 0 -14 *984:8 *987:8 0.000110029 -*RES -1 *2820:la_data_in_core[59] *987:7 10.6067 -2 *987:7 *987:8 494.875 -3 *987:8 *987:10 4.5 -4 *987:10 *2821:la_data_in[59] 76.2843 -*END - -*D_NET *988 0.0514544 -*CONN -*I *2821:la_data_in[5] I *D user_project_wrapper -*I *2820:la_data_in_core[5] O *D mgmt_protect -*CAP -1 *2821:la_data_in[5] 8.34852e-05 -2 *2820:la_data_in_core[5] 0.00120203 -3 *988:11 0.00194772 -4 *988:10 0.00186424 -5 *988:8 0.00181628 -6 *988:7 0.00301831 -7 *988:7 *2820:la_data_out_core[5] 0 -8 *988:7 *1617:7 0 -9 *988:7 *1628:7 0 -10 *988:8 *2820:mprj_dat_i_user[11] 0.000195605 -11 *988:8 *999:8 0.000605222 -12 *988:8 *1233:8 0.0205287 -13 *988:8 *1244:8 1.59204e-05 -14 *988:8 *1617:8 0.000113197 -15 *988:8 *1628:8 7.83311e-05 -16 *988:8 *1639:8 0.0178251 -17 *988:8 *1859:8 7.68538e-06 -18 *988:8 *1922:8 0.00109722 -19 *988:11 *2820:mprj_dat_i_user[9] 0.00105355 -20 *988:11 *1244:5 0 -21 *988:11 *1820:7 1.77537e-06 -22 *988:11 *1951:5 0 -*RES -1 *2820:la_data_in_core[5] *988:7 37.598 -2 *988:7 *988:8 223.674 -3 *988:8 *988:10 4.5 -4 *988:10 *988:11 49.3784 -5 *988:11 *2821:la_data_in[5] 1.77093 -*END - -*D_NET *989 0.0857488 -*CONN -*I *2821:la_data_in[60] I *D user_project_wrapper -*I *2820:la_data_in_core[60] O *D mgmt_protect -*CAP -1 *2821:la_data_in[60] 0.00321977 -2 *2820:la_data_in_core[60] 0.000202588 -3 *989:10 0.00321977 -4 *989:8 0.0113309 -5 *989:7 0.0115335 -6 *2821:la_data_in[60] *2820:la_data_out_core[123] 0.000547682 -7 *989:7 *2820:la_data_out_core[60] 0 -8 *989:7 *1627:7 0 -9 *989:8 *1186:14 0.000579032 -10 *989:8 *1242:8 0.00632575 -11 *989:8 *1246:8 0.000628433 -12 *931:5 *2821:la_data_in[60] 0.00275357 -13 *987:8 *989:8 0.0454078 -*RES -1 *2820:la_data_in_core[60] *989:7 10.1914 -2 *989:7 *989:8 508.186 -3 *989:8 *989:10 4.5 -4 *989:10 *2821:la_data_in[60] 76.6995 -*END - -*D_NET *990 0.112092 -*CONN -*I *2821:la_data_in[61] I *D user_project_wrapper -*I *2820:la_data_in_core[61] O *D mgmt_protect -*CAP -1 *2821:la_data_in[61] 0.000916325 -2 *2820:la_data_in_core[61] 0.00255119 -3 *990:8 0.00480147 -4 *990:7 0.00388515 -5 *990:5 0.00255119 -6 *990:5 *2820:la_data_out_core[60] 0 -7 *990:5 *2820:la_data_out_core[61] 0 -8 *990:5 *2821:la_oenb[36] 0.000471869 -9 *990:5 *1629:7 0 -10 *990:5 *1630:10 0 -11 *990:8 *991:10 0.0483518 -12 *990:8 *1245:8 0.0479865 -13 *931:8 *990:8 0.000576142 -*RES -1 *2820:la_data_in_core[61] *990:5 63.4115 -2 *990:5 *990:7 4.5 -3 *990:7 *990:8 521.496 -4 *990:8 *2821:la_data_in[61] 23.4795 -*END - -*D_NET *991 0.107438 -*CONN -*I *2821:la_data_in[62] I *D user_project_wrapper -*I *2820:la_data_in_core[62] O *D mgmt_protect -*CAP -1 *2821:la_data_in[62] 0.00115895 -2 *2820:la_data_in_core[62] 6.22868e-05 -3 *991:10 0.00624369 -4 *991:9 0.00508473 -5 *991:7 0.00252026 -6 *991:5 0.00258254 -7 *2821:la_data_in[62] *1007:10 0.000546946 -8 *2821:la_data_in[62] *1250:16 0.000749011 -9 *991:7 *2820:la_data_out_core[62] 0.000197303 -10 *991:7 *1219:7 0 -11 *991:7 *1630:10 0 -12 *991:7 *1631:7 0 -13 *991:10 *995:8 0 -14 *991:10 *1000:10 8.40274e-05 -15 *991:10 *1002:8 0.000139227 -16 *991:10 *1003:8 0.000582801 -17 *991:10 *1006:8 0.000412036 -18 *991:10 *1007:10 0.0369371 -19 *991:10 *1254:8 0 -20 *2821:la_data_in[37] *991:7 0.000287038 -21 *931:8 *2821:la_data_in[62] 0.000424495 -22 *931:8 *991:10 9.82896e-06 -23 *935:10 *2821:la_data_in[62] 0.00106346 -24 *990:8 *991:10 0.0483518 -*RES -1 *2820:la_data_in_core[62] *991:5 1.77093 -2 *991:5 *991:7 63.0817 -3 *991:7 *991:9 4.5 -4 *991:9 *991:10 512.068 -5 *991:10 *2821:la_data_in[62] 45.3019 -*END - -*D_NET *992 0.0926435 -*CONN -*I *2821:la_data_in[63] I *D user_project_wrapper -*I *2820:la_data_in_core[63] O *D mgmt_protect -*CAP -1 *2821:la_data_in[63] 0.00127162 -2 *2820:la_data_in_core[63] 0.00234894 -3 *992:8 0.0114859 -4 *992:7 0.0102142 -5 *992:5 0.00234894 -6 *992:5 *2820:la_data_out_core[63] 0 -7 *992:5 *1219:7 0.000582407 -8 *992:5 *1630:13 0 -9 *992:5 *1631:7 0 -10 *992:5 *1632:7 0 -11 *992:8 *993:14 0.050681 -12 *992:8 *997:8 0.00763254 -13 *992:8 *1231:8 0 -14 *992:8 *1605:8 0 -15 *992:8 *1655:14 0 -16 *992:8 *1657:8 0 -17 *992:8 *1668:8 0.000133943 -18 *929:8 *992:8 0.00135682 -19 *933:8 *992:8 0.0045872 -*RES -1 *2820:la_data_in_core[63] *992:5 56.7674 -2 *992:5 *992:7 4.5 -3 *992:7 *992:8 548.117 -4 *992:8 *2821:la_data_in[63] 30.1235 -*END - -*D_NET *993 0.120268 -*CONN -*I *2821:la_data_in[64] I *D user_project_wrapper -*I *2820:la_data_in_core[64] O *D mgmt_protect -*CAP -1 *2821:la_data_in[64] 0.00124876 -2 *2820:la_data_in_core[64] 0.000211046 -3 *993:14 0.00541698 -4 *993:13 0.00416822 -5 *993:11 0.00233072 -6 *993:9 0.00254176 -7 *993:9 *2820:la_data_out_core[64] 0.00012021 -8 *993:9 *1632:7 0 -9 *993:11 *2820:la_data_out_core[64] 0.000220437 -10 *993:11 *2821:la_oenb[37] 0 -11 *993:11 *994:5 0 -12 *993:11 *1632:7 0 -13 *993:11 *1633:7 0 -14 *993:14 *994:8 0.0522678 -15 *993:14 *1668:8 0.000133943 -16 *2821:la_data_in[38] *993:11 0 -17 *933:8 *993:14 0.000926694 -18 *992:8 *993:14 0.050681 -*RES -1 *2820:la_data_in_core[64] *993:9 7.16921 -2 *993:9 *993:11 53.3355 -3 *993:11 *993:13 4.5 -4 *993:13 *993:14 558.655 -5 *993:14 *2821:la_data_in[64] 29.7083 -*END - -*D_NET *994 0.123046 -*CONN -*I *2821:la_data_in[65] I *D user_project_wrapper -*I *2820:la_data_in_core[65] O *D mgmt_protect -*CAP -1 *2821:la_data_in[65] 0.00124388 -2 *2820:la_data_in_core[65] 0.002339 -3 *994:8 0.00564143 -4 *994:7 0.00439755 -5 *994:5 0.002339 -6 *994:5 *2820:la_data_out_core[65] 0 -7 *994:5 *1625:13 0.000864425 -8 *994:5 *1633:7 0 -9 *994:8 *996:8 0.000359405 -10 *994:8 *996:12 0.00597519 -11 *994:8 *1250:20 0.0472188 -12 *994:8 *1655:14 0 -13 *2821:la_data_in[38] *994:5 0 -14 *929:8 *994:8 6.11359e-06 -15 *929:13 *2821:la_data_in[65] 0 -16 *933:8 *994:8 0.000393324 -17 *993:11 *994:5 0 -18 *993:14 *994:8 0.0522678 -*RES -1 *2820:la_data_in_core[65] *994:5 57.5979 -2 *994:5 *994:7 4.5 -3 *994:7 *994:8 574.738 -4 *994:8 *2821:la_data_in[65] 29.293 -*END - -*D_NET *995 0.118863 -*CONN -*I *2821:la_data_in[66] I *D user_project_wrapper -*I *2820:la_data_in_core[66] O *D mgmt_protect -*CAP -1 *2821:la_data_in[66] 0.00119415 -2 *2820:la_data_in_core[66] 0.00245534 -3 *995:12 0.00156943 -4 *995:10 0.000395903 -5 *995:8 0.0049188 -6 *995:7 0.00489816 -7 *995:5 0.00245534 -8 *995:5 *2820:la_data_out_core[65] 0 -9 *995:5 *2820:la_data_out_core[66] 0.000360068 -10 *995:5 *2821:la_oenb[38] 0 -11 *995:5 *1220:7 0 -12 *995:5 *1627:13 0 -13 *995:5 *1634:7 0 -14 *995:5 *1635:7 0 -15 *995:8 *996:8 0.0467802 -16 *995:8 *996:12 0.00146911 -17 *995:8 *997:14 0.041661 -18 *995:8 *1250:20 0.000322987 -19 *995:8 *1254:8 0.001683 -20 *995:12 *996:12 0.00474651 -21 *995:12 *997:14 0.000629565 -22 *995:12 *1250:13 0.00332325 -23 *991:10 *995:8 0 -*RES -1 *2820:la_data_in_core[66] *995:5 58.8437 -2 *995:5 *995:7 4.5 -3 *995:7 *995:8 538.134 -4 *995:8 *995:10 0.578717 -5 *995:10 *995:12 50.0831 -6 *995:12 *2821:la_data_in[66] 28.4625 -*END - -*D_NET *996 0.123898 -*CONN -*I *2821:la_data_in[67] I *D user_project_wrapper -*I *2820:la_data_in_core[67] O *D mgmt_protect -*CAP -1 *2821:la_data_in[67] 0.00120876 -2 *2820:la_data_in_core[67] 0.00259927 -3 *996:12 0.00279266 -4 *996:10 0.00160453 -5 *996:8 0.00364153 -6 *996:7 0.0036209 -7 *996:5 0.00259927 -8 *996:5 *2820:la_data_out_core[67] 0 -9 *996:5 *2821:la_oenb[38] 0 -10 *996:5 *1627:13 0 -11 *996:5 *1635:7 0 -12 *996:5 *1636:7 0 -13 *996:8 *1250:20 0.0459658 -14 *996:12 *997:14 0.000534616 -15 *996:12 *1655:14 0 -16 *2821:la_data_in[39] *996:5 0 -17 *969:13 *996:5 0 -18 *994:8 *996:8 0.000359405 -19 *994:8 *996:12 0.00597519 -20 *995:8 *996:8 0.0467802 -21 *995:8 *996:12 0.00146911 -22 *995:12 *996:12 0.00474651 -*RES -1 *2820:la_data_in_core[67] *996:5 58.4284 -2 *996:5 *996:7 4.5 -3 *996:7 *996:8 495.707 -4 *996:8 *996:10 0.578717 -5 *996:10 *996:12 106.375 -6 *996:12 *2821:la_data_in[67] 28.8777 -*END - -*D_NET *997 0.124344 -*CONN -*I *2821:la_data_in[68] I *D user_project_wrapper -*I *2820:la_data_in_core[68] O *D mgmt_protect -*CAP -1 *2821:la_data_in[68] 0.00115813 -2 *2820:la_data_in_core[68] 0.00244623 -3 *997:14 0.00546087 -4 *997:13 0.00437909 -5 *997:8 0.00192747 -6 *997:7 0.00185112 -7 *997:5 0.00244623 -8 *997:5 *2820:la_data_out_core[68] 0 -9 *997:5 *1221:7 0.000136975 -10 *997:5 *1620:13 0 -11 *997:5 *1636:7 0 -12 *997:5 *1637:7 0 -13 *997:8 *1223:8 0 -14 *997:8 *1231:8 0 -15 *997:8 *1605:8 5.40294e-05 -16 *997:8 *1607:8 0 -17 *997:8 *1610:14 0 -18 *997:13 *998:13 0.000155272 -19 *997:13 *1008:5 0.000158885 -20 *997:14 *998:14 0.0503801 -21 *997:14 *1250:13 0.00331484 -22 *997:14 *1254:8 1.65872e-05 -23 *2821:la_data_in[39] *997:5 0 -24 *969:13 *997:5 0 -25 *992:8 *997:8 0.00763254 -26 *995:8 *997:14 0.041661 -27 *995:12 *997:14 0.000629565 -28 *996:12 *997:14 0.000534616 -*RES -1 *2820:la_data_in_core[68] *997:5 56.3522 -2 *997:5 *997:7 4.5 -3 *997:7 *997:8 81.1409 -4 *997:8 *997:13 12.0778 -5 *997:13 *997:14 533.697 -6 *997:14 *2821:la_data_in[68] 27.632 -*END - -*D_NET *998 0.129775 -*CONN -*I *2821:la_data_in[69] I *D user_project_wrapper -*I *2820:la_data_in_core[69] O *D mgmt_protect -*CAP -1 *2821:la_data_in[69] 0.0011367 -2 *2820:la_data_in_core[69] 0.00199626 -3 *998:14 0.00540068 -4 *998:13 0.00481003 -5 *998:8 0.00191451 -6 *998:7 0.00136847 -7 *998:5 0.00199626 -8 *998:5 *2820:la_data_out_core[69] 0 -9 *998:5 *1637:7 0 -10 *998:8 *1226:13 2.81824e-05 -11 *998:8 *1257:18 0 -12 *998:8 *1630:14 0.0069679 -13 *998:8 *1640:8 0 -14 *998:8 *1642:8 0 -15 *998:13 *1008:5 0.000254182 -16 *998:13 *1226:7 0 -17 *998:13 *1648:5 0 -18 *998:14 *1254:8 0.052432 -19 *970:16 *998:8 0.000934007 -20 *997:13 *998:13 0.000155272 -21 *997:14 *998:14 0.0503801 -*RES -1 *2820:la_data_in_core[69] *998:5 45.5556 -2 *998:5 *998:7 4.5 -3 *998:7 *998:8 73.9311 -4 *998:8 *998:13 23.2896 -5 *998:13 *998:14 554.772 -6 *998:14 *2821:la_data_in[69] 27.2167 -*END - -*D_NET *999 0.0489077 -*CONN -*I *2821:la_data_in[6] I *D user_project_wrapper -*I *2820:la_data_in_core[6] O *D mgmt_protect -*CAP -1 *2821:la_data_in[6] 0.00207239 -2 *2820:la_data_in_core[6] 0.00122543 -3 *999:10 0.00207239 -4 *999:8 0.0016378 -5 *999:7 0.00286324 -6 *2821:la_data_in[6] *2820:mprj_dat_i_user[12] 0 -7 *999:7 *2820:la_data_out_core[6] 0 -8 *999:7 *1628:7 0 -9 *999:8 *1255:8 0.000113197 -10 *999:8 *1628:8 0.019707 -11 *999:8 *1639:8 0.0186111 -12 *988:8 *999:8 0.000605222 -*RES -1 *2820:la_data_in_core[6] *999:7 38.4285 -2 *999:7 *999:8 212.027 -3 *999:8 *999:10 4.5 -4 *999:10 *2821:la_data_in[6] 48.4624 -*END - -*D_NET *1000 0.136732 -*CONN -*I *2821:la_data_in[70] I *D user_project_wrapper -*I *2820:la_data_in_core[70] O *D mgmt_protect -*CAP -1 *2821:la_data_in[70] 0.00107239 -2 *2820:la_data_in_core[70] 8.20467e-05 -3 *1000:10 0.00580319 -4 *1000:9 0.0047308 -5 *1000:7 0.0027697 -6 *1000:5 0.00285174 -7 *1000:7 *2820:la_data_out_core[70] 0 -8 *1000:7 *1001:5 0 -9 *1000:7 *1223:7 0 -10 *1000:7 *1251:16 0 -11 *1000:7 *1633:11 0 -12 *1000:7 *1638:10 0 -13 *1000:7 *1640:7 0 -14 *1000:10 *1001:8 0.0598743 -15 *1000:10 *1002:8 0.0590864 -16 *1000:10 *1254:8 0.000226394 -17 *2821:la_data_in[40] *1000:7 0 -18 *934:14 *1000:10 0.00015065 -19 *991:10 *1000:10 8.40274e-05 -*RES -1 *2820:la_data_in_core[70] *1000:5 2.33274 -2 *1000:5 *1000:7 61.0054 -3 *1000:7 *1000:9 4.5 -4 *1000:9 *1000:10 639.072 -5 *1000:10 *2821:la_data_in[70] 25.971 -*END - -*D_NET *1001 0.137716 -*CONN -*I *2821:la_data_in[71] I *D user_project_wrapper -*I *2820:la_data_in_core[71] O *D mgmt_protect -*CAP -1 *2821:la_data_in[71] 0.00108697 -2 *2820:la_data_in_core[71] 0.00265808 -3 *1001:8 0.00632057 -4 *1001:7 0.0052336 -5 *1001:5 0.00265808 -6 *1001:5 *2820:la_data_out_core[71] 0 -7 *1001:5 *1223:7 0.000178219 -8 *1001:5 *1640:7 0 -9 *1001:8 *1002:8 0.000921763 -10 *1001:8 *1254:8 0.0585022 -11 *934:14 *1001:8 0.000282057 -12 *1000:7 *1001:5 0 -13 *1000:10 *1001:8 0.0598743 -*RES -1 *2820:la_data_in_core[71] *1001:5 60.5047 -2 *1001:5 *1001:7 4.5 -3 *1001:7 *1001:8 655.156 -4 *1001:8 *2821:la_data_in[71] 26.3862 -*END - -*D_NET *1002 0.140629 -*CONN -*I *2821:la_data_in[72] I *D user_project_wrapper -*I *2820:la_data_in_core[72] O *D mgmt_protect -*CAP -1 *2821:la_data_in[72] 0.00105095 -2 *2820:la_data_in_core[72] 0.00276882 -3 *1002:8 0.00621377 -4 *1002:7 0.00516282 -5 *1002:5 0.00276882 -6 *1002:5 *2820:la_data_out_core[71] 0 -7 *1002:5 *2820:la_data_out_core[72] 0 -8 *1002:5 *2821:la_oenb[40] 0 -9 *1002:5 *1641:7 0 -10 *1002:5 *1642:7 0 -11 *1002:8 *1003:8 0.0623533 -12 *2821:la_data_in[41] *1002:5 0 -13 *934:14 *1002:8 0.000163504 -14 *991:10 *1002:8 0.000139227 -15 *1000:10 *1002:8 0.0590864 -16 *1001:8 *1002:8 0.000921763 -*RES -1 *2820:la_data_in_core[72] *1002:5 61.3352 -2 *1002:5 *1002:7 4.5 -3 *1002:7 *1002:8 667.911 -4 *1002:8 *2821:la_data_in[72] 25.5557 -*END - -*D_NET *1003 0.143719 -*CONN -*I *2821:la_data_in[73] I *D user_project_wrapper -*I *2820:la_data_in_core[73] O *D mgmt_protect -*CAP -1 *2821:la_data_in[73] 0.00102952 -2 *2820:la_data_in_core[73] 0.00269339 -3 *1003:8 0.00632417 -4 *1003:7 0.00529466 -5 *1003:5 0.00269339 -6 *1003:5 *2820:la_data_out_core[73] 0 -7 *1003:5 *1224:7 0 -8 *1003:5 *1642:7 0 -9 *1003:8 *1006:8 0.0621473 -10 *2821:la_data_in[41] *1003:5 0 -11 *934:14 *1003:8 0.000181021 -12 *970:13 *1003:5 0.000419953 -13 *991:10 *1003:8 0.000582801 -14 *1002:8 *1003:8 0.0623533 -*RES -1 *2820:la_data_in_core[73] *1003:5 61.7504 -2 *1003:5 *1003:7 4.5 -3 *1003:7 *1003:8 681.222 -4 *1003:8 *2821:la_data_in[73] 25.1405 -*END - -*D_NET *1004 0.152728 -*CONN -*I *2821:la_data_in[74] I *D user_project_wrapper -*I *2820:la_data_in_core[74] O *D mgmt_protect -*CAP -1 *2821:la_data_in[74] 0.00394612 -2 *2820:la_data_in_core[74] 0.000395504 -3 *1004:10 0.00394612 -4 *1004:8 0.00539654 -5 *1004:7 0.00579204 -6 *1004:7 *2820:la_data_out_core[74] 0 -7 *1004:7 *1643:7 0 -8 *1004:8 *1005:8 0.0646124 -9 *1004:8 *1181:14 0.0320563 -10 *1004:8 *1190:16 0.00350507 -11 *1004:8 *1242:14 0.0272462 -12 *1004:8 *1252:8 0.000189848 -13 *986:8 *1004:8 0 -14 *986:14 *1004:8 0.00564177 -*RES -1 *2820:la_data_in_core[74] *1004:7 13.9287 -2 *1004:7 *1004:8 694.532 -3 *1004:8 *1004:10 4.5 -4 *1004:10 *2821:la_data_in[74] 72.9623 -*END - -*D_NET *1005 0.152575 -*CONN -*I *2821:la_data_in[75] I *D user_project_wrapper -*I *2820:la_data_in_core[75] O *D mgmt_protect -*CAP -1 *2821:la_data_in[75] 0.00390252 -2 *2820:la_data_in_core[75] 0.00041694 -3 *1005:10 0.00390252 -4 *1005:8 0.00596722 -5 *1005:7 0.00638416 -6 *1005:7 *1261:11 0 -7 *1005:7 *1644:7 0 -8 *1005:8 *1011:8 0.0629501 -9 *1005:8 *1181:14 0.000941784 -10 *1005:8 *1190:16 0.00349756 -11 *986:8 *1005:8 0 -12 *1004:8 *1005:8 0.0646124 -*RES -1 *2820:la_data_in_core[75] *1005:7 14.3439 -2 *1005:7 *1005:8 707.843 -3 *1005:8 *1005:10 4.5 -4 *1005:10 *2821:la_data_in[75] 72.547 -*END - -*D_NET *1006 0.149884 -*CONN -*I *2821:la_data_in[76] I *D user_project_wrapper -*I *2820:la_data_in_core[76] O *D mgmt_protect -*CAP -1 *2821:la_data_in[76] 0.00100639 -2 *2820:la_data_in_core[76] 0.00278138 -3 *1006:8 0.00707246 -4 *1006:7 0.00606607 -5 *1006:5 0.00278138 -6 *1006:5 *2820:la_data_out_core[76] 0 -7 *1006:5 *1225:7 0.000160045 -8 *1006:5 *1261:11 0 -9 *1006:5 *1645:7 0 -10 *1006:5 *1646:5 0 -11 *1006:8 *1007:10 0.06726 -12 *2821:la_data_in[42] *1006:5 0 -13 *934:14 *1006:8 0.000196901 -14 *991:10 *1006:8 0.000412036 -15 *1003:8 *1006:8 0.0621473 -*RES -1 *2820:la_data_in_core[76] *1006:5 62.1657 -2 *1006:5 *1006:7 4.5 -3 *1006:7 *1006:8 721.153 -4 *1006:8 *2821:la_data_in[76] 24.7252 -*END - -*D_NET *1007 0.155624 -*CONN -*I *2821:la_data_in[77] I *D user_project_wrapper -*I *2820:la_data_in_core[77] O *D mgmt_protect -*CAP -1 *2821:la_data_in[77] 0.000979125 -2 *2820:la_data_in_core[77] 6.22868e-05 -3 *1007:10 0.00649903 -4 *1007:9 0.0055199 -5 *1007:7 0.00214642 -6 *1007:5 0.0022087 -7 *1007:7 *2820:la_data_out_core[77] 0.00239095 -8 *1007:7 *2821:la_oenb[42] 0.000368764 -9 *1007:7 *1231:13 0 -10 *1007:7 *1646:5 0 -11 *1007:10 *1250:16 0.00416455 -12 *2821:la_data_in[43] *1007:7 0 -13 *2821:la_data_in[62] *1007:10 0.000546946 -14 *934:14 *1007:10 0.000196901 -15 *935:14 *1007:10 0.000513755 -16 *935:16 *1007:10 0.0258299 -17 *991:10 *1007:10 0.0369371 -18 *1006:8 *1007:10 0.06726 -*RES -1 *2820:la_data_in_core[77] *1007:5 1.77093 -2 *1007:5 *1007:7 62.6664 -3 *1007:7 *1007:9 4.5 -4 *1007:9 *1007:10 732.8 -5 *1007:10 *2821:la_data_in[77] 24.31 -*END - -*D_NET *1008 0.111312 -*CONN -*I *2821:la_data_in[78] I *D user_project_wrapper -*I *2820:la_data_in_core[78] O *D mgmt_protect -*CAP -1 *2821:la_data_in[78] 0.000408389 -2 *2820:la_data_in_core[78] 0.00269521 -3 *1008:8 0.0169735 -4 *1008:7 0.0165651 -5 *1008:5 0.00269521 -6 *1008:5 *2820:la_data_out_core[77] 0 -7 *1008:5 *2820:la_data_out_core[78] 0 -8 *1008:5 *1231:13 0.000814381 -9 *1008:5 *1635:17 8.87047e-05 -10 *1008:5 *1647:7 0 -11 *1008:5 *1648:5 0 -12 *1008:8 *1009:8 0.0701871 -13 *2821:la_data_in[43] *1008:5 0.000471141 -14 *997:13 *1008:5 0.000158885 -15 *998:13 *1008:5 0.000254182 -*RES -1 *2820:la_data_in_core[78] *1008:5 71.3012 -2 *1008:5 *1008:7 4.5 -3 *1008:7 *1008:8 748.329 -4 *1008:8 *2821:la_data_in[78] 15.5897 -*END - -*D_NET *1009 0.158972 -*CONN -*I *2821:la_data_in[79] I *D user_project_wrapper -*I *2820:la_data_in_core[79] O *D mgmt_protect -*CAP -1 *2821:la_data_in[79] 0.000427089 -2 *2820:la_data_in_core[79] 0.00304267 -3 *1009:8 0.00618075 -4 *1009:7 0.00575366 -5 *1009:5 0.00304267 -6 *1009:5 *2820:la_data_out_core[79] 0 -7 *1009:5 *2821:la_oenb[43] 0 -8 *1009:5 *1226:7 0.000409907 -9 *1009:5 *1648:5 0 -10 *1009:5 *1649:7 0 -11 *1009:8 *1013:8 0.069928 -12 *1008:8 *1009:8 0.0701871 -*RES -1 *2820:la_data_in_core[79] *1009:5 70.886 -2 *1009:5 *1009:7 4.5 -3 *1009:7 *1009:8 761.085 -4 *1009:8 *2821:la_data_in[79] 16.0049 -*END - -*D_NET *1010 0.0395761 -*CONN -*I *2821:la_data_in[7] I *D user_project_wrapper -*I *2820:la_data_in_core[7] O *D mgmt_protect -*CAP -1 *2821:la_data_in[7] 0.00235858 -2 *2820:la_data_in_core[7] 0.000919689 -3 *1010:10 0.00235858 -4 *1010:8 0.00340318 -5 *1010:7 0.00432287 -6 *2821:la_data_in[7] *1862:11 0.000262548 -7 *2821:la_data_in[7] *1926:7 0 -8 *1010:7 *2820:la_data_out_core[7] 0 -9 *1010:7 *1639:7 0 -10 *1010:8 *1200:12 0 -11 *1010:8 *1650:8 0 -12 *1010:8 *1808:8 0.000435263 -13 *1010:8 *1812:8 1.59204e-05 -14 *1010:8 *1874:8 0.00829871 -15 *1010:8 *1940:8 0.0100634 -16 *1010:8 *1942:8 0.000384979 -17 *905:10 *1010:8 0.00404321 -18 *977:10 *1010:8 0.00270909 -*RES -1 *2820:la_data_in_core[7] *1010:7 30.1235 -2 *1010:7 *1010:8 198.717 -3 *1010:8 *1010:10 4.5 -4 *1010:10 *2821:la_data_in[7] 56.7674 -*END - -*D_NET *1011 0.164026 -*CONN -*I *2821:la_data_in[80] I *D user_project_wrapper -*I *2820:la_data_in_core[80] O *D mgmt_protect -*CAP -1 *2821:la_data_in[80] 0.00378279 -2 *2820:la_data_in_core[80] 0.000438376 -3 *1011:10 0.00378279 -4 *1011:8 0.00635323 -5 *1011:7 0.00679161 -6 *1011:7 *2820:la_data_out_core[80] 0 -7 *1011:7 *1649:7 0 -8 *1011:8 *1012:8 0.0723968 -9 *1011:8 *1181:14 0.00272074 -10 *1011:8 *1188:14 0.00453687 -11 *915:8 *1011:8 0.00022447 -12 *986:8 *1011:8 4.77612e-05 -13 *1005:8 *1011:8 0.0629501 -*RES -1 *2820:la_data_in_core[80] *1011:7 14.7592 -2 *1011:7 *1011:8 774.95 -3 *1011:8 *1011:10 4.5 -4 *1011:10 *2821:la_data_in[80] 72.1318 -*END - -*D_NET *1012 0.17047 -*CONN -*I *2821:la_data_in[81] I *D user_project_wrapper -*I *2820:la_data_in_core[81] O *D mgmt_protect -*CAP -1 *2821:la_data_in[81] 0.00374332 -2 *2820:la_data_in_core[81] 0.000459812 -3 *1012:10 0.00374332 -4 *1012:8 0.00600436 -5 *1012:7 0.00646417 -6 *1012:7 *2820:la_data_out_core[81] 0 -7 *1012:7 *1651:7 0 -8 *1012:8 *1015:8 0.0721892 -9 *1012:8 *1181:14 0.000383561 -10 *1012:8 *1188:14 0.00417099 -11 *915:8 *1012:8 0.000535583 -12 *986:8 *1012:8 0.000379223 -13 *1011:8 *1012:8 0.0723968 -*RES -1 *2820:la_data_in_core[81] *1012:7 15.1744 -2 *1012:7 *1012:8 787.706 -3 *1012:8 *1012:10 4.5 -4 *1012:10 *2821:la_data_in[81] 71.7165 -*END - -*D_NET *1013 0.165593 -*CONN -*I *2821:la_data_in[82] I *D user_project_wrapper -*I *2820:la_data_in_core[82] O *D mgmt_protect -*CAP -1 *2821:la_data_in[82] 0.000446153 -2 *2820:la_data_in_core[82] 0.00323357 -3 *1013:8 0.00689217 -4 *1013:7 0.00644602 -5 *1013:5 0.00323357 -6 *1013:5 *2820:la_data_out_core[81] 0 -7 *1013:5 *2820:la_data_out_core[82] 0 -8 *1013:5 *2821:la_oenb[44] 0 -9 *1013:5 *1227:7 0 -10 *1013:5 *1652:7 0 -11 *1013:8 *1014:8 0.0752402 -12 *1013:8 *1176:8 0.00017378 -13 *1009:8 *1013:8 0.069928 -*RES -1 *2820:la_data_in_core[82] *1013:5 70.4707 -2 *1013:5 *1013:7 4.5 -3 *1013:7 *1013:8 801.571 -4 *1013:8 *2821:la_data_in[82] 16.4202 -*END - -*D_NET *1014 0.170739 -*CONN -*I *2821:la_data_in[83] I *D user_project_wrapper -*I *2820:la_data_in_core[83] O *D mgmt_protect -*CAP -1 *2821:la_data_in[83] 0.000465272 -2 *2820:la_data_in_core[83] 0.00276952 -3 *1014:8 0.00657078 -4 *1014:7 0.0061055 -5 *1014:5 0.00276952 -6 *1014:5 *2820:la_data_out_core[83] 0 -7 *1014:5 *2821:la_oenb[44] 0 -8 *1014:5 *1241:13 0.000859862 -9 *1014:5 *1265:13 0.000134238 -10 *1014:5 *1653:7 0 -11 *1014:8 *1017:8 0.0749876 -12 *1014:8 *1176:8 0.000385925 -13 *2821:la_data_in[45] *1014:5 0.000450585 -14 *1013:8 *1014:8 0.0752402 -*RES -1 *2820:la_data_in_core[83] *1014:5 70.0555 -2 *1014:5 *1014:7 4.5 -3 *1014:7 *1014:8 814.327 -4 *1014:8 *2821:la_data_in[83] 16.8354 -*END - -*D_NET *1015 0.178369 -*CONN -*I *2821:la_data_in[84] I *D user_project_wrapper -*I *2820:la_data_in_core[84] O *D mgmt_protect -*CAP -1 *2821:la_data_in[84] 0.00365093 -2 *2820:la_data_in_core[84] 0.000481249 -3 *1015:10 0.00365093 -4 *1015:8 0.00662268 -5 *1015:7 0.00710393 -6 *1015:7 *2820:la_data_out_core[84] 0 -7 *1015:7 *1654:7 0 -8 *1015:8 *1016:8 0.077566 -9 *1015:8 *1181:14 0.000420688 -10 *915:8 *1015:8 0.00649023 -11 *986:8 *1015:8 0.000193373 -12 *1012:8 *1015:8 0.0721892 -*RES -1 *2820:la_data_in_core[84] *1015:7 15.5897 -2 *1015:7 *1015:8 828.192 -3 *1015:8 *1015:10 4.5 -4 *1015:10 *2821:la_data_in[84] 71.3012 -*END - -*D_NET *1016 0.182785 -*CONN -*I *2821:la_data_in[85] I *D user_project_wrapper -*I *2820:la_data_in_core[85] O *D mgmt_protect -*CAP -1 *2821:la_data_in[85] 0.00360733 -2 *2820:la_data_in_core[85] 0.000502685 -3 *1016:10 0.00360733 -4 *1016:8 0.00621757 -5 *1016:7 0.00672026 -6 *1016:7 *2820:la_data_out_core[85] 0 -7 *1016:7 *1655:5 0 -8 *1016:8 *1019:8 0.0773585 -9 *1016:8 *1181:14 0 -10 *915:8 *1016:8 0.00623473 -11 *986:8 *1016:8 0.000970475 -12 *1015:8 *1016:8 0.077566 -*RES -1 *2820:la_data_in_core[85] *1016:7 16.0049 -2 *1016:7 *1016:8 840.948 -3 *1016:8 *1016:10 4.5 -4 *1016:10 *2821:la_data_in[85] 70.886 -*END - -*D_NET *1017 0.178307 -*CONN -*I *2821:la_data_in[86] I *D user_project_wrapper -*I *2820:la_data_in_core[86] O *D mgmt_protect -*CAP -1 *2821:la_data_in[86] 0.000484392 -2 *2820:la_data_in_core[86] 0.00255273 -3 *1017:8 0.00727239 -4 *1017:7 0.006788 -5 *1017:5 0.00255273 -6 *1017:5 *2820:la_data_out_core[86] 0 -7 *1017:5 *1656:7 0 -8 *1017:5 *1657:5 0 -9 *1017:8 *1018:8 0.0802999 -10 *1017:8 *1178:8 0.000535823 -11 *2821:la_data_in[46] *1017:5 0.00283316 -12 *1014:8 *1017:8 0.0749876 -*RES -1 *2820:la_data_in_core[86] *1017:5 69.6402 -2 *1017:5 *1017:7 4.5 -3 *1017:7 *1017:8 854.813 -4 *1017:8 *2821:la_data_in[86] 17.2507 -*END - -*D_NET *1018 0.182113 -*CONN -*I *2821:la_data_in[87] I *D user_project_wrapper -*I *2820:la_data_in_core[87] O *D mgmt_protect -*CAP -1 *2821:la_data_in[87] 0.000503511 -2 *2820:la_data_in_core[87] 0.00311999 -3 *1018:8 0.0068421 -4 *1018:7 0.00633859 -5 *1018:5 0.00311999 -6 *1018:5 *2820:la_data_out_core[87] 0 -7 *1018:5 *2821:la_oenb[46] 0 -8 *1018:5 *1229:7 0.000278455 -9 *1018:5 *1622:17 0 -10 *1018:5 *1657:5 0 -11 *1018:8 *1020:8 0.080831 -12 *1018:8 *1178:8 0.000779727 -13 *1017:8 *1018:8 0.0802999 -*RES -1 *2820:la_data_in_core[87] *1018:5 69.225 -2 *1018:5 *1018:7 4.5 -3 *1018:7 *1018:8 868.123 -4 *1018:8 *2821:la_data_in[87] 17.6659 -*END - -*D_NET *1019 0.190056 -*CONN -*I *2821:la_data_in[88] I *D user_project_wrapper -*I *2820:la_data_in_core[88] O *D mgmt_protect -*CAP -1 *2821:la_data_in[88] 0.0035213 -2 *2820:la_data_in_core[88] 0.000524121 -3 *1019:10 0.0035213 -4 *1019:8 0.00699374 -5 *1019:7 0.00751786 -6 *1019:7 *2820:la_data_out_core[88] 0 -7 *1019:7 *1658:7 0 -8 *1019:8 *1177:8 0.000402056 -9 *1019:8 *1179:8 0.06218 -10 *1019:8 *1181:14 0 -11 *917:8 *1019:8 0.00767956 -12 *985:8 *1019:8 3.07726e-05 -13 *985:14 *1019:8 0.00353079 -14 *986:8 *1019:8 0.0167957 -15 *1016:8 *1019:8 0.0773585 -*RES -1 *2820:la_data_in_core[88] *1019:7 16.4202 -2 *1019:7 *1019:8 881.434 -3 *1019:8 *1019:10 4.5 -4 *1019:10 *2821:la_data_in[88] 70.4707 -*END - -*D_NET *1020 0.18629 -*CONN -*I *2821:la_data_in[89] I *D user_project_wrapper -*I *2820:la_data_in_core[89] O *D mgmt_protect -*CAP -1 *2821:la_data_in[89] 0.000522631 -2 *2820:la_data_in_core[89] 0.00324031 -3 *1020:8 0.00735895 -4 *1020:7 0.00683632 -5 *1020:5 0.00324031 -6 *1020:5 *2820:la_data_out_core[89] 0 -7 *1020:5 *1230:7 0 -8 *1020:5 *1275:24 8.24277e-06 -9 *1020:5 *1659:5 0 -10 *1020:8 *1023:8 0.0833543 -11 *1020:8 *1180:8 0.000897866 -12 *2821:la_data_in[47] *1020:5 0 -13 *1018:8 *1020:8 0.080831 -*RES -1 *2820:la_data_in_core[89] *1020:5 68.8097 -2 *1020:5 *1020:7 4.5 -3 *1020:7 *1020:8 894.744 -4 *1020:8 *2821:la_data_in[89] 18.0812 -*END - -*D_NET *1021 0.0429342 -*CONN -*I *2821:la_data_in[8] I *D user_project_wrapper -*I *2820:la_data_in_core[8] O *D mgmt_protect -*CAP -1 *2821:la_data_in[8] 0.00169764 -2 *2820:la_data_in_core[8] 0.00129064 -3 *1021:10 0.00169764 -4 *1021:8 0.00153393 -5 *1021:7 0.00282456 -6 *2821:la_data_in[8] *2820:mprj_dat_i_user[17] 0 -7 *2821:la_data_in[8] *1797:7 0.000760226 -8 *2821:la_data_in[8] *1929:5 0 -9 *1021:7 *2820:la_data_out_core[7] 0 -10 *1021:7 *2820:la_data_out_core[8] 0 -11 *1021:7 *1650:7 0 -12 *1021:8 *1032:8 0.0155046 -13 *1021:8 *1266:8 0.0169867 -14 *1021:8 *1277:8 0.000233938 -15 *1021:8 *1798:8 0.000108585 -16 *1021:8 *1805:8 0 -17 *1021:8 *1865:12 0.000118245 -18 *1021:8 *1867:12 0.000177516 -*RES -1 *2820:la_data_in_core[8] *1021:7 40.5048 -2 *1021:7 *1021:8 185.406 -3 *1021:8 *1021:10 4.5 -4 *1021:10 *2821:la_data_in[8] 46.3861 -*END - -*D_NET *1022 0.141078 -*CONN -*I *2821:la_data_in[90] I *D user_project_wrapper -*I *2820:la_data_in_core[90] O *D mgmt_protect -*CAP -1 *2821:la_data_in[90] 0.00290619 -2 *2820:la_data_in_core[90] 0.000905941 -3 *1022:10 0.00290619 -4 *1022:8 0.0172378 -5 *1022:7 0.0181438 -6 *1022:7 *1278:17 0 -7 *1022:7 *1660:7 0 -8 *1022:8 *1024:8 0.0847384 -9 *1022:8 *1173:8 0.0078133 -10 *1022:8 *1279:14 0.000351996 -11 *1022:8 *1546:13 0.000134328 -12 *1022:8 *1547:8 0.00502529 -13 *1022:8 *1565:8 0.000342125 -14 *1022:8 *1615:8 0.000572433 -15 *1022:8 *1633:14 0 -16 *975:8 *1022:8 0 -*RES -1 *2820:la_data_in_core[90] *1022:7 25.1405 -2 *1022:7 *1022:8 908.055 -3 *1022:8 *1022:10 4.5 -4 *1022:10 *2821:la_data_in[90] 61.7504 -*END - -*D_NET *1023 0.192035 -*CONN -*I *2821:la_data_in[91] I *D user_project_wrapper -*I *2820:la_data_in_core[91] O *D mgmt_protect -*CAP -1 *2821:la_data_in[91] 0.000541751 -2 *2820:la_data_in_core[91] 0.00297252 -3 *1023:8 0.00758187 -4 *1023:7 0.00704012 -5 *1023:5 0.00297252 -6 *1023:5 *2820:la_data_out_core[91] 0 -7 *1023:5 *2821:la_oenb[47] 0 -8 *1023:5 *1662:5 0 -9 *1023:8 *1025:10 0.0857313 -10 *1023:8 *1180:8 0.00116565 -11 *1023:8 *1243:8 0 -12 *2821:la_data_in[48] *1023:5 0.000674491 -13 *1020:8 *1023:8 0.0833543 -*RES -1 *2820:la_data_in_core[91] *1023:5 68.3945 -2 *1023:5 *1023:7 4.5 -3 *1023:7 *1023:8 921.365 -4 *1023:8 *2821:la_data_in[91] 18.4964 -*END - -*D_NET *1024 0.202533 -*CONN -*I *2821:la_data_in[92] I *D user_project_wrapper -*I *2820:la_data_in_core[92] O *D mgmt_protect -*CAP -1 *2821:la_data_in[92] 0.00289186 -2 *2820:la_data_in_core[92] 0.000884505 -3 *1024:10 0.00289186 -4 *1024:8 0.00691544 -5 *1024:7 0.00779995 -6 *1024:7 *1280:11 0 -7 *1024:7 *1663:7 0 -8 *1024:8 *1026:8 0.0873133 -9 *1024:8 *1173:8 0.0090973 -10 *1024:8 *1547:8 0 -11 *976:8 *1024:8 0 -12 *1022:8 *1024:8 0.0847384 -*RES -1 *2820:la_data_in_core[92] *1024:7 24.7252 -2 *1024:7 *1024:8 934.676 -3 *1024:8 *1024:10 4.5 -4 *1024:10 *2821:la_data_in[92] 62.1657 -*END - -*D_NET *1025 0.197747 -*CONN -*I *2821:la_data_in[93] I *D user_project_wrapper -*I *2820:la_data_in_core[93] O *D mgmt_protect -*CAP -1 *2821:la_data_in[93] 0.00056087 -2 *2820:la_data_in_core[93] 6.22868e-05 -3 *1025:10 0.0077028 -4 *1025:9 0.00714193 -5 *1025:7 0.00281616 -6 *1025:5 0.00287845 -7 *1025:7 *2820:la_data_out_core[93] 0.000636851 -8 *1025:7 *2821:la_oenb[48] 0.000550387 -9 *1025:7 *1280:11 0 -10 *1025:7 *1664:7 0 -11 *1025:7 *1665:7 0 -12 *1025:10 *1027:8 0.0884204 -13 *1025:10 *1182:8 0.00124543 -14 *1025:10 *1243:8 0 -15 *2821:la_data_in[49] *1025:7 0 -16 *1023:8 *1025:10 0.0857313 -*RES -1 *2820:la_data_in_core[93] *1025:5 1.77093 -2 *1025:5 *1025:7 68.0647 -3 *1025:7 *1025:9 4.5 -4 *1025:9 *1025:10 946.323 -5 *1025:10 *2821:la_data_in[93] 18.9117 -*END - -*D_NET *1026 0.207766 -*CONN -*I *2821:la_data_in[94] I *D user_project_wrapper -*I *2820:la_data_in_core[94] O *D mgmt_protect -*CAP -1 *2821:la_data_in[94] 0.00286836 -2 *2820:la_data_in_core[94] 0.00081314 -3 *1026:10 0.00286836 -4 *1026:8 0.00701921 -5 *1026:7 0.00783235 -6 *1026:7 *2820:la_data_out_core[94] 0 -7 *1026:7 *1665:7 0 -8 *1026:8 *1028:8 0.0898883 -9 *1026:8 *1175:8 0.00892123 -10 *1026:8 *1547:8 0 -11 *2821:la_data_in[49] *1026:7 0.000241556 -12 *978:8 *1026:8 0 -13 *1024:8 *1026:8 0.0873133 -*RES -1 *2820:la_data_in_core[94] *1026:7 24.31 -2 *1026:7 *1026:8 961.297 -3 *1026:8 *1026:10 4.5 -4 *1026:10 *2821:la_data_in[94] 62.5809 -*END - -*D_NET *1027 0.203364 -*CONN -*I *2821:la_data_in[95] I *D user_project_wrapper -*I *2820:la_data_in_core[95] O *D mgmt_protect -*CAP -1 *2821:la_data_in[95] 0.00057999 -2 *2820:la_data_in_core[95] 0.0032928 -3 *1027:8 0.00793126 -4 *1027:7 0.00735127 -5 *1027:5 0.0032928 -6 *1027:5 *2820:la_data_out_core[95] 0 -7 *1027:5 *2821:la_oenb[49] 0 -8 *1027:5 *1232:5 0 -9 *1027:5 *1666:7 0 -10 *1027:8 *1029:8 0.0909438 -11 *1027:8 *1182:8 0.00155158 -12 *1027:8 *1243:8 0 -13 *1025:10 *1027:8 0.0884204 -*RES -1 *2820:la_data_in_core[95] *1027:5 67.564 -2 *1027:5 *1027:7 4.5 -3 *1027:7 *1027:8 974.607 -4 *1027:8 *2821:la_data_in[95] 19.3269 -*END - -*D_NET *1028 0.214279 -*CONN -*I *2821:la_data_in[96] I *D user_project_wrapper -*I *2820:la_data_in_core[96] O *D mgmt_protect -*CAP -1 *2821:la_data_in[96] 0.00284411 -2 *2820:la_data_in_core[96] 0.000848463 -3 *1028:10 0.00284411 -4 *1028:8 0.00713629 -5 *1028:7 0.00798476 -6 *1028:7 *2820:la_data_out_core[96] 0 -7 *1028:7 *1667:7 0 -8 *1028:8 *1030:8 0.092423 -9 *1028:8 *1175:8 0.0103103 -10 *1028:8 *1547:8 0 -11 *978:8 *1028:8 0 -12 *979:8 *1028:8 0 -13 *1026:8 *1028:8 0.0898883 -*RES -1 *2820:la_data_in_core[96] *1028:7 23.8947 -2 *1028:7 *1028:8 987.918 -3 *1028:8 *1028:10 4.5 -4 *1028:10 *2821:la_data_in[96] 62.9962 -*END - -*D_NET *1029 0.208881 -*CONN -*I *2821:la_data_in[97] I *D user_project_wrapper -*I *2820:la_data_in_core[97] O *D mgmt_protect -*CAP -1 *2821:la_data_in[97] 0.00059911 -2 *2820:la_data_in_core[97] 0.00330254 -3 *1029:8 0.00812549 -4 *1029:7 0.00752638 -5 *1029:5 0.00330254 -6 *1029:5 *2820:la_data_out_core[97] 0 -7 *1029:5 *1234:5 0 -8 *1029:5 *1668:5 0 -9 *1029:8 *1031:8 0.0934736 -10 *1029:8 *1185:8 0.00160747 -11 *1029:8 *1243:8 0 -12 *2821:la_data_in[50] *1029:5 0 -13 *1027:8 *1029:8 0.0909438 -*RES -1 *2820:la_data_in_core[97] *1029:5 67.1487 -2 *1029:5 *1029:7 4.5 -3 *1029:7 *1029:8 1001.23 -4 *1029:8 *2821:la_data_in[97] 19.7422 -*END - -*D_NET *1030 0.219158 -*CONN -*I *2821:la_data_in[98] I *D user_project_wrapper -*I *2820:la_data_in_core[98] O *D mgmt_protect -*CAP -1 *2821:la_data_in[98] 0.0028208 -2 *2820:la_data_in_core[98] 0.000827027 -3 *1030:10 0.0028208 -4 *1030:8 0.0072722 -5 *1030:7 0.00809922 -6 *1030:7 *1286:11 0 -7 *1030:7 *1669:7 0 -8 *1030:8 *1162:8 0.0948515 -9 *1030:8 *1547:8 0 -10 *920:8 *1030:8 0.0100437 -11 *979:8 *1030:8 0 -12 *980:8 *1030:8 0 -13 *1028:8 *1030:8 0.092423 -*RES -1 *2820:la_data_in_core[98] *1030:7 23.4795 -2 *1030:7 *1030:8 1014.54 -3 *1030:8 *1030:10 4.5 -4 *1030:10 *2821:la_data_in[98] 63.4115 -*END - -*D_NET *1031 0.214507 -*CONN -*I *2821:la_data_in[99] I *D user_project_wrapper -*I *2820:la_data_in_core[99] O *D mgmt_protect -*CAP -1 *2821:la_data_in[99] 0.000618229 -2 *2820:la_data_in_core[99] 0.00306053 -3 *1031:8 0.00838824 -4 *1031:7 0.00777001 -5 *1031:5 0.00306053 -6 *1031:5 *2820:la_data_out_core[99] 0 -7 *1031:5 *2821:la_oenb[50] 0 -8 *1031:5 *1286:11 0 -9 *1031:5 *1670:5 0 -10 *1031:8 *1163:8 0.0955786 -11 *1031:8 *1185:8 0.00194538 -12 *1031:8 *1243:8 0 -13 *2821:la_data_in[51] *1031:5 0.000612065 -14 *1029:8 *1031:8 0.0934736 -*RES -1 *2820:la_data_in_core[99] *1031:5 66.7335 -2 *1031:5 *1031:7 4.5 -3 *1031:7 *1031:8 1027.85 -4 *1031:8 *2821:la_data_in[99] 20.1574 -*END - -*D_NET *1032 0.0411013 -*CONN -*I *2821:la_data_in[9] I *D user_project_wrapper -*I *2820:la_data_in_core[9] O *D mgmt_protect -*CAP -1 *2821:la_data_in[9] 0.00139523 -2 *2820:la_data_in_core[9] 0.0012916 -3 *1032:10 0.00139523 -4 *1032:8 0.0013816 -5 *1032:7 0.0026732 -6 *2821:la_data_in[9] *1801:7 0.000944062 -7 *2821:la_data_in[9] *1931:5 0.000827593 -8 *1032:7 *2820:la_data_out_core[8] 0 -9 *1032:7 *2820:la_data_out_core[9] 0 -10 *1032:7 *1661:7 0 -11 *1032:8 *1277:8 6.44576e-05 -12 *1032:8 *1288:8 0.0156237 -13 *1032:8 *1805:8 0 -14 *1021:8 *1032:8 0.0155046 -*RES -1 *2820:la_data_in_core[9] *1032:7 40.9201 -2 *1032:7 *1032:8 171.541 -3 *1032:8 *1032:10 4.5 -4 *1032:10 *2821:la_data_in[9] 45.9709 -*END - -*D_NET *1033 0.196299 -*CONN -*I *2820:la_data_out_mprj[0] I *D mgmt_protect -*I *2826:la_output[0] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[0] 0.00199587 -2 *2826:la_output[0] 0.0017573 -3 *1033:10 0.0156535 -4 *1033:9 0.0136576 -5 *1033:7 0.0017573 -6 *2820:la_data_out_mprj[0] *2820:la_iena_mprj[0] 0 -7 *2820:la_data_out_mprj[0] *1096:7 0 -8 *2820:la_data_out_mprj[0] *1480:15 0 -9 *1033:7 *1328:7 0 -10 *1033:7 *1417:9 0 -11 *1033:10 *1069:10 0.0525532 -12 *1033:10 *1323:10 0 -13 *777:5 *2820:la_data_out_mprj[0] 0.000510561 -14 *813:8 *1033:10 0.000480973 -15 *816:8 *1033:10 0.0889079 -16 *827:8 *1033:10 0.0129115 -17 *871:8 *1033:10 0.0061135 -*RES -1 *2826:la_output[0] *1033:7 47.3387 -2 *1033:7 *1033:9 3.36879 -3 *1033:9 *1033:10 114.326 -4 *1033:10 *2820:la_data_out_mprj[0] 44.9795 -*END - -*D_NET *1034 0.0725951 -*CONN -*I *2820:la_data_out_mprj[100] I *D mgmt_protect -*I *2826:la_output[100] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[100] 0.00165209 -2 *2826:la_output[100] 0.00198546 -3 *1034:12 0.00165209 -4 *1034:10 0.00330508 -5 *1034:9 0.00529054 -6 *2820:la_data_out_mprj[100] *2820:la_oenb_mprj[99] 0 -7 *2820:la_data_out_mprj[100] *1290:13 0 -8 *2820:la_data_out_mprj[100] *1399:7 0 -9 *1034:9 *1291:7 0 -10 *1034:9 *1418:9 0 -11 *1034:9 *1828:5 0.000267777 -12 *1034:10 *1038:14 0.002824 -13 *1034:10 *1061:10 0.000389749 -14 *1034:10 *1293:16 0.00102606 -15 *1034:10 *1297:14 1.41853e-05 -16 *1034:10 *1298:10 0.0250186 -17 *778:7 *2820:la_data_out_mprj[100] 0.000332124 -18 *780:11 *1034:9 0 -19 *887:11 *2820:la_data_out_mprj[100] 0 -20 *888:8 *1034:10 0 -21 *903:8 *1034:10 0.0288374 -*RES -1 *2826:la_output[100] *1034:9 48.4557 -2 *1034:9 *1034:10 336.813 -3 *1034:10 *1034:12 4.5 -4 *1034:12 *2820:la_data_out_mprj[100] 45.5556 -*END - -*D_NET *1035 0.0751094 -*CONN -*I *2820:la_data_out_mprj[101] I *D mgmt_protect -*I *2826:la_output[101] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[101] 0.000794657 -2 *2826:la_output[101] 0.00141602 -3 *1035:16 0.00283388 -4 *1035:15 0.00358149 -5 *1035:10 0.00312995 -6 *1035:9 0.0030037 -7 *2820:la_data_out_mprj[101] *2820:la_iena_mprj[101] 0 -8 *2820:la_data_out_mprj[101] *2820:la_oenb_mprj[100] 0 -9 *2820:la_data_out_mprj[101] *2820:la_oenb_mprj[101] 0 -10 *1035:9 *1292:7 0 -11 *1035:9 *1294:15 0.000160138 -12 *1035:10 *1036:10 0.00933349 -13 *1035:10 *1156:10 0.00167914 -14 *1035:10 *1412:10 0.000426168 -15 *1035:10 *1413:10 0.000422918 -16 *1035:10 *1415:10 0.000143969 -17 *1035:10 *1420:10 0.000216928 -18 *1035:10 *1826:8 0.00340591 -19 *1035:10 *1851:8 0.00160736 -20 *1035:15 *2820:la_oenb_mprj[127] 0.000753338 -21 *1035:15 *2820:mprj_cyc_o_core 0 -22 *1035:16 *1036:16 0.0205838 -23 *1035:16 *1291:10 0.0211593 -24 *1035:16 *1539:16 3.6408e-05 -25 *779:7 *2820:la_data_out_mprj[101] 0 -26 *782:13 *1035:9 6.62712e-05 -27 *900:8 *1035:16 0.00035468 -*RES -1 *2826:la_output[101] *1035:9 35.5584 -2 *1035:9 *1035:10 121.072 -3 *1035:10 *1035:15 45.7132 -4 *1035:15 *1035:16 229.22 -5 *1035:16 *2820:la_data_out_mprj[101] 24.7252 -*END - -*D_NET *1036 0.0801042 -*CONN -*I *2820:la_data_out_mprj[102] I *D mgmt_protect -*I *2826:la_output[102] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[102] 0.000683126 -2 *2826:la_output[102] 0.00150612 -3 *1036:16 0.00291912 -4 *1036:15 0.00359082 -5 *1036:10 0.00234408 -6 *1036:9 0.00249536 -7 *2820:la_data_out_mprj[102] *2820:la_iena_mprj[102] 0 -8 *2820:la_data_out_mprj[102] *2820:la_oenb_mprj[101] 0 -9 *1036:9 *1037:19 7.37279e-05 -10 *1036:9 *1293:12 0 -11 *1036:9 *1293:15 0 -12 *1036:9 *1420:9 0 -13 *1036:9 *1826:13 0 -14 *1036:10 *1415:10 0.0039112 -15 *1036:10 *1419:10 1.41853e-05 -16 *1036:10 *1826:8 0.000751626 -17 *1036:15 *2820:mprj_dat_o_core[1] 0.000374708 -18 *1036:15 *2820:mprj_sel_o_core[1] 0 -19 *1036:15 *1041:15 0.00106787 -20 *1036:15 *1413:9 2.96378e-05 -21 *1036:15 *1836:5 0.000215045 -22 *1036:16 *1291:10 0.000881427 -23 *780:7 *2820:la_data_out_mprj[102] 0.000349371 -24 *783:14 *1036:10 0.00710789 -25 *900:8 *1036:16 0.0213057 -26 *901:8 *1036:16 0.000565984 -27 *1035:10 *1036:10 0.00933349 -28 *1035:16 *1036:16 0.0205838 -*RES -1 *2826:la_output[102] *1036:9 36.8286 -2 *1036:9 *1036:10 117.745 -3 *1036:10 *1036:15 45.7132 -4 *1036:15 *1036:16 242.531 -5 *1036:16 *2820:la_data_out_mprj[102] 25.1405 -*END - -*D_NET *1037 0.0707371 -*CONN -*I *2820:la_data_out_mprj[103] I *D mgmt_protect -*I *2826:la_output[103] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[103] 0.00140041 -2 *2826:la_output[103] 0.00109936 -3 *1037:20 0.00748543 -4 *1037:19 0.00754583 -5 *1037:12 0.00162468 -6 *1037:9 0.00126324 -7 *2820:la_data_out_mprj[103] *2820:la_iena_mprj[103] 0 -8 *2820:la_data_out_mprj[103] *2820:la_oenb_mprj[102] 0 -9 *1037:9 *1294:9 0 -10 *1037:9 *1421:11 0 -11 *1037:12 *1424:20 0.00210627 -12 *1037:12 *1830:12 0.00211047 -13 *1037:19 *2820:mprj_dat_o_core[18] 0.000322279 -14 *1037:19 *1293:15 0 -15 *1037:19 *1834:5 0.000365113 -16 *1037:20 *1039:20 0 -17 *1037:20 *1040:20 0 -18 *1037:20 *1042:22 0 -19 *1037:20 *1047:10 0.0011561 -20 *1037:20 *1052:10 9.16785e-05 -21 *1037:20 *1306:10 0.0227911 -22 *1037:20 *1308:10 0.0211857 -23 *1037:20 *1540:16 0 -24 *1037:20 *1762:24 0.000108607 -25 *781:7 *2820:la_data_out_mprj[103] 0 -26 *807:13 *1037:19 7.09666e-06 -27 *1036:9 *1037:19 7.37279e-05 -*RES -1 *2826:la_output[103] *1037:9 28.9388 -2 *1037:9 *1037:12 26.8529 -3 *1037:12 *1037:19 36.3034 -4 *1037:19 *1037:20 349.014 -5 *1037:20 *2820:la_data_out_mprj[103] 38.8438 -*END - -*D_NET *1038 0.0746976 -*CONN -*I *2820:la_data_out_mprj[104] I *D mgmt_protect -*I *2826:la_output[104] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[104] 0.00081354 -2 *2826:la_output[104] 0.00195937 -3 *1038:20 0.00443635 -4 *1038:19 0.00475814 -5 *1038:14 0.00214312 -6 *1038:12 0.00111784 -7 *1038:9 0.00206942 -8 *2820:la_data_out_mprj[104] *2820:la_iena_mprj[104] 0 -9 *2820:la_data_out_mprj[104] *1536:13 0 -10 *2820:la_data_out_mprj[104] *1537:13 0.000191669 -11 *1038:9 *2820:mprj_adr_o_core[25] 0.000456946 -12 *1038:9 *1422:12 0 -13 *1038:9 *1842:5 8.7252e-05 -14 *1038:12 *1297:10 0.000948538 -15 *1038:12 *1297:12 1.09551e-05 -16 *1038:12 *1298:10 2.39581e-05 -17 *1038:12 *1309:10 0.000842323 -18 *1038:14 *1061:10 0.00024852 -19 *1038:14 *1297:10 1.67988e-05 -20 *1038:14 *1297:14 0.00943526 -21 *1038:14 *1298:10 0.00292992 -22 *1038:19 *1786:27 0 -23 *1038:19 *1855:5 0 -24 *1038:20 *1041:16 0.00306298 -25 *1038:20 *1292:10 0 -26 *1038:20 *1422:16 0.00015541 -27 *1038:20 *1423:10 0.0241557 -28 *1038:20 *1427:16 7.74604e-05 -29 *1038:20 *1779:34 0.000698415 -30 *1038:20 *1783:30 0.00233313 -31 *2826:la_input[99] *1038:19 8.62625e-06 -32 *782:7 *2820:la_data_out_mprj[104] 0.000317098 -33 *894:12 *1038:20 0.00857486 -34 *1034:10 *1038:14 0.002824 -*RES -1 *2826:la_output[104] *1038:9 47.6252 -2 *1038:9 *1038:12 10.7304 -3 *1038:12 *1038:14 101.107 -4 *1038:14 *1038:19 30.3488 -5 *1038:19 *1038:20 272.479 -6 *1038:20 *2820:la_data_out_mprj[104] 29.293 -*END - -*D_NET *1039 0.0772897 -*CONN -*I *2820:la_data_out_mprj[105] I *D mgmt_protect -*I *2826:la_output[105] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[105] 0.00126706 -2 *2826:la_output[105] 0.0019305 -3 *1039:20 0.00389692 -4 *1039:19 0.00331446 -5 *1039:14 0.00304615 -6 *1039:12 0.00307702 -7 *1039:9 0.00264596 -8 *2820:la_data_out_mprj[105] *2820:la_iena_mprj[105] 0 -9 *2820:la_data_out_mprj[105] *2820:la_oenb_mprj[104] 0 -10 *2820:la_data_out_mprj[105] *2820:la_oenb_mprj[105] 0 -11 *2820:la_data_out_mprj[105] *1536:13 0 -12 *1039:9 *2820:mprj_dat_o_core[27] 0 -13 *1039:9 *1296:9 0 -14 *1039:9 *1423:7 0 -15 *1039:9 *1845:5 0.000440386 -16 *1039:12 *1041:10 0.00124346 -17 *1039:12 *1042:10 0.00302351 -18 *1039:12 *1301:10 0.00147963 -19 *1039:12 *1893:24 0 -20 *1039:12 *1902:16 0 -21 *1039:14 *1041:10 0.00934396 -22 *1039:14 *1543:10 0 -23 *1039:14 *1889:10 0.000118356 -24 *1039:14 *1893:24 0 -25 *1039:19 *2820:mprj_adr_o_core[5] 0 -26 *1039:20 *1042:22 0.016942 -27 *1039:20 *1309:16 0.00111295 -28 *1039:20 *1314:16 0.00946479 -29 *1039:20 *1318:16 0.000669662 -30 *1039:20 *1540:16 0.00210403 -31 *781:11 *1039:19 0.000886713 -32 *783:7 *2820:la_data_out_mprj[105] 0 -33 *896:8 *1039:20 0.0112822 -34 *1037:20 *1039:20 0 -*RES -1 *2826:la_output[105] *1039:9 45.9642 -2 *1039:9 *1039:12 47.0569 -3 *1039:12 *1039:14 99.7201 -4 *1039:14 *1039:19 26.6116 -5 *1039:19 *1039:20 249.186 -6 *1039:20 *2820:la_data_out_mprj[105] 34.6913 -*END - -*D_NET *1040 0.0765253 -*CONN -*I *2820:la_data_out_mprj[106] I *D mgmt_protect -*I *2826:la_output[106] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[106] 0.00131513 -2 *2826:la_output[106] 0.00109031 -3 *1040:20 0.00464749 -4 *1040:19 0.00462974 -5 *1040:14 0.00355995 -6 *1040:12 0.00230478 -7 *1040:10 0.000527252 -8 *1040:9 0.00157535 -9 *2820:la_data_out_mprj[106] *2820:la_iena_mprj[106] 0 -10 *2820:la_data_out_mprj[106] *2820:la_oenb_mprj[106] 0 -11 *1040:9 *1297:9 0 -12 *1040:9 *1424:9 0 -13 *1040:9 *1788:15 8.62625e-06 -14 *1040:10 *1424:10 0.00462741 -15 *1040:10 *1433:10 0.000144932 -16 *1040:10 *1828:14 0.000904135 -17 *1040:10 *1830:12 0.0022209 -18 *1040:10 *1830:16 0.000928404 -19 *1040:14 *1302:10 0 -20 *1040:14 *1424:20 0.0193144 -21 *1040:14 *1433:10 0.0223279 -22 *1040:14 *1830:12 9.82896e-06 -23 *1040:20 *1052:10 0 -24 *1040:20 *1053:10 0 -25 *1040:20 *1054:10 0 -26 *1040:20 *1305:14 0.004179 -27 *1040:20 *1310:10 6.91078e-06 -28 *1040:20 *1424:26 0.00153674 -29 *784:5 *2820:la_data_out_mprj[106] 0.000666065 -30 *800:5 *1040:19 0 -31 *890:8 *1040:20 0 -32 *896:11 *1040:19 0 -33 *1037:20 *1040:20 0 -*RES -1 *2826:la_output[106] *1040:9 28.9388 -2 *1040:9 *1040:10 55.0746 -3 *1040:10 *1040:12 0.988641 -4 *1040:12 *1040:14 236.985 -5 *1040:14 *1040:19 34.9166 -6 *1040:19 *1040:20 115.526 -7 *1040:20 *2820:la_data_out_mprj[106] 42.9963 -*END - -*D_NET *1041 0.0822253 -*CONN -*I *2820:la_data_out_mprj[107] I *D mgmt_protect -*I *2826:la_output[107] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[107] 0.00100628 -2 *2826:la_output[107] 0.00210811 -3 *1041:16 0.00382583 -4 *1041:15 0.00351242 -5 *1041:10 0.00316973 -6 *1041:9 0.00458498 -7 *2820:la_data_out_mprj[107] *2820:la_iena_mprj[107] 0 -8 *2820:la_data_out_mprj[107] *2820:la_oenb_mprj[107] 0 -9 *2820:la_data_out_mprj[107] *1539:13 0.000394426 -10 *1041:9 *1298:9 0 -11 *1041:9 *1425:9 0 -12 *1041:10 *1042:16 0.0137783 -13 *1041:10 *1061:10 0.000258234 -14 *1041:10 *1297:10 0.00531933 -15 *1041:10 *1297:14 3.07726e-05 -16 *1041:10 *1301:10 0.0053007 -17 *1041:10 *1309:10 0.000375299 -18 *1041:10 *1543:10 0 -19 *1041:15 *1836:5 0.00040193 -20 *1041:16 *1427:16 0.0163385 -21 *1041:16 *1543:16 0 -22 *785:5 *2820:la_data_out_mprj[107] 0 -23 *891:8 *1041:16 0.00215069 -24 *892:8 *1041:16 0.00405344 -25 *894:12 *1041:16 0.000898014 -26 *1036:15 *1041:15 0.00106787 -27 *1038:20 *1041:16 0.00306298 -28 *1039:12 *1041:10 0.00124346 -29 *1039:14 *1041:10 0.00934396 -*RES -1 *2826:la_output[107] *1041:9 46.7947 -2 *1041:9 *1041:10 219.792 -3 *1041:10 *1041:15 30.3488 -4 *1041:15 *1041:16 198.717 -5 *1041:16 *2820:la_data_out_mprj[107] 30.5388 -*END - -*D_NET *1042 0.0830916 -*CONN -*I *2820:la_data_out_mprj[108] I *D mgmt_protect -*I *2826:la_output[108] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[108] 0.00106526 -2 *2826:la_output[108] 0.00127743 -3 *1042:22 0.00496176 -4 *1042:21 0.00467896 -5 *1042:16 0.00221312 -6 *1042:15 0.00147683 -7 *1042:10 0.000992746 -8 *1042:9 0.00222399 -9 *2820:la_data_out_mprj[108] *2820:la_iena_mprj[108] 0 -10 *2820:la_data_out_mprj[108] *1538:15 0.000217587 -11 *1042:9 *1753:8 0.000696135 -12 *1042:9 *1754:12 0.00201436 -13 *1042:9 *2498:8 0 -14 *1042:10 *1296:12 0.00317639 -15 *1042:10 *1301:10 0.00255818 -16 *1042:10 *1425:12 0.000578655 -17 *1042:10 *1427:10 0.00399878 -18 *1042:10 *1840:8 1.66917e-05 -19 *1042:10 *1889:10 0.000265141 -20 *1042:10 *1902:16 0 -21 *1042:15 *1422:15 4.27003e-05 -22 *1042:15 *1840:5 9.75356e-05 -23 *1042:16 *1061:10 0.000124104 -24 *1042:16 *1297:14 0.0111851 -25 *1042:21 *2820:mprj_dat_o_core[0] 0.000173332 -26 *1042:22 *1047:10 0 -27 *1042:22 *1048:10 0 -28 *1042:22 *1318:16 0.000568389 -29 *1042:22 *1433:18 0.000856217 -30 *786:5 *2820:la_data_out_mprj[108] 0.000491836 -31 *900:11 *1042:21 0 -32 *902:8 *1042:16 0.00338239 -33 *903:8 *1042:16 1.41853e-05 -34 *1037:20 *1042:22 0 -35 *1039:12 *1042:10 0.00302351 -36 *1039:20 *1042:22 0.016942 -37 *1041:10 *1042:16 0.0137783 -*RES -1 *2826:la_output[108] *1042:9 45.5489 -2 *1042:9 *1042:10 93.3422 -3 *1042:10 *1042:15 10.832 -4 *1042:15 *1042:16 156.012 -5 *1042:16 *1042:21 25.3658 -6 *1042:21 *1042:22 181.524 -7 *1042:22 *2820:la_data_out_mprj[108] 35.1065 -*END - -*D_NET *1043 0.0951368 -*CONN -*I *2820:la_data_out_mprj[109] I *D mgmt_protect -*I *2826:la_output[109] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[109] 0.00181929 -2 *2826:la_output[109] 0.00229827 -3 *1043:14 0.00480213 -4 *1043:12 0.00300467 -5 *1043:10 0.000867857 -6 *1043:9 0.000846024 -7 *1043:7 0.00229827 -8 *2820:la_data_out_mprj[109] *2820:la_iena_mprj[109] 0 -9 *1043:7 *1427:9 0 -10 *1043:10 *1063:10 0.00010238 -11 *1043:10 *1299:10 0.00597172 -12 *1043:10 *1299:14 0.000414735 -13 *1043:10 *1304:14 0.00906415 -14 *1043:10 *1318:10 0.000183223 -15 *1043:10 *1440:10 0.00101679 -16 *1043:10 *1758:16 0.000405492 -17 *1043:14 *1045:10 4.03749e-05 -18 *1043:14 *1061:10 0.00019736 -19 *1043:14 *1063:10 0.000492358 -20 *1043:14 *1293:16 0.0277688 -21 *1043:14 *1299:14 0.00206661 -22 *1043:14 *1301:16 0.0314621 -23 *1043:14 *1304:14 1.41853e-05 -24 *1043:14 *1421:14 0 -25 *787:5 *2820:la_data_out_mprj[109] 0 -*RES -1 *2826:la_output[109] *1043:7 46.0319 -2 *1043:7 *1043:9 4.5 -3 *1043:9 *1043:10 96.1152 -4 *1043:10 *1043:12 0.578717 -5 *1043:12 *1043:14 345.687 -6 *1043:14 *2820:la_data_out_mprj[109] 48.3946 -*END - -*D_NET *1044 0.156491 -*CONN -*I *2820:la_data_out_mprj[10] I *D mgmt_protect -*I *2826:la_output[10] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[10] 0.00177558 -2 *2826:la_output[10] 0.00198593 -3 *1044:18 0.00226644 -4 *1044:16 0.000512494 -5 *1044:14 0.000440512 -6 *1044:12 0.000441915 -7 *1044:10 0.00419448 -8 *1044:9 0.00417144 -9 *1044:7 0.00198593 -10 *2820:la_data_out_mprj[10] *2820:la_iena_mprj[10] 0 -11 *1044:7 *1311:9 0 -12 *1044:7 *1428:7 0 -13 *1044:10 *1064:10 0.0529903 -14 *788:5 *2820:la_data_out_mprj[10] 0.00054302 -15 *799:14 *1044:14 0.00513492 -16 *799:20 *1044:10 0.000530796 -17 *810:10 *1044:18 0.00535955 -18 *811:8 *1044:10 1.67988e-05 -19 *811:8 *1044:14 0.00523666 -20 *811:8 *1044:18 1.67988e-05 -21 *816:8 *1044:10 0.0062981 -22 *816:8 *1044:14 0.000311353 -23 *838:8 *1044:14 0.000110257 -24 *841:8 *1044:18 0.000127366 -25 *843:8 *1044:18 0.00308083 -26 *871:8 *1044:10 0.056888 -27 *871:8 *1044:14 3.35976e-05 -28 *871:8 *1044:18 0.00203802 -*RES -1 *2826:la_output[10] *1044:7 48.9387 -2 *1044:7 *1044:9 4.5 -3 *1044:9 *1044:10 598.031 -4 *1044:10 *1044:12 0.578717 -5 *1044:12 *1044:14 55.6292 -6 *1044:14 *1044:16 0.578717 -7 *1044:16 *1044:18 57.8476 -8 *1044:18 *2820:la_data_out_mprj[10] 45.0726 -*END - -*D_NET *1045 0.101576 -*CONN -*I *2820:la_data_out_mprj[110] I *D mgmt_protect -*I *2826:la_output[110] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[110] 0.00137539 -2 *2826:la_output[110] 0.00229022 -3 *1045:10 0.00496827 -4 *1045:9 0.00359288 -5 *1045:7 0.00229022 -6 *2820:la_data_out_mprj[110] *2820:la_iena_mprj[110] 0 -7 *2820:la_data_out_mprj[110] *1543:15 0.000831044 -8 *1045:7 *1302:9 0 -9 *1045:10 *1046:12 1.67988e-05 -10 *1045:10 *1046:14 0.0405079 -11 *1045:10 *1050:10 0.00154142 -12 *1045:10 *1062:10 0.00161454 -13 *1045:10 *1301:16 0.000159331 -14 *1045:10 *1303:10 0.041092 -15 *1045:10 *1421:14 0.000795008 -16 *789:5 *2820:la_data_out_mprj[110] 0.000460632 -17 *1043:14 *1045:10 4.03749e-05 -*RES -1 *2826:la_output[110] *1045:7 45.5922 -2 *1045:7 *1045:9 4.5 -3 *1045:9 *1045:10 456.053 -4 *1045:10 *2820:la_data_out_mprj[110] 46.7336 -*END - -*D_NET *1046 0.10322 -*CONN -*I *2820:la_data_out_mprj[111] I *D mgmt_protect -*I *2826:la_output[111] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[111] 0.00178232 -2 *2826:la_output[111] 0.00229583 -3 *1046:14 0.00511503 -4 *1046:12 0.0035743 -5 *1046:7 0.00253742 -6 *2820:la_data_out_mprj[111] *2820:la_iena_mprj[111] 0 -7 *1046:7 *1303:7 0 -8 *1046:7 *1430:7 0 -9 *1046:12 *1050:10 0.00323217 -10 *1046:12 *1063:10 0.000296541 -11 *1046:12 *1304:10 0.00328528 -12 *1046:12 *1304:12 9.95922e-06 -13 *1046:14 *1062:10 0.000124641 -14 *1046:14 *1063:10 0.000982245 -15 *1046:14 *1301:16 0.000815012 -16 *1046:14 *1304:10 1.67988e-05 -17 *1046:14 *1304:14 0.0386282 -18 *790:5 *2820:la_data_out_mprj[111] 0 -19 *1045:10 *1046:12 1.67988e-05 -20 *1045:10 *1046:14 0.0405079 -*RES -1 *2826:la_output[111] *1046:7 46.4472 -2 *1046:7 *1046:12 39.6329 -3 *1046:12 *1046:14 430.541 -4 *1046:14 *2820:la_data_out_mprj[111] 47.1488 -*END - -*D_NET *1047 0.105737 -*CONN -*I *2820:la_data_out_mprj[112] I *D mgmt_protect -*I *2826:la_output[112] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[112] 0.0010935 -2 *2826:la_output[112] 8.98429e-05 -3 *1047:10 0.00510923 -4 *1047:9 0.00401573 -5 *1047:7 0.00280961 -6 *1047:5 0.00289945 -7 *2820:la_data_out_mprj[112] *2820:la_iena_mprj[112] 0 -8 *1047:7 *1304:7 0 -9 *1047:7 *1431:7 0 -10 *1047:10 *1048:10 0.043945 -11 *1047:10 *1306:10 0.0421336 -12 *1047:10 *1760:24 0.00146265 -13 *791:5 *2820:la_data_out_mprj[112] 0.000549702 -14 *892:11 *2820:la_data_out_mprj[112] 0.000472951 -15 *1037:20 *1047:10 0.0011561 -16 *1042:22 *1047:10 0 -*RES -1 *2826:la_output[112] *1047:5 2.05183 -2 *1047:5 *1047:7 53.9461 -3 *1047:7 *1047:9 4.5 -4 *1047:9 *1047:10 477.128 -5 *1047:10 *2820:la_data_out_mprj[112] 38.0133 -*END - -*D_NET *1048 0.0896491 -*CONN -*I *2820:la_data_out_mprj[113] I *D mgmt_protect -*I *2826:la_output[113] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[113] 0.00141913 -2 *2826:la_output[113] 8.98429e-05 -3 *1048:10 0.00824563 -4 *1048:9 0.0068265 -5 *1048:7 0.00283004 -6 *1048:5 0.00291988 -7 *2820:la_data_out_mprj[113] *2820:la_iena_mprj[113] 0 -8 *1048:7 *1305:7 0 -9 *1048:7 *1432:7 0 -10 *1048:10 *1306:10 0.000473003 -11 *1048:10 *1318:16 0.000113033 -12 *1048:10 *1433:18 0.000860248 -13 *1048:10 *1445:10 0.000897677 -14 *1048:10 *1758:22 0.0128474 -15 *1048:10 *1759:24 0.00201625 -16 *1048:10 *1769:30 0.00247834 -17 *792:5 *2820:la_data_out_mprj[113] 0 -18 *807:8 *1048:10 0.00368713 -19 *1042:22 *1048:10 0 -20 *1047:10 *1048:10 0.043945 -*RES -1 *2826:la_output[113] *1048:5 2.05183 -2 *1048:5 *1048:7 54.3614 -3 *1048:7 *1048:9 4.5 -4 *1048:9 *1048:10 488.775 -5 *1048:10 *2820:la_data_out_mprj[113] 37.598 -*END - -*D_NET *1049 0.108131 -*CONN -*I *2820:la_data_out_mprj[114] I *D mgmt_protect -*I *2826:la_output[114] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[114] 0.00117098 -2 *2826:la_output[114] 0.00242618 -3 *1049:14 0.00512164 -4 *1049:12 0.00405084 -5 *1049:7 0.00252637 -6 *2820:la_data_out_mprj[114] *2820:la_iena_mprj[114] 0 -7 *1049:7 *1306:7 0 -8 *1049:7 *1433:9 0 -9 *1049:12 *1051:10 0.00123342 -10 *1049:12 *1060:10 1.67988e-05 -11 *1049:12 *1769:24 0.00118031 -12 *1049:12 *1776:24 0.000170531 -13 *1049:14 *1050:16 0.0372899 -14 *1049:14 *1051:10 0.00348852 -15 *1049:14 *1060:10 0.0378214 -16 *1049:14 *1062:10 0.000118356 -17 *1049:14 *1307:16 0.000313024 -18 *1049:14 *1421:14 0.00021369 -19 *1049:14 *1424:26 0.00738633 -20 *1049:14 *1776:24 0.00130336 -21 *793:7 *2820:la_data_out_mprj[114] 0.0022998 -22 *894:15 *2820:la_data_out_mprj[114] 0 -*RES -1 *2826:la_output[114] *1049:7 48.9387 -2 *1049:7 *1049:12 18.0034 -3 *1049:12 *1049:14 487.665 -4 *1049:14 *2820:la_data_out_mprj[114] 44.6573 -*END - -*D_NET *1050 0.113383 -*CONN -*I *2820:la_data_out_mprj[115] I *D mgmt_protect -*I *2826:la_output[115] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[115] 0.0017366 -2 *2826:la_output[115] 0.00225604 -3 *1050:16 0.00482084 -4 *1050:15 0.00323177 -5 *1050:10 0.000929436 -6 *1050:9 0.000781904 -7 *1050:7 0.00225604 -8 *2820:la_data_out_mprj[115] *2820:la_iena_mprj[115] 0 -9 *1050:7 *1307:7 0 -10 *1050:7 *1434:7 0 -11 *1050:10 *1063:10 0.00096773 -12 *1050:10 *1303:10 0.000183223 -13 *1050:10 *1304:10 0.000272272 -14 *1050:10 *1307:10 0.00717881 -15 *1050:10 *1442:10 0.00907554 -16 *1050:16 *1051:10 0.0365126 -17 *1050:16 *1062:10 0.000124104 -18 *1050:16 *1421:14 0.00035468 -19 *1050:16 *1776:24 0.000637963 -20 *794:5 *2820:la_data_out_mprj[115] 0 -21 *1045:10 *1050:10 0.00154142 -22 *1046:12 *1050:10 0.00323217 -23 *1049:14 *1050:16 0.0372899 -*RES -1 *2826:la_output[115] *1050:7 46.3006 -2 *1050:7 *1050:9 4.5 -3 *1050:9 *1050:10 116.081 -4 *1050:10 *1050:15 11.2472 -5 *1050:15 *1050:16 396.71 -6 *1050:16 *2820:la_data_out_mprj[115] 45.0726 -*END - -*D_NET *1051 0.109402 -*CONN -*I *2820:la_data_out_mprj[116] I *D mgmt_protect -*I *2826:la_output[116] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[116] 0.00149339 -2 *2826:la_output[116] 0.00236123 -3 *1051:10 0.00573836 -4 *1051:9 0.00424497 -5 *1051:7 0.00236123 -6 *2820:la_data_out_mprj[116] *2820:la_iena_mprj[116] 0 -7 *1051:7 *1308:7 0 -8 *1051:7 *1435:9 0 -9 *1051:10 *1062:10 0.00013257 -10 *1051:10 *1295:12 0.00312974 -11 *1051:10 *1303:10 0.00144253 -12 *1051:10 *1421:14 0.0238775 -13 *1051:10 *1769:24 0.00174019 -14 *1051:10 *1781:24 0.00190182 -15 *1051:10 *1913:16 0.0190844 -16 *795:5 *2820:la_data_out_mprj[116] 0.000659677 -17 *1049:12 *1051:10 0.00123342 -18 *1049:14 *1051:10 0.00348852 -19 *1050:16 *1051:10 0.0365126 -*RES -1 *2826:la_output[116] *1051:7 48.5234 -2 *1051:7 *1051:9 4.5 -3 *1051:9 *1051:10 523.715 -4 *1051:10 *2820:la_data_out_mprj[116] 45.4878 -*END - -*D_NET *1052 0.119423 -*CONN -*I *2820:la_data_out_mprj[117] I *D mgmt_protect -*I *2826:la_output[117] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[117] 0.00106401 -2 *2826:la_output[117] 8.98429e-05 -3 *1052:10 0.00528484 -4 *1052:9 0.00422082 -5 *1052:7 0.00264336 -6 *1052:5 0.0027332 -7 *2820:la_data_out_mprj[117] *2820:la_iena_mprj[117] 0 -8 *1052:7 *1309:9 0 -9 *1052:7 *1436:7 0 -10 *1052:10 *1053:10 0.0496153 -11 *1052:10 *1308:10 0.0487296 -12 *1052:10 *1761:24 0.00231709 -13 *796:7 *2820:la_data_out_mprj[117] 0.00198723 -14 *807:14 *1052:10 0.000645974 -15 *1037:20 *1052:10 9.16785e-05 -16 *1040:20 *1052:10 0 -*RES -1 *2826:la_output[117] *1052:5 2.05183 -2 *1052:5 *1052:7 52.2851 -3 *1052:7 *1052:9 4.5 -4 *1052:9 *1052:10 535.361 -5 *1052:10 *2820:la_data_out_mprj[117] 39.6743 -*END - -*D_NET *1053 0.121853 -*CONN -*I *2820:la_data_out_mprj[118] I *D mgmt_protect -*I *2826:la_output[118] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[118] 0.0013346 -2 *2826:la_output[118] 8.98429e-05 -3 *1053:10 0.00562785 -4 *1053:9 0.00429326 -5 *1053:7 0.00259712 -6 *1053:5 0.00268696 -7 *2820:la_data_out_mprj[118] *2820:la_iena_mprj[118] 0 -8 *1053:7 *1437:9 0 -9 *1053:10 *1054:10 0.0506968 -10 *1053:10 *1761:24 0.00310315 -11 *1053:10 *1779:28 0.00102099 -12 *797:5 *2820:la_data_out_mprj[118] 0.000552858 -13 *807:14 *1053:10 0.000233752 -14 *1040:20 *1053:10 0 -15 *1052:10 *1053:10 0.0496153 -*RES -1 *2826:la_output[118] *1053:5 2.05183 -2 *1053:5 *1053:7 51.8699 -3 *1053:7 *1053:9 4.5 -4 *1053:9 *1053:10 547.008 -5 *1053:10 *2820:la_data_out_mprj[118] 40.0896 -*END - -*D_NET *1054 0.124311 -*CONN -*I *2820:la_data_out_mprj[119] I *D mgmt_protect -*I *2826:la_output[119] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[119] 0.0014909 -2 *2826:la_output[119] 8.98429e-05 -3 *1054:10 0.00571094 -4 *1054:9 0.00422004 -5 *1054:7 0.0025602 -6 *1054:5 0.00265004 -7 *2820:la_data_out_mprj[119] *2820:la_iena_mprj[119] 0 -8 *2820:la_data_out_mprj[119] *1433:15 5.73901e-05 -9 *1054:7 *1312:7 0 -10 *1054:7 *1438:7 0 -11 *1054:10 *1057:14 0.000352262 -12 *1054:10 *1310:10 0.0511282 -13 *1054:10 *1761:24 0.000118134 -14 *1054:10 *1764:24 0.00260421 -15 *1054:10 *1779:28 0.000159075 -16 *1054:10 *1783:24 0.00159227 -17 *1054:10 *1785:24 0.000532369 -18 *798:5 *2820:la_data_out_mprj[119] 0 -19 *896:11 *2820:la_data_out_mprj[119] 0.000348366 -20 *1040:20 *1054:10 0 -21 *1053:10 *1054:10 0.0506968 -*RES -1 *2826:la_output[119] *1054:5 2.05183 -2 *1054:5 *1054:7 51.4546 -3 *1054:7 *1054:9 4.5 -4 *1054:9 *1054:10 558.655 -5 *1054:10 *2820:la_data_out_mprj[119] 40.5048 -*END - -*D_NET *1055 0.177853 -*CONN -*I *2820:la_data_out_mprj[11] I *D mgmt_protect -*I *2826:la_output[11] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[11] 0.00176859 -2 *2826:la_output[11] 7.21667e-05 -3 *1055:10 0.00919044 -4 *1055:9 0.00742185 -5 *1055:7 0.00212447 -6 *1055:5 0.00219664 -7 *2820:la_data_out_mprj[11] *2820:la_iena_mprj[11] 0 -8 *1055:7 *1320:7 0 -9 *1055:7 *1439:9 0 -10 *1055:10 *1069:10 0 -11 *1055:10 *1071:10 0.004765 -12 *799:7 *2820:la_data_out_mprj[11] 0 -13 *809:8 *1055:10 0.0726882 -14 *814:8 *1055:10 0.000114604 -15 *815:8 *1055:10 0.000103514 -16 *818:8 *1055:10 0.000124658 -17 *819:8 *1055:10 0.00390283 -18 *893:8 *1055:10 0.073023 -19 *904:8 *1055:10 0.000356986 -*RES -1 *2826:la_output[11] *1055:5 2.05183 -2 *1055:5 *1055:7 50.8318 -3 *1055:7 *1055:9 3.36879 -4 *1055:9 *1055:10 96.5805 -5 *1055:10 *2820:la_data_out_mprj[11] 39.9965 -*END - -*D_NET *1056 0.125857 -*CONN -*I *2820:la_data_out_mprj[120] I *D mgmt_protect -*I *2826:la_output[120] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[120] 0.00141289 -2 *2826:la_output[120] 6.52431e-05 -3 *1056:10 0.00579645 -4 *1056:9 0.00438356 -5 *1056:7 0.00246344 -6 *1056:5 0.00252869 -7 *2820:la_data_out_mprj[120] *2820:la_iena_mprj[120] 0 -8 *2820:la_data_out_mprj[120] *2820:la_oenb_mprj[120] 0 -9 *1056:7 *1313:7 0 -10 *1056:10 *1057:14 0.0530507 -11 *1056:10 *1058:10 0.000462962 -12 *1056:10 *1305:14 0.000294636 -13 *1056:10 *1310:10 0.000373913 -14 *1056:10 *1315:10 0.0512328 -15 *1056:10 *1766:24 0.0032213 -16 *800:5 *2820:la_data_out_mprj[120] 0.000570104 -*RES -1 *2826:la_output[120] *1056:5 1.49002 -2 *1056:5 *1056:7 50.2089 -3 *1056:7 *1056:9 4.5 -4 *1056:9 *1056:10 571.411 -5 *1056:10 *2820:la_data_out_mprj[120] 41.7506 -*END - -*D_NET *1057 0.128584 -*CONN -*I *2820:la_data_out_mprj[121] I *D mgmt_protect -*I *2826:la_output[121] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[121] 0.00165303 -2 *2826:la_output[121] 8.98429e-05 -3 *1057:14 0.00590558 -4 *1057:12 0.00433086 -5 *1057:7 0.00253049 -6 *1057:5 0.00254203 -7 *2820:la_data_out_mprj[121] *2820:la_iena_mprj[121] 0 -8 *2820:la_data_out_mprj[121] *2820:la_oenb_mprj[121] 0 -9 *1057:7 *1314:9 0 -10 *1057:7 *1441:9 0 -11 *1057:12 *1315:10 0.000755455 -12 *1057:12 *1785:24 0.00013754 -13 *1057:12 *1787:24 0.000436811 -14 *1057:14 *1310:10 0.0497265 -15 *1057:14 *1315:10 0.000489607 -16 *1057:14 *1764:24 0.00010238 -17 *1057:14 *1766:24 0.00282649 -18 *1057:14 *1785:24 0.0036548 -19 *801:5 *2820:la_data_out_mprj[121] 0 -20 *1054:10 *1057:14 0.000352262 -21 *1056:10 *1057:14 0.0530507 -*RES -1 *2826:la_output[121] *1057:5 2.05183 -2 *1057:5 *1057:7 50.2089 -3 *1057:7 *1057:12 13.012 -4 *1057:12 *1057:14 574.184 -5 *1057:14 *2820:la_data_out_mprj[121] 41.3353 -*END - -*D_NET *1058 0.131982 -*CONN -*I *2820:la_data_out_mprj[122] I *D mgmt_protect -*I *2826:la_output[122] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[122] 0.00147815 -2 *2826:la_output[122] 8.98429e-05 -3 *1058:10 0.005818 -4 *1058:9 0.00433984 -5 *1058:7 0.0023763 -6 *1058:5 0.00246614 -7 *2820:la_data_out_mprj[122] *2820:la_iena_mprj[122] 0 -8 *1058:7 *1315:7 0 -9 *1058:7 *1442:7 0 -10 *1058:10 *1059:10 0.0552561 -11 *1058:10 *1305:14 0.000378828 -12 *1058:10 *1315:10 0.0550414 -13 *1058:10 *1767:24 0.00370963 -14 *802:5 *2820:la_data_out_mprj[122] 0.000564871 -15 *1056:10 *1058:10 0.000462962 -*RES -1 *2826:la_output[122] *1058:5 2.05183 -2 *1058:5 *1058:7 49.3784 -3 *1058:7 *1058:9 4.5 -4 *1058:9 *1058:10 594.149 -5 *1058:10 *2820:la_data_out_mprj[122] 42.5811 -*END - -*D_NET *1059 0.133764 -*CONN -*I *2820:la_data_out_mprj[123] I *D mgmt_protect -*I *2826:la_output[123] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[123] 0.00175242 -2 *2826:la_output[123] 8.98429e-05 -3 *1059:10 0.00616032 -4 *1059:9 0.0044079 -5 *1059:7 0.00233496 -6 *1059:5 0.0024248 -7 *2820:la_data_out_mprj[123] *2820:la_iena_mprj[123] 0 -8 *1059:7 *1316:7 0 -9 *1059:7 *1443:9 0 -10 *1059:10 *1305:12 1.67988e-05 -11 *1059:10 *1305:14 0.03739 -12 *1059:10 *1315:10 0.00020979 -13 *1059:10 *1765:16 0.0197346 -14 *1059:10 *1767:24 0.000274281 -15 *1059:10 *1773:16 0.00313281 -16 *1059:10 *1787:24 4.52871e-05 -17 *1059:10 *1827:14 0.000533681 -18 *803:5 *2820:la_data_out_mprj[123] 0 -19 *1058:10 *1059:10 0.0552561 -*RES -1 *2826:la_output[123] *1059:5 2.05183 -2 *1059:5 *1059:7 48.9631 -3 *1059:7 *1059:9 4.5 -4 *1059:9 *1059:10 605.241 -5 *1059:10 *2820:la_data_out_mprj[123] 42.9963 -*END - -*D_NET *1060 0.135804 -*CONN -*I *2820:la_data_out_mprj[124] I *D mgmt_protect -*I *2826:la_output[124] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[124] 0.00129761 -2 *2826:la_output[124] 0.00227642 -3 *1060:10 0.00584494 -4 *1060:9 0.00454733 -5 *1060:7 0.00227642 -6 *2820:la_data_out_mprj[124] *2820:la_iena_mprj[124] 0 -7 *2820:la_data_out_mprj[124] *2820:la_oenb_mprj[124] 0 -8 *1060:7 *1317:7 0 -9 *1060:10 *1305:12 0.0010081 -10 *1060:10 *1305:14 0.0012231 -11 *1060:10 *1307:16 0.0338686 -12 *1060:10 *1765:16 0.00153704 -13 *1060:10 *1767:24 0.000119469 -14 *1060:10 *1769:24 0.0205972 -15 *1060:10 *1771:24 0.0174278 -16 *1060:10 *1772:24 0.00376478 -17 *804:7 *2820:la_data_out_mprj[124] 0.00217689 -18 *1049:12 *1060:10 1.67988e-05 -19 *1049:14 *1060:10 0.0378214 -*RES -1 *2826:la_output[124] *1060:7 48.6456 -2 *1060:7 *1060:9 4.5 -3 *1060:9 *1060:10 618.552 -4 *1060:10 *2820:la_data_out_mprj[124] 44.2421 -*END - -*D_NET *1061 0.128539 -*CONN -*I *2820:la_data_out_mprj[125] I *D mgmt_protect -*I *2826:la_output[125] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[125] 0.0021111 -2 *2826:la_output[125] 0.00203355 -3 *1061:10 0.0275777 -4 *1061:9 0.0275002 -5 *2820:la_data_out_mprj[125] *2820:la_iena_mprj[125] 0 -6 *2820:la_data_out_mprj[125] *2820:la_oenb_mprj[125] 0 -7 *1061:9 *1318:9 0 -8 *1061:9 *1445:7 0 -9 *1061:10 *1062:10 0.000244081 -10 *1061:10 *1063:10 0.00992377 -11 *1061:10 *1293:16 0.000492374 -12 *1061:10 *1297:14 0.00013257 -13 *1061:10 *1298:10 0.00133754 -14 *1061:10 *1299:14 0.000937227 -15 *1061:10 *1301:16 0.000181844 -16 *1061:10 *1309:10 0.00253755 -17 *1061:10 *1314:10 0.00294699 -18 *1061:10 *1318:10 0.00354074 -19 *1061:10 *1435:10 0.00148343 -20 *1061:10 *1437:10 0.00176421 -21 *1061:10 *1541:12 0 -22 *1061:10 *1758:16 0.0418538 -23 *1061:10 *1889:10 0 -24 *805:5 *2820:la_data_out_mprj[125] 0 -25 *902:8 *1061:10 0.000467946 -26 *903:8 *1061:10 0.000253937 -27 *1034:10 *1061:10 0.000389749 -28 *1038:14 *1061:10 0.00024852 -29 *1041:10 *1061:10 0.000258234 -30 *1042:16 *1061:10 0.000124104 -31 *1043:14 *1061:10 0.00019736 -*RES -1 *2826:la_output[125] *1061:9 47.9473 -2 *1061:9 *1061:10 86.7133 -3 *1061:10 *2820:la_data_out_mprj[125] 48.3015 -*END - -*D_NET *1062 0.161744 -*CONN -*I *2820:la_data_out_mprj[126] I *D mgmt_protect -*I *2826:la_output[126] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[126] 0.00188684 -2 *2826:la_output[126] 0.00213924 -3 *1062:10 0.0166951 -4 *1062:9 0.0148082 -5 *1062:7 0.00213924 -6 *2820:la_data_out_mprj[126] *2820:la_iena_mprj[126] 0 -7 *2820:la_data_out_mprj[126] *2820:la_oenb_mprj[125] 0 -8 *1062:7 *1319:7 0 -9 *1062:7 *1446:7 0 -10 *1062:10 *1063:10 0.0682838 -11 *1062:10 *1295:12 0.000104638 -12 *1062:10 *1303:10 0.00157847 -13 *1062:10 *1304:14 0.000114589 -14 *1062:10 *1421:14 0.000143882 -15 *1062:10 *1442:10 0.00244432 -16 *1062:10 *1444:10 0.00229536 -17 *1062:10 *1760:24 0 -18 *1062:10 *1761:24 0 -19 *1062:10 *1764:24 0 -20 *1062:10 *1766:24 0 -21 *1062:10 *1767:24 0.000329875 -22 *1062:10 *1772:24 0.000645255 -23 *1062:10 *1776:24 0.00115774 -24 *1062:10 *1781:24 0.044338 -25 *806:7 *2820:la_data_out_mprj[126] 0.000280765 -26 *1045:10 *1062:10 0.00161454 -27 *1046:14 *1062:10 0.000124641 -28 *1049:14 *1062:10 0.000118356 -29 *1050:16 *1062:10 0.000124104 -30 *1051:10 *1062:10 0.00013257 -31 *1061:10 *1062:10 0.000244081 -*RES -1 *2826:la_output[126] *1062:7 47.0701 -2 *1062:7 *1062:9 3.36879 -3 *1062:9 *1062:10 88.396 -4 *1062:10 *2820:la_data_out_mprj[126] 45.81 -*END - -*D_NET *1063 0.159227 -*CONN -*I *2820:la_data_out_mprj[127] I *D mgmt_protect -*I *2826:la_output[127] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[127] 0.00208148 -2 *2826:la_output[127] 0.00209658 -3 *1063:10 0.0120287 -4 *1063:9 0.0120438 -5 *2820:la_data_out_mprj[127] *2820:la_iena_mprj[127] 0 -6 *2820:la_data_out_mprj[127] *2820:la_oenb_mprj[127] 0 -7 *1063:9 *1447:9 0 -8 *1063:10 *1301:16 0.000637929 -9 *1063:10 *1304:14 0.00136767 -10 *1063:10 *1307:10 0.000677329 -11 *1063:10 *1758:16 0.0463152 -12 *1063:10 *1781:24 0.000929185 -13 *807:7 *2820:la_data_out_mprj[127] 0 -14 *1043:10 *1063:10 0.00010238 -15 *1043:14 *1063:10 0.000492358 -16 *1046:12 *1063:10 0.000296541 -17 *1046:14 *1063:10 0.000982245 -18 *1050:10 *1063:10 0.00096773 -19 *1061:10 *1063:10 0.00992377 -20 *1062:10 *1063:10 0.0682838 -*RES -1 *2826:la_output[127] *1063:9 49.6083 -2 *1063:9 *1063:10 90.0023 -3 *1063:10 *2820:la_data_out_mprj[127] 46.6405 -*END - -*D_NET *1064 0.151346 -*CONN -*I *2820:la_data_out_mprj[12] I *D mgmt_protect -*I *2826:la_output[12] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[12] 0.00152059 -2 *2826:la_output[12] 0.00203584 -3 *1064:24 0.00214948 -4 *1064:22 0.000651923 -5 *1064:20 0.00045167 -6 *1064:19 0.000736772 -7 *1064:10 0.00406609 -8 *1064:9 0.00375796 -9 *1064:7 0.00203584 -10 *2820:la_data_out_mprj[12] *2820:la_iena_mprj[12] 0 -11 *2820:la_data_out_mprj[12] *2820:la_oenb_mprj[12] 0 -12 *2820:la_data_out_mprj[12] *1101:7 0.00079789 -13 *1064:7 *1321:9 0 -14 *1064:7 *1448:7 0 -15 *1064:20 *1067:10 0.00517935 -16 *1064:24 *1067:10 0.000192266 -17 *1064:24 *1069:10 0.000101794 -18 *799:19 *1064:19 2.46648e-05 -19 *799:20 *1064:10 0.00075235 -20 *808:5 *2820:la_data_out_mprj[12] 0.000384266 -21 *810:20 *1064:10 0.000846705 -22 *810:20 *1064:20 0.00512622 -23 *811:8 *1064:10 0.0481984 -24 *811:8 *1064:20 1.15389e-05 -25 *811:8 *1064:24 0.00697366 -26 *816:8 *1064:10 0.00540894 -27 *842:8 *1064:24 0.00651081 -28 *860:8 *1064:20 0.000441045 -29 *1044:10 *1064:10 0.0529903 -*RES -1 *2826:la_output[12] *1064:7 49.3539 -2 *1064:7 *1064:9 4.5 -3 *1064:9 *1064:10 556.991 -4 *1064:10 *1064:19 13.6899 -5 *1064:19 *1064:20 55.0746 -6 *1064:20 *1064:22 0.578717 -7 *1064:22 *1064:24 74.4857 -8 *1064:24 *2820:la_data_out_mprj[12] 43.8268 -*END - -*D_NET *1065 0.173441 -*CONN -*I *2820:la_data_out_mprj[13] I *D mgmt_protect -*I *2826:la_output[13] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[13] 0.00118788 -2 *2826:la_output[13] 7.21667e-05 -3 *1065:10 0.00753244 -4 *1065:9 0.00634456 -5 *1065:7 0.00223396 -6 *1065:5 0.00230613 -7 *2820:la_data_out_mprj[13] *1321:13 0.00188888 -8 *1065:7 *1322:7 0 -9 *1065:7 *1449:9 0 -10 *1065:10 *1066:10 0.0714146 -11 *1065:10 *1069:10 0 -12 *1065:10 *1322:10 0 -13 *1065:10 *1450:10 0 -14 *809:7 *2820:la_data_out_mprj[13] 0 -15 *809:8 *1065:10 0.0732077 -16 *822:8 *1065:10 0.00392226 -17 *823:8 *1065:10 0.0033308 -*RES -1 *2826:la_output[13] *1065:5 2.05183 -2 *1065:5 *1065:7 52.4928 -3 *1065:7 *1065:9 3.36879 -4 *1065:9 *1065:10 93.3679 -5 *1065:10 *2820:la_data_out_mprj[13] 38.3355 -*END - -*D_NET *1066 0.167116 -*CONN -*I *2820:la_data_out_mprj[14] I *D mgmt_protect -*I *2826:la_output[14] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[14] 0.00154584 -2 *2826:la_output[14] 1.28869e-05 -3 *1066:10 0.0083184 -4 *1066:9 0.00677255 -5 *1066:7 0.00227762 -6 *1066:5 0.0022905 -7 *2820:la_data_out_mprj[14] *2820:la_iena_mprj[14] 0 -8 *2820:la_data_out_mprj[14] *1486:7 0.000166447 -9 *1066:7 *1450:7 0 -10 *1066:10 *1068:10 0.0677208 -11 *1066:10 *1069:10 0 -12 *1066:10 *1324:10 0 -13 *1066:10 *1450:10 0 -14 *810:9 *2820:la_data_out_mprj[14] 0 -15 *824:8 *1066:10 0.0035836 -16 *825:8 *1066:10 0.00301221 -17 *1065:10 *1066:10 0.0714146 -*RES -1 *2826:la_output[14] *1066:5 0.366399 -2 *1066:5 *1066:7 53.3233 -3 *1066:7 *1066:9 3.36879 -4 *1066:9 *1066:10 91.9146 -5 *1066:10 *2820:la_data_out_mprj[14] 37.505 -*END - -*D_NET *1067 0.145062 -*CONN -*I *2820:la_data_out_mprj[15] I *D mgmt_protect -*I *2826:la_output[15] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[15] 0.00180418 -2 *2826:la_output[15] 7.21667e-05 -3 *1067:10 0.00633668 -4 *1067:9 0.00453249 -5 *1067:7 0.00211414 -6 *1067:5 0.00218631 -7 *2820:la_data_out_mprj[15] *2820:la_iena_mprj[15] 0 -8 *1067:7 *1324:7 0 -9 *1067:7 *1451:9 0 -10 *799:20 *1067:10 0.0472956 -11 *810:20 *1067:10 1.41853e-05 -12 *811:7 *2820:la_data_out_mprj[15] 0 -13 *812:8 *1067:10 0.0609792 -14 *842:8 *1067:10 0.00895952 -15 *860:8 *1067:10 0.00529309 -16 *904:8 *1067:10 0.00010238 -17 *1064:20 *1067:10 0.00517935 -18 *1064:24 *1067:10 0.000192266 -*RES -1 *2826:la_output[15] *1067:5 2.05183 -2 *1067:5 *1067:7 48.9631 -3 *1067:7 *1067:9 4.5 -4 *1067:9 *1067:10 652.937 -5 *1067:10 *2820:la_data_out_mprj[15] 42.9963 -*END - -*D_NET *1068 0.108279 -*CONN -*I *2820:la_data_out_mprj[16] I *D mgmt_protect -*I *2826:la_output[16] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[16] 0.00132209 -2 *2826:la_output[16] 7.21667e-05 -3 *1068:10 0.0114497 -4 *1068:9 0.0101276 -5 *1068:7 0.00236086 -6 *1068:5 0.00243303 -7 *2820:la_data_out_mprj[16] *2820:la_iena_mprj[16] 0 -8 *1068:7 *1325:9 0 -9 *1068:7 *1452:7 0 -10 *1068:10 *1324:10 0 -11 *1068:10 *1326:10 0.0001462 -12 *1068:10 *1454:10 0.0121496 -13 *812:7 *2820:la_data_out_mprj[16] 0.000496994 -14 *1066:10 *1068:10 0.0677208 -*RES -1 *2826:la_output[16] *1068:5 2.05183 -2 *1068:5 *1068:7 54.1538 -3 *1068:7 *1068:9 3.36879 -4 *1068:9 *1068:10 88.4725 -5 *1068:10 *2820:la_data_out_mprj[16] 36.6745 -*END - -*D_NET *1069 0.155728 -*CONN -*I *2820:la_data_out_mprj[17] I *D mgmt_protect -*I *2826:la_output[17] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[17] 0.00184497 -2 *2826:la_output[17] 0.0019781 -3 *1069:10 0.0107397 -4 *1069:9 0.00889473 -5 *1069:7 0.0019781 -6 *2820:la_data_out_mprj[17] *2820:la_iena_mprj[17] 0 -7 *2820:la_data_out_mprj[17] *1103:7 0.000398393 -8 *1069:7 *1326:7 0 -9 *1069:7 *1453:9 0 -10 *1069:10 *1070:10 9.5672e-05 -11 *809:8 *1069:10 0 -12 *810:10 *1069:10 0.000102215 -13 *811:8 *1069:10 0.000101794 -14 *813:7 *2820:la_data_out_mprj[17] 0 -15 *813:8 *1069:10 0.0680454 -16 *816:8 *1069:10 0.000287 -17 *828:8 *1069:10 0.00344413 -18 *830:8 *1069:10 0.00322915 -19 *838:8 *1069:10 0.000444675 -20 *841:8 *1069:10 0.000392402 -21 *843:8 *1069:10 0.000126544 -22 *845:8 *1069:10 0.000118462 -23 *860:8 *1069:10 0.000325783 -24 *871:8 *1069:10 0.000102438 -25 *904:8 *1069:10 0.000423598 -26 *1033:10 *1069:10 0.0525532 -27 *1055:10 *1069:10 0 -28 *1064:24 *1069:10 0.000101794 -29 *1065:10 *1069:10 0 -30 *1066:10 *1069:10 0 -*RES -1 *2826:la_output[17] *1069:7 47.0701 -2 *1069:7 *1069:9 3.36879 -3 *1069:9 *1069:10 86.8662 -4 *1069:10 *2820:la_data_out_mprj[17] 45.81 -*END - -*D_NET *1070 0.155903 -*CONN -*I *2820:la_data_out_mprj[18] I *D mgmt_protect -*I *2826:la_output[18] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[18] 0.00175684 -2 *2826:la_output[18] 0.00179221 -3 *1070:10 0.00755274 -4 *1070:9 0.00758811 -5 *2820:la_data_out_mprj[18] *2820:la_iena_mprj[18] 0 -6 *2820:la_data_out_mprj[18] *1091:19 9.51365e-05 -7 *1070:9 *1327:9 0 -8 *1070:9 *1454:7 0 -9 *813:8 *1070:10 0.0658688 -10 *814:7 *2820:la_data_out_mprj[18] 0.00053829 -11 *817:8 *1070:10 0.0636529 -12 *831:8 *1070:10 0.00255854 -13 *833:8 *1070:10 0.00242581 -14 *848:11 *2820:la_data_out_mprj[18] 0.00012611 -15 *849:8 *1070:10 0.00136586 -16 *849:13 *1070:9 0.000485569 -17 *1069:10 *1070:10 9.5672e-05 -*RES -1 *2826:la_output[18] *1070:9 48.7778 -2 *1070:9 *1070:10 85.2599 -3 *1070:10 *2820:la_data_out_mprj[18] 47.471 -*END - -*D_NET *1071 0.131292 -*CONN -*I *2820:la_data_out_mprj[19] I *D mgmt_protect -*I *2826:la_output[19] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[19] 0.00167382 -2 *2826:la_output[19] 7.21667e-05 -3 *1071:10 0.00664436 -4 *1071:9 0.00497055 -5 *1071:7 0.00230536 -6 *1071:5 0.00237752 -7 *2820:la_data_out_mprj[19] *2820:la_iena_mprj[19] 0 -8 *1071:7 *1329:9 0 -9 *1071:7 *1455:9 0 -10 *814:8 *1071:10 0.00128892 -11 *815:7 *2820:la_data_out_mprj[19] 0 -12 *818:8 *1071:10 0.0545783 -13 *819:8 *1071:10 0.0526155 -14 *1055:10 *1071:10 0.004765 -*RES -1 *2826:la_output[19] *1071:5 2.05183 -2 *1071:5 *1071:7 51.0394 -3 *1071:7 *1071:9 4.5 -4 *1071:9 *1071:10 606.351 -5 *1071:10 *2820:la_data_out_mprj[19] 40.9201 -*END - -*D_NET *1072 0.151506 -*CONN -*I *2820:la_data_out_mprj[1] I *D mgmt_protect -*I *2826:la_output[1] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[1] 6.22868e-05 -2 *2826:la_output[1] 0.000672547 -3 *1072:13 0.00339019 -4 *1072:12 0.0033279 -5 *1072:10 0.0117799 -6 *1072:9 0.0124524 -7 *1072:9 *1339:9 0 -8 *1072:10 *1073:10 0.0406247 -9 *1072:10 *1721:24 0.0769634 -10 *1072:13 *2820:la_iena_mprj[1] 0 -11 *1072:13 *2820:la_oenb_mprj[0] 0 -12 *1072:13 *1096:7 0 -13 *1072:13 *1353:9 0.000184616 -14 *1072:13 *1480:15 0 -15 *816:7 *1072:13 0.00204838 -*RES -1 *2826:la_output[1] *1072:9 21.4643 -2 *1072:9 *1072:10 814.881 -3 *1072:10 *1072:12 4.5 -4 *1072:12 *1072:13 72.6325 -5 *1072:13 *2820:la_data_out_mprj[1] 1.77093 -*END - -*D_NET *1073 0.120095 -*CONN -*I *2820:la_data_out_mprj[20] I *D mgmt_protect -*I *2826:la_output[20] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[20] 0.00316083 -2 *2826:la_output[20] 0.000693983 -3 *1073:12 0.00316083 -4 *1073:10 0.00498459 -5 *1073:9 0.00567857 -6 *2820:la_data_out_mprj[20] *2820:la_iena_mprj[20] 0 -7 *2820:la_data_out_mprj[20] *1362:7 0 -8 *1073:9 *1330:7 0 -9 *1073:10 *1074:10 0.0542393 -10 *1073:10 *1721:24 0.00692307 -11 *817:7 *2820:la_data_out_mprj[20] 0.000629594 -12 *850:11 *2820:la_data_out_mprj[20] 0 -13 *1072:10 *1073:10 0.0406247 -*RES -1 *2826:la_output[20] *1073:9 21.8795 -2 *1073:9 *1073:10 594.704 -3 *1073:10 *1073:12 4.5 -4 *1073:12 *2820:la_data_out_mprj[20] 72.1318 -*END - -*D_NET *1074 0.125846 -*CONN -*I *2820:la_data_out_mprj[21] I *D mgmt_protect -*I *2826:la_output[21] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[21] 6.22868e-05 -2 *2826:la_output[21] 0.000712998 -3 *1074:13 0.0028655 -4 *1074:12 0.00280321 -5 *1074:10 0.0045219 -6 *1074:9 0.00523489 -7 *1074:9 *1331:9 0 -8 *1074:9 *1458:7 0 -9 *1074:10 *1075:10 0.0529776 -10 *1074:10 *1721:24 0.000177491 -11 *1074:13 *2820:la_iena_mprj[21] 0 -12 *1074:13 *2820:la_oenb_mprj[20] 0 -13 *1074:13 *1490:9 0.000241556 -14 *818:7 *1074:13 0.00200956 -15 *850:11 *1074:13 0 -16 *1073:10 *1074:10 0.0542393 -*RES -1 *2826:la_output[21] *1074:9 22.2948 -2 *1074:9 *1074:10 581.393 -3 *1074:10 *1074:12 4.5 -4 *1074:12 *1074:13 71.802 -5 *1074:13 *2820:la_data_out_mprj[21] 1.77093 -*END - -*D_NET *1075 0.123948 -*CONN -*I *2820:la_data_out_mprj[22] I *D mgmt_protect -*I *2826:la_output[22] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[22] 0.00242844 -2 *2826:la_output[22] 0.000734434 -3 *1075:12 0.00242844 -4 *1075:10 0.00446639 -5 *1075:9 0.00520083 -6 *2820:la_data_out_mprj[22] *1106:7 0 -7 *2820:la_data_out_mprj[22] *1331:13 0.00287826 -8 *2820:la_data_out_mprj[22] *1363:9 0 -9 *1075:9 *1332:7 0 -10 *1075:9 *1459:9 0 -11 *1075:10 *1076:10 0.0521343 -12 *1075:10 *1721:24 0.000169508 -13 *819:7 *2820:la_data_out_mprj[22] 0.000529744 -14 *1074:10 *1075:10 0.0529776 -*RES -1 *2826:la_output[22] *1075:9 22.71 -2 *1075:9 *1075:10 570.856 -3 *1075:10 *1075:12 4.5 -4 *1075:12 *2820:la_data_out_mprj[22] 71.3012 -*END - -*D_NET *1076 0.120227 -*CONN -*I *2820:la_data_out_mprj[23] I *D mgmt_protect -*I *2826:la_output[23] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[23] 0.00312462 -2 *2826:la_output[23] 0.00071635 -3 *1076:12 0.00312462 -4 *1076:10 0.00447389 -5 *1076:9 0.00519024 -6 *2820:la_data_out_mprj[23] *2820:la_iena_mprj[23] 0 -7 *2820:la_data_out_mprj[23] *2820:la_oenb_mprj[22] 0 -8 *2820:la_data_out_mprj[23] *1090:15 7.37782e-05 -9 *2820:la_data_out_mprj[23] *1346:15 1.72464e-05 -10 *2820:la_data_out_mprj[23] *1491:7 0 -11 *1076:9 *1460:7 0 -12 *1076:10 *1077:10 0.0509193 -13 *1076:10 *1721:24 5.36005e-05 -14 *820:7 *2820:la_data_out_mprj[23] 0 -15 *851:11 *2820:la_data_out_mprj[23] 0.00039875 -16 *1075:10 *1076:10 0.0521343 -*RES -1 *2826:la_output[23] *1076:9 22.0017 -2 *1076:9 *1076:10 560.873 -3 *1076:10 *1076:12 4.5 -4 *1076:12 *2820:la_data_out_mprj[23] 70.886 -*END - -*D_NET *1077 0.11766 -*CONN -*I *2820:la_data_out_mprj[24] I *D mgmt_protect -*I *2826:la_output[24] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[24] 4.25268e-05 -2 *2826:la_output[24] 0.000777306 -3 *1077:13 0.00281593 -4 *1077:12 0.0027734 -5 *1077:10 0.00440258 -6 *1077:9 0.00517989 -7 *1077:9 *1334:7 0 -8 *1077:9 *1461:9 0 -9 *1077:10 *1078:10 0.000863309 -10 *1077:10 *1079:10 0.0477715 -11 *1077:10 *1439:10 0 -12 *1077:10 *1721:24 0 -13 *1077:13 *2820:la_iena_mprj[24] 0 -14 *1077:13 *1107:9 0.000154885 -15 *1077:13 *1476:20 0 -16 *1077:13 *1491:7 0 -17 *821:7 *1077:13 0.00195942 -18 *1076:10 *1077:10 0.0509193 -*RES -1 *2826:la_output[24] *1077:9 23.5405 -2 *1077:9 *1077:10 546.453 -3 *1077:10 *1077:12 4.5 -4 *1077:12 *1077:13 70.5562 -5 *1077:13 *2820:la_data_out_mprj[24] 1.20912 -*END - -*D_NET *1078 0.0822655 -*CONN -*I *2820:la_data_out_mprj[25] I *D mgmt_protect -*I *2826:la_output[25] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[25] 0.00300235 -2 *2826:la_output[25] 0.000813338 -3 *1078:12 0.00300235 -4 *1078:10 0.0121872 -5 *1078:9 0.0130006 -6 *2820:la_data_out_mprj[25] *2820:la_iena_mprj[25] 0 -7 *2820:la_data_out_mprj[25] *1095:19 0 -8 *2820:la_data_out_mprj[25] *1364:11 0 -9 *1078:9 *1335:9 0 -10 *1078:9 *1462:7 0 -11 *1078:10 *1079:10 0.0487123 -12 *1078:10 *1347:10 0 -13 *1078:10 *1347:16 0 -14 *1078:10 *1347:18 0 -15 *1078:10 *1439:10 0 -16 *1078:10 *1439:14 0 -17 *1078:10 *1482:12 0 -18 *822:7 *2820:la_data_out_mprj[25] 0 -19 *852:11 *2820:la_data_out_mprj[25] 0.000684036 -20 *1077:10 *1078:10 0.000863309 -*RES -1 *2826:la_output[25] *1078:9 24.371 -2 *1078:9 *1078:10 536.47 -3 *1078:10 *1078:12 4.5 -4 *1078:12 *2820:la_data_out_mprj[25] 69.6402 -*END - -*D_NET *1079 0.113071 -*CONN -*I *2820:la_data_out_mprj[26] I *D mgmt_protect -*I *2826:la_output[26] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[26] 0.00303871 -2 *2826:la_output[26] 0.000798743 -3 *1079:12 0.00303871 -4 *1079:10 0.00419805 -5 *1079:9 0.00499679 -6 *2820:la_data_out_mprj[26] *2820:la_iena_mprj[26] 0 -7 *2820:la_data_out_mprj[26] *1108:9 0 -8 *2820:la_data_out_mprj[26] *1492:7 0 -9 *1079:9 *1336:7 0 -10 *1079:9 *1463:9 0 -11 *1079:10 *1347:18 0 -12 *1079:10 *1721:24 0 -13 *823:7 *2820:la_data_out_mprj[26] 0.000516304 -14 *1077:10 *1079:10 0.0477715 -15 *1078:10 *1079:10 0.0487123 -*RES -1 *2826:la_output[26] *1079:9 23.9558 -2 *1079:9 *1079:10 524.269 -3 *1079:10 *1079:12 4.5 -4 *1079:12 *2820:la_data_out_mprj[26] 70.0555 -*END - -*D_NET *1080 0.11338 -*CONN -*I *2820:la_data_out_mprj[27] I *D mgmt_protect -*I *2826:la_output[27] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[27] 0.000718255 -2 *2826:la_output[27] 7.21667e-05 -3 *1080:10 0.00491039 -4 *1080:9 0.00419213 -5 *1080:7 0.00343068 -6 *1080:5 0.00350285 -7 *2820:la_data_out_mprj[27] *2820:la_iena_mprj[27] 0 -8 *1080:7 *1337:9 0 -9 *1080:7 *1464:7 0 -10 *1080:10 *1336:10 0.000517688 -11 *1080:10 *1338:10 0.000378828 -12 *1080:10 *1428:10 0.00329243 -13 *1080:10 *1462:10 0.0472339 -14 *1080:10 *1466:10 0.0451305 -15 *824:7 *2820:la_data_out_mprj[27] 0 -*RES -1 *2826:la_output[27] *1080:5 2.05183 -2 *1080:5 *1080:7 69.3105 -3 *1080:7 *1080:9 4.5 -4 *1080:9 *1080:10 513.177 -5 *1080:10 *2820:la_data_out_mprj[27] 22.649 -*END - -*D_NET *1081 0.078139 -*CONN -*I *2820:la_data_out_mprj[28] I *D mgmt_protect -*I *2826:la_output[28] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[28] 0.00200477 -2 *2826:la_output[28] 0.00103828 -3 *1081:18 0.00200477 -4 *1081:16 0.00304376 -5 *1081:15 0.00402103 -6 *1081:10 0.00688339 -7 *1081:9 0.00694441 -8 *2820:la_data_out_mprj[28] *2820:la_iena_mprj[28] 0 -9 *1081:9 *1338:7 0 -10 *1081:9 *1465:9 0 -11 *1081:10 *1085:10 0.000688125 -12 *1081:10 *1087:10 0.000981922 -13 *1081:10 *1089:10 0.00427178 -14 *1081:10 *1091:10 0.000863309 -15 *1081:10 *1092:10 0.00379059 -16 *1081:10 *1439:10 0 -17 *1081:10 *1439:14 0.00117138 -18 *1081:10 *1457:10 0.000319366 -19 *1081:15 *1095:9 8.62625e-06 -20 *1081:15 *1352:7 0 -21 *1081:15 *1479:9 0 -22 *1081:16 *1089:16 0.00981722 -23 *1081:16 *1097:16 0.0159572 -24 *1081:16 *1323:10 0.000219999 -25 *1081:16 *1340:10 0.000293433 -26 *788:8 *1081:16 0.0014486 -27 *808:8 *1081:16 0.0111664 -28 *825:7 *2820:la_data_out_mprj[28] 0.000518848 -29 *845:16 *1081:16 0.000681778 -30 *853:11 *2820:la_data_out_mprj[28] 0 -*RES -1 *2826:la_output[28] *1081:9 30.1846 -2 *1081:9 *1081:10 243.085 -3 *1081:10 *1081:15 24.9506 -4 *1081:15 *1081:16 258.059 -5 *1081:16 *1081:18 4.5 -6 *1081:18 *2820:la_data_out_mprj[28] 48.0471 -*END - -*D_NET *1082 0.0790536 -*CONN -*I *2820:la_data_out_mprj[29] I *D mgmt_protect -*I *2826:la_output[29] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[29] 0.000611074 -2 *2826:la_output[29] 7.21667e-05 -3 *1082:10 0.0119743 -4 *1082:9 0.0113632 -5 *1082:7 0.00358607 -6 *1082:5 0.00365824 -7 *2820:la_data_out_mprj[29] *2820:la_iena_mprj[29] 0 -8 *1082:7 *1340:9 0 -9 *1082:10 *1084:10 0.0441868 -10 *1082:10 *1320:10 0.00309934 -11 *1082:10 *1338:10 0.000502461 -12 *826:7 *2820:la_data_out_mprj[29] 0 -*RES -1 *2826:la_output[29] *1082:5 2.05183 -2 *1082:5 *1082:7 71.3867 -3 *1082:7 *1082:9 4.5 -4 *1082:9 *1082:10 489.329 -5 *1082:10 *2820:la_data_out_mprj[29] 20.5727 -*END - -*D_NET *1083 0.174614 -*CONN -*I *2820:la_data_out_mprj[2] I *D mgmt_protect -*I *2826:la_output[2] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[2] 0.00281424 -2 *2826:la_output[2] 0.000833306 -3 *1083:12 0.00281424 -4 *1083:10 0.00567385 -5 *1083:9 0.00650716 -6 *2820:la_data_out_mprj[2] *2820:la_iena_mprj[2] 0 -7 *2820:la_data_out_mprj[2] *1481:9 1.05272e-06 -8 *1083:9 *1467:9 0 -9 *1083:9 *1729:27 0.0018205 -10 *1083:10 *1105:10 0.00129554 -11 *1083:10 *1339:10 0.00106723 -12 *1083:10 *1339:16 0.00231421 -13 *1083:10 *1351:10 0.00466986 -14 *1083:10 *1361:10 0.071467 -15 *1083:10 *1467:10 0.00392487 -16 *777:14 *1083:10 0.0686565 -17 *827:7 *2820:la_data_out_mprj[2] 0.000514722 -18 *841:11 *2820:la_data_out_mprj[2] 0.000239726 -*RES -1 *2826:la_output[2] *1083:9 36.8286 -2 *1083:9 *1083:10 804.899 -3 *1083:10 *1083:12 4.5 -4 *1083:12 *2820:la_data_out_mprj[2] 57.1827 -*END - -*D_NET *1084 0.108157 -*CONN -*I *2820:la_data_out_mprj[30] I *D mgmt_protect -*I *2826:la_output[30] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[30] 0.000490222 -2 *2826:la_output[30] 7.21667e-05 -3 *1084:10 0.00425666 -4 *1084:9 0.00376644 -5 *1084:7 0.00359963 -6 *1084:5 0.00367179 -7 *2820:la_data_out_mprj[30] *2820:la_iena_mprj[30] 0 -8 *1084:7 *1341:7 0 -9 *1084:7 *1468:9 0 -10 *1084:10 *1320:10 0.00308766 -11 *1084:10 *1338:10 0.00020979 -12 *1084:10 *1341:10 0.0444925 -13 *808:17 *1084:7 0 -14 *828:7 *2820:la_data_out_mprj[30] 0.000323488 -15 *1082:10 *1084:10 0.0441868 -*RES -1 *2826:la_output[30] *1084:5 2.05183 -2 *1084:5 *1084:7 70.9715 -3 *1084:7 *1084:9 4.5 -4 *1084:9 *1084:10 477.682 -5 *1084:10 *2820:la_data_out_mprj[30] 20.988 -*END - -*D_NET *1085 0.0919438 -*CONN -*I *2820:la_data_out_mprj[31] I *D mgmt_protect -*I *2826:la_output[31] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[31] 0.00214627 -2 *2826:la_output[31] 0.00111165 -3 *1085:18 0.00214627 -4 *1085:16 0.00139127 -5 *1085:15 0.00195685 -6 *1085:10 0.00479153 -7 *1085:9 0.0053376 -8 *2820:la_data_out_mprj[31] *2820:la_iena_mprj[31] 0 -9 *2820:la_data_out_mprj[31] *2820:la_oenb_mprj[31] 0 -10 *1085:9 *1342:9 0 -11 *1085:9 *1469:7 0 -12 *1085:10 *1087:10 0.0244104 -13 *1085:10 *1342:10 0 -14 *1085:10 *1457:10 0.001268 -15 *1085:10 *1468:10 0 -16 *1085:10 *1470:10 0 -17 *1085:10 *1472:10 0.000249254 -18 *1085:10 *1474:10 0.00069021 -19 *1085:10 *1476:10 0.00175831 -20 *1085:10 *1479:10 0.0114369 -21 *1085:15 *1101:7 0 -22 *1085:15 *1439:19 0.00075292 -23 *1085:15 *1485:9 0.000315116 -24 *817:8 *1085:16 0.000118462 -25 *829:7 *2820:la_data_out_mprj[31] 0 -26 *840:8 *1085:16 0.0156852 -27 *844:8 *1085:16 0.0156894 -28 *1081:10 *1085:10 0.000688125 -*RES -1 *2826:la_output[31] *1085:9 31.8456 -2 *1085:9 *1085:10 298.546 -3 *1085:10 *1085:15 24.5353 -4 *1085:15 *1085:16 167.659 -5 *1085:16 *1085:18 4.5 -6 *1085:18 *2820:la_data_out_mprj[31] 46.8014 -*END - -*D_NET *1086 0.103654 -*CONN -*I *2820:la_data_out_mprj[32] I *D mgmt_protect -*I *2826:la_output[32] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[32] 0.000593139 -2 *2826:la_output[32] 5.24068e-05 -3 *1086:10 0.00417582 -4 *1086:9 0.00358268 -5 *1086:7 0.00306908 -6 *1086:5 0.00312149 -7 *2820:la_data_out_mprj[32] *1342:13 0.00145149 -8 *1086:7 *1343:7 0 -9 *1086:7 *1470:9 0 -10 *1086:10 *1322:10 0.000183126 -11 *1086:10 *1343:10 0.0422877 -12 *1086:10 *1448:10 0.00274101 -13 *1086:10 *1469:10 0.000692756 -14 *1086:10 *1471:10 0.0412745 -15 *830:7 *2820:la_data_out_mprj[32] 0.000428549 -*RES -1 *2826:la_output[32] *1086:5 1.49002 -2 *1086:5 *1086:7 61.8359 -3 *1086:7 *1086:9 4.5 -4 *1086:9 *1086:10 454.944 -5 *1086:10 *2820:la_data_out_mprj[32] 30.1235 -*END - -*D_NET *1087 0.0895172 -*CONN -*I *2820:la_data_out_mprj[33] I *D mgmt_protect -*I *2826:la_output[33] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[33] 0.00180414 -2 *2826:la_output[33] 0.00109256 -3 *1087:18 0.00180414 -4 *1087:16 0.00190617 -5 *1087:15 0.00246332 -6 *1087:10 0.00299322 -7 *1087:9 0.00352863 -8 *2820:la_data_out_mprj[33] *2820:la_iena_mprj[33] 0 -9 *2820:la_data_out_mprj[33] *2820:la_oenb_mprj[32] 0 -10 *2820:la_data_out_mprj[33] *1107:13 4.78738e-05 -11 *2820:la_data_out_mprj[33] *1109:15 0.00155855 -12 *1087:9 *1344:7 0 -13 *1087:9 *1471:7 0 -14 *1087:10 *1089:10 0.0240345 -15 *1087:10 *1095:14 2.41483e-05 -16 *1087:10 *1359:12 0.000579018 -17 *1087:10 *1457:10 0.00128375 -18 *1087:10 *1479:10 0.0013046 -19 *1087:15 *1089:15 0.000690291 -20 *1087:15 *1487:9 0 -21 *1087:16 *1089:16 0.0138249 -22 *1087:16 *1340:10 0.0050656 -23 *1087:16 *1367:10 6.69658e-05 -24 *1087:16 *1468:16 5.2472e-05 -25 *1087:16 *1474:16 0 -26 *831:7 *2820:la_data_out_mprj[33] 0 -27 *1081:10 *1087:10 0.000981922 -28 *1085:10 *1087:10 0.0244104 -*RES -1 *2826:la_output[33] *1087:9 31.4303 -2 *1087:9 *1087:10 295.218 -3 *1087:10 *1087:15 22.8743 -4 *1087:15 *1087:16 147.693 -5 *1087:16 *1087:18 4.5 -6 *1087:18 *2820:la_data_out_mprj[33] 48.8776 -*END - -*D_NET *1088 0.091403 -*CONN -*I *2820:la_data_out_mprj[34] I *D mgmt_protect -*I *2826:la_output[34] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[34] 0.00104284 -2 *2826:la_output[34] 7.21667e-05 -3 *1088:14 0.00209525 -4 *1088:12 0.0010706 -5 *1088:10 0.0033631 -6 *1088:9 0.00334491 -7 *1088:7 0.00300472 -8 *1088:5 0.00307689 -9 *2820:la_data_out_mprj[34] *2820:la_iena_mprj[34] 0 -10 *1088:7 *1345:9 0 -11 *1088:7 *1472:9 0 -12 *1088:10 *1090:10 0.000644459 -13 *1088:10 *1324:10 0.00240658 -14 *1088:10 *1344:10 0.000389428 -15 *1088:10 *1473:10 0.0304257 -16 *1088:10 *1473:14 1.67988e-05 -17 *1088:10 *1475:10 0.0266084 -18 *1088:12 *1473:12 1.09551e-05 -19 *1088:14 *1473:14 0.00851685 -20 *1088:14 *1475:10 0.00278783 -21 *1088:14 *1475:14 0.00208965 -22 *832:7 *2820:la_data_out_mprj[34] 0.000435932 -*RES -1 *2826:la_output[34] *1088:5 2.05183 -2 *1088:5 *1088:7 59.7597 -3 *1088:7 *1088:9 4.5 -4 *1088:9 *1088:10 339.586 -5 *1088:10 *1088:12 0.578717 -6 *1088:12 *1088:14 91.6784 -7 *1088:14 *2820:la_data_out_mprj[34] 31.7845 -*END - -*D_NET *1089 0.0856934 -*CONN -*I *2820:la_data_out_mprj[35] I *D mgmt_protect -*I *2826:la_output[35] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[35] 0.00219238 -2 *2826:la_output[35] 0.0010743 -3 *1089:18 0.00219238 -4 *1089:16 0.00182516 -5 *1089:15 0.00222801 -6 *1089:10 0.00248637 -7 *1089:9 0.00315782 -8 *2820:la_data_out_mprj[35] *2820:la_iena_mprj[35] 0 -9 *1089:9 *1346:7 0 -10 *1089:9 *1473:7 0 -11 *1089:10 *1092:10 7.6719e-06 -12 *1089:10 *1095:12 0.000670317 -13 *1089:10 *1095:14 0.0124946 -14 *1089:10 *1457:10 0.00107161 -15 *1089:10 *1480:12 0.000426154 -16 *1089:15 *1487:9 0 -17 *1089:16 *1097:16 0.00248382 -18 *1089:16 *1340:10 7.92757e-06 -19 *1089:16 *1367:10 0 -20 *2826:la_input[48] *1089:15 0.000736209 -21 *833:7 *2820:la_data_out_mprj[35] 0 -22 *856:11 *2820:la_data_out_mprj[35] 0 -23 *1081:10 *1089:10 0.00427178 -24 *1081:16 *1089:16 0.00981722 -25 *1087:10 *1089:10 0.0240345 -26 *1087:15 *1089:15 0.000690291 -27 *1087:16 *1089:16 0.0138249 -*RES -1 *2826:la_output[35] *1089:9 31.0151 -2 *1089:9 *1089:10 254.177 -3 *1089:10 *1089:15 23.7048 -4 *1089:15 *1089:16 165.441 -5 *1089:16 *1089:18 4.5 -6 *1089:18 *2820:la_data_out_mprj[35] 48.4624 -*END - -*D_NET *1090 0.0827506 -*CONN -*I *2820:la_data_out_mprj[36] I *D mgmt_protect -*I *2826:la_output[36] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[36] 0.0013763 -2 *2826:la_output[36] 7.21667e-05 -3 *1090:16 0.00390388 -4 *1090:15 0.00266982 -5 *1090:10 0.00288325 -6 *1090:9 0.00274101 -7 *1090:7 0.00300453 -8 *1090:5 0.0030767 -9 *2820:la_data_out_mprj[36] *2820:la_iena_mprj[36] 0 -10 *2820:la_data_out_mprj[36] *1112:7 0.000166578 -11 *1090:7 *1347:9 0 -12 *1090:7 *1474:9 0 -13 *1090:10 *1326:10 0.00168247 -14 *1090:10 *1348:10 0.0255018 -15 *1090:10 *1475:10 0.0264578 -16 *1090:15 *1346:15 8.62625e-06 -17 *1090:15 *1491:7 0 -18 *1090:16 *1095:20 0 -19 *1090:16 *1099:10 0.00222465 -20 *1090:16 *1100:16 0.0051509 -21 *2820:la_data_out_mprj[23] *1090:15 7.37782e-05 -22 *826:8 *1090:16 0.00111189 -23 *834:7 *2820:la_data_out_mprj[36] 0 -24 *1088:10 *1090:10 0.000644459 -*RES -1 *2826:la_output[36] *1090:5 2.05183 -2 *1090:5 *1090:7 58.9292 -3 *1090:7 *1090:9 4.5 -4 *1090:9 *1090:10 296.882 -5 *1090:10 *1090:15 12.493 -6 *1090:15 *1090:16 110.535 -7 *1090:16 *2820:la_data_out_mprj[36] 36.3523 -*END - -*D_NET *1091 0.0777574 -*CONN -*I *2820:la_data_out_mprj[37] I *D mgmt_protect -*I *2826:la_output[37] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[37] 0.00136288 -2 *2826:la_output[37] 0.0010159 -3 *1091:20 0.00314189 -4 *1091:19 0.00320557 -5 *1091:10 0.00343001 -6 *1091:9 0.00301934 -7 *2820:la_data_out_mprj[37] *2820:la_iena_mprj[37] 0 -8 *2820:la_data_out_mprj[37] *1357:15 9.36977e-05 -9 *1091:9 *1348:7 0 -10 *1091:9 *1475:7 0 -11 *1091:10 *1092:10 0.0198712 -12 *1091:10 *1097:10 0.00441076 -13 *1091:10 *1100:10 0.0064604 -14 *1091:10 *1439:14 0.00486349 -15 *1091:10 *1457:10 0.000102215 -16 *1091:19 *1360:7 0 -17 *1091:20 *1092:16 0.00238977 -18 *1091:20 *1098:16 0.00759537 -19 *2820:la_data_out_mprj[18] *1091:19 9.51365e-05 -20 *814:7 *1091:19 8.62625e-06 -21 *815:8 *1091:20 0.000738355 -22 *818:8 *1091:20 0.00233087 -23 *835:7 *2820:la_data_out_mprj[37] 0 -24 *848:8 *1091:20 0.00222976 -25 *848:11 *1091:19 0 -26 *852:8 *1091:20 0.00951946 -27 *857:11 *2820:la_data_out_mprj[37] 0.00100936 -28 *1081:10 *1091:10 0.000863309 -*RES -1 *2826:la_output[37] *1091:9 29.3541 -2 *1091:9 *1091:10 230.884 -3 *1091:10 *1091:19 37.4814 -4 *1091:19 *1091:20 164.886 -5 *1091:20 *2820:la_data_out_mprj[37] 41.7506 -*END - -*D_NET *1092 0.080491 -*CONN -*I *2820:la_data_out_mprj[38] I *D mgmt_protect -*I *2826:la_output[38] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[38] 0.00126919 -2 *2826:la_output[38] 0.00103975 -3 *1092:16 0.00305029 -4 *1092:15 0.00265746 -5 *1092:10 0.00264063 -6 *1092:9 0.00280403 -7 *2820:la_data_out_mprj[38] *2820:la_iena_mprj[38] 0 -8 *2820:la_data_out_mprj[38] *1113:7 0.000268562 -9 *1092:9 *1349:9 0 -10 *1092:10 *1095:12 0.00195116 -11 *1092:10 *1095:14 0.00170758 -12 *1092:10 *1098:10 0.0117894 -13 *1092:10 *1100:10 0.000677667 -14 *1092:10 *1457:10 0.000310253 -15 *1092:15 *2820:la_oenb_mprj[19] 0.000493279 -16 *1092:15 *1104:9 0 -17 *1092:15 *1362:7 0.00143298 -18 *1092:16 *1095:20 0.00992073 -19 *1092:16 *1098:16 0.00836394 -20 *818:8 *1092:16 0.00087833 -21 *819:8 *1092:16 0.00171291 -22 *821:8 *1092:16 0.000710858 -23 *822:8 *1092:16 0.000127047 -24 *823:8 *1092:16 4.30583e-05 -25 *836:7 *2820:la_data_out_mprj[38] 0.000582692 -26 *1081:10 *1092:10 0.00379059 -27 *1089:10 *1092:10 7.6719e-06 -28 *1091:10 *1092:10 0.0198712 -29 *1091:20 *1092:16 0.00238977 -*RES -1 *2826:la_output[38] *1092:9 29.7693 -2 *1092:9 *1092:10 226.447 -3 *1092:10 *1092:15 36.9929 -4 *1092:15 *1092:16 157.676 -5 *1092:16 *2820:la_data_out_mprj[38] 40.9201 -*END - -*D_NET *1093 0.081793 -*CONN -*I *2820:la_data_out_mprj[39] I *D mgmt_protect -*I *2826:la_output[39] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[39] 0.00135601 -2 *2826:la_output[39] 7.21667e-05 -3 *1093:10 0.00523077 -4 *1093:9 0.00387476 -5 *1093:7 0.00298497 -6 *1093:5 0.00305713 -7 *2820:la_data_out_mprj[39] *1349:19 0 -8 *2820:la_data_out_mprj[39] *1363:15 3.00073e-05 -9 *2820:la_data_out_mprj[39] *1365:15 0 -10 *1093:7 *1351:9 0 -11 *1093:7 *1477:7 0 -12 *1093:10 *1096:10 0.03104 -13 *1093:10 *1352:10 0.0325099 -14 *1093:10 *1454:10 0.000606422 -15 *1093:10 *1477:10 0.00103083 -16 *826:8 *1093:10 0 -17 *837:5 *2820:la_data_out_mprj[39] 0 -*RES -1 *2826:la_output[39] *1093:5 2.05183 -2 *1093:5 *1093:7 57.2682 -3 *1093:7 *1093:9 4.5 -4 *1093:9 *1093:10 372.862 -5 *1093:10 *2820:la_data_out_mprj[39] 34.6913 -*END - -*D_NET *1094 0.168385 -*CONN -*I *2820:la_data_out_mprj[3] I *D mgmt_protect -*I *2826:la_output[3] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[3] 0.00104506 -2 *2826:la_output[3] 7.21667e-05 -3 *1094:10 0.0072298 -4 *1094:9 0.00618474 -5 *1094:7 0.00247903 -6 *1094:5 0.0025512 -7 *2820:la_data_out_mprj[3] *2820:la_iena_mprj[3] 0 -8 *1094:7 *1361:9 0 -9 *1094:7 *1478:7 0 -10 *1094:10 *1116:10 0.00174019 -11 *1094:10 *1138:10 0.0679892 -12 *1094:10 *1350:10 0.00961635 -13 *1094:10 *1478:10 0.00101286 -14 *1094:10 *1522:10 0.068463 -15 *799:13 *2820:la_data_out_mprj[3] 1.05272e-06 -16 *838:7 *2820:la_data_out_mprj[3] 0 -*RES -1 *2826:la_output[3] *1094:5 2.05183 -2 *1094:5 *1094:7 64.7427 -3 *1094:7 *1094:9 4.5 -4 *1094:9 *1094:10 793.252 -5 *1094:10 *2820:la_data_out_mprj[3] 27.2167 -*END - -*D_NET *1095 0.0672141 -*CONN -*I *2820:la_data_out_mprj[40] I *D mgmt_protect -*I *2826:la_output[40] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[40] 0.00138 -2 *2826:la_output[40] 0.00105897 -3 *1095:20 0.00449729 -4 *1095:19 0.00428 -5 *1095:14 0.00316081 -6 *1095:12 0.00221096 -7 *1095:9 0.00127184 -8 *2820:la_data_out_mprj[40] *2820:la_iena_mprj[40] 0 -9 *1095:9 *1352:7 0 -10 *1095:9 *1479:9 0 -11 *1095:12 *1480:12 0.00043038 -12 *1095:14 *1098:10 0.0165763 -13 *1095:14 *1359:12 0.000402816 -14 *1095:14 *1359:14 0.00276779 -15 *1095:14 *1457:10 0.000523202 -16 *1095:19 *1492:7 0.000555671 -17 *1095:20 *1098:16 0.00068063 -18 *1095:20 *1357:16 0 -19 *2820:la_data_out_mprj[25] *1095:19 0 -20 *823:8 *1095:20 2.32967e-05 -21 *824:8 *1095:20 4.77612e-05 -22 *825:8 *1095:20 0 -23 *826:8 *1095:20 0 -24 *839:5 *2820:la_data_out_mprj[40] 0.000569193 -25 *852:11 *1095:19 0 -26 *1081:15 *1095:9 8.62625e-06 -27 *1087:10 *1095:14 2.41483e-05 -28 *1089:10 *1095:12 0.000670317 -29 *1089:10 *1095:14 0.0124946 -30 *1090:16 *1095:20 0 -31 *1092:10 *1095:12 0.00195116 -32 *1092:10 *1095:14 0.00170758 -33 *1092:16 *1095:20 0.00992073 -*RES -1 *2826:la_output[40] *1095:9 30.1846 -2 *1095:9 *1095:12 21.2678 -3 *1095:12 *1095:14 216.464 -4 *1095:14 *1095:19 36.5776 -5 *1095:19 *1095:20 123.845 -6 *1095:20 *2820:la_data_out_mprj[40] 40.5048 -*END - -*D_NET *1096 0.0774822 -*CONN -*I *2820:la_data_out_mprj[41] I *D mgmt_protect -*I *2826:la_output[41] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[41] 0.00141 -2 *2826:la_output[41] 3.26469e-05 -3 *1096:10 0.00555882 -4 *1096:9 0.00414881 -5 *1096:7 0.00257938 -6 *1096:5 0.00261203 -7 *2820:la_data_out_mprj[41] *2820:la_iena_mprj[41] 0 -8 *1096:7 *1480:12 0 -9 *1096:7 *1480:15 0.00148126 -10 *1096:10 *1099:10 0.0268091 -11 *1096:10 *1352:10 5.49044e-05 -12 *1096:10 *1366:16 0.00143671 -13 *1096:10 *1454:10 0.000318578 -14 *2820:la_data_out_mprj[0] *1096:7 0 -15 *777:5 *1096:7 0 -16 *826:8 *1096:10 0 -17 *840:5 *2820:la_data_out_mprj[41] 0 -18 *1072:13 *1096:7 0 -19 *1093:10 *1096:10 0.03104 -*RES -1 *2826:la_output[41] *1096:5 0.928211 -2 *1096:5 *1096:7 56.8529 -3 *1096:7 *1096:9 4.5 -4 *1096:9 *1096:10 350.678 -5 *1096:10 *2820:la_data_out_mprj[41] 35.1065 -*END - -*D_NET *1097 0.0707185 -*CONN -*I *2820:la_data_out_mprj[42] I *D mgmt_protect -*I *2826:la_output[42] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[42] 0.00166531 -2 *2826:la_output[42] 0.001024 -3 *1097:18 0.00166531 -4 *1097:16 0.0034006 -5 *1097:15 0.00420377 -6 *1097:10 0.00114237 -7 *1097:9 0.00136318 -8 *2820:la_data_out_mprj[42] *2820:la_iena_mprj[42] 0 -9 *2820:la_data_out_mprj[42] *1367:15 0.000390548 -10 *1097:9 *1354:7 0 -11 *1097:9 *1481:9 0 -12 *1097:10 *1439:14 0.00441498 -13 *1097:15 *1356:7 0.000298642 -14 *1097:15 *1405:15 0.000301647 -15 *1097:16 *1323:10 0.000112976 -16 *1097:16 *1367:10 0 -17 *1097:16 *1369:10 0.000279741 -18 *2826:la_input[45] *1097:15 0 -19 *788:8 *1097:16 8.03262e-05 -20 *841:7 *2820:la_data_out_mprj[42] 0.000645681 -21 *844:8 *1097:16 0.0268776 -22 *859:11 *2820:la_data_out_mprj[42] 0 -23 *1081:16 *1097:16 0.0159572 -24 *1089:16 *1097:16 0.00248382 -25 *1091:10 *1097:10 0.00441076 -*RES -1 *2826:la_output[42] *1097:9 28.9388 -2 *1097:9 *1097:10 46.7555 -3 *1097:10 *1097:15 26.6116 -4 *1097:15 *1097:16 290.781 -5 *1097:16 *1097:18 4.5 -6 *1097:18 *2820:la_data_out_mprj[42] 47.6319 -*END - -*D_NET *1098 0.0665589 -*CONN -*I *2820:la_data_out_mprj[43] I *D mgmt_protect -*I *2826:la_output[43] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[43] 0.00151044 -2 *2826:la_output[43] 0.00106802 -3 *1098:16 0.00340383 -4 *1098:15 0.00302941 -5 *1098:10 0.00303785 -6 *1098:9 0.00296985 -7 *2820:la_data_out_mprj[43] *2820:la_iena_mprj[43] 0 -8 *2820:la_data_out_mprj[43] *1115:7 2.78486e-05 -9 *2820:la_data_out_mprj[43] *1368:15 0.000619094 -10 *2820:la_data_out_mprj[43] *1494:15 0 -11 *1098:9 *1355:9 0 -12 *1098:9 *1482:12 0 -13 *1098:10 *1100:10 0.000865938 -14 *1098:10 *1107:10 0.000848219 -15 *1098:10 *1108:10 0.00095501 -16 *1098:10 *1359:14 0.000395077 -17 *1098:10 *1457:10 0.000216928 -18 *1098:15 *1493:9 0 -19 *842:7 *2820:la_data_out_mprj[43] 0 -20 *852:8 *1098:16 0.00204948 -21 *853:11 *1098:15 0.00055632 -22 *1091:20 *1098:16 0.00759537 -23 *1092:10 *1098:10 0.0117894 -24 *1092:16 *1098:16 0.00836394 -25 *1095:14 *1098:10 0.0165763 -26 *1095:20 *1098:16 0.00068063 -*RES -1 *2826:la_output[43] *1098:9 30.1846 -2 *1098:9 *1098:10 192.616 -3 *1098:10 *1098:15 36.1624 -4 *1098:15 *1098:16 133.274 -5 *1098:16 *2820:la_data_out_mprj[43] 41.3353 -*END - -*D_NET *1099 0.0612584 -*CONN -*I *2820:la_data_out_mprj[44] I *D mgmt_protect -*I *2826:la_output[44] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[44] 0.00113025 -2 *2826:la_output[44] 7.21667e-05 -3 *1099:10 0.00605418 -4 *1099:9 0.00492393 -5 *1099:7 0.00286337 -6 *1099:5 0.00293554 -7 *2820:la_data_out_mprj[44] *2820:la_iena_mprj[44] 0 -8 *2820:la_data_out_mprj[44] *1371:15 0.000443279 -9 *2820:la_data_out_mprj[44] *1495:15 0.000153255 -10 *1099:7 *2820:la_data_out_mprj[8] 0 -11 *1099:7 *1356:7 0 -12 *1099:7 *1483:9 0 -13 *1099:10 *1100:16 0.0115147 -14 *1099:10 *1366:16 0.00101529 -15 *826:8 *1099:10 0.000755873 -16 *843:7 *2820:la_data_out_mprj[44] 0.000362769 -17 *893:7 *1099:7 0 -18 *1090:16 *1099:10 0.00222465 -19 *1096:10 *1099:10 0.0268091 -*RES -1 *2826:la_output[44] *1099:5 2.05183 -2 *1099:5 *1099:7 56.4377 -3 *1099:7 *1099:9 4.5 -4 *1099:9 *1099:10 314.074 -5 *1099:10 *2820:la_data_out_mprj[44] 35.5218 -*END - -*D_NET *1100 0.0644409 -*CONN -*I *2820:la_data_out_mprj[45] I *D mgmt_protect -*I *2826:la_output[45] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[45] 0.00113772 -2 *2826:la_output[45] 0.000994458 -3 *1100:16 0.00287109 -4 *1100:15 0.00253935 -5 *1100:10 0.00245726 -6 *1100:9 0.00264574 -7 *2820:la_data_out_mprj[45] *2820:la_iena_mprj[45] 0.000364658 -8 *2820:la_data_out_mprj[45] *2820:la_oenb_mprj[45] 0 -9 *2820:la_data_out_mprj[45] *1487:15 0.000701475 -10 *2820:la_data_out_mprj[45] *1490:15 7.49661e-05 -11 *1100:9 *1357:9 0 -12 *1100:9 *1484:9 0 -13 *1100:10 *1102:10 0.0121267 -14 *1100:10 *1107:10 0.0045041 -15 *1100:10 *1347:18 0.00111142 -16 *1100:10 *1439:14 0.00132255 -17 *1100:15 *1102:15 0.00180758 -18 *1100:15 *1104:15 0.00145557 -19 *1100:15 *1366:9 0 -20 *1100:16 *1357:16 0.00217172 -21 *1100:16 *1366:16 1.55995e-05 -22 *1100:16 *1493:16 0.000306834 -23 *1100:16 *1494:16 0.00116248 -24 *844:5 *2820:la_data_out_mprj[45] 0 -25 *1090:16 *1100:16 0.0051509 -26 *1091:10 *1100:10 0.0064604 -27 *1092:10 *1100:10 0.000677667 -28 *1098:10 *1100:10 0.000865938 -29 *1099:10 *1100:16 0.0115147 -*RES -1 *2826:la_output[45] *1100:9 28.9388 -2 *1100:9 *1100:10 169.323 -3 *1100:10 *1100:15 42.8064 -4 *1100:15 *1100:16 133.828 -5 *1100:16 *2820:la_data_out_mprj[45] 36.6698 -*END - -*D_NET *1101 0.0667816 -*CONN -*I *2820:la_data_out_mprj[46] I *D mgmt_protect -*I *2826:la_output[46] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[46] 0.000909214 -2 *2826:la_output[46] 1.28869e-05 -3 *1101:14 0.00335821 -4 *1101:12 0.00298986 -5 *1101:7 0.00345545 -6 *1101:5 0.00292748 -7 *2820:la_data_out_mprj[46] *2820:la_iena_mprj[46] 0 -8 *1101:7 *1485:9 0 -9 *1101:12 *1356:10 0.00169452 -10 *1101:12 *1482:22 0.00394306 -11 *1101:14 *1103:14 0.000127366 -12 *1101:14 *1356:10 0.0226394 -13 *1101:14 *1369:16 0.000250542 -14 *1101:14 *1371:16 0.00164916 -15 *1101:14 *1482:22 1.41689e-05 -16 *1101:14 *1482:24 0.0212104 -17 *2820:la_data_out_mprj[12] *1101:7 0.00079789 -18 *808:5 *1101:7 0.000439878 -19 *845:7 *2820:la_data_out_mprj[46] 0.000362101 -20 *1085:15 *1101:7 0 -*RES -1 *2826:la_output[46] *1101:5 0.366399 -2 *1101:5 *1101:7 64.7427 -3 *1101:7 *1101:12 47.3974 -4 *1101:12 *1101:14 250.85 -5 *1101:14 *2820:la_data_out_mprj[46] 27.632 -*END - -*D_NET *1102 0.0632765 -*CONN -*I *2820:la_data_out_mprj[47] I *D mgmt_protect -*I *2826:la_output[47] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[47] 0.00496509 -2 *2826:la_output[47] 0.000975443 -3 *1102:15 0.00665048 -4 *1102:12 0.00168539 -5 *1102:10 0.000985841 -6 *1102:9 0.00196128 -7 *2820:la_data_out_mprj[47] *2820:la_data_out_mprj[49] 0.0158903 -8 *2820:la_data_out_mprj[47] *2820:la_iena_mprj[47] 0 -9 *2820:la_data_out_mprj[47] *2820:la_iena_mprj[48] 0.003575 -10 *1102:9 *1359:9 0 -11 *1102:10 *1107:10 1.92172e-05 -12 *1102:10 *1347:18 0.0121798 -13 *1102:15 *1104:15 0.000189648 -14 *828:7 *1102:15 0.000264753 -15 *846:9 *2820:la_data_out_mprj[47] 0 -16 *854:11 *1102:15 0 -17 *1100:10 *1102:10 0.0121267 -18 *1100:15 *1102:15 0.00180758 -*RES -1 *2826:la_output[47] *1102:9 28.5236 -2 *1102:9 *1102:10 129.391 -3 *1102:10 *1102:12 4.5 -4 *1102:12 *1102:15 49.8404 -5 *1102:15 *2820:la_data_out_mprj[47] 43.2581 -*END - -*D_NET *1103 0.0614509 -*CONN -*I *2820:la_data_out_mprj[48] I *D mgmt_protect -*I *2826:la_output[48] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[48] 0.000994885 -2 *2826:la_output[48] 7.21667e-05 -3 *1103:14 0.0036971 -4 *1103:12 0.00274204 -5 *1103:7 0.00296985 -6 *1103:5 0.00300219 -7 *2820:la_data_out_mprj[48] *2820:la_iena_mprj[48] 0 -8 *1103:7 *2820:la_iena_mprj[17] 0 -9 *1103:7 *1360:7 0 -10 *1103:7 *1487:9 0 -11 *1103:12 *1330:10 0.000113968 -12 *1103:12 *1482:22 1.90039e-05 -13 *1103:12 *1482:24 3.57037e-05 -14 *1103:14 *1106:10 0.02096 -15 *1103:14 *1330:10 0.00145531 -16 *1103:14 *1332:10 7.83176e-05 -17 *1103:14 *1371:16 0.00325457 -18 *1103:14 *1482:24 0.0211129 -19 *2820:la_data_out_mprj[17] *1103:7 0.000398393 -20 *813:7 *1103:7 0.000417113 -21 *847:9 *2820:la_data_out_mprj[48] 0 -22 *1101:14 *1103:14 0.000127366 -*RES -1 *2826:la_output[48] *1103:5 2.05183 -2 *1103:5 *1103:7 65.5732 -3 *1103:7 *1103:12 6.35672 -4 *1103:12 *1103:14 266.379 -5 *1103:14 *2820:la_data_out_mprj[48] 26.8015 -*END - -*D_NET *1104 0.0677525 -*CONN -*I *2820:la_data_out_mprj[49] I *D mgmt_protect -*I *2826:la_output[49] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[49] 0.00424017 -2 *2826:la_output[49] 0.00133892 -3 *1104:15 0.00574751 -4 *1104:10 0.00221229 -5 *1104:9 0.00204387 -6 *2820:la_data_out_mprj[49] *2820:la_iena_mprj[48] 0.000267248 -7 *2820:la_data_out_mprj[49] *2820:la_iena_mprj[49] 0 -8 *2820:la_data_out_mprj[49] *2820:la_oenb_mprj[48] 4.61116e-05 -9 *2820:la_data_out_mprj[49] *1491:12 0.0178457 -10 *1104:9 *2820:la_oenb_mprj[19] 0 -11 *1104:9 *1362:7 0 -12 *1104:9 *1468:15 8.62625e-06 -13 *1104:9 *1488:7 0 -14 *1104:10 *1342:10 0.00823534 -15 *1104:10 *1353:10 0.00823113 -16 *2820:la_data_out_mprj[47] *2820:la_data_out_mprj[49] 0.0158903 -17 *848:7 *2820:la_data_out_mprj[49] 0 -18 *1092:15 *1104:9 0 -19 *1100:15 *1104:15 0.00145557 -20 *1102:15 *1104:15 0.000189648 -*RES -1 *2826:la_output[49] *1104:9 35.5828 -2 *1104:9 *1104:10 87.7962 -3 *1104:10 *1104:15 46.4506 -4 *1104:15 *2820:la_data_out_mprj[49] 46.6128 -*END - -*D_NET *1105 0.16788 -*CONN -*I *2820:la_data_out_mprj[4] I *D mgmt_protect -*I *2826:la_output[4] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[4] 0.00259107 -2 *2826:la_output[4] 0.00130728 -3 *1105:12 0.00259107 -4 *1105:10 0.00594331 -5 *1105:9 0.00725059 -6 *2820:la_data_out_mprj[4] *2820:la_iena_mprj[4] 0 -7 *1105:9 *1372:7 0 -8 *1105:9 *1489:9 0 -9 *1105:10 *1127:10 0.0701859 -10 *1105:10 *1351:10 0.00628818 -11 *1105:10 *1489:10 0.00403238 -12 *777:14 *1105:10 0.0648399 -13 *842:11 *2820:la_data_out_mprj[4] 0.000808041 -14 *849:7 *2820:la_data_out_mprj[4] 0.00049962 -15 *849:14 *1105:10 0.000247042 -16 *1083:10 *1105:10 0.00129554 -*RES -1 *2826:la_output[4] *1105:9 37.6591 -2 *1105:9 *1105:10 781.605 -3 *1105:10 *1105:12 4.5 -4 *1105:12 *2820:la_data_out_mprj[4] 56.3522 -*END - -*D_NET *1106 0.0559482 -*CONN -*I *2820:la_data_out_mprj[50] I *D mgmt_protect -*I *2826:la_output[50] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[50] 0.000777848 -2 *2826:la_output[50] 7.21667e-05 -3 *1106:10 0.00331017 -4 *1106:9 0.00253233 -5 *1106:7 0.00300506 -6 *1106:5 0.00307722 -7 *2820:la_data_out_mprj[50] *2820:la_iena_mprj[50] 0 -8 *1106:7 *1363:9 0 -9 *1106:7 *1490:9 0 -10 *1106:10 *1332:10 0.000560858 -11 *1106:10 *1334:10 5.09219e-05 -12 *1106:10 *1364:14 0.0202086 -13 *1106:10 *1371:16 0.000725963 -14 *1106:10 *1460:10 3.42853e-05 -15 *2820:la_data_out_mprj[22] *1106:7 0 -16 *819:7 *1106:7 0.000264352 -17 *850:7 *2820:la_data_out_mprj[50] 0.000368439 -18 *1103:14 *1106:10 0.02096 -*RES -1 *2826:la_output[50] *1106:5 2.05183 -2 *1106:5 *1106:7 65.5732 -3 *1106:7 *1106:9 4.5 -4 *1106:9 *1106:10 243.64 -5 *1106:10 *2820:la_data_out_mprj[50] 26.3862 -*END - -*D_NET *1107 0.0493111 -*CONN -*I *2820:la_data_out_mprj[51] I *D mgmt_protect -*I *2826:la_output[51] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[51] 0.00063251 -2 *2826:la_output[51] 0.000931781 -3 *1107:16 0.00284065 -4 *1107:15 0.00220814 -5 *1107:13 0.00155248 -6 *1107:12 0.00155248 -7 *1107:10 0.000938163 -8 *1107:9 0.00186994 -9 *2820:la_data_out_mprj[51] *2820:la_iena_mprj[51] 0 -10 *1107:9 *1364:9 0 -11 *1107:9 *1364:11 0 -12 *1107:9 *1491:7 0 -13 *1107:10 *1108:10 0.00472073 -14 *1107:10 *1110:12 0.000209646 -15 *1107:10 *1347:18 0.000754103 -16 *1107:13 *2820:la_iena_mprj[33] 0.000466183 -17 *1107:13 *1109:15 0.000925816 -18 *1107:13 *1111:11 0.000865749 -19 *1107:13 *1495:9 0 -20 *1107:16 *1108:16 0.000875364 -21 *1107:16 *1112:10 0.0121521 -22 *1107:16 *1113:10 0.010241 -23 *2820:la_data_out_mprj[33] *1107:13 4.78738e-05 -24 *851:7 *2820:la_data_out_mprj[51] 0 -25 *1077:13 *1107:9 0.000154885 -26 *1098:10 *1107:10 0.000848219 -27 *1100:10 *1107:10 0.0045041 -28 *1102:10 *1107:10 1.92172e-05 -*RES -1 *2826:la_output[51] *1107:9 29.3541 -2 *1107:9 *1107:10 79.4771 -3 *1107:10 *1107:12 4.5 -4 *1107:12 *1107:13 48.3402 -5 *1107:13 *1107:15 4.5 -6 *1107:15 *1107:16 153.239 -7 *1107:16 *2820:la_data_out_mprj[51] 20.988 -*END - -*D_NET *1108 0.0498981 -*CONN -*I *2820:la_data_out_mprj[52] I *D mgmt_protect -*I *2826:la_output[52] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[52] 0.000529347 -2 *2826:la_output[52] 0.000992939 -3 *1108:16 0.00253739 -4 *1108:15 0.00200804 -5 *1108:13 0.00140285 -6 *1108:12 0.00140285 -7 *1108:10 0.000628314 -8 *1108:9 0.00162125 -9 *2820:la_data_out_mprj[52] *2820:la_iena_mprj[52] 0 -10 *1108:9 *1365:9 0 -11 *1108:9 *1492:7 0 -12 *1108:10 *1109:12 0.000485636 -13 *1108:10 *1110:12 0.00040048 -14 *1108:10 *1359:14 0.000170403 -15 *1108:13 *2820:la_oenb_mprj[32] 0 -16 *1108:13 *1342:13 0.000883888 -17 *1108:13 *1367:9 0 -18 *1108:16 *1109:16 5.63648e-05 -19 *1108:16 *1110:16 0.0146706 -20 *1108:16 *1112:10 0.0129188 -21 *2820:la_data_out_mprj[26] *1108:9 0 -22 *852:7 *2820:la_data_out_mprj[52] 0.000332124 -23 *854:8 *1108:16 0 -24 *855:11 *1108:13 0.00230574 -25 *1098:10 *1108:10 0.00095501 -26 *1107:10 *1108:10 0.00472073 -27 *1107:16 *1108:16 0.000875364 -*RES -1 *2826:la_output[52] *1108:9 29.7693 -2 *1108:9 *1108:10 50.0831 -3 *1108:10 *1108:12 4.5 -4 *1108:12 *1108:13 47.0945 -5 *1108:13 *1108:15 4.5 -6 *1108:15 *1108:16 170.432 -7 *1108:16 *2820:la_data_out_mprj[52] 21.8185 -*END - -*D_NET *1109 0.0497369 -*CONN -*I *2820:la_data_out_mprj[53] I *D mgmt_protect -*I *2826:la_output[53] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[53] 0.000747425 -2 *2826:la_output[53] 0.00104017 -3 *1109:16 0.0025167 -4 *1109:15 0.00321341 -5 *1109:12 0.00188691 -6 *1109:9 0.00148294 -7 *2820:la_data_out_mprj[53] *2820:la_iena_mprj[53] 0 -8 *1109:9 *1366:9 0 -9 *1109:9 *1493:9 0 -10 *1109:12 *1110:12 0.0016325 -11 *1109:12 *1359:14 0.00356103 -12 *1109:15 *2820:la_oenb_mprj[32] 0 -13 *1109:15 *1495:9 0 -14 *1109:16 *1110:16 0.000195126 -15 *1109:16 *1111:14 0.0156941 -16 *2820:la_data_out_mprj[33] *1109:15 0.00155855 -17 *853:7 *2820:la_data_out_mprj[53] 0 -18 *854:8 *1109:16 7.39264e-05 -19 *856:8 *1109:16 0.0146664 -20 *1107:13 *1109:15 0.000925816 -21 *1108:10 *1109:12 0.000485636 -22 *1108:16 *1109:16 5.63648e-05 -*RES -1 *2826:la_output[53] *1109:9 31.0151 -2 *1109:9 *1109:12 42.3818 -3 *1109:12 *1109:15 48.6877 -4 *1109:15 *1109:16 171.541 -5 *1109:16 *2820:la_data_out_mprj[53] 23.4795 -*END - -*D_NET *1110 0.0448373 -*CONN -*I *2820:la_data_out_mprj[54] I *D mgmt_protect -*I *2826:la_output[54] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[54] 0.0005402 -2 *2826:la_output[54] 0.00101188 -3 *1110:16 0.00238715 -4 *1110:15 0.00184695 -5 *1110:13 0.001998 -6 *1110:12 0.00233625 -7 *1110:9 0.00135013 -8 *2820:la_data_out_mprj[54] *2820:la_iena_mprj[54] 0 -9 *1110:9 *1367:9 0 -10 *1110:9 *1494:9 0 -11 *1110:12 *1347:18 0 -12 *1110:12 *1359:14 0.000173166 -13 *1110:13 *2820:la_oenb_mprj[33] 0.000345998 -14 *1110:13 *1111:11 0 -15 *1110:13 *1368:9 0 -16 *1110:16 *1112:10 0.000690854 -17 *832:7 *1110:13 0.000320522 -18 *854:7 *2820:la_data_out_mprj[54] 0.000341064 -19 *856:8 *1110:16 0.000786331 -20 *857:8 *1110:16 0.0136005 -21 *1107:10 *1110:12 0.000209646 -22 *1108:10 *1110:12 0.00040048 -23 *1108:16 *1110:16 0.0146706 -24 *1109:12 *1110:12 0.0016325 -25 *1109:16 *1110:16 0.000195126 -*RES -1 *2826:la_output[54] *1110:9 30.5998 -2 *1110:9 *1110:12 26.2983 -3 *1110:12 *1110:13 45.8487 -4 *1110:13 *1110:15 4.5 -5 *1110:15 *1110:16 175.424 -6 *1110:16 *2820:la_data_out_mprj[54] 22.2337 -*END - -*D_NET *1111 0.0448571 -*CONN -*I *2820:la_data_out_mprj[55] I *D mgmt_protect -*I *2826:la_output[55] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[55] 0.00075518 -2 *2826:la_output[55] 0.000889147 -3 *1111:14 0.00264207 -4 *1111:13 0.00188689 -5 *1111:11 0.00184234 -6 *1111:9 0.00273149 -7 *2820:la_data_out_mprj[55] *2820:la_iena_mprj[55] 0 -8 *1111:9 *1495:9 0 -9 *1111:11 *2820:la_iena_mprj[33] 0.000302534 -10 *1111:11 *2820:la_oenb_mprj[33] 3.94834e-05 -11 *1111:11 *1495:9 0 -12 *1111:14 *1371:16 0 -13 *854:8 *1111:14 0.0163143 -14 *855:7 *2820:la_data_out_mprj[55] 0 -15 *855:8 *1111:14 0.000195516 -16 *856:8 *1111:14 0.000698398 -17 *1107:13 *1111:11 0.000865749 -18 *1109:16 *1111:14 0.0156941 -19 *1110:13 *1111:11 0 -*RES -1 *2826:la_output[55] *1111:9 23.2175 -2 *1111:9 *1111:11 46.6792 -3 *1111:11 *1111:13 4.5 -4 *1111:13 *1111:14 186.516 -5 *1111:14 *2820:la_data_out_mprj[55] 23.8947 -*END - -*D_NET *1112 0.0396458 -*CONN -*I *2820:la_data_out_mprj[56] I *D mgmt_protect -*I *2826:la_output[56] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[56] 0.000509772 -2 *2826:la_output[56] 7.21667e-05 -3 *1112:10 0.00246078 -4 *1112:9 0.00195101 -5 *1112:7 0.00292473 -6 *1112:5 0.0029969 -7 *2820:la_data_out_mprj[56] *2820:la_iena_mprj[56] 0 -8 *1112:7 *2820:la_iena_mprj[35] 0 -9 *1112:7 *1369:9 0 -10 *1112:7 *1496:9 0 -11 *1112:10 *1113:10 0.00171114 -12 *2820:la_data_out_mprj[36] *1112:7 0.000166578 -13 *834:7 *1112:7 0.000368435 -14 *856:7 *2820:la_data_out_mprj[56] 0.000327813 -15 *857:8 *1112:10 0.000394687 -16 *1107:16 *1112:10 0.0121521 -17 *1108:16 *1112:10 0.0129188 -18 *1110:16 *1112:10 0.000690854 -*RES -1 *2826:la_output[56] *1112:5 2.05183 -2 *1112:5 *1112:7 70.5562 -3 *1112:7 *1112:9 4.5 -4 *1112:9 *1112:10 173.76 -5 *1112:10 *2820:la_data_out_mprj[56] 21.4032 -*END - -*D_NET *1113 0.0287144 -*CONN -*I *2820:la_data_out_mprj[57] I *D mgmt_protect -*I *2826:la_output[57] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[57] 0.000611074 -2 *2826:la_output[57] 7.21667e-05 -3 *1113:10 0.00496294 -4 *1113:9 0.00435187 -5 *1113:7 0.00287202 -6 *1113:5 0.00294418 -7 *2820:la_data_out_mprj[57] *2820:la_iena_mprj[57] 0 -8 *1113:7 *2820:la_iena_mprj[38] 0.000641831 -9 *1113:7 *2820:la_oenb_mprj[38] 0 -10 *1113:7 *1370:9 0 -11 *1113:7 *1497:9 0 -12 *2820:la_data_out_mprj[38] *1113:7 0.000268562 -13 *836:7 *1113:7 0 -14 *857:7 *2820:la_data_out_mprj[57] 0 -15 *857:8 *1113:10 3.76478e-05 -16 *1107:16 *1113:10 0.010241 -17 *1112:10 *1113:10 0.00171114 -*RES -1 *2826:la_output[57] *1113:5 2.05183 -2 *1113:5 *1113:7 71.3867 -3 *1113:7 *1113:9 4.5 -4 *1113:9 *1113:10 162.113 -5 *1113:10 *2820:la_data_out_mprj[57] 20.5727 -*END - -*D_NET *1114 0.0367886 -*CONN -*I *2820:la_data_out_mprj[58] I *D mgmt_protect -*I *2826:la_output[58] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[58] 0.00074256 -2 *2826:la_output[58] 7.21667e-05 -3 *1114:10 0.00216379 -4 *1114:9 0.00142123 -5 *1114:7 0.00230938 -6 *1114:5 0.00238155 -7 *2820:la_data_out_mprj[58] *2820:la_iena_mprj[58] 0 -8 *1114:7 *1371:9 0 -9 *1114:7 *1479:21 0.000613374 -10 *1114:7 *1498:9 0 -11 *1114:10 *1115:10 0.0122199 -12 *1114:10 *1354:14 3.83172e-05 -13 *1114:10 *1365:16 7.88032e-05 -14 *1114:10 *1367:16 0.000235436 -15 *1114:10 *1480:16 0.000842351 -16 *840:5 *1114:7 0 -17 *858:7 *2820:la_data_out_mprj[58] 0.000483558 -18 *859:8 *1114:10 0.0131861 -*RES -1 *2826:la_output[58] *1114:5 2.05183 -2 *1114:5 *1114:7 62.6664 -3 *1114:7 *1114:9 4.5 -4 *1114:9 *1114:10 150.466 -5 *1114:10 *2820:la_data_out_mprj[58] 29.293 -*END - -*D_NET *1115 0.0352898 -*CONN -*I *2820:la_data_out_mprj[59] I *D mgmt_protect -*I *2826:la_output[59] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[59] 0.000948411 -2 *2826:la_output[59] 7.21667e-05 -3 *1115:10 0.00219581 -4 *1115:9 0.0012474 -5 *1115:7 0.00194929 -6 *1115:5 0.00202145 -7 *2820:la_data_out_mprj[59] *2820:la_iena_mprj[59] 0 -8 *2820:la_data_out_mprj[59] *2820:la_oenb_mprj[59] 0 -9 *1115:7 *2820:la_oenb_mprj[42] 0 -10 *1115:7 *1368:15 0.00187023 -11 *1115:7 *1373:9 0 -12 *1115:7 *1499:9 0 -13 *1115:10 *1367:16 0.00619636 -14 *1115:10 *1367:22 1.41689e-05 -15 *1115:10 *1370:16 2.39401e-05 -16 *1115:10 *1499:16 0 -17 *1115:10 *1501:16 3.63947e-05 -18 *2820:la_data_out_mprj[43] *1115:7 2.78486e-05 -19 *842:7 *1115:7 0.000358846 -20 *858:8 *1115:10 0.00582535 -21 *859:7 *2820:la_data_out_mprj[59] 0 -22 *859:8 *1115:10 0.000282235 -23 *1114:10 *1115:10 0.0122199 -*RES -1 *2826:la_output[59] *1115:5 2.05183 -2 *1115:5 *1115:7 62.2512 -3 *1115:7 *1115:9 4.5 -4 *1115:9 *1115:10 138.82 -5 *1115:10 *2820:la_data_out_mprj[59] 29.7083 -*END - -*D_NET *1116 0.17043 -*CONN -*I *2820:la_data_out_mprj[5] I *D mgmt_protect -*I *2826:la_output[5] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[5] 0.00110076 -2 *2826:la_output[5] 7.21667e-05 -3 *1116:10 0.00578672 -4 *1116:9 0.00468596 -5 *1116:7 0.0024847 -6 *1116:5 0.00255687 -7 *2820:la_data_out_mprj[5] *2820:la_iena_mprj[5] 0 -8 *1116:7 *1383:9 0 -9 *1116:7 *1500:7 0 -10 *1116:10 *1138:10 0.0695862 -11 *1116:10 *1328:10 0.00858812 -12 *1116:10 *1354:14 0.00114811 -13 *1116:10 *1478:10 0.0722609 -14 *1116:10 *1480:16 1.41689e-05 -15 *1116:10 *1500:10 0.000405497 -16 *860:7 *2820:la_data_out_mprj[5] 0 -17 *1094:10 *1116:10 0.00174019 -*RES -1 *2826:la_output[5] *1116:5 2.05183 -2 *1116:5 *1116:7 63.9122 -3 *1116:7 *1116:9 4.5 -4 *1116:9 *1116:10 769.959 -5 *1116:10 *2820:la_data_out_mprj[5] 28.0472 -*END - -*D_NET *1117 0.0268791 -*CONN -*I *2820:la_data_out_mprj[60] I *D mgmt_protect -*I *2826:la_output[60] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[60] 0.00151273 -2 *2826:la_output[60] 0.00137154 -3 *1117:12 0.00151273 -4 *1117:10 0.00180116 -5 *1117:9 0.0031727 -6 *2820:la_data_out_mprj[60] *2820:la_iena_mprj[60] 0 -7 *1117:9 *2820:la_oenb_mprj[45] 0 -8 *1117:9 *1374:9 0 -9 *1117:9 *1487:15 2.26084e-05 -10 *1117:9 *1490:15 2.95757e-05 -11 *1117:9 *1499:15 0 -12 *1117:9 *1501:9 0 -13 *1117:10 *1118:10 7.86643e-05 -14 *1117:10 *1119:10 0.000668608 -15 *1117:10 *1374:10 0 -16 *1117:10 *1375:10 0.000120974 -17 *1117:10 *1376:10 0.00779298 -18 *1117:10 *1485:10 0.000175621 -19 *1117:10 *1490:10 6.08467e-05 -20 *1117:10 *1496:10 3.14983e-05 -21 *1117:10 *1504:10 0.00678617 -22 *861:5 *2820:la_data_out_mprj[60] 0.00110377 -23 *863:8 *1117:10 0.000636915 -*RES -1 *2826:la_output[60] *1117:9 40.1506 -2 *1117:9 *1117:10 127.173 -3 *1117:10 *1117:12 4.5 -4 *1117:12 *2820:la_data_out_mprj[60] 53.8607 -*END - -*D_NET *1118 0.0292007 -*CONN -*I *2820:la_data_out_mprj[61] I *D mgmt_protect -*I *2826:la_output[61] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[61] 0.00205091 -2 *2826:la_output[61] 0.00125558 -3 *1118:12 0.00205091 -4 *1118:10 0.000832874 -5 *1118:9 0.00208845 -6 *2820:la_data_out_mprj[61] *2820:la_iena_mprj[61] 0 -7 *1118:9 *1375:9 0 -8 *1118:9 *1501:15 0.000134807 -9 *1118:10 *1374:10 0.000621826 -10 *1118:10 *1375:10 0.0105117 -11 *1118:10 *1503:10 0.00956641 -12 *862:5 *2820:la_data_out_mprj[61] 0 -13 *866:13 *2820:la_data_out_mprj[61] 8.62625e-06 -14 *1117:10 *1118:10 7.86643e-05 -*RES -1 *2826:la_output[61] *1118:9 38.4896 -2 *1118:9 *1118:10 115.526 -3 *1118:10 *1118:12 4.5 -4 *1118:12 *2820:la_data_out_mprj[61] 55.5217 -*END - -*D_NET *1119 0.0214971 -*CONN -*I *2820:la_data_out_mprj[62] I *D mgmt_protect -*I *2826:la_output[62] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[62] 0.00147358 -2 *2826:la_output[62] 0.0014272 -3 *1119:12 0.00147358 -4 *1119:10 0.00151947 -5 *1119:9 0.00294667 -6 *2820:la_data_out_mprj[62] *2820:la_iena_mprj[62] 0 -7 *1119:9 *1376:9 0 -8 *1119:9 *1503:9 0 -9 *1119:10 *1378:10 0.00244103 -10 *1119:10 *1504:10 0.00838516 -11 *1119:10 *1505:10 6.66931e-05 -12 *863:5 *2820:la_data_out_mprj[62] 0.00109515 -13 *1117:10 *1119:10 0.000668608 -*RES -1 *2826:la_output[62] *1119:9 40.9811 -2 *1119:9 *1119:10 103.88 -3 *1119:10 *1119:12 4.5 -4 *1119:12 *2820:la_data_out_mprj[62] 53.0301 -*END - -*D_NET *1120 0.0205459 -*CONN -*I *2820:la_data_out_mprj[63] I *D mgmt_protect -*I *2826:la_output[63] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[63] 0.00233104 -2 *2826:la_output[63] 0.00102064 -3 *1120:12 0.00233104 -4 *1120:10 0.00134257 -5 *1120:9 0.00236321 -6 *2820:la_data_out_mprj[63] *2820:la_iena_mprj[63] 0 -7 *2820:la_data_out_mprj[63] *1509:9 0 -8 *1120:9 *1377:9 0 -9 *1120:9 *1504:9 0 -10 *1120:10 *1121:10 0.00691327 -11 *1120:10 *1122:10 0.00108064 -12 *1120:10 *1377:10 0 -13 *1120:10 *1380:12 0.00249513 -14 *864:5 *2820:la_data_out_mprj[63] 0 -15 *864:8 *1120:10 0.000482144 -16 *865:8 *1120:10 0.000186188 -*RES -1 *2826:la_output[63] *1120:9 31.4303 -2 *1120:9 *1120:10 92.233 -3 *1120:10 *1120:12 4.5 -4 *1120:12 *2820:la_data_out_mprj[63] 62.5809 -*END - -*D_NET *1121 0.0215421 -*CONN -*I *2820:la_data_out_mprj[64] I *D mgmt_protect -*I *2826:la_output[64] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[64] 0.0017323 -2 *2826:la_output[64] 0.00101617 -3 *1121:12 0.0017323 -4 *1121:10 0.000641407 -5 *1121:9 0.00165757 -6 *2820:la_data_out_mprj[64] *2820:la_iena_mprj[64] 0 -7 *2820:la_data_out_mprj[64] *1125:9 0.000179286 -8 *1121:9 *1505:9 0 -9 *1121:10 *1380:12 0.000347135 -10 *864:8 *1121:10 0.00131458 -11 *865:5 *2820:la_data_out_mprj[64] 0.00125928 -12 *867:8 *1121:10 0.00474875 -13 *1120:10 *1121:10 0.00691327 -*RES -1 *2826:la_output[64] *1121:9 30.722 -2 *1121:9 *1121:10 81.1409 -3 *1121:10 *1121:12 4.5 -4 *1121:12 *2820:la_data_out_mprj[64] 62.1657 -*END - -*D_NET *1122 0.0180527 -*CONN -*I *2820:la_data_out_mprj[65] I *D mgmt_protect -*I *2826:la_output[65] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[65] 0.00235347 -2 *2826:la_output[65] 0.00100505 -3 *1122:12 0.00235347 -4 *1122:10 0.000703052 -5 *1122:9 0.0017081 -6 *2820:la_data_out_mprj[65] *2820:la_iena_mprj[65] 0 -7 *2820:la_data_out_mprj[65] *2820:la_oenb_mprj[65] 0 -8 *2820:la_data_out_mprj[65] *1382:9 0 -9 *1122:9 *1379:9 0 -10 *1122:9 *1506:9 0 -11 *1122:10 *1123:10 0.00458076 -12 *1122:10 *1380:12 0.00350494 -13 *1122:10 *1380:16 0.00026523 -14 *865:8 *1122:10 0.000498026 -15 *866:5 *2820:la_data_out_mprj[65] 0 -16 *1120:10 *1122:10 0.00108064 -*RES -1 *2826:la_output[65] *1122:9 30.5998 -2 *1122:9 *1122:10 68.9396 -3 *1122:10 *1122:12 4.5 -4 *1122:12 *2820:la_data_out_mprj[65] 63.4115 -*END - -*D_NET *1123 0.0167252 -*CONN -*I *2820:la_data_out_mprj[66] I *D mgmt_protect -*I *2826:la_output[66] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[66] 0.00182397 -2 *2826:la_output[66] 0.000990446 -3 *1123:12 0.00182397 -4 *1123:10 0.000497936 -5 *1123:9 0.00148838 -6 *2820:la_data_out_mprj[66] *2820:la_iena_mprj[66] 0 -7 *2820:la_data_out_mprj[66] *2820:la_oenb_mprj[66] 0 -8 *2820:la_data_out_mprj[66] *1126:9 0 -9 *1123:9 *1380:9 0 -10 *1123:9 *1507:9 0 -11 *1123:10 *1124:14 0.00264405 -12 *1123:10 *1380:16 5.00593e-05 -13 *1123:10 *1510:12 0.00011818 -14 *865:8 *1123:10 0.000846705 -15 *867:5 *2820:la_data_out_mprj[66] 0.00126877 -16 *870:10 *1123:10 0.000591935 -17 *1122:10 *1123:10 0.00458076 -*RES -1 *2826:la_output[66] *1123:9 30.1846 -2 *1123:9 *1123:10 56.7384 -3 *1123:10 *1123:12 4.5 -4 *1123:12 *2820:la_data_out_mprj[66] 63.8267 -*END - -*D_NET *1124 0.0152367 -*CONN -*I *2820:la_data_out_mprj[67] I *D mgmt_protect -*I *2826:la_output[67] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[67] 0.00237803 -2 *2826:la_output[67] 0.000985103 -3 *1124:16 0.00237803 -4 *1124:14 0.000399934 -5 *1124:9 0.00138504 -6 *2820:la_data_out_mprj[67] *2820:la_iena_mprj[67] 0 -7 *2820:la_data_out_mprj[67] *2820:la_oenb_mprj[66] 0 -8 *2820:la_data_out_mprj[67] *2820:la_oenb_mprj[67] 0 -9 *2820:la_data_out_mprj[67] *1126:9 0 -10 *2820:la_data_out_mprj[67] *1384:9 8.62625e-06 -11 *1124:9 *1381:9 0 -12 *1124:9 *1508:9 0 -13 *1124:14 *1510:12 0.000957243 -14 *865:8 *1124:14 0.0016943 -15 *868:5 *2820:la_data_out_mprj[67] 0 -16 *869:8 *1124:14 0.00240629 -17 *1123:10 *1124:14 0.00264405 -*RES -1 *2826:la_output[67] *1124:9 29.7693 -2 *1124:9 *1124:14 46.3938 -3 *1124:14 *1124:16 4.5 -4 *1124:16 *2820:la_data_out_mprj[67] 64.6572 -*END - -*D_NET *1125 0.01099 -*CONN -*I *2820:la_data_out_mprj[68] I *D mgmt_protect -*I *2826:la_output[68] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[68] 0.00162809 -2 *2826:la_output[68] 0.00110284 -3 *1125:12 0.00238999 -4 *1125:9 0.00186474 -5 *2820:la_data_out_mprj[68] *2820:la_iena_mprj[68] 0 -6 *1125:9 *2820:la_iena_mprj[64] 9.88022e-05 -7 *1125:9 *1382:9 0 -8 *1125:9 *1509:9 0 -9 *1125:12 *1379:10 0.00179184 -10 *1125:12 *1381:10 0.000346502 -11 *1125:12 *1382:12 0 -12 *1125:12 *1507:10 0.000354328 -13 *2820:la_data_out_mprj[64] *1125:9 0.000179286 -14 *865:5 *1125:9 0 -15 *869:5 *2820:la_data_out_mprj[68] 0.00123363 -*RES -1 *2826:la_output[68] *1125:9 34.1905 -2 *1125:9 *1125:12 38.4996 -3 *1125:12 *2820:la_data_out_mprj[68] 59.2589 -*END - -*D_NET *1126 0.0104951 -*CONN -*I *2820:la_data_out_mprj[69] I *D mgmt_protect -*I *2826:la_output[69] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[69] 0.00197521 -2 *2826:la_output[69] 0.00104255 -3 *1126:12 0.00219616 -4 *1126:9 0.0012635 -5 *2820:la_data_out_mprj[69] *2820:la_iena_mprj[69] 0 -6 *2820:la_data_out_mprj[69] *2820:la_oenb_mprj[69] 0 -7 *2820:la_data_out_mprj[69] *1128:7 0.000715554 -8 *1126:9 *1384:9 0 -9 *1126:9 *1510:9 0 -10 *1126:12 *1380:16 0.000695917 -11 *1126:12 *1382:12 0.000616878 -12 *1126:12 *1512:10 8.90486e-05 -13 *2820:la_data_out_mprj[66] *1126:9 0 -14 *2820:la_data_out_mprj[67] *1126:9 0 -15 *870:5 *2820:la_data_out_mprj[69] 0 -16 *870:10 *1126:12 0.00190029 -*RES -1 *2826:la_output[69] *1126:9 31.0151 -2 *1126:9 *1126:12 26.8529 -3 *1126:12 *2820:la_data_out_mprj[69] 62.9962 -*END - -*D_NET *1127 0.161311 -*CONN -*I *2820:la_data_out_mprj[6] I *D mgmt_protect -*I *2826:la_output[6] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[6] 0.0026763 -2 *2826:la_output[6] 0.0013325 -3 *1127:12 0.0026763 -4 *1127:10 0.00572302 -5 *1127:9 0.00705552 -6 *2820:la_data_out_mprj[6] *2820:la_iena_mprj[6] 0 -7 *2820:la_data_out_mprj[6] *1482:15 0 -8 *1127:9 *1394:7 0 -9 *1127:9 *1511:9 0 -10 *1127:10 *1149:10 0.00083916 -11 *1127:10 *1160:10 0.0604137 -12 *1127:10 *1160:14 0.000372738 -13 *1127:10 *1351:10 0.000741053 -14 *1127:10 *1361:18 0.0041832 -15 *1127:10 *1489:10 0.00361306 -16 *1127:10 *1511:10 0.000133887 -17 *2826:la_input[44] *2820:la_data_out_mprj[6] 0.00013702 -18 *849:14 *1127:10 0.000698218 -19 *871:7 *2820:la_data_out_mprj[6] 0.000529736 -20 *1105:10 *1127:10 0.0701859 -*RES -1 *2826:la_output[6] *1127:9 38.0744 -2 *1127:9 *1127:10 758.312 -3 *1127:10 *1127:12 4.5 -4 *1127:12 *2820:la_data_out_mprj[6] 55.9369 -*END - -*D_NET *1128 0.00887958 -*CONN -*I *2820:la_data_out_mprj[70] I *D mgmt_protect -*I *2826:la_output[70] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[70] 0.00125019 -2 *2826:la_output[70] 7.21667e-05 -3 *1128:7 0.00264339 -4 *1128:5 0.00146536 -5 *2820:la_data_out_mprj[70] *2820:la_iena_mprj[70] 0 -6 *1128:7 *1385:10 0 -7 *2820:la_data_out_mprj[69] *1128:7 0.000715554 -8 *870:5 *1128:7 0.00213273 -9 *872:5 *2820:la_data_out_mprj[70] 0.000600183 -*RES -1 *2826:la_output[70] *1128:5 2.05183 -2 *1128:5 *1128:7 58.0987 -3 *1128:7 *2820:la_data_out_mprj[70] 48.5124 -*END - -*D_NET *1129 0.00642405 -*CONN -*I *2820:la_data_out_mprj[71] I *D mgmt_protect -*I *2826:la_output[71] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[71] 0.00319914 -2 *2826:la_output[71] 1.28869e-05 -3 *1129:5 0.00321202 -4 *2820:la_data_out_mprj[71] *2820:la_iena_mprj[71] 0 -5 *2820:la_data_out_mprj[71] *1513:7 0 -6 *873:5 *2820:la_data_out_mprj[71] 0 -*RES -1 *2826:la_output[71] *1129:5 0.366399 -2 *1129:5 *2820:la_data_out_mprj[71] 87.2885 -*END - -*D_NET *1130 0.00822594 -*CONN -*I *2820:la_data_out_mprj[72] I *D mgmt_protect -*I *2826:la_output[72] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[72] 0.000981714 -2 *2826:la_output[72] 7.21667e-05 -3 *1130:7 0.00318864 -4 *1130:5 0.0022791 -5 *2820:la_data_out_mprj[72] *2820:la_iena_mprj[72] 0 -6 *1130:7 *2820:la_iena_mprj[73] 0 -7 *1130:7 *2820:la_oenb_mprj[72] 0 -8 *1130:7 *1387:7 0 -9 *874:9 *2820:la_data_out_mprj[72] 0.00165476 -10 *875:10 *2820:la_data_out_mprj[72] 4.95605e-05 -*RES -1 *2826:la_output[72] *1130:5 2.05183 -2 *1130:5 *1130:7 60.5902 -3 *1130:7 *2820:la_data_out_mprj[72] 45.4663 -*END - -*D_NET *1131 0.00967438 -*CONN -*I *2820:la_data_out_mprj[73] I *D mgmt_protect -*I *2826:la_output[73] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[73] 0.00125461 -2 *2826:la_output[73] 7.21667e-05 -3 *1131:7 0.00352667 -4 *1131:5 0.00234423 -5 *2820:la_data_out_mprj[73] *2820:la_iena_mprj[73] 0 -6 *2820:la_data_out_mprj[73] *2820:la_iena_mprj[74] 0.000194701 -7 *2820:la_data_out_mprj[73] *2820:la_oenb_mprj[73] 0.00110143 -8 *1131:7 *2820:la_iena_mprj[75] 0.000313321 -9 *1131:7 *2820:la_oenb_mprj[75] 0.000867253 -10 *1131:7 *1388:7 0 -11 *1131:7 *1515:7 0 -12 *875:10 *2820:la_data_out_mprj[73] 0 -*RES -1 *2826:la_output[73] *1131:5 2.05183 -2 *1131:5 *1131:7 70.5562 -3 *1131:7 *2820:la_data_out_mprj[73] 47.1469 -*END - -*D_NET *1132 0.012237 -*CONN -*I *2820:la_data_out_mprj[74] I *D mgmt_protect -*I *2826:la_output[74] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[74] 0.000923084 -2 *2826:la_output[74] 5.24068e-05 -3 *1132:12 0.00149661 -4 *1132:7 0.00266949 -5 *1132:5 0.00214836 -6 *2820:la_data_out_mprj[74] *2820:la_iena_mprj[73] 0 -7 *2820:la_data_out_mprj[74] *2820:la_iena_mprj[74] 0 -8 *2820:la_data_out_mprj[74] *2820:la_oenb_mprj[74] 0 -9 *1132:7 *1389:7 0 -10 *1132:7 *1516:7 0 -11 *1132:12 *1389:12 1.08524e-05 -12 *1132:12 *1517:12 0.00189384 -13 *875:11 *2820:la_data_out_mprj[74] 0 -14 *876:7 *2820:la_data_out_mprj[74] 0.000532814 -15 *876:10 *1132:12 0 -16 *877:10 *1132:12 0.00250954 -*RES -1 *2826:la_output[74] *1132:5 1.49002 -2 *1132:5 *1132:7 56.8529 -3 *1132:7 *1132:12 42.445 -4 *1132:12 *2820:la_data_out_mprj[74] 30.6065 -*END - -*D_NET *1133 0.0148342 -*CONN -*I *2820:la_data_out_mprj[75] I *D mgmt_protect -*I *2826:la_output[75] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[75] 0.000989003 -2 *2826:la_output[75] 7.21667e-05 -3 *1133:10 0.00149664 -4 *1133:7 0.00262838 -5 *1133:5 0.0021929 -6 *2820:la_data_out_mprj[75] *2820:la_iena_mprj[75] 0 -7 *2820:la_data_out_mprj[75] *1515:7 0.000393199 -8 *1133:7 *1390:7 0 -9 *1133:7 *1517:7 0 -10 *1133:10 *1390:12 5.44727e-05 -11 *1133:10 *1517:12 0.000163362 -12 *876:10 *1133:10 0 -13 *877:7 *2820:la_data_out_mprj[75] 0 -14 *877:10 *1133:10 0.00325304 -15 *878:8 *1133:10 0.00359103 -*RES -1 *2826:la_output[75] *1133:5 2.05183 -2 *1133:5 *1133:7 57.6834 -3 *1133:7 *1133:10 49.0371 -4 *1133:10 *2820:la_data_out_mprj[75] 34.276 -*END - -*D_NET *1134 0.0121647 -*CONN -*I *2820:la_data_out_mprj[76] I *D mgmt_protect -*I *2826:la_output[76] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[76] 0.0005654 -2 *2826:la_output[76] 7.21667e-05 -3 *1134:10 0.00197697 -4 *1134:9 0.00141157 -5 *1134:7 0.0024134 -6 *1134:5 0.00248556 -7 *2820:la_data_out_mprj[76] *2820:la_iena_mprj[76] 0 -8 *2820:la_data_out_mprj[76] *1388:7 0.00042028 -9 *1134:7 *2820:la_data_out_mprj[83] 0 -10 *1134:7 *2820:la_iena_mprj[82] 0 -11 *1134:7 *1391:7 0 -12 *1134:7 *1518:7 0 -13 *1134:10 *1136:10 0 -14 *1134:10 *1137:10 0 -15 *1134:10 *1140:10 0.000319038 -16 *1134:10 *1391:10 7.86643e-05 -17 *1134:10 *1516:12 0 -18 *1134:10 *1518:10 0.0019656 -19 *878:7 *2820:la_data_out_mprj[76] 0.000456016 -20 *884:8 *1134:10 0 -*RES -1 *2826:la_output[76] *1134:5 2.05183 -2 *1134:5 *1134:7 64.7427 -3 *1134:7 *1134:9 4.5 -4 *1134:9 *1134:10 56.1838 -5 *1134:10 *2820:la_data_out_mprj[76] 27.2167 -*END - -*D_NET *1135 0.0161763 -*CONN -*I *2820:la_data_out_mprj[77] I *D mgmt_protect -*I *2826:la_output[77] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[77] 0.00102072 -2 *2826:la_output[77] 7.21667e-05 -3 *1135:10 0.00249577 -4 *1135:9 0.00147505 -5 *1135:7 0.00173592 -6 *1135:5 0.00180809 -7 *2820:la_data_out_mprj[77] *2820:la_iena_mprj[77] 0 -8 *2820:la_data_out_mprj[77] *2820:la_oenb_mprj[77] 0 -9 *2820:la_data_out_mprj[77] *1516:7 2.82771e-05 -10 *1135:7 *2820:la_data_out_mprj[85] 0.0010561 -11 *1135:7 *2820:la_iena_mprj[85] 0 -12 *1135:7 *2820:la_oenb_mprj[84] 0 -13 *1135:7 *1519:7 0 -14 *1135:10 *1519:10 0.00553668 -15 *876:11 *2820:la_data_out_mprj[77] 0 -16 *878:8 *1135:10 0.000238216 -17 *879:7 *2820:la_data_out_mprj[77] 0 -18 *879:8 *1135:10 0.000180727 -19 *883:8 *1135:10 0.000108598 -20 *888:7 *1135:7 0.000420032 -*RES -1 *2826:la_output[77] *1135:5 2.05183 -2 *1135:5 *1135:7 60.1749 -3 *1135:7 *1135:9 4.5 -4 *1135:9 *1135:10 67.8304 -5 *1135:10 *2820:la_data_out_mprj[77] 31.7845 -*END - -*D_NET *1136 0.0220486 -*CONN -*I *2820:la_data_out_mprj[78] I *D mgmt_protect -*I *2826:la_output[78] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[78] 0.000584985 -2 *2826:la_output[78] 1.28869e-05 -3 *1136:10 0.00164293 -4 *1136:9 0.00105794 -5 *1136:7 0.0023586 -6 *1136:5 0.00237149 -7 *2820:la_data_out_mprj[78] *2820:la_iena_mprj[78] 0 -8 *2820:la_data_out_mprj[78] *2820:la_oenb_mprj[77] 0 -9 *2820:la_data_out_mprj[78] *2820:la_oenb_mprj[78] 0 -10 *1136:7 *2820:la_iena_mprj[87] 0 -11 *1136:7 *1393:7 0 -12 *1136:7 *1530:13 0.000804506 -13 *1136:10 *1137:10 0.00681168 -14 *1136:10 *1392:10 0 -15 *1136:10 *1393:10 0.000436184 -16 *1136:10 *1520:10 3.9094e-05 -17 *1136:10 *1523:10 0.00561374 -18 *880:7 *2820:la_data_out_mprj[78] 0.000314562 -19 *880:8 *1136:10 0 -20 *1134:10 *1136:10 0 -*RES -1 *2826:la_output[78] *1136:5 0.366399 -2 *1136:5 *1136:7 68.48 -3 *1136:7 *1136:9 4.5 -4 *1136:9 *1136:10 82.2501 -5 *1136:10 *2820:la_data_out_mprj[78] 23.4795 -*END - -*D_NET *1137 0.0240164 -*CONN -*I *2820:la_data_out_mprj[79] I *D mgmt_protect -*I *2826:la_output[79] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[79] 0.000752809 -2 *2826:la_output[79] 7.21667e-05 -3 *1137:10 0.00191206 -4 *1137:9 0.00115925 -5 *1137:7 0.00265956 -6 *1137:5 0.00273172 -7 *2820:la_data_out_mprj[79] *2820:la_iena_mprj[79] 0 -8 *2820:la_data_out_mprj[79] *2820:la_oenb_mprj[79] 0 -9 *1137:7 *2820:la_data_out_mprj[90] 0 -10 *1137:7 *2820:la_iena_mprj[89] 0 -11 *1137:7 *2820:la_oenb_mprj[89] 0.000134323 -12 *1137:7 *1395:7 0 -13 *1137:7 *1521:7 0 -14 *1137:10 *1523:10 0.000689689 -15 *881:7 *2820:la_data_out_mprj[79] 0 -16 *884:8 *1137:10 0.00703205 -17 *894:10 *1137:7 6.10832e-05 -18 *1134:10 *1137:10 0 -19 *1136:10 *1137:10 0.00681168 -*RES -1 *2826:la_output[79] *1137:5 2.05183 -2 *1137:5 *1137:7 68.0647 -3 *1137:7 *1137:9 4.5 -4 *1137:9 *1137:10 91.1238 -5 *1137:10 *2820:la_data_out_mprj[79] 23.8947 -*END - -*D_NET *1138 0.167823 -*CONN -*I *2820:la_data_out_mprj[7] I *D mgmt_protect -*I *2826:la_output[7] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[7] 0.00124253 -2 *2826:la_output[7] 7.21667e-05 -3 *1138:10 0.00560328 -4 *1138:9 0.00436075 -5 *1138:7 0.00255306 -6 *1138:5 0.00262523 -7 *2820:la_data_out_mprj[7] *2820:la_iena_mprj[7] 0 -8 *2820:la_data_out_mprj[7] *2820:la_oenb_mprj[7] 0 -9 *2820:la_data_out_mprj[7] *1354:14 0.00157072 -10 *2820:la_data_out_mprj[7] *1482:16 0.00109079 -11 *1138:7 *1405:9 0 -12 *1138:7 *1522:7 0 -13 *1138:10 *1350:10 0.00934148 -14 *1138:10 *1354:14 1.67988e-05 -15 *1138:10 *1522:10 6.24655e-05 -16 *799:8 *2820:la_data_out_mprj[7] 0.000207355 -17 *799:8 *1138:10 0.00150051 -18 *882:7 *2820:la_data_out_mprj[7] 0 -19 *1094:10 *1138:10 0.0679892 -20 *1116:10 *1138:10 0.0695862 -*RES -1 *2826:la_output[7] *1138:5 2.05183 -2 *1138:5 *1138:7 64.3275 -3 *1138:7 *1138:9 4.5 -4 *1138:9 *1138:10 730.027 -5 *1138:10 *2820:la_data_out_mprj[7] 45.4329 -*END - -*D_NET *1139 0.0268081 -*CONN -*I *2820:la_data_out_mprj[80] I *D mgmt_protect -*I *2826:la_output[80] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[80] 0.00054521 -2 *2826:la_output[80] 7.21667e-05 -3 *1139:10 0.00164473 -4 *1139:9 0.00109952 -5 *1139:7 0.00247349 -6 *1139:5 0.00254566 -7 *2820:la_data_out_mprj[80] *2820:la_iena_mprj[80] 0 -8 *1139:7 *2820:la_oenb_mprj[91] 0 -9 *1139:7 *1152:13 0.00066142 -10 *1139:7 *1396:7 0 -11 *1139:7 *1523:7 0 -12 *1139:10 *1393:10 0.00627833 -13 *1139:10 *1396:10 0.00853772 -14 *1139:10 *1520:10 0.000447873 -15 *1139:10 *1523:10 0.000993703 -16 *883:7 *2820:la_data_out_mprj[80] 0.000339679 -17 *895:8 *1139:10 0.000991017 -18 *896:7 *1139:7 0.000177635 -*RES -1 *2826:la_output[80] *1139:5 2.05183 -2 *1139:5 *1139:7 69.7257 -3 *1139:7 *1139:9 4.5 -4 *1139:9 *1139:10 103.325 -5 *1139:10 *2820:la_data_out_mprj[80] 22.2337 -*END - -*D_NET *1140 0.0276784 -*CONN -*I *2820:la_data_out_mprj[81] I *D mgmt_protect -*I *2826:la_output[81] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[81] 0.000862381 -2 *2826:la_output[81] 7.21667e-05 -3 *1140:10 0.00234776 -4 *1140:9 0.00148538 -5 *1140:7 0.00225132 -6 *1140:5 0.00232349 -7 *2820:la_data_out_mprj[81] *2820:la_iena_mprj[81] 0 -8 *2820:la_data_out_mprj[81] *2820:la_oenb_mprj[81] 0 -9 *1140:7 *2820:la_data_out_mprj[94] 0 -10 *1140:7 *2820:la_iena_mprj[94] 0.00186592 -11 *1140:7 *2820:la_oenb_mprj[94] 7.03385e-05 -12 *1140:7 *1397:7 0 -13 *1140:7 *1524:7 0 -14 *1140:10 *1141:10 0.000187123 -15 *1140:10 *1391:10 0 -16 *1140:10 *1524:10 0.00970758 -17 *1140:10 *1525:10 0.00231328 -18 *1140:10 *1532:16 0.00379586 -19 *884:7 *2820:la_data_out_mprj[81] 0 -20 *884:8 *1140:10 7.67829e-05 -21 *899:5 *1140:7 0 -22 *1134:10 *1140:10 0.000319038 -*RES -1 *2826:la_output[81] *1140:5 2.05183 -2 *1140:5 *1140:7 65.9885 -3 *1140:7 *1140:9 4.5 -4 *1140:9 *1140:10 114.417 -5 *1140:10 *2820:la_data_out_mprj[81] 25.971 -*END - -*D_NET *1141 0.0318642 -*CONN -*I *2820:la_data_out_mprj[82] I *D mgmt_protect -*I *2826:la_output[82] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[82] 0.000693116 -2 *2826:la_output[82] 7.21667e-05 -3 *1141:10 0.00219153 -4 *1141:9 0.00149841 -5 *1141:7 0.00270167 -6 *1141:5 0.00277384 -7 *2820:la_data_out_mprj[82] *2820:la_iena_mprj[82] 0 -8 *2820:la_data_out_mprj[82] *2820:la_oenb_mprj[81] 0 -9 *1141:7 *2820:la_data_out_mprj[97] 0 -10 *1141:7 *2820:la_iena_mprj[96] 0 -11 *1141:7 *2820:la_oenb_mprj[96] 0.00015149 -12 *1141:7 *1398:7 0 -13 *1141:7 *1525:7 0 -14 *1141:10 *1524:10 0.00933583 -15 *1141:10 *1532:16 0.000287586 -16 *1141:10 *1539:16 5.42157e-05 -17 *885:7 *2820:la_data_out_mprj[82] 0.000357678 -18 *885:8 *1141:10 0.0107735 -19 *900:8 *1141:10 0.000731924 -20 *901:7 *1141:7 5.41227e-05 -21 *1140:10 *1141:10 0.000187123 -*RES -1 *2826:la_output[82] *1141:5 2.05183 -2 *1141:5 *1141:7 66.819 -3 *1141:7 *1141:9 4.5 -4 *1141:9 *1141:10 126.618 -5 *1141:10 *2820:la_data_out_mprj[82] 25.1405 -*END - -*D_NET *1142 0.0280317 -*CONN -*I *2820:la_data_out_mprj[83] I *D mgmt_protect -*I *2826:la_output[83] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[83] 0.00191191 -2 *2826:la_output[83] 0.00127136 -3 *1142:12 0.00191191 -4 *1142:10 0.00294973 -5 *1142:9 0.00422108 -6 *2820:la_data_out_mprj[83] *2820:la_iena_mprj[83] 0 -7 *2820:la_data_out_mprj[83] *2820:la_oenb_mprj[83] 0 -8 *2820:la_data_out_mprj[83] *1391:7 0.000974406 -9 *1142:9 *2820:la_iena_mprj[99] 2.1203e-06 -10 *1142:9 *1399:7 0 -11 *1142:10 *1152:10 0 -12 *1142:10 *1153:10 0 -13 *1142:10 *1155:10 0.00035468 -14 *1142:10 *1156:10 0.00258199 -15 *1142:10 *1527:10 0.0118182 -16 *886:7 *2820:la_data_out_mprj[83] 0 -17 *899:8 *1142:10 3.42853e-05 -18 *1134:7 *2820:la_data_out_mprj[83] 0 -*RES -1 *2826:la_output[83] *1142:9 35.2897 -2 *1142:9 *1142:10 138.82 -3 *1142:10 *1142:12 4.5 -4 *1142:12 *2820:la_data_out_mprj[83] 57.5979 -*END - -*D_NET *1143 0.0356282 -*CONN -*I *2820:la_data_out_mprj[84] I *D mgmt_protect -*I *2826:la_output[84] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[84] 0.00230873 -2 *2826:la_output[84] 0.000986066 -3 *1143:12 0.00230873 -4 *1143:10 0.00145789 -5 *1143:9 0.00244395 -6 *2820:la_data_out_mprj[84] *2820:la_iena_mprj[84] 0 -7 *2820:la_data_out_mprj[84] *2820:la_oenb_mprj[83] 0 -8 *2820:la_data_out_mprj[84] *2820:la_oenb_mprj[84] 0 -9 *2820:la_data_out_mprj[84] *1519:7 0 -10 *1143:9 *1400:9 0 -11 *1143:9 *1527:9 0 -12 *1143:10 *1144:10 0.000199561 -13 *1143:10 *1145:10 8.90486e-05 -14 *1143:10 *1400:10 0.0131106 -15 *1143:10 *1401:10 0.0123311 -16 *879:11 *2820:la_data_out_mprj[84] 0 -17 *887:7 *2820:la_data_out_mprj[84] 0.000392487 -*RES -1 *2826:la_output[84] *1143:9 30.1846 -2 *1143:9 *1143:10 149.912 -3 *1143:10 *1143:12 4.5 -4 *1143:12 *2820:la_data_out_mprj[84] 63.8267 -*END - -*D_NET *1144 0.0389769 -*CONN -*I *2820:la_data_out_mprj[85] I *D mgmt_protect -*I *2826:la_output[85] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[85] 0.00208489 -2 *2826:la_output[85] 0.00104816 -3 *1144:12 0.00208489 -4 *1144:10 0.00136797 -5 *1144:9 0.00241612 -6 *2820:la_data_out_mprj[85] *2820:la_iena_mprj[85] 0 -7 *1144:9 *1401:9 0 -8 *1144:9 *1528:9 0 -9 *1144:9 *1537:13 8.62625e-06 -10 *1144:10 *1145:10 0.0144656 -11 *1144:10 *1528:10 0.000187171 -12 *1144:10 *1529:10 0.0140579 -13 *888:7 *2820:la_data_out_mprj[85] 0 -14 *1135:7 *2820:la_data_out_mprj[85] 0.0010561 -15 *1143:10 *1144:10 0.000199561 -*RES -1 *2826:la_output[85] *1144:9 31.4303 -2 *1144:9 *1144:10 161.558 -3 *1144:10 *1144:12 4.5 -4 *1144:12 *2820:la_data_out_mprj[85] 62.5809 -*END - -*D_NET *1145 0.0437105 -*CONN -*I *2820:la_data_out_mprj[86] I *D mgmt_protect -*I *2826:la_output[86] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[86] 0.00151974 -2 *2826:la_output[86] 0.00103474 -3 *1145:12 0.00151974 -4 *1145:10 0.00126858 -5 *1145:9 0.00230332 -6 *2820:la_data_out_mprj[86] *2820:la_iena_mprj[86] 0 -7 *2820:la_data_out_mprj[86] *1392:7 0 -8 *1145:9 *1529:9 0 -9 *1145:10 *1401:10 0.0147376 -10 *1145:10 *1529:10 1.41853e-05 -11 *1145:10 *1532:10 1.41853e-05 -12 *1145:10 *1536:10 0.00136921 -13 *1145:10 *1537:10 0.00184493 -14 *784:5 *1145:9 0 -15 *785:5 *1145:9 0 -16 *880:11 *2820:la_data_out_mprj[86] 0.00279906 -17 *889:7 *2820:la_data_out_mprj[86] 0.000730507 -18 *1143:10 *1145:10 8.90486e-05 -19 *1144:10 *1145:10 0.0144656 -*RES -1 *2826:la_output[86] *1145:9 31.0151 -2 *1145:9 *1145:10 173.205 -3 *1145:10 *1145:12 4.5 -4 *1145:12 *2820:la_data_out_mprj[86] 62.9962 -*END - -*D_NET *1146 0.0418263 -*CONN -*I *2820:la_data_out_mprj[87] I *D mgmt_protect -*I *2826:la_output[87] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[87] 0.00203438 -2 *2826:la_output[87] 0.00170541 -3 *1146:12 0.00203438 -4 *1146:10 0.00251332 -5 *1146:9 0.00421873 -6 *2820:la_data_out_mprj[87] *2820:la_iena_mprj[87] 0 -7 *2820:la_data_out_mprj[87] *1520:7 0 -8 *1146:9 *1403:9 0 -9 *1146:9 *1530:9 0 -10 *1146:9 *1538:15 0 -11 *1146:9 *1542:15 8.62625e-06 -12 *1146:10 *1152:10 0 -13 *1146:10 *1403:10 0.0162945 -14 *1146:10 *1541:12 0.000347544 -15 *787:5 *1146:9 0 -16 *890:7 *2820:la_data_out_mprj[87] 0 -17 *897:8 *1146:10 0.0126694 -*RES -1 *2826:la_output[87] *1146:9 42.2269 -2 *1146:9 *1146:10 184.852 -3 *1146:10 *1146:12 4.5 -4 *1146:12 *2820:la_data_out_mprj[87] 51.7844 -*END - -*D_NET *1147 0.0466389 -*CONN -*I *2820:la_data_out_mprj[88] I *D mgmt_protect -*I *2826:la_output[88] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[88] 0.00181402 -2 *2826:la_output[88] 0.000973932 -3 *1147:12 0.00181402 -4 *1147:10 0.00163518 -5 *1147:9 0.00260911 -6 *2820:la_data_out_mprj[88] *2820:la_iena_mprj[88] 0 -7 *2820:la_data_out_mprj[88] *1393:7 0.00117354 -8 *1147:9 *2820:la_iena_mprj[111] 0 -9 *1147:9 *1404:9 0 -10 *1147:9 *1531:9 0 -11 *1147:10 *1148:10 1.41291e-05 -12 *1147:10 *1402:10 0.0153791 -13 *1147:10 *1404:10 0.000347135 -14 *1147:10 *1406:10 0.0167148 -15 *1147:10 *1420:10 0.000102215 -16 *1147:10 *1527:10 0 -17 *1147:10 *1530:10 7.68538e-06 -18 *1147:10 *1539:10 0.000229024 -19 *1147:10 *1540:10 0.00261156 -20 *790:5 *1147:9 0.000865254 -21 *881:11 *2820:la_data_out_mprj[88] 0 -22 *891:7 *2820:la_data_out_mprj[88] 0.000348187 -*RES -1 *2826:la_output[88] *1147:9 33.5066 -2 *1147:9 *1147:10 196.498 -3 *1147:10 *1147:12 4.5 -4 *1147:12 *2820:la_data_out_mprj[88] 60.5047 -*END - -*D_NET *1148 0.0502802 -*CONN -*I *2820:la_data_out_mprj[89] I *D mgmt_protect -*I *2826:la_output[89] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[89] 6.22868e-05 -2 *2826:la_output[89] 0.00124123 -3 *1148:13 0.00130051 -4 *1148:12 0.00123822 -5 *1148:10 0.00161239 -6 *1148:9 0.00285362 -7 *1148:9 *1406:9 0 -8 *1148:9 *1532:9 0 -9 *1148:10 *1150:10 0.0188535 -10 *1148:10 *1404:10 0.0179594 -11 *1148:10 *1406:10 0.000645974 -12 *1148:10 *1420:10 0.00012426 -13 *1148:10 *1527:10 0.000153129 -14 *1148:13 *2820:la_iena_mprj[89] 0.00354022 -15 *1148:13 *1521:7 0.000681334 -16 *792:5 *1148:9 0 -17 *892:7 *1148:13 0 -18 *1147:10 *1148:10 1.41291e-05 -*RES -1 *2826:la_output[89] *1148:9 34.7523 -2 *1148:9 *1148:10 206.481 -3 *1148:10 *1148:12 4.5 -4 *1148:12 *1148:13 59.3444 -5 *1148:13 *2820:la_data_out_mprj[89] 1.77093 -*END - -*D_NET *1149 0.130276 -*CONN -*I *2820:la_data_out_mprj[8] I *D mgmt_protect -*I *2826:la_output[8] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[8] 0.00184007 -2 *2826:la_output[8] 0.00137881 -3 *1149:22 0.00184007 -4 *1149:20 0.000600599 -5 *1149:19 0.000911903 -6 *1149:14 0.00153045 -7 *1149:12 0.00124218 -8 *1149:10 0.00490789 -9 *1149:9 0.00626367 -10 *2820:la_data_out_mprj[8] *2820:la_iena_mprj[8] 0.000378862 -11 *2820:la_data_out_mprj[8] *1356:7 0.00126877 -12 *1149:9 *1416:7 0 -13 *1149:9 *1533:9 0 -14 *1149:10 *1160:10 0.0473261 -15 *1149:10 *1327:10 0.0132239 -16 *1149:10 *1511:10 0.00236768 -17 *1149:14 *1160:10 0.00584845 -18 *1149:14 *1327:10 0.0130465 -19 *1149:14 *1383:10 0.00122194 -20 *1149:20 *1340:10 0.00731386 -21 *1149:20 *1449:10 0.000441668 -22 *1149:20 *1465:10 0.00731808 -23 *849:14 *1149:10 0.0085842 -24 *882:14 *1149:10 7.5555e-05 -25 *893:7 *2820:la_data_out_mprj[8] 0.000506128 -26 *1099:7 *2820:la_data_out_mprj[8] 0 -27 *1127:10 *1149:10 0.00083916 -*RES -1 *2826:la_output[8] *1149:9 38.9049 -2 *1149:9 *1149:10 519.555 -3 *1149:10 *1149:12 0.578717 -4 *1149:12 *1149:14 137.433 -5 *1149:14 *1149:19 13.7388 -6 *1149:19 *1149:20 77.8133 -7 *1149:20 *1149:22 4.5 -8 *1149:22 *2820:la_data_out_mprj[8] 50.1234 -*END - -*D_NET *1150 0.050828 -*CONN -*I *2820:la_data_out_mprj[90] I *D mgmt_protect -*I *2826:la_output[90] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[90] 0.00184954 -2 *2826:la_output[90] 0.00126369 -3 *1150:12 0.00184954 -4 *1150:10 0.00187002 -5 *1150:9 0.00313372 -6 *2820:la_data_out_mprj[90] *2820:la_iena_mprj[90] 0 -7 *2820:la_data_out_mprj[90] *1395:7 0.000664854 -8 *1150:9 *1407:9 0 -9 *1150:9 *1534:9 0 -10 *1150:10 *1406:10 0.000120974 -11 *1150:10 *1419:10 0.00020979 -12 *1150:10 *1420:10 0.000463392 -13 *1150:10 *1527:10 0.000173166 -14 *1150:10 *1534:10 0.0198561 -15 *1150:10 *1540:10 8.68552e-05 -16 *795:5 *1150:9 0 -17 *894:10 *2820:la_data_out_mprj[90] 0.000432817 -18 *1137:7 *2820:la_data_out_mprj[90] 0 -19 *1148:10 *1150:10 0.0188535 -*RES -1 *2826:la_output[90] *1150:9 35.1676 -2 *1150:9 *1150:10 219.792 -3 *1150:10 *1150:12 4.5 -4 *1150:12 *2820:la_data_out_mprj[90] 58.8437 -*END - -*D_NET *1151 0.0534941 -*CONN -*I *2820:la_data_out_mprj[91] I *D mgmt_protect -*I *2826:la_output[91] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[91] 0.00198712 -2 *2826:la_output[91] 0.00164615 -3 *1151:12 0.00198712 -4 *1151:10 0.00203479 -5 *1151:9 0.00368095 -6 *2820:la_data_out_mprj[91] *2820:la_iena_mprj[91] 0 -7 *2820:la_data_out_mprj[91] *2820:la_oenb_mprj[90] 0 -8 *2820:la_data_out_mprj[91] *1523:7 0 -9 *1151:9 *1535:9 0 -10 *1151:10 *1403:10 0.000436184 -11 *1151:10 *1407:10 0.020086 -12 *1151:10 *1408:10 0.0207127 -13 *1151:10 *1535:10 0.000412036 -14 *1151:10 *1541:12 0.000107179 -15 *797:5 *1151:9 0.000403904 -16 *883:11 *2820:la_data_out_mprj[91] 0 -17 *895:7 *2820:la_data_out_mprj[91] 0 -18 *902:8 *1151:10 0 -*RES -1 *2826:la_output[91] *1151:9 43.4726 -2 *1151:9 *1151:10 231.438 -3 *1151:10 *1151:12 4.5 -4 *1151:12 *2820:la_data_out_mprj[91] 50.5386 -*END - -*D_NET *1152 0.0577815 -*CONN -*I *2820:la_data_out_mprj[92] I *D mgmt_protect -*I *2826:la_output[92] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[92] 6.22868e-05 -2 *2826:la_output[92] 0.00154829 -3 *1152:13 0.00124568 -4 *1152:12 0.00118339 -5 *1152:10 0.0021685 -6 *1152:9 0.00371679 -7 *1152:9 *1409:9 0 -8 *1152:10 *1153:10 0.000342221 -9 *1152:10 *1154:10 0.0213887 -10 *1152:10 *1290:12 0.000680605 -11 *1152:10 *1409:10 0.000178097 -12 *1152:13 *2820:la_iena_mprj[92] 0.00303307 -13 *1152:13 *1396:7 0 -14 *800:5 *1152:9 0 -15 *801:5 *1152:9 0 -16 *896:7 *1152:13 0 -17 *897:8 *1152:10 2.68003e-05 -18 *898:8 *1152:10 0.0215457 -19 *1139:7 *1152:13 0.00066142 -20 *1142:10 *1152:10 0 -21 *1146:10 *1152:10 0 -*RES -1 *2826:la_output[92] *1152:9 38.6117 -2 *1152:9 *1152:10 243.085 -3 *1152:10 *1152:12 4.5 -4 *1152:12 *1152:13 54.3614 -5 *1152:13 *2820:la_data_out_mprj[92] 1.77093 -*END - -*D_NET *1153 0.0576702 -*CONN -*I *2820:la_data_out_mprj[93] I *D mgmt_protect -*I *2826:la_output[93] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[93] 0.0021921 -2 *2826:la_output[93] 0.00142386 -3 *1153:12 0.0021921 -4 *1153:10 0.00228709 -5 *1153:9 0.00371096 -6 *2820:la_data_out_mprj[93] *2820:la_iena_mprj[93] 0 -7 *2820:la_data_out_mprj[93] *1396:7 0 -8 *1153:9 *1410:9 0 -9 *1153:9 *1537:9 0 -10 *1153:10 *1154:10 0.0231663 -11 *1153:10 *1157:12 0.000680605 -12 *1153:10 *1413:10 0.0203716 -13 *803:5 *1153:9 0.000354439 -14 *884:11 *2820:la_data_out_mprj[93] 0 -15 *897:5 *2820:la_data_out_mprj[93] 0 -16 *899:8 *1153:10 0.000948957 -17 *1142:10 *1153:10 0 -18 *1152:10 *1153:10 0.000342221 -*RES -1 *2826:la_output[93] *1153:9 38.9049 -2 *1153:9 *1153:10 254.732 -3 *1153:10 *1153:12 4.5 -4 *1153:12 *2820:la_data_out_mprj[93] 55.1064 -*END - -*D_NET *1154 0.0599333 -*CONN -*I *2820:la_data_out_mprj[94] I *D mgmt_protect -*I *2826:la_output[94] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[94] 0.00176938 -2 *2826:la_output[94] 0.00159228 -3 *1154:12 0.00176938 -4 *1154:10 0.00237015 -5 *1154:9 0.00396243 -6 *2820:la_data_out_mprj[94] *2820:la_iena_mprj[94] 0 -7 *2820:la_data_out_mprj[94] *1524:7 0 -8 *1154:9 *1411:9 0 -9 *1154:9 *1538:9 0 -10 *1154:10 *1290:12 0.000850566 -11 *1154:10 *1411:10 6.22677e-05 -12 *1154:10 *1413:10 0.000833114 -13 *805:5 *1154:9 0 -14 *898:5 *2820:la_data_out_mprj[94] 0.000988525 -15 *898:8 *1154:10 0.00118023 -16 *1140:7 *2820:la_data_out_mprj[94] 0 -17 *1152:10 *1154:10 0.0213887 -18 *1153:10 *1154:10 0.0231663 -*RES -1 *2826:la_output[94] *1154:9 39.3201 -2 *1154:9 *1154:10 266.933 -3 *1154:10 *1154:12 4.5 -4 *1154:12 *2820:la_data_out_mprj[94] 54.6912 -*END - -*D_NET *1155 0.0641991 -*CONN -*I *2820:la_data_out_mprj[95] I *D mgmt_protect -*I *2826:la_output[95] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[95] 0.00205863 -2 *2826:la_output[95] 0.00142039 -3 *1155:12 0.00205863 -4 *1155:10 0.00215404 -5 *1155:9 0.00357443 -6 *2820:la_data_out_mprj[95] *2820:la_iena_mprj[95] 0 -7 *2820:la_data_out_mprj[95] *1397:7 0.000654368 -8 *1155:9 *2820:la_oenb_mprj[127] 9.67078e-05 -9 *1155:9 *1539:9 0 -10 *1155:10 *1156:10 0.0253861 -11 *1155:10 *1159:12 0.000825419 -12 *1155:10 *1412:10 0.0251843 -13 *885:11 *2820:la_data_out_mprj[95] 0 -14 *899:5 *2820:la_data_out_mprj[95] 0 -15 *899:8 *1155:10 0.000431269 -16 *1142:10 *1155:10 0.00035468 -*RES -1 *2826:la_output[95] *1155:9 37.2439 -2 *1155:9 *1155:10 278.025 -3 *1155:10 *1155:12 4.5 -4 *1155:12 *2820:la_data_out_mprj[95] 56.7674 -*END - -*D_NET *1156 0.0679321 -*CONN -*I *2820:la_data_out_mprj[96] I *D mgmt_protect -*I *2826:la_output[96] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[96] 0.0018305 -2 *2826:la_output[96] 0.00139575 -3 *1156:12 0.0018305 -4 *1156:10 0.00222348 -5 *1156:9 0.00361923 -6 *2820:la_data_out_mprj[96] *2820:la_iena_mprj[96] 0 -7 *2820:la_data_out_mprj[96] *1525:7 0.000917012 -8 *1156:9 *2820:mprj_adr_o_core[1] 0.000253887 -9 *1156:9 *1413:9 0 -10 *1156:9 *1540:9 0 -11 *1156:10 *1159:12 0.00103171 -12 *1156:10 *1412:10 0.000103234 -13 *1156:10 *1415:10 0.0246426 -14 *1156:10 *1527:10 3.83336e-05 -15 *885:11 *2820:la_data_out_mprj[96] 0 -16 *900:7 *2820:la_data_out_mprj[96] 0.000398627 -17 *1035:10 *1156:10 0.00167914 -18 *1142:10 *1156:10 0.00258199 -19 *1155:10 *1156:10 0.0253861 -*RES -1 *2826:la_output[96] *1156:9 36.8286 -2 *1156:9 *1156:10 290.226 -3 *1156:10 *1156:12 4.5 -4 *1156:12 *2820:la_data_out_mprj[96] 57.1827 -*END - -*D_NET *1157 0.081049 -*CONN -*I *2820:la_data_out_mprj[97] I *D mgmt_protect -*I *2826:la_output[97] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[97] 0.00224996 -2 *2826:la_output[97] 0.0015225 -3 *1157:12 0.0079791 -4 *1157:9 0.00725164 -5 *2820:la_data_out_mprj[97] *1398:7 0 -6 *2820:la_data_out_mprj[97] *1413:13 0 -7 *1157:9 *1414:9 0 -8 *1157:12 *1158:12 6.10203e-05 -9 *1157:12 *1159:12 0.000562495 -10 *1157:12 *1290:12 0.0293925 -11 *1157:12 *1413:10 0.00111048 -12 *1157:12 *1414:12 0.000837974 -13 *1157:12 *1418:12 0.0293253 -14 *1157:12 *1541:12 7.54336e-05 -15 *901:7 *2820:la_data_out_mprj[97] 0 -16 *1141:7 *2820:la_data_out_mprj[97] 0 -17 *1153:10 *1157:12 0.000680605 -*RES -1 *2826:la_output[97] *1157:9 36.0271 -2 *1157:9 *1157:12 45.1058 -3 *1157:12 *2820:la_data_out_mprj[97] 55.7293 -*END - -*D_NET *1158 0.0844805 -*CONN -*I *2820:la_data_out_mprj[98] I *D mgmt_protect -*I *2826:la_output[98] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[98] 0.00168134 -2 *2826:la_output[98] 0.00160308 -3 *1158:12 0.00600191 -4 *1158:9 0.00592365 -5 *2820:la_data_out_mprj[98] *2820:la_iena_mprj[98] 0 -6 *1158:9 *1415:9 0 -7 *1158:9 *1542:9 0 -8 *1158:9 *1853:5 0.000330478 -9 *1158:12 *1290:12 0.000643986 -10 *1158:12 *1410:10 0.000960823 -11 *1158:12 *1411:10 0.000883336 -12 *1158:12 *1414:12 0.031921 -13 *1158:12 *1541:12 0.031031 -14 *1158:12 *1911:16 0.000385943 -15 *1158:12 *2490:24 0.00181411 -16 *781:11 *1158:9 0 -17 *886:11 *2820:la_data_out_mprj[98] 0.000815439 -18 *902:5 *2820:la_data_out_mprj[98] 0.00042334 -19 *1157:12 *1158:12 6.10203e-05 -*RES -1 *2826:la_output[98] *1158:9 39.6423 -2 *1158:9 *1158:12 46.6356 -3 *1158:12 *2820:la_data_out_mprj[98] 53.2378 -*END - -*D_NET *1159 0.0886651 -*CONN -*I *2820:la_data_out_mprj[99] I *D mgmt_protect -*I *2826:la_output[99] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[99] 0.00238967 -2 *2826:la_output[99] 0.00150227 -3 *1159:12 0.00886224 -4 *1159:9 0.00797484 -5 *2820:la_data_out_mprj[99] *2820:la_iena_mprj[99] 0 -6 *2820:la_data_out_mprj[99] *1526:7 0 -7 *1159:9 *1290:9 0 -8 *1159:9 *1543:9 0 -9 *1159:12 *1415:10 0.000149641 -10 *1159:12 *1418:12 0.033613 -11 *1159:12 *1420:10 0.0317539 -12 *903:5 *2820:la_data_out_mprj[99] 0 -13 *1155:10 *1159:12 0.000825419 -14 *1156:10 *1159:12 0.00103171 -15 *1157:12 *1159:12 0.000562495 -*RES -1 *2826:la_output[99] *1159:9 35.4898 -2 *1159:9 *1159:12 48.2419 -3 *1159:12 *2820:la_data_out_mprj[99] 57.3903 -*END - -*D_NET *1160 0.148505 -*CONN -*I *2820:la_data_out_mprj[9] I *D mgmt_protect -*I *2826:la_output[9] O *D mgmt_core_wrapper -*CAP -1 *2820:la_data_out_mprj[9] 0.00283262 -2 *2826:la_output[9] 0.00135976 -3 *1160:16 0.00283262 -4 *1160:14 0.0011503 -5 *1160:12 0.00118965 -6 *1160:10 0.00466269 -7 *1160:9 0.0059831 -8 *2820:la_data_out_mprj[9] *2820:la_iena_mprj[9] 0 -9 *2820:la_data_out_mprj[9] *2820:la_oenb_mprj[8] 0 -10 *1160:9 *1300:7 0 -11 *1160:9 *1544:7 0 -12 *1160:10 *1327:10 9.82896e-06 -13 *1160:10 *1511:10 0.00366103 -14 *1160:14 *1327:10 0.00809534 -15 *1160:14 *1351:10 4.66849e-05 -16 *1160:14 *1361:18 0.0018388 -17 *1160:14 *1383:10 0.000472535 -18 *1160:14 *1405:10 0.000101365 -19 *1160:14 *1483:10 0.000307839 -20 *2826:la_input[45] *2820:la_data_out_mprj[9] 0 -21 *904:7 *2820:la_data_out_mprj[9] 0 -22 *1127:10 *1160:10 0.0604137 -23 *1127:10 *1160:14 0.000372738 -24 *1149:10 *1160:10 0.0473261 -25 *1149:14 *1160:10 0.00584845 -*RES -1 *2826:la_output[9] *1160:9 38.4896 -2 *1160:9 *1160:10 637.408 -3 *1160:10 *1160:12 0.988641 -4 *1160:12 *1160:14 86.1323 -5 *1160:14 *1160:16 4.5 -6 *1160:16 *2820:la_data_out_mprj[9] 54.6912 -*END - -*D_NET *1161 0.0477639 -*CONN -*I *2820:la_data_out_core[0] I *D mgmt_protect -*I *2821:la_data_out[0] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[0] 0.000774601 -2 *2821:la_data_out[0] 0.0015913 -3 *1161:14 0.00642372 -4 *1161:13 0.00690743 -5 *1161:10 0.00155179 -6 *1161:7 0.00188479 -7 *2820:la_data_out_core[0] *1545:7 0 -8 *1161:7 *1795:19 4.15661e-05 -9 *1161:7 *2486:7 1.75682e-05 -10 *1161:10 *1803:10 0.00361417 -11 *1161:10 *1805:14 0.00361836 -12 *1161:10 *1858:8 0.00010238 -13 *1161:13 *2820:mprj_dat_i_user[2] 0 -14 *1161:13 *1811:7 0.000425016 -15 *1161:13 *1943:7 0 -16 *1161:14 *2820:mprj_dat_i_user[5] 0.000633287 -17 *1161:14 *2820:mprj_dat_i_user[7] 0.000698415 -18 *1161:14 *1650:8 0.000881427 -19 *1161:14 *1661:8 0.0101632 -20 *1161:14 *1795:8 0.00058136 -21 *1161:14 *1870:14 0.00249162 -22 *1161:14 *1870:20 3.89637e-05 -23 *1161:14 *1923:10 0.00386037 -24 *1161:14 *1946:8 0.00146253 -25 *905:7 *2820:la_data_out_core[0] 0 -*RES -1 *2821:la_data_out[0] *1161:7 41.7506 -2 *1161:7 *1161:10 42.9364 -3 *1161:10 *1161:13 27.9251 -4 *1161:13 *1161:14 249.186 -5 *1161:14 *2820:la_data_out_core[0] 26.3862 -*END - -*D_NET *1162 0.227362 -*CONN -*I *2820:la_data_out_core[100] I *D mgmt_protect -*I *2821:la_data_out[100] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[100] 0.000805591 -2 *2821:la_data_out[100] 0.00278722 -3 *1162:8 0.00809814 -4 *1162:7 0.00729255 -5 *1162:5 0.00278722 -6 *2820:la_data_out_core[100] *1546:13 0 -7 *1162:8 *1547:8 0 -8 *906:5 *2820:la_data_out_core[100] 0 -9 *907:8 *1162:8 0.0991065 -10 *920:8 *1162:8 0.0116336 -11 *980:8 *1162:8 0 -12 *1030:8 *1162:8 0.0948515 -*RES -1 *2821:la_data_out[100] *1162:5 63.8267 -2 *1162:5 *1162:7 4.5 -3 *1162:7 *1162:8 1046.15 -4 *1162:8 *2820:la_data_out_core[100] 23.0642 -*END - -*D_NET *1163 0.220854 -*CONN -*I *2820:la_data_out_core[101] I *D mgmt_protect -*I *2821:la_data_out[101] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[101] 6.22868e-05 -2 *2821:la_data_out[101] 0.000637349 -3 *1163:11 0.00303703 -4 *1163:10 0.00297474 -5 *1163:8 0.00794203 -6 *1163:7 0.00857938 -7 *1163:8 *1165:8 0.0989452 -8 *1163:8 *1187:8 0.00196951 -9 *1163:8 *1243:8 8.7654e-05 -10 *1163:11 *2821:la_oenb[51] 0 -11 *1163:11 *1546:13 6.61114e-05 -12 *1163:11 *1546:17 0.000441219 -13 *1163:11 *1547:7 0.000532382 -14 *2821:la_data_in[52] *1163:11 0 -15 *907:7 *1163:11 0 -16 *1031:8 *1163:8 0.0955786 -*RES -1 *2821:la_data_out[101] *1163:7 20.5727 -2 *1163:7 *1163:8 1057.24 -3 *1163:8 *1163:10 4.5 -4 *1163:10 *1163:11 66.4037 -5 *1163:11 *2820:la_data_out_core[101] 1.77093 -*END - -*D_NET *1164 0.234496 -*CONN -*I *2820:la_data_out_core[102] I *D mgmt_protect -*I *2821:la_data_out[102] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[102] 0.000775376 -2 *2821:la_data_out[102] 0.00280236 -3 *1164:8 0.00780343 -4 *1164:7 0.00702806 -5 *1164:5 0.00280236 -6 *2820:la_data_out_core[102] *1548:5 0 -7 *2821:la_data_in[52] *2820:la_data_out_core[102] 0 -8 *907:8 *1164:8 0.0994381 -9 *908:7 *2820:la_data_out_core[102] 0 -10 *908:8 *1164:8 0.101505 -11 *909:8 *1164:8 0.000313928 -12 *921:8 *1164:8 0.0120275 -*RES -1 *2821:la_data_out[102] *1164:5 64.6572 -2 *1164:5 *1164:7 4.5 -3 *1164:7 *1164:8 1072.77 -4 *1164:8 *2820:la_data_out_core[102] 22.2337 -*END - -*D_NET *1165 0.227049 -*CONN -*I *2820:la_data_out_core[103] I *D mgmt_protect -*I *2821:la_data_out[103] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[103] 0.00315694 -2 *2821:la_data_out[103] 0.000656468 -3 *1165:10 0.00315694 -4 *1165:8 0.00796784 -5 *1165:7 0.0086243 -6 *2820:la_data_out_core[103] *2821:la_oenb[52] 0 -7 *2820:la_data_out_core[103] *1236:5 0 -8 *2820:la_data_out_core[103] *1548:5 0 -9 *2820:la_data_out_core[103] *1549:9 0.000452827 -10 *1165:8 *1167:8 0.101475 -11 *1165:8 *1187:8 0.00233918 -12 *1165:8 *1243:8 0.000274449 -13 *909:7 *2820:la_data_out_core[103] 0 -14 *1163:8 *1165:8 0.0989452 -*RES -1 *2821:la_data_out[103] *1165:7 20.988 -2 *1165:7 *1165:8 1085.53 -3 *1165:8 *1165:10 4.5 -4 *1165:10 *2820:la_data_out_core[103] 65.903 -*END - -*D_NET *1166 0.24178 -*CONN -*I *2820:la_data_out_core[104] I *D mgmt_protect -*I *2821:la_data_out[104] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[104] 0.000697317 -2 *2821:la_data_out[104] 0.00285008 -3 *1166:8 0.00758467 -4 *1166:7 0.00688735 -5 *1166:5 0.00285008 -6 *2820:la_data_out_core[104] *1550:5 0 -7 *2821:la_data_in[53] *2820:la_data_out_core[104] 3.28776e-05 -8 *910:7 *2820:la_data_out_core[104] 0 -9 *910:8 *1166:8 0.104065 -10 *911:8 *1166:8 0.104237 -11 *923:8 *1166:8 0.0125289 -12 *981:8 *1166:8 2.54062e-05 -13 *982:8 *1166:8 2.12986e-05 -*RES -1 *2821:la_data_out[104] *1166:5 66.3182 -2 *1166:5 *1166:7 4.5 -3 *1166:7 *1166:8 1099.39 -4 *1166:8 *2820:la_data_out_core[104] 20.5727 -*END - -*D_NET *1167 0.233303 -*CONN -*I *2820:la_data_out_core[105] I *D mgmt_protect -*I *2821:la_data_out[105] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[105] 0.00292725 -2 *2821:la_data_out[105] 0.000675588 -3 *1167:10 0.00292725 -4 *1167:8 0.00812194 -5 *1167:7 0.00879753 -6 *2820:la_data_out_core[105] *1237:5 0.00165677 -7 *2820:la_data_out_core[105] *1550:5 0 -8 *2820:la_data_out_core[105] *1551:7 0 -9 *1167:8 *1169:8 0.104005 -10 *1167:8 *1243:8 0.000384979 -11 *911:7 *2820:la_data_out_core[105] 0 -12 *930:10 *1167:8 0.00233155 -13 *1165:8 *1167:8 0.101475 -*RES -1 *2821:la_data_out[105] *1167:7 21.4032 -2 *1167:7 *1167:8 1112.15 -3 *1167:8 *1167:10 4.5 -4 *1167:10 *2820:la_data_out_core[105] 65.4877 -*END - -*D_NET *1168 0.246184 -*CONN -*I *2820:la_data_out_core[106] I *D mgmt_protect -*I *2821:la_data_out[106] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[106] 0.000680914 -2 *2821:la_data_out[106] 0.00286306 -3 *1168:8 0.00796125 -4 *1168:7 0.00728033 -5 *1168:5 0.00286306 -6 *2820:la_data_out_core[106] *1551:7 0 -7 *2820:la_data_out_core[106] *1552:5 0 -8 *911:8 *1168:8 0.104515 -9 *912:7 *2820:la_data_out_core[106] 0 -10 *912:8 *1168:8 0.106576 -11 *913:8 *1168:8 0.000313928 -12 *924:8 *1168:8 0.0131302 -*RES -1 *2821:la_data_out[106] *1168:5 67.1487 -2 *1168:5 *1168:7 4.5 -3 *1168:7 *1168:8 1125.46 -4 *1168:8 *2820:la_data_out_core[106] 19.7422 -*END - -*D_NET *1169 0.23858 -*CONN -*I *2820:la_data_out_core[107] I *D mgmt_protect -*I *2821:la_data_out[107] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[107] 0.00313383 -2 *2821:la_data_out[107] 0.000694708 -3 *1169:10 0.00313383 -4 *1169:8 0.00821542 -5 *1169:7 0.00891013 -6 *2820:la_data_out_core[107] *1238:5 0 -7 *2820:la_data_out_core[107] *1553:7 0 -8 *1169:8 *1171:8 0.106535 -9 *1169:8 *1243:8 0.000677667 -10 *2821:la_data_in[54] *2820:la_data_out_core[107] 0.000541588 -11 *913:7 *2820:la_data_out_core[107] 0 -12 *930:10 *1169:8 0.00273298 -13 *1167:8 *1169:8 0.104005 -*RES -1 *2821:la_data_out[107] *1169:7 21.8185 -2 *1169:7 *1169:8 1138.77 -3 *1169:8 *1169:10 4.5 -4 *1169:10 *2820:la_data_out_core[107] 65.0725 -*END - -*D_NET *1170 0.251066 -*CONN -*I *2820:la_data_out_core[108] I *D mgmt_protect -*I *2821:la_data_out[108] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[108] 0.000630308 -2 *2821:la_data_out[108] 0.00289497 -3 *1170:8 0.008032 -4 *1170:7 0.0074017 -5 *1170:5 0.00289497 -6 *2820:la_data_out_core[108] *1553:7 0 -7 *2820:la_data_out_core[108] *1554:5 0 -8 *913:8 *1170:8 0.107131 -9 *914:7 *2820:la_data_out_core[108] 0 -10 *914:8 *1170:8 0.109138 -11 *919:8 *1170:8 0.000313928 -12 *926:8 *1170:8 0.0126289 -*RES -1 *2821:la_data_out[108] *1170:5 68.3945 -2 *1170:5 *1170:7 4.5 -3 *1170:7 *1170:8 1152.08 -4 *1170:8 *2820:la_data_out_core[108] 18.4964 -*END - -*D_NET *1171 0.244029 -*CONN -*I *2820:la_data_out_core[109] I *D mgmt_protect -*I *2821:la_data_out[109] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[109] 0.00337779 -2 *2821:la_data_out[109] 0.000713827 -3 *1171:10 0.00337779 -4 *1171:8 0.00839121 -5 *1171:7 0.00910504 -6 *2820:la_data_out_core[109] *2821:la_oenb[54] 0 -7 *2820:la_data_out_core[109] *1554:5 0 -8 *2820:la_data_out_core[109] *1555:7 0 -9 *1171:8 *1243:8 0.00913243 -10 *1171:8 *1245:8 0.000571078 -11 *2821:la_data_in[55] *2820:la_data_out_core[109] 0 -12 *915:7 *2820:la_data_out_core[109] 0 -13 *929:14 *1171:8 0.0904434 -14 *931:8 *1171:8 0.00967416 -15 *931:12 *1171:8 1.41689e-05 -16 *932:10 *1171:8 0.0026936 -17 *1169:8 *1171:8 0.106535 -*RES -1 *2821:la_data_out[109] *1171:7 22.2337 -2 *1171:7 *1171:8 1165.39 -3 *1171:8 *1171:10 4.5 -4 *1171:10 *2820:la_data_out_core[109] 64.6572 -*END - -*D_NET *1172 0.0352126 -*CONN -*I *2820:la_data_out_core[10] I *D mgmt_protect -*I *2821:la_data_out[10] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[10] 0.00136122 -2 *2821:la_data_out[10] 0.00170561 -3 *1172:8 0.00252314 -4 *1172:7 0.00286753 -5 *2820:la_data_out_core[10] *1556:7 0 -6 *1172:7 *1935:5 0 -7 *1172:8 *1197:8 0.000436811 -8 *1172:8 *1556:10 0.00184 -9 *1172:8 *1556:12 0.00947314 -10 *1172:8 *1805:8 0.000591935 -11 *1172:8 *1945:8 3.83336e-05 -12 *916:7 *2820:la_data_out_core[10] 0 -13 *916:8 *1172:8 0.0143749 -*RES -1 *2821:la_data_out[10] *1172:7 48.3946 -2 *1172:7 *1172:8 154.349 -3 *1172:8 *2820:la_data_out_core[10] 42.9963 -*END - -*D_NET *1173 0.297538 -*CONN -*I *2820:la_data_out_core[110] I *D mgmt_protect -*I *2821:la_data_out[110] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[110] 0.000909748 -2 *2821:la_data_out[110] 0.00258765 -3 *1173:8 0.010332 -4 *1173:7 0.00942225 -5 *1173:5 0.00258765 -6 *2820:la_data_out_core[110] *1242:13 6.99579e-05 -7 *2820:la_data_out_core[110] *1557:5 0 -8 *1173:8 *1175:8 0.126658 -9 *1173:8 *1656:8 5.99814e-05 -10 *917:7 *2820:la_data_out_core[110] 0 -11 *917:8 *1173:8 0 -12 *918:8 *1173:8 0.127839 -13 *986:13 *2820:la_data_out_core[110] 0.000161404 -14 *1022:8 *1173:8 0.0078133 -15 *1024:8 *1173:8 0.0090973 -*RES -1 *2821:la_data_out[110] *1173:5 62.3733 -2 *1173:5 *1173:7 3.36879 -3 *1173:7 *1173:8 162.592 -4 *1173:8 *2820:la_data_out_core[110] 23.3864 -*END - -*D_NET *1174 0.202745 -*CONN -*I *2820:la_data_out_core[111] I *D mgmt_protect -*I *2821:la_data_out[111] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[111] 0.00372858 -2 *2821:la_data_out[111] 0.000430926 -3 *1174:10 0.00372858 -4 *1174:8 0.0334379 -5 *1174:7 0.0338689 -6 *2820:la_data_out_core[111] *2821:la_oenb[55] 0 -7 *2820:la_data_out_core[111] *1239:5 0 -8 *2820:la_data_out_core[111] *1557:5 0 -9 *2820:la_data_out_core[111] *1558:7 0 -10 *1174:8 *1176:8 0.12755 -11 *918:7 *2820:la_data_out_core[111] 0 -*RES -1 *2821:la_data_out[111] *1174:7 15.0813 -2 *1174:7 *1174:8 164.504 -3 *1174:8 *1174:10 3.36879 -4 *1174:10 *2820:la_data_out_core[111] 70.6784 -*END - -*D_NET *1175 0.303066 -*CONN -*I *2820:la_data_out_core[112] I *D mgmt_protect -*I *2821:la_data_out[112] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[112] 0.000782207 -2 *2821:la_data_out[112] 0.00258252 -3 *1175:8 0.00999644 -4 *1175:7 0.00921423 -5 *1175:5 0.00258252 -6 *2820:la_data_out_core[112] *1558:7 0 -7 *2820:la_data_out_core[112] *1559:5 0 -8 *2821:la_data_in[56] *2820:la_data_out_core[112] 0.000549616 -9 *917:8 *1175:8 0 -10 *918:8 *1175:8 0.000667856 -11 *919:7 *2820:la_data_out_core[112] 0 -12 *920:8 *1175:8 0.130801 -13 *1026:8 *1175:8 0.00892123 -14 *1028:8 *1175:8 0.0103103 -15 *1173:8 *1175:8 0.126658 -*RES -1 *2821:la_data_out[112] *1175:5 63.2038 -2 *1175:5 *1175:7 3.36879 -3 *1175:7 *1175:8 166.263 -4 *1175:8 *2820:la_data_out_core[112] 22.5559 -*END - -*D_NET *1176 0.300429 -*CONN -*I *2820:la_data_out_core[113] I *D mgmt_protect -*I *2821:la_data_out[113] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[113] 2.27669e-05 -2 *2821:la_data_out[113] 0.000460136 -3 *1176:11 0.00323205 -4 *1176:10 0.00320929 -5 *1176:8 0.0162526 -6 *1176:7 0.0167127 -7 *1176:8 *1178:8 0.130515 -8 *1176:11 *1240:5 0.00191452 -9 *1176:11 *1560:7 0 -10 *920:7 *1176:11 0 -11 *1013:8 *1176:8 0.00017378 -12 *1014:8 *1176:8 0.000385925 -13 *1174:8 *1176:8 0.12755 -*RES -1 *2821:la_data_out[113] *1176:7 15.9118 -2 *1176:7 *1176:8 168.099 -3 *1176:8 *1176:10 3.36879 -4 *1176:10 *1176:11 69.9334 -5 *1176:11 *2820:la_data_out_core[113] 0.647305 -*END - -*D_NET *1177 0.268456 -*CONN -*I *2820:la_data_out_core[114] I *D mgmt_protect -*I *2821:la_data_out[114] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[114] 0.000590216 -2 *2821:la_data_out[114] 0.00283 -3 *1177:8 0.00866251 -4 *1177:7 0.00807229 -5 *1177:5 0.00283 -6 *2820:la_data_out_core[114] *1561:5 0 -7 *1177:8 *1179:8 0.116062 -8 *919:8 *1177:8 0.112784 -9 *921:7 *2820:la_data_out_core[114] 0 -10 *928:8 *1177:8 0.0156429 -11 *985:14 *1177:8 0.000579046 -12 *1019:8 *1177:8 0.000402056 -*RES -1 *2821:la_data_out[114] *1177:5 69.6402 -2 *1177:5 *1177:7 4.5 -3 *1177:7 *1177:8 1231.94 -4 *1177:8 *2820:la_data_out_core[114] 17.2507 -*END - -*D_NET *1178 0.307628 -*CONN -*I *2820:la_data_out_core[115] I *D mgmt_protect -*I *2821:la_data_out[115] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[115] 0.00346347 -2 *2821:la_data_out[115] 0.000489347 -3 *1178:10 0.00346347 -4 *1178:8 0.0169549 -5 *1178:7 0.0174443 -6 *2820:la_data_out_core[115] *1241:7 0 -7 *2820:la_data_out_core[115] *1562:7 0 -8 *1178:8 *1180:8 0.13342 -9 *2821:la_data_in[57] *2820:la_data_out_core[115] 0.000561894 -10 *922:7 *2820:la_data_out_core[115] 0 -11 *1017:8 *1178:8 0.000535823 -12 *1018:8 *1178:8 0.000779727 -13 *1176:8 *1178:8 0.130515 -*RES -1 *2821:la_data_out[115] *1178:7 16.7423 -2 *1178:7 *1178:8 171.847 -3 *1178:8 *1178:10 3.36879 -4 *1178:10 *2820:la_data_out_core[115] 69.0174 -*END - -*D_NET *1179 0.241803 -*CONN -*I *2820:la_data_out_core[116] I *D mgmt_protect -*I *2821:la_data_out[116] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[116] 0.00056879 -2 *2821:la_data_out[116] 0.00280575 -3 *1179:8 0.017737 -4 *1179:7 0.0171683 -5 *1179:5 0.00280575 -6 *2820:la_data_out_core[116] *1563:5 0 -7 *1179:8 *1181:14 0 -8 *917:8 *1179:8 0.0186465 -9 *923:7 *2820:la_data_out_core[116] 0 -10 *928:8 *1179:8 0.00382805 -11 *1019:8 *1179:8 0.06218 -12 *1177:8 *1179:8 0.116062 -*RES -1 *2821:la_data_out[116] *1179:5 70.0555 -2 *1179:5 *1179:7 4.5 -3 *1179:7 *1179:8 1258.56 -4 *1179:8 *2820:la_data_out_core[116] 16.8354 -*END - -*D_NET *1180 0.315216 -*CONN -*I *2820:la_data_out_core[117] I *D mgmt_protect -*I *2821:la_data_out[117] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[117] 0.00368786 -2 *2821:la_data_out[117] 0.000518557 -3 *1180:10 0.00368786 -4 *1180:8 0.017498 -5 *1180:7 0.0180165 -6 *2820:la_data_out_core[117] *2821:la_oenb[57] 0 -7 *2820:la_data_out_core[117] *1563:5 0 -8 *2820:la_data_out_core[117] *1564:7 0 -9 *1180:8 *1182:8 0.136324 -10 *2821:la_data_in[58] *2820:la_data_out_core[117] 0 -11 *924:7 *2820:la_data_out_core[117] 0 -12 *1020:8 *1180:8 0.000897866 -13 *1023:8 *1180:8 0.00116565 -14 *1178:8 *1180:8 0.13342 -*RES -1 *2821:la_data_out[117] *1180:7 17.5729 -2 *1180:7 *1180:8 175.518 -3 *1180:8 *1180:10 3.36879 -4 *1180:10 *2820:la_data_out_core[117] 68.1869 -*END - -*D_NET *1181 0.194809 -*CONN -*I *2820:la_data_out_core[118] I *D mgmt_protect -*I *2821:la_data_out[118] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[118] 0.000374047 -2 *2821:la_data_out[118] 0.00119726 -3 *1181:14 0.0102033 -4 *1181:13 0.00982922 -5 *1181:11 0.00224041 -6 *1181:8 0.0108576 -7 *1181:7 0.00981442 -8 *2820:la_data_out_core[118] *1242:5 0 -9 *2820:la_data_out_core[118] *1565:8 0 -10 *1181:8 *1184:8 0.0103601 -11 *1181:8 *1186:8 0.0568493 -12 *1181:8 *1548:8 0.00148346 -13 *1181:8 *1559:8 0.000406161 -14 *1181:8 *1568:8 0.000267885 -15 *1181:8 *1662:12 0.000649254 -16 *1181:11 *1278:7 7.09666e-06 -17 *1181:14 *1184:14 0.0180746 -18 *1181:14 *1188:14 0.000457852 -19 *1181:14 *1190:16 0.00401571 -20 *1181:14 *1252:8 0.01841 -21 *915:8 *1181:14 0.00278791 -22 *925:7 *2820:la_data_out_core[118] 0 -23 *1004:8 *1181:14 0.0320563 -24 *1005:8 *1181:14 0.000941784 -25 *1011:8 *1181:14 0.00272074 -26 *1012:8 *1181:14 0.000383561 -27 *1015:8 *1181:14 0.000420688 -28 *1016:8 *1181:14 0 -29 *1019:8 *1181:14 0 -30 *1179:8 *1181:14 0 -*RES -1 *2821:la_data_out[118] *1181:7 35.1065 -2 *1181:7 *1181:8 598.031 -3 *1181:8 *1181:11 47.442 -4 *1181:11 *1181:13 4.5 -5 *1181:13 *1181:14 687.323 -6 *1181:14 *2820:la_data_out_core[118] 13.5134 -*END - -*D_NET *1182 0.323163 -*CONN -*I *2820:la_data_out_core[119] I *D mgmt_protect -*I *2821:la_data_out[119] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[119] 0.00351402 -2 *2821:la_data_out[119] 0.000547767 -3 *1182:10 0.00351402 -4 *1182:8 0.0181827 -5 *1182:7 0.0187305 -6 *2820:la_data_out_core[119] *2821:la_oenb[58] 0.000379149 -7 *2820:la_data_out_core[119] *1242:5 0 -8 *2820:la_data_out_core[119] *1565:8 0 -9 *2820:la_data_out_core[119] *1566:7 0 -10 *1182:8 *1185:8 0.139174 -11 *926:7 *2820:la_data_out_core[119] 0 -12 *1025:10 *1182:8 0.00124543 -13 *1027:8 *1182:8 0.00155158 -14 *1180:8 *1182:8 0.136324 -*RES -1 *2821:la_data_out[119] *1182:7 18.4034 -2 *1182:7 *1182:8 179.19 -3 *1182:8 *1182:10 3.36879 -4 *1182:10 *2820:la_data_out_core[119] 67.3563 -*END - -*D_NET *1183 0.0218732 -*CONN -*I *2820:la_data_out_core[11] I *D mgmt_protect -*I *2821:la_data_out[11] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[11] 0.00157596 -2 *2821:la_data_out[11] 0.00141233 -3 *1183:12 0.00265428 -4 *1183:10 0.00109896 -5 *1183:8 0.00184753 -6 *1183:7 0.00323923 -7 *2820:la_data_out_core[11] *1567:5 0 -8 *1183:8 *1193:8 0.00409332 -9 *1183:8 *1813:8 0 -10 *1183:8 *1877:12 9.71151e-05 -11 *1183:8 *1939:8 0.00026805 -12 *1183:8 *1944:8 0 -13 *1183:12 *1193:8 0.000277705 -14 *1183:12 *1193:12 7.02172e-06 -15 *1183:12 *1196:8 4.57047e-05 -16 *1183:12 *1579:8 0.00016955 -17 *1183:12 *1580:8 0.000461355 -18 *927:5 *2820:la_data_out_core[11] 0 -19 *940:8 *1183:12 0.000926694 -20 *941:10 *1183:12 0.00185138 -21 *966:8 *1183:8 0.00184696 -*RES -1 *2821:la_data_out[11] *1183:7 42.5811 -2 *1183:7 *1183:8 85.855 -3 *1183:8 *1183:10 0.578717 -4 *1183:10 *1183:12 54.7972 -5 *1183:12 *2820:la_data_out_core[11] 48.3946 -*END - -*D_NET *1184 0.139976 -*CONN -*I *2820:la_data_out_core[120] I *D mgmt_protect -*I *2821:la_data_out[120] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[120] 0.000302027 -2 *2821:la_data_out[120] 0.001123 -3 *1184:14 0.0109806 -4 *1184:13 0.0106786 -5 *1184:11 0.00243919 -6 *1184:8 0.0236509 -7 *1184:7 0.0223347 -8 *2820:la_data_out_core[120] *1568:5 0 -9 *1184:8 *1186:8 0.000274026 -10 *1184:8 *1275:8 0.00322797 -11 *1184:8 *1286:8 0.00124442 -12 *1184:8 *1548:8 0.000141029 -13 *1184:8 *1550:8 0.000133943 -14 *1184:8 *1659:8 0.000308997 -15 *1184:8 *1662:12 0.00105915 -16 *1184:14 *1186:14 0.00207298 -17 *1184:14 *1188:14 0.000394914 -18 *1184:14 *1190:16 0.00334115 -19 *1184:14 *1249:8 0.0108076 -20 *1184:14 *1252:8 0.0169127 -21 *2821:la_data_in[59] *2820:la_data_out_core[120] 0.000113374 -22 *928:7 *2820:la_data_out_core[120] 0 -23 *1181:8 *1184:8 0.0103601 -24 *1181:14 *1184:14 0.0180746 -*RES -1 *2821:la_data_out[120] *1184:7 33.4455 -2 *1184:7 *1184:8 718.38 -3 *1184:8 *1184:11 49.9335 -4 *1184:11 *1184:13 4.5 -5 *1184:13 *1184:14 594.149 -6 *1184:14 *2820:la_data_out_core[120] 12.6829 -*END - -*D_NET *1185 0.330905 -*CONN -*I *2820:la_data_out_core[121] I *D mgmt_protect -*I *2821:la_data_out[121] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[121] 2.27669e-05 -2 *2821:la_data_out[121] 0.000576978 -3 *1185:11 0.0035586 -4 *1185:10 0.00353584 -5 *1185:8 0.0184981 -6 *1185:7 0.0190751 -7 *1185:8 *1187:8 0.142139 -8 *1185:11 *1243:7 0.000123848 -9 *1185:11 *1565:13 0.000276886 -10 *1185:11 *1569:7 0 -11 *929:5 *1185:11 0 -12 *930:10 *1185:8 0.000371151 -13 *1029:8 *1185:8 0.00160747 -14 *1031:8 *1185:8 0.00194538 -15 *1182:8 *1185:8 0.139174 -*RES -1 *2821:la_data_out[121] *1185:7 19.2339 -2 *1185:7 *1185:8 182.785 -3 *1185:8 *1185:10 3.36879 -4 *1185:10 *1185:11 66.6113 -5 *1185:11 *2820:la_data_out_core[121] 0.647305 -*END - -*D_NET *1186 0.191425 -*CONN -*I *2820:la_data_out_core[122] I *D mgmt_protect -*I *2821:la_data_out[122] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[122] 0.000140633 -2 *2821:la_data_out[122] 0.00116982 -3 *1186:14 0.0130508 -4 *1186:13 0.0129102 -5 *1186:11 0.0025208 -6 *1186:8 0.0134363 -7 *1186:7 0.0120853 -8 *2820:la_data_out_core[122] *1570:5 0 -9 *1186:8 *1188:8 0.0138312 -10 *1186:8 *1278:8 0.0226935 -11 *1186:8 *1280:8 0.0267528 -12 *1186:8 *1284:8 0.00364601 -13 *1186:8 *1550:8 0.00314983 -14 *1186:8 *1559:8 0.00043387 -15 *1186:8 *1568:8 0.000403492 -16 *1186:8 *1662:12 1.41689e-05 -17 *1186:14 *1246:8 0.00322082 -18 *1186:14 *1247:8 0.00088141 -19 *1186:14 *1248:8 0.000536907 -20 *1186:14 *1249:8 0.000393655 -21 *930:7 *2820:la_data_out_core[122] 0.000377948 -22 *989:8 *1186:14 0.000579032 -23 *1181:8 *1186:8 0.0568493 -24 *1184:8 *1186:8 0.000274026 -25 *1184:14 *1186:14 0.00207298 -*RES -1 *2821:la_data_out[122] *1186:7 35.5218 -2 *1186:7 *1186:8 923.029 -3 *1186:8 *1186:11 49.9335 -4 *1186:11 *1186:13 4.5 -5 *1186:13 *1186:14 416.121 -6 *1186:14 *2820:la_data_out_core[122] 10.6067 -*END - -*D_NET *1187 0.337826 -*CONN -*I *2820:la_data_out_core[123] I *D mgmt_protect -*I *2821:la_data_out[123] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[123] 0.00342055 -2 *2821:la_data_out[123] 0.000606188 -3 *1187:10 0.00342055 -4 *1187:8 0.0188831 -5 *1187:7 0.0194892 -6 *2820:la_data_out_core[123] *1245:7 0 -7 *2820:la_data_out_core[123] *1571:7 0 -8 *2821:la_data_in[60] *2820:la_data_out_core[123] 0.000547682 -9 *930:10 *1187:8 0.143722 -10 *931:5 *2820:la_data_out_core[123] 0 -11 *932:10 *1187:8 0.0012888 -12 *1163:8 *1187:8 0.00196951 -13 *1165:8 *1187:8 0.00233918 -14 *1185:8 *1187:8 0.142139 -*RES -1 *2821:la_data_out[123] *1187:7 20.0644 -2 *1187:7 *1187:8 186.533 -3 *1187:8 *1187:10 3.36879 -4 *1187:10 *2820:la_data_out_core[123] 65.6953 -*END - -*D_NET *1188 0.24374 -*CONN -*I *2820:la_data_out_core[124] I *D mgmt_protect -*I *2821:la_data_out[124] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[124] 0.000337956 -2 *2821:la_data_out[124] 0.0011875 -3 *1188:14 0.00427122 -4 *1188:13 0.0062381 -5 *1188:8 0.0163011 -6 *1188:7 0.0151837 -7 *2820:la_data_out_core[124] *1572:5 0 -8 *1188:8 *1190:8 0.0126091 -9 *1188:8 *1284:8 0.0383633 -10 *1188:8 *1546:18 0.000591232 -11 *1188:8 *1559:8 0.00512221 -12 *1188:8 *1568:8 0.00080444 -13 *1188:13 *2821:la_oenb[77] 0 -14 *1188:14 *1190:16 0.0336006 -15 *906:8 *1188:8 0.0458681 -16 *915:8 *1188:14 0.0396125 -17 *932:7 *2820:la_data_out_core[124] 0.000257033 -18 *1011:8 *1188:14 0.00453687 -19 *1012:8 *1188:14 0.00417099 -20 *1181:14 *1188:14 0.000457852 -21 *1184:14 *1188:14 0.000394914 -22 *1186:8 *1188:8 0.0138312 -*RES -1 *2821:la_data_out[124] *1188:7 36.7675 -2 *1188:7 *1188:8 1002.34 -3 *1188:8 *1188:13 48.1116 -4 *1188:13 *1188:14 50.151 -5 *1188:14 *2820:la_data_out_core[124] 13.4203 -*END - -*D_NET *1189 0.3568 -*CONN -*I *2820:la_data_out_core[125] I *D mgmt_protect -*I *2821:la_data_out[125] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[125] 0.00354364 -2 *2821:la_data_out[125] 0.000695415 -3 *1189:10 0.00354364 -4 *1189:8 0.0217145 -5 *1189:7 0.0224099 -6 *2820:la_data_out_core[125] *2821:la_oenb[60] 0 -7 *2820:la_data_out_core[125] *1573:7 0 -8 *931:12 *1189:8 0.00430359 -9 *932:10 *1189:8 0.146616 -10 *933:5 *2820:la_data_out_core[125] 0 -11 *933:14 *1189:8 0.0051773 -12 *934:14 *1189:8 0.148797 -*RES -1 *2821:la_data_out[125] *1189:7 22.5559 -2 *1189:7 *1189:8 190.204 -3 *1189:8 *1189:10 3.36879 -4 *1189:10 *2820:la_data_out_core[125] 63.2038 -*END - -*D_NET *1190 0.242647 -*CONN -*I *2820:la_data_out_core[126] I *D mgmt_protect -*I *2821:la_data_out[126] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[126] 0.000286442 -2 *2821:la_data_out[126] 0.00120388 -3 *1190:16 0.00770116 -4 *1190:13 0.00941709 -5 *1190:8 0.0174865 -6 *1190:7 0.016688 -7 *2820:la_data_out_core[126] *1246:5 2.7698e-05 -8 *2820:la_data_out_core[126] *1574:5 0 -9 *1190:8 *1546:18 0.0508853 -10 *1190:8 *1552:8 0.0631247 -11 *1190:8 *1554:8 0.00182431 -12 *1190:8 *1557:8 0.00105915 -13 *1190:8 *1561:8 0.00150174 -14 *1190:8 *1563:8 0.000268953 -15 *1190:8 *1565:20 0 -16 *1190:8 *1570:8 0.00713959 -17 *1190:8 *1574:12 0 -18 *1190:13 *2821:la_oenb[75] 0.00101873 -19 *1190:16 *1248:8 0.000208728 -20 *1190:16 *1249:8 0.000451963 -21 *1190:16 *1252:8 0.00136859 -22 *934:9 *2820:la_data_out_core[126] 0.00012021 -23 *934:11 *2820:la_data_out_core[126] 0.000295244 -24 *1004:8 *1190:16 0.00350507 -25 *1005:8 *1190:16 0.00349756 -26 *1181:14 *1190:16 0.00401571 -27 *1184:14 *1190:16 0.00334115 -28 *1188:8 *1190:8 0.0126091 -29 *1188:14 *1190:16 0.0336006 -*RES -1 *2821:la_data_out[126] *1190:7 38.0133 -2 *1190:7 *1190:8 1083.86 -3 *1190:8 *1190:13 47.6964 -4 *1190:13 *1190:16 45.8707 -5 *1190:16 *2820:la_data_out_core[126] 9.95384 -*END - -*D_NET *1191 0.35272 -*CONN -*I *2820:la_data_out_core[127] I *D mgmt_protect -*I *2821:la_data_out[127] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[127] 0.00170756 -2 *2821:la_data_out[127] 0.00185107 -3 *1191:8 0.0130801 -4 *1191:7 0.0113725 -5 *1191:5 0.00185107 -6 *2820:la_data_out_core[127] *1575:7 0 -7 *1191:8 *1281:8 7.06288e-05 -8 *1191:8 *1558:8 0.0118005 -9 *1191:8 *1560:8 0.0134342 -10 *1191:8 *1571:8 0.144649 -11 *1191:8 *1575:8 0.152903 -12 *935:5 *2820:la_data_out_core[127] 0 -*RES -1 *2821:la_data_out[127] *1191:5 51.5768 -2 *1191:5 *1191:7 3.36879 -3 *1191:7 *1191:8 193.876 -4 *1191:8 *2820:la_data_out_core[127] 34.1829 -*END - -*D_NET *1192 0.0304281 -*CONN -*I *2820:la_data_out_core[12] I *D mgmt_protect -*I *2821:la_data_out[12] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[12] 0.00180698 -2 *2821:la_data_out[12] 0.00109483 -3 *1192:10 0.00180698 -4 *1192:8 0.00100385 -5 *1192:7 0.00209868 -6 *2820:la_data_out_core[12] *1198:7 0.000524092 -7 *2820:la_data_out_core[12] *1576:5 0 -8 *1192:8 *1576:8 0.0114396 -9 *1192:8 *1577:8 0.00943665 -10 *936:5 *2820:la_data_out_core[12] 0 -11 *936:8 *1192:8 0.00121647 -*RES -1 *2821:la_data_out[12] *1192:7 35.1065 -2 *1192:7 *1192:8 127.728 -3 *1192:8 *1192:10 4.5 -4 *1192:10 *2820:la_data_out_core[12] 51.7844 -*END - -*D_NET *1193 0.0281976 -*CONN -*I *2820:la_data_out_core[13] I *D mgmt_protect -*I *2821:la_data_out[13] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[13] 0.00165924 -2 *2821:la_data_out[13] 0.00139937 -3 *1193:14 0.00165924 -4 *1193:12 0.000481543 -5 *1193:10 0.00051435 -6 *1193:8 0.000410021 -7 *1193:7 0.00177659 -8 *2820:la_data_out_core[13] *2821:la_oenb[18] 0.000143017 -9 *2820:la_data_out_core[13] *1577:5 0 -10 *1193:7 *2820:mprj_dat_i_user[31] 7.03198e-05 -11 *1193:12 *1579:8 0.00597175 -12 *937:5 *2820:la_data_out_core[13] 0 -13 *938:8 *1193:8 9.82896e-06 -14 *938:8 *1193:12 0.00602261 -15 *938:14 *1193:8 0.00079428 -16 *938:14 *1193:10 1.09551e-05 -17 *966:8 *1193:8 0.00289644 -18 *1183:8 *1193:8 0.00409332 -19 *1183:12 *1193:8 0.000277705 -20 *1183:12 *1193:12 7.02172e-06 -*RES -1 *2821:la_data_out[13] *1193:7 42.1658 -2 *1193:7 *1193:8 49.5285 -3 *1193:8 *1193:10 0.988641 -4 *1193:10 *1193:12 63.9482 -5 *1193:12 *1193:14 4.5 -6 *1193:14 *2820:la_data_out_core[13] 46.2884 -*END - -*D_NET *1194 0.019339 -*CONN -*I *2820:la_data_out_core[14] I *D mgmt_protect -*I *2821:la_data_out[14] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[14] 0.0018663 -2 *2821:la_data_out[14] 0.00106562 -3 *1194:10 0.0018663 -4 *1194:8 0.00237999 -5 *1194:7 0.00344561 -6 *2820:la_data_out_core[14] *1578:5 0 -7 *1194:8 *1576:8 0.00827248 -8 *1194:8 *1577:8 0.000199561 -9 *2821:la_data_in[19] *2820:la_data_out_core[14] 0.000243131 -10 *938:5 *2820:la_data_out_core[14] 0 -*RES -1 *2821:la_data_out[14] *1194:7 34.276 -2 *1194:7 *1194:8 101.107 -3 *1194:8 *1194:10 4.5 -4 *1194:10 *2820:la_data_out_core[14] 52.6149 -*END - -*D_NET *1195 0.022992 -*CONN -*I *2820:la_data_out_core[15] I *D mgmt_protect -*I *2821:la_data_out[15] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[15] 0.00167733 -2 *2821:la_data_out[15] 0.00140902 -3 *1195:14 0.00167733 -4 *1195:12 0.000811001 -5 *1195:10 0.00222002 -6 *2820:la_data_out_core[15] *1199:7 9.38585e-05 -7 *2820:la_data_out_core[15] *1579:7 0 -8 *1195:12 *1579:8 0.000231325 -9 *938:8 *1195:12 0.00664701 -10 *938:14 *1195:10 4.27168e-05 -11 *938:14 *1195:12 0.000246208 -12 *939:5 *2820:la_data_out_core[15] 0 -13 *939:8 *1195:10 8.29362e-06 -14 *939:8 *1195:12 0.00792784 -*RES -1 *2821:la_data_out[15] *1195:10 41.9449 -2 *1195:10 *1195:12 86.9643 -3 *1195:12 *1195:14 4.5 -4 *1195:14 *2820:la_data_out_core[15] 46.3861 -*END - -*D_NET *1196 0.018924 -*CONN -*I *2820:la_data_out_core[16] I *D mgmt_protect -*I *2821:la_data_out[16] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[16] 0.0014961 -2 *2821:la_data_out[16] 0.00155457 -3 *1196:8 0.00260033 -4 *1196:7 0.0026588 -5 *2820:la_data_out_core[16] *1580:7 0 -6 *1196:8 *1197:8 0 -7 *1196:8 *1556:10 0 -8 *1196:8 *1580:8 0.00631551 -9 *1196:8 *1581:8 0.00425301 -10 *940:7 *2820:la_data_out_core[16] 0 -11 *1183:12 *1196:8 4.57047e-05 -*RES -1 *2821:la_data_out[16] *1196:7 45.0726 -2 *1196:7 *1196:8 74.4857 -3 *1196:8 *2820:la_data_out_core[16] 46.3183 -*END - -*D_NET *1197 0.0122609 -*CONN -*I *2820:la_data_out_core[17] I *D mgmt_protect -*I *2821:la_data_out[17] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[17] 0.00107109 -2 *2821:la_data_out[17] 0.00168413 -3 *1197:8 0.00309972 -4 *1197:7 0.00371276 -5 *2820:la_data_out_core[17] *1581:7 0.000833131 -6 *1197:8 *1199:10 0.000778193 -7 *1197:8 *1556:10 0.000645042 -8 *1197:8 *1581:8 0 -9 *941:7 *2820:la_data_out_core[17] 0 -10 *1172:8 *1197:8 0.000436811 -11 *1196:8 *1197:8 0 -*RES -1 *2821:la_data_out[17] *1197:7 47.9793 -2 *1197:7 *1197:8 61.7298 -3 *1197:8 *2820:la_data_out_core[17] 44.7062 -*END - -*D_NET *1198 0.014912 -*CONN -*I *2820:la_data_out_core[18] I *D mgmt_protect -*I *2821:la_data_out[18] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[18] 0.00151167 -2 *2821:la_data_out[18] 0.00131679 -3 *1198:8 0.00210545 -4 *1198:7 0.00191057 -5 *2820:la_data_out_core[18] *1582:7 0 -6 *1198:7 *1576:5 0.000151738 -7 *1198:8 *1199:10 0 -8 *1198:8 *1580:8 0.00322728 -9 *1198:8 *1581:8 0.000185432 -10 *1198:8 *1582:10 0.00381791 -11 *2820:la_data_out_core[12] *1198:7 0.000524092 -12 *942:7 *2820:la_data_out_core[18] 0 -13 *942:8 *1198:8 0.000161084 -*RES -1 *2821:la_data_out[18] *1198:7 44.2421 -2 *1198:7 *1198:8 47.8647 -3 *1198:8 *2820:la_data_out_core[18] 47.1488 -*END - -*D_NET *1199 0.00912864 -*CONN -*I *2820:la_data_out_core[19] I *D mgmt_protect -*I *2821:la_data_out[19] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[19] 0.00138377 -2 *2821:la_data_out[19] 0.00149903 -3 *1199:10 0.002359 -4 *1199:7 0.00247425 -5 *2820:la_data_out_core[19] *1583:5 0 -6 *1199:7 *1578:5 0 -7 *1199:10 *1581:8 0.000332055 -8 *1199:10 *1582:10 0 -9 *2820:la_data_out_core[15] *1199:7 9.38585e-05 -10 *2821:la_data_in[21] *2820:la_data_out_core[19] 4.50467e-05 -11 *939:5 *1199:7 0.00016343 -12 *943:7 *2820:la_data_out_core[19] 0 -13 *943:10 *1199:10 0 -14 *1197:8 *1199:10 0.000778193 -15 *1198:8 *1199:10 0 -*RES -1 *2821:la_data_out[19] *1199:7 47.1488 -2 *1199:7 *1199:10 38.4996 -3 *1199:10 *2820:la_data_out_core[19] 39.7421 -*END - -*D_NET *1200 0.0570671 -*CONN -*I *2820:la_data_out_core[1] I *D mgmt_protect -*I *2821:la_data_out[1] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[1] 0.00106478 -2 *2821:la_data_out[1] 0.00231811 -3 *1200:12 0.0045742 -4 *1200:11 0.00373644 -5 *1200:5 0.00254513 -6 *2820:la_data_out_core[1] *1584:7 0 -7 *1200:5 *2820:mprj_dat_i_user[0] 0 -8 *1200:5 *2491:7 0 -9 *1200:11 *1921:7 9.32704e-05 -10 *1200:12 *1545:8 0.0252427 -11 *1200:12 *1595:8 0.000226394 -12 *1200:12 *1802:8 0.0164007 -13 *1200:12 *1804:8 0.000670122 -14 *1200:12 *1806:8 6.0055e-05 -15 *1200:12 *1808:8 0 -16 *1200:12 *1936:8 0.000135103 -17 *1200:12 *1938:8 0 -18 *905:10 *1200:12 0 -19 *944:5 *2820:la_data_out_core[1] 0 -20 *977:10 *1200:12 0 -21 *1010:8 *1200:12 0 -*RES -1 *2821:la_data_out[1] *1200:5 49.9158 -2 *1200:5 *1200:11 8.51818 -3 *1200:11 *1200:12 274.698 -4 *1200:12 *2820:la_data_out_core[1] 33.8608 -*END - -*D_NET *1201 0.00893657 -*CONN -*I *2820:la_data_out_core[20] I *D mgmt_protect -*I *2821:la_data_out[20] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[20] 0.00175503 -2 *2821:la_data_out[20] 0.0010072 -3 *1201:10 0.0022349 -4 *1201:7 0.00148706 -5 *2820:la_data_out_core[20] *1202:7 0.000809456 -6 *2820:la_data_out_core[20] *1583:5 0 -7 *2820:la_data_out_core[20] *1585:5 0 -8 *1201:10 *1583:10 0.000313928 -9 *1201:10 *1585:10 0.00132899 -10 *945:5 *2820:la_data_out_core[20] 0 -*RES -1 *2821:la_data_out[20] *1201:7 32.615 -2 *1201:7 *1201:10 25.7437 -3 *1201:10 *2820:la_data_out_core[20] 54.2759 -*END - -*D_NET *1202 0.00689447 -*CONN -*I *2820:la_data_out_core[21] I *D mgmt_protect -*I *2821:la_data_out[21] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[21] 0.00146445 -2 *2821:la_data_out[21] 0.00128856 -3 *1202:10 0.00175394 -4 *1202:7 0.00157806 -5 *2820:la_data_out_core[21] *1585:5 0 -6 *2820:la_data_out_core[21] *1586:5 0 -7 *1202:7 *1585:5 0 -8 *2820:la_data_out_core[20] *1202:7 0.000809456 -9 *946:7 *2820:la_data_out_core[21] 0 -10 *946:10 *1202:10 0 -*RES -1 *2821:la_data_out[21] *1202:7 45.4878 -2 *1202:7 *1202:10 11.8786 -3 *1202:10 *2820:la_data_out_core[21] 41.4031 -*END - -*D_NET *1203 0.00653743 -*CONN -*I *2820:la_data_out_core[22] I *D mgmt_protect -*I *2821:la_data_out[22] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[22] 0.00151188 -2 *2821:la_data_out[22] 0.00159064 -3 *1203:7 0.00310252 -4 *2820:la_data_out_core[22] *1587:7 0 -5 *2820:la_data_out_core[22] *1587:10 0.00033061 -6 *1203:7 *2820:la_data_out_core[23] 1.77537e-06 -7 *947:5 *2820:la_data_out_core[22] 0 -*RES -1 *2821:la_data_out[22] *1203:7 49.2251 -2 *1203:7 *2820:la_data_out_core[22] 48.4352 -*END - -*D_NET *1204 0.0084412 -*CONN -*I *2820:la_data_out_core[23] I *D mgmt_protect -*I *2821:la_data_out[23] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[23] 0.00134149 -2 *2821:la_data_out[23] 0.00159141 -3 *1204:10 0.00178415 -4 *1204:7 0.00203407 -5 *2820:la_data_out_core[23] *1587:7 0 -6 *2820:la_data_out_core[23] *1588:7 0 -7 *1204:10 *1205:10 0.00106767 -8 *1204:10 *1587:10 0.00048572 -9 *948:7 *2820:la_data_out_core[23] 0 -10 *948:10 *1204:10 0.00013491 -11 *1203:7 *2820:la_data_out_core[23] 1.77537e-06 -*RES -1 *2821:la_data_out[23] *1204:7 49.2251 -2 *1204:7 *1204:10 24.0799 -3 *1204:10 *2820:la_data_out_core[23] 37.6658 -*END - -*D_NET *1205 0.0123725 -*CONN -*I *2820:la_data_out_core[24] I *D mgmt_protect -*I *2821:la_data_out[24] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[24] 0.00133447 -2 *2821:la_data_out[24] 0.00161284 -3 *1205:10 0.00159435 -4 *1205:7 0.00187272 -5 *2820:la_data_out_core[24] *1588:7 0 -6 *2820:la_data_out_core[24] *1589:7 0 -7 *1205:10 *1588:10 6.24655e-05 -8 *948:10 *1205:10 0.000379505 -9 *949:7 *2820:la_data_out_core[24] 0 -10 *950:7 *2820:la_data_out_core[24] 0 -11 *950:10 *1205:10 0.0025949 -12 *951:8 *1205:10 0.00185362 -13 *1204:10 *1205:10 0.00106767 -*RES -1 *2821:la_data_out[24] *1205:7 49.6404 -2 *1205:7 *1205:10 37.3904 -3 *1205:10 *2820:la_data_out_core[24] 37.2506 -*END - -*D_NET *1206 0.014739 -*CONN -*I *2820:la_data_out_core[25] I *D mgmt_protect -*I *2821:la_data_out[25] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[25] 0.000869726 -2 *2821:la_data_out[25] 0.00168489 -3 *1206:8 0.00122517 -4 *1206:5 0.00204033 -5 *2820:la_data_out_core[25] *1590:7 0.0021802 -6 *1206:5 *1209:16 0 -7 *1206:8 *1210:14 0.000598776 -8 *1206:8 *1589:10 0.00285399 -9 *1206:8 *1590:8 0.000723529 -10 *1206:8 *1594:10 0.00107411 -11 *949:10 *1206:8 0.00148832 -12 *950:7 *2820:la_data_out_core[25] 0 -13 *951:7 *2820:la_data_out_core[25] 0 -*RES -1 *2821:la_data_out[25] *1206:5 46.8014 -2 *1206:5 *1206:8 48.4825 -3 *1206:8 *2820:la_data_out_core[25] 42.5078 -*END - -*D_NET *1207 0.0145114 -*CONN -*I *2820:la_data_out_core[26] I *D mgmt_protect -*I *2821:la_data_out[26] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[26] 0.000859821 -2 *2821:la_data_out[26] 0.00211731 -3 *1207:8 0.00232711 -4 *1207:7 0.00146729 -5 *1207:5 0.00211731 -6 *2820:la_data_out_core[26] *1591:7 0 -7 *1207:5 *2820:la_data_out_core[33] 0 -8 *1207:5 *2820:la_data_out_core[34] 0 -9 *1207:5 *1599:7 0.000316096 -10 *1207:8 *1591:8 0.00530648 -11 *951:7 *2820:la_data_out_core[26] 0 -12 *952:7 *2820:la_data_out_core[26] 0 -13 *960:7 *1207:5 0 -*RES -1 *2821:la_data_out[26] *1207:5 58.0132 -2 *1207:5 *1207:7 4.5 -3 *1207:7 *1207:8 59.5114 -4 *1207:8 *2820:la_data_out_core[26] 28.8777 -*END - -*D_NET *1208 0.017566 -*CONN -*I *2820:la_data_out_core[27] I *D mgmt_protect -*I *2821:la_data_out[27] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[27] 0.000639205 -2 *2821:la_data_out[27] 0.00201284 -3 *1208:8 0.00190909 -4 *1208:7 0.00126988 -5 *1208:5 0.00201284 -6 *2820:la_data_out_core[27] *1592:7 0.00068169 -7 *1208:5 *2820:la_data_out_core[36] 0.00116622 -8 *1208:5 *1601:7 0 -9 *1208:5 *1602:7 0 -10 *1208:8 *1591:8 0.00133181 -11 *1208:8 *1592:8 0.00654239 -12 *952:7 *2820:la_data_out_core[27] 0 -13 *953:7 *2820:la_data_out_core[27] 0 -14 *962:7 *1208:5 0 -15 *963:7 *1208:5 0 -*RES -1 *2821:la_data_out[27] *1208:5 59.6742 -2 *1208:5 *1208:7 4.5 -3 *1208:7 *1208:8 71.1581 -4 *1208:8 *2820:la_data_out_core[27] 29.0732 -*END - -*D_NET *1209 0.0183347 -*CONN -*I *2820:la_data_out_core[28] I *D mgmt_protect -*I *2821:la_data_out[28] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[28] 0.00119526 -2 *2821:la_data_out[28] 0.00149112 -3 *1209:16 0.00190766 -4 *1209:8 0.00207516 -5 *1209:7 0.00136277 -6 *1209:5 0.00149112 -7 *2820:la_data_out_core[28] *1593:7 0 -8 *1209:5 *2820:la_data_out_core[38] 0 -9 *1209:5 *1604:7 0 -10 *1209:5 *1605:5 0 -11 *1209:8 *1593:12 0.000315426 -12 *1209:8 *1600:8 0 -13 *1209:16 *2821:la_oenb[25] 0 -14 *1209:16 *1212:8 0.000904135 -15 *1209:16 *1213:8 0.00011818 -16 *1209:16 *1590:8 3.18408e-05 -17 *951:8 *1209:8 0.000453708 -18 *952:11 *1209:16 0.000501532 -19 *953:7 *2820:la_data_out_core[28] 0 -20 *953:13 *1209:16 0.000200784 -21 *953:14 *1209:8 0.00493048 -22 *954:13 *1209:16 0.000243701 -23 *957:8 *1209:16 0.000113197 -24 *965:7 *1209:5 0.00099861 -25 *1206:5 *1209:16 0 -*RES -1 *2821:la_data_out[28] *1209:5 45.5556 -2 *1209:5 *1209:7 4.5 -3 *1209:7 *1209:8 60.6206 -4 *1209:8 *1209:16 43.5041 -5 *1209:16 *2820:la_data_out_core[28] 32.6828 -*END - -*D_NET *1210 0.024922 -*CONN -*I *2820:la_data_out_core[29] I *D mgmt_protect -*I *2821:la_data_out[29] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[29] 0.00108545 -2 *2821:la_data_out[29] 0.00164155 -3 *1210:14 0.00129488 -4 *1210:8 0.0011494 -5 *1210:7 0.000939964 -6 *1210:5 0.00164155 -7 *2820:la_data_out_core[29] *2821:la_oenb[24] 2.95757e-05 -8 *2820:la_data_out_core[29] *1593:7 0 -9 *2820:la_data_out_core[29] *1594:7 0 -10 *1210:5 *2820:la_data_out_core[41] 0 -11 *1210:5 *2820:la_data_out_core[42] 0 -12 *1210:5 *1608:7 0.000750405 -13 *1210:8 *1593:10 7.02172e-06 -14 *1210:8 *1593:12 0.00638077 -15 *1210:8 *1594:10 0.000474783 -16 *1210:8 *1594:12 0.000281315 -17 *1210:14 *1589:10 6.08467e-05 -18 *1210:14 *1593:10 0.000464685 -19 *1210:14 *1594:10 6.63455e-05 -20 *950:10 *1210:14 0.000695903 -21 *954:7 *2820:la_data_out_core[29] 0.000693779 -22 *954:14 *1210:8 0.00659125 -23 *968:8 *1210:8 7.3776e-05 -24 *1206:8 *1210:14 0.000598776 -*RES -1 *2821:la_data_out[29] *1210:5 46.8014 -2 *1210:5 *1210:7 4.5 -3 *1210:7 *1210:8 82.8047 -4 *1210:8 *1210:14 22.8501 -5 *1210:14 *2820:la_data_out_core[29] 37.1529 -*END - -*D_NET *1211 0.0580682 -*CONN -*I *2820:la_data_out_core[2] I *D mgmt_protect -*I *2821:la_data_out[2] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[2] 0.00184447 -2 *2821:la_data_out[2] 0.00148732 -3 *1211:10 0.00184447 -4 *1211:8 0.00196288 -5 *1211:7 0.0034502 -6 *2820:la_data_out_core[2] *1595:7 0 -7 *1211:7 *1943:7 0 -8 *1211:7 *2493:5 0 -9 *905:14 *1211:8 0.0187575 -10 *937:8 *1211:8 0.0030664 -11 *938:14 *1211:8 5.03285e-05 -12 *955:5 *2820:la_data_out_core[2] 0 -13 *955:8 *1211:8 0.0243589 -14 *966:7 *2820:la_data_out_core[2] 0 -15 *977:14 *1211:8 0.00124575 -*RES -1 *2821:la_data_out[2] *1211:7 40.0896 -2 *1211:7 *1211:8 260.278 -3 *1211:8 *1211:10 4.5 -4 *1211:10 *2820:la_data_out_core[2] 46.8014 -*END - -*D_NET *1212 0.0291278 -*CONN -*I *2820:la_data_out_core[30] I *D mgmt_protect -*I *2821:la_data_out[30] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[30] 0.00121428 -2 *2821:la_data_out[30] 0.00195005 -3 *1212:8 0.00215559 -4 *1212:7 0.000941317 -5 *1212:5 0.00195005 -6 *2820:la_data_out_core[30] *1596:7 0 -7 *1212:5 *1611:7 0 -8 *1212:8 *1213:8 3.07561e-05 -9 *1212:8 *1596:8 0.0102974 -10 *1212:8 *1597:8 0.00957103 -11 *954:13 *1212:8 0.000113197 -12 *956:7 *2820:la_data_out_core[30] 0 -13 *971:7 *1212:5 0 -14 *1209:16 *1212:8 0.000904135 -*RES -1 *2821:la_data_out[30] *1212:5 49.2929 -2 *1212:5 *1212:7 4.5 -3 *1212:7 *1212:8 112.753 -4 *1212:8 *2820:la_data_out_core[30] 37.598 -*END - -*D_NET *1213 0.0316964 -*CONN -*I *2820:la_data_out_core[31] I *D mgmt_protect -*I *2821:la_data_out[31] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[31] 0.00117774 -2 *2821:la_data_out[31] 0.00201243 -3 *1213:8 0.00224098 -4 *1213:7 0.00106324 -5 *1213:5 0.00201243 -6 *2820:la_data_out_core[31] *1596:7 0 -7 *2820:la_data_out_core[31] *1597:7 0 -8 *1213:5 *2820:la_data_out_core[46] 0 -9 *1213:5 *2820:la_data_out_core[47] 0 -10 *1213:5 *1613:5 0 -11 *1213:8 *1214:8 0.000298839 -12 *1213:8 *1597:8 0.0115993 -13 *953:13 *2820:la_data_out_core[31] 1.47102e-05 -14 *957:7 *2820:la_data_out_core[31] 0 -15 *957:8 *1213:8 0.0111278 -16 *1209:16 *1213:8 0.00011818 -17 *1212:8 *1213:8 3.07561e-05 -*RES -1 *2821:la_data_out[31] *1213:5 50.1234 -2 *1213:5 *1213:7 4.5 -3 *1213:7 *1213:8 126.064 -4 *1213:8 *2820:la_data_out_core[31] 36.7675 -*END - -*D_NET *1214 0.0328583 -*CONN -*I *2820:la_data_out_core[32] I *D mgmt_protect -*I *2821:la_data_out[32] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[32] 0.00114286 -2 *2821:la_data_out[32] 0.00206897 -3 *1214:8 0.00258317 -4 *1214:7 0.0014403 -5 *1214:5 0.00206897 -6 *2820:la_data_out_core[32] *1597:7 0 -7 *2820:la_data_out_core[32] *1598:7 0 -8 *1214:5 *2820:la_data_out_core[49] 0 -9 *1214:5 *1610:13 0 -10 *1214:5 *1616:7 0 -11 *1214:8 *1232:8 0 -12 *1214:8 *1597:8 0.000157173 -13 *1214:8 *1611:8 0 -14 *952:11 *2820:la_data_out_core[32] 1.77537e-06 -15 *957:8 *1214:8 0.0104079 -16 *958:7 *2820:la_data_out_core[32] 0 -17 *958:8 *1214:8 0.0123895 -18 *959:7 *2820:la_data_out_core[32] 0 -19 *959:8 *1214:8 0.000298839 -20 *1213:8 *1214:8 0.000298839 -*RES -1 *2821:la_data_out[32] *1214:5 50.9539 -2 *1214:5 *1214:7 4.5 -3 *1214:7 *1214:8 139.374 -4 *1214:8 *2820:la_data_out_core[32] 35.937 -*END - -*D_NET *1215 0.0281149 -*CONN -*I *2820:la_data_out_core[33] I *D mgmt_protect -*I *2821:la_data_out[33] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[33] 0.00157865 -2 *2821:la_data_out[33] 0.00137162 -3 *1215:10 0.00157865 -4 *1215:8 0.00288973 -5 *1215:7 0.00426135 -6 *2820:la_data_out_core[33] *1599:7 0.000612669 -7 *1215:8 *1216:8 0.0136345 -8 *1215:8 *1224:8 0 -9 *1215:8 *1608:10 0.000823167 -10 *1215:8 *1614:10 0.000421178 -11 *1215:8 *1616:10 0.000670122 -12 *1215:8 *1619:10 0.00027329 -13 *2821:la_data_in[26] *2820:la_data_out_core[33] 0 -14 *959:7 *2820:la_data_out_core[33] 0 -15 *1207:5 *2820:la_data_out_core[33] 0 -*RES -1 *2821:la_data_out[33] *1215:7 39.6743 -2 *1215:7 *1215:8 152.13 -3 *1215:8 *1215:10 4.5 -4 *1215:10 *2820:la_data_out_core[33] 47.9494 -*END - -*D_NET *1216 0.0376543 -*CONN -*I *2820:la_data_out_core[34] I *D mgmt_protect -*I *2821:la_data_out[34] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[34] 0.00179772 -2 *2821:la_data_out[34] 0.00139305 -3 *1216:10 0.00179772 -4 *1216:8 0.00169446 -5 *1216:7 0.00308751 -6 *2820:la_data_out_core[34] *2821:la_oenb[26] 0 -7 *2820:la_data_out_core[34] *1600:7 0 -8 *1216:8 *1218:8 0 -9 *1216:8 *1219:8 0.000347135 -10 *1216:8 *1220:8 0.0125852 -11 *1216:8 *1601:8 0 -12 *1216:8 *1602:8 0 -13 *1216:8 *1619:10 0.000562035 -14 *1216:8 *1621:10 0.000744799 -15 *960:7 *2820:la_data_out_core[34] 0 -16 *963:8 *1216:8 1.00763e-05 -17 *1207:5 *2820:la_data_out_core[34] 0 -18 *1215:8 *1216:8 0.0136345 -*RES -1 *2821:la_data_out[34] *1216:7 40.0896 -2 *1216:7 *1216:8 165.995 -3 *1216:8 *1216:10 4.5 -4 *1216:10 *2820:la_data_out_core[34] 46.8014 -*END - -*D_NET *1217 0.0428589 -*CONN -*I *2820:la_data_out_core[35] I *D mgmt_protect -*I *2821:la_data_out[35] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[35] 0.00159722 -2 *2821:la_data_out[35] 0.00162885 -3 *1217:8 0.00309117 -4 *1217:7 0.0031228 -5 *2820:la_data_out_core[35] *2821:la_oenb[26] 0 -6 *2820:la_data_out_core[35] *1601:7 0 -7 *1217:8 *1601:8 0.0166267 -8 *2821:la_data_in[27] *2820:la_data_out_core[35] 0.000207891 -9 *961:7 *2820:la_data_out_core[35] 0 -10 *961:8 *1217:8 0.000218849 -11 *962:8 *1217:8 0.0163654 -*RES -1 *2821:la_data_out[35] *1217:7 44.6573 -2 *1217:7 *1217:8 179.86 -3 *1217:8 *2820:la_data_out_core[35] 47.4664 -*END - -*D_NET *1218 0.0451344 -*CONN -*I *2820:la_data_out_core[36] I *D mgmt_protect -*I *2821:la_data_out[36] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[36] 0.00143607 -2 *2821:la_data_out[36] 0.00141654 -3 *1218:8 0.00314737 -4 *1218:7 0.00312784 -5 *2820:la_data_out_core[36] *1602:7 0 -6 *1218:7 *2820:la_data_out_core[60] 0.000503581 -7 *1218:8 *1601:8 0.0167394 -8 *1218:8 *1602:8 0.000307881 -9 *1218:8 *1603:8 0.017124 -10 *962:7 *2820:la_data_out_core[36] 0 -11 *962:8 *1218:8 0.000165505 -12 *1208:5 *2820:la_data_out_core[36] 0.00116622 -13 *1216:8 *1218:8 0 -*RES -1 *2821:la_data_out[36] *1218:7 43.8268 -2 *1218:7 *1218:8 192.062 -3 *1218:8 *2820:la_data_out_core[36] 48.2969 -*END - -*D_NET *1219 0.048356 -*CONN -*I *2820:la_data_out_core[37] I *D mgmt_protect -*I *2821:la_data_out[37] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[37] 0.00150731 -2 *2821:la_data_out[37] 0.00131916 -3 *1219:10 0.00150731 -4 *1219:8 0.00168321 -5 *1219:7 0.00300238 -6 *2820:la_data_out_core[37] *2821:la_oenb[27] 0.00135933 -7 *2820:la_data_out_core[37] *1603:7 0 -8 *1219:8 *1220:8 0.0186577 -9 *1219:8 *1221:8 0.0179313 -10 *1219:8 *1604:8 0.000194684 -11 *963:7 *2820:la_data_out_core[37] 0 -12 *963:8 *1219:8 0.000264018 -13 *964:7 *2820:la_data_out_core[37] 0 -14 *991:7 *1219:7 0 -15 *992:5 *1219:7 0.000582407 -16 *1216:8 *1219:8 0.000347135 -*RES -1 *2821:la_data_out[37] *1219:7 40.9201 -2 *1219:7 *1219:8 206.481 -3 *1219:8 *1219:10 4.5 -4 *1219:10 *2820:la_data_out_core[37] 46.7037 -*END - -*D_NET *1220 0.0506271 -*CONN -*I *2820:la_data_out_core[38] I *D mgmt_protect -*I *2821:la_data_out[38] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[38] 0.00176122 -2 *2821:la_data_out[38] 0.00140689 -3 *1220:10 0.00176122 -4 *1220:8 0.00170249 -5 *1220:7 0.00310938 -6 *2820:la_data_out_core[38] *1604:7 0 -7 *1220:7 *2820:la_data_out_core[65] 0.000145584 -8 *1220:8 *1221:8 0.000199811 -9 *1220:8 *1621:10 0.0003447 -10 *1220:8 *1623:10 0.00727366 -11 *1220:8 *1630:14 0.00151986 -12 *2821:la_data_in[28] *2820:la_data_out_core[38] 0.000159306 -13 *964:7 *2820:la_data_out_core[38] 0 -14 *995:5 *1220:7 0 -15 *1209:5 *2820:la_data_out_core[38] 0 -16 *1216:8 *1220:8 0.0125852 -17 *1219:8 *1220:8 0.0186577 -*RES -1 *2821:la_data_out[38] *1220:7 40.5048 -2 *1220:7 *1220:8 219.237 -3 *1220:8 *1220:10 4.5 -4 *1220:10 *2820:la_data_out_core[38] 46.3861 -*END - -*D_NET *1221 0.0531135 -*CONN -*I *2820:la_data_out_core[39] I *D mgmt_protect -*I *2821:la_data_out[39] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[39] 0.00145563 -2 *2821:la_data_out[39] 0.00146712 -3 *1221:10 0.00145563 -4 *1221:8 0.0020056 -5 *1221:7 0.00347272 -6 *2820:la_data_out_core[39] *1605:5 0 -7 *1221:8 *1604:8 0.0205776 -8 *1221:8 *1630:14 0.0035546 -9 *965:7 *2820:la_data_out_core[39] 0.000856498 -10 *997:5 *1221:7 0.000136975 -11 *1219:8 *1221:8 0.0179313 -12 *1220:8 *1221:8 0.000199811 -*RES -1 *2821:la_data_out[39] *1221:7 41.3353 -2 *1221:7 *1221:8 233.102 -3 *1221:8 *1221:10 4.5 -4 *1221:10 *2820:la_data_out_core[39] 46.2884 -*END - -*D_NET *1222 0.0559277 -*CONN -*I *2820:la_data_out_core[3] I *D mgmt_protect -*I *2821:la_data_out[3] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[3] 0.00116607 -2 *2821:la_data_out[3] 0.00220768 -3 *1222:12 0.00317429 -4 *1222:10 0.00206172 -5 *1222:5 0.00226119 -6 *2820:la_data_out_core[3] *1606:7 0 -7 *1222:5 *1815:5 0 -8 *1222:5 *1886:23 0.000150416 -9 *1222:10 *1584:8 4.91225e-06 -10 *1222:10 *1816:8 0.000330596 -11 *1222:10 *1818:8 0.000383717 -12 *1222:12 *1233:8 0.000298839 -13 *1222:12 *1584:8 8.47748e-05 -14 *1222:12 *1606:8 0.0225714 -15 *1222:12 *1617:8 0.020556 -16 *1222:12 *1818:8 0.000625954 -17 *1222:12 *1820:8 5.00728e-05 -18 *944:13 *1222:5 0 -19 *966:7 *2820:la_data_out_core[3] 0 -*RES -1 *2821:la_data_out[3] *1222:5 50.1234 -2 *1222:5 *1222:10 9.12973 -3 *1222:10 *1222:12 243.64 -4 *1222:12 *2820:la_data_out_core[3] 36.3523 -*END - -*D_NET *1223 0.0547284 -*CONN -*I *2820:la_data_out_core[40] I *D mgmt_protect -*I *2821:la_data_out[40] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[40] 0.00210313 -2 *2821:la_data_out[40] 0.00106309 -3 *1223:10 0.00210313 -4 *1223:8 0.00202484 -5 *1223:7 0.00308793 -6 *2820:la_data_out_core[40] *2821:la_oenb[28] 0 -7 *2820:la_data_out_core[40] *1605:5 0 -8 *2820:la_data_out_core[40] *1607:5 0 -9 *1223:8 *1605:8 0.000612767 -10 *1223:8 *1607:8 0.022983 -11 *2821:la_data_in[29] *2820:la_data_out_core[40] 0 -12 *965:10 *1223:8 0.0205723 -13 *967:7 *2820:la_data_out_core[40] 0 -14 *997:8 *1223:8 0 -15 *1000:7 *1223:7 0 -16 *1001:5 *1223:7 0.000178219 -*RES -1 *2821:la_data_out[40] *1223:7 33.4455 -2 *1223:7 *1223:8 245.858 -3 *1223:8 *1223:10 4.5 -4 *1223:10 *2820:la_data_out_core[40] 53.4454 -*END - -*D_NET *1224 0.0549865 -*CONN -*I *2820:la_data_out_core[41] I *D mgmt_protect -*I *2821:la_data_out[41] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[41] 0.0016039 -2 *2821:la_data_out[41] 0.00130348 -3 *1224:10 0.0016039 -4 *1224:8 0.00250468 -5 *1224:7 0.00380815 -6 *2820:la_data_out_core[41] *1608:7 0.000903022 -7 *1224:8 *1225:8 0.000590742 -8 *1224:8 *1228:8 0.000137345 -9 *1224:8 *1607:8 0 -10 *1224:8 *1608:10 0.000977474 -11 *1224:8 *1612:10 0.0211136 -12 *1224:8 *1613:8 0.0204403 -13 *2821:la_data_in[29] *2820:la_data_out_core[41] 0 -14 *968:7 *2820:la_data_out_core[41] 0 -15 *1003:5 *1224:7 0 -16 *1210:5 *2820:la_data_out_core[41] 0 -17 *1215:8 *1224:8 0 -*RES -1 *2821:la_data_out[41] *1224:7 36.7675 -2 *1224:7 *1224:8 259.169 -3 *1224:8 *1224:10 4.5 -4 *1224:10 *2820:la_data_out_core[41] 50.1234 -*END - -*D_NET *1225 0.0588077 -*CONN -*I *2820:la_data_out_core[42] I *D mgmt_protect -*I *2821:la_data_out[42] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[42] 0.00193115 -2 *2821:la_data_out[42] 0.00117818 -3 *1225:10 0.00193115 -4 *1225:8 0.00239695 -5 *1225:7 0.00357513 -6 *2820:la_data_out_core[42] *2821:la_oenb[29] 0.000266589 -7 *2820:la_data_out_core[42] *1609:7 0 -8 *1225:8 *1228:8 0.0234818 -9 *1225:8 *1229:8 0.022747 -10 *1225:8 *1607:8 0.000548898 -11 *969:7 *2820:la_data_out_core[42] 0 -12 *1006:5 *1225:7 0.000160045 -13 *1210:5 *2820:la_data_out_core[42] 0 -14 *1224:8 *1225:8 0.000590742 -*RES -1 *2821:la_data_out[42] *1225:7 35.5218 -2 *1225:7 *1225:8 273.034 -3 *1225:8 *1225:10 4.5 -4 *1225:10 *2820:la_data_out_core[42] 51.3691 -*END - -*D_NET *1226 0.0629588 -*CONN -*I *2820:la_data_out_core[43] I *D mgmt_protect -*I *2821:la_data_out[43] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[43] 0.00135572 -2 *2821:la_data_out[43] 0.00139883 -3 *1226:14 0.00332307 -4 *1226:13 0.00270313 -5 *1226:7 0.00213461 -6 *2820:la_data_out_core[43] *1610:7 0 -7 *1226:7 *1648:5 0.000162811 -8 *1226:13 *1263:8 0.00116098 -9 *1226:13 *1630:14 3.26073e-05 -10 *1226:13 *1644:7 0.000142359 -11 *1226:13 *1644:8 0.00081651 -12 *1226:14 *1231:14 0.000336808 -13 *1226:14 *1609:8 0.0239147 -14 *2821:la_data_in[30] *2820:la_data_out_core[43] 0.000128678 -15 *2821:la_data_in[42] *1226:13 0 -16 *970:7 *2820:la_data_out_core[43] 0 -17 *970:8 *1226:14 0.0225999 -18 *970:16 *1226:13 0.00231003 -19 *971:7 *2820:la_data_out_core[43] 0 -20 *998:8 *1226:13 2.81824e-05 -21 *998:13 *1226:7 0 -22 *1009:5 *1226:7 0.000409907 -*RES -1 *2821:la_data_out[43] *1226:7 42.9963 -2 *1226:7 *1226:13 48.9813 -3 *1226:13 *1226:14 253.623 -4 *1226:14 *2820:la_data_out_core[43] 40.9201 -*END - -*D_NET *1227 0.0627201 -*CONN -*I *2820:la_data_out_core[44] I *D mgmt_protect -*I *2821:la_data_out[44] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[44] 0.000808221 -2 *2821:la_data_out[44] 0.00123045 -3 *1227:14 0.00212479 -4 *1227:13 0.00256166 -5 *1227:8 0.00305192 -6 *1227:7 0.00303728 -7 *2820:la_data_out_core[44] *1611:7 0 -8 *1227:8 *1229:8 0.0231036 -9 *1227:8 *1231:8 0.00061538 -10 *1227:8 *1610:14 0.0217293 -11 *1227:13 *2820:la_data_out_core[51] 0.000200531 -12 *1227:13 *2821:la_oenb[32] 0 -13 *1227:13 *1618:7 0 -14 *1227:14 *1610:10 0.0035144 -15 *1227:14 *1615:8 0.000742567 -16 *2821:la_data_in[33] *1227:13 0 -17 *971:7 *2820:la_data_out_core[44] 0 -18 *1013:5 *1227:7 0 -*RES -1 *2821:la_data_out[44] *1227:7 34.6913 -2 *1227:7 *1227:8 244.749 -3 *1227:8 *1227:13 38.6539 -4 *1227:13 *1227:14 55.0746 -5 *1227:14 *2820:la_data_out_core[44] 27.2167 -*END - -*D_NET *1228 0.0692584 -*CONN -*I *2820:la_data_out_core[45] I *D mgmt_protect -*I *2821:la_data_out[45] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[45] 0.00190999 -2 *2821:la_data_out[45] 0.00130159 -3 *1228:10 0.00190999 -4 *1228:8 0.00232336 -5 *1228:7 0.00362495 -6 *2820:la_data_out_core[45] *2821:la_oenb[30] 0.000416855 -7 *2820:la_data_out_core[45] *1611:7 0 -8 *2820:la_data_out_core[45] *1612:7 0 -9 *1228:8 *1229:8 0.000814059 -10 *1228:8 *1612:10 0.0291803 -11 *1228:8 *1648:8 0.00415813 -12 *972:7 *2820:la_data_out_core[45] 0 -13 *1224:8 *1228:8 0.000137345 -14 *1225:8 *1228:8 0.0234818 -*RES -1 *2821:la_data_out[45] *1228:7 35.937 -2 *1228:7 *1228:8 312.411 -3 *1228:8 *1228:10 4.5 -4 *1228:10 *2820:la_data_out_core[45] 50.9539 -*END - -*D_NET *1229 0.0678233 -*CONN -*I *2820:la_data_out_core[46] I *D mgmt_protect -*I *2821:la_data_out[46] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[46] 0.00198109 -2 *2821:la_data_out[46] 0.0011351 -3 *1229:10 0.00198109 -4 *1229:8 0.00290199 -5 *1229:7 0.00403709 -6 *2820:la_data_out_core[46] *1612:7 0 -7 *2820:la_data_out_core[46] *1613:5 0 -8 *1229:7 *1657:5 5.68549e-05 -9 *1229:8 *1231:8 0.00102819 -10 *1229:8 *1607:8 0.000512155 -11 *1229:8 *1610:14 0.000750112 -12 *1229:8 *1648:8 0.0062013 -13 *2821:la_data_in[31] *2820:la_data_out_core[46] 0.00029511 -14 *973:7 *2820:la_data_out_core[46] 0 -15 *1018:5 *1229:7 0.000278455 -16 *1213:5 *2820:la_data_out_core[46] 0 -17 *1225:8 *1229:8 0.022747 -18 *1227:8 *1229:8 0.0231036 -19 *1228:8 *1229:8 0.000814059 -*RES -1 *2821:la_data_out[46] *1229:7 35.1065 -2 *1229:7 *1229:8 326.276 -3 *1229:8 *1229:10 4.5 -4 *1229:10 *2820:la_data_out_core[46] 51.7844 -*END - -*D_NET *1230 0.0746648 -*CONN -*I *2820:la_data_out_core[47] I *D mgmt_protect -*I *2821:la_data_out[47] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[47] 0.00199116 -2 *2821:la_data_out[47] 0.0013795 -3 *1230:10 0.00199116 -4 *1230:8 0.00252215 -5 *1230:7 0.00390165 -6 *2820:la_data_out_core[47] *2821:la_oenb[31] 0 -7 *2820:la_data_out_core[47] *1613:5 0 -8 *2820:la_data_out_core[47] *1614:7 0 -9 *1230:7 *1275:24 9.57203e-05 -10 *1230:8 *1261:8 0.0105189 -11 *1230:8 *1608:10 0.0206018 -12 *1230:8 *1613:8 0.0307011 -13 *1230:8 *1614:10 0.000392997 -14 *1230:8 *1646:8 0.000568581 -15 *974:7 *2820:la_data_out_core[47] 0 -16 *1020:5 *1230:7 0 -17 *1213:5 *2820:la_data_out_core[47] 0 -*RES -1 *2821:la_data_out[47] *1230:7 37.598 -2 *1230:7 *1230:8 339.032 -3 *1230:8 *1230:10 4.5 -4 *1230:10 *2820:la_data_out_core[47] 49.2929 -*END - -*D_NET *1231 0.0541919 -*CONN -*I *2820:la_data_out_core[48] I *D mgmt_protect -*I *2821:la_data_out[48] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[48] 0.00146614 -2 *2821:la_data_out[48] 0.00123826 -3 *1231:14 0.00579778 -4 *1231:13 0.00475661 -5 *1231:8 0.00288199 -6 *1231:7 0.00369528 -7 *2820:la_data_out_core[48] *2821:la_oenb[31] 0 -8 *2820:la_data_out_core[48] *1610:13 0 -9 *2820:la_data_out_core[48] *1614:7 0 -10 *2820:la_data_out_core[48] *1615:7 0 -11 *1231:7 *1655:13 3.00073e-05 -12 *1231:8 *1275:14 0.00215938 -13 *1231:8 *1610:14 0.00136276 -14 *1231:8 *1648:8 0.000249054 -15 *1231:8 *1657:8 0.000872368 -16 *1231:8 *1659:8 0.00262867 -17 *1231:13 *2820:la_data_out_core[77] 0 -18 *1231:13 *1632:13 7.14746e-05 -19 *1231:14 *1600:8 0 -20 *1231:14 *1609:8 0.00030075 -21 *1231:14 *1620:14 1.00846e-05 -22 *1231:14 *1632:8 0.0047204 -23 *2821:la_data_in[32] *2820:la_data_out_core[48] 0 -24 *2821:la_data_in[43] *1231:13 0.000467497 -25 *961:8 *1231:14 0 -26 *962:8 *1231:14 0 -27 *968:8 *1231:14 0.0184969 -28 *970:8 *1231:14 0.000149001 -29 *971:8 *1231:14 4.27158e-05 -30 *975:7 *2820:la_data_out_core[48] 0 -31 *992:8 *1231:8 0 -32 *997:8 *1231:8 0 -33 *1007:7 *1231:13 0 -34 *1008:5 *1231:13 0.000814381 -35 *1226:14 *1231:14 0.000336808 -36 *1227:8 *1231:8 0.00061538 -37 *1229:8 *1231:8 0.00102819 -*RES -1 *2821:la_data_out[48] *1231:7 33.8608 -2 *1231:7 *1231:8 114.417 -3 *1231:8 *1231:13 24.5353 -4 *1231:13 *1231:14 238.648 -5 *1231:14 *2820:la_data_out_core[48] 42.1658 -*END - -*D_NET *1232 0.0638899 -*CONN -*I *2820:la_data_out_core[49] I *D mgmt_protect -*I *2821:la_data_out[49] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[49] 0.00130729 -2 *2821:la_data_out[49] 0.00210378 -3 *1232:8 0.00543494 -4 *1232:7 0.00412765 -5 *1232:5 0.00210378 -6 *2820:la_data_out_core[49] *1610:13 0 -7 *2820:la_data_out_core[49] *1615:7 0 -8 *2820:la_data_out_core[49] *1616:7 0 -9 *1232:5 *2820:la_data_out_core[94] 0 -10 *1232:5 *1666:7 0.000489045 -11 *1232:8 *1234:8 0.0337055 -12 *1232:8 *1269:8 0 -13 *1232:8 *1271:8 0.000139227 -14 *1232:8 *1272:8 0.000372603 -15 *1232:8 *1274:8 0.00211597 -16 *1232:8 *1611:8 0.0111711 -17 *1232:8 *1654:8 3.40535e-05 -18 *1232:8 *1665:8 0.000785018 -19 *959:8 *1232:8 0 -20 *976:7 *2820:la_data_out_core[49] 0 -21 *1027:5 *1232:5 0 -22 *1214:5 *2820:la_data_out_core[49] 0 -23 *1214:8 *1232:8 0 -*RES -1 *2821:la_data_out[49] *1232:5 48.0471 -2 *1232:5 *1232:7 4.5 -3 *1232:7 *1232:8 365.653 -4 *1232:8 *2820:la_data_out_core[49] 38.8438 -*END - -*D_NET *1233 0.0539277 -*CONN -*I *2820:la_data_out_core[4] I *D mgmt_protect -*I *2821:la_data_out[4] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[4] 0.00119427 -2 *2821:la_data_out[4] 0.00220274 -3 *1233:8 0.00302008 -4 *1233:7 0.00182581 -5 *1233:5 0.00220274 -6 *2820:la_data_out_core[4] *1606:7 0 -7 *2820:la_data_out_core[4] *1617:7 0 -8 *1233:5 *1819:5 0 -9 *1233:5 *1949:5 0 -10 *1233:5 *1950:7 0 -11 *1233:8 *1617:8 0.0212953 -12 *1233:8 *1820:8 0.00129676 -13 *1233:8 *1922:8 6.24819e-05 -14 *977:7 *2820:la_data_out_core[4] 0 -15 *988:8 *1233:8 0.0205287 -16 *1222:12 *1233:8 0.000298839 -*RES -1 *2821:la_data_out[4] *1233:5 49.7081 -2 *1233:5 *1233:7 4.5 -3 *1233:7 *1233:8 233.657 -4 *1233:8 *2820:la_data_out_core[4] 37.1828 -*END - -*D_NET *1234 0.0839466 -*CONN -*I *2820:la_data_out_core[50] I *D mgmt_protect -*I *2821:la_data_out[50] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[50] 0.00125971 -2 *2821:la_data_out[50] 0.00226531 -3 *1234:8 0.00420755 -4 *1234:7 0.00294784 -5 *1234:5 0.00226531 -6 *2820:la_data_out_core[50] *2821:la_oenb[32] 7.28919e-05 -7 *2820:la_data_out_core[50] *1616:7 0 -8 *2820:la_data_out_core[50] *1618:7 0 -9 *1234:5 *2820:la_data_out_core[97] 0.000140333 -10 *1234:8 *1618:8 0.035466 -11 *1234:8 *1665:8 0.000313008 -12 *1234:8 *1667:10 0.0013032 -13 *959:8 *1234:8 0 -14 *978:7 *2820:la_data_out_core[50] 0 -15 *1029:5 *1234:5 0 -16 *1232:8 *1234:8 0.0337055 -*RES -1 *2821:la_data_out[50] *1234:5 48.4624 -2 *1234:5 *1234:7 4.5 -3 *1234:7 *1234:8 379.518 -4 *1234:8 *2820:la_data_out_core[50] 38.4285 -*END - -*D_NET *1235 0.0867438 -*CONN -*I *2820:la_data_out_core[51] I *D mgmt_protect -*I *2821:la_data_out[51] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[51] 0.00113753 -2 *2821:la_data_out[51] 0.00216573 -3 *1235:12 0.00425333 -4 *1235:10 0.00317714 -5 *1235:5 0.00222707 -6 *2820:la_data_out_core[51] *1618:7 0 -7 *2820:la_data_out_core[51] *1619:7 0 -8 *1235:10 *1236:8 2.6243e-05 -9 *1235:10 *1669:10 0.000403799 -10 *1235:10 *1671:8 0.000324166 -11 *1235:12 *1236:8 0.035845 -12 *1235:12 *1618:8 0.0356253 -13 *1235:12 *1669:10 0.000401654 -14 *2821:la_data_in[33] *2820:la_data_out_core[51] 0.000159821 -15 *906:5 *1235:5 0.00079648 -16 *960:8 *1235:12 0 -17 *979:7 *2820:la_data_out_core[51] 0 -18 *1227:13 *2820:la_data_out_core[51] 0.000200531 -*RES -1 *2821:la_data_out[51] *1235:5 48.8776 -2 *1235:5 *1235:10 9.40704 -3 *1235:10 *1235:12 388.669 -4 *1235:12 *2820:la_data_out_core[51] 37.598 -*END - -*D_NET *1236 0.0898523 -*CONN -*I *2820:la_data_out_core[52] I *D mgmt_protect -*I *2821:la_data_out[52] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[52] 0.00123966 -2 *2821:la_data_out[52] 0.00218833 -3 *1236:8 0.00447218 -4 *1236:7 0.00323251 -5 *1236:5 0.00218833 -6 *2820:la_data_out_core[52] *1619:7 0 -7 *2820:la_data_out_core[52] *1620:7 0 -8 *1236:5 *1548:5 0.000928172 -9 *1236:8 *1237:8 0.0374261 -10 *1236:8 *1671:8 0.0023058 -11 *2820:la_data_out_core[103] *1236:5 0 -12 *960:8 *1236:8 0 -13 *980:7 *2820:la_data_out_core[52] 0 -14 *1235:10 *1236:8 2.6243e-05 -15 *1235:12 *1236:8 0.035845 -*RES -1 *2821:la_data_out[52] *1236:5 49.7081 -2 *1236:5 *1236:7 4.5 -3 *1236:7 *1236:8 406.139 -4 *1236:8 *2820:la_data_out_core[52] 37.1828 -*END - -*D_NET *1237 0.0920572 -*CONN -*I *2820:la_data_out_core[53] I *D mgmt_protect -*I *2821:la_data_out[53] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[53] 0.00120984 -2 *2821:la_data_out[53] 0.0020447 -3 *1237:8 0.00459653 -4 *1237:7 0.00338669 -5 *1237:5 0.0020447 -6 *2820:la_data_out_core[53] *2821:la_oenb[33] 5.82975e-05 -7 *2820:la_data_out_core[53] *1620:7 0 -8 *2820:la_data_out_core[53] *1621:7 0 -9 *1237:8 *1238:8 0.0387344 -10 *1237:8 *1671:8 0.000899113 -11 *2820:la_data_out_core[105] *1237:5 0.00165677 -12 *960:8 *1237:8 0 -13 *967:8 *1237:8 0 -14 *981:7 *2820:la_data_out_core[53] 0 -15 *1236:8 *1237:8 0.0374261 -*RES -1 *2821:la_data_out[53] *1237:5 50.1234 -2 *1237:5 *1237:7 4.5 -3 *1237:7 *1237:8 419.449 -4 *1237:8 *2820:la_data_out_core[53] 36.7675 -*END - -*D_NET *1238 0.0948675 -*CONN -*I *2820:la_data_out_core[54] I *D mgmt_protect -*I *2821:la_data_out[54] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[54] 0.00122069 -2 *2821:la_data_out[54] 0.00251856 -3 *1238:8 0.00466727 -4 *1238:7 0.00344658 -5 *1238:5 0.00251856 -6 *2820:la_data_out_core[54] *1621:7 0 -7 *2820:la_data_out_core[54] *1622:7 0 -8 *1238:5 *1553:7 0 -9 *1238:5 *1554:5 0 -10 *1238:8 *1239:8 0.0399237 -11 *1238:8 *1551:8 0.00178763 -12 *1238:8 *1671:8 5.00593e-05 -13 *2820:la_data_out_core[107] *1238:5 0 -14 *2821:la_data_in[34] *2820:la_data_out_core[54] 0 -15 *967:8 *1238:8 0 -16 *982:7 *2820:la_data_out_core[54] 0 -17 *1237:8 *1238:8 0.0387344 -*RES -1 *2821:la_data_out[54] *1238:5 50.5386 -2 *1238:5 *1238:7 4.5 -3 *1238:7 *1238:8 432.76 -4 *1238:8 *2820:la_data_out_core[54] 36.3523 -*END - -*D_NET *1239 0.0975148 -*CONN -*I *2820:la_data_out_core[55] I *D mgmt_protect -*I *2821:la_data_out[55] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[55] 0.00121872 -2 *2821:la_data_out[55] 0.00234288 -3 *1239:8 0.00480012 -4 *1239:7 0.0035814 -5 *1239:5 0.00234288 -6 *2820:la_data_out_core[55] *1622:7 0 -7 *2820:la_data_out_core[55] *1623:7 0 -8 *1239:5 *1557:5 0.000786733 -9 *1239:8 *1240:8 0.0411725 -10 *1239:8 *1551:8 0.000554491 -11 *1239:8 *1555:8 0.000791462 -12 *2820:la_data_out_core[111] *1239:5 0 -13 *967:8 *1239:8 0 -14 *983:7 *2820:la_data_out_core[55] 0 -15 *1238:8 *1239:8 0.0399237 -*RES -1 *2821:la_data_out[55] *1239:5 50.9539 -2 *1239:5 *1239:7 4.5 -3 *1239:7 *1239:8 446.07 -4 *1239:8 *2820:la_data_out_core[55] 35.937 -*END - -*D_NET *1240 0.101356 -*CONN -*I *2820:la_data_out_core[56] I *D mgmt_protect -*I *2821:la_data_out[56] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[56] 0.00120852 -2 *2821:la_data_out[56] 0.00208555 -3 *1240:8 0.00477169 -4 *1240:7 0.00356318 -5 *1240:5 0.00208555 -6 *2820:la_data_out_core[56] *1623:7 0 -7 *2820:la_data_out_core[56] *1624:7 0 -8 *1240:5 *1559:5 0 -9 *1240:8 *1555:8 0.000320552 -10 *1240:8 *1558:8 0.00126944 -11 *1240:8 *1624:8 0.0429524 -12 *967:8 *1240:8 1.22938e-05 -13 *984:7 *2820:la_data_out_core[56] 0 -14 *1176:11 *1240:5 0.00191452 -15 *1239:8 *1240:8 0.0411725 -*RES -1 *2821:la_data_out[56] *1240:5 51.3691 -2 *1240:5 *1240:7 4.5 -3 *1240:7 *1240:8 458.826 -4 *1240:8 *2820:la_data_out_core[56] 35.5218 -*END - -*D_NET *1241 0.0939339 -*CONN -*I *2820:la_data_out_core[57] I *D mgmt_protect -*I *2821:la_data_out[57] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[57] 0.000939765 -2 *2821:la_data_out[57] 0.00219362 -3 *1241:18 0.00216179 -4 *1241:16 0.00126628 -5 *1241:14 0.000958644 -6 *1241:13 0.00128713 -7 *1241:8 0.00290817 -8 *1241:7 0.00472905 -9 *2820:la_data_out_core[57] *1624:7 0 -10 *2820:la_data_out_core[57] *1625:7 0 -11 *1241:7 *1563:5 0 -12 *1241:8 *1267:8 0.00383501 -13 *1241:8 *1283:8 0.000241979 -14 *1241:8 *1620:14 0.0155543 -15 *1241:8 *1622:18 0.0162936 -16 *1241:8 *1625:14 0.00416819 -17 *1241:13 *1265:13 0.000856264 -18 *1241:14 *1615:8 0.0101294 -19 *1241:14 *1622:8 1.75637e-06 -20 *1241:14 *1622:12 0.0100763 -21 *1241:16 *1622:10 9.07708e-05 -22 *1241:18 *1615:8 0.000170421 -23 *1241:18 *1622:8 0.00935286 -24 *1241:18 *1625:8 0.0056129 -25 *1241:18 *1627:8 0.000245845 -26 *2820:la_data_out_core[115] *1241:7 0 -27 *985:7 *2820:la_data_out_core[57] 0 -28 *1014:5 *1241:13 0.000859862 -*RES -1 *2821:la_data_out[57] *1241:7 48.3946 -2 *1241:7 *1241:8 265.269 -3 *1241:8 *1241:13 25.3658 -4 *1241:13 *1241:14 108.316 -5 *1241:14 *1241:16 1.80849 -6 *1241:16 *1241:18 99.4428 -7 *1241:18 *2820:la_data_out_core[57] 28.4625 -*END - -*D_NET *1242 0.0932283 -*CONN -*I *2820:la_data_out_core[58] I *D mgmt_protect -*I *2821:la_data_out[58] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[58] 0.00032624 -2 *2821:la_data_out[58] 0.0039072 -3 *1242:14 0.00602311 -4 *1242:13 0.00584915 -5 *1242:8 0.00180699 -6 *1242:7 0.00165471 -7 *1242:5 0.0039072 -8 *2820:la_data_out_core[58] *1626:7 0 -9 *1242:5 *1565:8 0.000809673 -10 *1242:14 *1252:8 0.0322687 -11 *2820:la_data_out_core[110] *1242:13 6.99579e-05 -12 *2820:la_data_out_core[118] *1242:5 0 -13 *2820:la_data_out_core[119] *1242:5 0 -14 *917:7 *1242:13 4.38368e-05 -15 *925:7 *1242:5 0 -16 *984:8 *1242:14 0.00298084 -17 *986:7 *2820:la_data_out_core[58] 0 -18 *986:8 *1242:14 0 -19 *986:13 *1242:13 8.62625e-06 -20 *989:8 *1242:8 0.00632575 -21 *1004:8 *1242:14 0.0272462 -*RES -1 *2821:la_data_out[58] *1242:5 77.1148 -2 *1242:5 *1242:7 4.5 -3 *1242:7 *1242:8 67.8304 -4 *1242:8 *1242:13 12.9083 -5 *1242:13 *1242:14 417.785 -6 *1242:14 *2820:la_data_out_core[58] 13.5134 -*END - -*D_NET *1243 0.0835189 -*CONN -*I *2820:la_data_out_core[59] I *D mgmt_protect -*I *2821:la_data_out[59] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[59] 2.27669e-05 -2 *2821:la_data_out[59] 0.000799135 -3 *1243:11 0.00246022 -4 *1243:10 0.00243745 -5 *1243:8 0.00930812 -6 *1243:7 0.0101073 -7 *1243:8 *1245:8 0.046432 -8 *1243:11 *1627:7 0 -9 *2821:la_data_in[36] *1243:11 0.00127094 -10 *987:7 *1243:11 0 -11 *1023:8 *1243:8 0 -12 *1025:10 *1243:8 0 -13 *1027:8 *1243:8 0 -14 *1029:8 *1243:8 0 -15 *1031:8 *1243:8 0 -16 *1163:8 *1243:8 8.7654e-05 -17 *1165:8 *1243:8 0.000274449 -18 *1167:8 *1243:8 0.000384979 -19 *1169:8 *1243:8 0.000677667 -20 *1171:8 *1243:8 0.00913243 -21 *1185:11 *1243:7 0.000123848 -*RES -1 *2821:la_data_out[59] *1243:7 22.649 -2 *1243:7 *1243:8 498.757 -3 *1243:8 *1243:10 4.5 -4 *1243:10 *1243:11 64.3275 -5 *1243:11 *2820:la_data_out_core[59] 0.647305 -*END - -*D_NET *1244 0.0491205 -*CONN -*I *2820:la_data_out_core[5] I *D mgmt_protect -*I *2821:la_data_out[5] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[5] 0.00111637 -2 *2821:la_data_out[5] 0.00221778 -3 *1244:8 0.00331079 -4 *1244:7 0.00219442 -5 *1244:5 0.00221778 -6 *2820:la_data_out_core[5] *1628:7 0 -7 *1244:5 *2820:mprj_dat_i_user[10] 0 -8 *1244:5 *2820:mprj_dat_i_user[9] 0 -9 *1244:5 *1952:7 0 -10 *1244:8 *1584:8 0.0180182 -11 *1244:8 *1595:8 0.000315442 -12 *1244:8 *1606:8 0.0195283 -13 *1244:8 *1617:8 0.000185432 -14 *1244:8 *1639:8 0 -15 *988:7 *2820:la_data_out_core[5] 0 -16 *988:8 *1244:8 1.59204e-05 -17 *988:11 *1244:5 0 -*RES -1 *2821:la_data_out[5] *1244:5 51.3691 -2 *1244:5 *1244:7 4.5 -3 *1244:7 *1244:8 220.901 -4 *1244:8 *2820:la_data_out_core[5] 35.5218 -*END - -*D_NET *1245 0.110572 -*CONN -*I *2820:la_data_out_core[60] I *D mgmt_protect -*I *2821:la_data_out[60] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[60] 0.00255041 -2 *2821:la_data_out[60] 0.000885688 -3 *1245:10 0.00255041 -4 *1245:8 0.00373198 -5 *1245:7 0.00461767 -6 *2820:la_data_out_core[60] *1627:7 0 -7 *2820:la_data_out_core[60] *1629:7 0 -8 *2820:la_data_out_core[123] *1245:7 0 -9 *931:8 *1245:8 0.000738355 -10 *932:7 *1245:7 3.93117e-06 -11 *989:7 *2820:la_data_out_core[60] 0 -12 *990:5 *2820:la_data_out_core[60] 0 -13 *990:8 *1245:8 0.0479865 -14 *1171:8 *1245:8 0.000571078 -15 *1218:7 *2820:la_data_out_core[60] 0.000503581 -16 *1243:8 *1245:8 0.046432 -*RES -1 *2821:la_data_out[60] *1245:7 23.0642 -2 *1245:7 *1245:8 512.622 -3 *1245:8 *1245:10 4.5 -4 *1245:10 *2820:la_data_out_core[60] 63.8267 -*END - -*D_NET *1246 0.115802 -*CONN -*I *2820:la_data_out_core[61] I *D mgmt_protect -*I *2821:la_data_out[61] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[61] 0.00024545 -2 *2821:la_data_out[61] 0.00372252 -3 *1246:8 0.00490029 -4 *1246:7 0.00465484 -5 *1246:5 0.00372252 -6 *2820:la_data_out_core[61] *1630:10 0 -7 *1246:5 *1574:5 0.00182605 -8 *1246:8 *1247:8 0.0483002 -9 *2820:la_data_out_core[126] *1246:5 2.7698e-05 -10 *934:11 *1246:5 0 -11 *935:5 *1246:5 0 -12 *984:8 *1246:8 0.000133137 -13 *987:8 *1246:8 0.0444203 -14 *989:8 *1246:8 0.000628433 -15 *990:5 *2820:la_data_out_core[61] 0 -16 *1186:14 *1246:8 0.00322082 -*RES -1 *2821:la_data_out[61] *1246:5 75.869 -2 *1246:5 *1246:7 4.5 -3 *1246:7 *1246:8 525.933 -4 *1246:8 *2820:la_data_out_core[61] 11.0219 -*END - -*D_NET *1247 0.117702 -*CONN -*I *2820:la_data_out_core[62] I *D mgmt_protect -*I *2821:la_data_out[62] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[62] 0.000200157 -2 *2821:la_data_out[62] 0.00430085 -3 *1247:8 0.00497662 -4 *1247:7 0.00477646 -5 *1247:5 0.00430085 -6 *2820:la_data_out_core[62] *1631:7 0 -7 *1247:5 *2820:user_irq_core[2] 0 -8 *1247:5 *1631:16 0 -9 *1247:8 *1248:8 0.0495892 -10 *984:8 *1247:8 0.000179244 -11 *991:7 *2820:la_data_out_core[62] 0.000197303 -12 *1186:14 *1247:8 0.00088141 -13 *1246:8 *1247:8 0.0483002 -*RES -1 *2821:la_data_out[62] *1247:5 75.4538 -2 *1247:5 *1247:7 4.5 -3 *1247:7 *1247:8 539.243 -4 *1247:8 *2820:la_data_out_core[62] 11.4372 -*END - -*D_NET *1248 0.120319 -*CONN -*I *2820:la_data_out_core[63] I *D mgmt_protect -*I *2821:la_data_out[63] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[63] 0.000288323 -2 *2821:la_data_out[63] 0.00426899 -3 *1248:8 0.00515615 -4 *1248:7 0.00486783 -5 *1248:5 0.00426899 -6 *2820:la_data_out_core[63] *1632:7 0 -7 *1248:8 *1249:8 0.0507705 -8 *984:8 *1248:8 0.000363723 -9 *992:5 *2820:la_data_out_core[63] 0 -10 *1186:14 *1248:8 0.000536907 -11 *1190:16 *1248:8 0.000208728 -12 *1247:8 *1248:8 0.0495892 -*RES -1 *2821:la_data_out[63] *1248:5 75.0385 -2 *1248:5 *1248:7 4.5 -3 *1248:7 *1248:8 552.554 -4 *1248:8 *2820:la_data_out_core[63] 11.8524 -*END - -*D_NET *1249 0.120653 -*CONN -*I *2820:la_data_out_core[64] I *D mgmt_protect -*I *2821:la_data_out[64] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[64] 0.000243196 -2 *2821:la_data_out[64] 0.00423718 -3 *1249:8 0.00536757 -4 *1249:7 0.00512438 -5 *1249:5 0.00423718 -6 *2820:la_data_out_core[64] *1633:7 0 -7 *1249:8 *1252:8 0.00258599 -8 *984:8 *1249:8 0.0360934 -9 *993:9 *2820:la_data_out_core[64] 0.00012021 -10 *993:11 *2820:la_data_out_core[64] 0.000220437 -11 *1184:14 *1249:8 0.0108076 -12 *1186:14 *1249:8 0.000393655 -13 *1190:16 *1249:8 0.000451963 -14 *1248:8 *1249:8 0.0507705 -*RES -1 *2821:la_data_out[64] *1249:5 74.6233 -2 *1249:5 *1249:7 4.5 -3 *1249:7 *1249:8 565.31 -4 *1249:8 *2820:la_data_out_core[64] 13.0005 -*END - -*D_NET *1250 0.125836 -*CONN -*I *2820:la_data_out_core[65] I *D mgmt_protect -*I *2821:la_data_out[65] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[65] 0.00250778 -2 *2821:la_data_out[65] 0.00117957 -3 *1250:22 0.00250778 -4 *1250:20 0.00375622 -5 *1250:19 0.00396829 -6 *1250:16 0.00051476 -7 *1250:13 0.000733349 -8 *1250:7 0.00161024 -9 *2820:la_data_out_core[65] *1625:13 0 -10 *2820:la_data_out_core[65] *1634:7 0 -11 *1250:13 *2821:la_oenb[63] 0.000208084 -12 *1250:19 *2821:la_oenb[61] 0.000249983 -13 *2821:la_data_in[38] *2820:la_data_out_core[65] 0 -14 *2821:la_data_in[62] *1250:16 0.000749011 -15 *935:5 *1250:19 0 -16 *935:10 *1250:16 1.67988e-05 -17 *935:14 *1250:16 0.00337859 -18 *994:5 *2820:la_data_out_core[65] 0 -19 *994:8 *1250:20 0.0472188 -20 *995:5 *2820:la_data_out_core[65] 0 -21 *995:8 *1250:20 0.000322987 -22 *995:12 *1250:13 0.00332325 -23 *996:8 *1250:20 0.0459658 -24 *997:14 *1250:13 0.00331484 -25 *1007:10 *1250:16 0.00416455 -26 *1220:7 *2820:la_data_out_core[65] 0.000145584 -*RES -1 *2821:la_data_out[65] *1250:7 28.0472 -2 *1250:7 *1250:13 48.4323 -3 *1250:13 *1250:16 48.4825 -4 *1250:16 *1250:19 9.65401 -5 *1250:19 *1250:20 500.421 -6 *1250:20 *1250:22 4.5 -7 *1250:22 *2820:la_data_out_core[65] 58.0132 -*END - -*D_NET *1251 0.128257 -*CONN -*I *2820:la_data_out_core[66] I *D mgmt_protect -*I *2821:la_data_out[66] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[66] 0.00080165 -2 *2821:la_data_out[66] 0.00322192 -3 *1251:16 0.00111712 -4 *1251:8 0.00493151 -5 *1251:7 0.00461604 -6 *1251:5 0.00322192 -7 *2820:la_data_out_core[66] *1635:7 0 -8 *1251:8 *1253:8 0.0530591 -9 *1251:8 *1622:12 7.92757e-06 -10 *1251:8 *1633:14 0.00263026 -11 *1251:8 *1635:18 0.0469851 -12 *1251:8 *1656:8 0.00171697 -13 *1251:16 *1615:8 0.00271134 -14 *1251:16 *1633:11 0.00281159 -15 *1251:16 *1638:10 6.46135e-05 -16 *995:5 *2820:la_data_out_core[66] 0.000360068 -17 *1000:7 *1251:16 0 -*RES -1 *2821:la_data_out[66] *1251:5 58.4284 -2 *1251:5 *1251:7 4.5 -3 *1251:7 *1251:8 564.755 -4 *1251:8 *1251:16 45.1707 -5 *1251:16 *2820:la_data_out_core[66] 22.7656 -*END - -*D_NET *1252 0.130541 -*CONN -*I *2820:la_data_out_core[67] I *D mgmt_protect -*I *2821:la_data_out[67] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[67] 0.000352631 -2 *2821:la_data_out[67] 0.00413409 -3 *1252:8 0.00548533 -4 *1252:7 0.0051327 -5 *1252:5 0.00413409 -6 *2820:la_data_out_core[67] *1636:7 0 -7 *984:8 *1252:8 0.0339166 -8 *986:14 *1252:8 0.0056502 -9 *996:5 *2820:la_data_out_core[67] 0 -10 *1004:8 *1252:8 0.000189848 -11 *1181:14 *1252:8 0.01841 -12 *1184:14 *1252:8 0.0169127 -13 *1190:16 *1252:8 0.00136859 -14 *1242:14 *1252:8 0.0322687 -15 *1249:8 *1252:8 0.00258599 -*RES -1 *2821:la_data_out[67] *1252:5 73.7928 -2 *1252:5 *1252:7 4.5 -3 *1252:7 *1252:8 605.796 -4 *1252:8 *2820:la_data_out_core[67] 13.0982 -*END - -*D_NET *1253 0.133009 -*CONN -*I *2820:la_data_out_core[68] I *D mgmt_protect -*I *2821:la_data_out[68] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[68] 0.00138231 -2 *2821:la_data_out[68] 0.00317421 -3 *1253:8 0.00633781 -4 *1253:7 0.0049555 -5 *1253:5 0.00317421 -6 *2820:la_data_out_core[68] *1622:8 0.000455222 -7 *2820:la_data_out_core[68] *1622:10 1.09551e-05 -8 *2820:la_data_out_core[68] *1622:12 0 -9 *2820:la_data_out_core[68] *1635:10 6.0055e-05 -10 *2820:la_data_out_core[68] *1637:7 0 -11 *2820:la_data_out_core[68] *1638:10 0.00015574 -12 *1253:8 *1547:8 0.000639908 -13 *1253:8 *1635:18 0.000308997 -14 *1253:8 *1638:10 9.82896e-06 -15 *1253:8 *1638:12 0.0570802 -16 *1253:8 *1656:8 0.00220529 -17 *997:5 *2820:la_data_out_core[68] 0 -18 *1251:8 *1253:8 0.0530591 -*RES -1 *2821:la_data_out[68] *1253:5 58.0132 -2 *1253:5 *1253:7 4.5 -3 *1253:7 *1253:8 607.46 -4 *1253:8 *2820:la_data_out_core[68] 42.5124 -*END - -*D_NET *1254 0.131142 -*CONN -*I *2820:la_data_out_core[69] I *D mgmt_protect -*I *2821:la_data_out[69] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[69] 0.00265249 -2 *2821:la_data_out[69] 0.00111526 -3 *1254:10 0.00265249 -4 *1254:8 0.0052596 -5 *1254:7 0.00637486 -6 *2820:la_data_out_core[69] *2821:la_oenb[39] 9.31064e-05 -7 *2820:la_data_out_core[69] *1638:10 0 -8 *2821:la_data_in[40] *2820:la_data_out_core[69] 0 -9 *934:14 *1254:8 0.000134497 -10 *991:10 *1254:8 0 -11 *995:8 *1254:8 0.001683 -12 *997:14 *1254:8 1.65872e-05 -13 *998:5 *2820:la_data_out_core[69] 0 -14 *998:14 *1254:8 0.052432 -15 *1000:10 *1254:8 0.000226394 -16 *1001:8 *1254:8 0.0585022 -*RES -1 *2821:la_data_out[69] *1254:7 26.8015 -2 *1254:7 *1254:8 632.417 -3 *1254:8 *1254:10 4.5 -4 *1254:10 *2820:la_data_out_core[69] 60.0894 -*END - -*D_NET *1255 0.0468481 -*CONN -*I *2820:la_data_out_core[6] I *D mgmt_protect -*I *2821:la_data_out[6] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[6] 0.00126046 -2 *2821:la_data_out[6] 0.00199493 -3 *1255:8 0.00296781 -4 *1255:7 0.00170735 -5 *1255:5 0.00199493 -6 *2820:la_data_out_core[6] *1639:7 0 -7 *1255:5 *2820:mprj_dat_i_user[12] 0 -8 *1255:5 *1924:7 9.84343e-05 -9 *1255:8 *2820:mprj_dat_i_user[13] 0.000585477 -10 *1255:8 *1266:8 0.000881427 -11 *1255:8 *1277:8 0.0155722 -12 *1255:8 *1628:8 0.0190272 -13 *1255:8 *1639:8 6.44576e-05 -14 *1255:8 *1796:8 2.18145e-05 -15 *1255:8 *1863:18 0.00055844 -16 *999:7 *2820:la_data_out_core[6] 0 -17 *999:8 *1255:8 0.000113197 -*RES -1 *2821:la_data_out[6] *1255:5 47.6319 -2 *1255:5 *1255:7 4.5 -3 *1255:7 *1255:8 207.591 -4 *1255:8 *2820:la_data_out_core[6] 39.2591 -*END - -*D_NET *1256 0.141518 -*CONN -*I *2820:la_data_out_core[70] I *D mgmt_protect -*I *2821:la_data_out[70] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[70] 0.000835586 -2 *2821:la_data_out[70] 0.00309236 -3 *1256:8 0.0060387 -4 *1256:7 0.00520311 -5 *1256:5 0.00309236 -6 *2820:la_data_out_core[70] *1640:7 0.00142981 -7 *1256:8 *1547:8 0 -8 *1256:8 *1635:12 0 -9 *1256:8 *1638:12 0.0592132 -10 *1256:8 *1641:8 0.0598167 -11 *1256:8 *1658:8 0.00279601 -12 *1000:7 *2820:la_data_out_core[70] 0 -*RES -1 *2821:la_data_out[70] *1256:5 57.1827 -2 *1256:5 *1256:7 4.5 -3 *1256:7 *1256:8 643.509 -4 *1256:8 *2820:la_data_out_core[70] 32.1265 -*END - -*D_NET *1257 0.12991 -*CONN -*I *2820:la_data_out_core[71] I *D mgmt_protect -*I *2821:la_data_out[71] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[71] 0.0018761 -2 *2821:la_data_out[71] 0.00212679 -3 *1257:18 0.0057758 -4 *1257:17 0.0039514 -5 *1257:12 0.000964239 -6 *1257:10 0.000933173 -7 *1257:8 0.00157849 -8 *1257:7 0.00368464 -9 *2820:la_data_out_core[71] *1641:7 0 -10 *1257:8 *1259:8 0.000622925 -11 *1257:8 *1267:8 0 -12 *1257:8 *1637:12 0.000626455 -13 *1257:8 *1640:18 0.0064896 -14 *1257:8 *1664:8 0.000633776 -15 *1257:12 *1259:8 2.39581e-05 -16 *1257:12 *1637:12 0.00394354 -17 *1257:12 *1640:8 0.00311953 -18 *1257:12 *1640:18 0.00249332 -19 *1257:12 *1664:8 0.00057916 -20 *1257:18 *1259:8 0.0441695 -21 *1257:18 *1640:8 0.0456709 -22 *1257:18 *1642:8 0.000194684 -23 *1257:18 *1664:8 0.000451936 -24 *998:8 *1257:18 0 -25 *1001:5 *2820:la_data_out_core[71] 0 -26 *1002:5 *2820:la_data_out_core[71] 0 -*RES -1 *2821:la_data_out[71] *1257:7 45.4878 -2 *1257:7 *1257:8 82.5274 -3 *1257:8 *1257:10 0.578717 -4 *1257:10 *1257:12 91.9557 -5 *1257:12 *1257:17 10.0015 -6 *1257:17 *1257:18 485.447 -7 *1257:18 *2820:la_data_out_core[71] 46.3183 -*END - -*D_NET *1258 0.146783 -*CONN -*I *2820:la_data_out_core[72] I *D mgmt_protect -*I *2821:la_data_out[72] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[72] 0.00112991 -2 *2821:la_data_out[72] 0.00301053 -3 *1258:8 0.00645245 -4 *1258:7 0.00532253 -5 *1258:5 0.00301053 -6 *2820:la_data_out_core[72] *1642:7 0 -7 *1258:8 *1547:8 0 -8 *1258:8 *1635:12 6.0055e-05 -9 *1258:8 *1641:8 0.062007 -10 *1258:8 *1643:8 0.0624045 -11 *1258:8 *1660:8 0.00338536 -12 *1002:5 *2820:la_data_out_core[72] 0 -*RES -1 *2821:la_data_out[72] *1258:5 56.3522 -2 *1258:5 *1258:7 4.5 -3 *1258:7 *1258:8 672.348 -4 *1258:8 *2820:la_data_out_core[72] 30.5388 -*END - -*D_NET *1259 0.144368 -*CONN -*I *2820:la_data_out_core[73] I *D mgmt_protect -*I *2821:la_data_out[73] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[73] 0.00187091 -2 *2821:la_data_out[73] 0.00207029 -3 *1259:8 0.00757699 -4 *1259:7 0.00777637 -5 *2820:la_data_out_core[73] *1643:7 0 -6 *1259:8 *1640:8 0.00138703 -7 *1259:8 *1640:18 0.0120051 -8 *1259:8 *1642:8 0.0634484 -9 *1259:8 *1644:8 0.000622925 -10 *1259:8 *1664:8 0.002723 -11 *970:13 *2820:la_data_out_core[73] 7.03521e-05 -12 *1003:5 *2820:la_data_out_core[73] 0 -13 *1257:8 *1259:8 0.000622925 -14 *1257:12 *1259:8 2.39581e-05 -15 *1257:18 *1259:8 0.0441695 -*RES -1 *2821:la_data_out[73] *1259:7 44.6573 -2 *1259:7 *1259:8 686.213 -3 *1259:8 *2820:la_data_out_core[73] 46.7336 -*END - -*D_NET *1260 0.152661 -*CONN -*I *2820:la_data_out_core[74] I *D mgmt_protect -*I *2821:la_data_out[74] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[74] 0.00118104 -2 *2821:la_data_out[74] 0.00293556 -3 *1260:8 0.00655584 -4 *1260:7 0.0053748 -5 *1260:5 0.00293556 -6 *2820:la_data_out_core[74] *1643:7 0 -7 *2820:la_data_out_core[74] *1644:7 0 -8 *1260:8 *1276:8 0.00382644 -9 *1260:8 *1547:8 0 -10 *1260:8 *1635:12 0.000249876 -11 *1260:8 *1643:8 0.064635 -12 *1260:8 *1645:8 0.0649666 -13 *1004:7 *2820:la_data_out_core[74] 0 -*RES -1 *2821:la_data_out[74] *1260:5 55.5217 -2 *1260:5 *1260:7 4.5 -3 *1260:7 *1260:8 698.969 -4 *1260:8 *2820:la_data_out_core[74] 31.3693 -*END - -*D_NET *1261 0.143153 -*CONN -*I *2820:la_data_out_core[75] I *D mgmt_protect -*I *2821:la_data_out[75] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[75] 8.20467e-05 -2 *2821:la_data_out[75] 0.0016509 -3 *1261:11 0.001958 -4 *1261:10 0.00187595 -5 *1261:8 0.00638567 -6 *1261:7 0.00803657 -7 *1261:8 *1278:16 0.000672541 -8 *1261:8 *1546:18 0.0474208 -9 *1261:8 *1552:8 0.0436593 -10 *1261:8 *1570:8 0.00244018 -11 *1261:8 *1614:10 0.0112051 -12 *1261:8 *1616:10 0.00177903 -13 *1261:8 *1619:10 0.00100962 -14 *1261:8 *1621:10 0.000716318 -15 *1261:8 *1623:10 1.6258e-05 -16 *1261:8 *1646:8 0.00164802 -17 *1261:11 *1645:7 0.00152797 -18 *2821:la_data_in[42] *1261:11 0 -19 *906:8 *1261:8 0.000549364 -20 *1005:7 *1261:11 0 -21 *1006:5 *1261:11 0 -22 *1230:8 *1261:8 0.0105189 -*RES -1 *2821:la_data_out[75] *1261:7 38.0133 -2 *1261:7 *1261:8 710.616 -3 *1261:8 *1261:10 4.5 -4 *1261:10 *1261:11 48.9631 -5 *1261:11 *2820:la_data_out_core[75] 2.33274 -*END - -*D_NET *1262 0.15916 -*CONN -*I *2820:la_data_out_core[76] I *D mgmt_protect -*I *2821:la_data_out[76] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[76] 0.00122932 -2 *2821:la_data_out[76] 0.00286464 -3 *1262:8 0.00667844 -4 *1262:7 0.00544912 -5 *1262:5 0.00286464 -6 *2820:la_data_out_core[76] *1646:5 0 -7 *1262:8 *1264:8 0.0670169 -8 *1262:8 *1276:8 0.000116582 -9 *1262:8 *1635:12 0.00115033 -10 *1262:8 *1645:8 0.0671906 -11 *1262:8 *1647:8 0.000148901 -12 *1262:8 *1663:8 0.00445002 -13 *1006:5 *2820:la_data_out_core[76] 0 -*RES -1 *2821:la_data_out[76] *1262:5 54.6912 -2 *1262:5 *1262:7 4.5 -3 *1262:7 *1262:8 725.59 -4 *1262:8 *2820:la_data_out_core[76] 32.1998 -*END - -*D_NET *1263 0.158294 -*CONN -*I *2820:la_data_out_core[77] I *D mgmt_protect -*I *2821:la_data_out[77] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[77] 0.00140634 -2 *2821:la_data_out[77] 0.00198068 -3 *1263:8 0.00775888 -4 *1263:7 0.00833322 -5 *2820:la_data_out_core[77] *1632:13 0 -6 *2820:la_data_out_core[77] *1647:7 0 -7 *1263:8 *1265:8 0.0654865 -8 *1263:8 *1630:14 0 -9 *1263:8 *1644:8 0.064479 -10 *1263:8 *1651:8 0.000824071 -11 *1263:8 *1664:8 0.00447358 -12 *1007:7 *2820:la_data_out_core[77] 0.00239095 -13 *1008:5 *2820:la_data_out_core[77] 0 -14 *1226:13 *1263:8 0.00116098 -15 *1231:13 *2820:la_data_out_core[77] 0 -*RES -1 *2821:la_data_out[77] *1263:7 43.4116 -2 *1263:7 *1263:8 739.455 -3 *1263:8 *2820:la_data_out_core[77] 47.9793 -*END - -*D_NET *1264 0.1623 -*CONN -*I *2820:la_data_out_core[78] I *D mgmt_protect -*I *2821:la_data_out[78] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[78] 0.00125761 -2 *2821:la_data_out[78] 0.00280601 -3 *1264:8 0.00726134 -4 *1264:7 0.00600373 -5 *1264:5 0.00280601 -6 *2820:la_data_out_core[78] *1648:5 0 -7 *1264:8 *1276:8 0.000107416 -8 *1264:8 *1647:8 0.0697762 -9 *1264:8 *1649:8 0.000598776 -10 *1264:8 *1663:8 0.00466574 -11 *1008:5 *2820:la_data_out_core[78] 0 -12 *1262:8 *1264:8 0.0670169 -*RES -1 *2821:la_data_out[78] *1264:5 54.2759 -2 *1264:5 *1264:7 4.5 -3 *1264:7 *1264:8 752.211 -4 *1264:8 *2820:la_data_out_core[78] 32.615 -*END - -*D_NET *1265 0.162843 -*CONN -*I *2820:la_data_out_core[79] I *D mgmt_protect -*I *2821:la_data_out[79] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[79] 0.000906864 -2 *2821:la_data_out[79] 0.00194669 -3 *1265:16 0.00173846 -4 *1265:13 0.00140046 -5 *1265:8 0.00633388 -6 *1265:7 0.0077117 -7 *2820:la_data_out_core[79] *1649:7 0 -8 *1265:8 *1651:8 0.0695436 -9 *1265:8 *1664:8 0.00430107 -10 *1265:16 *1615:8 0.00128191 -11 *2821:la_data_in[45] *1265:13 0.00120168 -12 *972:8 *1265:16 0 -13 *1009:5 *2820:la_data_out_core[79] 0 -14 *1014:5 *1265:13 0.000134238 -15 *1241:13 *1265:13 0.000856264 -16 *1263:8 *1265:8 0.0654865 -*RES -1 *2821:la_data_out[79] *1265:7 42.9963 -2 *1265:7 *1265:8 735.019 -3 *1265:8 *1265:13 32.0099 -4 *1265:13 *1265:16 35.7266 -5 *1265:16 *2820:la_data_out_core[79] 21.0557 -*END - -*D_NET *1266 0.0445298 -*CONN -*I *2820:la_data_out_core[7] I *D mgmt_protect -*I *2821:la_data_out[7] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[7] 0.00128286 -2 *2821:la_data_out[7] 0.0019331 -3 *1266:8 0.00280925 -4 *1266:7 0.00152639 -5 *1266:5 0.0019331 -6 *2820:la_data_out_core[7] *1639:7 0 -7 *2820:la_data_out_core[7] *1650:7 0 -8 *1266:5 *2820:mprj_dat_i_user[15] 3.20069e-06 -9 *1266:5 *1926:7 0 -10 *1266:5 *1927:5 0 -11 *1266:8 *1277:8 0.0163114 -12 *1266:8 *1796:8 0.000585491 -13 *1266:8 *1865:12 5.80138e-05 -14 *1266:8 *1928:8 0.000218849 -15 *1010:7 *2820:la_data_out_core[7] 0 -16 *1021:7 *2820:la_data_out_core[7] 0 -17 *1021:8 *1266:8 0.0169867 -18 *1255:8 *1266:8 0.000881427 -*RES -1 *2821:la_data_out[7] *1266:5 46.8014 -2 *1266:5 *1266:7 4.5 -3 *1266:7 *1266:8 194.28 -4 *1266:8 *2820:la_data_out_core[7] 40.0896 -*END - -*D_NET *1267 0.134224 -*CONN -*I *2820:la_data_out_core[80] I *D mgmt_protect -*I *2821:la_data_out[80] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[80] 0.00173151 -2 *2821:la_data_out[80] 0.00217921 -3 *1267:8 0.0137044 -4 *1267:7 0.0141521 -5 *2820:la_data_out_core[80] *1649:7 0 -6 *2820:la_data_out_core[80] *1651:7 0 -7 *1267:8 *1283:8 0.00492668 -8 *1267:8 *1565:14 0.00084645 -9 *1267:8 *1611:8 0 -10 *1267:8 *1620:14 0.000515634 -11 *1267:8 *1622:18 0.0162978 -12 *1267:8 *1625:14 0.000125129 -13 *1267:8 *1632:14 0.000248699 -14 *1267:8 *1632:20 0.00149398 -15 *1267:8 *1634:16 0.00107557 -16 *1267:8 *1636:16 0.000272612 -17 *1267:8 *1637:12 0 -18 *1267:8 *1652:8 0.0728066 -19 *2821:la_data_in[44] *2820:la_data_out_core[80] 0 -20 *971:8 *1267:8 1.27226e-05 -21 *1011:7 *2820:la_data_out_core[80] 0 -22 *1241:8 *1267:8 0.00383501 -23 *1257:8 *1267:8 0 -*RES -1 *2821:la_data_out[80] *1267:7 49.2251 -2 *1267:7 *1267:8 779.387 -3 *1267:8 *2820:la_data_out_core[80] 42.1658 -*END - -*D_NET *1268 0.171229 -*CONN -*I *2820:la_data_out_core[81] I *D mgmt_protect -*I *2821:la_data_out[81] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[81] 0.0020754 -2 *2821:la_data_out[81] 0.00189216 -3 *1268:8 0.00787292 -4 *1268:7 0.00768969 -5 *2820:la_data_out_core[81] *1651:7 0 -6 *2820:la_data_out_core[81] *1652:7 0 -7 *1268:8 *1630:14 0.000249889 -8 *1268:8 *1651:8 0.0735878 -9 *1268:8 *1653:8 0.073966 -10 *1268:8 *1666:8 0.00389557 -11 *1012:7 *2820:la_data_out_core[81] 0 -12 *1013:5 *2820:la_data_out_core[81] 0 -*RES -1 *2821:la_data_out[81] *1268:7 42.1658 -2 *1268:7 *1268:8 792.143 -3 *1268:8 *2820:la_data_out_core[81] 49.2251 -*END - -*D_NET *1269 0.176256 -*CONN -*I *2820:la_data_out_core[82] I *D mgmt_protect -*I *2821:la_data_out[82] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[82] 0.00167621 -2 *2821:la_data_out[82] 0.00219297 -3 *1269:8 0.00754991 -4 *1269:7 0.00587371 -5 *1269:5 0.00219297 -6 *2820:la_data_out_core[82] *2821:la_oenb[44] 0.000107729 -7 *2820:la_data_out_core[82] *1653:7 0 -8 *1269:8 *1285:8 0.00628516 -9 *1269:8 *1611:8 5.20546e-06 -10 *1269:8 *1652:8 0.0749776 -11 *1269:8 *1654:8 0.0753945 -12 *1013:5 *2820:la_data_out_core[82] 0 -13 *1232:8 *1269:8 0 -*RES -1 *2821:la_data_out[82] *1269:5 45.5556 -2 *1269:5 *1269:7 4.5 -3 *1269:7 *1269:8 806.008 -4 *1269:8 *2820:la_data_out_core[82] 41.3353 -*END - -*D_NET *1270 0.177834 -*CONN -*I *2820:la_data_out_core[83] I *D mgmt_protect -*I *2821:la_data_out[83] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[83] 0.00190299 -2 *2821:la_data_out[83] 0.00182136 -3 *1270:10 0.00190299 -4 *1270:8 0.00584646 -5 *1270:7 0.00766782 -6 *2820:la_data_out_core[83] *1654:7 0 -7 *1270:8 *1282:8 0.00443864 -8 *1270:8 *1574:10 1.67988e-05 -9 *1270:8 *1574:12 0.0440006 -10 *1270:8 *1630:14 0.0334866 -11 *1270:8 *1653:8 0.0761433 -12 *2821:la_data_in[45] *2820:la_data_out_core[83] 0.000606262 -13 *1014:5 *2820:la_data_out_core[83] 0 -*RES -1 *2821:la_data_out[83] *1270:7 41.3353 -2 *1270:7 *1270:8 818.764 -3 *1270:8 *1270:10 4.5 -4 *1270:10 *2820:la_data_out_core[83] 45.5556 -*END - -*D_NET *1271 0.182029 -*CONN -*I *2820:la_data_out_core[84] I *D mgmt_protect -*I *2821:la_data_out[84] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[84] 0.00165021 -2 *2821:la_data_out[84] 0.00220679 -3 *1271:8 0.00753465 -4 *1271:7 0.00588445 -5 *1271:5 0.00220679 -6 *2820:la_data_out_core[84] *1654:7 0 -7 *2820:la_data_out_core[84] *1655:5 0 -8 *1271:8 *1272:8 0.0781496 -9 *1271:8 *1287:8 0.00669203 -10 *1271:8 *1654:8 0.0775654 -11 *1015:7 *2820:la_data_out_core[84] 0 -12 *1232:8 *1271:8 0.000139227 -*RES -1 *2821:la_data_out[84] *1271:5 46.3861 -2 *1271:5 *1271:7 4.5 -3 *1271:7 *1271:8 832.629 -4 *1271:8 *2820:la_data_out_core[84] 40.5048 -*END - -*D_NET *1272 0.18352 -*CONN -*I *2820:la_data_out_core[85] I *D mgmt_protect -*I *2821:la_data_out[85] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[85] 0.00164243 -2 *2821:la_data_out[85] 0.00221771 -3 *1272:8 0.0077502 -4 *1272:7 0.00610776 -5 *1272:5 0.00221771 -6 *2820:la_data_out_core[85] *1655:5 0 -7 *2820:la_data_out_core[85] *1656:7 0 -8 *1272:8 *1274:8 0.0786469 -9 *1272:8 *1287:8 0.000157517 -10 *1272:8 *1549:10 0.00625748 -11 *2821:la_data_in[46] *2820:la_data_out_core[85] 0 -12 *1016:7 *2820:la_data_out_core[85] 0 -13 *1232:8 *1272:8 0.000372603 -14 *1271:8 *1272:8 0.0781496 -*RES -1 *2821:la_data_out[85] *1272:5 46.8014 -2 *1272:5 *1272:7 4.5 -3 *1272:7 *1272:8 845.385 -4 *1272:8 *2820:la_data_out_core[85] 40.0896 -*END - -*D_NET *1273 0.186412 -*CONN -*I *2820:la_data_out_core[86] I *D mgmt_protect -*I *2821:la_data_out[86] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[86] 0.00241303 -2 *2821:la_data_out[86] 0.00128706 -3 *1273:16 0.00241303 -4 *1273:14 0.00040737 -5 *1273:13 0.000541011 -6 *1273:8 0.00552212 -7 *1273:7 0.00667553 -8 *2820:la_data_out_core[86] *1657:5 0 -9 *1273:8 *1275:8 0.0766548 -10 *1273:8 *1286:8 0.00420581 -11 *1273:8 *1657:8 0.0766506 -12 *1273:13 *1278:16 7.14746e-05 -13 *1273:13 *1655:13 0 -14 *1273:14 *1280:8 0.000107496 -15 *1273:14 *1646:8 0.0048076 -16 *1273:14 *1648:8 1.67988e-05 -17 *1273:14 *1648:14 0.000122378 -18 *1273:14 *1648:16 1.41689e-05 -19 *1273:14 *1655:8 0.00450186 -20 *1017:5 *2820:la_data_out_core[86] 0 -*RES -1 *2821:la_data_out[86] *1273:7 33.4455 -2 *1273:7 *1273:8 808.226 -3 *1273:8 *1273:13 12.0778 -4 *1273:13 *1273:14 51.1923 -5 *1273:14 *1273:16 4.5 -6 *1273:16 *2820:la_data_out_core[86] 50.5386 -*END - -*D_NET *1274 0.187364 -*CONN -*I *2820:la_data_out_core[87] I *D mgmt_protect -*I *2821:la_data_out[87] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[87] 0.00146943 -2 *2821:la_data_out[87] 0.0022124 -3 *1274:8 0.00805748 -4 *1274:7 0.00658805 -5 *1274:5 0.0022124 -6 *2820:la_data_out_core[87] *1622:17 0.000634833 -7 *2820:la_data_out_core[87] *1658:7 0 -8 *1274:8 *1279:8 0.0725801 -9 *1274:8 *1287:8 0.000144814 -10 *1274:8 *1549:10 0.00738786 -11 *1274:8 *1665:8 0.00529998 -12 *1274:8 *1665:12 1.41689e-05 -13 *1018:5 *2820:la_data_out_core[87] 0 -14 *1232:8 *1274:8 0.00211597 -15 *1272:8 *1274:8 0.0786469 -*RES -1 *2821:la_data_out[87] *1274:5 47.2166 -2 *1274:5 *1274:7 4.5 -3 *1274:7 *1274:8 872.006 -4 *1274:8 *2820:la_data_out_core[87] 39.6743 -*END - -*D_NET *1275 0.190826 -*CONN -*I *2820:la_data_out_core[88] I *D mgmt_protect -*I *2821:la_data_out[88] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[88] 0.000991604 -2 *2821:la_data_out[88] 0.00129803 -3 *1275:24 0.00263717 -4 *1275:14 0.00186827 -5 *1275:8 0.00593637 -6 *1275:7 0.00701169 -7 *2820:la_data_out_core[88] *1658:7 0 -8 *2820:la_data_out_core[88] *1659:5 0 -9 *1275:8 *1657:8 0.000376393 -10 *1275:8 *1659:8 0.0808689 -11 *1275:8 *1670:8 0.00402342 -12 *1275:14 *1657:8 0.00241624 -13 *1275:14 *1659:8 0.000105847 -14 *1275:24 *2820:la_data_out_core[89] 0.000173064 -15 *1275:24 *1615:8 0.000293712 -16 *1275:24 *1633:14 0.000678803 -17 *2821:la_data_in[47] *2820:la_data_out_core[88] 0 -18 *1019:7 *2820:la_data_out_core[88] 0 -19 *1020:5 *1275:24 8.24277e-06 -20 *1184:8 *1275:8 0.00322797 -21 *1230:7 *1275:24 9.57203e-05 -22 *1231:8 *1275:14 0.00215938 -23 *1273:8 *1275:8 0.0766548 -*RES -1 *2821:la_data_out[88] *1275:7 33.8608 -2 *1275:7 *1275:8 852.595 -3 *1275:8 *1275:14 30.7593 -4 *1275:14 *1275:24 48.1821 -5 *1275:24 *2820:la_data_out_core[88] 22.7167 -*END - -*D_NET *1276 0.229083 -*CONN -*I *2820:la_data_out_core[89] I *D mgmt_protect -*I *2821:la_data_out[89] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[89] 0.00116561 -2 *2821:la_data_out[89] 0.0026797 -3 *1276:8 0.0113382 -4 *1276:7 0.0101726 -5 *1276:5 0.0026797 -6 *2820:la_data_out_core[89] *1660:7 0 -7 *1276:8 *1645:8 0.00394508 -8 *1276:8 *1647:8 0.000100556 -9 *1276:8 *1658:8 0.000121359 -10 *1276:8 *1660:8 0.0972003 -11 *1276:8 *1663:8 0.0954559 -12 *1020:5 *2820:la_data_out_core[89] 0 -13 *1260:8 *1276:8 0.00382644 -14 *1262:8 *1276:8 0.000116582 -15 *1264:8 *1276:8 0.000107416 -16 *1275:24 *2820:la_data_out_core[89] 0.000173064 -*RES -1 *2821:la_data_out[89] *1276:5 55.7293 -2 *1276:5 *1276:7 3.36879 -3 *1276:7 *1276:8 123.964 -4 *1276:8 *2820:la_data_out_core[89] 30.0304 -*END - -*D_NET *1277 0.0417333 -*CONN -*I *2820:la_data_out_core[8] I *D mgmt_protect -*I *2821:la_data_out[8] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[8] 0.00124878 -2 *2821:la_data_out[8] 0.00188144 -3 *1277:8 0.0028153 -4 *1277:7 0.00156652 -5 *1277:5 0.00188144 -6 *2820:la_data_out_core[8] *1661:7 0 -7 *1277:5 *2820:mprj_dat_i_user[18] 0 -8 *1277:5 *1798:7 0.000123582 -9 *1277:5 *1929:5 0 -10 *1277:8 *1639:8 3.42853e-05 -11 *1021:7 *2820:la_data_out_core[8] 0 -12 *1021:8 *1277:8 0.000233938 -13 *1032:7 *2820:la_data_out_core[8] 0 -14 *1032:8 *1277:8 6.44576e-05 -15 *1255:8 *1277:8 0.0155722 -16 *1266:8 *1277:8 0.0163114 -*RES -1 *2821:la_data_out[8] *1277:5 47.2166 -2 *1277:5 *1277:7 4.5 -3 *1277:7 *1277:8 180.97 -4 *1277:8 *2820:la_data_out_core[8] 39.6743 -*END - -*D_NET *1278 0.197768 -*CONN -*I *2820:la_data_out_core[90] I *D mgmt_protect -*I *2821:la_data_out[90] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[90] 8.20467e-05 -2 *2821:la_data_out[90] 0.00135305 -3 *1278:17 0.00183861 -4 *1278:16 0.00201432 -5 *1278:8 0.00622648 -6 *1278:7 0.00732176 -7 *1278:8 *1280:8 0.000472987 -8 *1278:8 *1548:8 0.00489105 -9 *1278:8 *1648:16 0.0610805 -10 *1278:8 *1662:12 0.0848177 -11 *1278:16 *1280:11 7.14746e-05 -12 *1278:16 *1646:8 0.00152206 -13 *1278:17 *2821:la_oenb[47] 0 -14 *1278:17 *1662:5 0.0026311 -15 *1022:7 *1278:17 0 -16 *1181:11 *1278:7 7.09666e-06 -17 *1186:8 *1278:8 0.0226935 -18 *1261:8 *1278:16 0.000672541 -19 *1273:13 *1278:16 7.14746e-05 -*RES -1 *2821:la_data_out[90] *1278:7 35.1065 -2 *1278:7 *1278:8 894.19 -3 *1278:8 *1278:16 31.9995 -4 *1278:16 *1278:17 49.7936 -5 *1278:17 *2820:la_data_out_core[90] 2.33274 -*END - -*D_NET *1279 0.193438 -*CONN -*I *2820:la_data_out_core[91] I *D mgmt_protect -*I *2821:la_data_out[91] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[91] 0.000927522 -2 *2821:la_data_out[91] 0.00219068 -3 *1279:14 0.00241343 -4 *1279:13 0.00219797 -5 *1279:8 0.00746688 -6 *1279:7 0.00675482 -7 *1279:5 0.00219068 -8 *2820:la_data_out_core[91] *1663:7 0 -9 *1279:8 *1549:10 0.000236267 -10 *1279:8 *1553:8 0.00739059 -11 *1279:8 *1665:12 0.0807667 -12 *1279:14 *1546:13 0.00011818 -13 *1279:14 *1615:8 0.000554429 -14 *1279:14 *1633:14 0.00711591 -15 *2821:la_data_in[48] *2820:la_data_out_core[91] 0.000182352 -16 *1022:8 *1279:14 0.000351996 -17 *1023:5 *2820:la_data_out_core[91] 0 -18 *1274:8 *1279:8 0.0725801 -*RES -1 *2821:la_data_out[91] *1279:5 47.6319 -2 *1279:5 *1279:7 4.5 -3 *1279:7 *1279:8 849.822 -4 *1279:8 *1279:13 21.2133 -5 *1279:13 *1279:14 76.1495 -6 *1279:14 *2820:la_data_out_core[91] 27.2167 -*END - -*D_NET *1280 0.201839 -*CONN -*I *2820:la_data_out_core[92] I *D mgmt_protect -*I *2821:la_data_out[92] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[92] 6.22868e-05 -2 *2821:la_data_out[92] 0.00139349 -3 *1280:11 0.00221018 -4 *1280:10 0.0021479 -5 *1280:8 0.00633207 -6 *1280:7 0.00772556 -7 *1280:8 *1284:8 0.0860563 -8 *1280:8 *1550:8 0.00524033 -9 *1280:8 *1646:8 0.00122461 -10 *1280:8 *1648:16 0.0611249 -11 *1280:11 *2821:la_oenb[48] 0 -12 *1280:11 *1664:7 0.000916711 -13 *1024:7 *1280:11 0 -14 *1025:7 *1280:11 0 -15 *1186:8 *1280:8 0.0267528 -16 *1273:14 *1280:8 0.000107496 -17 *1278:8 *1280:8 0.000472987 -18 *1278:16 *1280:11 7.14746e-05 -*RES -1 *2821:la_data_out[92] *1280:7 35.937 -2 *1280:7 *1280:8 937.449 -3 *1280:8 *1280:10 4.5 -4 *1280:10 *1280:11 51.0394 -5 *1280:11 *2820:la_data_out_core[92] 1.77093 -*END - -*D_NET *1281 0.231317 -*CONN -*I *2820:la_data_out_core[93] I *D mgmt_protect -*I *2821:la_data_out[93] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[93] 0.00111476 -2 *2821:la_data_out[93] 0.00255334 -3 *1281:8 0.0212302 -4 *1281:7 0.0201155 -5 *1281:5 0.00255334 -6 *2820:la_data_out_core[93] *1665:7 0 -7 *1281:8 *1285:8 0 -8 *1281:8 *1287:8 0 -9 *1281:8 *1549:10 0 -10 *1281:8 *1553:8 0 -11 *1281:8 *1558:8 0.000101794 -12 *1281:8 *1560:8 0.000101794 -13 *1281:8 *1564:8 0 -14 *1281:8 *1566:8 0.00038987 -15 *1281:8 *1571:8 0.00055835 -16 *1281:8 *1575:8 0.000485419 -17 *1281:8 *1647:8 0.00459968 -18 *1281:8 *1649:8 0.00484422 -19 *1281:8 *1663:8 0.0994518 -20 *1281:8 *2738:8 0.0725097 -21 *918:8 *1281:8 0 -22 *1025:7 *2820:la_data_out_core[93] 0.000636851 -23 *1191:8 *1281:8 7.06288e-05 -*RES -1 *2821:la_data_out[93] *1281:5 54.0683 -2 *1281:5 *1281:7 3.36879 -3 *1281:7 *1281:8 131.384 -4 *1281:8 *2820:la_data_out_core[93] 31.6914 -*END - -*D_NET *1282 0.237092 -*CONN -*I *2820:la_data_out_core[94] I *D mgmt_protect -*I *2821:la_data_out[94] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[94] 0.00221896 -2 *2821:la_data_out[94] 0.00175435 -3 *1282:8 0.0212558 -4 *1282:7 0.0207912 -5 *2820:la_data_out_core[94] *1666:7 0 -6 *1282:8 *1548:8 0 -7 *1282:8 *1550:8 0 -8 *1282:8 *1559:8 0 -9 *1282:8 *1568:8 0.000202434 -10 *1282:8 *1570:8 0.000307989 -11 *1282:8 *1572:8 0.000727177 -12 *1282:8 *1623:10 0.000214358 -13 *1282:8 *1627:14 0.000331419 -14 *1282:8 *1630:14 0.000359698 -15 *1282:8 *1653:8 0.0046311 -16 *1282:8 *1664:8 0.000121359 -17 *1282:8 *1666:8 0.104427 -18 *1282:8 *1670:8 0 -19 *1282:8 *1822:10 1.85963e-05 -20 *1282:8 *2736:8 0.0752925 -21 *2821:la_data_in[49] *2820:la_data_out_core[94] 0 -22 *1026:7 *2820:la_data_out_core[94] 0 -23 *1232:5 *2820:la_data_out_core[94] 0 -24 *1270:8 *1282:8 0.00443864 -*RES -1 *2821:la_data_out[94] *1282:7 40.827 -2 *1282:7 *1282:8 133.219 -3 *1282:8 *2820:la_data_out_core[94] 48.3015 -*END - -*D_NET *1283 0.180933 -*CONN -*I *2820:la_data_out_core[95] I *D mgmt_protect -*I *2821:la_data_out[95] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[95] 0.00181567 -2 *2821:la_data_out[95] 0.00200909 -3 *1283:8 0.0320336 -4 *1283:7 0.032227 -5 *2820:la_data_out_core[95] *1667:7 0 -6 *1283:8 *1285:8 0.10459 -7 *1283:8 *1620:14 0.000120517 -8 *1283:8 *1622:18 0.000483857 -9 *1283:8 *1625:14 0.00011282 -10 *1283:8 *1632:14 0.000107595 -11 *1283:8 *1632:20 0.000135066 -12 *1283:8 *1634:12 0.000107152 -13 *1283:8 *1634:16 0.000486012 -14 *1283:8 *1636:12 0.000107152 -15 *1283:8 *1636:16 0.000597173 -16 *1283:8 *1637:12 0.000728653 -17 *1283:8 *1652:8 0.00010238 -18 *1283:8 *1664:8 0 -19 *1283:8 *1666:8 0 -20 *1283:8 *2736:8 0 -21 *1027:5 *2820:la_data_out_core[95] 0 -22 *1241:8 *1283:8 0.000241979 -23 *1267:8 *1283:8 0.00492668 -*RES -1 *2821:la_data_out[95] *1283:7 48.3015 -2 *1283:7 *1283:8 135.055 -3 *1283:8 *2820:la_data_out_core[95] 40.827 -*END - -*D_NET *1284 0.209609 -*CONN -*I *2820:la_data_out_core[96] I *D mgmt_protect -*I *2821:la_data_out[96] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[96] 0.00234789 -2 *2821:la_data_out[96] 0.00139619 -3 *1284:10 0.00234789 -4 *1284:8 0.00677823 -5 *1284:7 0.00817442 -6 *2820:la_data_out_core[96] *2821:la_oenb[49] 0 -7 *2820:la_data_out_core[96] *1667:7 0 -8 *2820:la_data_out_core[96] *1668:5 0 -9 *1284:8 *1559:8 0.00517726 -10 *1284:8 *1646:8 0.0541879 -11 *2821:la_data_in[50] *2820:la_data_out_core[96] 0.000402827 -12 *906:8 *1284:8 0.000731073 -13 *1028:7 *2820:la_data_out_core[96] 0 -14 *1186:8 *1284:8 0.00364601 -15 *1188:8 *1284:8 0.0383633 -16 *1280:8 *1284:8 0.0860563 -*RES -1 *2821:la_data_out[96] *1284:7 36.3523 -2 *1284:7 *1284:8 992.355 -3 *1284:8 *1284:10 4.5 -4 *1284:10 *2820:la_data_out_core[96] 50.5386 -*END - -*D_NET *1285 0.252493 -*CONN -*I *2820:la_data_out_core[97] I *D mgmt_protect -*I *2821:la_data_out[97] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[97] 0.00174243 -2 *2821:la_data_out[97] 0.00201304 -3 *1285:8 0.012206 -4 *1285:7 0.0124766 -5 *2820:la_data_out_core[97] *1669:7 0 -6 *1285:8 *1287:8 0.107541 -7 *1285:8 *1652:8 0.00549838 -8 *1029:5 *2820:la_data_out_core[97] 0 -9 *1234:5 *2820:la_data_out_core[97] 0.000140333 -10 *1269:8 *1285:8 0.00628516 -11 *1281:8 *1285:8 0 -12 *1283:8 *1285:8 0.10459 -*RES -1 *2821:la_data_out[97] *1285:7 49.132 -2 *1285:7 *1285:8 138.727 -3 *1285:8 *2820:la_data_out_core[97] 39.9965 -*END - -*D_NET *1286 0.263132 -*CONN -*I *2820:la_data_out_core[98] I *D mgmt_protect -*I *2821:la_data_out[98] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[98] 8.20467e-05 -2 *2821:la_data_out[98] 0.00123299 -3 *1286:11 0.00206645 -4 *1286:10 0.00198441 -5 *1286:8 0.0139473 -6 *1286:7 0.0151803 -7 *1286:8 *1657:8 0.00369284 -8 *1286:8 *1668:8 0.10648 -9 *1286:8 *1670:8 0.110294 -10 *1286:11 *2821:la_oenb[50] 0 -11 *1286:11 *1670:5 0.00272043 -12 *1030:7 *1286:11 0 -13 *1031:5 *1286:11 0 -14 *1184:8 *1286:8 0.00124442 -15 *1273:8 *1286:8 0.00420581 -*RES -1 *2821:la_data_out[98] *1286:7 32.5219 -2 *1286:7 *1286:8 140.256 -3 *1286:8 *1286:10 3.36879 -4 *1286:10 *1286:11 53.3233 -5 *1286:11 *2820:la_data_out_core[98] 2.33274 -*END - -*D_NET *1287 0.25906 -*CONN -*I *2820:la_data_out_core[99] I *D mgmt_protect -*I *2821:la_data_out[99] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[99] 0.00158229 -2 *2821:la_data_out[99] 0.00201486 -3 *1287:8 0.0126821 -4 *1287:7 0.0131147 -5 *2820:la_data_out_core[99] *1671:7 0 -6 *1287:8 *1549:10 0.108563 -7 *1287:8 *1654:8 0.0061476 -8 *2821:la_data_in[51] *2820:la_data_out_core[99] 0.000420522 -9 *906:5 *2820:la_data_out_core[99] 0 -10 *1031:5 *2820:la_data_out_core[99] 0 -11 *1271:8 *1287:8 0.00669203 -12 *1272:8 *1287:8 0.000157517 -13 *1274:8 *1287:8 0.000144814 -14 *1281:8 *1287:8 0 -15 *1285:8 *1287:8 0.107541 -*RES -1 *2821:la_data_out[99] *1287:7 49.9625 -2 *1287:7 *1287:8 142.398 -3 *1287:8 *2820:la_data_out_core[99] 39.166 -*END - -*D_NET *1288 0.0397526 -*CONN -*I *2820:la_data_out_core[9] I *D mgmt_protect -*I *2821:la_data_out[9] O *D user_project_wrapper -*CAP -1 *2820:la_data_out_core[9] 0.0013028 -2 *2821:la_data_out[9] 0.00156203 -3 *1288:8 0.00257566 -4 *1288:7 0.00127286 -5 *1288:5 0.00156203 -6 *2820:la_data_out_core[9] *1672:7 0 -7 *1288:5 *2820:mprj_dat_i_user[20] 0.000224572 -8 *1288:5 *1933:5 0.000380194 -9 *1288:8 *1672:8 0.0152053 -10 *1288:8 *1805:8 4.3492e-05 -11 *1032:7 *2820:la_data_out_core[9] 0 -12 *1032:8 *1288:8 0.0156237 -*RES -1 *2821:la_data_out[9] *1288:5 45.5556 -2 *1288:5 *1288:7 4.5 -3 *1288:7 *1288:8 167.659 -4 *1288:8 *2820:la_data_out_core[9] 41.3353 -*END - -*D_NET *1289 0.149749 -*CONN -*I *2820:la_iena_mprj[0] I *D mgmt_protect -*I *2826:la_iena[0] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[0] 0.00115234 -2 *2826:la_iena[0] 7.21667e-05 -3 *1289:10 0.016887 -4 *1289:9 0.0157347 -5 *1289:7 0.00229215 -6 *1289:5 0.00236432 -7 *2820:la_iena_mprj[0] *2820:la_oenb_mprj[0] 0 -8 *2820:la_iena_mprj[0] *1480:15 7.09666e-06 -9 *1289:10 *1328:10 0.000254795 -10 *1289:10 *1456:10 0.0172702 -11 *1289:10 *1469:10 0.019755 -12 *1289:10 *1478:10 0.0739588 -13 *2820:la_data_out_mprj[0] *2820:la_iena_mprj[0] 0 -14 *2826:la_input[0] *1289:7 0 -15 *66:39 *1289:7 0 -*RES -1 *2826:la_iena[0] *1289:5 2.05183 -2 *1289:5 *1289:7 63.0817 -3 *1289:7 *1289:9 4.5 -4 *1289:9 *1289:10 845.385 -5 *1289:10 *2820:la_iena_mprj[0] 28.8777 -*END - -*D_NET *1290 0.0853411 -*CONN -*I *2820:la_iena_mprj[100] I *D mgmt_protect -*I *2826:la_iena[100] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[100] 6.22868e-05 -2 *2826:la_iena[100] 0.00163174 -3 *1290:13 0.00135865 -4 *1290:12 0.00541364 -5 *1290:9 0.00574902 -6 *1290:9 *1826:5 2.60141e-05 -7 *1290:12 *1414:12 0.0298908 -8 *1290:12 *1418:12 0.000481615 -9 *1290:12 *1915:32 0.00367441 -10 *1290:12 *1917:24 0.00055542 -11 *1290:12 *1919:22 0.00112571 -12 *1290:12 *2490:24 5.96957e-05 -13 *1290:13 *2820:la_oenb_mprj[100] 0.00320178 -14 *2820:la_data_out_mprj[100] *1290:13 0 -15 *2826:la_input[100] *1290:9 0 -16 *778:17 *1290:9 0 -17 *779:11 *1290:9 0 -18 *887:11 *1290:13 0.000542699 -19 *1152:10 *1290:12 0.000680605 -20 *1154:10 *1290:12 0.000850566 -21 *1157:12 *1290:12 0.0293925 -22 *1158:12 *1290:12 0.000643986 -23 *1159:9 *1290:9 0 -*RES -1 *2826:la_iena[100] *1290:9 37.9813 -2 *1290:9 *1290:12 47.2475 -3 *1290:12 *1290:13 54.9843 -4 *1290:13 *2820:la_iena_mprj[100] 1.77093 -*END - -*D_NET *1291 0.07426 -*CONN -*I *2820:la_iena_mprj[101] I *D mgmt_protect -*I *2826:la_iena[101] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[101] 0.000781066 -2 *2826:la_iena[101] 5.24068e-05 -3 *1291:10 0.00406303 -4 *1291:9 0.00328197 -5 *1291:7 0.00269474 -6 *1291:5 0.00274715 -7 *2820:la_iena_mprj[101] *2820:la_oenb_mprj[101] 0 -8 *1291:7 *2820:mprj_dat_o_core[13] 0.000337386 -9 *1291:10 *1294:16 5.31442e-05 -10 *1291:10 *1539:16 0.000102814 -11 *1291:10 *1850:8 0.00623392 -12 *2820:la_data_out_mprj[101] *2820:la_iena_mprj[101] 0 -13 *2826:la_input[101] *1291:7 0 -14 *780:8 *1291:10 0.00070333 -15 *780:11 *1291:7 0.00226077 -16 *782:8 *1291:10 0.0288209 -17 *901:8 *1291:10 8.66592e-05 -18 *1034:9 *1291:7 0 -19 *1035:16 *1291:10 0.0211593 -20 *1036:16 *1291:10 0.000881427 -*RES -1 *2826:la_iena[101] *1291:5 1.49002 -2 *1291:5 *1291:7 67.6495 -3 *1291:7 *1291:9 4.5 -4 *1291:9 *1291:10 331.267 -5 *1291:10 *2820:la_iena_mprj[101] 24.31 -*END - -*D_NET *1292 0.0763059 -*CONN -*I *2820:la_iena_mprj[102] I *D mgmt_protect -*I *2826:la_iena[102] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[102] 0.000912074 -2 *2826:la_iena[102] 7.21667e-05 -3 *1292:10 0.00432293 -4 *1292:9 0.00341085 -5 *1292:7 0.00313393 -6 *1292:5 0.0032061 -7 *2820:la_iena_mprj[102] *2820:la_oenb_mprj[102] 0 -8 *2820:la_iena_mprj[102] *1532:13 0 -9 *1292:7 *2820:mprj_adr_o_core[17] 0 -10 *1292:7 *2820:mprj_dat_o_core[16] 7.08723e-06 -11 *1292:7 *1294:15 0 -12 *1292:7 *1832:5 0.000540791 -13 *1292:10 *1294:16 0.0301357 -14 *1292:10 *1295:16 0.0295071 -15 *1292:10 *1422:16 0.00027732 -16 *1292:10 *1856:8 8.15039e-05 -17 *2820:la_data_out_mprj[102] *2820:la_iena_mprj[102] 0 -18 *2826:la_input[102] *1292:7 0 -19 *894:12 *1292:10 0 -20 *901:8 *1292:10 0.000698415 -21 *1035:9 *1292:7 0 -22 *1038:20 *1292:10 0 -*RES -1 *2826:la_iena[102] *1292:5 2.05183 -2 *1292:5 *1292:7 65.158 -3 *1292:7 *1292:9 4.5 -4 *1292:9 *1292:10 342.914 -5 *1292:10 *2820:la_iena_mprj[102] 26.8015 -*END - -*D_NET *1293 0.0747941 -*CONN -*I *2820:la_iena_mprj[103] I *D mgmt_protect -*I *2826:la_iena[103] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[103] 0.0017748 -2 *2826:la_iena[103] 0.00110189 -3 *1293:16 0.00550746 -4 *1293:15 0.00474322 -5 *1293:12 0.00211245 -6 *2820:la_iena_mprj[103] *2820:la_oenb_mprj[103] 0 -7 *2820:la_iena_mprj[103] *1537:13 0 -8 *1293:12 *1294:12 0.000904135 -9 *1293:12 *1302:10 0.000908333 -10 *1293:12 *1826:13 8.62625e-06 -11 *1293:15 *2820:mprj_dat_o_core[17] 0 -12 *1293:15 *1420:9 0.000406526 -13 *1293:15 *1834:5 0.000114465 -14 *1293:16 *1298:10 0.000366369 -15 *1293:16 *1299:14 0.0275586 -16 *1293:16 *1421:14 0 -17 *2820:la_data_out_mprj[103] *2820:la_iena_mprj[103] 0 -18 *2826:la_input[103] *1293:12 0 -19 *890:8 *1293:16 0 -20 *1034:10 *1293:16 0.00102606 -21 *1036:9 *1293:12 0 -22 *1036:9 *1293:15 0 -23 *1037:19 *1293:15 0 -24 *1043:14 *1293:16 0.0277688 -25 *1061:10 *1293:16 0.000492374 -*RES -1 *2826:la_iena[103] *1293:12 41.7901 -2 *1293:12 *1293:15 26.6794 -3 *1293:15 *1293:16 344.578 -4 *1293:16 *2820:la_iena_mprj[103] 48.8099 -*END - -*D_NET *1294 0.0805314 -*CONN -*I *2820:la_iena_mprj[104] I *D mgmt_protect -*I *2826:la_iena[104] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[104] 0.000873229 -2 *2826:la_iena[104] 0.00105649 -3 *1294:16 0.00413137 -4 *1294:15 0.00509441 -5 *1294:12 0.00219279 -6 *1294:9 0.00141301 -7 *2820:la_iena_mprj[104] *2820:la_oenb_mprj[104] 0 -8 *2820:la_iena_mprj[104] *1536:13 6.67654e-05 -9 *1294:12 *1302:10 0.000546962 -10 *1294:12 *1826:14 0.00190029 -11 *1294:12 *1830:10 1.41853e-05 -12 *1294:12 *1830:12 0.00331259 -13 *1294:15 *2820:mprj_adr_o_core[16] 0.000713748 -14 *1294:15 *1832:5 0.000549158 -15 *1294:16 *1850:8 0.000239984 -16 *1294:16 *1852:8 0.000287842 -17 *1294:16 *1854:8 0.000532777 -18 *1294:16 *1856:8 0.0040914 -19 *2820:la_data_out_mprj[104] *2820:la_iena_mprj[104] 0 -20 *780:16 *1294:12 0.000691706 -21 *782:13 *1294:15 0.000221481 -22 *901:8 *1294:16 0.0213481 -23 *1035:9 *1294:15 0.000160138 -24 *1037:9 *1294:9 0 -25 *1291:10 *1294:16 5.31442e-05 -26 *1292:7 *1294:15 0 -27 *1292:10 *1294:16 0.0301357 -28 *1293:12 *1294:12 0.000904135 -*RES -1 *2826:la_iena[104] *1294:9 28.1083 -2 *1294:9 *1294:12 47.3733 -3 *1294:12 *1294:15 48.6877 -4 *1294:15 *1294:16 323.503 -5 *1294:16 *2820:la_iena_mprj[104] 26.3862 -*END - -*D_NET *1295 0.0849071 -*CONN -*I *2820:la_iena_mprj[105] I *D mgmt_protect -*I *2826:la_iena[105] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[105] 0.000944812 -2 *2826:la_iena[105] 0.00235141 -3 *1295:16 0.00420534 -4 *1295:15 0.0041571 -5 *1295:12 0.00117091 -6 *1295:7 0.00262575 -7 *2820:la_iena_mprj[105] *2820:la_oenb_mprj[105] 0 -8 *1295:7 *2820:mprj_dat_o_core[25] 0 -9 *1295:7 *1301:15 0 -10 *1295:7 *1314:15 0 -11 *1295:12 *1303:10 0.00313394 -12 *1295:15 *1421:11 8.62625e-06 -13 *1295:15 *1770:27 0.000305127 -14 *1295:15 *1838:5 0.000169929 -15 *1295:16 *1422:16 0.0323082 -16 *1295:16 *1837:8 0.000204664 -17 *1295:16 *1856:8 0.000579863 -18 *2820:la_data_out_mprj[105] *2820:la_iena_mprj[105] 0 -19 *2826:la_input[105] *1295:7 0 -20 *1051:10 *1295:12 0.00312974 -21 *1062:10 *1295:12 0.000104638 -22 *1292:10 *1295:16 0.0295071 -*RES -1 *2826:la_iena[105] *1295:7 46.4228 -2 *1295:7 *1295:12 42.445 -3 *1295:12 *1295:15 23.3573 -4 *1295:15 *1295:16 346.796 -5 *1295:16 *2820:la_iena_mprj[105] 27.2167 -*END - -*D_NET *1296 0.086206 -*CONN -*I *2820:la_iena_mprj[106] I *D mgmt_protect -*I *2826:la_iena[106] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[106] 0.000987684 -2 *2826:la_iena[106] 0.00200253 -3 *1296:16 0.00419512 -4 *1296:15 0.00428232 -5 *1296:12 0.00163982 -6 *1296:9 0.00256746 -7 *2820:la_iena_mprj[106] *2820:la_oenb_mprj[106] 0 -8 *1296:9 *1778:13 5.98105e-05 -9 *1296:9 *1845:5 0 -10 *1296:12 *1425:12 0.000220183 -11 *1296:12 *1840:8 0.000514419 -12 *1296:15 *1309:15 0.000785461 -13 *1296:15 *1840:5 0 -14 *1296:15 *1904:19 0.000426605 -15 *1296:16 *1422:16 0.0327224 -16 *1296:16 *1423:10 0.000452771 -17 *1296:16 *1425:16 0.0321044 -18 *1296:16 *1837:8 6.85706e-05 -19 *2820:la_data_out_mprj[106] *2820:la_iena_mprj[106] 0 -20 *2826:la_input[106] *1296:9 0 -21 *1039:9 *1296:9 0 -22 *1042:10 *1296:12 0.00317639 -*RES -1 *2826:la_iena[106] *1296:9 45.1336 -2 *1296:9 *1296:12 38.4996 -3 *1296:12 *1296:15 30.0014 -4 *1296:15 *1296:16 355.67 -5 *1296:16 *2820:la_iena_mprj[106] 28.0472 -*END - -*D_NET *1297 0.0754941 -*CONN -*I *2820:la_iena_mprj[107] I *D mgmt_protect -*I *2826:la_iena[107] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[107] 0.000608673 -2 *2826:la_iena[107] 0.00203539 -3 *1297:20 0.00544953 -4 *1297:19 0.00622745 -5 *1297:14 0.00238427 -6 *1297:12 0.00101588 -7 *1297:10 0.000607668 -8 *1297:9 0.00262486 -9 *2820:la_iena_mprj[107] *2820:la_oenb_mprj[107] 0 -10 *1297:9 *2820:mprj_iena_wb 0.000280319 -11 *1297:9 *1788:15 0 -12 *1297:10 *1309:10 0.00189867 -13 *1297:19 *1851:5 0 -14 *1297:19 *1915:33 0.000572025 -15 *1297:20 *1312:10 0 -16 *1297:20 *1313:10 0 -17 *1297:20 *1316:10 0 -18 *1297:20 *1438:10 0 -19 *1297:20 *1446:10 0.000183606 -20 *1297:20 *1755:8 0.000193373 -21 *1297:20 *1757:24 0.00158869 -22 *2820:la_data_out_mprj[107] *2820:la_iena_mprj[107] 0 -23 *2826:la_input[107] *1297:9 0 -24 *2826:la_input[98] *1297:19 8.62625e-06 -25 *783:8 *1297:20 0.0209066 -26 *903:8 *1297:14 0.00181493 -27 *1034:10 *1297:14 1.41853e-05 -28 *1038:12 *1297:10 0.000948538 -29 *1038:12 *1297:12 1.09551e-05 -30 *1038:14 *1297:10 1.67988e-05 -31 *1038:14 *1297:14 0.00943526 -32 *1040:9 *1297:9 0 -33 *1041:10 *1297:10 0.00531933 -34 *1041:10 *1297:14 3.07726e-05 -35 *1042:16 *1297:14 0.0111851 -36 *1061:10 *1297:14 0.00013257 -*RES -1 *2826:la_iena[107] *1297:9 47.2099 -2 *1297:9 *1297:10 56.7384 -3 *1297:10 *1297:12 0.578717 -4 *1297:12 *1297:14 120.518 -5 *1297:14 *1297:19 39.4844 -6 *1297:19 *1297:20 224.229 -7 *1297:20 *2820:la_iena_mprj[107] 20.5727 -*END - -*D_NET *1298 0.0868127 -*CONN -*I *2820:la_iena_mprj[108] I *D mgmt_protect -*I *2826:la_iena[108] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[108] 0.00172779 -2 *2826:la_iena[108] 0.00219667 -3 *1298:10 0.0052755 -4 *1298:9 0.00574438 -5 *2820:la_iena_mprj[108] *2820:la_oenb_mprj[108] 0 -6 *2820:la_iena_mprj[108] *1538:15 0.000347102 -7 *2820:la_iena_mprj[108] *1542:15 0 -8 *1298:9 *1435:15 7.09666e-06 -9 *1298:10 *1299:10 7.68538e-06 -10 *1298:10 *1299:14 0.0339532 -11 *1298:10 *1309:10 0.000763299 -12 *1298:10 *1314:10 0.00531359 -13 *1298:10 *1318:10 0.00179995 -14 *2820:la_data_out_mprj[108] *2820:la_iena_mprj[108] 0 -15 *2826:la_input[108] *1298:9 0 -16 *787:5 *2820:la_iena_mprj[108] 0 -17 *1034:10 *1298:10 0.0250186 -18 *1038:12 *1298:10 2.39581e-05 -19 *1038:14 *1298:10 0.00292992 -20 *1041:9 *1298:9 0 -21 *1061:10 *1298:10 0.00133754 -22 *1293:16 *1298:10 0.000366369 -*RES -1 *2826:la_iena[108] *1298:9 48.8709 -2 *1298:9 *1298:10 412.794 -3 *1298:10 *2820:la_iena_mprj[108] 49.6404 -*END - -*D_NET *1299 0.0928257 -*CONN -*I *2820:la_iena_mprj[109] I *D mgmt_protect -*I *2826:la_iena[109] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[109] 0.00186114 -2 *2826:la_iena[109] 0.00204883 -3 *1299:14 0.0049433 -4 *1299:12 0.0031186 -5 *1299:10 0.000508716 -6 *1299:9 0.000472273 -7 *1299:7 0.00204883 -8 *2820:la_iena_mprj[109] *2820:la_oenb_mprj[109] 0 -9 *1299:7 *1753:16 0.000104724 -10 *1299:7 *2497:8 0.000476259 -11 *1299:10 *1318:10 0.00593153 -12 *1299:10 *1758:16 0.000401678 -13 *2820:la_data_out_mprj[109] *2820:la_iena_mprj[109] 0 -14 *2826:la_input[109] *1299:7 0 -15 *787:5 *2820:la_iena_mprj[109] 0 -16 *891:11 *2820:la_iena_mprj[109] 0 -17 *1043:10 *1299:10 0.00597172 -18 *1043:10 *1299:14 0.000414735 -19 *1043:14 *1299:14 0.00206661 -20 *1061:10 *1299:14 0.000937227 -21 *1293:16 *1299:14 0.0275586 -22 *1298:10 *1299:10 7.68538e-06 -23 *1298:10 *1299:14 0.0339532 -*RES -1 *2826:la_iena[109] *1299:7 45.6167 -2 *1299:7 *1299:9 4.5 -3 *1299:9 *1299:10 63.3936 -4 *1299:10 *1299:12 0.988641 -5 *1299:12 *1299:14 361.216 -6 *1299:14 *2820:la_iena_mprj[109] 49.2251 -*END - -*D_NET *1300 0.183807 -*CONN -*I *2820:la_iena_mprj[10] I *D mgmt_protect -*I *2826:la_iena[10] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[10] 0.000802076 -2 *2826:la_iena[10] 7.21667e-05 -3 *1300:10 0.00670856 -4 *1300:9 0.00590649 -5 *1300:7 0.002811 -6 *1300:5 0.00288316 -7 *2820:la_iena_mprj[10] *2820:la_oenb_mprj[10] 0 -8 *1300:10 *1336:10 0.00397766 -9 *1300:10 *1416:10 0.0784758 -10 *1300:10 *1428:10 0.0782278 -11 *1300:10 *1448:10 0 -12 *1300:10 *1462:10 0.00346137 -13 *1300:10 *1544:10 0.000480973 -14 *2820:la_data_out_mprj[10] *2820:la_iena_mprj[10] 0 -15 *2826:la_input[10] *1300:7 0 -16 *1160:9 *1300:7 0 -*RES -1 *2826:la_iena[10] *1300:5 2.05183 -2 *1300:5 *1300:7 68.2723 -3 *1300:7 *1300:9 3.36879 -4 *1300:9 *1300:10 100.558 -5 *1300:10 *2820:la_iena_mprj[10] 22.5559 -*END - -*D_NET *1301 0.0896721 -*CONN -*I *2820:la_iena_mprj[110] I *D mgmt_protect -*I *2826:la_iena[110] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[110] 0.00180368 -2 *2826:la_iena[110] 0.00202541 -3 *1301:16 0.00448139 -4 *1301:15 0.00287167 -5 *1301:10 0.00177501 -6 *1301:9 0.00360647 -7 *2820:la_iena_mprj[110] *2820:la_oenb_mprj[110] 0 -8 *2820:la_iena_mprj[110] *1543:15 0 -9 *1301:10 *1309:10 0.000793053 -10 *1301:10 *1427:10 0.000350533 -11 *1301:10 *1889:10 0.000279755 -12 *1301:10 *1893:24 0 -13 *1301:15 *2820:mprj_dat_o_core[25] 9.21302e-05 -14 *1301:16 *1304:14 0.0289983 -15 *2820:la_data_out_mprj[110] *2820:la_iena_mprj[110] 0 -16 *2826:la_input[110] *1301:9 0 -17 *790:5 *2820:la_iena_mprj[110] 0 -18 *1039:12 *1301:10 0.00147963 -19 *1041:10 *1301:10 0.0053007 -20 *1042:10 *1301:10 0.00255818 -21 *1043:14 *1301:16 0.0314621 -22 *1045:10 *1301:16 0.000159331 -23 *1046:14 *1301:16 0.000815012 -24 *1061:10 *1301:16 0.000181844 -25 *1063:10 *1301:16 0.000637929 -26 *1295:7 *1301:15 0 -*RES -1 *2826:la_iena[110] *1301:9 45.2558 -2 *1301:9 *1301:10 102.77 -3 *1301:10 *1301:15 13.3235 -4 *1301:15 *1301:16 334.595 -5 *1301:16 *2820:la_iena_mprj[110] 47.9793 -*END - -*D_NET *1302 0.0818718 -*CONN -*I *2820:la_iena_mprj[111] I *D mgmt_protect -*I *2826:la_iena[111] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[111] 0.0029425 -2 *2826:la_iena[111] 0.000997141 -3 *1302:12 0.0029425 -4 *1302:10 0.00686146 -5 *1302:9 0.0078586 -6 *2820:la_iena_mprj[111] *2820:la_oenb_mprj[111] 0 -7 *2820:la_iena_mprj[111] *1404:9 0.00017968 -8 *1302:10 *1424:20 0.000759873 -9 *1302:10 *1433:10 0 -10 *1302:10 *1532:10 0 -11 *1302:10 *1536:10 0 -12 *1302:10 *1826:14 0.015326 -13 *2820:la_data_out_mprj[111] *2820:la_iena_mprj[111] 0 -14 *2826:la_input[111] *1302:9 0 -15 *779:16 *1302:10 0.00030473 -16 *780:16 *1302:10 0.000441509 -17 *790:5 *2820:la_iena_mprj[111] 0 -18 *791:5 *2820:la_iena_mprj[111] 0 -19 *791:8 *1302:10 0.0418026 -20 *1040:14 *1302:10 0 -21 *1045:7 *1302:9 0 -22 *1147:9 *2820:la_iena_mprj[111] 0 -23 *1293:12 *1302:10 0.000908333 -24 *1294:12 *1302:10 0.000546962 -*RES -1 *2826:la_iena[111] *1302:9 27.2778 -2 *1302:9 *1302:10 447.734 -3 *1302:10 *1302:12 4.5 -4 *1302:12 *2820:la_iena_mprj[111] 66.7335 -*END - -*D_NET *1303 0.101594 -*CONN -*I *2820:la_iena_mprj[112] I *D mgmt_protect -*I *2826:la_iena[112] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[112] 0.00162959 -2 *2826:la_iena[112] 0.00236479 -3 *1303:10 0.00521213 -4 *1303:9 0.00358253 -5 *1303:7 0.00236479 -6 *2820:la_iena_mprj[112] *2820:la_oenb_mprj[112] 0 -7 *1303:7 *1307:15 1.99996e-05 -8 *1303:10 *1421:14 0.0269149 -9 *1303:10 *1442:10 0.00180696 -10 *1303:10 *1913:16 0.00996546 -11 *2820:la_data_out_mprj[112] *2820:la_iena_mprj[112] 0 -12 *2826:la_input[112] *1303:7 0 -13 *792:5 *2820:la_iena_mprj[112] 0 -14 *892:11 *2820:la_iena_mprj[112] 0.00030246 -15 *1045:10 *1303:10 0.041092 -16 *1046:7 *1303:7 0 -17 *1050:10 *1303:10 0.000183223 -18 *1051:10 *1303:10 0.00144253 -19 *1062:10 *1303:10 0.00157847 -20 *1295:12 *1303:10 0.00313394 -*RES -1 *2826:la_iena[112] *1303:7 47.6929 -2 *1303:7 *1303:9 4.5 -3 *1303:9 *1303:10 459.935 -4 *1303:10 *2820:la_iena_mprj[112] 46.3183 -*END - -*D_NET *1304 0.105309 -*CONN -*I *2820:la_iena_mprj[113] I *D mgmt_protect -*I *2826:la_iena[113] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[113] 0.00183003 -2 *2826:la_iena[113] 0.00226174 -3 *1304:14 0.00498004 -4 *1304:12 0.00317088 -5 *1304:10 0.000434517 -6 *1304:9 0.000413653 -7 *1304:7 0.00226174 -8 *2820:la_iena_mprj[113] *2820:la_oenb_mprj[113] 0 -9 *1304:10 *1307:10 0.00180471 -10 *1304:10 *1440:10 0.00575555 -11 *1304:10 *1758:16 0.000393197 -12 *1304:14 *1440:10 0.00023152 -13 *2820:la_data_out_mprj[113] *2820:la_iena_mprj[113] 0 -14 *792:5 *2820:la_iena_mprj[113] 0 -15 *793:7 *2820:la_iena_mprj[113] 0 -16 *1043:10 *1304:14 0.00906415 -17 *1043:14 *1304:14 1.41853e-05 -18 *1046:12 *1304:10 0.00328528 -19 *1046:12 *1304:12 9.95922e-06 -20 *1046:14 *1304:10 1.67988e-05 -21 *1046:14 *1304:14 0.0386282 -22 *1047:7 *1304:7 0 -23 *1050:10 *1304:10 0.000272272 -24 *1062:10 *1304:14 0.000114589 -25 *1063:10 *1304:14 0.00136767 -26 *1301:16 *1304:14 0.0289983 -*RES -1 *2826:la_iena[113] *1304:7 46.0319 -2 *1304:7 *1304:9 4.5 -3 *1304:9 *1304:10 60.6206 -4 *1304:10 *1304:12 0.578717 -5 *1304:12 *1304:14 410.575 -6 *1304:14 *2820:la_iena_mprj[113] 47.5641 -*END - -*D_NET *1305 0.104484 -*CONN -*I *2820:la_iena_mprj[114] I *D mgmt_protect -*I *2826:la_iena[114] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[114] 0.00165772 -2 *2826:la_iena[114] 8.98429e-05 -3 *1305:14 0.00559116 -4 *1305:12 0.00403795 -5 *1305:7 0.00252563 -6 *1305:5 0.00251097 -7 *2820:la_iena_mprj[114] *2820:la_oenb_mprj[114] 0 -8 *1305:12 *1765:16 0.000954996 -9 *1305:14 *1307:16 0.0401448 -10 *1305:14 *1310:10 0 -11 *1305:14 *1424:26 0.000710874 -12 *1305:14 *1767:24 0.000252161 -13 *1305:14 *1773:16 0.00151736 -14 *2820:la_data_out_mprj[114] *2820:la_iena_mprj[114] 0 -15 *2826:la_input[114] *1305:7 0 -16 *894:15 *2820:la_iena_mprj[114] 0 -17 *1040:20 *1305:14 0.004179 -18 *1048:7 *1305:7 0 -19 *1056:10 *1305:14 0.000294636 -20 *1058:10 *1305:14 0.000378828 -21 *1059:10 *1305:12 1.67988e-05 -22 *1059:10 *1305:14 0.03739 -23 *1060:10 *1305:12 0.0010081 -24 *1060:10 *1305:14 0.0012231 -*RES -1 *2826:la_iena[114] *1305:5 2.05183 -2 *1305:5 *1305:7 48.1326 -3 *1305:7 *1305:12 15.785 -4 *1305:12 *1305:14 472.691 -5 *1305:14 *2820:la_iena_mprj[114] 43.4116 -*END - -*D_NET *1306 0.11104 -*CONN -*I *2820:la_iena_mprj[115] I *D mgmt_protect -*I *2826:la_iena[115] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[115] 0.00146882 -2 *2826:la_iena[115] 6.52431e-05 -3 *1306:10 0.00533515 -4 *1306:9 0.00386633 -5 *1306:7 0.00275645 -6 *1306:5 0.00282169 -7 *2820:la_iena_mprj[115] *2820:la_oenb_mprj[115] 0 -8 *1306:10 *1308:10 1.15389e-05 -9 *1306:10 *1445:10 0.00333193 -10 *1306:10 *1760:24 0.00229466 -11 *2820:la_data_out_mprj[115] *2820:la_iena_mprj[115] 0 -12 *2826:la_input[115] *1306:7 0 -13 *795:5 *2820:la_iena_mprj[115] 0 -14 *807:14 *1306:10 0.02369 -15 *1037:20 *1306:10 0.0227911 -16 *1047:10 *1306:10 0.0421336 -17 *1048:10 *1306:10 0.000473003 -18 *1049:7 *1306:7 0 -*RES -1 *2826:la_iena[115] *1306:5 1.49002 -2 *1306:5 *1306:7 53.5309 -3 *1306:7 *1306:9 4.5 -4 *1306:9 *1306:10 494.875 -5 *1306:10 *2820:la_iena_mprj[115] 38.4285 -*END - -*D_NET *1307 0.109755 -*CONN -*I *2820:la_iena_mprj[116] I *D mgmt_protect -*I *2826:la_iena[116] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[116] 0.00169282 -2 *2826:la_iena[116] 0.00225338 -3 *1307:16 0.00496872 -4 *1307:15 0.00350847 -5 *1307:10 0.000914571 -6 *1307:9 0.000681997 -7 *1307:7 0.00225338 -8 *2820:la_iena_mprj[116] *2820:la_oenb_mprj[116] 0 -9 *1307:10 *1440:10 0.00259596 -10 *1307:10 *1442:10 0.000185642 -11 *1307:16 *1424:26 0.00556399 -12 *1307:16 *1767:24 0.000129663 -13 *1307:16 *1772:24 0.000999247 -14 *2820:la_data_out_mprj[116] *2820:la_iena_mprj[116] 0 -15 *2826:la_input[116] *1307:7 0 -16 *895:11 *2820:la_iena_mprj[116] 0 -17 *1049:14 *1307:16 0.000313024 -18 *1050:7 *1307:7 0 -19 *1050:10 *1307:10 0.00717881 -20 *1060:10 *1307:16 0.0338686 -21 *1063:10 *1307:10 0.000677329 -22 *1303:7 *1307:15 1.99996e-05 -23 *1304:10 *1307:10 0.00180471 -24 *1305:14 *1307:16 0.0401448 -*RES -1 *2826:la_iena[116] *1307:7 46.4472 -2 *1307:7 *1307:9 4.5 -3 *1307:9 *1307:10 80.0317 -4 *1307:10 *1307:15 12.9083 -5 *1307:15 *1307:16 426.659 -6 *1307:16 *2820:la_iena_mprj[116] 43.8268 -*END - -*D_NET *1308 0.116648 -*CONN -*I *2820:la_iena_mprj[117] I *D mgmt_protect -*I *2826:la_iena[117] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[117] 0.0015185 -2 *2826:la_iena[117] 8.98429e-05 -3 *1308:10 0.0054457 -4 *1308:9 0.0039272 -5 *1308:7 0.00266392 -6 *1308:5 0.00275377 -7 *2820:la_iena_mprj[117] *2820:la_oenb_mprj[117] 0 -8 *1308:10 *1762:24 0.00282748 -9 *2820:la_data_out_mprj[117] *2820:la_iena_mprj[117] 0 -10 *2826:la_input[117] *1308:7 0 -11 *797:5 *2820:la_iena_mprj[117] 0 -12 *807:14 *1308:10 0.0274944 -13 *1037:20 *1308:10 0.0211857 -14 *1051:7 *1308:7 0 -15 *1052:10 *1308:10 0.0487296 -16 *1306:10 *1308:10 1.15389e-05 -*RES -1 *2826:la_iena[117] *1308:5 2.05183 -2 *1308:5 *1308:7 52.7004 -3 *1308:7 *1308:9 4.5 -4 *1308:9 *1308:10 517.614 -5 *1308:10 *2820:la_iena_mprj[117] 39.2591 -*END - -*D_NET *1309 0.10005 -*CONN -*I *2820:la_iena_mprj[118] I *D mgmt_protect -*I *2826:la_iena[118] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[118] 0.00128087 -2 *2826:la_iena[118] 0.00206472 -3 *1309:16 0.00355209 -4 *1309:15 0.00302186 -5 *1309:10 0.00582081 -6 *1309:9 0.00713489 -7 *2820:la_iena_mprj[118] *2820:la_oenb_mprj[118] 0 -8 *1309:10 *1314:10 0.0245682 -9 *1309:15 *1840:5 0 -10 *1309:16 *1314:16 0.0203231 -11 *1309:16 *1435:16 5.24855e-05 -12 *1309:16 *1437:16 0.000710874 -13 *1309:16 *1440:16 0.0217225 -14 *2820:la_data_out_mprj[118] *2820:la_iena_mprj[118] 0 -15 *2826:la_input[118] *1309:9 0 -16 *798:5 *2820:la_iena_mprj[118] 0 -17 *896:8 *1309:16 0.000689445 -18 *1038:12 *1309:10 0.000842323 -19 *1039:20 *1309:16 0.00111295 -20 *1041:10 *1309:10 0.000375299 -21 *1052:7 *1309:9 0 -22 *1061:10 *1309:10 0.00253755 -23 *1296:15 *1309:15 0.000785461 -24 *1297:10 *1309:10 0.00189867 -25 *1298:10 *1309:10 0.000763299 -26 *1301:10 *1309:10 0.000793053 -*RES -1 *2826:la_iena[118] *1309:9 48.0404 -2 *1309:9 *1309:10 278.025 -3 *1309:10 *1309:15 25.7811 -4 *1309:15 *1309:16 251.959 -5 *1309:16 *2820:la_iena_mprj[118] 33.8608 -*END - -*D_NET *1310 0.12103 -*CONN -*I *2820:la_iena_mprj[119] I *D mgmt_protect -*I *2826:la_iena[119] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[119] 0.00157332 -2 *2826:la_iena[119] 4.06432e-05 -3 *1310:10 0.0057216 -4 *1310:9 0.00414828 -5 *1310:7 0.00253975 -6 *1310:5 0.00258039 -7 *2820:la_iena_mprj[119] *2820:la_oenb_mprj[119] 0 -8 *1310:10 *1761:24 0.000108607 -9 *1310:10 *1764:24 0.00298502 -10 *2820:la_data_out_mprj[119] *2820:la_iena_mprj[119] 0 -11 *2826:la_input[119] *1310:7 0 -12 *800:5 *2820:la_iena_mprj[119] 0 -13 *896:11 *2820:la_iena_mprj[119] 9.71019e-05 -14 *1040:20 *1310:10 6.91078e-06 -15 *1054:10 *1310:10 0.0511282 -16 *1056:10 *1310:10 0.000373913 -17 *1057:14 *1310:10 0.0497265 -18 *1305:14 *1310:10 0 -*RES -1 *2826:la_iena[119] *1310:5 0.928211 -2 *1310:5 *1310:7 51.0394 -3 *1310:7 *1310:9 4.5 -4 *1310:9 *1310:10 542.571 -5 *1310:10 *2820:la_iena_mprj[119] 40.9201 -*END - -*D_NET *1311 0.18048 -*CONN -*I *2820:la_iena_mprj[11] I *D mgmt_protect -*I *2826:la_iena[11] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[11] 0.00255891 -2 *2826:la_iena[11] 0.00152981 -3 *1311:12 0.00255891 -4 *1311:10 0.00874057 -5 *1311:9 0.0102704 -6 *2820:la_iena_mprj[11] *2820:la_oenb_mprj[11] 0 -7 *2820:la_iena_mprj[11] *1439:19 0.000183335 -8 *1311:10 *1321:10 0.0732866 -9 *1311:10 *1327:10 0.000169237 -10 *1311:10 *1329:10 0.000180782 -11 *1311:10 *1331:10 0.000196208 -12 *1311:10 *1333:10 0.00279589 -13 *1311:10 *1335:10 0.00247629 -14 *1311:10 *1451:10 0 -15 *1311:10 *1459:10 0.000217229 -16 *1311:10 *1461:10 0.000236284 -17 *1311:10 *1533:10 0.0750797 -18 *2820:la_data_out_mprj[11] *2820:la_iena_mprj[11] 0 -19 *2826:la_input[46] *2820:la_iena_mprj[11] 0 -20 *799:23 *1311:9 0 -21 *1044:7 *1311:9 0 -*RES -1 *2826:la_iena[11] *1311:9 40.4728 -2 *1311:9 *1311:10 98.9517 -3 *1311:10 *1311:12 3.36879 -4 *1311:12 *2820:la_iena_mprj[11] 52.4073 -*END - -*D_NET *1312 0.120242 -*CONN -*I *2820:la_iena_mprj[120] I *D mgmt_protect -*I *2826:la_iena[120] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[120] 0.000531865 -2 *2826:la_iena[120] 8.98429e-05 -3 *1312:10 0.00507244 -4 *1312:9 0.00454058 -5 *1312:7 0.00383038 -6 *1312:5 0.00392023 -7 *2820:la_iena_mprj[120] *2820:la_oenb_mprj[120] 0 -8 *1312:10 *1313:10 0.0511909 -9 *1312:10 *1438:10 0.0510654 -10 *2820:la_data_out_mprj[120] *2820:la_iena_mprj[120] 0 -11 *2826:la_input[120] *1312:7 0 -12 *806:13 *1312:7 0 -13 *1054:7 *1312:7 0 -14 *1297:20 *1312:10 0 -*RES -1 *2826:la_iena[120] *1312:5 2.05183 -2 *1312:5 *1312:7 75.124 -3 *1312:7 *1312:9 4.5 -4 *1312:9 *1312:10 553.109 -5 *1312:10 *2820:la_iena_mprj[120] 16.8354 -*END - -*D_NET *1313 0.12042 -*CONN -*I *2820:la_iena_mprj[121] I *D mgmt_protect -*I *2826:la_iena[121] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[121] 0.000553301 -2 *2826:la_iena[121] 8.98429e-05 -3 *1313:10 0.00558229 -4 *1313:9 0.00502899 -5 *1313:7 0.00378278 -6 *1313:5 0.00387263 -7 *2820:la_iena_mprj[121] *2820:la_oenb_mprj[121] 0 -8 *1313:10 *1316:10 0.000358824 -9 *1313:10 *1317:10 0.0499599 -10 *2820:la_data_out_mprj[121] *2820:la_iena_mprj[121] 0 -11 *2826:la_input[121] *1313:7 0 -12 *1056:7 *1313:7 0 -13 *1297:20 *1313:10 0 -14 *1312:10 *1313:10 0.0511909 -*RES -1 *2826:la_iena[121] *1313:5 2.05183 -2 *1313:5 *1313:7 74.7088 -3 *1313:7 *1313:9 4.5 -4 *1313:9 *1313:10 564.755 -5 *1313:10 *2820:la_iena_mprj[121] 17.2507 -*END - -*D_NET *1314 0.110631 -*CONN -*I *2820:la_iena_mprj[122] I *D mgmt_protect -*I *2826:la_iena[122] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[122] 0.00133647 -2 *2826:la_iena[122] 0.00204517 -3 *1314:16 0.00461647 -4 *1314:15 0.00421571 -5 *1314:10 0.00502163 -6 *1314:9 0.0061311 -7 *2820:la_iena_mprj[122] *2820:la_oenb_mprj[122] 0 -8 *1314:10 *1318:10 0.00175433 -9 *1314:10 *1435:10 0.0165394 -10 *1314:10 *1437:10 0.00162851 -11 *1314:15 *2820:mprj_adr_o_core[27] 0 -12 *1314:15 *1423:7 0 -13 *1314:16 *1318:16 0.000412656 -14 *1314:16 *1440:16 0.000760652 -15 *1314:16 *1765:22 0.00074739 -16 *1314:16 *1771:30 0.00280463 -17 *2820:la_data_out_mprj[122] *2820:la_iena_mprj[122] 0 -18 *803:5 *2820:la_iena_mprj[122] 0 -19 *1039:20 *1314:16 0.00946479 -20 *1057:7 *1314:9 0 -21 *1061:10 *1314:10 0.00294699 -22 *1295:7 *1314:15 0 -23 *1298:10 *1314:10 0.00531359 -24 *1309:10 *1314:10 0.0245682 -25 *1309:16 *1314:16 0.0203231 -*RES -1 *2826:la_iena[122] *1314:9 48.4557 -2 *1314:9 *1314:10 340.141 -3 *1314:10 *1314:15 24.9506 -4 *1314:15 *1314:16 236.43 -5 *1314:16 *2820:la_iena_mprj[122] 34.276 -*END - -*D_NET *1315 0.12902 -*CONN -*I *2820:la_iena_mprj[123] I *D mgmt_protect -*I *2826:la_iena[123] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[123] 0.0017164 -2 *2826:la_iena[123] 8.98429e-05 -3 *1315:10 0.00605895 -4 *1315:9 0.00434255 -5 *1315:7 0.00239535 -6 *1315:5 0.00248519 -7 *2820:la_iena_mprj[123] *1443:13 0 -8 *1315:10 *1767:24 0.00309912 -9 *1315:10 *1787:24 0.00110328 -10 *2820:la_data_out_mprj[123] *2820:la_iena_mprj[123] 0 -11 *2826:la_input[123] *1315:7 0 -12 *1056:10 *1315:10 0.0512328 -13 *1057:12 *1315:10 0.000755455 -14 *1057:14 *1315:10 0.000489607 -15 *1058:7 *1315:7 0 -16 *1058:10 *1315:10 0.0550414 -17 *1059:10 *1315:10 0.00020979 -*RES -1 *2826:la_iena[123] *1315:5 2.05183 -2 *1315:5 *1315:7 49.7936 -3 *1315:7 *1315:9 4.5 -4 *1315:9 *1315:10 588.049 -5 *1315:10 *2820:la_iena_mprj[123] 42.1658 -*END - -*D_NET *1316 0.131774 -*CONN -*I *2820:la_iena_mprj[124] I *D mgmt_protect -*I *2826:la_iena[124] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[124] 0.000589333 -2 *2826:la_iena[124] 8.98429e-05 -3 *1316:10 0.00532251 -4 *1316:9 0.00473318 -5 *1316:7 0.00365955 -6 *1316:5 0.00374939 -7 *2820:la_iena_mprj[124] *2820:la_oenb_mprj[124] 0 -8 *1316:7 *1829:13 0 -9 *1316:10 *1317:10 0.0557464 -10 *1316:10 *1319:10 0.0541474 -11 *1316:10 *1446:10 0.000269776 -12 *1316:10 *2489:24 0.00310762 -13 *2820:la_data_out_mprj[124] *2820:la_iena_mprj[124] 0 -14 *2826:la_input[124] *1316:7 0 -15 *1059:7 *1316:7 0 -16 *1297:20 *1316:10 0 -17 *1313:10 *1316:10 0.000358824 -*RES -1 *2826:la_iena[124] *1316:5 2.05183 -2 *1316:5 *1316:7 73.8783 -3 *1316:7 *1316:9 4.5 -4 *1316:9 *1316:10 599.695 -5 *1316:10 *2820:la_iena_mprj[124] 18.0812 -*END - -*D_NET *1317 0.126615 -*CONN -*I *2820:la_iena_mprj[125] I *D mgmt_protect -*I *2826:la_iena[125] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[125] 0.000574737 -2 *2826:la_iena[125] 8.98429e-05 -3 *1317:10 0.0062678 -4 *1317:9 0.00569306 -5 *1317:7 0.00365372 -6 *1317:5 0.00374356 -7 *2820:la_iena_mprj[125] *2820:la_oenb_mprj[125] 0 -8 *1317:7 *1831:13 0 -9 *1317:10 *1319:10 0.000885943 -10 *2820:la_data_out_mprj[125] *2820:la_iena_mprj[125] 0 -11 *2826:la_input[125] *1317:7 0 -12 *1060:7 *1317:7 0 -13 *1313:10 *1317:10 0.0499599 -14 *1316:10 *1317:10 0.0557464 -*RES -1 *2826:la_iena[125] *1317:5 2.05183 -2 *1317:5 *1317:7 74.2935 -3 *1317:7 *1317:9 4.5 -4 *1317:9 *1317:10 611.342 -5 *1317:10 *2820:la_iena_mprj[125] 17.6659 -*END - -*D_NET *1318 0.107531 -*CONN -*I *2820:la_iena_mprj[126] I *D mgmt_protect -*I *2826:la_iena[126] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[126] 0.00147099 -2 *2826:la_iena[126] 0.00206167 -3 *1318:16 0.00513926 -4 *1318:15 0.0042798 -5 *1318:10 0.00674565 -6 *1318:9 0.0081958 -7 *2820:la_iena_mprj[126] *2820:la_oenb_mprj[126] 0 -8 *1318:10 *1435:10 0.000333162 -9 *1318:10 *1437:10 0.0194304 -10 *1318:10 *1440:10 0.0217247 -11 *1318:10 *1442:10 0.000259519 -12 *1318:10 *1444:10 0 -13 *1318:10 *1913:16 0 -14 *1318:15 *1425:15 0.000561844 -15 *1318:15 *1778:13 0 -16 *1318:16 *1758:22 0.00269251 -17 *1318:16 *1765:22 0.000807467 -18 *1318:16 *1769:30 0.00529352 -19 *2820:la_data_out_mprj[126] *2820:la_iena_mprj[126] 0 -20 *806:17 *1318:9 0 -21 *807:7 *2820:la_iena_mprj[126] 0 -22 *807:8 *1318:16 0.0135609 -23 *1039:20 *1318:16 0.000669662 -24 *1042:22 *1318:16 0.000568389 -25 *1043:10 *1318:10 0.000183223 -26 *1048:10 *1318:16 0.000113033 -27 *1061:9 *1318:9 0 -28 *1061:10 *1318:10 0.00354074 -29 *1298:10 *1318:10 0.00179995 -30 *1299:10 *1318:10 0.00593153 -31 *1314:10 *1318:10 0.00175433 -32 *1314:16 *1318:16 0.000412656 -*RES -1 *2826:la_iena[126] *1318:9 49.7014 -2 *1318:9 *1318:10 407.802 -3 *1318:10 *1318:15 21.6286 -4 *1318:15 *1318:16 215.91 -5 *1318:16 *2820:la_iena_mprj[126] 36.3523 -*END - -*D_NET *1319 0.137072 -*CONN -*I *2820:la_iena_mprj[127] I *D mgmt_protect -*I *2826:la_iena[127] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[127] 0.00061761 -2 *2826:la_iena[127] 8.98429e-05 -3 *1319:10 0.00580133 -4 *1319:9 0.00518372 -5 *1319:7 0.00355912 -6 *1319:5 0.00364896 -7 *2820:la_iena_mprj[127] *2820:la_oenb_mprj[127] 0 -8 *1319:7 *2487:15 0 -9 *1319:10 *1446:10 0.0590246 -10 *1319:10 *1755:8 0.000427125 -11 *1319:10 *2489:24 0.00368628 -12 *2820:la_data_out_mprj[127] *2820:la_iena_mprj[127] 0 -13 *807:17 *1319:7 0 -14 *1062:7 *1319:7 0 -15 *1316:10 *1319:10 0.0541474 -16 *1317:10 *1319:10 0.000885943 -*RES -1 *2826:la_iena[127] *1319:5 2.05183 -2 *1319:5 *1319:7 73.463 -3 *1319:7 *1319:9 4.5 -4 *1319:9 *1319:10 634.635 -5 *1319:10 *2820:la_iena_mprj[127] 18.4964 -*END - -*D_NET *1320 0.122387 -*CONN -*I *2820:la_iena_mprj[12] I *D mgmt_protect -*I *2826:la_iena[12] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[12] 0.000650216 -2 *2826:la_iena[12] 7.21667e-05 -3 *1320:10 0.0177093 -4 *1320:9 0.0170591 -5 *1320:7 0.00297354 -6 *1320:5 0.00304571 -7 *2820:la_iena_mprj[12] *2820:la_oenb_mprj[12] 0 -8 *1320:10 *1428:10 0.000358219 -9 *1320:10 *1544:10 0.0743318 -10 *2820:la_data_out_mprj[12] *2820:la_iena_mprj[12] 0 -11 *2826:la_input[12] *1320:7 0 -12 *1055:7 *1320:7 0 -13 *1082:10 *1320:10 0.00309934 -14 *1084:10 *1320:10 0.00308766 -*RES -1 *2826:la_iena[12] *1320:5 2.05183 -2 *1320:5 *1320:7 70.7639 -3 *1320:7 *1320:9 3.36879 -4 *1320:9 *1320:10 97.3454 -5 *1320:10 *2820:la_iena_mprj[12] 20.0644 -*END - -*D_NET *1321 0.177344 -*CONN -*I *2820:la_iena_mprj[13] I *D mgmt_protect -*I *2826:la_iena[13] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[13] 6.22868e-05 -2 *2826:la_iena[13] 0.00158167 -3 *1321:13 0.0021564 -4 *1321:12 0.00209411 -5 *1321:10 0.00788486 -6 *1321:9 0.00946653 -7 *1321:10 *1337:10 0.00236044 -8 *1321:10 *1449:10 0.0738919 -9 *1321:10 *1451:10 0 -10 *1321:10 *1463:10 0.00266988 -11 *1321:13 *1358:7 0 -12 *1321:13 *1449:13 0 -13 *2820:la_data_out_mprj[13] *1321:13 0.00188888 -14 *809:11 *1321:9 0 -15 *1064:7 *1321:9 0 -16 *1311:10 *1321:10 0.0732866 -*RES -1 *2826:la_iena[13] *1321:9 41.3033 -2 *1321:9 *1321:10 95.5096 -3 *1321:10 *1321:12 3.36879 -4 *1321:12 *1321:13 51.6623 -5 *1321:13 *2820:la_iena_mprj[13] 1.77093 -*END - -*D_NET *1322 0.170686 -*CONN -*I *2820:la_iena_mprj[14] I *D mgmt_protect -*I *2826:la_iena[14] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[14] 0.00118108 -2 *2826:la_iena[14] 7.21667e-05 -3 *1322:10 0.0067711 -4 *1322:9 0.00559003 -5 *1322:7 0.00253508 -6 *1322:5 0.00260725 -7 *2820:la_iena_mprj[14] *2820:la_oenb_mprj[14] 0 -8 *1322:10 *1343:10 0.00281212 -9 *1322:10 *1344:10 0.00280677 -10 *1322:10 *1358:12 0.000577836 -11 *1322:10 *1448:10 0.0725345 -12 *1322:10 *1450:10 0.0730147 -13 *2820:la_data_out_mprj[14] *2820:la_iena_mprj[14] 0 -14 *810:23 *1322:7 0 -15 *1065:7 *1322:7 0 -16 *1065:10 *1322:10 0 -17 *1086:10 *1322:10 0.000183126 -*RES -1 *2826:la_iena[14] *1322:5 2.05183 -2 *1322:5 *1322:7 60.7978 -3 *1322:7 *1322:9 3.36879 -4 *1322:9 *1322:10 94.0563 -5 *1322:10 *2820:la_iena_mprj[14] 30.0304 -*END - -*D_NET *1323 0.119154 -*CONN -*I *2820:la_iena_mprj[15] I *D mgmt_protect -*I *2826:la_iena[15] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[15] 0.00241028 -2 *2826:la_iena[15] 0.00166888 -3 *1323:12 0.00241028 -4 *1323:10 0.0133259 -5 *1323:9 0.0149947 -6 *2820:la_iena_mprj[15] *2820:la_oenb_mprj[15] 0 -7 *1323:10 *1333:10 0.000117432 -8 *1323:10 *1335:10 0.000123135 -9 *1323:10 *1337:10 0.000142759 -10 *1323:10 *1340:10 0.00106837 -11 *1323:10 *1449:10 0.0708449 -12 *1323:10 *1463:10 0.000131535 -13 *2820:la_data_out_mprj[15] *2820:la_iena_mprj[15] 0 -14 *2826:la_input[15] *1323:9 0 -15 *777:8 *1323:10 0.000441684 -16 *808:8 *1323:10 0.000847564 -17 *811:7 *2820:la_iena_mprj[15] 0 -18 *813:8 *1323:10 0 -19 *817:8 *1323:10 0 -20 *840:14 *1323:10 0.000111178 -21 *849:8 *1323:10 0.000442349 -22 *882:8 *1323:10 0.00974052 -23 *1033:10 *1323:10 0 -24 *1081:16 *1323:10 0.000219999 -25 *1097:16 *1323:10 0.000112976 -*RES -1 *2826:la_iena[15] *1323:9 42.9643 -2 *1323:9 *1323:10 92.5265 -3 *1323:10 *1323:12 3.36879 -4 *1323:12 *2820:la_iena_mprj[15] 49.9158 -*END - -*D_NET *1324 0.161718 -*CONN -*I *2820:la_iena_mprj[16] I *D mgmt_protect -*I *2826:la_iena[16] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[16] 0.00126682 -2 *2826:la_iena[16] 7.21667e-05 -3 *1324:10 0.00756593 -4 *1324:9 0.00629911 -5 *1324:7 0.0025307 -6 *1324:5 0.00260287 -7 *2820:la_iena_mprj[16] *2820:la_oenb_mprj[16] 0 -8 *1324:10 *1326:10 0.0671947 -9 *1324:10 *1358:12 0.000279683 -10 *1324:10 *1450:10 0.0699088 -11 *1324:10 *1475:10 0.00159101 -12 *2820:la_data_out_mprj[16] *2820:la_iena_mprj[16] 0 -13 *812:11 *1324:7 0 -14 *813:7 *2820:la_iena_mprj[16] 0 -15 *1066:10 *1324:10 0 -16 *1067:7 *1324:7 0 -17 *1068:10 *1324:10 0 -18 *1088:10 *1324:10 0.00240658 -*RES -1 *2826:la_iena[16] *1324:5 2.05183 -2 *1324:5 *1324:7 59.1368 -3 *1324:7 *1324:9 3.36879 -4 *1324:9 *1324:10 90.8437 -5 *1324:10 *2820:la_iena_mprj[16] 31.6914 -*END - -*D_NET *1325 0.165157 -*CONN -*I *2820:la_iena_mprj[17] I *D mgmt_protect -*I *2826:la_iena[17] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[17] 0.00210065 -2 *2826:la_iena[17] 0.00112953 -3 *1325:12 0.00210065 -4 *1325:10 0.00717368 -5 *1325:9 0.00830321 -6 *2820:la_iena_mprj[17] *1453:13 0.0031863 -7 *1325:10 *1345:10 0.00175632 -8 *1325:10 *1451:10 0.0684995 -9 *1325:10 *1453:10 0.0689453 -10 *1325:10 *1470:10 0.00196222 -11 *2820:la_data_out_mprj[17] *2820:la_iena_mprj[17] 0 -12 *2826:la_input[17] *1325:9 0 -13 *1068:7 *1325:9 0 -14 *1103:7 *2820:la_iena_mprj[17] 0 -*RES -1 *2826:la_iena[17] *1325:9 32.9983 -2 *1325:9 *1325:10 89.3139 -3 *1325:10 *1325:12 3.36879 -4 *1325:12 *2820:la_iena_mprj[17] 59.8818 -*END - -*D_NET *1326 0.158532 -*CONN -*I *2820:la_iena_mprj[18] I *D mgmt_protect -*I *2826:la_iena[18] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[18] 0.00132134 -2 *2826:la_iena[18] 3.26469e-05 -3 *1326:10 0.00744474 -4 *1326:9 0.0061234 -5 *1326:7 0.00255477 -6 *1326:5 0.00258742 -7 *2820:la_iena_mprj[18] *2820:la_oenb_mprj[18] 0 -8 *1326:10 *1348:10 0.00144923 -9 *1326:10 *1358:12 0.000199328 -10 *1326:10 *1454:10 0.0677963 -11 *2820:la_data_out_mprj[18] *2820:la_iena_mprj[18] 0 -12 *1068:10 *1326:10 0.0001462 -13 *1069:7 *1326:7 0 -14 *1090:10 *1326:10 0.00168247 -15 *1324:10 *1326:10 0.0671947 -*RES -1 *2826:la_iena[18] *1326:5 0.928211 -2 *1326:5 *1326:7 58.3063 -3 *1326:7 *1326:9 3.36879 -4 *1326:9 *1326:10 87.7841 -5 *1326:10 *2820:la_iena_mprj[18] 32.5219 -*END - -*D_NET *1327 0.121682 -*CONN -*I *2820:la_iena_mprj[19] I *D mgmt_protect -*I *2826:la_iena[19] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[19] 0.00252264 -2 *2826:la_iena[19] 0.00147808 -3 *1327:12 0.00252264 -4 *1327:10 0.00524776 -5 *1327:9 0.00672584 -6 *2820:la_iena_mprj[19] *2820:la_oenb_mprj[19] 0 -7 *2820:la_iena_mprj[19] *1468:15 0.000218121 -8 *2820:la_iena_mprj[19] *1488:7 0 -9 *1327:10 *1329:10 0.0571119 -10 *1327:10 *1357:10 0.00716518 -11 *1327:10 *1383:10 0.00297589 -12 *1327:10 *1405:10 0.000110257 -13 *1327:10 *1483:10 1.26314e-05 -14 *1327:10 *1484:10 0.000175597 -15 *2820:la_data_out_mprj[19] *2820:la_iena_mprj[19] 0 -16 *815:11 *1327:9 0 -17 *882:14 *1327:10 0.000870853 -18 *1070:9 *1327:9 0 -19 *1149:10 *1327:10 0.0132239 -20 *1149:14 *1327:10 0.0130465 -21 *1160:10 *1327:10 9.82896e-06 -22 *1160:14 *1327:10 0.00809534 -23 *1311:10 *1327:10 0.000169237 -*RES -1 *2826:la_iena[19] *1327:9 39.7354 -2 *1327:9 *1327:10 624.098 -3 *1327:10 *1327:12 4.5 -4 *1327:12 *2820:la_iena_mprj[19] 54.2759 -*END - -*D_NET *1328 0.214527 -*CONN -*I *2820:la_iena_mprj[1] I *D mgmt_protect -*I *2826:la_iena[1] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[1] 0.00114092 -2 *2826:la_iena[1] 7.21667e-05 -3 *1328:10 0.00717414 -4 *1328:9 0.00603323 -5 *1328:7 0.00233539 -6 *1328:5 0.00240756 -7 *2820:la_iena_mprj[1] *2820:la_oenb_mprj[1] 0 -8 *1328:10 *1350:10 0.0867555 -9 *1328:10 *1456:10 0.0899357 -10 *1328:10 *1478:10 0.0098298 -11 *2826:la_input[1] *1328:7 0 -12 *1033:7 *1328:7 0 -13 *1072:13 *2820:la_iena_mprj[1] 0 -14 *1116:10 *1328:10 0.00858812 -15 *1289:10 *1328:10 0.000254795 -*RES -1 *2826:la_iena[1] *1328:5 2.05183 -2 *1328:5 *1328:7 63.2893 -3 *1328:7 *1328:9 3.36879 -4 *1328:9 *1328:10 115.091 -5 *1328:10 *2820:la_iena_mprj[1] 27.5389 -*END - -*D_NET *1329 0.133344 -*CONN -*I *2820:la_iena_mprj[20] I *D mgmt_protect -*I *2826:la_iena[20] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[20] 0.00248225 -2 *2826:la_iena[20] 0.00151146 -3 *1329:12 0.00248225 -4 *1329:10 0.00478724 -5 *1329:9 0.0062987 -6 *2820:la_iena_mprj[20] *2820:la_oenb_mprj[20] 0 -7 *1329:10 *1331:10 0.0540252 -8 *1329:10 *1357:10 0.00033203 -9 *1329:10 *1405:10 0.00310303 -10 *2820:la_data_out_mprj[20] *2820:la_iena_mprj[20] 0 -11 *2826:la_input[20] *1329:9 0 -12 *788:18 *1329:10 0 -13 *850:11 *2820:la_iena_mprj[20] 0.000173831 -14 *882:14 *1329:10 0.00085524 -15 *1071:7 *1329:9 0 -16 *1311:10 *1329:10 0.000180782 -17 *1327:10 *1329:10 0.0571119 -*RES -1 *2826:la_iena[20] *1329:9 40.1506 -2 *1329:9 *1329:10 611.897 -3 *1329:10 *1329:12 4.5 -4 *1329:12 *2820:la_iena_mprj[20] 53.8607 -*END - -*D_NET *1330 0.131036 -*CONN -*I *2820:la_iena_mprj[21] I *D mgmt_protect -*I *2826:la_iena[21] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[21] 0.00089382 -2 *2826:la_iena[21] 7.21667e-05 -3 *1330:10 0.00532828 -4 *1330:9 0.00443446 -5 *1330:7 0.00306563 -6 *1330:5 0.00313779 -7 *2820:la_iena_mprj[21] *2820:la_oenb_mprj[21] 0 -8 *1330:10 *1332:10 0.0530002 -9 *1330:10 *1372:10 0.00501731 -10 *1330:10 *1452:10 0.0530696 -11 *1330:10 *1458:10 0.00132061 -12 *1330:10 *1482:22 0.000127366 -13 *818:11 *1330:7 0 -14 *819:7 *2820:la_iena_mprj[21] 0 -15 *1073:9 *1330:7 0 -16 *1074:13 *2820:la_iena_mprj[21] 0 -17 *1103:12 *1330:10 0.000113968 -18 *1103:14 *1330:10 0.00145531 -*RES -1 *2826:la_iena[21] *1330:5 2.05183 -2 *1330:5 *1330:7 65.9885 -3 *1330:7 *1330:9 4.5 -4 *1330:9 *1330:10 600.25 -5 *1330:10 *2820:la_iena_mprj[21] 25.971 -*END - -*D_NET *1331 0.130578 -*CONN -*I *2820:la_iena_mprj[22] I *D mgmt_protect -*I *2826:la_iena[22] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[22] 6.22868e-05 -2 *2826:la_iena[22] 0.00156433 -3 *1331:13 0.0018811 -4 *1331:12 0.00181881 -5 *1331:10 0.00438998 -6 *1331:9 0.00595431 -7 *1331:10 *1357:10 0.000144515 -8 *1331:10 *1405:10 0.00275866 -9 *1331:10 *1459:10 0.0545304 -10 *1331:10 *1490:10 0.000373718 -11 *1331:13 *2820:la_oenb_mprj[22] 0 -12 *2820:la_data_out_mprj[22] *1331:13 0.00287826 -13 *788:18 *1331:10 0 -14 *819:11 *1331:9 0 -15 *1074:9 *1331:9 0 -16 *1311:10 *1331:10 0.000196208 -17 *1329:10 *1331:10 0.0540252 -*RES -1 *2826:la_iena[22] *1331:9 40.5659 -2 *1331:9 *1331:10 586.939 -3 *1331:10 *1331:12 4.5 -4 *1331:12 *1331:13 53.5309 -5 *1331:13 *2820:la_iena_mprj[22] 1.77093 -*END - -*D_NET *1332 0.128437 -*CONN -*I *2820:la_iena_mprj[23] I *D mgmt_protect -*I *2826:la_iena[23] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[23] 0.000858542 -2 *2826:la_iena[23] 7.21667e-05 -3 *1332:10 0.00496789 -4 *1332:9 0.00410935 -5 *1332:7 0.00313925 -6 *1332:5 0.00321142 -7 *2820:la_iena_mprj[23] *2820:la_oenb_mprj[23] 0 -8 *2820:la_iena_mprj[23] *1491:7 8.11463e-06 -9 *1332:10 *1372:10 0.00461269 -10 *1332:10 *1458:10 0.0532169 -11 *1332:10 *1460:10 0.000601789 -12 *2820:la_data_out_mprj[23] *2820:la_iena_mprj[23] 0 -13 *820:11 *1332:7 0 -14 *821:7 *2820:la_iena_mprj[23] 0 -15 *1075:9 *1332:7 0 -16 *1103:14 *1332:10 7.83176e-05 -17 *1106:10 *1332:10 0.000560858 -18 *1330:10 *1332:10 0.0530002 -*RES -1 *2826:la_iena[23] *1332:5 2.05183 -2 *1332:5 *1332:7 66.4037 -3 *1332:7 *1332:9 4.5 -4 *1332:9 *1332:10 576.957 -5 *1332:10 *2820:la_iena_mprj[23] 25.5557 -*END - -*D_NET *1333 0.122917 -*CONN -*I *2820:la_iena_mprj[24] I *D mgmt_protect -*I *2826:la_iena[24] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[24] 0.00244611 -2 *2826:la_iena[24] 0.0016515 -3 *1333:12 0.00244611 -4 *1333:10 0.00457817 -5 *1333:9 0.00622966 -6 *2820:la_iena_mprj[24] *2820:la_oenb_mprj[24] 0 -7 *1333:10 *1335:10 0.0495512 -8 *1333:10 *1459:10 0.000443728 -9 *1333:10 *1461:10 0.0524039 -10 *788:18 *1333:10 0.00025368 -11 *821:11 *1333:9 0 -12 *1077:13 *2820:la_iena_mprj[24] 0 -13 *1311:10 *1333:10 0.00279589 -14 *1323:10 *1333:10 0.000117432 -*RES -1 *2826:la_iena[24] *1333:9 41.8116 -2 *1333:9 *1333:10 565.31 -3 *1333:10 *1333:12 4.5 -4 *1333:12 *2820:la_iena_mprj[24] 52.1996 -*END - -*D_NET *1334 0.120794 -*CONN -*I *2820:la_iena_mprj[25] I *D mgmt_protect -*I *2826:la_iena[25] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[25] 0.000804 -2 *2826:la_iena[25] 7.21667e-05 -3 *1334:10 0.0052802 -4 *1334:9 0.0044762 -5 *1334:7 0.00326727 -6 *1334:5 0.00333943 -7 *2820:la_iena_mprj[25] *2820:la_oenb_mprj[25] 0 -8 *1334:10 *1336:10 0.000451273 -9 *1334:10 *1364:14 8.78631e-05 -10 *1334:10 *1416:10 0.003765 -11 *1334:10 *1460:10 0.050937 -12 *1334:10 *1462:10 0.000756785 -13 *1334:10 *1464:10 0.0475059 -14 *2820:la_data_out_mprj[25] *2820:la_iena_mprj[25] 0 -15 *822:11 *1334:7 0 -16 *1077:9 *1334:7 0 -17 *1106:10 *1334:10 5.09219e-05 -*RES -1 *2826:la_iena[25] *1334:5 2.05183 -2 *1334:5 *1334:7 67.6495 -3 *1334:7 *1334:9 4.5 -4 *1334:9 *1334:10 553.663 -5 *1334:10 *2820:la_iena_mprj[25] 24.31 -*END - -*D_NET *1335 0.12027 -*CONN -*I *2820:la_iena_mprj[26] I *D mgmt_protect -*I *2826:la_iena[26] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[26] 0.00242596 -2 *2826:la_iena[26] 0.00170068 -3 *1335:12 0.00242596 -4 *1335:10 0.00404449 -5 *1335:9 0.00574516 -6 *2820:la_iena_mprj[26] *2820:la_oenb_mprj[26] 0 -7 *1335:10 *1363:10 1.75155e-06 -8 *1335:10 *1461:10 9.16621e-05 -9 *1335:10 *1463:10 0.0501498 -10 *1335:10 *1474:16 0.00135408 -11 *2820:la_data_out_mprj[26] *2820:la_iena_mprj[26] 0 -12 *788:18 *1335:10 0.000179601 -13 *823:11 *1335:9 0 -14 *1078:9 *1335:9 0 -15 *1311:10 *1335:10 0.00247629 -16 *1323:10 *1335:10 0.000123135 -17 *1333:10 *1335:10 0.0495512 -*RES -1 *2826:la_iena[26] *1335:9 42.2269 -2 *1335:9 *1335:10 542.016 -3 *1335:10 *1335:12 4.5 -4 *1335:12 *2820:la_iena_mprj[26] 51.7844 -*END - -*D_NET *1336 0.119001 -*CONN -*I *2820:la_iena_mprj[27] I *D mgmt_protect -*I *2826:la_iena[27] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[27] 0.000750861 -2 *2826:la_iena[27] 5.24068e-05 -3 *1336:10 0.00467083 -4 *1336:9 0.00391996 -5 *1336:7 0.00335974 -6 *1336:5 0.00341215 -7 *2820:la_iena_mprj[27] *2820:la_oenb_mprj[27] 0 -8 *1336:10 *1462:10 0.0487187 -9 *1336:10 *1464:10 0.0491178 -10 *1336:10 *1466:10 5.2472e-05 -11 *2820:la_data_out_mprj[27] *2820:la_iena_mprj[27] 0 -12 *824:7 *2820:la_iena_mprj[27] 0 -13 *824:11 *1336:7 0 -14 *1079:9 *1336:7 0 -15 *1080:10 *1336:10 0.000517688 -16 *1300:10 *1336:10 0.00397766 -17 *1334:10 *1336:10 0.000451273 -*RES -1 *2826:la_iena[27] *1336:5 1.49002 -2 *1336:5 *1336:7 68.48 -3 *1336:7 *1336:9 4.5 -4 *1336:9 *1336:10 530.924 -5 *1336:10 *2820:la_iena_mprj[27] 23.4795 -*END - -*D_NET *1337 0.114762 -*CONN -*I *2820:la_iena_mprj[28] I *D mgmt_protect -*I *2826:la_iena[28] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[28] 0.0023595 -2 *2826:la_iena[28] 0.00175914 -3 *1337:12 0.0023595 -4 *1337:10 0.00392006 -5 *1337:9 0.0056792 -6 *2820:la_iena_mprj[28] *2820:la_oenb_mprj[28] 0 -7 *1337:10 *1463:10 0.0474607 -8 *1337:10 *1465:10 0.0479322 -9 *1337:10 *1474:16 0.000369111 -10 *2820:la_data_out_mprj[28] *2820:la_iena_mprj[28] 0 -11 *788:18 *1337:10 0.00041958 -12 *825:11 *1337:9 0 -13 *1080:7 *1337:9 0 -14 *1321:10 *1337:10 0.00236044 -15 *1323:10 *1337:10 0.000142759 -*RES -1 *2826:la_iena[28] *1337:9 43.0574 -2 *1337:9 *1337:10 518.169 -3 *1337:10 *1337:12 4.5 -4 *1337:12 *2820:la_iena_mprj[28] 50.9539 -*END - -*D_NET *1338 0.111825 -*CONN -*I *2820:la_iena_mprj[29] I *D mgmt_protect -*I *2826:la_iena[29] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[29] 0.000671967 -2 *2826:la_iena[29] 7.21667e-05 -3 *1338:10 0.00484545 -4 *1338:9 0.00417349 -5 *1338:7 0.0035083 -6 *1338:5 0.00358047 -7 *2820:la_iena_mprj[29] *2820:la_oenb_mprj[29] 0 -8 *1338:10 *1341:10 0.0439235 -9 *1338:10 *1466:10 0.0469419 -10 *1338:10 *1544:10 0.00301677 -11 *2820:la_data_out_mprj[29] *2820:la_iena_mprj[29] 0 -12 *826:11 *1338:7 0 -13 *1080:10 *1338:10 0.000378828 -14 *1081:9 *1338:7 0 -15 *1082:10 *1338:10 0.000502461 -16 *1084:10 *1338:10 0.00020979 -*RES -1 *2826:la_iena[29] *1338:5 2.05183 -2 *1338:5 *1338:7 70.141 -3 *1338:7 *1338:9 4.5 -4 *1338:9 *1338:10 507.076 -5 *1338:10 *2820:la_iena_mprj[29] 21.8185 -*END - -*D_NET *1339 0.147088 -*CONN -*I *2820:la_iena_mprj[2] I *D mgmt_protect -*I *2826:la_iena[2] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[2] 0.00314003 -2 *2826:la_iena[2] 0.000739353 -3 *1339:16 0.00341823 -4 *1339:10 0.0100787 -5 *1339:9 0.0105398 -6 *2820:la_iena_mprj[2] *2820:la_oenb_mprj[2] 0 -7 *2820:la_iena_mprj[2] *1481:9 2.43314e-05 -8 *1339:9 *2649:16 0.00178122 -9 *1339:10 *1361:10 0.0714712 -10 *1339:10 *1417:10 0.00375675 -11 *1339:10 *1468:10 0.0206432 -12 *1339:16 *1351:10 6.24655e-05 -13 *1339:16 *1353:10 0.00100812 -14 *1339:16 *1417:10 0.000108607 -15 *1339:16 *1467:10 0.000118134 -16 *1339:16 *1468:10 0.000660126 -17 *1339:16 *1481:10 6.08467e-05 -18 *2820:la_data_out_mprj[2] *2820:la_iena_mprj[2] 0 -19 *777:14 *1339:10 0.000392907 -20 *808:18 *1339:10 0.0157025 -21 *1072:9 *1339:9 0 -22 *1083:10 *1339:10 0.00106723 -23 *1083:10 *1339:16 0.00231421 -*RES -1 *2826:la_iena[2] *1339:9 34.3127 -2 *1339:9 *1339:10 797.134 -3 *1339:10 *1339:16 31.8685 -4 *1339:16 *2820:la_iena_mprj[2] 57.5979 -*END - -*D_NET *1340 0.0767325 -*CONN -*I *2820:la_iena_mprj[30] I *D mgmt_protect -*I *2826:la_iena[30] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[30] 0.0022883 -2 *2826:la_iena[30] 0.00184773 -3 *1340:12 0.0022883 -4 *1340:10 0.00704799 -5 *1340:9 0.00889572 -6 *2820:la_iena_mprj[30] *2820:la_oenb_mprj[30] 0 -7 *1340:10 *1465:10 0.0091292 -8 *1340:10 *1468:16 0.0088677 -9 *2820:la_data_out_mprj[30] *2820:la_iena_mprj[30] 0 -10 *2826:la_input[30] *1340:9 0 -11 *777:8 *1340:10 0.00580867 -12 *788:18 *1340:10 0.00994838 -13 *808:8 *1340:10 0.00226168 -14 *808:12 *1340:10 0 -15 *828:7 *2820:la_iena_mprj[30] 0 -16 *840:14 *1340:10 0.00364334 -17 *845:16 *1340:10 0.000956273 -18 *854:11 *2820:la_iena_mprj[30] 0 -19 *1081:16 *1340:10 0.000293433 -20 *1082:7 *1340:9 0 -21 *1087:16 *1340:10 0.0050656 -22 *1089:16 *1340:10 7.92757e-06 -23 *1149:20 *1340:10 0.00731386 -24 *1323:10 *1340:10 0.00106837 -*RES -1 *2826:la_iena[30] *1340:9 44.3031 -2 *1340:9 *1340:10 494.875 -3 *1340:10 *1340:12 4.5 -4 *1340:12 *2820:la_iena_mprj[30] 49.7081 -*END - -*D_NET *1341 0.108061 -*CONN -*I *2820:la_iena_mprj[31] I *D mgmt_protect -*I *2826:la_iena[31] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[31] 0.000640285 -2 *2826:la_iena[31] 7.21667e-05 -3 *1341:10 0.00474433 -4 *1341:9 0.00410404 -5 *1341:7 0.00357366 -6 *1341:5 0.00364583 -7 *2820:la_iena_mprj[31] *2820:la_oenb_mprj[31] 0 -8 *1341:10 *1466:10 9.16621e-05 -9 *1341:10 *1492:10 0 -10 *1341:10 *1544:10 0.00277347 -11 *2820:la_data_out_mprj[31] *2820:la_iena_mprj[31] 0 -12 *829:11 *1341:7 0 -13 *854:8 *1341:10 0 -14 *1084:7 *1341:7 0 -15 *1084:10 *1341:10 0.0444925 -16 *1338:10 *1341:10 0.0439235 -*RES -1 *2826:la_iena[31] *1341:5 2.05183 -2 *1341:5 *1341:7 70.5562 -3 *1341:7 *1341:9 4.5 -4 *1341:9 *1341:10 483.783 -5 *1341:10 *2820:la_iena_mprj[31] 21.4032 -*END - -*D_NET *1342 0.105104 -*CONN -*I *2820:la_iena_mprj[32] I *D mgmt_protect -*I *2826:la_iena[32] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[32] 6.22868e-05 -2 *2826:la_iena[32] 0.00127546 -3 *1342:13 0.00208573 -4 *1342:12 0.00202344 -5 *1342:10 0.00358919 -6 *1342:9 0.00486465 -7 *1342:10 *1353:10 0.00093743 -8 *1342:10 *1451:10 0.00223673 -9 *1342:10 *1468:10 0.0340195 -10 *1342:10 *1470:10 0.0434385 -11 *1342:13 *2820:la_oenb_mprj[32] 0 -12 *1342:13 *1367:9 0 -13 *2820:la_data_out_mprj[32] *1342:13 0.00145149 -14 *830:7 *1342:13 0 -15 *830:11 *1342:9 0 -16 *1085:9 *1342:9 0 -17 *1085:10 *1342:10 0 -18 *1104:10 *1342:10 0.00823534 -19 *1108:13 *1342:13 0.000883888 -*RES -1 *2826:la_iena[32] *1342:9 35.1676 -2 *1342:9 *1342:10 469.918 -3 *1342:10 *1342:12 4.5 -4 *1342:12 *1342:13 58.9292 -5 *1342:13 *2820:la_iena_mprj[32] 1.77093 -*END - -*D_NET *1343 0.103582 -*CONN -*I *2820:la_iena_mprj[33] I *D mgmt_protect -*I *2826:la_iena[33] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[33] 0.000944468 -2 *2826:la_iena[33] 7.21667e-05 -3 *1343:10 0.00485864 -4 *1343:9 0.00391417 -5 *1343:7 0.00304712 -6 *1343:5 0.00311929 -7 *2820:la_iena_mprj[33] *2820:la_oenb_mprj[33] 0 -8 *1343:10 *1344:10 0.0413398 -9 *1343:10 *1471:10 0.000417629 -10 *2820:la_data_out_mprj[33] *2820:la_iena_mprj[33] 0 -11 *831:11 *1343:7 0 -12 *1086:7 *1343:7 0 -13 *1086:10 *1343:10 0.0422877 -14 *1107:13 *2820:la_iena_mprj[33] 0.000466183 -15 *1111:11 *2820:la_iena_mprj[33] 0.000302534 -16 *1322:10 *1343:10 0.00281212 -*RES -1 *2826:la_iena[33] *1343:5 2.05183 -2 *1343:5 *1343:7 61.4207 -3 *1343:7 *1343:9 4.5 -4 *1343:9 *1343:10 460.49 -5 *1343:10 *2820:la_iena_mprj[33] 30.5388 -*END - -*D_NET *1344 0.0998644 -*CONN -*I *2820:la_iena_mprj[34] I *D mgmt_protect -*I *2826:la_iena[34] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[34] 0.00118278 -2 *2826:la_iena[34] 7.21667e-05 -3 *1344:10 0.00515482 -4 *1344:9 0.00397204 -5 *1344:7 0.00304705 -6 *1344:5 0.00311921 -7 *2820:la_iena_mprj[34] *2820:la_oenb_mprj[34] 0 -8 *1344:10 *1346:10 0.0295251 -9 *1344:10 *1471:10 5.03285e-05 -10 *1344:10 *1473:10 0.000393013 -11 *1344:10 *1473:14 0.00878015 -12 *1344:10 *1480:16 3.18085e-05 -13 *2820:la_data_out_mprj[34] *2820:la_iena_mprj[34] 0 -14 *832:11 *1344:7 0 -15 *1087:9 *1344:7 0 -16 *1088:10 *1344:10 0.000389428 -17 *1322:10 *1344:10 0.00280677 -18 *1343:10 *1344:10 0.0413398 -*RES -1 *2826:la_iena[34] *1344:5 2.05183 -2 *1344:5 *1344:7 61.0054 -3 *1344:7 *1344:9 4.5 -4 *1344:9 *1344:10 448.289 -5 *1344:10 *2820:la_iena_mprj[34] 30.954 -*END - -*D_NET *1345 0.0969911 -*CONN -*I *2820:la_iena_mprj[35] I *D mgmt_protect -*I *2826:la_iena[35] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[35] 0.00261025 -2 *2826:la_iena[35] 0.00125064 -3 *1345:12 0.00261025 -4 *1345:10 0.0034228 -5 *1345:9 0.00467345 -6 *2820:la_iena_mprj[35] *2820:la_oenb_mprj[35] 0 -7 *2820:la_iena_mprj[35] *1496:9 0.000416874 -8 *1345:10 *1353:10 0.000206607 -9 *1345:10 *1366:10 0.00027469 -10 *1345:10 *1368:10 0.000246101 -11 *1345:10 *1470:10 0.0389566 -12 *1345:10 *1472:10 0.0405665 -13 *2820:la_data_out_mprj[35] *2820:la_iena_mprj[35] 0 -14 *2826:la_input[35] *1345:9 0 -15 *834:7 *2820:la_iena_mprj[35] 0 -16 *856:11 *2820:la_iena_mprj[35] 0 -17 *1088:7 *1345:9 0 -18 *1112:7 *2820:la_iena_mprj[35] 0 -19 *1325:10 *1345:10 0.00175632 -*RES -1 *2826:la_iena[35] *1345:9 34.3371 -2 *1345:9 *1345:10 436.642 -3 *1345:10 *1345:12 4.5 -4 *1345:12 *2820:la_iena_mprj[35] 59.6742 -*END - -*D_NET *1346 0.0956606 -*CONN -*I *2820:la_iena_mprj[36] I *D mgmt_protect -*I *2826:la_iena[36] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[36] 0.00127628 -2 *2826:la_iena[36] 3.26469e-05 -3 *1346:16 0.00243597 -4 *1346:15 0.00124709 -5 *1346:10 0.00251673 -6 *1346:9 0.00242934 -7 *1346:7 0.00308101 -8 *1346:5 0.00311365 -9 *2820:la_iena_mprj[36] *2820:la_oenb_mprj[36] 0 -10 *1346:10 *1450:10 0.00178134 -11 *1346:10 *1473:10 0.0295251 -12 *1346:15 *1491:7 1.90218e-05 -13 *1346:16 *1348:10 0.0104345 -14 *1346:16 *1475:10 0.00654959 -15 *1346:16 *1475:14 0.00166734 -16 *2820:la_data_out_mprj[23] *1346:15 1.72464e-05 -17 *2820:la_data_out_mprj[36] *2820:la_iena_mprj[36] 0 -18 *1089:9 *1346:7 0 -19 *1090:15 *1346:15 8.62625e-06 -20 *1344:10 *1346:10 0.0295251 -*RES -1 *2826:la_iena[36] *1346:5 0.928211 -2 *1346:5 *1346:7 60.5902 -3 *1346:7 *1346:9 4.5 -4 *1346:9 *1346:10 314.074 -5 *1346:10 *1346:15 10.832 -6 *1346:15 *1346:16 112.199 -7 *1346:16 *2820:la_iena_mprj[36] 33.0303 -*END - -*D_NET *1347 0.0629774 -*CONN -*I *2820:la_iena_mprj[37] I *D mgmt_protect -*I *2826:la_iena[37] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[37] 0.00204217 -2 *2826:la_iena[37] 0.000958447 -3 *1347:20 0.00204217 -4 *1347:18 0.00743581 -5 *1347:16 0.0075193 -6 *1347:10 0.00269027 -7 *1347:9 0.00356522 -8 *2820:la_iena_mprj[37] *2820:la_oenb_mprj[37] 0 -9 *2820:la_iena_mprj[37] *1357:15 0.00126742 -10 *2820:la_iena_mprj[37] *1359:17 0.00243097 -11 *2820:la_iena_mprj[37] *1497:9 0 -12 *1347:10 *1439:14 0.0109101 -13 *1347:16 *1439:14 2.1801e-05 -14 *1347:18 *1359:14 0 -15 *1347:18 *1439:14 0.00709123 -16 *1347:18 *1482:12 0.000957243 -17 *2820:la_data_out_mprj[37] *2820:la_iena_mprj[37] 0 -18 *2826:la_input[37] *1347:9 0 -19 *857:11 *2820:la_iena_mprj[37] 0 -20 *1078:10 *1347:10 0 -21 *1078:10 *1347:16 0 -22 *1078:10 *1347:18 0 -23 *1079:10 *1347:18 0 -24 *1090:7 *1347:9 0 -25 *1100:10 *1347:18 0.00111142 -26 *1102:10 *1347:18 0.0121798 -27 *1107:10 *1347:18 0.000754103 -28 *1110:12 *1347:18 0 -*RES -1 *2826:la_iena[37] *1347:9 28.1083 -2 *1347:9 *1347:10 115.526 -3 *1347:10 *1347:16 3.25528 -4 *1347:16 *1347:18 296.882 -5 *1347:18 *1347:20 4.5 -6 *1347:20 *2820:la_iena_mprj[37] 65.903 -*END - -*D_NET *1348 0.0894052 -*CONN -*I *2820:la_iena_mprj[38] I *D mgmt_protect -*I *2826:la_iena[38] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[38] 0.00113707 -2 *2826:la_iena[38] 7.21667e-05 -3 *1348:10 0.0051573 -4 *1348:9 0.00402024 -5 *1348:7 0.00300719 -6 *1348:5 0.00307936 -7 *2820:la_iena_mprj[38] *2820:la_oenb_mprj[38] 0 -8 *1348:10 *1475:10 1.41853e-05 -9 *1348:10 *1475:14 0.000245427 -10 *1348:10 *1477:10 0.0346449 -11 *2820:la_data_out_mprj[38] *2820:la_iena_mprj[38] 0 -12 *2826:la_input[38] *1348:7 0 -13 *826:8 *1348:10 0 -14 *1090:10 *1348:10 0.0255018 -15 *1091:9 *1348:7 0 -16 *1113:7 *2820:la_iena_mprj[38] 0.000641831 -17 *1326:10 *1348:10 0.00144923 -18 *1346:16 *1348:10 0.0104345 -*RES -1 *2826:la_iena[38] *1348:5 2.05183 -2 *1348:5 *1348:7 58.5139 -3 *1348:7 *1348:9 4.5 -4 *1348:9 *1348:10 401.702 -5 *1348:10 *2820:la_iena_mprj[38] 33.4455 -*END - -*D_NET *1349 0.0866102 -*CONN -*I *2820:la_iena_mprj[39] I *D mgmt_protect -*I *2826:la_iena[39] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[39] 4.25268e-05 -2 *2826:la_iena[39] 0.0012235 -3 *1349:19 0.0020911 -4 *1349:18 0.00204857 -5 *1349:16 0.00122211 -6 *1349:15 0.00125166 -7 *1349:10 0.00197824 -8 *1349:9 0.00317219 -9 *1349:10 *1455:10 0.000868884 -10 *1349:10 *1474:10 0.0248003 -11 *1349:10 *1476:10 0.0247472 -12 *1349:10 *1479:14 7.68538e-06 -13 *1349:15 *1364:11 9.22013e-06 -14 *1349:15 *1476:20 6.2764e-05 -15 *1349:16 *1366:10 0.00015542 -16 *1349:16 *1368:10 0 -17 *1349:16 *1474:10 5.51483e-06 -18 *1349:16 *1476:22 0.00242953 -19 *1349:16 *1476:26 0.00577495 -20 *1349:16 *1479:14 0.0120648 -21 *1349:19 *2820:la_oenb_mprj[39] 0.000696399 -22 *1349:19 *1365:15 2.19131e-05 -23 *2820:la_data_out_mprj[39] *1349:19 0 -24 *2826:la_input[39] *1349:9 0 -25 *839:5 *1349:19 0 -26 *858:17 *1349:19 0.00193565 -27 *1092:9 *1349:9 0 -*RES -1 *2826:la_iena[39] *1349:9 33.0913 -2 *1349:9 *1349:10 263.051 -3 *1349:10 *1349:15 10.0015 -4 *1349:15 *1349:16 128.282 -5 *1349:16 *1349:18 4.5 -6 *1349:18 *1349:19 61.8359 -7 *1349:19 *2820:la_iena_mprj[39] 1.20912 -*END - -*D_NET *1350 0.211497 -*CONN -*I *2820:la_iena_mprj[3] I *D mgmt_protect -*I *2826:la_iena[3] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[3] 0.00107615 -2 *2826:la_iena[3] 1.28869e-05 -3 *1350:10 0.00734758 -4 *1350:9 0.00627142 -5 *1350:7 0.00102439 -6 *1350:5 0.00103728 -7 *2820:la_iena_mprj[3] *2820:la_oenb_mprj[3] 0 -8 *1350:7 *1722:27 0.00388974 -9 *1350:7 *1750:13 0.00127456 -10 *1350:10 *1372:10 0.000480973 -11 *1350:10 *1448:10 0.000378008 -12 *1350:10 *1456:10 9.68897e-05 -13 *1350:10 *1500:10 0.0828612 -14 *2820:la_data_out_mprj[3] *2820:la_iena_mprj[3] 0 -15 *799:13 *2820:la_iena_mprj[3] 3.29488e-05 -16 *1094:10 *1350:10 0.00961635 -17 *1138:10 *1350:10 0.00934148 -18 *1328:10 *1350:10 0.0867555 -*RES -1 *2826:la_iena[3] *1350:5 0.366399 -2 *1350:5 *1350:7 64.1198 -3 *1350:7 *1350:9 3.36879 -4 *1350:9 *1350:10 112.108 -5 *1350:10 *2820:la_iena_mprj[3] 26.7084 -*END - -*D_NET *1351 0.0858893 -*CONN -*I *2820:la_iena_mprj[40] I *D mgmt_protect -*I *2826:la_iena[40] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[40] 0.00176733 -2 *2826:la_iena[40] 0.0014932 -3 *1351:12 0.00176733 -4 *1351:10 0.00305179 -5 *1351:9 0.00454499 -6 *2820:la_iena_mprj[40] *1479:21 0.0031792 -7 *1351:10 *1451:10 0.000134497 -8 *1351:10 *1467:10 0.000333061 -9 *1351:10 *1481:10 0.0307716 -10 *1351:10 *1483:10 0.0269357 -11 *1351:10 *1489:10 0.00010238 -12 *2820:la_data_out_mprj[40] *2820:la_iena_mprj[40] 0 -13 *2826:la_input[40] *1351:9 0 -14 *839:5 *2820:la_iena_mprj[40] 0 -15 *1083:10 *1351:10 0.00466986 -16 *1093:7 *1351:9 0 -17 *1105:10 *1351:10 0.00628818 -18 *1127:10 *1351:10 0.000741053 -19 *1160:14 *1351:10 4.66849e-05 -20 *1339:16 *1351:10 6.24655e-05 -*RES -1 *2826:la_iena[40] *1351:9 37.2439 -2 *1351:9 *1351:10 378.408 -3 *1351:10 *1351:12 4.5 -4 *1351:12 *2820:la_iena_mprj[40] 56.7674 -*END - -*D_NET *1352 0.0838719 -*CONN -*I *2820:la_iena_mprj[41] I *D mgmt_protect -*I *2826:la_iena[41] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[41] 0.00136713 -2 *2826:la_iena[41] 1.28869e-05 -3 *1352:10 0.00471875 -4 *1352:9 0.00335162 -5 *1352:7 0.00304796 -6 *1352:5 0.00306085 -7 *2820:la_iena_mprj[41] *2820:la_oenb_mprj[41] 0 -8 *1352:10 *1363:16 0.000594244 -9 *1352:10 *1366:16 0.0016469 -10 *1352:10 *1454:10 0.000606422 -11 *1352:10 *1477:10 0.0329003 -12 *2820:la_data_out_mprj[41] *2820:la_iena_mprj[41] 0 -13 *859:11 *2820:la_iena_mprj[41] 0 -14 *1081:15 *1352:7 0 -15 *1093:10 *1352:10 0.0325099 -16 *1095:9 *1352:7 0 -17 *1096:10 *1352:10 5.49044e-05 -*RES -1 *2826:la_iena[41] *1352:5 0.366399 -2 *1352:5 *1352:7 57.6834 -3 *1352:7 *1352:9 4.5 -4 *1352:9 *1352:10 368.426 -5 *1352:10 *2820:la_iena_mprj[41] 34.276 -*END - -*D_NET *1353 0.0777074 -*CONN -*I *2820:la_iena_mprj[42] I *D mgmt_protect -*I *2826:la_iena[42] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[42] 0.00221039 -2 *2826:la_iena[42] 0.00132772 -3 *1353:12 0.00221039 -4 *1353:10 0.00301075 -5 *1353:9 0.00433847 -6 *2820:la_iena_mprj[42] *2820:la_oenb_mprj[42] 0 -7 *2820:la_iena_mprj[42] *1367:15 0.00149567 -8 *1353:10 *1355:10 0.0295057 -9 *1353:10 *1368:10 0.00664701 -10 *1353:10 *1451:10 0.000274683 -11 *1353:10 *1468:10 0.0147649 -12 *1353:10 *1470:10 8.24698e-05 -13 *1353:10 *1481:10 0.00127138 -14 *2820:la_data_out_mprj[42] *2820:la_iena_mprj[42] 0 -15 *2826:la_input[42] *1353:9 0 -16 *859:11 *2820:la_iena_mprj[42] 0 -17 *1072:13 *1353:9 0.000184616 -18 *1104:10 *1353:10 0.00823113 -19 *1339:16 *1353:10 0.00100812 -20 *1342:10 *1353:10 0.00093743 -21 *1345:10 *1353:10 0.000206607 -*RES -1 *2826:la_iena[42] *1353:9 35.9981 -2 *1353:9 *1353:10 354.56 -3 *1353:10 *1353:12 4.5 -4 *1353:12 *2820:la_iena_mprj[42] 58.0132 -*END - -*D_NET *1354 0.0785835 -*CONN -*I *2820:la_iena_mprj[43] I *D mgmt_protect -*I *2826:la_iena[43] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[43] 0.00110393 -2 *2826:la_iena[43] 9.19267e-05 -3 *1354:14 0.00431691 -4 *1354:13 0.00377238 -5 *1354:7 0.00340259 -6 *1354:5 0.00293511 -7 *2820:la_iena_mprj[43] *2820:la_oenb_mprj[43] 0 -8 *1354:13 *2820:la_oenb_mprj[3] 8.62625e-06 -9 *1354:14 *1356:10 0.0280826 -10 *1354:14 *1480:16 0.0302615 -11 *1354:14 *1482:16 0.000361288 -12 *2820:la_data_out_mprj[43] *2820:la_iena_mprj[43] 0 -13 *2820:la_data_out_mprj[7] *1354:14 0.00157072 -14 *799:13 *1354:7 4.73692e-05 -15 *799:13 *1354:13 0 -16 *810:19 *1354:7 2.8923e-05 -17 *842:11 *1354:7 0 -18 *849:7 *1354:7 0 -19 *849:7 *1354:13 9.53674e-05 -20 *859:8 *1354:14 0.00130099 -21 *1097:9 *1354:7 0 -22 *1114:10 *1354:14 3.83172e-05 -23 *1116:10 *1354:14 0.00114811 -24 *1138:10 *1354:14 1.67988e-05 -*RES -1 *2826:la_iena[43] *1354:5 2.61365 -2 *1354:5 *1354:7 54.6667 -3 *1354:7 *1354:13 15.3576 -4 *1354:13 *1354:14 341.25 -5 *1354:14 *2820:la_iena_mprj[43] 28.4625 -*END - -*D_NET *1355 0.0742458 -*CONN -*I *2820:la_iena_mprj[44] I *D mgmt_protect -*I *2826:la_iena[44] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[44] 0.00227819 -2 *2826:la_iena[44] 0.00132661 -3 *1355:12 0.00227819 -4 *1355:10 0.0028707 -5 *1355:9 0.00419731 -6 *2820:la_iena_mprj[44] *2820:la_oenb_mprj[44] 0 -7 *2820:la_iena_mprj[44] *1370:15 0 -8 *2820:la_iena_mprj[44] *1371:15 0 -9 *2820:la_iena_mprj[44] *1493:15 0.000632539 -10 *2820:la_iena_mprj[44] *1495:15 3.21568e-05 -11 *1355:9 *1482:15 0.000415071 -12 *1355:10 *1368:10 0.000253139 -13 *1355:10 *1373:10 0 -14 *1355:10 *1451:10 0.000253087 -15 *1355:10 *1481:10 0.0297159 -16 *1355:10 *1483:10 0.000314064 -17 *2820:la_data_out_mprj[44] *2820:la_iena_mprj[44] 0 -18 *2826:la_input[44] *1355:9 0 -19 *2826:la_input[60] *2820:la_iena_mprj[44] 6.08697e-06 -20 *861:8 *1355:10 0.000167076 -21 *1098:9 *1355:9 0 -22 *1353:10 *1355:10 0.0295057 -*RES -1 *2826:la_iena[44] *1355:9 36.4134 -2 *1355:9 *1355:10 331.267 -3 *1355:10 *1355:12 4.5 -4 *1355:12 *2820:la_iena_mprj[44] 57.5979 -*END - -*D_NET *1356 0.0725115 -*CONN -*I *2820:la_iena_mprj[45] I *D mgmt_protect -*I *2826:la_iena[45] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[45] 0.000935399 -2 *2826:la_iena[45] 7.21667e-05 -3 *1356:10 0.00414403 -4 *1356:9 0.00320863 -5 *1356:7 0.00272727 -6 *1356:5 0.00279943 -7 *2820:la_iena_mprj[45] *2820:la_oenb_mprj[45] 0 -8 *1356:7 *2820:la_iena_mprj[8] 0.000164352 -9 *1356:7 *1405:15 0.000128019 -10 *1356:10 *1369:16 0.000370815 -11 *1356:10 *1482:16 0.00308086 -12 *1356:10 *1482:22 7.6719e-06 -13 *2820:la_data_out_mprj[45] *2820:la_iena_mprj[45] 0.000364658 -14 *2820:la_data_out_mprj[8] *1356:7 0.00126877 -15 *2826:la_input[45] *1356:7 0 -16 *859:8 *1356:10 0.000524279 -17 *1097:15 *1356:7 0.000298642 -18 *1099:7 *1356:7 0 -19 *1101:12 *1356:10 0.00169452 -20 *1101:14 *1356:10 0.0226394 -21 *1354:14 *1356:10 0.0280826 -*RES -1 *2826:la_iena[45] *1356:5 2.05183 -2 *1356:5 *1356:7 63.9122 -3 *1356:7 *1356:9 4.5 -4 *1356:9 *1356:10 320.175 -5 *1356:10 *2820:la_iena_mprj[45] 28.0472 -*END - -*D_NET *1357 0.0614324 -*CONN -*I *2820:la_iena_mprj[46] I *D mgmt_protect -*I *2826:la_iena[46] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[46] 0.00149589 -2 *2826:la_iena[46] 0.0015773 -3 *1357:16 0.00374489 -4 *1357:15 0.00290536 -5 *1357:10 0.00275198 -6 *1357:9 0.00367292 -7 *2820:la_iena_mprj[46] *2820:la_oenb_mprj[46] 0 -8 *2820:la_iena_mprj[46] *1497:15 0.000165481 -9 *1357:10 *1484:10 0.000229545 -10 *1357:10 *1485:10 0.00169489 -11 *1357:10 *1487:10 0.0168578 -12 *1357:10 *1490:10 0.0130799 -13 *1357:16 *1494:16 0.00208195 -14 *2820:la_data_out_mprj[37] *1357:15 9.36977e-05 -15 *2820:la_data_out_mprj[46] *2820:la_iena_mprj[46] 0 -16 *2820:la_iena_mprj[37] *1357:15 0.00126742 -17 *2826:la_input[46] *1357:9 0 -18 *845:7 *2820:la_iena_mprj[46] 0 -19 *857:11 *1357:15 0 -20 *1095:20 *1357:16 0 -21 *1100:9 *1357:9 0 -22 *1100:16 *1357:16 0.00217172 -23 *1327:10 *1357:10 0.00716518 -24 *1329:10 *1357:10 0.00033203 -25 *1331:10 *1357:10 0.000144515 -*RES -1 *2826:la_iena[46] *1357:9 39.3201 -2 *1357:9 *1357:10 230.329 -3 *1357:10 *1357:15 31.5946 -4 *1357:15 *1357:16 77.8133 -5 *1357:16 *2820:la_iena_mprj[46] 36.7675 -*END - -*D_NET *1358 0.0710333 -*CONN -*I *2820:la_iena_mprj[47] I *D mgmt_protect -*I *2826:la_iena[47] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[47] 0.0011673 -2 *2826:la_iena[47] 5.24068e-05 -3 *1358:12 0.0163761 -4 *1358:7 0.018222 -5 *1358:5 0.00306557 -6 *2820:la_iena_mprj[47] *2820:la_oenb_mprj[47] 0 -7 *1358:7 *2820:la_oenb_mprj[12] 8.30099e-06 -8 *1358:12 *1450:10 6.10203e-05 -9 *1358:12 *1454:10 0 -10 *1358:12 *1486:12 0.0305183 -11 *2820:la_data_out_mprj[47] *2820:la_iena_mprj[47] 0 -12 *809:7 *1358:7 0.000505386 -13 *1321:13 *1358:7 0 -14 *1322:10 *1358:12 0.000577836 -15 *1324:10 *1358:12 0.000279683 -16 *1326:10 *1358:12 0.000199328 -*RES -1 *2826:la_iena[47] *1358:5 1.49002 -2 *1358:5 *1358:7 62.4588 -3 *1358:7 *1358:12 47.7862 -4 *1358:12 *2820:la_iena_mprj[47] 25.0006 -*END - -*D_NET *1359 0.0539611 -*CONN -*I *2820:la_iena_mprj[48] I *D mgmt_protect -*I *2826:la_iena[48] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[48] 0.00543998 -2 *2826:la_iena[48] 0.00115685 -3 *1359:17 0.00694553 -4 *1359:14 0.00387372 -5 *1359:12 0.00269725 -6 *1359:9 0.00148593 -7 *2820:la_iena_mprj[48] *2820:la_oenb_mprj[48] 0.00297571 -8 *1359:12 *1479:10 0.00217079 -9 *1359:14 *1479:10 0.00234712 -10 *1359:14 *1479:14 0.0104401 -11 *1359:17 *2820:la_oenb_mprj[37] 0.000105636 -12 *2820:la_data_out_mprj[47] *2820:la_iena_mprj[48] 0.003575 -13 *2820:la_data_out_mprj[48] *2820:la_iena_mprj[48] 0 -14 *2820:la_data_out_mprj[49] *2820:la_iena_mprj[48] 0.000267248 -15 *2820:la_iena_mprj[37] *1359:17 0.00243097 -16 *2826:la_input[48] *1359:9 0 -17 *836:7 *1359:17 0 -18 *1087:10 *1359:12 0.000579018 -19 *1095:14 *1359:12 0.000402816 -20 *1095:14 *1359:14 0.00276779 -21 *1098:10 *1359:14 0.000395077 -22 *1102:9 *1359:9 0 -23 *1108:10 *1359:14 0.000170403 -24 *1109:12 *1359:14 0.00356103 -25 *1110:12 *1359:14 0.000173166 -26 *1347:18 *1359:14 0 -*RES -1 *2826:la_iena[48] *1359:9 31.8456 -2 *1359:9 *1359:12 23.7636 -3 *1359:12 *1359:14 167.936 -4 *1359:14 *1359:17 49.7258 -5 *1359:17 *2820:la_iena_mprj[48] 37.2404 -*END - -*D_NET *1360 0.076578 -*CONN -*I *2820:la_iena_mprj[49] I *D mgmt_protect -*I *2826:la_iena[49] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[49] 0.00102569 -2 *2826:la_iena[49] 7.21667e-05 -3 *1360:12 0.00723126 -4 *1360:7 0.00913559 -5 *1360:5 0.00300219 -6 *2820:la_iena_mprj[49] *2820:la_oenb_mprj[49] 0 -7 *1360:7 *1453:13 0.000408354 -8 *1360:12 *1369:16 0.000101365 -9 *1360:12 *1486:12 0.0274024 -10 *1360:12 *1488:12 0.0279548 -11 *1360:12 *1498:16 0.000133887 -12 *1360:12 *1501:16 0.000110257 -13 *2820:la_data_out_mprj[49] *2820:la_iena_mprj[49] 0 -14 *814:7 *1360:7 0 -15 *848:11 *1360:7 0 -16 *1091:19 *1360:7 0 -17 *1103:7 *1360:7 0 -*RES -1 *2826:la_iena[49] *1360:5 2.05183 -2 *1360:5 *1360:7 64.1198 -3 *1360:7 *1360:12 44.4206 -4 *1360:12 *2820:la_iena_mprj[49] 23.3396 -*END - -*D_NET *1361 0.172561 -*CONN -*I *2820:la_iena_mprj[4] I *D mgmt_protect -*I *2826:la_iena[4] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[4] 0.00297628 -2 *2826:la_iena[4] 0.00122346 -3 *1361:18 0.00345445 -4 *1361:15 0.000618005 -5 *1361:10 0.005274 -6 *1361:9 0.00635762 -7 *2820:la_iena_mprj[4] *2820:la_oenb_mprj[4] 0 -8 *1361:10 *1417:10 0.00333069 -9 *1361:18 *1511:10 0.000338648 -10 *2820:la_data_out_mprj[4] *2820:la_iena_mprj[4] 0 -11 *2826:la_input[41] *1361:15 2.78668e-05 -12 *2826:la_input[4] *1361:9 0 -13 *1083:10 *1361:10 0.071467 -14 *1094:7 *1361:9 0 -15 *1127:10 *1361:18 0.0041832 -16 *1160:14 *1361:18 0.0018388 -17 *1339:10 *1361:10 0.0714712 -*RES -1 *2826:la_iena[4] *1361:9 36.4134 -2 *1361:9 *1361:10 754.43 -3 *1361:10 *1361:15 11.2472 -4 *1361:15 *1361:18 49.0371 -5 *1361:18 *2820:la_iena_mprj[4] 55.5217 -*END - -*D_NET *1362 0.0733162 -*CONN -*I *2820:la_iena_mprj[50] I *D mgmt_protect -*I *2826:la_iena[50] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[50] 0.000918234 -2 *2826:la_iena[50] 3.26469e-05 -3 *1362:12 0.00693343 -4 *1362:7 0.00841717 -5 *1362:5 0.00243462 -6 *2820:la_iena_mprj[50] *2820:la_oenb_mprj[50] 0 -7 *1362:7 *2820:la_oenb_mprj[19] 0.000163495 -8 *1362:12 *1488:12 0.0272587 -9 *1362:12 *1491:12 0.0245412 -10 *2820:la_data_out_mprj[20] *1362:7 0 -11 *2820:la_data_out_mprj[50] *2820:la_iena_mprj[50] 0 -12 *817:7 *1362:7 0.0011837 -13 *1092:15 *1362:7 0.00143298 -14 *1104:9 *1362:7 0 -*RES -1 *2826:la_iena[50] *1362:5 0.928211 -2 *1362:5 *1362:7 65.7808 -3 *1362:7 *1362:12 42.9673 -4 *1362:12 *2820:la_iena_mprj[50] 21.6786 -*END - -*D_NET *1363 0.0514729 -*CONN -*I *2820:la_iena_mprj[51] I *D mgmt_protect -*I *2826:la_iena[51] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[51] 0.00126323 -2 *2826:la_iena[51] 0.00152877 -3 *1363:16 0.00297562 -4 *1363:15 0.00246842 -5 *1363:10 0.0022555 -6 *1363:9 0.00302823 -7 *2820:la_iena_mprj[51] *2820:la_oenb_mprj[51] 0 -8 *1363:10 *1365:10 0.000412036 -9 *1363:10 *1459:10 9.9028e-05 -10 *1363:10 *1461:10 0.000413251 -11 *1363:10 *1474:16 0.000330827 -12 *1363:10 *1490:10 0.0136554 -13 *1363:10 *1493:10 0.00891504 -14 *1363:15 *2820:la_oenb_mprj[38] 0 -15 *1363:15 *1365:15 2.47663e-05 -16 *1363:15 *1366:15 0.00135429 -17 *1363:16 *1365:16 1.4091e-06 -18 *1363:16 *1366:16 0.000841861 -19 *1363:16 *1477:10 0.000307037 -20 *1363:16 *1495:16 0.00582682 -21 *1363:16 *1496:16 0.000113197 -22 *1363:16 *1497:16 0.00414943 -23 *2820:la_data_out_mprj[22] *1363:9 0 -24 *2820:la_data_out_mprj[39] *1363:15 3.00073e-05 -25 *2820:la_data_out_mprj[51] *2820:la_iena_mprj[51] 0 -26 *837:5 *1363:15 0 -27 *851:7 *2820:la_iena_mprj[51] 0 -28 *851:11 *1363:9 0 -29 *852:7 *2820:la_iena_mprj[51] 0 -30 *858:14 *1363:16 0.000882819 -31 *1106:7 *1363:9 0 -32 *1335:10 *1363:10 1.75155e-06 -33 *1352:10 *1363:16 0.000594244 -*RES -1 *2826:la_iena[51] *1363:9 40.1506 -2 *1363:9 *1363:10 145.475 -3 *1363:10 *1363:15 34.0861 -4 *1363:15 *1363:16 104.434 -5 *1363:16 *2820:la_iena_mprj[51] 33.4455 -*END - -*D_NET *1364 0.054659 -*CONN -*I *2820:la_iena_mprj[52] I *D mgmt_protect -*I *2826:la_iena[52] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[52] 0.000879468 -2 *2826:la_iena[52] 0.000246404 -3 *1364:14 0.00343945 -4 *1364:13 0.00255998 -5 *1364:11 0.00249921 -6 *1364:9 0.00274561 -7 *2820:la_iena_mprj[52] *2820:la_oenb_mprj[52] 0 -8 *1364:11 *2820:la_oenb_mprj[24] 0.000602946 -9 *1364:11 *1474:15 0.00044292 -10 *1364:11 *1476:20 6.46124e-05 -11 *1364:14 *1371:16 0.000469767 -12 *1364:14 *1464:10 2.35113e-05 -13 *1364:14 *1492:10 0.000330216 -14 *2820:la_data_out_mprj[25] *1364:11 0 -15 *2820:la_data_out_mprj[52] *2820:la_iena_mprj[52] 0 -16 *822:7 *1364:11 0.000246211 -17 *852:11 *1364:9 0 -18 *852:11 *1364:11 0 -19 *853:8 *1364:14 0.019803 -20 *1106:10 *1364:14 0.0202086 -21 *1107:9 *1364:9 0 -22 *1107:9 *1364:11 0 -23 *1334:10 *1364:14 8.78631e-05 -24 *1349:15 *1364:11 9.22013e-06 -*RES -1 *2826:la_iena[52] *1364:9 6.8883 -2 *1364:9 *1364:11 62.0558 -3 *1364:11 *1364:13 4.5 -4 *1364:13 *1364:14 237.539 -5 *1364:14 *2820:la_iena_mprj[52] 25.971 -*END - -*D_NET *1365 0.0492669 -*CONN -*I *2820:la_iena_mprj[53] I *D mgmt_protect -*I *2826:la_iena[53] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[53] 0.0011195 -2 *2826:la_iena[53] 0.0015365 -3 *1365:16 0.00276545 -4 *1365:15 0.00211073 -5 *1365:10 0.0014247 -6 *1365:9 0.00249642 -7 *2820:la_iena_mprj[53] *2820:la_oenb_mprj[53] 0 -8 *1365:10 *1474:16 0.00127531 -9 *1365:10 *1493:10 0.00901257 -10 *1365:10 *1494:10 0.00707562 -11 *1365:15 *1366:15 0.00130878 -12 *1365:16 *1367:16 0.000138582 -13 *1365:16 *1368:16 0.00834376 -14 *1365:16 *1370:16 0.00680635 -15 *1365:16 *1480:16 0 -16 *2820:la_data_out_mprj[39] *1365:15 0 -17 *2820:la_data_out_mprj[53] *2820:la_iena_mprj[53] 0 -18 *853:7 *2820:la_iena_mprj[53] 0 -19 *853:11 *1365:9 0 -20 *858:14 *1365:16 0.00186537 -21 *858:17 *1365:15 0.00144828 -22 *1108:9 *1365:9 0 -23 *1114:10 *1365:16 7.88032e-05 -24 *1349:19 *1365:15 2.19131e-05 -25 *1363:10 *1365:10 0.000412036 -26 *1363:15 *1365:15 2.47663e-05 -27 *1363:16 *1365:16 1.4091e-06 -*RES -1 *2826:la_iena[53] *1365:9 40.9811 -2 *1365:9 *1365:10 106.098 -3 *1365:10 *1365:15 35.3319 -4 *1365:15 *1365:16 120.518 -5 *1365:16 *2820:la_iena_mprj[53] 31.3693 -*END - -*D_NET *1366 0.0434075 -*CONN -*I *2820:la_iena_mprj[54] I *D mgmt_protect -*I *2826:la_iena[54] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[54] 0.00125677 -2 *2826:la_iena[54] 0.00116435 -3 *1366:16 0.00284266 -4 *1366:15 0.00223438 -5 *1366:10 0.00196723 -6 *1366:9 0.00248309 -7 *2820:la_iena_mprj[54] *2820:la_oenb_mprj[54] 0 -8 *1366:10 *1368:10 0.000204284 -9 *1366:10 *1472:10 0.00422986 -10 *1366:10 *1476:22 0.00171217 -11 *1366:10 *1476:26 0.00253516 -12 *1366:16 *1493:16 0.00761311 -13 *1366:16 *1494:16 0.000111031 -14 *1366:16 *1496:16 0.00683436 -15 *2820:la_data_out_mprj[54] *2820:la_iena_mprj[54] 0 -16 *854:7 *2820:la_iena_mprj[54] 0 -17 *854:11 *1366:9 0 -18 *858:17 *1366:15 0.000169479 -19 *1096:10 *1366:16 0.00143671 -20 *1099:10 *1366:16 0.00101529 -21 *1100:15 *1366:9 0 -22 *1100:16 *1366:16 1.55995e-05 -23 *1109:9 *1366:9 0 -24 *1345:10 *1366:10 0.00027469 -25 *1349:16 *1366:10 0.00015542 -26 *1352:10 *1366:16 0.0016469 -27 *1363:15 *1366:15 0.00135429 -28 *1363:16 *1366:16 0.000841861 -29 *1365:15 *1366:15 0.00130878 -*RES -1 *2826:la_iena[54] *1366:9 33.5066 -2 *1366:9 *1366:10 85.5777 -3 *1366:10 *1366:15 39.4844 -4 *1366:15 *1366:16 129.391 -5 *1366:16 *2820:la_iena_mprj[54] 34.6913 -*END - -*D_NET *1367 0.042338 -*CONN -*I *2820:la_iena_mprj[55] I *D mgmt_protect -*I *2826:la_iena[55] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[55] 0.00104932 -2 *2826:la_iena[55] 0.00163 -3 *1367:22 0.00136373 -4 *1367:16 0.0010824 -5 *1367:15 0.00129762 -6 *1367:10 0.00232876 -7 *1367:9 0.00342912 -8 *2820:la_iena_mprj[55] *2820:la_oenb_mprj[55] 0 -9 *1367:10 *1369:10 0.00116874 -10 *1367:10 *1474:16 0.000223371 -11 *1367:10 *1495:10 0.00755134 -12 *1367:16 *1368:16 0.000803081 -13 *1367:16 *1490:18 0.004245 -14 *1367:22 *1368:16 0.00384145 -15 *2820:la_data_out_mprj[42] *1367:15 0.000390548 -16 *2820:la_data_out_mprj[55] *2820:la_iena_mprj[55] 0 -17 *2820:la_iena_mprj[42] *1367:15 0.00149567 -18 *855:7 *2820:la_iena_mprj[55] 0 -19 *855:11 *1367:9 0 -20 *858:8 *1367:22 0.00378638 -21 *859:11 *1367:15 0 -22 *1087:16 *1367:10 6.69658e-05 -23 *1089:16 *1367:10 0 -24 *1097:16 *1367:10 0 -25 *1108:13 *1367:9 0 -26 *1110:9 *1367:9 0 -27 *1114:10 *1367:16 0.000235436 -28 *1115:10 *1367:16 0.00619636 -29 *1115:10 *1367:22 1.41689e-05 -30 *1342:13 *1367:9 0 -31 *1365:16 *1367:16 0.000138582 -*RES -1 *2826:la_iena[55] *1367:9 43.0574 -2 *1367:9 *1367:10 90.5692 -3 *1367:10 *1367:15 34.5014 -4 *1367:15 *1367:16 72.2673 -5 *1367:16 *1367:22 45.7336 -6 *1367:22 *2820:la_iena_mprj[55] 26.0388 -*END - -*D_NET *1368 0.0398306 -*CONN -*I *2820:la_iena_mprj[56] I *D mgmt_protect -*I *2826:la_iena[56] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[56] 0.00105027 -2 *2826:la_iena[56] 0.00122368 -3 *1368:16 0.00208815 -4 *1368:15 0.00179269 -5 *1368:10 0.00234958 -6 *1368:9 0.00281846 -7 *2820:la_iena_mprj[56] *2820:la_oenb_mprj[56] 0 -8 *1368:9 *2820:la_oenb_mprj[34] 0 -9 *1368:10 *1479:14 0 -10 *1368:10 *1479:20 0 -11 *1368:10 *1498:10 0 -12 *1368:15 *1494:15 0 -13 *1368:16 *1370:16 0.00108064 -14 *1368:16 *1490:18 0.00424921 -15 *2820:la_data_out_mprj[43] *1368:15 0.000619094 -16 *2820:la_data_out_mprj[56] *2820:la_iena_mprj[56] 0 -17 *856:7 *2820:la_iena_mprj[56] 0 -18 *856:11 *1368:9 0 -19 *858:8 *1368:16 0.000349749 -20 *1110:13 *1368:9 0 -21 *1115:7 *1368:15 0.00187023 -22 *1345:10 *1368:10 0.000246101 -23 *1349:16 *1368:10 0 -24 *1353:10 *1368:10 0.00664701 -25 *1355:10 *1368:10 0.000253139 -26 *1365:16 *1368:16 0.00834376 -27 *1366:10 *1368:10 0.000204284 -28 *1367:16 *1368:16 0.000803081 -29 *1367:22 *1368:16 0.00384145 -*RES -1 *2826:la_iena[56] *1368:9 35.5828 -2 *1368:9 *1368:10 76.7041 -3 *1368:10 *1368:15 41.1454 -4 *1368:15 *1368:16 114.417 -5 *1368:16 *2820:la_iena_mprj[56] 30.954 -*END - -*D_NET *1369 0.0358613 -*CONN -*I *2820:la_iena_mprj[57] I *D mgmt_protect -*I *2826:la_iena[57] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[57] 0.000914872 -2 *2826:la_iena[57] 0.00162287 -3 *1369:16 0.00182056 -4 *1369:15 0.00135871 -5 *1369:10 0.00270636 -6 *1369:9 0.00387621 -7 *2820:la_iena_mprj[57] *2820:la_oenb_mprj[57] 0 -8 *1369:9 *2820:la_oenb_mprj[36] 5.96936e-05 -9 *1369:10 *1495:10 0.000252187 -10 *1369:10 *1496:10 3.68813e-05 -11 *1369:15 *2820:la_oenb_mprj[44] 0 -12 *1369:15 *1370:15 0.00133727 -13 *1369:15 *1487:15 3.39873e-05 -14 *1369:16 *1371:16 0.000144515 -15 *1369:16 *1498:16 0.000356178 -16 *1369:16 *1501:16 0.00772432 -17 *2820:la_data_out_mprj[57] *2820:la_iena_mprj[57] 0 -18 *844:5 *1369:15 0.00134487 -19 *844:8 *1369:10 0 -20 *857:7 *2820:la_iena_mprj[57] 0 -21 *857:11 *1369:9 0 -22 *859:8 *1369:16 0.0101006 -23 *1097:16 *1369:10 0.000279741 -24 *1101:14 *1369:16 0.000250542 -25 *1112:7 *1369:9 0 -26 *1356:10 *1369:16 0.000370815 -27 *1360:12 *1369:16 0.000101365 -28 *1367:10 *1369:10 0.00116874 -*RES -1 *2826:la_iena[57] *1369:9 44.3031 -2 *1369:9 *1369:10 72.8219 -3 *1369:10 *1369:15 34.9166 -4 *1369:15 *1369:16 107.207 -5 *1369:16 *2820:la_iena_mprj[57] 28.4625 -*END - -*D_NET *1370 0.034637 -*CONN -*I *2820:la_iena_mprj[58] I *D mgmt_protect -*I *2826:la_iena[58] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[58] 0.00104535 -2 *2826:la_iena[58] 0.00102745 -3 *1370:16 0.00225019 -4 *1370:15 0.00184675 -5 *1370:10 0.00137175 -6 *1370:9 0.0017573 -7 *2820:la_iena_mprj[58] *2820:la_oenb_mprj[58] 0 -8 *1370:10 *1371:12 0.00106154 -9 *1370:10 *1479:14 0.000589703 -10 *1370:10 *1479:20 0.000159059 -11 *1370:10 *1497:10 0.000118081 -12 *1370:10 *1498:10 0.00405836 -13 *1370:10 *1499:12 0.000142775 -14 *1370:10 *1501:12 0.000224395 -15 *1370:15 *2820:la_oenb_mprj[44] 0 -16 *1370:15 *1487:15 1.69932e-05 -17 *1370:15 *1499:15 0.00205698 -18 *1370:16 *1499:16 0.0027816 -19 *2820:la_data_out_mprj[58] *2820:la_iena_mprj[58] 0 -20 *2820:la_iena_mprj[44] *1370:15 0 -21 *858:8 *1370:16 0.00023007 -22 *858:14 *1370:16 0.00465051 -23 *858:17 *1370:9 0 -24 *859:7 *2820:la_iena_mprj[58] 0 -25 *1113:7 *1370:9 0 -26 *1115:10 *1370:16 2.39401e-05 -27 *1365:16 *1370:16 0.00680635 -28 *1368:16 *1370:16 0.00108064 -29 *1369:15 *1370:15 0.00133727 -*RES -1 *2826:la_iena[58] *1370:9 31.4303 -2 *1370:9 *1370:10 52.8561 -3 *1370:10 *1370:15 44.4674 -4 *1370:15 *1370:16 114.972 -5 *1370:16 *2820:la_iena_mprj[58] 31.7845 -*END - -*D_NET *1371 0.0315424 -*CONN -*I *2820:la_iena_mprj[59] I *D mgmt_protect -*I *2826:la_iena[59] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[59] 0.000844757 -2 *2826:la_iena[59] 0.00097848 -3 *1371:16 0.00300909 -4 *1371:15 0.00337061 -5 *1371:12 0.00151966 -6 *1371:9 0.00129187 -7 *2820:la_iena_mprj[59] *2820:la_oenb_mprj[59] 0 -8 *1371:12 *1497:10 0.000270207 -9 *1371:12 *1499:12 0.00132676 -10 *1371:15 *1373:9 0 -11 *1371:15 *1493:15 3.54138e-05 -12 *1371:15 *1494:15 0 -13 *1371:15 *1495:15 0.000558071 -14 *1371:16 *1498:16 0.00952507 -15 *1371:16 *1501:16 0.00027469 -16 *2820:la_data_out_mprj[44] *1371:15 0.000443279 -17 *2820:la_data_out_mprj[59] *2820:la_iena_mprj[59] 0 -18 *2820:la_iena_mprj[44] *1371:15 0 -19 *2826:la_input[60] *1371:15 0.000172527 -20 *843:7 *1371:15 0.000432018 -21 *853:8 *1371:16 8.07497e-05 -22 *855:8 *1371:16 0.000103574 -23 *856:8 *1371:16 0 -24 *859:11 *1371:9 0 -25 *1101:14 *1371:16 0.00164916 -26 *1103:14 *1371:16 0.00325457 -27 *1106:10 *1371:16 0.000725963 -28 *1111:14 *1371:16 0 -29 *1114:7 *1371:9 0 -30 *1364:14 *1371:16 0.000469767 -31 *1369:16 *1371:16 0.000144515 -32 *1370:10 *1371:12 0.00106154 -*RES -1 *2826:la_iena[59] *1371:9 30.038 -2 *1371:9 *1371:12 29.626 -3 *1371:12 *1371:15 45.3657 -4 *1371:15 *1371:16 132.164 -5 *1371:16 *2820:la_iena_mprj[59] 27.2167 -*END - -*D_NET *1372 0.195551 -*CONN -*I *2820:la_iena_mprj[5] I *D mgmt_protect -*I *2826:la_iena[5] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[5] 0.000977351 -2 *2826:la_iena[5] 7.21667e-05 -3 *1372:10 0.00704854 -4 *1372:9 0.00607119 -5 *1372:7 0.00255657 -6 *1372:5 0.00262874 -7 *2820:la_iena_mprj[5] *2820:la_oenb_mprj[5] 0 -8 *1372:10 *1394:10 0.081385 -9 *1372:10 *1500:10 0.0847007 -10 *2820:la_data_out_mprj[5] *2820:la_iena_mprj[5] 0 -11 *860:11 *1372:7 0 -12 *1105:9 *1372:7 0 -13 *1330:10 *1372:10 0.00501731 -14 *1332:10 *1372:10 0.00461269 -15 *1350:10 *1372:10 0.000480973 -*RES -1 *2826:la_iena[5] *1372:5 2.05183 -2 *1372:5 *1372:7 65.7808 -3 *1372:7 *1372:9 3.36879 -4 *1372:9 *1372:10 108.666 -5 *1372:10 *2820:la_iena_mprj[5] 25.0474 -*END - -*D_NET *1373 0.0187714 -*CONN -*I *2820:la_iena_mprj[60] I *D mgmt_protect -*I *2826:la_iena[60] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[60] 0.00218771 -2 *2826:la_iena[60] 0.00114237 -3 *1373:12 0.00218771 -4 *1373:10 0.00409601 -5 *1373:9 0.00523838 -6 *2820:la_iena_mprj[60] *2820:la_oenb_mprj[60] 0 -7 *1373:10 *1379:10 0.000575519 -8 *1373:10 *1498:10 0.000165467 -9 *1373:10 *1501:12 0 -10 *1373:10 *1507:10 0.000533697 -11 *2820:la_data_out_mprj[60] *2820:la_iena_mprj[60] 0 -12 *2826:la_input[60] *1373:9 0 -13 *2826:la_input[67] *2820:la_iena_mprj[60] 0.000245367 -14 *861:8 *1373:10 0 -15 *862:5 *2820:la_iena_mprj[60] 0 -16 *862:8 *1373:10 0.000500717 -17 *864:8 *1373:10 0.00163805 -18 *866:16 *1373:10 0.000260351 -19 *1115:7 *1373:9 0 -20 *1355:10 *1373:10 0 -21 *1371:15 *1373:9 0 -*RES -1 *2826:la_iena[60] *1373:9 33.9218 -2 *1373:9 *1373:10 144.366 -3 *1373:10 *1373:12 4.5 -4 *1373:12 *2820:la_iena_mprj[60] 60.0894 -*END - -*D_NET *1374 0.0317883 -*CONN -*I *2820:la_iena_mprj[61] I *D mgmt_protect -*I *2826:la_iena[61] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[61] 0.00209152 -2 *2826:la_iena[61] 0.00127377 -3 *1374:12 0.00209152 -4 *1374:10 0.00111085 -5 *1374:9 0.00238462 -6 *2820:la_iena_mprj[61] *2820:la_oenb_mprj[61] 0 -7 *1374:9 *1497:15 0 -8 *1374:10 *1485:10 0.000260521 -9 *1374:10 *1502:10 0.0118114 -10 *1374:10 *1503:10 0.00976818 -11 *2820:la_data_out_mprj[61] *2820:la_iena_mprj[61] 0 -12 *2826:la_input[61] *1374:9 0 -13 *861:8 *1374:10 0.000363723 -14 *866:13 *2820:la_iena_mprj[61] 1.03986e-05 -15 *1117:9 *1374:9 0 -16 *1117:10 *1374:10 0 -17 *1118:10 *1374:10 0.000621826 -*RES -1 *2826:la_iena[61] *1374:9 37.6591 -2 *1374:9 *1374:10 133.274 -3 *1374:10 *1374:12 4.5 -4 *1374:12 *2820:la_iena_mprj[61] 56.3522 -*END - -*D_NET *1375 0.0307897 -*CONN -*I *2820:la_iena_mprj[62] I *D mgmt_protect -*I *2826:la_iena[62] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[62] 0.00202384 -2 *2826:la_iena[62] 0.00132785 -3 *1375:12 0.00202384 -4 *1375:10 0.000829026 -5 *1375:9 0.00215688 -6 *2820:la_iena_mprj[62] *2820:la_oenb_mprj[62] 0 -7 *1375:9 *1501:15 0 -8 *1375:10 *1376:10 0.000164107 -9 *1375:10 *1503:10 1.41689e-05 -10 *2820:la_data_out_mprj[62] *2820:la_iena_mprj[62] 0 -11 *2826:la_input[62] *1375:9 0 -12 *863:5 *2820:la_iena_mprj[62] 0 -13 *863:8 *1375:10 0.0106623 -14 *866:13 *1375:10 0.00095501 -15 *1117:10 *1375:10 0.000120974 -16 *1118:9 *1375:9 0 -17 *1118:10 *1375:10 0.0105117 -*RES -1 *2826:la_iena[62] *1375:9 38.9049 -2 *1375:9 *1375:10 121.072 -3 *1375:10 *1375:12 4.5 -4 *1375:12 *2820:la_iena_mprj[62] 55.1064 -*END - -*D_NET *1376 0.0270129 -*CONN -*I *2820:la_iena_mprj[63] I *D mgmt_protect -*I *2826:la_iena[63] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[63] 0.00198199 -2 *2826:la_iena[63] 0.00135441 -3 *1376:12 0.00198199 -4 *1376:10 0.000897369 -5 *1376:9 0.00225178 -6 *2820:la_iena_mprj[63] *2820:la_oenb_mprj[63] 0 -7 *1376:10 *1504:10 0.00114364 -8 *2820:la_data_out_mprj[63] *2820:la_iena_mprj[63] 0 -9 *2826:la_input[63] *1376:9 0 -10 *863:8 *1376:10 0.00923711 -11 *865:5 *2820:la_iena_mprj[63] 0 -12 *866:13 *1376:10 0.000207502 -13 *1117:10 *1376:10 0.00779298 -14 *1119:9 *1376:9 0 -15 *1375:10 *1376:10 0.000164107 -*RES -1 *2826:la_iena[63] *1376:9 39.1736 -2 *1376:9 *1376:10 109.98 -3 *1376:10 *1376:12 4.5 -4 *1376:12 *2820:la_iena_mprj[63] 54.2759 -*END - -*D_NET *1377 0.0179867 -*CONN -*I *2820:la_iena_mprj[64] I *D mgmt_protect -*I *2826:la_iena[64] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[64] 0.00244373 -2 *2826:la_iena[64] 0.000918403 -3 *1377:12 0.00244373 -4 *1377:10 0.00207057 -5 *1377:9 0.00298897 -6 *2820:la_iena_mprj[64] *2820:la_oenb_mprj[64] 0 -7 *2820:la_iena_mprj[64] *1382:9 0 -8 *1377:10 *1508:10 0.0025289 -9 *2820:la_data_out_mprj[64] *2820:la_iena_mprj[64] 0 -10 *2826:la_input[64] *1377:9 0 -11 *865:8 *1377:10 0.00343663 -12 *866:5 *2820:la_iena_mprj[64] 0 -13 *869:8 *1377:10 0.00105701 -14 *1120:9 *1377:9 0 -15 *1120:10 *1377:10 0 -16 *1125:9 *2820:la_iena_mprj[64] 9.88022e-05 -*RES -1 *2826:la_iena[64] *1377:9 28.5236 -2 *1377:9 *1377:10 97.779 -3 *1377:10 *1377:12 4.5 -4 *1377:12 *2820:la_iena_mprj[64] 65.4877 -*END - -*D_NET *1378 0.0150409 -*CONN -*I *2820:la_iena_mprj[65] I *D mgmt_protect -*I *2826:la_iena[65] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[65] 0.00188854 -2 *2826:la_iena[65] 0.001511 -3 *1378:12 0.00188854 -4 *1378:10 0.00179675 -5 *1378:9 0.00330774 -6 *2820:la_iena_mprj[65] *2820:la_oenb_mprj[65] 0 -7 *1378:10 *1504:10 0.000304114 -8 *1378:10 *1505:10 0.00190316 -9 *1378:10 *1506:16 0 -10 *2820:la_data_out_mprj[65] *2820:la_iena_mprj[65] 0 -11 *2826:la_input[65] *1378:9 0 -12 *866:13 *1378:10 0 -13 *868:8 *1378:10 0 -14 *1119:10 *1378:10 0.00244103 -*RES -1 *2826:la_iena[65] *1378:9 41.8116 -2 *1378:9 *1378:10 86.1323 -3 *1378:10 *1378:12 4.5 -4 *1378:12 *2820:la_iena_mprj[65] 52.1996 -*END - -*D_NET *1379 0.0162163 -*CONN -*I *2820:la_iena_mprj[66] I *D mgmt_protect -*I *2826:la_iena[66] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[66] 0.00213584 -2 *2826:la_iena[66] 0.00120219 -3 *1379:12 0.00213584 -4 *1379:10 0.00129685 -5 *1379:9 0.00249904 -6 *2820:la_iena_mprj[66] *2820:la_oenb_mprj[66] 0 -7 *1379:10 *1381:10 0.00140265 -8 *1379:10 *1506:12 0.000113033 -9 *1379:10 *1506:16 0 -10 *1379:10 *1507:10 0.000196602 -11 *2820:la_data_out_mprj[66] *2820:la_iena_mprj[66] 0 -12 *2826:la_input[66] *1379:9 0 -13 *866:16 *1379:10 0.00286688 -14 *1122:9 *1379:9 0 -15 *1125:12 *1379:10 0.00179184 -16 *1373:10 *1379:10 0.000575519 -*RES -1 *2826:la_iena[66] *1379:9 35.1676 -2 *1379:9 *1379:10 74.4857 -3 *1379:10 *1379:12 4.5 -4 *1379:12 *2820:la_iena_mprj[66] 58.8437 -*END - -*D_NET *1380 0.0178566 -*CONN -*I *2820:la_iena_mprj[67] I *D mgmt_protect -*I *2826:la_iena[67] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[67] 0.00227412 -2 *2826:la_iena[67] 0.00103573 -3 *1380:16 0.00252536 -4 *1380:12 0.000572589 -5 *1380:9 0.00135708 -6 *2820:la_iena_mprj[67] *2820:la_oenb_mprj[67] 0 -7 *1380:16 *1382:12 0.00211272 -8 *2820:la_data_out_mprj[67] *2820:la_iena_mprj[67] 0 -9 *2826:la_input[67] *1380:9 0 -10 *867:8 *1380:12 4.7835e-05 -11 *867:8 *1380:16 0.0001532 -12 *870:10 *1380:16 0.00041958 -13 *1120:10 *1380:12 0.00249513 -14 *1121:10 *1380:12 0.000347135 -15 *1122:10 *1380:12 0.00350494 -16 *1122:10 *1380:16 0.00026523 -17 *1123:9 *1380:9 0 -18 *1123:10 *1380:16 5.00593e-05 -19 *1126:12 *1380:16 0.000695917 -*RES -1 *2826:la_iena[67] *1380:9 31.0151 -2 *1380:9 *1380:12 37.6286 -3 *1380:12 *1380:16 30.4579 -4 *1380:16 *2820:la_iena_mprj[67] 62.5809 -*END - -*D_NET *1381 0.0117097 -*CONN -*I *2820:la_iena_mprj[68] I *D mgmt_protect -*I *2826:la_iena[68] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[68] 0.00209301 -2 *2826:la_iena[68] 0.00127245 -3 *1381:12 0.00209301 -4 *1381:10 0.00105447 -5 *1381:9 0.00232692 -6 *2820:la_iena_mprj[68] *2820:la_oenb_mprj[68] 0 -7 *1381:10 *1382:12 0 -8 *1381:10 *1506:16 0.000173512 -9 *1381:10 *1509:12 0.000947206 -10 *2820:la_data_out_mprj[68] *2820:la_iena_mprj[68] 0 -11 *2826:la_input[68] *1381:9 0 -12 *864:5 *1381:9 0 -13 *870:5 *2820:la_iena_mprj[68] 0 -14 *1124:9 *1381:9 0 -15 *1125:12 *1381:10 0.000346502 -16 *1379:10 *1381:10 0.00140265 -*RES -1 *2826:la_iena[68] *1381:9 35.9981 -2 *1381:9 *1381:10 51.1923 -3 *1381:10 *1381:12 4.5 -4 *1381:12 *2820:la_iena_mprj[68] 58.0132 -*END - -*D_NET *1382 0.0120054 -*CONN -*I *2820:la_iena_mprj[69] I *D mgmt_protect -*I *2826:la_iena[69] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[69] 0.00223906 -2 *2826:la_iena[69] 0.0010796 -3 *1382:12 0.00300931 -4 *1382:9 0.00184984 -5 *2820:la_iena_mprj[69] *2820:la_oenb_mprj[69] 0 -6 *1382:12 *1507:10 0.000149232 -7 *1382:12 *1512:10 4.03749e-05 -8 *2820:la_data_out_mprj[65] *1382:9 0 -9 *2820:la_data_out_mprj[69] *2820:la_iena_mprj[69] 0 -10 *2820:la_iena_mprj[64] *1382:9 0 -11 *2826:la_input[69] *1382:9 0 -12 *867:8 *1382:12 0.000908347 -13 *1125:9 *1382:9 0 -14 *1125:12 *1382:12 0 -15 *1126:12 *1382:12 0.000616878 -16 *1380:16 *1382:12 0.00211272 -17 *1381:10 *1382:12 0 -*RES -1 *2826:la_iena[69] *1382:9 31.8456 -2 *1382:9 *1382:12 44.0456 -3 *1382:12 *2820:la_iena_mprj[69] 62.1657 -*END - -*D_NET *1383 0.195431 -*CONN -*I *2820:la_iena_mprj[6] I *D mgmt_protect -*I *2826:la_iena[6] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[6] 0.00272296 -2 *2826:la_iena[6] 0.00136568 -3 *1383:12 0.00272296 -4 *1383:10 0.00894539 -5 *1383:9 0.0103111 -6 *2820:la_iena_mprj[6] *2820:la_oenb_mprj[6] 0 -7 *1383:10 *1405:10 0.0796029 -8 *1383:10 *1489:10 0.000422525 -9 *1383:10 *1511:10 0.0829574 -10 *2820:la_data_out_mprj[6] *2820:la_iena_mprj[6] 0 -11 *2826:la_input[44] *2820:la_iena_mprj[6] 0.000645434 -12 *2826:la_input[6] *1383:9 0 -13 *849:14 *1383:10 0.00106437 -14 *1116:7 *1383:9 0 -15 *1149:14 *1383:10 0.00122194 -16 *1160:14 *1383:10 0.000472535 -17 *1327:10 *1383:10 0.00297589 -*RES -1 *2826:la_iena[6] *1383:9 37.9813 -2 *1383:9 *1383:10 106.983 -3 *1383:10 *1383:12 3.36879 -4 *1383:12 *2820:la_iena_mprj[6] 54.8988 -*END - -*D_NET *1384 0.0108536 -*CONN -*I *2820:la_iena_mprj[70] I *D mgmt_protect -*I *2826:la_iena[70] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[70] 0.00234276 -2 *2826:la_iena[70] 0.000961447 -3 *1384:12 0.00268608 -4 *1384:9 0.00130476 -5 *2820:la_iena_mprj[70] *2820:la_oenb_mprj[70] 0 -6 *2820:la_iena_mprj[70] *1513:7 0 -7 *1384:9 *2820:la_oenb_mprj[67] 0 -8 *1384:12 *1510:12 0.00199783 -9 *1384:12 *1512:10 0.000245611 -10 *2820:la_data_out_mprj[67] *1384:9 8.62625e-06 -11 *2820:la_data_out_mprj[70] *2820:la_iena_mprj[70] 0 -12 *2826:la_input[71] *2820:la_iena_mprj[70] 0 -13 *869:8 *1384:12 0.000585491 -14 *872:5 *2820:la_iena_mprj[70] 0 -15 *872:11 *1384:9 0 -16 *872:11 *1384:12 0.000721032 -17 *1126:9 *1384:9 0 -*RES -1 *2826:la_iena[70] *1384:9 29.3541 -2 *1384:9 *1384:12 31.8444 -3 *1384:12 *2820:la_iena_mprj[70] 64.6572 -*END - -*D_NET *1385 0.00894799 -*CONN -*I *2820:la_iena_mprj[71] I *D mgmt_protect -*I *2826:la_iena[71] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[71] 0.00228288 -2 *2826:la_iena[71] 0.00142439 -3 *1385:12 0.00228288 -4 *1385:10 0.00142439 -5 *2820:la_iena_mprj[71] *2820:la_oenb_mprj[71] 0 -6 *1385:10 *2820:la_oenb_mprj[69] 1.87469e-05 -7 *1385:10 *1512:10 0.000884803 -8 *2820:la_data_out_mprj[71] *2820:la_iena_mprj[71] 0 -9 *2826:la_input[71] *1385:10 0.000629908 -10 *872:5 *1385:10 0 -11 *874:9 *2820:la_iena_mprj[71] 0 -12 *1128:7 *1385:10 0 -*RES -1 *2826:la_iena[71] *1385:10 46.8521 -2 *1385:10 *1385:12 4.5 -3 *1385:12 *2820:la_iena_mprj[71] 63.4115 -*END - -*D_NET *1386 0.00700171 -*CONN -*I *2820:la_iena_mprj[72] I *D mgmt_protect -*I *2826:la_iena[72] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[72] 0.00200689 -2 *2826:la_iena[72] 0.00116468 -3 *1386:12 0.00317157 -4 *2820:la_iena_mprj[72] *2820:la_oenb_mprj[72] 0 -5 *2820:la_data_out_mprj[72] *2820:la_iena_mprj[72] 0 -6 *874:9 *2820:la_iena_mprj[72] 0 -7 *874:11 *2820:la_iena_mprj[72] 0.000658569 -8 *874:11 *1386:12 0 -*RES -1 *2826:la_iena[72] *1386:12 39.1508 -2 *1386:12 *2820:la_iena_mprj[72] 63.4115 -*END - -*D_NET *1387 0.00735964 -*CONN -*I *2820:la_iena_mprj[73] I *D mgmt_protect -*I *2826:la_iena[73] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[73] 0.00109533 -2 *2826:la_iena[73] 9.19267e-05 -3 *1387:7 0.00308609 -4 *1387:5 0.00208269 -5 *2820:la_iena_mprj[73] *2820:la_oenb_mprj[73] 0.00100361 -6 *2820:la_data_out_mprj[73] *2820:la_iena_mprj[73] 0 -7 *2820:la_data_out_mprj[74] *2820:la_iena_mprj[73] 0 -8 *875:11 *1387:7 0 -9 *876:7 *2820:la_iena_mprj[73] 0 -10 *1130:7 *2820:la_iena_mprj[73] 0 -11 *1130:7 *1387:7 0 -*RES -1 *2826:la_iena[73] *1387:5 2.61365 -2 *1387:5 *1387:7 54.6667 -3 *1387:7 *2820:la_iena_mprj[73] 35.9437 -*END - -*D_NET *1388 0.00867536 -*CONN -*I *2820:la_iena_mprj[74] I *D mgmt_protect -*I *2826:la_iena[74] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[74] 0.00111718 -2 *2826:la_iena[74] 7.21667e-05 -3 *1388:7 0.00354605 -4 *1388:5 0.00250103 -5 *2820:la_iena_mprj[74] *2820:la_oenb_mprj[73] 0.000530137 -6 *2820:la_iena_mprj[74] *2820:la_oenb_mprj[74] 0 -7 *1388:7 *2820:la_iena_mprj[76] 0.00029381 -8 *1388:7 *2820:la_oenb_mprj[75] 0 -9 *2820:la_data_out_mprj[73] *2820:la_iena_mprj[74] 0.000194701 -10 *2820:la_data_out_mprj[74] *2820:la_iena_mprj[74] 0 -11 *2820:la_data_out_mprj[76] *1388:7 0.00042028 -12 *876:11 *1388:7 0 -13 *878:7 *1388:7 0 -14 *1131:7 *1388:7 0 -*RES -1 *2826:la_iena[74] *1388:5 2.05183 -2 *1388:5 *1388:7 71.3867 -3 *1388:7 *2820:la_iena_mprj[74] 40.7704 -*END - -*D_NET *1389 0.0088889 -*CONN -*I *2820:la_iena_mprj[75] I *D mgmt_protect -*I *2826:la_iena[75] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[75] 0.00110839 -2 *2826:la_iena[75] 7.21667e-05 -3 *1389:12 0.00193129 -4 *1389:7 0.00282536 -5 *1389:5 0.00207462 -6 *2820:la_iena_mprj[75] *2820:la_oenb_mprj[75] 0 -7 *2820:la_iena_mprj[75] *1515:7 0 -8 *1389:12 *1390:12 0.000447953 -9 *1389:12 *1517:12 0.000104941 -10 *2820:la_data_out_mprj[75] *2820:la_iena_mprj[75] 0 -11 *877:11 *1389:7 0 -12 *1131:7 *2820:la_iena_mprj[75] 0.000313321 -13 *1132:7 *1389:7 0 -14 *1132:12 *1389:12 1.08524e-05 -*RES -1 *2826:la_iena[75] *1389:5 2.05183 -2 *1389:5 *1389:7 54.7766 -3 *1389:7 *1389:12 35.7898 -4 *1389:12 *2820:la_iena_mprj[75] 32.6828 -*END - -*D_NET *1390 0.0120611 -*CONN -*I *2820:la_iena_mprj[76] I *D mgmt_protect -*I *2826:la_iena[76] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[76] 0.00108386 -2 *2826:la_iena[76] 7.21667e-05 -3 *1390:12 0.00168201 -4 *1390:7 0.00265124 -5 *1390:5 0.00212525 -6 *2820:la_iena_mprj[76] *2820:la_oenb_mprj[76] 0 -7 *1390:12 *1517:12 0.00289644 -8 *2820:la_data_out_mprj[76] *2820:la_iena_mprj[76] 0 -9 *876:11 *2820:la_iena_mprj[76] 0 -10 *878:8 *1390:12 3.04269e-05 -11 *878:11 *1390:7 0 -12 *879:7 *2820:la_iena_mprj[76] 0 -13 *881:8 *1390:12 0.000723467 -14 *1133:7 *1390:7 0 -15 *1133:10 *1390:12 5.44727e-05 -16 *1388:7 *2820:la_iena_mprj[76] 0.00029381 -17 *1389:12 *1390:12 0.000447953 -*RES -1 *2826:la_iena[76] *1390:5 2.05183 -2 *1390:5 *1390:7 56.0224 -3 *1390:7 *1390:12 47.991 -4 *1390:12 *2820:la_iena_mprj[76] 31.437 -*END - -*D_NET *1391 0.0135156 -*CONN -*I *2820:la_iena_mprj[77] I *D mgmt_protect -*I *2826:la_iena[77] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[77] 0.000914399 -2 *2826:la_iena[77] 7.21667e-05 -3 *1391:10 0.00172146 -4 *1391:9 0.000807066 -5 *1391:7 0.00202702 -6 *1391:5 0.00209919 -7 *2820:la_iena_mprj[77] *2820:la_oenb_mprj[77] 0 -8 *1391:10 *1518:10 0.00169846 -9 *1391:10 *1521:10 0.0029431 -10 *1391:10 *1525:10 3.62662e-06 -11 *2820:la_data_out_mprj[77] *2820:la_iena_mprj[77] 0 -12 *2820:la_data_out_mprj[83] *1391:7 0.000974406 -13 *879:8 *1391:10 0.000122506 -14 *879:11 *1391:7 0 -15 *886:8 *1391:10 5.35646e-05 -16 *1134:7 *1391:7 0 -17 *1134:10 *1391:10 7.86643e-05 -18 *1140:10 *1391:10 0 -*RES -1 *2826:la_iena[77] *1391:5 2.05183 -2 *1391:5 *1391:7 63.0817 -3 *1391:7 *1391:9 4.5 -4 *1391:9 *1391:10 50.6377 -5 *1391:10 *2820:la_iena_mprj[77] 28.8777 -*END - -*D_NET *1392 0.0212085 -*CONN -*I *2820:la_iena_mprj[78] I *D mgmt_protect -*I *2826:la_iena[78] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[78] 0.000630099 -2 *2826:la_iena[78] 3.26469e-05 -3 *1392:10 0.00125777 -4 *1392:9 0.000627667 -5 *1392:7 0.00199371 -6 *1392:5 0.00202636 -7 *2820:la_iena_mprj[78] *2820:la_oenb_mprj[78] 0 -8 *1392:7 *2820:la_iena_mprj[85] 0 -9 *1392:7 *2820:la_oenb_mprj[85] 0.00268408 -10 *1392:10 *1520:10 0.0056691 -11 *2820:la_data_out_mprj[78] *2820:la_iena_mprj[78] 0 -12 *2820:la_data_out_mprj[86] *1392:7 0 -13 *880:8 *1392:10 0.00587509 -14 *880:11 *1392:7 0 -15 *889:7 *1392:7 0.000412024 -16 *1136:10 *1392:10 0 -*RES -1 *2826:la_iena[78] *1392:5 0.928211 -2 *1392:5 *1392:7 70.9715 -3 *1392:7 *1392:9 4.5 -4 *1392:9 *1392:10 63.3936 -5 *1392:10 *2820:la_iena_mprj[78] 20.988 -*END - -*D_NET *1393 0.0222389 -*CONN -*I *2820:la_iena_mprj[79] I *D mgmt_protect -*I *2826:la_iena[79] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[79] 0.000702172 -2 *2826:la_iena[79] 7.21667e-05 -3 *1393:10 0.00148011 -4 *1393:9 0.000777942 -5 *1393:7 0.00188792 -6 *1393:5 0.00196008 -7 *2820:la_iena_mprj[79] *2820:la_oenb_mprj[79] 0 -8 *1393:7 *1530:13 0.00102631 -9 *1393:10 *1520:10 0.000139013 -10 *1393:10 *1523:10 0.00586216 -11 *2820:la_data_out_mprj[79] *2820:la_iena_mprj[79] 0 -12 *2820:la_data_out_mprj[88] *1393:7 0.00117354 -13 *881:11 *1393:7 0 -14 *891:7 *1393:7 0.00044295 -15 *1136:7 *1393:7 0 -16 *1136:10 *1393:10 0.000436184 -17 *1139:10 *1393:10 0.00627833 -*RES -1 *2826:la_iena[79] *1393:5 2.05183 -2 *1393:5 *1393:7 69.3105 -3 *1393:7 *1393:9 4.5 -4 *1393:9 *1393:10 73.9311 -5 *1393:10 *2820:la_iena_mprj[79] 22.649 -*END - -*D_NET *1394 0.190165 -*CONN -*I *2820:la_iena_mprj[7] I *D mgmt_protect -*I *2826:la_iena[7] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[7] 0.000922828 -2 *2826:la_iena[7] 7.21667e-05 -3 *1394:10 0.00762546 -4 *1394:9 0.00670263 -5 *1394:7 0.0026565 -6 *1394:5 0.00272867 -7 *2820:la_iena_mprj[7] *2820:la_oenb_mprj[7] 0 -8 *1394:10 *1416:10 0.0789872 -9 *1394:10 *1448:10 0 -10 *1394:10 *1458:10 0.00488343 -11 *1394:10 *1460:10 0.00409857 -12 *1394:10 *1500:10 0.000102747 -13 *2820:la_data_out_mprj[7] *2820:la_iena_mprj[7] 0 -14 *2826:la_input[7] *1394:7 0 -15 *1127:9 *1394:7 0 -16 *1372:10 *1394:10 0.081385 -*RES -1 *2826:la_iena[7] *1394:5 2.05183 -2 *1394:5 *1394:7 66.6113 -3 *1394:7 *1394:9 3.36879 -4 *1394:9 *1394:10 105.377 -5 *1394:10 *2820:la_iena_mprj[7] 24.2169 -*END - -*D_NET *1395 0.0220524 -*CONN -*I *2820:la_iena_mprj[80] I *D mgmt_protect -*I *2826:la_iena[80] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[80] 0.001004 -2 *2826:la_iena[80] 7.21667e-05 -3 *1395:10 0.00200885 -4 *1395:9 0.00100484 -5 *1395:7 0.00168098 -6 *1395:5 0.00175315 -7 *2820:la_iena_mprj[80] *2820:la_oenb_mprj[80] 0 -8 *1395:7 *2820:la_iena_mprj[90] 0.00211863 -9 *1395:10 *1399:10 0.000257166 -10 *1395:10 *1521:10 0.00704342 -11 *2820:la_data_out_mprj[80] *2820:la_iena_mprj[80] 0 -12 *2820:la_data_out_mprj[90] *1395:7 0.000664854 -13 *883:7 *2820:la_iena_mprj[80] 0 -14 *883:8 *1395:10 0.00297626 -15 *883:11 *1395:7 0 -16 *884:7 *2820:la_iena_mprj[80] 0 -17 *892:8 *1395:10 0.00114388 -18 *894:10 *1395:10 0.000324151 -19 *1137:7 *1395:7 0 -*RES -1 *2826:la_iena[80] *1395:5 2.05183 -2 *1395:5 *1395:7 62.2512 -3 *1395:7 *1395:9 4.5 -4 *1395:9 *1395:10 85.5777 -5 *1395:10 *2820:la_iena_mprj[80] 29.7083 -*END - -*D_NET *1396 0.0238236 -*CONN -*I *2820:la_iena_mprj[81] I *D mgmt_protect -*I *2826:la_iena[81] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[81] 0.000672972 -2 *2826:la_iena[81] 7.21667e-05 -3 *1396:10 0.00242821 -4 *1396:9 0.00175523 -5 *1396:7 0.00259379 -6 *1396:5 0.00266596 -7 *2820:la_iena_mprj[81] *2820:la_oenb_mprj[81] 0 -8 *1396:7 *2820:la_iena_mprj[92] 0 -9 *1396:10 *1520:10 0.00426741 -10 *1396:10 *1536:16 1.43848e-05 -11 *2820:la_data_out_mprj[81] *2820:la_iena_mprj[81] 0 -12 *2820:la_data_out_mprj[93] *1396:7 0 -13 *895:8 *1396:10 0.000194684 -14 *897:5 *1396:7 0.000621103 -15 *1139:7 *1396:7 0 -16 *1139:10 *1396:10 0.00853772 -17 *1152:13 *1396:7 0 -*RES -1 *2826:la_iena[81] *1396:5 2.05183 -2 *1396:5 *1396:7 70.141 -3 *1396:7 *1396:9 4.5 -4 *1396:9 *1396:10 97.2244 -5 *1396:10 *2820:la_iena_mprj[81] 21.8185 -*END - -*D_NET *1397 0.020007 -*CONN -*I *2820:la_iena_mprj[82] I *D mgmt_protect -*I *2826:la_iena[82] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[82] 0.00135231 -2 *2826:la_iena[82] 7.21667e-05 -3 *1397:10 0.00502844 -4 *1397:9 0.00367612 -5 *1397:7 0.00179447 -6 *1397:5 0.00186664 -7 *2820:la_iena_mprj[82] *2820:la_oenb_mprj[82] 0 -8 *2820:la_iena_mprj[82] *1518:7 0 -9 *1397:10 *1531:16 0 -10 *2820:la_data_out_mprj[82] *2820:la_iena_mprj[82] 0 -11 *2820:la_data_out_mprj[95] *1397:7 0.000654368 -12 *881:8 *1397:10 0.00493204 -13 *885:11 *1397:7 0 -14 *886:7 *2820:la_iena_mprj[82] 0 -15 *896:8 *1397:10 0 -16 *899:5 *1397:7 0.000630429 -17 *1134:7 *2820:la_iena_mprj[82] 0 -18 *1140:7 *1397:7 0 -*RES -1 *2826:la_iena[82] *1397:5 2.05183 -2 *1397:5 *1397:7 54.7766 -3 *1397:7 *1397:9 4.5 -4 *1397:9 *1397:10 108.871 -5 *1397:10 *2820:la_iena_mprj[82] 37.1828 -*END - -*D_NET *1398 0.0317989 -*CONN -*I *2820:la_iena_mprj[83] I *D mgmt_protect -*I *2826:la_iena[83] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[83] 0.000980823 -2 *2826:la_iena[83] 7.21667e-05 -3 *1398:10 0.00218874 -4 *1398:9 0.00120792 -5 *1398:7 0.00241502 -6 *1398:5 0.00248719 -7 *2820:la_iena_mprj[83] *2820:la_oenb_mprj[83] 0 -8 *1398:7 *1413:13 0.000565218 -9 *1398:10 *1521:10 5.65165e-05 -10 *1398:10 *1526:10 0.0109264 -11 *2820:la_data_out_mprj[83] *2820:la_iena_mprj[83] 0 -12 *2820:la_data_out_mprj[97] *1398:7 0 -13 *886:8 *1398:10 0.000180727 -14 *886:11 *1398:7 0 -15 *887:8 *1398:10 0.0107182 -16 *1141:7 *1398:7 0 -*RES -1 *2826:la_iena[83] *1398:5 2.05183 -2 *1398:5 *1398:7 63.9122 -3 *1398:7 *1398:9 4.5 -4 *1398:9 *1398:10 120.518 -5 *1398:10 *2820:la_iena_mprj[83] 28.0472 -*END - -*D_NET *1399 0.0306432 -*CONN -*I *2820:la_iena_mprj[84] I *D mgmt_protect -*I *2826:la_iena[84] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[84] 0.00103054 -2 *2826:la_iena[84] 7.21667e-05 -3 *1399:10 0.00272894 -4 *1399:9 0.00169841 -5 *1399:7 0.00265753 -6 *1399:5 0.0027297 -7 *2820:la_iena_mprj[84] *2820:la_oenb_mprj[84] 0 -8 *1399:7 *2820:la_iena_mprj[99] 0 -9 *1399:7 *2820:la_oenb_mprj[99] 0.000100655 -10 *1399:10 *1521:10 0.00379363 -11 *1399:10 *1526:10 0.0112659 -12 *2820:la_data_out_mprj[100] *1399:7 0 -13 *2820:la_data_out_mprj[84] *2820:la_iena_mprj[84] 0 -14 *887:8 *1399:10 0.000231312 -15 *887:11 *1399:7 0 -16 *894:10 *1399:10 0.00127989 -17 *894:12 *1399:10 0.00279738 -18 *1142:9 *1399:7 0 -19 *1395:10 *1399:10 0.000257166 -*RES -1 *2826:la_iena[84] *1399:5 2.05183 -2 *1399:5 *1399:7 63.0817 -3 *1399:7 *1399:9 4.5 -4 *1399:9 *1399:10 132.719 -5 *1399:10 *2820:la_iena_mprj[84] 28.8777 -*END - -*D_NET *1400 0.0268194 -*CONN -*I *2820:la_iena_mprj[85] I *D mgmt_protect -*I *2826:la_iena[85] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[85] 0.00250665 -2 *2826:la_iena[85] 0.000962411 -3 *1400:12 0.00250665 -4 *1400:10 0.00325688 -5 *1400:9 0.00421929 -6 *2820:la_iena_mprj[85] *2820:la_oenb_mprj[85] 0 -7 *1400:9 *1531:15 8.62625e-06 -8 *1400:10 *1401:10 0.000183223 -9 *1400:10 *1532:10 6.50586e-05 -10 *2820:la_data_out_mprj[85] *2820:la_iena_mprj[85] 0 -11 *2826:la_input[85] *1400:9 0 -12 *1135:7 *2820:la_iena_mprj[85] 0 -13 *1143:9 *1400:9 0 -14 *1143:10 *1400:10 0.0131106 -15 *1392:7 *2820:la_iena_mprj[85] 0 -*RES -1 *2826:la_iena[85] *1400:9 29.7693 -2 *1400:9 *1400:10 143.811 -3 *1400:10 *1400:12 4.5 -4 *1400:12 *2820:la_iena_mprj[85] 64.242 -*END - -*D_NET *1401 0.0386259 -*CONN -*I *2820:la_iena_mprj[86] I *D mgmt_protect -*I *2826:la_iena[86] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[86] 0.00211273 -2 *2826:la_iena[86] 0.00100528 -3 *1401:12 0.00211273 -4 *1401:10 0.0011248 -5 *1401:9 0.00213008 -6 *2820:la_iena_mprj[86] *2820:la_oenb_mprj[86] 0 -7 *2820:la_iena_mprj[86] *1520:7 0 -8 *1401:9 *1536:13 8.62625e-06 -9 *1401:10 *1532:10 0.00200006 -10 *2820:la_data_out_mprj[86] *2820:la_iena_mprj[86] 0 -11 *880:11 *2820:la_iena_mprj[86] 0.000879645 -12 *1143:10 *1401:10 0.0123311 -13 *1144:9 *1401:9 0 -14 *1145:10 *1401:10 0.0147376 -15 *1400:10 *1401:10 0.000183223 -*RES -1 *2826:la_iena[86] *1401:9 30.5998 -2 *1401:9 *1401:10 156.012 -3 *1401:10 *1401:12 4.5 -4 *1401:12 *2820:la_iena_mprj[86] 63.4115 -*END - -*D_NET *1402 0.0394565 -*CONN -*I *2820:la_iena_mprj[87] I *D mgmt_protect -*I *2826:la_iena[87] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[87] 0.00237616 -2 *2826:la_iena[87] 0.000915414 -3 *1402:12 0.00237616 -4 *1402:10 0.00139051 -5 *1402:9 0.00230592 -6 *2820:la_iena_mprj[87] *1520:7 0 -7 *2820:la_iena_mprj[87] *1530:13 0 -8 *1402:9 *1539:13 3.31733e-05 -9 *1402:10 *1527:10 0 -10 *1402:10 *1528:10 0.0128959 -11 *1402:10 *1530:10 0.00135533 -12 *2820:la_data_out_mprj[87] *2820:la_iena_mprj[87] 0 -13 *2826:la_input[87] *1402:9 0 -14 *785:5 *1402:9 0.000428789 -15 *1136:7 *2820:la_iena_mprj[87] 0 -16 *1147:10 *1402:10 0.0153791 -*RES -1 *2826:la_iena[87] *1402:9 31.4059 -2 *1402:9 *1402:10 168.768 -3 *1402:10 *1402:12 4.5 -4 *1402:12 *2820:la_iena_mprj[87] 60.9199 -*END - -*D_NET *1403 0.0422545 -*CONN -*I *2820:la_iena_mprj[88] I *D mgmt_protect -*I *2826:la_iena[88] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[88] 0.00185106 -2 *2826:la_iena[88] 0.00173487 -3 *1403:12 0.00185106 -4 *1403:10 0.00192367 -5 *1403:9 0.00365854 -6 *2820:la_iena_mprj[88] *2820:la_oenb_mprj[88] 0 -7 *1403:10 *1408:10 0.0135507 -8 *1403:10 *1541:12 0.00012426 -9 *1403:10 *1542:10 0.000426168 -10 *2820:la_data_out_mprj[88] *2820:la_iena_mprj[88] 0 -11 *787:5 *1403:9 0 -12 *881:11 *2820:la_iena_mprj[88] 0.000389313 -13 *891:11 *1403:9 0 -14 *897:8 *1403:10 1.41853e-05 -15 *1146:9 *1403:9 0 -16 *1146:10 *1403:10 0.0162945 -17 *1151:10 *1403:10 0.000436184 -*RES -1 *2826:la_iena[88] *1403:9 42.6421 -2 *1403:9 *1403:10 179.306 -3 *1403:10 *1403:12 4.5 -4 *1403:12 *2820:la_iena_mprj[88] 51.3691 -*END - -*D_NET *1404 0.0475389 -*CONN -*I *2820:la_iena_mprj[89] I *D mgmt_protect -*I *2826:la_iena[89] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[89] 0.00153749 -2 *2826:la_iena[89] 0.00115737 -3 *1404:12 0.00153749 -4 *1404:10 0.00141308 -5 *1404:9 0.00257045 -6 *2820:la_iena_mprj[89] *2820:la_oenb_mprj[89] 0 -7 *2820:la_iena_mprj[89] *1521:7 0 -8 *1404:10 *1406:10 0.0171821 -9 *1404:10 *1420:10 0.000114491 -10 *2820:la_iena_mprj[111] *1404:9 0.00017968 -11 *791:5 *1404:9 0 -12 *892:11 *1404:9 0 -13 *1137:7 *2820:la_iena_mprj[89] 0 -14 *1147:9 *1404:9 0 -15 *1147:10 *1404:10 0.000347135 -16 *1148:10 *1404:10 0.0179594 -17 *1148:13 *2820:la_iena_mprj[89] 0.00354022 -*RES -1 *2826:la_iena[89] *1404:9 34.3371 -2 *1404:9 *1404:10 190.398 -3 *1404:10 *1404:12 4.5 -4 *1404:12 *2820:la_iena_mprj[89] 59.6742 -*END - -*D_NET *1405 0.1951 -*CONN -*I *2820:la_iena_mprj[8] I *D mgmt_protect -*I *2826:la_iena[8] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[8] 0.00137146 -2 *2826:la_iena[8] 0.00142845 -3 *1405:15 0.00252169 -4 *1405:10 0.010573 -5 *1405:9 0.0108512 -6 *2820:la_iena_mprj[8] *2820:la_oenb_mprj[8] 0 -7 *1405:10 *1451:10 0 -8 *1405:10 *1511:10 0.000102747 -9 *1405:10 *1533:10 0.0803639 -10 *1405:15 *2820:la_oenb_mprj[8] 0 -11 *2820:la_data_out_mprj[8] *2820:la_iena_mprj[8] 0.000378862 -12 *882:14 *1405:10 0.00123814 -13 *893:11 *1405:9 0 -14 *1097:15 *1405:15 0.000301647 -15 *1138:7 *1405:9 0 -16 *1160:14 *1405:10 0.000101365 -17 *1327:10 *1405:10 0.000110257 -18 *1329:10 *1405:10 0.00310303 -19 *1331:10 *1405:10 0.00275866 -20 *1356:7 *2820:la_iena_mprj[8] 0.000164352 -21 *1356:7 *1405:15 0.000128019 -22 *1383:10 *1405:10 0.0796029 -*RES -1 *2826:la_iena[8] *1405:9 38.8118 -2 *1405:9 *1405:10 103.771 -3 *1405:10 *1405:15 25.5481 -4 *1405:15 *2820:la_iena_mprj[8] 33.5255 -*END - -*D_NET *1406 0.0474741 -*CONN -*I *2820:la_iena_mprj[90] I *D mgmt_protect -*I *2826:la_iena[90] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[90] 0.00189621 -2 *2826:la_iena[90] 0.00113146 -3 *1406:12 0.00189621 -4 *1406:10 0.00162742 -5 *1406:9 0.00275888 -6 *2820:la_iena_mprj[90] *2820:la_oenb_mprj[90] 0 -7 *1406:10 *1420:10 0.000107179 -8 *1406:10 *1540:10 0.00105801 -9 *2820:la_data_out_mprj[90] *2820:la_iena_mprj[90] 0 -10 *793:7 *1406:9 0.000216312 -11 *883:11 *2820:la_iena_mprj[90] 0 -12 *1147:10 *1406:10 0.0167148 -13 *1148:9 *1406:9 0 -14 *1148:10 *1406:10 0.000645974 -15 *1150:10 *1406:10 0.000120974 -16 *1395:7 *2820:la_iena_mprj[90] 0.00211863 -17 *1404:10 *1406:10 0.0171821 -*RES -1 *2826:la_iena[90] *1406:9 33.9218 -2 *1406:9 *1406:10 202.599 -3 *1406:10 *1406:12 4.5 -4 *1406:12 *2820:la_iena_mprj[90] 60.0894 -*END - -*D_NET *1407 0.0514105 -*CONN -*I *2820:la_iena_mprj[91] I *D mgmt_protect -*I *2826:la_iena[91] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[91] 0.00167523 -2 *2826:la_iena[91] 0.0017978 -3 *1407:12 0.00167523 -4 *1407:10 0.00176581 -5 *1407:9 0.0035636 -6 *2820:la_iena_mprj[91] *2820:la_oenb_mprj[91] 0 -7 *2820:la_iena_mprj[91] *1523:7 0.000864653 -8 *1407:10 *1535:10 0.01988 -9 *1407:10 *1541:12 0.000102215 -10 *2820:la_data_out_mprj[91] *2820:la_iena_mprj[91] 0 -11 *795:5 *1407:9 0 -12 *895:11 *1407:9 0 -13 *1150:9 *1407:9 0 -14 *1151:10 *1407:10 0.020086 -*RES -1 *2826:la_iena[91] *1407:9 43.8879 -2 *1407:9 *1407:10 214.246 -3 *1407:10 *1407:12 4.5 -4 *1407:12 *2820:la_iena_mprj[91] 50.1234 -*END - -*D_NET *1408 0.0549695 -*CONN -*I *2820:la_iena_mprj[92] I *D mgmt_protect -*I *2826:la_iena[92] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[92] 0.0013243 -2 *2826:la_iena[92] 0.00159238 -3 *1408:12 0.0013243 -4 *1408:10 0.00193321 -5 *1408:9 0.00352559 -6 *2820:la_iena_mprj[92] *2820:la_oenb_mprj[92] 0 -7 *1408:10 *1541:12 0.000216928 -8 *1408:10 *1542:10 0.000288876 -9 *1408:10 *1543:10 0.00708059 -10 *798:5 *1408:9 0.000386896 -11 *896:11 *1408:9 0 -12 *897:5 *2820:la_iena_mprj[92] 0 -13 *1151:10 *1408:10 0.0207127 -14 *1152:13 *2820:la_iena_mprj[92] 0.00303307 -15 *1396:7 *2820:la_iena_mprj[92] 0 -16 *1403:10 *1408:10 0.0135507 -*RES -1 *2826:la_iena[92] *1408:9 41.9338 -2 *1408:9 *1408:10 227.002 -3 *1408:10 *1408:12 4.5 -4 *1408:12 *2820:la_iena_mprj[92] 50.9539 -*END - -*D_NET *1409 0.0571829 -*CONN -*I *2820:la_iena_mprj[93] I *D mgmt_protect -*I *2826:la_iena[93] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[93] 0.00167315 -2 *2826:la_iena[93] 0.00150932 -3 *1409:12 0.00167315 -4 *1409:10 0.00194601 -5 *1409:9 0.00345533 -6 *2820:la_iena_mprj[93] *2820:la_oenb_mprj[93] 0 -7 *1409:10 *1410:10 0.0215393 -8 *1409:10 *1414:12 0.000781971 -9 *2820:la_data_out_mprj[93] *2820:la_iena_mprj[93] 0 -10 *2826:la_input[93] *1409:9 0 -11 *801:5 *1409:9 0.000367245 -12 *884:11 *2820:la_iena_mprj[93] 0.00197577 -13 *897:8 *1409:10 0.000183127 -14 *898:5 *2820:la_iena_mprj[93] 0 -15 *898:8 *1409:10 0.0219004 -16 *1152:9 *1409:9 0 -17 *1152:10 *1409:10 0.000178097 -*RES -1 *2826:la_iena[93] *1409:9 40.5659 -2 *1409:9 *1409:10 237.539 -3 *1409:10 *1409:12 4.5 -4 *1409:12 *2820:la_iena_mprj[93] 53.4454 -*END - -*D_NET *1410 0.0589653 -*CONN -*I *2820:la_iena_mprj[94] I *D mgmt_protect -*I *2826:la_iena[94] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[94] 0.00167894 -2 *2826:la_iena[94] 0.0015858 -3 *1410:12 0.00167894 -4 *1410:10 0.00203394 -5 *1410:9 0.00361974 -6 *2820:la_iena_mprj[94] *2820:la_oenb_mprj[94] 0 -7 *1410:9 *1424:25 0.000238049 -8 *1410:9 *1443:13 0 -9 *1410:10 *1411:10 0.0225885 -10 *2820:la_data_out_mprj[94] *2820:la_iena_mprj[94] 0 -11 *2826:la_input[94] *1410:9 0 -12 *803:5 *1410:9 0 -13 *897:8 *1410:10 0.000351263 -14 *898:8 *1410:10 0.000824055 -15 *1140:7 *2820:la_iena_mprj[94] 0.00186592 -16 *1153:9 *1410:9 0 -17 *1158:12 *1410:10 0.000960823 -18 *1409:10 *1410:10 0.0215393 -*RES -1 *2826:la_iena[94] *1410:9 40.9811 -2 *1410:9 *1410:10 249.186 -3 *1410:10 *1410:12 4.5 -4 *1410:12 *2820:la_iena_mprj[94] 53.0301 -*END - -*D_NET *1411 0.0585867 -*CONN -*I *2820:la_iena_mprj[95] I *D mgmt_protect -*I *2826:la_iena[95] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[95] 0.00208501 -2 *2826:la_iena[95] 0.00169174 -3 *1411:12 0.00208501 -4 *1411:10 0.00235823 -5 *1411:9 0.00404998 -6 *2820:la_iena_mprj[95] *2820:la_oenb_mprj[95] 0 -7 *1411:10 *1413:10 0 -8 *1411:10 *1542:10 0.00148512 -9 *2820:la_data_out_mprj[95] *2820:la_iena_mprj[95] 0 -10 *2826:la_input[95] *1411:9 0 -11 *885:11 *2820:la_iena_mprj[95] 0 -12 *897:8 *1411:10 0.0211827 -13 *898:8 *1411:10 0.000114773 -14 *900:7 *2820:la_iena_mprj[95] 0 -15 *1154:9 *1411:9 0 -16 *1154:10 *1411:10 6.22677e-05 -17 *1158:12 *1411:10 0.000883336 -18 *1410:10 *1411:10 0.0225885 -*RES -1 *2826:la_iena[95] *1411:9 41.3964 -2 *1411:9 *1411:10 260.832 -3 *1411:10 *1411:12 4.5 -4 *1411:12 *2820:la_iena_mprj[95] 52.6149 -*END - -*D_NET *1412 0.0637427 -*CONN -*I *2820:la_iena_mprj[96] I *D mgmt_protect -*I *2826:la_iena[96] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[96] 0.00196536 -2 *2826:la_iena[96] 0.00135128 -3 *1412:12 0.00196536 -4 *1412:10 0.00214675 -5 *1412:9 0.00349803 -6 *2820:la_iena_mprj[96] *2820:la_oenb_mprj[96] 0 -7 *2820:la_iena_mprj[96] *1525:7 0.000900005 -8 *1412:9 *2820:la_oenb_mprj[127] 0 -9 *1412:9 *2820:mprj_cyc_o_core 2.35251e-05 -10 *1412:9 *2820:mprj_stb_o_core 0.000317095 -11 *1412:10 *1413:10 0.000694271 -12 *1412:10 *1418:12 0.000889949 -13 *2820:la_data_out_mprj[96] *2820:la_iena_mprj[96] 0 -14 *899:8 *1412:10 0.0242773 -15 *900:11 *1412:9 0 -16 *1035:10 *1412:10 0.000426168 -17 *1141:7 *2820:la_iena_mprj[96] 0 -18 *1155:10 *1412:10 0.0251843 -19 *1156:10 *1412:10 0.000103234 -*RES -1 *2826:la_iena[96] *1412:9 35.9737 -2 *1412:9 *1412:10 274.698 -3 *1412:10 *1412:12 4.5 -4 *1412:12 *2820:la_iena_mprj[96] 56.3522 -*END - -*D_NET *1413 0.0624848 -*CONN -*I *2820:la_iena_mprj[97] I *D mgmt_protect -*I *2826:la_iena[97] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[97] 6.22868e-05 -2 *2826:la_iena[97] 0.00154847 -3 *1413:13 0.0013619 -4 *1413:12 0.00129961 -5 *1413:10 0.0031192 -6 *1413:9 0.00466767 -7 *1413:9 *2820:mprj_adr_o_core[1] 0 -8 *1413:9 *1836:5 0 -9 *1413:10 *1542:10 0 -10 *1413:13 *2820:la_oenb_mprj[97] 0.00311302 -11 *2820:la_data_out_mprj[97] *1413:13 0 -12 *886:11 *1413:13 0 -13 *899:8 *1413:10 0.0232854 -14 *901:11 *1413:9 0 -15 *1035:10 *1413:10 0.000422918 -16 *1036:15 *1413:9 2.96378e-05 -17 *1153:10 *1413:10 0.0203716 -18 *1154:10 *1413:10 0.000833114 -19 *1156:9 *1413:9 0 -20 *1157:12 *1413:10 0.00111048 -21 *1398:7 *1413:13 0.000565218 -22 *1411:10 *1413:10 0 -23 *1412:10 *1413:10 0.000694271 -*RES -1 *2826:la_iena[97] *1413:9 38.4896 -2 *1413:9 *1413:10 282.462 -3 *1413:10 *1413:12 4.5 -4 *1413:12 *1413:13 55.6072 -5 *1413:13 *2820:la_iena_mprj[97] 1.77093 -*END - -*D_NET *1414 0.0803472 -*CONN -*I *2820:la_iena_mprj[98] I *D mgmt_protect -*I *2826:la_iena[98] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[98] 0.0019638 -2 *2826:la_iena[98] 0.00163218 -3 *1414:12 0.00607943 -4 *1414:9 0.00574782 -5 *2820:la_iena_mprj[98] *2820:la_oenb_mprj[98] 0 -6 *2820:la_iena_mprj[98] *1526:7 0.000531447 -7 *1414:9 *1851:5 0 -8 *2820:la_data_out_mprj[98] *2820:la_iena_mprj[98] 0 -9 *2826:la_input[98] *1414:9 0 -10 *898:8 *1414:12 0.000960823 -11 *1157:9 *1414:9 0 -12 *1157:12 *1414:12 0.000837974 -13 *1158:12 *1414:12 0.031921 -14 *1290:12 *1414:12 0.0298908 -15 *1409:10 *1414:12 0.000781971 -*RES -1 *2826:la_iena[98] *1414:9 38.8118 -2 *1414:9 *1414:12 44.2644 -3 *1414:12 *2820:la_iena_mprj[98] 54.0683 -*END - -*D_NET *1415 0.0709506 -*CONN -*I *2820:la_iena_mprj[99] I *D mgmt_protect -*I *2826:la_iena[99] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[99] 0.00240699 -2 *2826:la_iena[99] 0.00147413 -3 *1415:12 0.00240699 -4 *1415:10 0.00240694 -5 *1415:9 0.00388107 -6 *2820:la_iena_mprj[99] *2820:la_oenb_mprj[99] 0 -7 *1415:9 *1786:27 6.97621e-06 -8 *1415:10 *1419:10 0.0270548 -9 *1415:10 *1420:10 0.000810936 -10 *1415:10 *1527:10 0.00148607 -11 *1415:10 *1534:10 0.000159075 -12 *2820:la_data_out_mprj[99] *2820:la_iena_mprj[99] 0 -13 *2826:la_input[99] *1415:9 0 -14 *783:11 *1415:9 7.09666e-06 -15 *1035:10 *1415:10 0.000143969 -16 *1036:10 *1415:10 0.0039112 -17 *1142:9 *2820:la_iena_mprj[99] 2.1203e-06 -18 *1156:10 *1415:10 0.0246426 -19 *1158:9 *1415:9 0 -20 *1159:12 *1415:10 0.000149641 -21 *1399:7 *2820:la_iena_mprj[99] 0 -*RES -1 *2826:la_iena[99] *1415:9 36.4134 -2 *1415:9 *1415:10 307.419 -3 *1415:10 *1415:12 4.5 -4 *1415:12 *2820:la_iena_mprj[99] 57.5979 -*END - -*D_NET *1416 0.186639 -*CONN -*I *2820:la_iena_mprj[9] I *D mgmt_protect -*I *2826:la_iena[9] O *D mgmt_core_wrapper -*CAP -1 *2820:la_iena_mprj[9] 0.000868306 -2 *2826:la_iena[9] 1.28869e-05 -3 *1416:10 0.00743442 -4 *1416:9 0.00656611 -5 *1416:7 0.00275544 -6 *1416:5 0.00276832 -7 *2820:la_iena_mprj[9] *2820:la_oenb_mprj[9] 0 -8 *1416:10 *1448:10 0 -9 *1416:10 *1464:10 0.00346137 -10 *1416:10 *1522:10 0.00127511 -11 *1416:10 *1544:10 0.000268945 -12 *2820:la_data_out_mprj[9] *2820:la_iena_mprj[9] 0 -13 *1149:9 *1416:7 0 -14 *1300:10 *1416:10 0.0784758 -15 *1334:10 *1416:10 0.003765 -16 *1394:10 *1416:10 0.0789872 -*RES -1 *2826:la_iena[9] *1416:5 0.366399 -2 *1416:5 *1416:7 67.4418 -3 *1416:7 *1416:9 3.36879 -4 *1416:9 *1416:10 102.394 -5 *1416:10 *2820:la_iena_mprj[9] 23.3864 -*END - -*D_NET *1417 0.199649 -*CONN -*I *2820:la_oenb_mprj[0] I *D mgmt_protect -*I *2826:la_oenb[0] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[0] 0.00309788 -2 *2826:la_oenb[0] 0.00117431 -3 *1417:12 0.00309788 -4 *1417:10 0.0196881 -5 *1417:9 0.0208624 -6 *2820:la_oenb_mprj[0] *1480:15 0.000278918 -7 *1417:10 *1451:10 0.0578426 -8 *1417:10 *1467:10 0.0863087 -9 *2820:la_iena_mprj[0] *2820:la_oenb_mprj[0] 0 -10 *2826:la_input[0] *1417:9 0 -11 *777:14 *1417:10 0.000102438 -12 *816:7 *2820:la_oenb_mprj[0] 0 -13 *1033:7 *1417:9 0 -14 *1072:13 *2820:la_oenb_mprj[0] 0 -15 *1339:10 *1417:10 0.00375675 -16 *1339:16 *1417:10 0.000108607 -17 *1361:10 *1417:10 0.00333069 -*RES -1 *2826:la_oenb[0] *1417:9 34.6593 -2 *1417:9 *1417:10 115.55 -3 *1417:10 *1417:12 3.36879 -4 *1417:12 *2820:la_oenb_mprj[0] 58.2208 -*END - -*D_NET *1418 0.0893085 -*CONN -*I *2820:la_oenb_mprj[100] I *D mgmt_protect -*I *2826:la_oenb[100] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[100] 0.00162468 -2 *2826:la_oenb[100] 0.00155191 -3 *1418:12 0.00646907 -4 *1418:9 0.0063963 -5 *1418:9 *1828:5 0 -6 *1418:12 *1420:10 0.000665242 -7 *1418:12 *1851:8 0.000130331 -8 *1418:12 *1891:24 9.47718e-05 -9 *1418:12 *1915:32 0.00385521 -10 *1418:12 *1919:16 0.000328712 -11 *2820:la_data_out_mprj[101] *2820:la_oenb_mprj[100] 0 -12 *2826:la_input[100] *1418:9 0 -13 *779:7 *2820:la_oenb_mprj[100] 0 -14 *887:11 *2820:la_oenb_mprj[100] 0 -15 *899:8 *1418:12 0.000680605 -16 *1034:9 *1418:9 0 -17 *1157:12 *1418:12 0.0293253 -18 *1159:12 *1418:12 0.033613 -19 *1290:12 *1418:12 0.000481615 -20 *1290:13 *2820:la_oenb_mprj[100] 0.00320178 -21 *1412:10 *1418:12 0.000889949 -*RES -1 *2826:la_oenb[100] *1418:9 36.3203 -2 *1418:9 *1418:12 48.5479 -3 *1418:12 *2820:la_oenb_mprj[100] 56.5598 -*END - -*D_NET *1419 0.0670699 -*CONN -*I *2820:la_oenb_mprj[101] I *D mgmt_protect -*I *2826:la_oenb[101] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[101] 0.00248068 -2 *2826:la_oenb[101] 0.00148331 -3 *1419:12 0.00248068 -4 *1419:10 0.00512508 -5 *1419:9 0.0066084 -6 *2820:la_oenb_mprj[101] *1532:13 0 -7 *1419:9 *1830:5 0 -8 *1419:10 *1420:10 0.000882073 -9 *1419:10 *1534:10 0.0108907 -10 *1419:10 *1540:10 0 -11 *1419:10 *1768:24 0 -12 *1419:10 *1782:10 8.98392e-05 -13 *1419:10 *1784:10 0.000332359 -14 *1419:10 *1786:24 0.00465697 -15 *1419:10 *1836:8 0 -16 *1419:10 *1847:8 0 -17 *1419:10 *2495:10 0 -18 *2820:la_data_out_mprj[101] *2820:la_oenb_mprj[101] 0 -19 *2820:la_data_out_mprj[102] *2820:la_oenb_mprj[101] 0 -20 *2820:la_iena_mprj[101] *2820:la_oenb_mprj[101] 0 -21 *2826:la_input[101] *1419:9 0 -22 *780:7 *2820:la_oenb_mprj[101] 0 -23 *783:14 *1419:10 0.00476094 -24 *1036:10 *1419:10 1.41853e-05 -25 *1150:10 *1419:10 0.00020979 -26 *1415:10 *1419:10 0.0270548 -*RES -1 *2826:la_oenb[101] *1419:9 35.9981 -2 *1419:9 *1419:10 339.032 -3 *1419:10 *1419:12 4.5 -4 *1419:12 *2820:la_oenb_mprj[101] 58.0132 -*END - -*D_NET *1420 0.0845946 -*CONN -*I *2820:la_oenb_mprj[102] I *D mgmt_protect -*I *2826:la_oenb[102] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[102] 0.00228034 -2 *2826:la_oenb[102] 0.00136199 -3 *1420:12 0.00228034 -4 *1420:10 0.012111 -5 *1420:9 0.013473 -6 *2820:la_oenb_mprj[102] *1531:15 0 -7 *2820:la_oenb_mprj[102] *1532:13 0 -8 *1420:10 *1534:10 0.000504041 -9 *1420:10 *1823:10 0.0141654 -10 *1420:10 *1891:24 0.000656921 -11 *1420:10 *1895:24 0.00056957 -12 *1420:10 *1897:16 0.000276702 -13 *2820:la_data_out_mprj[103] *2820:la_oenb_mprj[102] 0 -14 *2820:la_iena_mprj[102] *2820:la_oenb_mprj[102] 0 -15 *2826:la_input[102] *1420:9 0 -16 *2826:la_input[85] *2820:la_oenb_mprj[102] 0.000882194 -17 *781:7 *2820:la_oenb_mprj[102] 0 -18 *782:14 *1420:10 0.000133887 -19 *783:14 *1420:10 0.000252177 -20 *1035:10 *1420:10 0.000216928 -21 *1036:9 *1420:9 0 -22 *1147:10 *1420:10 0.000102215 -23 *1148:10 *1420:10 0.00012426 -24 *1150:10 *1420:10 0.000463392 -25 *1159:12 *1420:10 0.0317539 -26 *1293:15 *1420:9 0.000406526 -27 *1404:10 *1420:10 0.000114491 -28 *1406:10 *1420:10 0.000107179 -29 *1415:10 *1420:10 0.000810936 -30 *1418:12 *1420:10 0.000665242 -31 *1419:10 *1420:10 0.000882073 -*RES -1 *2826:la_oenb[102] *1420:9 34.6593 -2 *1420:9 *1420:10 48.3917 -3 *1420:10 *1420:12 3.36879 -4 *1420:12 *2820:la_oenb_mprj[102] 58.2208 -*END - -*D_NET *1421 0.0733591 -*CONN -*I *2820:la_oenb_mprj[103] I *D mgmt_protect -*I *2826:la_oenb[103] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[103] 0.00167017 -2 *2826:la_oenb[103] 0.00230209 -3 *1421:14 0.00603827 -4 *1421:13 0.0043681 -5 *1421:11 0.00230209 -6 *2820:la_oenb_mprj[103] *1537:13 0 -7 *1421:11 *1770:27 0.000285156 -8 *1421:11 *1838:5 0.000125004 -9 *1421:11 *1851:13 0 -10 *1421:14 *1424:26 0.000910581 -11 *2820:la_iena_mprj[103] *2820:la_oenb_mprj[103] 0 -12 *2826:la_input[103] *1421:11 0 -13 *782:7 *2820:la_oenb_mprj[103] 0 -14 *890:8 *1421:14 0.00304932 -15 *1037:9 *1421:11 0 -16 *1043:14 *1421:14 0 -17 *1045:10 *1421:14 0.000795008 -18 *1049:14 *1421:14 0.00021369 -19 *1050:16 *1421:14 0.00035468 -20 *1051:10 *1421:14 0.0238775 -21 *1062:10 *1421:14 0.000143882 -22 *1293:16 *1421:14 0 -23 *1295:15 *1421:11 8.62625e-06 -24 *1303:10 *1421:14 0.0269149 -*RES -1 *2826:la_oenb[103] *1421:11 49.5738 -2 *1421:11 *1421:13 4.5 -3 *1421:13 *1421:14 361.216 -4 *1421:14 *2820:la_oenb_mprj[103] 45.9031 -*END - -*D_NET *1422 0.0838248 -*CONN -*I *2820:la_oenb_mprj[104] I *D mgmt_protect -*I *2826:la_oenb[104] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[104] 0.000861322 -2 *2826:la_oenb[104] 0.0011953 -3 *1422:16 0.00431502 -4 *1422:15 0.00544399 -5 *1422:12 0.00318559 -6 *2820:la_oenb_mprj[104] *1536:13 0.000378573 -7 *1422:12 *1424:10 0.000749011 -8 *1422:12 *1433:10 0.000744813 -9 *1422:15 *2820:mprj_adr_o_core[23] 0.000774926 -10 *1422:15 *1840:5 0.000243414 -11 *1422:16 *1423:10 0.000139013 -12 *1422:16 *1837:8 0.000287828 -13 *2820:la_data_out_mprj[105] *2820:la_oenb_mprj[104] 0 -14 *2820:la_iena_mprj[104] *2820:la_oenb_mprj[104] 0 -15 *2826:la_input[104] *1422:12 0 -16 *2826:la_input[104] *1422:15 0 -17 *783:7 *2820:la_oenb_mprj[104] 0 -18 *1038:9 *1422:12 0 -19 *1038:20 *1422:16 0.00015541 -20 *1042:15 *1422:15 4.27003e-05 -21 *1292:10 *1422:16 0.00027732 -22 *1295:16 *1422:16 0.0323082 -23 *1296:16 *1422:16 0.0327224 -*RES -1 *2826:la_oenb[104] *1422:12 42.2026 -2 *1422:12 *1422:15 45.781 -3 *1422:15 *1422:16 366.207 -4 *1422:16 *2820:la_oenb_mprj[104] 27.632 -*END - -*D_NET *1423 0.0857855 -*CONN -*I *2820:la_oenb_mprj[105] I *D mgmt_protect -*I *2826:la_oenb[105] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[105] 0.00101587 -2 *2826:la_oenb[105] 7.21667e-05 -3 *1423:10 0.00453884 -4 *1423:9 0.00352297 -5 *1423:7 0.003158 -6 *1423:5 0.00323017 -7 *1423:7 *2820:mprj_adr_o_core[27] 0 -8 *1423:7 *2820:mprj_dat_o_core[27] 0.000529552 -9 *1423:10 *1425:16 0.0343407 -10 *1423:10 *1783:30 0.000895596 -11 *1423:10 *1827:8 0.00973412 -12 *2820:la_data_out_mprj[105] *2820:la_oenb_mprj[105] 0 -13 *2820:la_iena_mprj[105] *2820:la_oenb_mprj[105] 0 -14 *2826:la_input[105] *1423:7 0 -15 *784:5 *2820:la_oenb_mprj[105] 0 -16 *1038:20 *1423:10 0.0241557 -17 *1039:9 *1423:7 0 -18 *1296:16 *1423:10 0.000452771 -19 *1314:15 *1423:7 0 -20 *1422:16 *1423:10 0.000139013 -*RES -1 *2826:la_oenb[105] *1423:5 2.05183 -2 *1423:5 *1423:7 63.0817 -3 *1423:7 *1423:9 4.5 -4 *1423:9 *1423:10 385.618 -5 *1423:10 *2820:la_oenb_mprj[105] 28.8777 -*END - -*D_NET *1424 0.0701735 -*CONN -*I *2820:la_oenb_mprj[106] I *D mgmt_protect -*I *2826:la_oenb[106] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[106] 0.00165419 -2 *2826:la_oenb[106] 0.00111638 -3 *1424:26 0.00392171 -4 *1424:25 0.00339791 -5 *1424:20 0.00426651 -6 *1424:19 0.00326189 -7 *1424:10 0.000583388 -8 *1424:9 0.00157401 -9 *1424:10 *1433:10 0.0017217 -10 *1424:20 *1830:10 0.00184495 -11 *1424:20 *1830:12 0.000554491 -12 *1424:25 *1443:13 0 -13 *2820:la_data_out_mprj[106] *2820:la_oenb_mprj[106] 0 -14 *2820:la_iena_mprj[106] *2820:la_oenb_mprj[106] 0 -15 *2826:la_input[106] *1424:9 0 -16 *779:16 *1424:20 0.00215292 -17 *780:16 *1424:20 6.24819e-05 -18 *785:5 *2820:la_oenb_mprj[106] 0 -19 *803:5 *1424:25 0 -20 *890:8 *1424:26 0.000157429 -21 *1037:12 *1424:20 0.00210627 -22 *1040:9 *1424:9 0 -23 *1040:10 *1424:10 0.00462741 -24 *1040:14 *1424:20 0.0193144 -25 *1040:20 *1424:26 0.00153674 -26 *1049:14 *1424:26 0.00738633 -27 *1302:10 *1424:20 0.000759873 -28 *1305:14 *1424:26 0.000710874 -29 *1307:16 *1424:26 0.00556399 -30 *1410:9 *1424:25 0.000238049 -31 *1421:14 *1424:26 0.000910581 -32 *1422:12 *1424:10 0.000749011 -*RES -1 *2826:la_oenb[106] *1424:9 29.3541 -2 *1424:9 *1424:10 48.9739 -3 *1424:10 *1424:19 11.0518 -4 *1424:19 *1424:20 204.818 -5 *1424:20 *1424:25 34.0861 -6 *1424:25 *1424:26 142.702 -7 *1424:26 *2820:la_oenb_mprj[106] 44.2421 -*END - -*D_NET *1425 0.0882756 -*CONN -*I *2820:la_oenb_mprj[107] I *D mgmt_protect -*I *2826:la_oenb[107] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[107] 0.00100809 -2 *2826:la_oenb[107] 0.00195292 -3 *1425:16 0.004553 -4 *1425:15 0.00487719 -5 *1425:12 0.00196717 -6 *1425:9 0.00258781 -7 *1425:12 *1427:10 0.00174516 -8 *1425:12 *1840:8 0.000236016 -9 *1425:12 *1889:10 0.000144814 -10 *1425:12 *1893:24 9.12806e-05 -11 *1425:12 *1902:16 0.000159414 -12 *1425:15 *1778:13 0 -13 *1425:15 *1845:5 0 -14 *1425:16 *1827:8 0.000307898 -15 *1425:16 *1837:8 1.54593e-05 -16 *1425:16 *1841:8 0.000457391 -17 *1425:16 *1844:8 0.000366157 -18 *2820:la_data_out_mprj[107] *2820:la_oenb_mprj[107] 0 -19 *2820:la_iena_mprj[107] *2820:la_oenb_mprj[107] 0 -20 *786:5 *2820:la_oenb_mprj[107] 0 -21 *1041:9 *1425:9 0 -22 *1042:10 *1425:12 0.000578655 -23 *1296:12 *1425:12 0.000220183 -24 *1296:16 *1425:16 0.0321044 -25 *1318:15 *1425:15 0.000561844 -26 *1423:10 *1425:16 0.0343407 -*RES -1 *2826:la_oenb[107] *1425:9 43.033 -2 *1425:9 *1425:12 39.0542 -3 *1425:12 *1425:15 30.0014 -4 *1425:15 *1425:16 376.19 -5 *1425:16 *2820:la_oenb_mprj[107] 28.4625 -*END - -*D_NET *1426 0.0927325 -*CONN -*I *2820:la_oenb_mprj[108] I *D mgmt_protect -*I *2826:la_oenb[108] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[108] 0.00037499 -2 *2826:la_oenb[108] 7.21667e-05 -3 *1426:10 0.00475621 -4 *1426:9 0.00438122 -5 *1426:7 0.00425959 -6 *1426:5 0.00433176 -7 *1426:7 *1437:15 0 -8 *1426:7 *1754:8 0 -9 *1426:7 *1754:12 0 -10 *1426:10 *1429:10 0.0375592 -11 *1426:10 *1430:10 0.0367311 -12 *1426:10 *1734:19 0.000266298 -13 *2820:la_iena_mprj[108] *2820:la_oenb_mprj[108] 0 -14 *2826:la_input[108] *1426:7 0 -15 *787:5 *2820:la_oenb_mprj[108] 0 -*RES -1 *2826:la_oenb[108] *1426:5 2.05183 -2 *1426:5 *1426:7 78.0308 -3 *1426:7 *1426:9 4.5 -4 *1426:9 *1426:10 421.113 -5 *1426:10 *2820:la_oenb_mprj[108] 13.9287 -*END - -*D_NET *1427 0.0859105 -*CONN -*I *2820:la_oenb_mprj[109] I *D mgmt_protect -*I *2826:la_oenb[109] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[109] 0.000857035 -2 *2826:la_oenb[109] 0.00203322 -3 *1427:16 0.00571871 -4 *1427:15 0.0057921 -5 *1427:10 0.00206797 -6 *1427:9 0.00317077 -7 *1427:10 *1889:10 0.000398943 -8 *1427:10 *1893:24 0 -9 *1427:15 *2820:mprj_adr_o_core[31] 0.000234573 -10 *1427:15 *1849:7 0.00105 -11 *1427:16 *1435:16 0.000812944 -12 *1427:16 *1442:16 0.00106214 -13 *1427:16 *1445:16 0.0221705 -14 *1427:16 *1543:16 8.3247e-06 -15 *1427:16 *1779:34 0.00122855 -16 *1427:16 *1785:30 0.0151793 -17 *1427:16 *1914:10 0.000108607 -18 *2820:la_iena_mprj[109] *2820:la_oenb_mprj[109] 0 -19 *2826:la_input[109] *1427:9 0 -20 *789:5 *2820:la_oenb_mprj[109] 0.00149775 -21 *891:11 *2820:la_oenb_mprj[109] 8.62625e-06 -22 *896:8 *1427:16 0 -23 *1038:20 *1427:16 7.74604e-05 -24 *1041:16 *1427:16 0.0163385 -25 *1042:10 *1427:10 0.00399878 -26 *1043:7 *1427:9 0 -27 *1301:10 *1427:10 0.000350533 -28 *1425:12 *1427:10 0.00174516 -*RES -1 *2826:la_oenb[109] *1427:9 45.1336 -2 *1427:9 *1427:10 57.293 -3 *1427:10 *1427:15 31.5946 -4 *1427:15 *1427:16 373.417 -5 *1427:16 *2820:la_oenb_mprj[109] 32.8104 -*END - -*D_NET *1428 0.181053 -*CONN -*I *2820:la_oenb_mprj[10] I *D mgmt_protect -*I *2826:la_oenb[10] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[10] 0.000747553 -2 *2826:la_oenb[10] 7.21667e-05 -3 *1428:10 0.00634941 -4 *1428:9 0.00560186 -5 *1428:7 0.00285605 -6 *1428:5 0.00292821 -7 *1428:10 *1448:10 0 -8 *1428:10 *1466:10 0.00302691 -9 *1428:10 *1544:10 0.0775924 -10 *2820:la_iena_mprj[10] *2820:la_oenb_mprj[10] 0 -11 *2826:la_input[10] *1428:7 0 -12 *799:7 *2820:la_oenb_mprj[10] 0 -13 *1044:7 *1428:7 0 -14 *1080:10 *1428:10 0.00329243 -15 *1300:10 *1428:10 0.0782278 -16 *1320:10 *1428:10 0.000358219 -*RES -1 *2826:la_oenb[10] *1428:5 2.05183 -2 *1428:5 *1428:7 69.1029 -3 *1428:7 *1428:9 3.36879 -4 *1428:9 *1428:10 99.4106 -5 *1428:10 *2820:la_oenb_mprj[10] 21.7254 -*END - -*D_NET *1429 0.0735891 -*CONN -*I *2820:la_oenb_mprj[110] I *D mgmt_protect -*I *2826:la_oenb[110] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[110] 0.000360385 -2 *2826:la_oenb[110] 8.98429e-05 -3 *1429:10 0.00773137 -4 *1429:9 0.00737098 -5 *1429:7 0.00422208 -6 *1429:5 0.00431192 -7 *1429:10 *1430:10 0.00172507 -8 *1429:10 *1734:19 0.0102183 -9 *2820:la_iena_mprj[110] *2820:la_oenb_mprj[110] 0 -10 *2826:la_input[110] *1429:7 0 -11 *790:5 *2820:la_oenb_mprj[110] 0 -12 *1426:10 *1429:10 0.0375592 -*RES -1 *2826:la_oenb[110] *1429:5 2.05183 -2 *1429:5 *1429:7 78.446 -3 *1429:7 *1429:9 4.5 -4 *1429:9 *1429:10 444.406 -5 *1429:10 *2820:la_oenb_mprj[110] 13.5134 -*END - -*D_NET *1430 0.0980561 -*CONN -*I *2820:la_oenb_mprj[111] I *D mgmt_protect -*I *2826:la_oenb[111] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[111] 0.000403248 -2 *2826:la_oenb[111] 8.98429e-05 -3 *1430:10 0.00485928 -4 *1430:9 0.00445603 -5 *1430:7 0.00416412 -6 *1430:5 0.00425396 -7 *1430:10 *1431:10 0.000358824 -8 *1430:10 *1432:10 0.0408863 -9 *1430:10 *1734:19 0.000128346 -10 *2820:la_iena_mprj[111] *2820:la_oenb_mprj[111] 0 -11 *2826:la_input[111] *1430:7 0 -12 *791:5 *2820:la_oenb_mprj[111] 0 -13 *1046:7 *1430:7 0 -14 *1426:10 *1430:10 0.0367311 -15 *1429:10 *1430:10 0.00172507 -*RES -1 *2826:la_oenb[111] *1430:5 2.05183 -2 *1430:5 *1430:7 77.6155 -3 *1430:7 *1430:9 4.5 -4 *1430:9 *1430:10 455.498 -5 *1430:10 *2820:la_oenb_mprj[111] 14.3439 -*END - -*D_NET *1431 0.10288 -*CONN -*I *2820:la_oenb_mprj[112] I *D mgmt_protect -*I *2826:la_oenb[112] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[112] 0.000439279 -2 *2826:la_oenb[112] 8.98429e-05 -3 *1431:10 0.00486913 -4 *1431:9 0.00442985 -5 *1431:7 0.00391455 -6 *1431:5 0.00400439 -7 *1431:7 *1444:15 0.000675162 -8 *1431:10 *1432:10 0.042849 -9 *1431:10 *1434:10 0.0412501 -10 *2820:la_iena_mprj[112] *2820:la_oenb_mprj[112] 0 -11 *2826:la_input[112] *1431:7 0 -12 *792:5 *2820:la_oenb_mprj[112] 0 -13 *1047:7 *1431:7 0 -14 *1430:10 *1431:10 0.000358824 -*RES -1 *2826:la_oenb[112] *1431:5 2.05183 -2 *1431:5 *1431:7 76.785 -3 *1431:7 *1431:9 4.5 -4 *1431:9 *1431:10 467.7 -5 *1431:10 *2820:la_oenb_mprj[112] 15.1744 -*END - -*D_NET *1432 0.103355 -*CONN -*I *2820:la_oenb_mprj[113] I *D mgmt_protect -*I *2826:la_oenb[113] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[113] 0.000424684 -2 *2826:la_oenb[113] 4.06432e-05 -3 *1432:10 0.0051332 -4 *1432:9 0.00470852 -5 *1432:7 0.00399744 -6 *1432:5 0.00403809 -7 *1432:7 *1445:15 0 -8 *1432:7 *1765:21 0.000367353 -9 *1432:10 *1434:10 0.000910091 -10 *1432:10 *1734:19 0 -11 *2820:la_iena_mprj[113] *2820:la_oenb_mprj[113] 0 -12 *2826:la_input[113] *1432:7 0 -13 *793:7 *2820:la_oenb_mprj[113] 0 -14 *1048:7 *1432:7 0 -15 *1430:10 *1432:10 0.0408863 -16 *1431:10 *1432:10 0.042849 -*RES -1 *2826:la_oenb[113] *1432:5 0.928211 -2 *1432:5 *1432:7 77.2003 -3 *1432:7 *1432:9 4.5 -4 *1432:9 *1432:10 479.901 -5 *1432:10 *2820:la_oenb_mprj[113] 14.7592 -*END - -*D_NET *1433 0.101095 -*CONN -*I *2820:la_oenb_mprj[114] I *D mgmt_protect -*I *2826:la_oenb[114] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[114] 0.00132126 -2 *2826:la_oenb[114] 0.00110859 -3 *1433:18 0.00221476 -4 *1433:15 0.00200848 -5 *1433:10 0.00476911 -6 *1433:9 0.00476271 -7 *1433:10 *1441:10 0.040669 -8 *1433:10 *1536:10 0.000685261 -9 *1433:10 *1537:10 0.000372361 -10 *1433:10 *1788:10 8.07438e-05 -11 *1433:10 *1828:14 4.91225e-06 -12 *1433:10 *1953:10 0.0145043 -13 *2820:la_data_out_mprj[119] *1433:15 5.73901e-05 -14 *2820:la_iena_mprj[114] *2820:la_oenb_mprj[114] 0 -15 *2826:la_input[114] *1433:9 0 -16 *794:5 *2820:la_oenb_mprj[114] 0 -17 *798:5 *1433:15 0.00170338 -18 *894:15 *2820:la_oenb_mprj[114] 0.000176813 -19 *896:11 *1433:15 0 -20 *1040:10 *1433:10 0.000144932 -21 *1040:14 *1433:10 0.0223279 -22 *1042:22 *1433:18 0.000856217 -23 *1048:10 *1433:18 0.000860248 -24 *1049:7 *1433:9 0 -25 *1302:10 *1433:10 0 -26 *1422:12 *1433:10 0.000744813 -27 *1424:10 *1433:10 0.0017217 -*RES -1 *2826:la_oenb[114] *1433:9 30.1846 -2 *1433:9 *1433:10 453.835 -3 *1433:10 *1433:15 41.1454 -4 *1433:15 *1433:18 41.8272 -5 *1433:18 *2820:la_oenb_mprj[114] 31.8523 -*END - -*D_NET *1434 0.106824 -*CONN -*I *2820:la_oenb_mprj[115] I *D mgmt_protect -*I *2826:la_oenb[115] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[115] 0.000467556 -2 *2826:la_oenb[115] 8.98429e-05 -3 *1434:10 0.00549701 -4 *1434:9 0.00502946 -5 *1434:7 0.00400293 -6 *1434:5 0.00409277 -7 *1434:7 *1771:29 0 -8 *1434:10 *1436:10 0.0454842 -9 *1434:10 *1734:19 0 -10 *2820:la_iena_mprj[115] *2820:la_oenb_mprj[115] 0 -11 *2826:la_input[115] *1434:7 0 -12 *795:5 *2820:la_oenb_mprj[115] 0 -13 *1050:7 *1434:7 0 -14 *1431:10 *1434:10 0.0412501 -15 *1432:10 *1434:10 0.000910091 -*RES -1 *2826:la_oenb[115] *1434:5 2.05183 -2 *1434:5 *1434:7 76.3698 -3 *1434:7 *1434:9 4.5 -4 *1434:9 *1434:10 502.64 -5 *1434:10 *2820:la_oenb_mprj[115] 15.5897 -*END - -*D_NET *1435 0.110443 -*CONN -*I *2820:la_oenb_mprj[116] I *D mgmt_protect -*I *2826:la_oenb[116] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[116] 0.00123024 -2 *2826:la_oenb[116] 0.0020447 -3 *1435:16 0.00477346 -4 *1435:15 0.00457602 -5 *1435:10 0.00220553 -6 *1435:9 0.00321743 -7 *1435:10 *1437:10 0.0157959 -8 *1435:16 *1437:16 0.0304197 -9 *1435:16 *1442:16 0.000703313 -10 *1435:16 *1444:16 0.0255945 -11 *1435:16 *2487:16 0.000417432 -12 *2820:la_iena_mprj[116] *2820:la_oenb_mprj[116] 0 -13 *796:7 *2820:la_oenb_mprj[116] 0 -14 *895:11 *2820:la_oenb_mprj[116] 0 -15 *896:8 *1435:16 0.00023598 -16 *1051:7 *1435:9 0 -17 *1061:10 *1435:10 0.00148343 -18 *1298:9 *1435:15 7.09666e-06 -19 *1309:16 *1435:16 5.24855e-05 -20 *1314:10 *1435:10 0.0165394 -21 *1318:10 *1435:10 0.000333162 -22 *1427:16 *1435:16 0.000812944 -*RES -1 *2826:la_oenb[116] *1435:9 47.1855 -2 *1435:9 *1435:10 174.314 -3 *1435:10 *1435:15 26.1963 -4 *1435:15 *1435:16 342.359 -5 *1435:16 *2820:la_oenb_mprj[116] 32.615 -*END - -*D_NET *1436 0.112395 -*CONN -*I *2820:la_oenb_mprj[117] I *D mgmt_protect -*I *2826:la_oenb[117] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[117] 0.000488993 -2 *2826:la_oenb[117] 8.98429e-05 -3 *1436:10 0.00555213 -4 *1436:9 0.00506314 -5 *1436:7 0.00393359 -6 *1436:5 0.00402343 -7 *1436:7 *1775:29 0 -8 *1436:10 *1438:10 0.0477599 -9 *1436:10 *1734:19 0 -10 *2820:la_iena_mprj[117] *2820:la_oenb_mprj[117] 0 -11 *2826:la_input[117] *1436:7 0 -12 *797:5 *2820:la_oenb_mprj[117] 0 -13 *1052:7 *1436:7 0 -14 *1434:10 *1436:10 0.0454842 -*RES -1 *2826:la_oenb[117] *1436:5 2.05183 -2 *1436:5 *1436:7 75.9545 -3 *1436:7 *1436:9 4.5 -4 *1436:9 *1436:10 525.933 -5 *1436:10 *2820:la_oenb_mprj[117] 16.0049 -*END - -*D_NET *1437 0.117407 -*CONN -*I *2820:la_oenb_mprj[118] I *D mgmt_protect -*I *2826:la_oenb[118] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[118] 0.00125167 -2 *2826:la_oenb[118] 0.00211949 -3 *1437:16 0.00406998 -4 *1437:15 0.00380708 -5 *1437:10 0.00243928 -6 *1437:9 0.00357001 -7 *1437:16 *1440:16 0.0295491 -8 *1437:16 *1444:16 0.000330532 -9 *1437:16 *2487:16 0.000519812 -10 *2820:la_iena_mprj[118] *2820:la_oenb_mprj[118] 0 -11 *2826:la_input[118] *1437:9 0 -12 *798:5 *2820:la_oenb_mprj[118] 0 -13 *1053:7 *1437:9 0 -14 *1061:10 *1437:10 0.00176421 -15 *1309:16 *1437:16 0.000710874 -16 *1314:10 *1437:10 0.00162851 -17 *1318:10 *1437:10 0.0194304 -18 *1426:7 *1437:15 0 -19 *1435:10 *1437:10 0.0157959 -20 *1435:16 *1437:16 0.0304197 -*RES -1 *2826:la_oenb[118] *1437:9 49.2862 -2 *1437:9 *1437:10 204.818 -3 *1437:10 *1437:15 25.3658 -4 *1437:15 *1437:16 332.931 -5 *1437:16 *2820:la_oenb_mprj[118] 33.0303 -*END - -*D_NET *1438 0.117939 -*CONN -*I *2820:la_oenb_mprj[119] I *D mgmt_protect -*I *2826:la_oenb[119] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[119] 0.000510429 -2 *2826:la_oenb[119] 8.98429e-05 -3 *1438:10 0.00546274 -4 *1438:9 0.00495231 -5 *1438:7 0.00371945 -6 *1438:5 0.00380929 -7 *1438:7 *1785:29 0.000570057 -8 *1438:10 *1734:19 0 -9 *2820:la_iena_mprj[119] *2820:la_oenb_mprj[119] 0 -10 *2826:la_input[119] *1438:7 0 -11 *800:5 *2820:la_oenb_mprj[119] 0 -12 *1054:7 *1438:7 0 -13 *1297:20 *1438:10 0 -14 *1312:10 *1438:10 0.0510654 -15 *1436:10 *1438:10 0.0477599 -*RES -1 *2826:la_oenb[119] *1438:5 2.05183 -2 *1438:5 *1438:7 75.5393 -3 *1438:7 *1438:9 4.5 -4 *1438:9 *1438:10 549.226 -5 *1438:10 *2820:la_oenb_mprj[119] 16.4202 -*END - -*D_NET *1439 0.0807679 -*CONN -*I *2820:la_oenb_mprj[11] I *D mgmt_protect -*I *2826:la_oenb[11] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[11] 0.00151182 -2 *2826:la_oenb[11] 0.000896024 -3 *1439:19 0.00308782 -4 *1439:14 0.00502229 -5 *1439:12 0.00348154 -6 *1439:10 0.0175585 -7 *1439:9 0.0184193 -8 *1439:19 *1485:9 5.88037e-05 -9 *2820:la_iena_mprj[11] *2820:la_oenb_mprj[11] 0 -10 *2820:la_iena_mprj[11] *1439:19 0.000183335 -11 *2826:la_input[46] *1439:19 0 -12 *799:23 *1439:9 0 -13 *808:5 *2820:la_oenb_mprj[11] 0 -14 *808:5 *1439:19 0 -15 *1055:7 *1439:9 0 -16 *1077:10 *1439:10 0 -17 *1078:10 *1439:10 0 -18 *1078:10 *1439:14 0 -19 *1081:10 *1439:10 0 -20 *1081:10 *1439:14 0.00117138 -21 *1085:15 *1439:19 0.00075292 -22 *1091:10 *1439:14 0.00486349 -23 *1097:10 *1439:14 0.00441498 -24 *1100:10 *1439:14 0.00132255 -25 *1347:10 *1439:14 0.0109101 -26 *1347:16 *1439:14 2.1801e-05 -27 *1347:18 *1439:14 0.00709123 -*RES -1 *2826:la_oenb[11] *1439:9 27.6931 -2 *1439:9 *1439:10 464.095 -3 *1439:10 *1439:12 0.988641 -4 *1439:12 *1439:14 245.026 -5 *1439:14 *1439:19 38.0988 -6 *1439:19 *2820:la_oenb_mprj[11] 32.7927 -*END - -*D_NET *1440 0.114131 -*CONN -*I *2820:la_oenb_mprj[120] I *D mgmt_protect -*I *2826:la_oenb[120] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[120] 0.00128576 -2 *2826:la_oenb[120] 0.00215223 -3 *1440:16 0.00429196 -4 *1440:15 0.00391491 -5 *1440:10 0.00328017 -6 *1440:9 0.00237146 -7 *1440:7 0.00215223 -8 *1440:10 *1442:10 0.00159447 -9 *1440:10 *1758:16 0.00195048 -10 *1440:16 *1444:16 0.000386719 -11 *1440:16 *1771:30 0.000201058 -12 *1440:16 *1777:30 0.00680857 -13 *1440:16 *2487:16 0.000383767 -14 *2820:la_data_out_mprj[120] *2820:la_oenb_mprj[120] 0 -15 *2820:la_iena_mprj[120] *2820:la_oenb_mprj[120] 0 -16 *2826:la_input[120] *1440:7 0 -17 *801:5 *2820:la_oenb_mprj[120] 0 -18 *1043:10 *1440:10 0.00101679 -19 *1304:10 *1440:10 0.00575555 -20 *1304:14 *1440:10 0.00023152 -21 *1307:10 *1440:10 0.00259596 -22 *1309:16 *1440:16 0.0217225 -23 *1314:16 *1440:16 0.000760652 -24 *1318:10 *1440:10 0.0217247 -25 *1437:16 *1440:16 0.0295491 -*RES -1 *2826:la_oenb[120] *1440:7 45.6167 -2 *1440:7 *1440:9 4.5 -3 *1440:9 *1440:10 228.665 -4 *1440:10 *1440:15 24.1201 -5 *1440:15 *1440:16 332.376 -6 *1440:16 *2820:la_oenb_mprj[120] 33.4455 -*END - -*D_NET *1441 0.116867 -*CONN -*I *2820:la_oenb_mprj[121] I *D mgmt_protect -*I *2826:la_oenb[121] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[121] 0.00280064 -2 *2826:la_oenb[121] 0.00105078 -3 *1441:12 0.00280064 -4 *1441:10 0.00452004 -5 *1441:9 0.00557082 -6 *1441:10 *1443:10 0.0524218 -7 *1441:10 *1537:10 0.000183145 -8 *1441:10 *1538:10 0.000232897 -9 *1441:10 *1953:10 0.00604768 -10 *2820:la_data_out_mprj[121] *2820:la_oenb_mprj[121] 0 -11 *2820:la_iena_mprj[121] *2820:la_oenb_mprj[121] 0 -12 *2826:la_input[121] *1441:9 0 -13 *2826:la_input[93] *2820:la_oenb_mprj[121] 0.000569697 -14 *802:5 *2820:la_oenb_mprj[121] 0 -15 *1057:7 *1441:9 0 -16 *1433:10 *1441:10 0.040669 -*RES -1 *2826:la_oenb[121] *1441:9 30.038 -2 *1441:9 *1441:10 573.074 -3 *1441:10 *1441:12 4.5 -4 *1441:12 *2820:la_oenb_mprj[121] 63.4115 -*END - -*D_NET *1442 0.12026 -*CONN -*I *2820:la_oenb_mprj[122] I *D mgmt_protect -*I *2826:la_oenb[122] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[122] 0.00122153 -2 *2826:la_oenb[122] 0.00220125 -3 *1442:16 0.00417618 -4 *1442:15 0.00383019 -5 *1442:10 0.00364063 -6 *1442:9 0.0027651 -7 *1442:7 0.00220125 -8 *1442:10 *1444:10 0.0193735 -9 *1442:10 *1913:16 0.000393014 -10 *1442:16 *1444:16 0.0314996 -11 *1442:16 *1445:16 0.0306605 -12 *1442:16 *1900:10 0.00116569 -13 *2820:la_iena_mprj[122] *2820:la_oenb_mprj[122] 0 -14 *2826:la_input[122] *1442:7 0 -15 *803:5 *2820:la_oenb_mprj[122] 0 -16 *1050:10 *1442:10 0.00907554 -17 *1058:7 *1442:7 0 -18 *1062:10 *1442:10 0.00244432 -19 *1303:10 *1442:10 0.00180696 -20 *1307:10 *1442:10 0.000185642 -21 *1318:10 *1442:10 0.000259519 -22 *1427:16 *1442:16 0.00106214 -23 *1435:16 *1442:16 0.000703313 -24 *1440:10 *1442:10 0.00159447 -*RES -1 *2826:la_oenb[122] *1442:7 47.2777 -2 *1442:7 *1442:9 4.5 -3 *1442:9 *1442:10 231.993 -4 *1442:10 *1442:15 24.1201 -5 *1442:15 *1442:16 352.897 -6 *1442:16 *2820:la_oenb_mprj[122] 31.7845 -*END - -*D_NET *1443 0.125006 -*CONN -*I *2820:la_oenb_mprj[123] I *D mgmt_protect -*I *2826:la_oenb[123] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[123] 6.22868e-05 -2 *2826:la_oenb[123] 0.00107631 -3 *1443:13 0.00256176 -4 *1443:12 0.00249947 -5 *1443:10 0.0047174 -6 *1443:9 0.00579371 -7 *1443:10 *1447:10 0.053138 -8 *1443:10 *1538:10 0.000139256 -9 *1443:10 *1539:10 0.000332926 -10 *1443:10 *1540:10 3.94365e-05 -11 *1443:10 *1953:10 0.000980169 -12 *2820:la_iena_mprj[123] *1443:13 0 -13 *2826:la_input[123] *1443:9 0 -14 *2826:la_input[94] *1443:13 0.000476211 -15 *804:7 *1443:13 0.000767499 -16 *1059:7 *1443:9 0 -17 *1410:9 *1443:13 0 -18 *1424:25 *1443:13 0 -19 *1441:10 *1443:10 0.0524218 -*RES -1 *2826:la_oenb[123] *1443:9 31.0151 -2 *1443:9 *1443:10 594.149 -3 *1443:10 *1443:12 4.5 -4 *1443:12 *1443:13 63.0817 -5 *1443:13 *2820:la_oenb_mprj[123] 1.77093 -*END - -*D_NET *1444 0.126846 -*CONN -*I *2820:la_oenb_mprj[124] I *D mgmt_protect -*I *2826:la_oenb[124] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[124] 0.00124876 -2 *2826:la_oenb[124] 0.00219987 -3 *1444:16 0.00482874 -4 *1444:15 0.00420627 -5 *1444:10 0.00279645 -6 *1444:9 0.00217016 -7 *1444:7 0.00219987 -8 *1444:10 *1913:16 0.0232203 -9 *1444:16 *1445:16 0.00121647 -10 *1444:16 *1777:30 0.00117679 -11 *1444:16 *2487:16 0.00142648 -12 *2820:la_data_out_mprj[124] *2820:la_oenb_mprj[124] 0 -13 *2820:la_iena_mprj[124] *2820:la_oenb_mprj[124] 0 -14 *2826:la_input[124] *1444:7 0 -15 *805:5 *2820:la_oenb_mprj[124] 0 -16 *1062:10 *1444:10 0.00229536 -17 *1318:10 *1444:10 0 -18 *1431:7 *1444:15 0.000675162 -19 *1435:16 *1444:16 0.0255945 -20 *1437:16 *1444:16 0.000330532 -21 *1440:16 *1444:16 0.000386719 -22 *1442:10 *1444:10 0.0193735 -23 *1442:16 *1444:16 0.0314996 -*RES -1 *2826:la_oenb[124] *1444:7 47.6929 -2 *1444:7 *1444:9 4.5 -3 *1444:9 *1444:10 244.194 -4 *1444:10 *1444:15 23.2896 -5 *1444:15 *1444:16 363.989 -6 *1444:16 *2820:la_oenb_mprj[124] 32.1998 -*END - -*D_NET *1445 0.10415 -*CONN -*I *2820:la_oenb_mprj[125] I *D mgmt_protect -*I *2826:la_oenb[125] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[125] 0.00121958 -2 *2826:la_oenb[125] 8.98429e-05 -3 *1445:16 0.00472107 -4 *1445:15 0.00382379 -5 *1445:10 0.00596387 -6 *1445:9 0.00564157 -7 *1445:7 0.00261546 -8 *1445:5 0.0027053 -9 *1445:10 *1760:24 0.00254883 -10 *1445:10 *1769:30 4.856e-05 -11 *1445:10 *1775:30 0 -12 *1445:15 *1765:21 0 -13 *1445:16 *1777:30 0 -14 *1445:16 *1785:30 0.0057533 -15 *1445:16 *1900:10 0.00164372 -16 *2820:la_data_out_mprj[125] *2820:la_oenb_mprj[125] 0 -17 *2820:la_data_out_mprj[126] *2820:la_oenb_mprj[125] 0 -18 *2820:la_iena_mprj[125] *2820:la_oenb_mprj[125] 0 -19 *2826:la_input[125] *1445:7 0 -20 *806:7 *2820:la_oenb_mprj[125] 0 -21 *807:14 *1445:10 0.00909787 -22 *1048:10 *1445:10 0.000897677 -23 *1061:9 *1445:7 0 -24 *1306:10 *1445:10 0.00333193 -25 *1427:16 *1445:16 0.0221705 -26 *1432:7 *1445:15 0 -27 *1442:16 *1445:16 0.0306605 -28 *1444:16 *1445:16 0.00121647 -*RES -1 *2826:la_oenb[125] *1445:5 2.05183 -2 *1445:5 *1445:7 53.9461 -3 *1445:7 *1445:9 4.5 -4 *1445:9 *1445:10 247.522 -5 *1445:10 *1445:15 15.815 -6 *1445:15 *1445:16 371.753 -7 *1445:16 *2820:la_oenb_mprj[125] 31.3693 -*END - -*D_NET *1446 0.139594 -*CONN -*I *2820:la_oenb_mprj[126] I *D mgmt_protect -*I *2826:la_oenb[126] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[126] 0.000632205 -2 *2826:la_oenb[126] 8.98429e-05 -3 *1446:10 0.00524733 -4 *1446:9 0.00461513 -5 *1446:7 0.00340283 -6 *1446:5 0.00349267 -7 *1446:7 *1835:13 0.000423306 -8 *1446:10 *1755:8 0.0586085 -9 *1446:10 *2489:24 0.0036047 -10 *2820:la_iena_mprj[126] *2820:la_oenb_mprj[126] 0 -11 *806:17 *1446:7 0 -12 *807:7 *2820:la_oenb_mprj[126] 0 -13 *1062:7 *1446:7 0 -14 *1297:20 *1446:10 0.000183606 -15 *1316:10 *1446:10 0.000269776 -16 *1319:10 *1446:10 0.0590246 -*RES -1 *2826:la_oenb[126] *1446:5 2.05183 -2 *1446:5 *1446:7 73.0477 -3 *1446:7 *1446:9 4.5 -4 *1446:9 *1446:10 631.308 -5 *1446:10 *2820:la_oenb_mprj[126] 18.9117 -*END - -*D_NET *1447 0.133522 -*CONN -*I *2820:la_oenb_mprj[127] I *D mgmt_protect -*I *2826:la_oenb[127] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[127] 0.00266354 -2 *2826:la_oenb[127] 0.00107484 -3 *1447:12 0.00266354 -4 *1447:10 0.00516877 -5 *1447:9 0.00624362 -6 *2820:la_oenb_mprj[127] *2820:mprj_cyc_o_core 0 -7 *2820:la_oenb_mprj[127] *1755:7 0 -8 *1447:10 *1540:10 0.000100974 -9 *1447:10 *1953:10 0.00138843 -10 *1447:10 *2495:10 0.0602301 -11 *2820:la_data_out_mprj[127] *2820:la_oenb_mprj[127] 0 -12 *2820:la_iena_mprj[127] *2820:la_oenb_mprj[127] 0 -13 *807:17 *1447:9 0 -14 *1035:15 *2820:la_oenb_mprj[127] 0.000753338 -15 *1063:9 *1447:9 0 -16 *1155:9 *2820:la_oenb_mprj[127] 9.67078e-05 -17 *1412:9 *2820:la_oenb_mprj[127] 0 -18 *1443:10 *1447:10 0.053138 -*RES -1 *2826:la_oenb[127] *1447:9 31.4303 -2 *1447:9 *1447:10 642.4 -3 *1447:10 *1447:12 4.5 -4 *1447:12 *2820:la_oenb_mprj[127] 62.5809 -*END - -*D_NET *1448 0.168531 -*CONN -*I *2820:la_oenb_mprj[12] I *D mgmt_protect -*I *2826:la_oenb[12] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[12] 0.00111721 -2 *2826:la_oenb[12] 7.21667e-05 -3 *1448:10 0.00954854 -4 *1448:9 0.00843133 -5 *1448:7 0.00252004 -6 *1448:5 0.0025922 -7 *1448:10 *1456:10 0.0657897 -8 *1448:10 *1471:10 0.00242584 -9 *1448:10 *1500:10 0.000371906 -10 *2820:la_data_out_mprj[12] *2820:la_oenb_mprj[12] 0 -11 *2820:la_iena_mprj[12] *2820:la_oenb_mprj[12] 0 -12 *809:7 *2820:la_oenb_mprj[12] 0 -13 *1064:7 *1448:7 0 -14 *1086:10 *1448:10 0.00274101 -15 *1300:10 *1448:10 0 -16 *1322:10 *1448:10 0.0725345 -17 *1350:10 *1448:10 0.000378008 -18 *1358:7 *2820:la_oenb_mprj[12] 8.30099e-06 -19 *1394:10 *1448:10 0 -20 *1416:10 *1448:10 0 -21 *1428:10 *1448:10 0 -*RES -1 *2826:la_oenb[12] *1448:5 2.05183 -2 *1448:5 *1448:7 61.6283 -3 *1448:7 *1448:9 3.36879 -4 *1448:9 *1448:10 96.198 -5 *1448:10 *2820:la_oenb_mprj[12] 29.1999 -*END - -*D_NET *1449 0.172797 -*CONN -*I *2820:la_oenb_mprj[13] I *D mgmt_protect -*I *2826:la_oenb[13] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[13] 6.22868e-05 -2 *2826:la_oenb[13] 0.00161991 -3 *1449:13 0.00241988 -4 *1449:12 0.0023576 -5 *1449:10 0.00730106 -6 *1449:9 0.00892098 -7 *1449:10 *1451:10 0 -8 *1449:10 *1465:10 0.0014255 -9 *1449:13 *1486:7 0 -10 *2826:la_input[47] *1449:13 0.000498957 -11 *788:18 *1449:10 0.00301214 -12 *809:11 *1449:9 0 -13 *810:9 *1449:13 0 -14 *1065:7 *1449:9 0 -15 *1149:20 *1449:10 0.000441668 -16 *1321:10 *1449:10 0.0738919 -17 *1321:13 *1449:13 0 -18 *1323:10 *1449:10 0.0708449 -*RES -1 *2826:la_oenb[13] *1449:9 42.1338 -2 *1449:9 *1449:10 94.4388 -3 *1449:10 *1449:12 3.36879 -4 *1449:12 *1449:13 50.8318 -5 *1449:13 *2820:la_oenb_mprj[13] 1.77093 -*END - -*D_NET *1450 0.166032 -*CONN -*I *2820:la_oenb_mprj[14] I *D mgmt_protect -*I *2826:la_oenb[14] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[14] 0.00122977 -2 *2826:la_oenb[14] 7.21667e-05 -3 *1450:10 0.00702704 -4 *1450:9 0.00579727 -5 *1450:7 0.00251401 -6 *1450:5 0.00258618 -7 *1450:10 *1473:10 0.00203998 -8 *2820:la_iena_mprj[14] *2820:la_oenb_mprj[14] 0 -9 *810:23 *1450:7 0 -10 *811:7 *2820:la_oenb_mprj[14] 0 -11 *1065:10 *1450:10 0 -12 *1066:7 *1450:7 0 -13 *1066:10 *1450:10 0 -14 *1322:10 *1450:10 0.0730147 -15 *1324:10 *1450:10 0.0699088 -16 *1346:10 *1450:10 0.00178134 -17 *1358:12 *1450:10 6.10203e-05 -*RES -1 *2826:la_oenb[14] *1450:5 2.05183 -2 *1450:5 *1450:7 59.9673 -3 *1450:7 *1450:9 3.36879 -4 *1450:9 *1450:10 92.9855 -5 *1450:10 *2820:la_oenb_mprj[14] 30.8609 -*END - -*D_NET *1451 0.169421 -*CONN -*I *2820:la_oenb_mprj[15] I *D mgmt_protect -*I *2826:la_oenb[15] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[15] 0.00277664 -2 *2826:la_oenb[15] 0.00116025 -3 *1451:12 0.00277664 -4 *1451:10 0.0124296 -5 *1451:9 0.0135898 -6 *1451:10 *1467:10 0.000767989 -7 *1451:10 *1468:10 0.002136 -8 *1451:10 *1481:10 0.000141029 -9 *1451:10 *1483:10 0.000133943 -10 *1451:10 *1484:10 0.000133943 -11 *1451:10 *1489:10 0.000461614 -12 *1451:10 *1511:10 0.000341568 -13 *1451:10 *1533:10 0 -14 *2820:la_iena_mprj[15] *2820:la_oenb_mprj[15] 0 -15 *2826:la_input[15] *1451:9 0 -16 *2826:la_input[48] *2820:la_oenb_mprj[15] 0.000212541 -17 *808:18 *1451:10 0.0031188 -18 *812:7 *2820:la_oenb_mprj[15] 0 -19 *1067:7 *1451:9 0 -20 *1311:10 *1451:10 0 -21 *1321:10 *1451:10 0 -22 *1325:10 *1451:10 0.0684995 -23 *1342:10 *1451:10 0.00223673 -24 *1351:10 *1451:10 0.000134497 -25 *1353:10 *1451:10 0.000274683 -26 *1355:10 *1451:10 0.000253087 -27 *1405:10 *1451:10 0 -28 *1417:10 *1451:10 0.0578426 -29 *1449:10 *1451:10 0 -*RES -1 *2826:la_oenb[15] *1451:9 33.8288 -2 *1451:9 *1451:10 91.4557 -3 *1451:10 *1451:12 3.36879 -4 *1451:12 *2820:la_oenb_mprj[15] 59.0513 -*END - -*D_NET *1452 0.137115 -*CONN -*I *2820:la_oenb_mprj[16] I *D mgmt_protect -*I *2826:la_oenb[16] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[16] 0.000911181 -2 *2826:la_oenb[16] 7.21667e-05 -3 *1452:10 0.00663369 -4 *1452:9 0.00572251 -5 *1452:7 0.00288973 -6 *1452:5 0.0029619 -7 *1452:10 *1482:16 0.000211143 -8 *1452:10 *1482:22 0.00373033 -9 *1452:10 *1500:10 0.0051268 -10 *1452:10 *1522:10 0.0546437 -11 *2820:la_iena_mprj[16] *2820:la_oenb_mprj[16] 0 -12 *799:8 *1452:10 0.00114213 -13 *812:11 *1452:7 0 -14 *813:7 *2820:la_oenb_mprj[16] 0 -15 *1068:7 *1452:7 0 -16 *1330:10 *1452:10 0.0530696 -*RES -1 *2826:la_oenb[16] *1452:5 2.05183 -2 *1452:5 *1452:7 65.5732 -3 *1452:7 *1452:9 4.5 -4 *1452:9 *1452:10 650.719 -5 *1452:10 *2820:la_oenb_mprj[16] 26.3862 -*END - -*D_NET *1453 0.160143 -*CONN -*I *2820:la_oenb_mprj[17] I *D mgmt_protect -*I *2826:la_oenb[17] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[17] 6.22868e-05 -2 *2826:la_oenb[17] 0.00110032 -3 *1453:13 0.00203066 -4 *1453:12 0.00196838 -5 *1453:10 0.0068091 -6 *1453:9 0.00790942 -7 *1453:10 *1455:10 0.0646118 -8 *1453:10 *1472:10 0.00161468 -9 *1453:10 *1474:10 0.00149642 -10 *2820:la_iena_mprj[17] *1453:13 0.0031863 -11 *2826:la_input[17] *1453:9 0 -12 *814:7 *1453:13 0 -13 *1069:7 *1453:9 0 -14 *1325:10 *1453:10 0.0689453 -15 *1360:7 *1453:13 0.000408354 -*RES -1 *2826:la_oenb[17] *1453:9 32.1677 -2 *1453:9 *1453:10 87.9371 -3 *1453:10 *1453:12 3.36879 -4 *1453:12 *1453:13 60.7978 -5 *1453:13 *2820:la_oenb_mprj[17] 1.77093 -*END - -*D_NET *1454 0.114892 -*CONN -*I *2820:la_oenb_mprj[18] I *D mgmt_protect -*I *2826:la_oenb[18] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[18] 0.00134141 -2 *2826:la_oenb[18] 7.21667e-05 -3 *1454:10 0.0136481 -4 *1454:9 0.0123067 -5 *1454:7 0.00253357 -6 *1454:5 0.00260574 -7 *2820:la_oenb_mprj[18] *1488:7 6.6789e-05 -8 *1454:10 *1477:10 0.00083995 -9 *2820:la_iena_mprj[18] *2820:la_oenb_mprj[18] 0 -10 *814:11 *1454:7 0 -11 *815:7 *2820:la_oenb_mprj[18] 0 -12 *1068:10 *1454:10 0.0121496 -13 *1070:9 *1454:7 0 -14 *1093:10 *1454:10 0.000606422 -15 *1096:10 *1454:10 0.000318578 -16 *1326:10 *1454:10 0.0677963 -17 *1352:10 *1454:10 0.000606422 -18 *1358:12 *1454:10 0 -*RES -1 *2826:la_oenb[18] *1454:5 2.05183 -2 *1454:5 *1454:7 57.4758 -3 *1454:7 *1454:9 3.36879 -4 *1454:9 *1454:10 86.5603 -5 *1454:10 *2820:la_oenb_mprj[18] 33.3524 -*END - -*D_NET *1455 0.153683 -*CONN -*I *2820:la_oenb_mprj[19] I *D mgmt_protect -*I *2826:la_oenb[19] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[19] 0.00270105 -2 *2826:la_oenb[19] 0.00107111 -3 *1455:12 0.00270105 -4 *1455:10 0.00720527 -5 *1455:9 0.00827637 -6 *1455:10 *1457:10 0.0646453 -7 *1455:10 *1476:10 0.000945103 -8 *2820:la_iena_mprj[19] *2820:la_oenb_mprj[19] 0 -9 *815:11 *1455:9 0 -10 *817:7 *2820:la_oenb_mprj[19] 0 -11 *1071:7 *1455:9 0 -12 *1092:15 *2820:la_oenb_mprj[19] 0.000493279 -13 *1104:9 *2820:la_oenb_mprj[19] 0 -14 *1349:10 *1455:10 0.000868884 -15 *1362:7 *2820:la_oenb_mprj[19] 0.000163495 -16 *1453:10 *1455:10 0.0646118 -*RES -1 *2826:la_oenb[19] *1455:9 31.3372 -2 *1455:9 *1455:10 84.954 -3 *1455:10 *1455:12 3.36879 -4 *1455:12 *2820:la_oenb_mprj[19] 61.5428 -*END - -*D_NET *1456 0.201505 -*CONN -*I *2820:la_oenb_mprj[1] I *D mgmt_protect -*I *2826:la_oenb[1] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[1] 0.00118726 -2 *2826:la_oenb[1] 5.24068e-05 -3 *1456:10 0.0101057 -4 *1456:9 0.0089184 -5 *1456:7 0.00230715 -6 *1456:5 0.00235955 -7 *1456:10 *1469:10 0.00320855 -8 *1456:10 *1522:10 0.000273255 -9 *2820:la_iena_mprj[1] *2820:la_oenb_mprj[1] 0 -10 *2826:la_input[1] *1456:7 0 -11 *827:7 *2820:la_oenb_mprj[1] 0 -12 *1289:10 *1456:10 0.0172702 -13 *1328:10 *1456:10 0.0899357 -14 *1350:10 *1456:10 9.68897e-05 -15 *1448:10 *1456:10 0.0657897 -*RES -1 *2826:la_oenb[1] *1456:5 1.49002 -2 *1456:5 *1456:7 62.4588 -3 *1456:7 *1456:9 3.36879 -4 *1456:9 *1456:10 114.097 -5 *1456:10 *2820:la_oenb_mprj[1] 28.3694 -*END - -*D_NET *1457 0.12393 -*CONN -*I *2820:la_oenb_mprj[20] I *D mgmt_protect -*I *2826:la_oenb[20] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[20] 0.00267397 -2 *2826:la_oenb[20] 0.000982615 -3 *1457:12 0.00267397 -4 *1457:10 0.0224445 -5 *1457:9 0.0234271 -6 *1457:10 *1479:10 0.000753036 -7 *2820:la_iena_mprj[20] *2820:la_oenb_mprj[20] 0 -8 *2826:la_input[20] *1457:9 0 -9 *818:7 *2820:la_oenb_mprj[20] 0 -10 *850:11 *2820:la_oenb_mprj[20] 0.00123406 -11 *1074:13 *2820:la_oenb_mprj[20] 0 -12 *1081:10 *1457:10 0.000319366 -13 *1085:10 *1457:10 0.001268 -14 *1087:10 *1457:10 0.00128375 -15 *1089:10 *1457:10 0.00107161 -16 *1091:10 *1457:10 0.000102215 -17 *1092:10 *1457:10 0.000310253 -18 *1095:14 *1457:10 0.000523202 -19 *1098:10 *1457:10 0.000216928 -20 *1455:10 *1457:10 0.0646453 -*RES -1 *2826:la_oenb[20] *1457:9 28.8213 -2 *1457:9 *1457:10 83.5772 -3 *1457:10 *1457:12 3.36879 -4 *1457:12 *2820:la_oenb_mprj[20] 62.3733 -*END - -*D_NET *1458 0.129168 -*CONN -*I *2820:la_oenb_mprj[21] I *D mgmt_protect -*I *2826:la_oenb[21] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[21] 0.000846873 -2 *2826:la_oenb[21] 7.21667e-05 -3 *1458:10 0.00555275 -4 *1458:9 0.00470588 -5 *1458:7 0.00312015 -6 *1458:5 0.00319232 -7 *1458:10 *1460:10 0.0522567 -8 *2820:la_iena_mprj[21] *2820:la_oenb_mprj[21] 0 -9 *818:11 *1458:7 0 -10 *819:7 *2820:la_oenb_mprj[21] 0 -11 *1074:9 *1458:7 0 -12 *1330:10 *1458:10 0.00132061 -13 *1332:10 *1458:10 0.0532169 -14 *1394:10 *1458:10 0.00488343 -*RES -1 *2826:la_oenb[21] *1458:5 2.05183 -2 *1458:5 *1458:7 66.819 -3 *1458:7 *1458:9 4.5 -4 *1458:9 *1458:10 592.485 -5 *1458:10 *2820:la_oenb_mprj[21] 25.1405 -*END - -*D_NET *1459 0.126594 -*CONN -*I *2820:la_oenb_mprj[22] I *D mgmt_protect -*I *2826:la_oenb[22] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[22] 0.00250044 -2 *2826:la_oenb[22] 0.00158868 -3 *1459:12 0.00250044 -4 *1459:10 0.00463181 -5 *1459:9 0.00622049 -6 *1459:10 *1461:10 0.050998 -7 *1459:10 *1490:10 3.59302e-05 -8 *1459:10 *1533:10 0.00282738 -9 *2820:la_data_out_mprj[23] *2820:la_oenb_mprj[22] 0 -10 *788:18 *1459:10 0 -11 *819:11 *1459:9 0 -12 *820:7 *2820:la_oenb_mprj[22] 0 -13 *851:11 *2820:la_oenb_mprj[22] 0 -14 *1075:9 *1459:9 0 -15 *1311:10 *1459:10 0.000217229 -16 *1331:10 *1459:10 0.0545304 -17 *1331:13 *2820:la_oenb_mprj[22] 0 -18 *1333:10 *1459:10 0.000443728 -19 *1363:10 *1459:10 9.9028e-05 -*RES -1 *2826:la_oenb[22] *1459:9 40.9811 -2 *1459:9 *1459:10 580.839 -3 *1459:10 *1459:12 4.5 -4 *1459:12 *2820:la_oenb_mprj[22] 53.0301 -*END - -*D_NET *1460 0.125112 -*CONN -*I *2820:la_oenb_mprj[23] I *D mgmt_protect -*I *2826:la_oenb[23] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[23] 0.000825436 -2 *2826:la_oenb[23] 7.21667e-05 -3 *1460:10 0.00531771 -4 *1460:9 0.00449227 -5 *1460:7 0.00320178 -6 *1460:5 0.00327395 -7 *2820:la_iena_mprj[23] *2820:la_oenb_mprj[23] 0 -8 *820:11 *1460:7 0 -9 *821:7 *2820:la_oenb_mprj[23] 0 -10 *1076:9 *1460:7 0 -11 *1106:10 *1460:10 3.42853e-05 -12 *1332:10 *1460:10 0.000601789 -13 *1334:10 *1460:10 0.050937 -14 *1394:10 *1460:10 0.00409857 -15 *1458:10 *1460:10 0.0522567 -*RES -1 *2826:la_oenb[23] *1460:5 2.05183 -2 *1460:5 *1460:7 67.2342 -3 *1460:7 *1460:9 4.5 -4 *1460:9 *1460:10 569.192 -5 *1460:10 *2820:la_oenb_mprj[23] 24.7252 -*END - -*D_NET *1461 0.123236 -*CONN -*I *2820:la_oenb_mprj[24] I *D mgmt_protect -*I *2826:la_oenb[24] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[24] 0.00223658 -2 *2826:la_oenb[24] 0.0016296 -3 *1461:12 0.00223658 -4 *1461:10 0.00410956 -5 *1461:9 0.00573916 -6 *2820:la_oenb_mprj[24] *1474:15 3.31882e-05 -7 *1461:10 *1533:10 0.00250524 -8 *2820:la_iena_mprj[24] *2820:la_oenb_mprj[24] 0 -9 *821:11 *1461:9 0 -10 *822:7 *2820:la_oenb_mprj[24] 0 -11 *1077:9 *1461:9 0 -12 *1311:10 *1461:10 0.000236284 -13 *1333:10 *1461:10 0.0524039 -14 *1335:10 *1461:10 9.16621e-05 -15 *1363:10 *1461:10 0.000413251 -16 *1364:11 *2820:la_oenb_mprj[24] 0.000602946 -17 *1459:10 *1461:10 0.050998 -*RES -1 *2826:la_oenb[24] *1461:9 41.3964 -2 *1461:9 *1461:10 556.991 -3 *1461:10 *1461:12 4.5 -4 *1461:12 *2820:la_oenb_mprj[24] 52.6149 -*END - -*D_NET *1462 0.118006 -*CONN -*I *2820:la_oenb_mprj[25] I *D mgmt_protect -*I *2826:la_oenb[25] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[25] 0.000739692 -2 *2826:la_oenb[25] 7.21667e-05 -3 *1462:10 0.00550444 -4 *1462:9 0.00476475 -5 *1462:7 0.00334077 -6 *1462:5 0.00341294 -7 *2820:la_iena_mprj[25] *2820:la_oenb_mprj[25] 0 -8 *822:11 *1462:7 0 -9 *823:7 *2820:la_oenb_mprj[25] 0 -10 *1078:9 *1462:7 0 -11 *1080:10 *1462:10 0.0472339 -12 *1300:10 *1462:10 0.00346137 -13 *1334:10 *1462:10 0.000756785 -14 *1336:10 *1462:10 0.0487187 -*RES -1 *2826:la_oenb[25] *1462:5 2.05183 -2 *1462:5 *1462:7 68.8952 -3 *1462:7 *1462:9 4.5 -4 *1462:9 *1462:10 545.899 -5 *1462:10 *2820:la_oenb_mprj[25] 23.0642 -*END - -*D_NET *1463 0.117722 -*CONN -*I *2820:la_oenb_mprj[26] I *D mgmt_protect -*I *2826:la_oenb[26] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[26] 0.00240452 -2 *2826:la_oenb[26] 0.00172502 -3 *1463:12 0.00240452 -4 *1463:10 0.00410386 -5 *1463:9 0.00582889 -6 *1463:10 *1474:16 9.65932e-05 -7 *2820:la_iena_mprj[26] *2820:la_oenb_mprj[26] 0 -8 *788:18 *1463:10 0.000746162 -9 *823:11 *1463:9 0 -10 *824:7 *2820:la_oenb_mprj[26] 0 -11 *1079:9 *1463:9 0 -12 *1321:10 *1463:10 0.00266988 -13 *1323:10 *1463:10 0.000131535 -14 *1335:10 *1463:10 0.0501498 -15 *1337:10 *1463:10 0.0474607 -*RES -1 *2826:la_oenb[26] *1463:9 42.6421 -2 *1463:9 *1463:10 533.697 -3 *1463:10 *1463:12 4.5 -4 *1463:12 *2820:la_oenb_mprj[26] 51.3691 -*END - -*D_NET *1464 0.116961 -*CONN -*I *2820:la_oenb_mprj[27] I *D mgmt_protect -*I *2826:la_oenb[27] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[27] 0.000764452 -2 *2826:la_oenb[27] 7.21667e-05 -3 *1464:10 0.00466662 -4 *1464:9 0.00390217 -5 *1464:7 0.0033489 -6 *1464:5 0.00342107 -7 *1464:10 *1466:10 3.63947e-05 -8 *1464:10 *1492:10 0.000640848 -9 *2820:la_iena_mprj[27] *2820:la_oenb_mprj[27] 0 -10 *824:11 *1464:7 0 -11 *825:7 *2820:la_oenb_mprj[27] 0 -12 *1080:7 *1464:7 0 -13 *1334:10 *1464:10 0.0475059 -14 *1336:10 *1464:10 0.0491178 -15 *1364:14 *1464:10 2.35113e-05 -16 *1416:10 *1464:10 0.00346137 -*RES -1 *2826:la_oenb[27] *1464:5 2.05183 -2 *1464:5 *1464:7 68.0647 -3 *1464:7 *1464:9 4.5 -4 *1464:9 *1464:10 522.605 -5 *1464:10 *2820:la_oenb_mprj[27] 23.8947 -*END - -*D_NET *1465 0.103028 -*CONN -*I *2820:la_oenb_mprj[28] I *D mgmt_protect -*I *2826:la_oenb[28] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[28] 0.00233566 -2 *2826:la_oenb[28] 0.0017864 -3 *1465:12 0.00233566 -4 *1465:10 0.00431762 -5 *1465:9 0.00610402 -6 *1465:10 *1468:16 0.00746184 -7 *1465:10 *1474:16 4.03749e-05 -8 *2820:la_iena_mprj[28] *2820:la_oenb_mprj[28] 0 -9 *788:18 *1465:10 0.0128413 -10 *825:11 *1465:9 0 -11 *826:7 *2820:la_oenb_mprj[28] 0 -12 *1081:9 *1465:9 0 -13 *1149:20 *1465:10 0.00731808 -14 *1337:10 *1465:10 0.0479322 -15 *1340:10 *1465:10 0.0091292 -16 *1449:10 *1465:10 0.0014255 -*RES -1 *2826:la_oenb[28] *1465:9 43.4726 -2 *1465:9 *1465:10 510.404 -3 *1465:10 *1465:12 4.5 -4 *1465:12 *2820:la_oenb_mprj[28] 50.5386 -*END - -*D_NET *1466 0.111794 -*CONN -*I *2820:la_oenb_mprj[29] I *D mgmt_protect -*I *2826:la_oenb[29] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[29] 0.000685548 -2 *2826:la_oenb[29] 3.26469e-05 -3 *1466:10 0.00472684 -4 *1466:9 0.00404129 -5 *1466:7 0.00349751 -6 *1466:5 0.00353016 -7 *1466:10 *1492:10 0 -8 *2820:la_iena_mprj[29] *2820:la_oenb_mprj[29] 0 -9 *826:11 *1466:7 0 -10 *828:7 *2820:la_oenb_mprj[29] 0 -11 *1080:10 *1466:10 0.0451305 -12 *1336:10 *1466:10 5.2472e-05 -13 *1338:10 *1466:10 0.0469419 -14 *1341:10 *1466:10 9.16621e-05 -15 *1428:10 *1466:10 0.00302691 -16 *1464:10 *1466:10 3.63947e-05 -*RES -1 *2826:la_oenb[29] *1466:5 0.928211 -2 *1466:5 *1466:7 69.7257 -3 *1466:7 *1466:9 4.5 -4 *1466:9 *1466:10 500.421 -5 *1466:10 *2820:la_oenb_mprj[29] 22.2337 -*END - -*D_NET *1467 0.212296 -*CONN -*I *2820:la_oenb_mprj[2] I *D mgmt_protect -*I *2826:la_oenb[2] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[2] 0.0031331 -2 *2826:la_oenb[2] 0.0012274 -3 *1467:12 0.0031331 -4 *1467:10 0.0126202 -5 *1467:9 0.0138476 -6 *1467:10 *1489:10 0.0838043 -7 *2820:la_iena_mprj[2] *2820:la_oenb_mprj[2] 0 -8 *2826:la_input[2] *1467:9 0 -9 *777:14 *1467:10 0.00307725 -10 *838:7 *2820:la_oenb_mprj[2] 0 -11 *1083:9 *1467:9 0 -12 *1083:10 *1467:10 0.00392487 -13 *1339:16 *1467:10 0.000118134 -14 *1351:10 *1467:10 0.000333061 -15 *1417:10 *1467:10 0.0863087 -16 *1451:10 *1467:10 0.000767989 -*RES -1 *2826:la_oenb[2] *1467:9 35.4898 -2 *1467:9 *1467:10 112.337 -3 *1467:10 *1467:12 3.36879 -4 *1467:12 *2820:la_oenb_mprj[2] 57.3903 -*END - -*D_NET *1468 0.105522 -*CONN -*I *2820:la_oenb_mprj[30] I *D mgmt_protect -*I *2826:la_oenb[30] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[30] 0.00230406 -2 *2826:la_oenb[30] 0.00128424 -3 *1468:18 0.00230406 -4 *1468:16 0.001065 -5 *1468:15 0.00144179 -6 *1468:10 0.00359721 -7 *1468:9 0.00450465 -8 *1468:15 *1488:7 0 -9 *1468:16 *1474:16 0.000103565 -10 *2820:la_iena_mprj[19] *1468:15 0.000218121 -11 *2820:la_iena_mprj[30] *2820:la_oenb_mprj[30] 0 -12 *2826:la_input[30] *1468:9 0 -13 *808:17 *1468:9 2.43314e-05 -14 *808:18 *1468:10 6.08467e-05 -15 *829:7 *2820:la_oenb_mprj[30] 0 -16 *1084:7 *1468:9 0 -17 *1085:10 *1468:10 0 -18 *1087:16 *1468:16 5.2472e-05 -19 *1104:9 *1468:15 8.62625e-06 -20 *1339:10 *1468:10 0.0206432 -21 *1339:16 *1468:10 0.000660126 -22 *1340:10 *1468:16 0.0088677 -23 *1342:10 *1468:10 0.0340195 -24 *1353:10 *1468:10 0.0147649 -25 *1451:10 *1468:10 0.002136 -26 *1465:10 *1468:16 0.00746184 -*RES -1 *2826:la_oenb[30] *1468:9 35.5828 -2 *1468:9 *1468:10 390.055 -3 *1468:10 *1468:15 17.476 -4 *1468:15 *1468:16 97.2244 -5 *1468:16 *1468:18 4.5 -6 *1468:18 *2820:la_oenb_mprj[30] 50.1234 -*END - -*D_NET *1469 0.105976 -*CONN -*I *2820:la_oenb_mprj[31] I *D mgmt_protect -*I *2826:la_oenb[31] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[31] 0.00107785 -2 *2826:la_oenb[31] 7.21667e-05 -3 *1469:10 0.00505109 -4 *1469:9 0.00397324 -5 *1469:7 0.00307476 -6 *1469:5 0.00314692 -7 *1469:10 *1471:10 0.0409134 -8 *1469:10 *1478:10 1.41689e-05 -9 *1469:10 *1480:16 0.0249963 -10 *2820:la_data_out_mprj[31] *2820:la_oenb_mprj[31] 0 -11 *2820:la_iena_mprj[31] *2820:la_oenb_mprj[31] 0 -12 *829:11 *1469:7 0 -13 *830:7 *2820:la_oenb_mprj[31] 0 -14 *1085:9 *1469:7 0 -15 *1086:10 *1469:10 0.000692756 -16 *1289:10 *1469:10 0.019755 -17 *1456:10 *1469:10 0.00320855 -*RES -1 *2826:la_oenb[31] *1469:5 2.05183 -2 *1469:5 *1469:7 62.6664 -3 *1469:7 *1469:9 4.5 -4 *1469:9 *1469:10 475.464 -5 *1469:10 *2820:la_oenb_mprj[31] 29.293 -*END - -*D_NET *1470 0.100853 -*CONN -*I *2820:la_oenb_mprj[32] I *D mgmt_protect -*I *2826:la_oenb[32] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[32] 0.00270166 -2 *2826:la_oenb[32] 0.00125349 -3 *1470:12 0.00270166 -4 *1470:10 0.00402949 -5 *1470:9 0.00528298 -6 *2820:la_oenb_mprj[32] *1495:9 0 -7 *1470:10 *1472:10 0.000443728 -8 *2820:la_data_out_mprj[33] *2820:la_oenb_mprj[32] 0 -9 *830:11 *1470:9 0 -10 *831:7 *2820:la_oenb_mprj[32] 0 -11 *855:11 *2820:la_oenb_mprj[32] 0 -12 *1085:10 *1470:10 0 -13 *1086:7 *1470:9 0 -14 *1108:13 *2820:la_oenb_mprj[32] 0 -15 *1109:15 *2820:la_oenb_mprj[32] 0 -16 *1325:10 *1470:10 0.00196222 -17 *1342:10 *1470:10 0.0434385 -18 *1342:13 *2820:la_oenb_mprj[32] 0 -19 *1345:10 *1470:10 0.0389566 -20 *1353:10 *1470:10 8.24698e-05 -*RES -1 *2826:la_oenb[32] *1470:9 34.7523 -2 *1470:9 *1470:10 463.817 -3 *1470:10 *1470:12 4.5 -4 *1470:12 *2820:la_oenb_mprj[32] 59.2589 -*END - -*D_NET *1471 0.101844 -*CONN -*I *2820:la_oenb_mprj[33] I *D mgmt_protect -*I *2826:la_oenb[33] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[33] 0.00101425 -2 *2826:la_oenb[33] 7.21667e-05 -3 *1471:10 0.00467705 -4 *1471:9 0.0036628 -5 *1471:7 0.00311249 -6 *1471:5 0.00318466 -7 *1471:10 *1480:16 0.000653048 -8 *2820:la_iena_mprj[33] *2820:la_oenb_mprj[33] 0 -9 *831:11 *1471:7 0 -10 *832:7 *2820:la_oenb_mprj[33] 0 -11 *1086:10 *1471:10 0.0412745 -12 *1087:9 *1471:7 0 -13 *1110:13 *2820:la_oenb_mprj[33] 0.000345998 -14 *1111:11 *2820:la_oenb_mprj[33] 3.94834e-05 -15 *1343:10 *1471:10 0.000417629 -16 *1344:10 *1471:10 5.03285e-05 -17 *1448:10 *1471:10 0.00242584 -18 *1469:10 *1471:10 0.0409134 -*RES -1 *2826:la_oenb[33] *1471:5 2.05183 -2 *1471:5 *1471:7 62.2512 -3 *1471:7 *1471:9 4.5 -4 *1471:9 *1471:10 452.171 -5 *1471:10 *2820:la_oenb_mprj[33] 29.7083 -*END - -*D_NET *1472 0.0943971 -*CONN -*I *2820:la_oenb_mprj[34] I *D mgmt_protect -*I *2826:la_oenb[34] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[34] 0.00242256 -2 *2826:la_oenb[34] 0.00122345 -3 *1472:12 0.00242256 -4 *1472:10 0.00386556 -5 *1472:9 0.00508902 -6 *1472:10 *1474:10 0.0297007 -7 *1472:10 *1476:22 0.0016774 -8 *2820:la_iena_mprj[34] *2820:la_oenb_mprj[34] 0 -9 *832:11 *1472:9 0 -10 *833:7 *2820:la_oenb_mprj[34] 0 -11 *856:11 *2820:la_oenb_mprj[34] 0.000891782 -12 *1085:10 *1472:10 0.000249254 -13 *1088:7 *1472:9 0 -14 *1345:10 *1472:10 0.0405665 -15 *1366:10 *1472:10 0.00422986 -16 *1368:9 *2820:la_oenb_mprj[34] 0 -17 *1453:10 *1472:10 0.00161468 -18 *1470:10 *1472:10 0.000443728 -*RES -1 *2826:la_oenb[34] *1472:9 33.9218 -2 *1472:9 *1472:10 440.524 -3 *1472:10 *1472:12 4.5 -4 *1472:12 *2820:la_oenb_mprj[34] 60.0894 -*END - -*D_NET *1473 0.0970901 -*CONN -*I *2820:la_oenb_mprj[35] I *D mgmt_protect -*I *2826:la_oenb[35] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[35] 0.000844381 -2 *2826:la_oenb[35] 7.21667e-05 -3 *1473:14 0.00202237 -4 *1473:12 0.00119618 -5 *1473:10 0.00252857 -6 *1473:9 0.00251038 -7 *1473:7 0.00304694 -8 *1473:5 0.0031191 -9 *1473:14 *1475:14 0.000541836 -10 *1473:14 *1480:16 0 -11 *2820:la_iena_mprj[35] *2820:la_oenb_mprj[35] 0 -12 *834:7 *2820:la_oenb_mprj[35] 0.00149967 -13 *1088:10 *1473:10 0.0304257 -14 *1088:10 *1473:14 1.67988e-05 -15 *1088:12 *1473:12 1.09551e-05 -16 *1088:14 *1473:14 0.00851685 -17 *1089:9 *1473:7 0 -18 *1344:10 *1473:10 0.000393013 -19 *1344:10 *1473:14 0.00878015 -20 *1346:10 *1473:10 0.0295251 -21 *1450:10 *1473:10 0.00203998 -*RES -1 *2826:la_oenb[35] *1473:5 2.05183 -2 *1473:5 *1473:7 60.1749 -3 *1473:7 *1473:9 4.5 -4 *1473:9 *1473:10 323.503 -5 *1473:10 *1473:12 0.578717 -6 *1473:12 *1473:14 105.543 -7 *1473:14 *2820:la_oenb_mprj[35] 31.3693 -*END - -*D_NET *1474 0.0845909 -*CONN -*I *2820:la_oenb_mprj[36] I *D mgmt_protect -*I *2826:la_oenb[36] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[36] 0.00231187 -2 *2826:la_oenb[36] 0.00121114 -3 *1474:18 0.00231187 -4 *1474:16 0.00170605 -5 *1474:15 0.00200434 -6 *1474:10 0.00293999 -7 *1474:9 0.00385284 -8 *1474:10 *1476:10 0.000475421 -9 *1474:10 *1476:22 1.61631e-05 -10 *1474:16 *1494:10 0.00484782 -11 *1474:16 *1495:10 0.000840675 -12 *1474:16 *1496:10 0.00105055 -13 *2820:la_iena_mprj[36] *2820:la_oenb_mprj[36] 0 -14 *2820:la_oenb_mprj[24] *1474:15 3.31882e-05 -15 *2826:la_input[36] *1474:9 0 -16 *835:7 *2820:la_oenb_mprj[36] 0 -17 *857:11 *2820:la_oenb_mprj[36] 0 -18 *1085:10 *1474:10 0.00069021 -19 *1087:16 *1474:16 0 -20 *1090:7 *1474:9 0 -21 *1335:10 *1474:16 0.00135408 -22 *1337:10 *1474:16 0.000369111 -23 *1349:10 *1474:10 0.0248003 -24 *1349:16 *1474:10 5.51483e-06 -25 *1363:10 *1474:16 0.000330827 -26 *1364:11 *1474:15 0.00044292 -27 *1365:10 *1474:16 0.00127531 -28 *1367:10 *1474:16 0.000223371 -29 *1369:9 *2820:la_oenb_mprj[36] 5.96936e-05 -30 *1453:10 *1474:10 0.00149642 -31 *1463:10 *1474:16 9.65932e-05 -32 *1465:10 *1474:16 4.03749e-05 -33 *1468:16 *1474:16 0.000103565 -34 *1472:10 *1474:10 0.0297007 -*RES -1 *2826:la_oenb[36] *1474:9 33.5066 -2 *1474:9 *1474:10 314.629 -3 *1474:10 *1474:15 17.476 -4 *1474:15 *1474:16 102.77 -5 *1474:16 *1474:18 4.5 -6 *1474:18 *2820:la_oenb_mprj[36] 52.1996 -*END - -*D_NET *1475 0.0856553 -*CONN -*I *2820:la_oenb_mprj[37] I *D mgmt_protect -*I *2826:la_oenb[37] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[37] 0.00121202 -2 *2826:la_oenb[37] 7.21667e-05 -3 *1475:14 0.00227524 -4 *1475:12 0.00108385 -5 *1475:10 0.00310414 -6 *1475:9 0.00308351 -7 *1475:7 0.00304676 -8 *1475:5 0.00311893 -9 *1475:14 *1480:16 0 -10 *2820:la_iena_mprj[37] *2820:la_oenb_mprj[37] 0 -11 *2826:la_input[37] *1475:7 0 -12 *836:7 *2820:la_oenb_mprj[37] 0 -13 *1088:10 *1475:10 0.0266084 -14 *1088:14 *1475:10 0.00278783 -15 *1088:14 *1475:14 0.00208965 -16 *1090:10 *1475:10 0.0264578 -17 *1091:9 *1475:7 0 -18 *1324:10 *1475:10 0.00159101 -19 *1346:16 *1475:10 0.00654959 -20 *1346:16 *1475:14 0.00166734 -21 *1348:10 *1475:10 1.41853e-05 -22 *1348:10 *1475:14 0.000245427 -23 *1359:17 *2820:la_oenb_mprj[37] 0.000105636 -24 *1473:14 *1475:14 0.000541836 -*RES -1 *2826:la_oenb[37] *1475:5 2.05183 -2 *1475:5 *1475:7 59.3444 -3 *1475:7 *1475:9 4.5 -4 *1475:9 *1475:10 352.619 -5 *1475:10 *1475:12 0.578717 -6 *1475:12 *1475:14 53.1334 -7 *1475:14 *2820:la_oenb_mprj[37] 32.1998 -*END - -*D_NET *1476 0.0792872 -*CONN -*I *2820:la_oenb_mprj[38] I *D mgmt_protect -*I *2826:la_oenb[38] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[38] 0.00274546 -2 *2826:la_oenb[38] 0.00114703 -3 *1476:28 0.00274546 -4 *1476:26 0.00065264 -5 *1476:24 0.000673272 -6 *1476:22 0.000739485 -7 *1476:20 0.000909401 -8 *1476:10 0.00232706 -9 *1476:9 0.00328354 -10 *1476:10 *1479:10 0.021865 -11 *2820:la_iena_mprj[38] *2820:la_oenb_mprj[38] 0 -12 *2826:la_input[38] *1476:9 0 -13 *837:5 *2820:la_oenb_mprj[38] 0 -14 *1077:13 *1476:20 0 -15 *1085:10 *1476:10 0.00175831 -16 *1113:7 *2820:la_oenb_mprj[38] 0 -17 *1349:10 *1476:10 0.0247472 -18 *1349:15 *1476:20 6.2764e-05 -19 *1349:16 *1476:22 0.00242953 -20 *1349:16 *1476:26 0.00577495 -21 *1363:15 *2820:la_oenb_mprj[38] 0 -22 *1364:11 *1476:20 6.46124e-05 -23 *1366:10 *1476:22 0.00171217 -24 *1366:10 *1476:26 0.00253516 -25 *1455:10 *1476:10 0.000945103 -26 *1472:10 *1476:22 0.0016774 -27 *1474:10 *1476:10 0.000475421 -28 *1474:10 *1476:22 1.61631e-05 -*RES -1 *2826:la_oenb[38] *1476:9 31.5525 -2 *1476:9 *1476:10 273.588 -3 *1476:10 *1476:20 13.2916 -4 *1476:20 *1476:22 58.6795 -5 *1476:22 *1476:24 0.578717 -6 *1476:24 *1476:26 61.4525 -7 *1476:26 *1476:28 4.5 -8 *1476:28 *2820:la_oenb_mprj[38] 61.3352 -*END - -*D_NET *1477 0.0859591 -*CONN -*I *2820:la_oenb_mprj[39] I *D mgmt_protect -*I *2826:la_oenb[39] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[39] 0.00110311 -2 *2826:la_oenb[39] 7.21667e-05 -3 *1477:10 0.00467166 -4 *1477:9 0.00356855 -5 *1477:7 0.00302602 -6 *1477:5 0.00309819 -7 *2826:la_input[39] *1477:7 0 -8 *826:8 *1477:10 0 -9 *839:5 *2820:la_oenb_mprj[39] 0 -10 *1093:7 *1477:7 0 -11 *1093:10 *1477:10 0.00103083 -12 *1348:10 *1477:10 0.0346449 -13 *1349:19 *2820:la_oenb_mprj[39] 0.000696399 -14 *1352:10 *1477:10 0.0329003 -15 *1363:16 *1477:10 0.000307037 -16 *1454:10 *1477:10 0.00083995 -*RES -1 *2826:la_oenb[39] *1477:5 2.05183 -2 *1477:5 *1477:7 58.0987 -3 *1477:7 *1477:9 4.5 -4 *1477:9 *1477:10 382.291 -5 *1477:10 *2820:la_oenb_mprj[39] 33.8608 -*END - -*D_NET *1478 0.177092 -*CONN -*I *2820:la_oenb_mprj[3] I *D mgmt_protect -*I *2826:la_oenb[3] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[3] 0.00111511 -2 *2826:la_oenb[3] 7.21667e-05 -3 *1478:10 0.00621223 -4 *1478:9 0.00509712 -5 *1478:7 0.00241774 -6 *1478:5 0.0024899 -7 *1478:10 *1480:16 0.00260288 -8 *2820:la_iena_mprj[3] *2820:la_oenb_mprj[3] 0 -9 *799:13 *2820:la_oenb_mprj[3] 0 -10 *849:7 *2820:la_oenb_mprj[3] 0 -11 *1094:7 *1478:7 0 -12 *1094:10 *1478:10 0.00101286 -13 *1116:10 *1478:10 0.0722609 -14 *1289:10 *1478:10 0.0739588 -15 *1328:10 *1478:10 0.0098298 -16 *1354:13 *2820:la_oenb_mprj[3] 8.62625e-06 -17 *1469:10 *1478:10 1.41689e-05 -*RES -1 *2826:la_oenb[3] *1478:5 2.05183 -2 *1478:5 *1478:7 63.4969 -3 *1478:7 *1478:9 4.5 -4 *1478:9 *1478:10 802.68 -5 *1478:10 *2820:la_oenb_mprj[3] 28.4625 -*END - -*D_NET *1479 0.0798665 -*CONN -*I *2820:la_oenb_mprj[40] I *D mgmt_protect -*I *2826:la_oenb[40] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[40] 6.22868e-05 -2 *2826:la_oenb[40] 0.00117435 -3 *1479:21 0.00177336 -4 *1479:20 0.0018993 -5 *1479:14 0.00137868 -6 *1479:12 0.00121255 -7 *1479:10 0.00197587 -8 *1479:9 0.00312811 -9 *1479:14 *1497:10 0 -10 *1479:20 *1498:10 0.00033061 -11 *2820:la_iena_mprj[40] *1479:21 0.0031792 -12 *2826:la_input[40] *1479:9 0 -13 *840:5 *1479:21 0 -14 *1081:15 *1479:9 0 -15 *1085:10 *1479:10 0.0114369 -16 *1087:10 *1479:10 0.0013046 -17 *1095:9 *1479:9 0 -18 *1114:7 *1479:21 0.000613374 -19 *1349:10 *1479:14 7.68538e-06 -20 *1349:16 *1479:14 0.0120648 -21 *1359:12 *1479:10 0.00217079 -22 *1359:14 *1479:10 0.00234712 -23 *1359:14 *1479:14 0.0104401 -24 *1368:10 *1479:14 0 -25 *1368:10 *1479:20 0 -26 *1370:10 *1479:14 0.000589703 -27 *1370:10 *1479:20 0.000159059 -28 *1457:10 *1479:10 0.000753036 -29 *1476:10 *1479:10 0.021865 -*RES -1 *2826:la_oenb[40] *1479:9 32.2608 -2 *1479:9 *1479:10 231.993 -3 *1479:10 *1479:12 0.578717 -4 *1479:12 *1479:14 129.391 -5 *1479:14 *1479:20 12.4574 -6 *1479:20 *1479:21 61.8359 -7 *1479:21 *2820:la_oenb_mprj[40] 1.77093 -*END - -*D_NET *1480 0.078858 -*CONN -*I *2820:la_oenb_mprj[41] I *D mgmt_protect -*I *2826:la_oenb[41] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[41] 0.00113037 -2 *2826:la_oenb[41] 0.00112183 -3 *1480:16 0.00543742 -4 *1480:15 0.00616277 -5 *1480:12 0.00297756 -6 *2820:la_data_out_mprj[0] *1480:15 0 -7 *2820:la_iena_mprj[0] *1480:15 7.09666e-06 -8 *2820:la_iena_mprj[41] *2820:la_oenb_mprj[41] 0 -9 *2820:la_oenb_mprj[0] *1480:15 0.000278918 -10 *2826:la_input[41] *1480:12 0 -11 *841:7 *2820:la_oenb_mprj[41] 0 -12 *859:11 *2820:la_oenb_mprj[41] 2.1203e-06 -13 *1072:13 *1480:15 0 -14 *1089:10 *1480:12 0.000426154 -15 *1095:12 *1480:12 0.00043038 -16 *1096:7 *1480:12 0 -17 *1096:7 *1480:15 0.00148126 -18 *1114:10 *1480:16 0.000842351 -19 *1116:10 *1480:16 1.41689e-05 -20 *1344:10 *1480:16 3.18085e-05 -21 *1354:14 *1480:16 0.0302615 -22 *1365:16 *1480:16 0 -23 *1469:10 *1480:16 0.0249963 -24 *1471:10 *1480:16 0.000653048 -25 *1473:14 *1480:16 0 -26 *1475:14 *1480:16 0 -27 *1478:10 *1480:16 0.00260288 -*RES -1 *2826:la_oenb[41] *1480:12 39.7054 -2 *1480:12 *1480:15 43.7047 -3 *1480:15 *1480:16 354.56 -4 *1480:16 *2820:la_oenb_mprj[41] 28.8777 -*END - -*D_NET *1481 0.0764177 -*CONN -*I *2820:la_oenb_mprj[42] I *D mgmt_protect -*I *2826:la_oenb[42] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[42] 0.00250842 -2 *2826:la_oenb[42] 0.00145057 -3 *1481:12 0.00250842 -4 *1481:10 0.00280001 -5 *1481:9 0.00425058 -6 *1481:10 *1483:10 0.000810081 -7 *1481:10 *1511:10 0.000103486 -8 *2820:la_data_out_mprj[2] *1481:9 1.05272e-06 -9 *2820:la_iena_mprj[2] *1481:9 2.43314e-05 -10 *2820:la_iena_mprj[42] *2820:la_oenb_mprj[42] 0 -11 *2826:la_input[42] *1481:9 0 -12 *841:11 *1481:9 0 -13 *842:7 *2820:la_oenb_mprj[42] 0 -14 *1097:9 *1481:9 0 -15 *1115:7 *2820:la_oenb_mprj[42] 0 -16 *1339:16 *1481:10 6.08467e-05 -17 *1351:10 *1481:10 0.0307716 -18 *1353:10 *1481:10 0.00127138 -19 *1355:10 *1481:10 0.0297159 -20 *1451:10 *1481:10 0.000141029 -*RES -1 *2826:la_oenb[42] *1481:9 36.8286 -2 *1481:9 *1481:10 346.796 -3 *1481:10 *1481:12 4.5 -4 *1481:12 *2820:la_oenb_mprj[42] 57.1827 -*END - -*D_NET *1482 0.0760955 -*CONN -*I *2820:la_oenb_mprj[43] I *D mgmt_protect -*I *2826:la_oenb[43] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[43] 0.00102215 -2 *2826:la_oenb[43] 0.00116481 -3 *1482:24 0.00322925 -4 *1482:22 0.00266967 -5 *1482:16 0.00100786 -6 *1482:15 0.00282703 -7 *1482:12 0.00344655 -8 *2820:la_data_out_mprj[6] *1482:15 0 -9 *2820:la_data_out_mprj[7] *1482:16 0.00109079 -10 *2820:la_iena_mprj[43] *2820:la_oenb_mprj[43] 0 -11 *2826:la_input[44] *1482:15 0 -12 *799:8 *1482:16 0.00411723 -13 *842:11 *1482:12 0 -14 *843:7 *2820:la_oenb_mprj[43] 0 -15 *871:7 *1482:15 0.000293898 -16 *1078:10 *1482:12 0 -17 *1098:9 *1482:12 0 -18 *1101:12 *1482:22 0.00394306 -19 *1101:14 *1482:22 1.41689e-05 -20 *1101:14 *1482:24 0.0212104 -21 *1103:12 *1482:22 1.90039e-05 -22 *1103:12 *1482:24 3.57037e-05 -23 *1103:14 *1482:24 0.0211129 -24 *1330:10 *1482:22 0.000127366 -25 *1347:18 *1482:12 0.000957243 -26 *1354:14 *1482:16 0.000361288 -27 *1355:9 *1482:15 0.000415071 -28 *1356:10 *1482:16 0.00308086 -29 *1356:10 *1482:22 7.6719e-06 -30 *1452:10 *1482:16 0.000211143 -31 *1452:10 *1482:22 0.00373033 -*RES -1 *2826:la_oenb[43] *1482:12 42.3447 -2 *1482:12 *1482:15 47.8572 -3 *1482:15 *1482:16 53.4107 -4 *1482:16 *1482:22 44.9952 -5 *1482:22 *1482:24 229.22 -6 *1482:24 *2820:la_oenb_mprj[43] 27.2167 -*END - -*D_NET *1483 0.0708141 -*CONN -*I *2820:la_oenb_mprj[44] I *D mgmt_protect -*I *2826:la_oenb[44] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[44] 0.00245188 -2 *2826:la_oenb[44] 0.00150523 -3 *1483:12 0.00245188 -4 *1483:10 0.00288909 -5 *1483:9 0.00439432 -6 *1483:10 *1484:10 0.0284435 -7 *2820:la_iena_mprj[44] *2820:la_oenb_mprj[44] 0 -8 *844:5 *2820:la_oenb_mprj[44] 0 -9 *861:8 *1483:10 0.000163928 -10 *1099:7 *1483:9 0 -11 *1160:14 *1483:10 0.000307839 -12 *1327:10 *1483:10 1.26314e-05 -13 *1351:10 *1483:10 0.0269357 -14 *1355:10 *1483:10 0.000314064 -15 *1369:15 *2820:la_oenb_mprj[44] 0 -16 *1370:15 *2820:la_oenb_mprj[44] 0 -17 *1451:10 *1483:10 0.000133943 -18 *1481:10 *1483:10 0.000810081 -*RES -1 *2826:la_oenb[44] *1483:9 37.6591 -2 *1483:9 *1483:10 323.503 -3 *1483:10 *1483:12 4.5 -4 *1483:12 *2820:la_oenb_mprj[44] 56.3522 -*END - -*D_NET *1484 0.0698812 -*CONN -*I *2820:la_oenb_mprj[45] I *D mgmt_protect -*I *2826:la_oenb[45] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[45] 0.00244192 -2 *2826:la_oenb[45] 0.0015174 -3 *1484:12 0.00244192 -4 *1484:10 0.00269231 -5 *1484:9 0.0042097 -6 *2820:la_oenb_mprj[45] *1490:15 0 -7 *2820:la_oenb_mprj[45] *1496:15 0 -8 *2820:la_oenb_mprj[45] *1497:15 0 -9 *1484:10 *1485:10 0.0273878 -10 *2820:la_data_out_mprj[45] *2820:la_oenb_mprj[45] 0 -11 *2820:la_iena_mprj[45] *2820:la_oenb_mprj[45] 0 -12 *2826:la_input[45] *1484:9 0 -13 *845:7 *2820:la_oenb_mprj[45] 0 -14 *861:8 *1484:10 0.000207488 -15 *1100:9 *1484:9 0 -16 *1117:9 *2820:la_oenb_mprj[45] 0 -17 *1327:10 *1484:10 0.000175597 -18 *1357:10 *1484:10 0.000229545 -19 *1451:10 *1484:10 0.000133943 -20 *1483:10 *1484:10 0.0284435 -*RES -1 *2826:la_oenb[45] *1484:9 38.0744 -2 *1484:9 *1484:10 311.856 -3 *1484:10 *1484:12 4.5 -4 *1484:12 *2820:la_oenb_mprj[45] 55.9369 -*END - -*D_NET *1485 0.0671989 -*CONN -*I *2820:la_oenb_mprj[46] I *D mgmt_protect -*I *2826:la_oenb[46] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[46] 0.00186726 -2 *2826:la_oenb[46] 0.00142775 -3 *1485:12 0.00186726 -4 *1485:10 0.0026348 -5 *1485:9 0.00406256 -6 *2820:la_oenb_mprj[46] *1497:15 0 -7 *2820:la_oenb_mprj[46] *1498:15 0 -8 *1485:10 *1487:10 0.0231548 -9 *1485:10 *1490:10 5.03285e-05 -10 *2820:la_iena_mprj[46] *2820:la_oenb_mprj[46] 0 -11 *2826:la_input[46] *1485:9 0 -12 *846:9 *2820:la_oenb_mprj[46] 0.00220616 -13 *861:8 *1485:10 3.51801e-05 -14 *1085:15 *1485:9 0.000315116 -15 *1101:7 *1485:9 0 -16 *1117:10 *1485:10 0.000175621 -17 *1357:10 *1485:10 0.00169489 -18 *1374:10 *1485:10 0.000260521 -19 *1439:19 *1485:9 5.88037e-05 -20 *1484:10 *1485:10 0.0273878 -*RES -1 *2826:la_oenb[46] *1485:9 38.4896 -2 *1485:9 *1485:10 300.209 -3 *1485:10 *1485:12 4.5 -4 *1485:12 *2820:la_oenb_mprj[46] 55.5217 -*END - -*D_NET *1486 0.0805935 -*CONN -*I *2820:la_oenb_mprj[47] I *D mgmt_protect -*I *2826:la_oenb[47] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[47] 0.000786375 -2 *2826:la_oenb[47] 3.26469e-05 -3 *1486:12 0.00742873 -4 *1486:7 0.00969737 -5 *1486:5 0.00308767 -6 *2820:la_data_out_mprj[14] *1486:7 0.000166447 -7 *2820:la_iena_mprj[47] *2820:la_oenb_mprj[47] 0 -8 *2826:la_input[47] *1486:7 0 -9 *810:9 *1486:7 0 -10 *845:16 *1486:7 0.000142643 -11 *847:9 *2820:la_oenb_mprj[47] 0.00133089 -12 *1358:12 *1486:12 0.0305183 -13 *1360:12 *1486:12 0.0274024 -14 *1449:13 *1486:7 0 -*RES -1 *2826:la_oenb[47] *1486:5 0.928211 -2 *1486:5 *1486:7 63.2893 -3 *1486:7 *1486:12 46.7153 -4 *1486:12 *2820:la_oenb_mprj[47] 24.1701 -*END - -*D_NET *1487 0.0616037 -*CONN -*I *2820:la_oenb_mprj[48] I *D mgmt_protect -*I *2826:la_oenb[48] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[48] 0.00180382 -2 *2826:la_oenb[48] 0.00154796 -3 *1487:15 0.00297438 -4 *1487:10 0.0033548 -5 *1487:9 0.0037322 -6 *1487:10 *1490:10 0.00276602 -7 *1487:15 *1490:15 0 -8 *1487:15 *1499:15 0.00161508 -9 *2820:la_data_out_mprj[45] *1487:15 0.000701475 -10 *2820:la_data_out_mprj[49] *2820:la_oenb_mprj[48] 4.61116e-05 -11 *2820:la_iena_mprj[48] *2820:la_oenb_mprj[48] 0.00297571 -12 *2826:la_input[48] *1487:9 0 -13 *844:5 *1487:15 0 -14 *848:7 *2820:la_oenb_mprj[48] 0 -15 *1087:15 *1487:9 0 -16 *1089:15 *1487:9 0 -17 *1103:7 *1487:9 0 -18 *1117:9 *1487:15 2.26084e-05 -19 *1357:10 *1487:10 0.0168578 -20 *1369:15 *1487:15 3.39873e-05 -21 *1370:15 *1487:15 1.69932e-05 -22 *1485:10 *1487:10 0.0231548 -*RES -1 *2826:la_oenb[48] *1487:9 38.9049 -2 *1487:9 *1487:10 246.967 -3 *1487:10 *1487:15 46.4506 -4 *1487:15 *2820:la_oenb_mprj[48] 24.2447 -*END - -*D_NET *1488 0.0746067 -*CONN -*I *2820:la_oenb_mprj[49] I *D mgmt_protect -*I *2826:la_oenb[49] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[49] 0.000965345 -2 *2826:la_oenb[49] 7.21667e-05 -3 *1488:12 0.00631419 -4 *1488:7 0.00824226 -5 *1488:5 0.00296558 -6 *2820:la_iena_mprj[19] *1488:7 0 -7 *2820:la_iena_mprj[49] *2820:la_oenb_mprj[49] 0 -8 *2820:la_oenb_mprj[18] *1488:7 6.6789e-05 -9 *815:7 *1488:7 0.00076693 -10 *848:11 *1488:7 0 -11 *850:7 *2820:la_oenb_mprj[49] 0 -12 *1104:9 *1488:7 0 -13 *1360:12 *1488:12 0.0279548 -14 *1362:12 *1488:12 0.0272587 -15 *1468:15 *1488:7 0 -*RES -1 *2826:la_oenb[49] *1488:5 2.05183 -2 *1488:5 *1488:7 64.9503 -3 *1488:7 *1488:12 43.3498 -4 *1488:12 *2820:la_oenb_mprj[49] 22.5091 -*END - -*D_NET *1489 0.205917 -*CONN -*I *2820:la_oenb_mprj[4] I *D mgmt_protect -*I *2826:la_oenb[4] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[4] 0.00303623 -2 *2826:la_oenb[4] 0.0012894 -3 *1489:12 0.00303623 -4 *1489:10 0.0117416 -5 *1489:9 0.013031 -6 *1489:10 *1511:10 0.0813463 -7 *2820:la_iena_mprj[4] *2820:la_oenb_mprj[4] 0 -8 *2826:la_input[4] *1489:9 0 -9 *860:7 *2820:la_oenb_mprj[4] 0 -10 *1105:9 *1489:9 0 -11 *1105:10 *1489:10 0.00403238 -12 *1127:10 *1489:10 0.00361306 -13 *1351:10 *1489:10 0.00010238 -14 *1383:10 *1489:10 0.000422525 -15 *1451:10 *1489:10 0.000461614 -16 *1467:10 *1489:10 0.0838043 -*RES -1 *2826:la_oenb[4] *1489:9 36.3203 -2 *1489:9 *1489:10 109.125 -3 *1489:10 *1489:12 3.36879 -4 *1489:12 *2820:la_oenb_mprj[4] 56.5598 -*END - -*D_NET *1490 0.0529739 -*CONN -*I *2820:la_oenb_mprj[50] I *D mgmt_protect -*I *2826:la_oenb[50] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[50] 0.0011259 -2 *2826:la_oenb[50] 0.00141151 -3 *1490:18 0.0014642 -4 *1490:15 0.00144411 -5 *1490:10 0.00329012 -6 *1490:9 0.00359582 -7 *1490:10 *1493:10 0.00176883 -8 *1490:10 *1496:10 0 -9 *2820:la_data_out_mprj[45] *1490:15 7.49661e-05 -10 *2820:la_iena_mprj[50] *2820:la_oenb_mprj[50] 0 -11 *2820:la_oenb_mprj[45] *1490:15 0 -12 *850:11 *1490:9 0 -13 *851:7 *2820:la_oenb_mprj[50] 0 -14 *858:13 *2820:la_oenb_mprj[50] 1.09738e-05 -15 *1074:13 *1490:9 0.000241556 -16 *1106:7 *1490:9 0 -17 *1117:9 *1490:15 2.95757e-05 -18 *1117:10 *1490:10 6.08467e-05 -19 *1331:10 *1490:10 0.000373718 -20 *1357:10 *1490:10 0.0130799 -21 *1363:10 *1490:10 0.0136554 -22 *1367:16 *1490:18 0.004245 -23 *1368:16 *1490:18 0.00424921 -24 *1459:10 *1490:10 3.59302e-05 -25 *1485:10 *1490:10 5.03285e-05 -26 *1487:10 *1490:10 0.00276602 -27 *1487:15 *1490:15 0 -*RES -1 *2826:la_oenb[50] *1490:9 39.7354 -2 *1490:9 *1490:10 208.7 -3 *1490:10 *1490:15 37.4081 -4 *1490:15 *1490:18 49.5917 -5 *1490:18 *2820:la_oenb_mprj[50] 26.0388 -*END - -*D_NET *1491 0.0643029 -*CONN -*I *2820:la_oenb_mprj[51] I *D mgmt_protect -*I *2826:la_oenb[51] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[51] 0.000857727 -2 *2826:la_oenb[51] 7.21667e-05 -3 *1491:12 0.00774288 -4 *1491:7 0.0100145 -5 *1491:5 0.00320154 -6 *2820:la_data_out_mprj[23] *1491:7 0 -7 *2820:la_data_out_mprj[49] *1491:12 0.0178457 -8 *2820:la_iena_mprj[23] *1491:7 8.11463e-06 -9 *2820:la_iena_mprj[51] *2820:la_oenb_mprj[51] 0 -10 *821:7 *1491:7 0 -11 *851:11 *1491:7 0 -12 *852:7 *2820:la_oenb_mprj[51] 0 -13 *1077:13 *1491:7 0 -14 *1090:15 *1491:7 0 -15 *1107:9 *1491:7 0 -16 *1346:15 *1491:7 1.90218e-05 -17 *1362:12 *1491:12 0.0245412 -*RES -1 *2826:la_oenb[51] *1491:5 2.05183 -2 *1491:5 *1491:7 66.6113 -3 *1491:7 *1491:12 40.1372 -4 *1491:12 *2820:la_oenb_mprj[51] 20.8481 -*END - -*D_NET *1492 0.0508293 -*CONN -*I *2820:la_oenb_mprj[52] I *D mgmt_protect -*I *2826:la_oenb[52] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[52] 0.000811734 -2 *2826:la_oenb[52] 5.24068e-05 -3 *1492:10 0.00359043 -4 *1492:9 0.00277869 -5 *1492:7 0.00275026 -6 *1492:5 0.00280267 -7 *2820:la_data_out_mprj[26] *1492:7 0 -8 *2820:la_iena_mprj[52] *2820:la_oenb_mprj[52] 0 -9 *823:7 *1492:7 0.000706586 -10 *852:11 *1492:7 0 -11 *853:7 *2820:la_oenb_mprj[52] 0 -12 *853:8 *1492:10 0.00166774 -13 *854:8 *1492:10 0.0179959 -14 *855:8 *1492:10 0.0161462 -15 *1095:19 *1492:7 0.000555671 -16 *1108:9 *1492:7 0 -17 *1341:10 *1492:10 0 -18 *1364:14 *1492:10 0.000330216 -19 *1464:10 *1492:10 0.000640848 -20 *1466:10 *1492:10 0 -*RES -1 *2826:la_oenb[52] *1492:5 1.49002 -2 *1492:5 *1492:7 67.2342 -3 *1492:7 *1492:9 4.5 -4 *1492:9 *1492:10 230.329 -5 *1492:10 *2820:la_oenb_mprj[52] 24.7252 -*END - -*D_NET *1493 0.0443869 -*CONN -*I *2820:la_oenb_mprj[53] I *D mgmt_protect -*I *2826:la_oenb[53] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[53] 0.00128845 -2 *2826:la_oenb[53] 0.00151506 -3 *1493:16 0.00247602 -4 *1493:15 0.00158289 -5 *1493:10 0.00175041 -6 *1493:9 0.00287015 -7 *1493:10 *1494:10 0.00154244 -8 *1493:10 *1496:10 7.068e-05 -9 *1493:15 *1495:15 0.00124836 -10 *1493:16 *1494:16 0.00175806 -11 *2820:la_iena_mprj[44] *1493:15 0.000632539 -12 *2820:la_iena_mprj[53] *2820:la_oenb_mprj[53] 0 -13 *854:7 *2820:la_oenb_mprj[53] 0 -14 *1098:15 *1493:9 0 -15 *1100:16 *1493:16 0.000306834 -16 *1109:9 *1493:9 0 -17 *1363:10 *1493:10 0.00891504 -18 *1365:10 *1493:10 0.00901257 -19 *1366:16 *1493:16 0.00761311 -20 *1371:15 *1493:15 3.54138e-05 -21 *1490:10 *1493:10 0.00176883 -*RES -1 *2826:la_oenb[53] *1493:9 40.5659 -2 *1493:9 *1493:10 137.71 -3 *1493:10 *1493:15 32.0099 -4 *1493:15 *1493:16 81.1409 -5 *1493:16 *2820:la_oenb_mprj[53] 35.1065 -*END - -*D_NET *1494 0.0357225 -*CONN -*I *2820:la_oenb_mprj[54] I *D mgmt_protect -*I *2826:la_oenb[54] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[54] 0.00131175 -2 *2826:la_oenb[54] 0.00154868 -3 *1494:16 0.00371993 -4 *1494:15 0.00317779 -5 *1494:10 0.00196415 -6 *1494:9 0.00274322 -7 *1494:10 *1496:10 0.0025079 -8 *1494:16 *1496:16 1.30603e-05 -9 *2820:la_data_out_mprj[43] *1494:15 0 -10 *2820:la_iena_mprj[54] *2820:la_oenb_mprj[54] 0 -11 *843:7 *1494:15 0.000156641 -12 *854:11 *1494:9 0 -13 *855:7 *2820:la_oenb_mprj[54] 0 -14 *1100:16 *1494:16 0.00116248 -15 *1110:9 *1494:9 0 -16 *1357:16 *1494:16 0.00208195 -17 *1365:10 *1494:10 0.00707562 -18 *1366:16 *1494:16 0.000111031 -19 *1368:15 *1494:15 0 -20 *1371:15 *1494:15 0 -21 *1474:16 *1494:10 0.00484782 -22 *1493:10 *1494:10 0.00154244 -23 *1493:16 *1494:16 0.00175806 -*RES -1 *2826:la_oenb[54] *1494:9 41.3964 -2 *1494:9 *1494:10 112.753 -3 *1494:10 *1494:15 29.9336 -4 *1494:15 *1494:16 93.8968 -5 *1494:16 *2820:la_oenb_mprj[54] 36.3523 -*END - -*D_NET *1495 0.0440896 -*CONN -*I *2820:la_oenb_mprj[55] I *D mgmt_protect -*I *2826:la_oenb[55] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[55] 0.00115399 -2 *2826:la_oenb[55] 0.0015959 -3 *1495:16 0.00202696 -4 *1495:15 0.00126602 -5 *1495:10 0.00140164 -6 *1495:9 0.00260449 -7 *1495:10 *1496:10 0.00712645 -8 *1495:16 *1497:16 0.00156361 -9 *1495:16 *1499:16 0.00859489 -10 *2820:la_data_out_mprj[44] *1495:15 0.000153255 -11 *2820:la_iena_mprj[44] *1495:15 3.21568e-05 -12 *2820:la_iena_mprj[55] *2820:la_oenb_mprj[55] 0 -13 *2820:la_oenb_mprj[32] *1495:9 0 -14 *855:11 *1495:9 0 -15 *856:7 *2820:la_oenb_mprj[55] 0 -16 *858:14 *1495:16 0.000292759 -17 *1107:13 *1495:9 0 -18 *1109:15 *1495:9 0 -19 *1111:9 *1495:9 0 -20 *1111:11 *1495:9 0 -21 *1363:16 *1495:16 0.00582682 -22 *1367:10 *1495:10 0.00755134 -23 *1369:10 *1495:10 0.000252187 -24 *1371:15 *1495:15 0.000558071 -25 *1474:16 *1495:10 0.000840675 -26 *1493:15 *1495:15 0.00124836 -*RES -1 *2826:la_oenb[55] *1495:9 42.6421 -2 *1495:9 *1495:10 96.6698 -3 *1495:10 *1495:15 32.0099 -4 *1495:15 *1495:16 98.8882 -5 *1495:16 *2820:la_oenb_mprj[55] 33.0303 -*END - -*D_NET *1496 0.0387199 -*CONN -*I *2820:la_oenb_mprj[56] I *D mgmt_protect -*I *2826:la_oenb[56] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[56] 0.00118415 -2 *2826:la_oenb[56] 0.00145217 -3 *1496:16 0.0021105 -4 *1496:15 0.00163823 -5 *1496:10 0.00216925 -6 *1496:9 0.00290954 -7 *1496:15 *1497:15 0 -8 *1496:16 *1497:16 0.00842114 -9 *2820:la_iena_mprj[35] *1496:9 0.000416874 -10 *2820:la_iena_mprj[56] *2820:la_oenb_mprj[56] 0 -11 *2820:la_oenb_mprj[45] *1496:15 0 -12 *845:7 *1496:15 0.000633417 -13 *856:11 *1496:9 0 -14 *857:7 *2820:la_oenb_mprj[56] 0 -15 *1112:7 *1496:9 0 -16 *1117:10 *1496:10 3.14983e-05 -17 *1363:16 *1496:16 0.000113197 -18 *1366:16 *1496:16 0.00683436 -19 *1369:10 *1496:10 3.68813e-05 -20 *1474:16 *1496:10 0.00105055 -21 *1490:10 *1496:10 0 -22 *1493:10 *1496:10 7.068e-05 -23 *1494:10 *1496:10 0.0025079 -24 *1494:16 *1496:16 1.30603e-05 -25 *1495:10 *1496:10 0.00712645 -*RES -1 *2826:la_oenb[56] *1496:9 42.2269 -2 *1496:9 *1496:10 91.6784 -3 *1496:10 *1496:15 31.1794 -4 *1496:15 *1496:16 91.6784 -5 *1496:16 *2820:la_oenb_mprj[56] 34.276 -*END - -*D_NET *1497 0.029752 -*CONN -*I *2820:la_oenb_mprj[57] I *D mgmt_protect -*I *2826:la_oenb[57] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[57] 0.00114225 -2 *2826:la_oenb[57] 0.000951019 -3 *1497:16 0.00213885 -4 *1497:15 0.00233829 -5 *1497:10 0.00365931 -6 *1497:9 0.00326865 -7 *1497:10 *1499:12 0.000962337 -8 *1497:10 *1501:12 0.000175621 -9 *1497:15 *1498:15 0 -10 *1497:16 *1499:16 0.000427771 -11 *2820:la_iena_mprj[37] *1497:9 0 -12 *2820:la_iena_mprj[46] *1497:15 0.000165481 -13 *2820:la_iena_mprj[57] *2820:la_oenb_mprj[57] 0 -14 *2820:la_oenb_mprj[45] *1497:15 0 -15 *2820:la_oenb_mprj[46] *1497:15 0 -16 *2826:la_input[61] *1497:15 0 -17 *845:7 *1497:15 0 -18 *857:11 *1497:9 0 -19 *858:7 *2820:la_oenb_mprj[57] 0 -20 *1113:7 *1497:9 0 -21 *1363:16 *1497:16 0.00414943 -22 *1370:10 *1497:10 0.000118081 -23 *1371:12 *1497:10 0.000270207 -24 *1374:9 *1497:15 0 -25 *1479:14 *1497:10 0 -26 *1495:16 *1497:16 0.00156361 -27 *1496:15 *1497:15 0 -28 *1496:16 *1497:16 0.00842114 -*RES -1 *2826:la_oenb[57] *1497:9 29.3541 -2 *1497:9 *1497:10 74.4857 -3 *1497:10 *1497:15 44.4674 -4 *1497:15 *1497:16 97.779 -5 *1497:16 *2820:la_oenb_mprj[57] 33.8608 -*END - -*D_NET *1498 0.0353002 -*CONN -*I *2820:la_oenb_mprj[58] I *D mgmt_protect -*I *2826:la_oenb[58] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[58] 0.000866193 -2 *2826:la_oenb[58] 0.0010513 -3 *1498:16 0.00164846 -4 *1498:15 0.00221713 -5 *1498:10 0.00269286 -6 *1498:9 0.0023093 -7 *1498:10 *1501:12 0.000662578 -8 *1498:15 *1502:9 0.000330495 -9 *1498:16 *1501:16 0.00870536 -10 *2820:la_iena_mprj[58] *2820:la_oenb_mprj[58] 0 -11 *2820:la_oenb_mprj[46] *1498:15 0 -12 *2826:la_input[61] *1498:15 0 -13 *846:9 *1498:15 0.000246949 -14 *858:17 *1498:9 0 -15 *859:7 *2820:la_oenb_mprj[58] 0 -16 *1114:7 *1498:9 0 -17 *1360:12 *1498:16 0.000133887 -18 *1368:10 *1498:10 0 -19 *1369:16 *1498:16 0.000356178 -20 *1370:10 *1498:10 0.00405836 -21 *1371:16 *1498:16 0.00952507 -22 *1373:10 *1498:10 0.000165467 -23 *1479:20 *1498:10 0.00033061 -24 *1497:15 *1498:15 0 -*RES -1 *2826:la_oenb[58] *1498:9 31.8456 -2 *1498:9 *1498:10 58.9568 -3 *1498:10 *1498:15 48.2047 -4 *1498:15 *1498:16 101.107 -5 *1498:16 *2820:la_oenb_mprj[58] 27.632 -*END - -*D_NET *1499 0.0315889 -*CONN -*I *2820:la_oenb_mprj[59] I *D mgmt_protect -*I *2826:la_oenb[59] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[59] 0.00104724 -2 *2826:la_oenb[59] 0.000983635 -3 *1499:16 0.00262615 -4 *1499:15 0.00217267 -5 *1499:12 0.000870646 -6 *1499:9 0.00126052 -7 *1499:12 *1501:12 0.000118245 -8 *1499:15 *1501:9 0 -9 *2820:la_data_out_mprj[59] *2820:la_oenb_mprj[59] 0 -10 *2820:la_iena_mprj[59] *2820:la_oenb_mprj[59] 0 -11 *858:14 *1499:16 0.0046016 -12 *859:11 *1499:9 0 -13 *861:5 *2820:la_oenb_mprj[59] 0 -14 *1115:7 *1499:9 0 -15 *1115:10 *1499:16 0 -16 *1117:9 *1499:15 0 -17 *1370:10 *1499:12 0.000142775 -18 *1370:15 *1499:15 0.00205698 -19 *1370:16 *1499:16 0.0027816 -20 *1371:12 *1499:12 0.00132676 -21 *1487:15 *1499:15 0.00161508 -22 *1495:16 *1499:16 0.00859489 -23 *1497:10 *1499:12 0.000962337 -24 *1497:16 *1499:16 0.000427771 -*RES -1 *2826:la_oenb[59] *1499:9 30.1846 -2 *1499:9 *1499:12 27.4075 -3 *1499:12 *1499:15 40.3827 -4 *1499:15 *1499:16 125.509 -5 *1499:16 *2820:la_oenb_mprj[59] 32.615 -*END - -*D_NET *1500 0.199526 -*CONN -*I *2820:la_oenb_mprj[5] I *D mgmt_protect -*I *2826:la_oenb[5] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[5] 0.00102602 -2 *2826:la_oenb[5] 7.21667e-05 -3 *1500:10 0.00693485 -4 *1500:9 0.00590883 -5 *1500:7 0.0025376 -6 *1500:5 0.00260976 -7 *1500:10 *1522:10 0.00686829 -8 *2820:la_iena_mprj[5] *2820:la_oenb_mprj[5] 0 -9 *860:11 *1500:7 0 -10 *871:7 *2820:la_oenb_mprj[5] 0 -11 *1116:7 *1500:7 0 -12 *1116:10 *1500:10 0.000405497 -13 *1350:10 *1500:10 0.0828612 -14 *1372:10 *1500:10 0.0847007 -15 *1394:10 *1500:10 0.000102747 -16 *1448:10 *1500:10 0.000371906 -17 *1452:10 *1500:10 0.0051268 -*RES -1 *2826:la_oenb[5] *1500:5 2.05183 -2 *1500:5 *1500:7 64.9503 -3 *1500:7 *1500:9 3.36879 -4 *1500:9 *1500:10 107.519 -5 *1500:10 *2820:la_oenb_mprj[5] 25.8779 -*END - -*D_NET *1501 0.0295612 -*CONN -*I *2820:la_oenb_mprj[60] I *D mgmt_protect -*I *2826:la_oenb[60] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[60] 0.000873967 -2 *2826:la_oenb[60] 0.00101966 -3 *1501:16 0.00213301 -4 *1501:15 0.00270364 -5 *1501:12 0.00216221 -6 *1501:9 0.00173727 -7 *2820:la_iena_mprj[60] *2820:la_oenb_mprj[60] 0 -8 *2826:la_input[60] *1501:9 0 -9 *847:9 *1501:15 0.000244447 -10 *859:8 *1501:16 0.000520301 -11 *862:5 *2820:la_oenb_mprj[60] 0 -12 *1115:10 *1501:16 3.63947e-05 -13 *1117:9 *1501:9 0 -14 *1118:9 *1501:15 0.000134807 -15 *1360:12 *1501:16 0.000110257 -16 *1369:16 *1501:16 0.00772432 -17 *1370:10 *1501:12 0.000224395 -18 *1371:16 *1501:16 0.00027469 -19 *1373:10 *1501:12 0 -20 *1375:9 *1501:15 0 -21 *1497:10 *1501:12 0.000175621 -22 *1498:10 *1501:12 0.000662578 -23 *1498:16 *1501:16 0.00870536 -24 *1499:12 *1501:12 0.000118245 -25 *1499:15 *1501:9 0 -*RES -1 *2826:la_oenb[60] *1501:9 31.0151 -2 *1501:9 *1501:12 31.8444 -3 *1501:12 *1501:15 44.1199 -4 *1501:15 *1501:16 109.426 -5 *1501:16 *2820:la_oenb_mprj[60] 28.0472 -*END - -*D_NET *1502 0.031712 -*CONN -*I *2820:la_oenb_mprj[61] I *D mgmt_protect -*I *2826:la_oenb[61] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[61] 0.00212471 -2 *2826:la_oenb[61] 0.00112129 -3 *1502:12 0.00212471 -4 *1502:10 0.000873363 -5 *1502:9 0.00199465 -6 *1502:10 *1503:10 9.16621e-05 -7 *1502:10 *1506:12 0.000621826 -8 *2820:la_iena_mprj[61] *2820:la_oenb_mprj[61] 0 -9 *2826:la_input[61] *1502:9 0 -10 *861:8 *1502:10 0.0106179 -11 *863:5 *2820:la_oenb_mprj[61] 0 -12 *1374:10 *1502:10 0.0118114 -13 *1498:15 *1502:9 0.000330495 -*RES -1 *2826:la_oenb[61] *1502:9 35.5584 -2 *1502:9 *1502:10 126.618 -3 *1502:10 *1502:12 4.5 -4 *1502:12 *2820:la_oenb_mprj[61] 56.7674 -*END - -*D_NET *1503 0.0295354 -*CONN -*I *2820:la_oenb_mprj[62] I *D mgmt_protect -*I *2826:la_oenb[62] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[62] 0.00205646 -2 *2826:la_oenb[62] 0.00128843 -3 *1503:12 0.00205646 -4 *1503:10 0.000737628 -5 *1503:9 0.00202606 -6 *1503:10 *1506:12 7.02172e-06 -7 *1503:10 *1506:16 0.000759667 -8 *2820:la_iena_mprj[62] *2820:la_oenb_mprj[62] 0 -9 *2826:la_input[62] *1503:9 0 -10 *864:5 *2820:la_oenb_mprj[62] 0 -11 *866:13 *1503:10 0.00116323 -12 *1118:10 *1503:10 0.00956641 -13 *1119:9 *1503:9 0 -14 *1374:10 *1503:10 0.00976818 -15 *1375:10 *1503:10 1.41689e-05 -16 *1502:10 *1503:10 9.16621e-05 -*RES -1 *2826:la_oenb[62] *1503:9 38.0744 -2 *1503:9 *1503:10 113.308 -3 *1503:10 *1503:12 4.5 -4 *1503:12 *2820:la_oenb_mprj[62] 55.9369 -*END - -*D_NET *1504 0.0252055 -*CONN -*I *2820:la_oenb_mprj[63] I *D mgmt_protect -*I *2826:la_oenb[63] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[63] 0.00194597 -2 *2826:la_oenb[63] 0.00142628 -3 *1504:12 0.00194597 -4 *1504:10 0.000913681 -5 *1504:9 0.00233996 -6 *2820:la_iena_mprj[63] *2820:la_oenb_mprj[63] 0 -7 *2826:la_input[63] *1504:9 0 -8 *865:5 *2820:la_oenb_mprj[63] 0 -9 *866:13 *1504:10 1.45065e-05 -10 *1117:10 *1504:10 0.00678617 -11 *1119:10 *1504:10 0.00838516 -12 *1120:9 *1504:9 0 -13 *1376:10 *1504:10 0.00114364 -14 *1378:10 *1504:10 0.000304114 -*RES -1 *2826:la_oenb[63] *1504:9 40.5659 -2 *1504:9 *1504:10 101.661 -3 *1504:10 *1504:12 4.5 -4 *1504:12 *2820:la_oenb_mprj[63] 53.4454 -*END - -*D_NET *1505 0.0144807 -*CONN -*I *2820:la_oenb_mprj[64] I *D mgmt_protect -*I *2826:la_oenb[64] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[64] 0.00184472 -2 *2826:la_oenb[64] 0.00154798 -3 *1505:12 0.00184472 -4 *1505:10 0.00245889 -5 *1505:9 0.00400687 -6 *2820:la_iena_mprj[64] *2820:la_oenb_mprj[64] 0 -7 *2826:la_input[64] *1505:9 0 -8 *866:5 *2820:la_oenb_mprj[64] 0 -9 *868:8 *1505:10 0.000807668 -10 *1119:10 *1505:10 6.66931e-05 -11 *1121:9 *1505:9 0 -12 *1378:10 *1505:10 0.00190316 -*RES -1 *2826:la_oenb[64] *1505:9 43.0574 -2 *1505:9 *1505:10 90.0146 -3 *1505:10 *1505:12 4.5 -4 *1505:12 *2820:la_oenb_mprj[64] 50.9539 -*END - -*D_NET *1506 0.01913 -*CONN -*I *2820:la_oenb_mprj[65] I *D mgmt_protect -*I *2826:la_oenb[65] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[65] 0.00203799 -2 *2826:la_oenb[65] 0.00125286 -3 *1506:16 0.0026229 -4 *1506:12 0.00099543 -5 *1506:9 0.00166338 -6 *1506:16 *1509:12 0.00163252 -7 *2820:la_data_out_mprj[65] *2820:la_oenb_mprj[65] 0 -8 *2820:la_iena_mprj[65] *2820:la_oenb_mprj[65] 0 -9 *2826:la_input[65] *1506:9 0 -10 *861:8 *1506:12 0.00277355 -11 *862:8 *1506:12 0.00355306 -12 *866:13 *1506:16 0.000807467 -13 *866:16 *1506:12 0.00011581 -14 *867:5 *2820:la_oenb_mprj[65] 0 -15 *1122:9 *1506:9 0 -16 *1378:10 *1506:16 0 -17 *1379:10 *1506:12 0.000113033 -18 *1379:10 *1506:16 0 -19 *1381:10 *1506:16 0.000173512 -20 *1502:10 *1506:12 0.000621826 -21 *1503:10 *1506:12 7.02172e-06 -22 *1503:10 *1506:16 0.000759667 -*RES -1 *2826:la_oenb[65] *1506:9 36.4134 -2 *1506:9 *1506:12 45.9356 -3 *1506:12 *1506:16 38.4996 -4 *1506:16 *2820:la_oenb_mprj[65] 56.3522 -*END - -*D_NET *1507 0.0146569 -*CONN -*I *2820:la_oenb_mprj[66] I *D mgmt_protect -*I *2826:la_oenb[66] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[66] 0.00221909 -2 *2826:la_oenb[66] 0.00112923 -3 *1507:12 0.00221909 -4 *1507:10 0.00120739 -5 *1507:9 0.00233662 -6 *2820:la_data_out_mprj[66] *2820:la_oenb_mprj[66] 0 -7 *2820:la_data_out_mprj[67] *2820:la_oenb_mprj[66] 0 -8 *2820:la_iena_mprj[66] *2820:la_oenb_mprj[66] 0 -9 *2826:la_input[66] *1507:9 0 -10 *864:8 *1507:10 0.00323442 -11 *867:8 *1507:10 0.00107721 -12 *868:5 *2820:la_oenb_mprj[66] 0 -13 *1123:9 *1507:9 0 -14 *1125:12 *1507:10 0.000354328 -15 *1373:10 *1507:10 0.000533697 -16 *1379:10 *1507:10 0.000196602 -17 *1382:12 *1507:10 0.000149232 -*RES -1 *2826:la_oenb[66] *1507:9 33.0913 -2 *1507:9 *1507:10 66.1666 -3 *1507:10 *1507:12 4.5 -4 *1507:12 *2820:la_oenb_mprj[66] 60.9199 -*END - -*D_NET *1508 0.0130252 -*CONN -*I *2820:la_oenb_mprj[67] I *D mgmt_protect -*I *2826:la_oenb[67] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[67] 0.00242345 -2 *2826:la_oenb[67] 0.000906209 -3 *1508:12 0.00242345 -4 *1508:10 0.00131681 -5 *1508:9 0.00222302 -6 *2820:la_oenb_mprj[67] *1512:10 0 -7 *2820:la_data_out_mprj[67] *2820:la_oenb_mprj[67] 0 -8 *2820:la_iena_mprj[67] *2820:la_oenb_mprj[67] 0 -9 *869:5 *2820:la_oenb_mprj[67] 0 -10 *869:8 *1508:10 0.00118891 -11 *872:11 *2820:la_oenb_mprj[67] 1.44611e-05 -12 *1124:9 *1508:9 0 -13 *1377:10 *1508:10 0.0025289 -14 *1384:9 *2820:la_oenb_mprj[67] 0 -*RES -1 *2826:la_oenb[67] *1508:9 28.1083 -2 *1508:9 *1508:10 55.0746 -3 *1508:10 *1508:12 4.5 -4 *1508:12 *2820:la_oenb_mprj[67] 65.903 -*END - -*D_NET *1509 0.0114967 -*CONN -*I *2820:la_oenb_mprj[68] I *D mgmt_protect -*I *2826:la_oenb[68] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[68] 0.00204238 -2 *2826:la_oenb[68] 0.00131623 -3 *1509:12 0.00314224 -4 *1509:9 0.00241608 -5 *2820:la_data_out_mprj[63] *1509:9 0 -6 *2820:la_iena_mprj[68] *2820:la_oenb_mprj[68] 0 -7 *2826:la_input[68] *1509:9 0 -8 *865:5 *1509:9 0 -9 *870:5 *2820:la_oenb_mprj[68] 0 -10 *1125:9 *1509:9 0 -11 *1381:10 *1509:12 0.000947206 -12 *1506:16 *1509:12 0.00163252 -*RES -1 *2826:la_oenb[68] *1509:9 37.2439 -2 *1509:9 *1509:12 47.3733 -3 *1509:12 *2820:la_oenb_mprj[68] 56.7674 -*END - -*D_NET *1510 0.0122273 -*CONN -*I *2820:la_oenb_mprj[69] I *D mgmt_protect -*I *2826:la_oenb[69] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[69] 0.00232458 -2 *2826:la_oenb[69] 0.000982682 -3 *1510:12 0.00261788 -4 *1510:9 0.00127598 -5 *1510:12 *1512:10 0.00116323 -6 *2820:la_data_out_mprj[69] *2820:la_oenb_mprj[69] 0 -7 *2820:la_iena_mprj[69] *2820:la_oenb_mprj[69] 0 -8 *2826:la_input[69] *1510:9 0 -9 *869:8 *1510:12 1.41689e-05 -10 *870:10 *1510:12 0.000756736 -11 *872:5 *2820:la_oenb_mprj[69] 0 -12 *1123:10 *1510:12 0.00011818 -13 *1124:14 *1510:12 0.000957243 -14 *1126:9 *1510:9 0 -15 *1384:12 *1510:12 0.00199783 -16 *1385:10 *2820:la_oenb_mprj[69] 1.87469e-05 -*RES -1 *2826:la_oenb[69] *1510:9 29.7693 -2 *1510:9 *1510:12 36.2812 -3 *1510:12 *2820:la_oenb_mprj[69] 64.242 -*END - -*D_NET *1511 0.199988 -*CONN -*I *2820:la_oenb_mprj[6] I *D mgmt_protect -*I *2826:la_oenb[6] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[6] 0.00294007 -2 *2826:la_oenb[6] 0.00131669 -3 *1511:12 0.00294007 -4 *1511:10 0.0100609 -5 *1511:9 0.0113776 -6 *2820:la_iena_mprj[6] *2820:la_oenb_mprj[6] 0 -7 *2826:la_input[6] *1511:9 0 -8 *882:7 *2820:la_oenb_mprj[6] 0 -9 *1127:9 *1511:9 0 -10 *1127:10 *1511:10 0.000133887 -11 *1149:10 *1511:10 0.00236768 -12 *1160:10 *1511:10 0.00366103 -13 *1361:18 *1511:10 0.000338648 -14 *1383:10 *1511:10 0.0829574 -15 *1405:10 *1511:10 0.000102747 -16 *1451:10 *1511:10 0.000341568 -17 *1481:10 *1511:10 0.000103486 -18 *1489:10 *1511:10 0.0813463 -*RES -1 *2826:la_oenb[6] *1511:9 36.589 -2 *1511:9 *1511:10 105.989 -3 *1511:10 *1511:12 3.36879 -4 *1511:12 *2820:la_oenb_mprj[6] 55.7293 -*END - -*D_NET *1512 0.0116934 -*CONN -*I *2820:la_oenb_mprj[70] I *D mgmt_protect -*I *2826:la_oenb[70] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[70] 0.00165411 -2 *2826:la_oenb[70] 0.00122644 -3 *1512:12 0.00165411 -4 *1512:10 0.00122644 -5 *2820:la_oenb_mprj[70] *1513:7 0.00296619 -6 *2820:la_iena_mprj[70] *2820:la_oenb_mprj[70] 0 -7 *2820:la_oenb_mprj[67] *1512:10 0 -8 *869:5 *1512:10 0 -9 *870:10 *1512:10 0.000543039 -10 *872:11 *1512:10 0 -11 *873:5 *2820:la_oenb_mprj[70] 0 -12 *1126:12 *1512:10 8.90486e-05 -13 *1382:12 *1512:10 4.03749e-05 -14 *1384:12 *1512:10 0.000245611 -15 *1385:10 *1512:10 0.000884803 -16 *1510:12 *1512:10 0.00116323 -*RES -1 *2826:la_oenb[70] *1512:10 49.7501 -2 *1512:10 *1512:12 4.5 -3 *1512:12 *2820:la_oenb_mprj[70] 63.8267 -*END - -*D_NET *1513 0.0091099 -*CONN -*I *2820:la_oenb_mprj[71] I *D mgmt_protect -*I *2826:la_oenb[71] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[71] 0.000977624 -2 *2826:la_oenb[71] 7.21667e-05 -3 *1513:7 0.00250126 -4 *1513:5 0.00159581 -5 *2820:la_data_out_mprj[71] *1513:7 0 -6 *2820:la_iena_mprj[70] *1513:7 0 -7 *2820:la_iena_mprj[71] *2820:la_oenb_mprj[71] 0 -8 *2820:la_oenb_mprj[70] *1513:7 0.00296619 -9 *2826:la_input[71] *1513:7 0 -10 *873:5 *1513:7 0.00099685 -11 *874:9 *2820:la_oenb_mprj[71] 0 -*RES -1 *2826:la_oenb[71] *1513:5 2.05183 -2 *1513:5 *1513:7 70.9715 -3 *1513:7 *2820:la_oenb_mprj[71] 33.9758 -*END - -*D_NET *1514 0.00652897 -*CONN -*I *2820:la_oenb_mprj[72] I *D mgmt_protect -*I *2826:la_oenb[72] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[72] 0.00319232 -2 *2826:la_oenb[72] 7.21667e-05 -3 *1514:5 0.00326448 -4 *2820:la_iena_mprj[72] *2820:la_oenb_mprj[72] 0 -5 *874:11 *2820:la_oenb_mprj[72] 0 -6 *875:10 *2820:la_oenb_mprj[72] 0 -7 *1130:7 *2820:la_oenb_mprj[72] 0 -*RES -1 *2826:la_oenb[72] *1514:5 2.05183 -2 *1514:5 *2820:la_oenb_mprj[72] 87.2885 -*END - -*D_NET *1515 0.00945237 -*CONN -*I *2820:la_oenb_mprj[73] I *D mgmt_protect -*I *2826:la_oenb[73] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[73] 0.000601384 -2 *2826:la_oenb[73] 7.21667e-05 -3 *1515:7 0.00293861 -4 *1515:5 0.0024094 -5 *2820:la_data_out_mprj[73] *2820:la_oenb_mprj[73] 0.00110143 -6 *2820:la_data_out_mprj[75] *1515:7 0.000393199 -7 *2820:la_iena_mprj[73] *2820:la_oenb_mprj[73] 0.00100361 -8 *2820:la_iena_mprj[74] *2820:la_oenb_mprj[73] 0.000530137 -9 *2820:la_iena_mprj[75] *1515:7 0 -10 *875:11 *1515:7 0 -11 *876:7 *2820:la_oenb_mprj[73] 0 -12 *877:7 *1515:7 0.000402434 -13 *1131:7 *1515:7 0 -*RES -1 *2826:la_oenb[73] *1515:5 2.05183 -2 *1515:5 *1515:7 70.9715 -3 *1515:7 *2820:la_oenb_mprj[73] 37.3034 -*END - -*D_NET *1516 0.00883941 -*CONN -*I *2820:la_oenb_mprj[74] I *D mgmt_protect -*I *2826:la_oenb[74] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[74] 0.000967456 -2 *2826:la_oenb[74] 7.21667e-05 -3 *1516:12 0.00173175 -4 *1516:7 0.00306348 -5 *1516:5 0.00237135 -6 *1516:7 *2820:la_oenb_mprj[77] 0 -7 *1516:12 *1518:10 3.5125e-05 -8 *2820:la_data_out_mprj[74] *2820:la_oenb_mprj[74] 0 -9 *2820:la_data_out_mprj[77] *1516:7 2.82771e-05 -10 *2820:la_iena_mprj[74] *2820:la_oenb_mprj[74] 0 -11 *875:11 *2820:la_oenb_mprj[74] 0 -12 *876:10 *1516:12 0.000410811 -13 *876:11 *1516:7 0 -14 *877:7 *2820:la_oenb_mprj[74] 0 -15 *878:8 *1516:12 0 -16 *879:8 *1516:12 0.000158997 -17 *1132:7 *1516:7 0 -18 *1134:10 *1516:12 0 -*RES -1 *2826:la_oenb[74] *1516:5 2.05183 -2 *1516:5 *1516:7 61.8359 -3 *1516:7 *1516:12 32.4621 -4 *1516:12 *2820:la_oenb_mprj[74] 25.6235 -*END - -*D_NET *1517 0.013265 -*CONN -*I *2820:la_oenb_mprj[75] I *D mgmt_protect -*I *2826:la_oenb[75] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[75] 0.000984681 -2 *2826:la_oenb[75] 1.28869e-05 -3 *1517:12 0.00137236 -4 *1517:7 0.0024622 -5 *1517:5 0.00208741 -6 *2820:la_iena_mprj[75] *2820:la_oenb_mprj[75] 0 -7 *877:10 *1517:12 0.00041958 -8 *878:7 *2820:la_oenb_mprj[75] 0 -9 *1131:7 *2820:la_oenb_mprj[75] 0.000867253 -10 *1132:12 *1517:12 0.00189384 -11 *1133:7 *1517:7 0 -12 *1133:10 *1517:12 0.000163362 -13 *1388:7 *2820:la_oenb_mprj[75] 0 -14 *1389:12 *1517:12 0.000104941 -15 *1390:12 *1517:12 0.00289644 -*RES -1 *2826:la_oenb[75] *1517:5 0.366399 -2 *1517:5 *1517:7 56.4377 -3 *1517:7 *1517:12 45.7726 -4 *1517:12 *2820:la_oenb_mprj[75] 31.0218 -*END - -*D_NET *1518 0.0116422 -*CONN -*I *2820:la_oenb_mprj[76] I *D mgmt_protect -*I *2826:la_oenb[76] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[76] 0.000878377 -2 *2826:la_oenb[76] 7.21667e-05 -3 *1518:10 0.00151858 -4 *1518:9 0.000640207 -5 *1518:7 0.00238077 -6 *1518:5 0.00245294 -7 *2820:la_iena_mprj[76] *2820:la_oenb_mprj[76] 0 -8 *2820:la_iena_mprj[82] *1518:7 0 -9 *878:11 *1518:7 0 -10 *879:7 *2820:la_oenb_mprj[76] 0 -11 *879:8 *1518:10 0 -12 *1134:7 *1518:7 0 -13 *1134:10 *1518:10 0.0019656 -14 *1391:10 *1518:10 0.00169846 -15 *1516:12 *1518:10 3.5125e-05 -*RES -1 *2826:la_oenb[76] *1518:5 2.05183 -2 *1518:5 *1518:7 63.9122 -3 *1518:7 *1518:9 4.5 -4 *1518:9 *1518:10 46.7555 -5 *1518:10 *2820:la_oenb_mprj[76] 28.0472 -*END - -*D_NET *1519 0.0183948 -*CONN -*I *2820:la_oenb_mprj[77] I *D mgmt_protect -*I *2826:la_oenb[77] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[77] 0.00101806 -2 *2826:la_oenb[77] 7.21667e-05 -3 *1519:10 0.00142857 -4 *1519:9 0.000410502 -5 *1519:7 0.00196778 -6 *1519:5 0.00203995 -7 *1519:7 *2820:la_oenb_mprj[84] 0.000651415 -8 *2820:la_data_out_mprj[77] *2820:la_oenb_mprj[77] 0 -9 *2820:la_data_out_mprj[78] *2820:la_oenb_mprj[77] 0 -10 *2820:la_data_out_mprj[84] *1519:7 0 -11 *2820:la_iena_mprj[77] *2820:la_oenb_mprj[77] 0 -12 *879:8 *1519:10 0.0050674 -13 *879:11 *1519:7 0 -14 *880:7 *2820:la_oenb_mprj[77] 0 -15 *883:8 *1519:10 0.000202245 -16 *1135:7 *1519:7 0 -17 *1135:10 *1519:10 0.00553668 -18 *1516:7 *2820:la_oenb_mprj[77] 0 -*RES -1 *2826:la_oenb[77] *1519:5 2.05183 -2 *1519:5 *1519:7 60.5902 -3 *1519:7 *1519:9 4.5 -4 *1519:9 *1519:10 58.4022 -5 *1519:10 *2820:la_oenb_mprj[77] 31.3693 -*END - -*D_NET *1520 0.0201752 -*CONN -*I *2820:la_oenb_mprj[78] I *D mgmt_protect -*I *2826:la_oenb[78] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[78] 0.000650531 -2 *2826:la_oenb[78] 7.21667e-05 -3 *1520:10 0.00158408 -4 *1520:9 0.000933544 -5 *1520:7 0.00213992 -6 *1520:5 0.00221209 -7 *1520:7 *2820:la_oenb_mprj[86] 0.0012491 -8 *2820:la_data_out_mprj[78] *2820:la_oenb_mprj[78] 0 -9 *2820:la_data_out_mprj[87] *1520:7 0 -10 *2820:la_iena_mprj[78] *2820:la_oenb_mprj[78] 0 -11 *2820:la_iena_mprj[86] *1520:7 0 -12 *2820:la_iena_mprj[87] *1520:7 0 -13 *880:8 *1520:10 0.000146388 -14 *880:11 *1520:7 0 -15 *881:7 *2820:la_oenb_mprj[78] 0 -16 *890:7 *1520:7 0.000624848 -17 *1136:10 *1520:10 3.9094e-05 -18 *1139:10 *1520:10 0.000447873 -19 *1392:10 *1520:10 0.0056691 -20 *1393:10 *1520:10 0.000139013 -21 *1396:10 *1520:10 0.00426741 -*RES -1 *2826:la_oenb[78] *1520:5 2.05183 -2 *1520:5 *1520:7 70.5562 -3 *1520:7 *1520:9 4.5 -4 *1520:9 *1520:10 70.0488 -5 *1520:10 *2820:la_oenb_mprj[78] 21.4032 -*END - -*D_NET *1521 0.0229129 -*CONN -*I *2820:la_oenb_mprj[79] I *D mgmt_protect -*I *2826:la_oenb[79] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[79] 0.000978157 -2 *2826:la_oenb[79] 7.21667e-05 -3 *1521:10 0.00177283 -4 *1521:9 0.00079467 -5 *1521:7 0.00215308 -6 *1521:5 0.00222524 -7 *1521:7 *2820:la_oenb_mprj[88] 0 -8 *1521:10 *1526:10 0.000258087 -9 *2820:la_data_out_mprj[79] *2820:la_oenb_mprj[79] 0 -10 *2820:la_iena_mprj[79] *2820:la_oenb_mprj[79] 0 -11 *2820:la_iena_mprj[89] *1521:7 0 -12 *879:8 *1521:10 4.03749e-05 -13 *881:11 *1521:7 0 -14 *883:7 *2820:la_oenb_mprj[79] 0 -15 *883:8 *1521:10 9.48729e-05 -16 *886:8 *1521:10 5.383e-06 -17 *1137:7 *1521:7 0 -18 *1148:13 *1521:7 0.000681334 -19 *1391:10 *1521:10 0.0029431 -20 *1395:10 *1521:10 0.00704342 -21 *1398:10 *1521:10 5.65165e-05 -22 *1399:10 *1521:10 0.00379363 -*RES -1 *2826:la_oenb[79] *1521:5 2.05183 -2 *1521:5 *1521:7 62.6664 -3 *1521:7 *1521:9 4.5 -4 *1521:9 *1521:10 81.6955 -5 *1521:10 *2820:la_oenb_mprj[79] 29.293 -*END - -*D_NET *1522 0.157066 -*CONN -*I *2820:la_oenb_mprj[7] I *D mgmt_protect -*I *2826:la_oenb[7] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[7] 0.000996636 -2 *2826:la_oenb[7] 7.21667e-05 -3 *1522:10 0.00832595 -4 *1522:9 0.00732931 -5 *1522:7 0.00260222 -6 *1522:5 0.00267439 -7 *2820:la_data_out_mprj[7] *2820:la_oenb_mprj[7] 0 -8 *2820:la_iena_mprj[7] *2820:la_oenb_mprj[7] 0 -9 *2826:la_input[7] *1522:7 0 -10 *799:8 *1522:10 0.00347993 -11 *893:7 *2820:la_oenb_mprj[7] 0 -12 *1094:10 *1522:10 0.068463 -13 *1138:7 *1522:7 0 -14 *1138:10 *1522:10 6.24655e-05 -15 *1416:10 *1522:10 0.00127511 -16 *1452:10 *1522:10 0.0546437 -17 *1456:10 *1522:10 0.000273255 -18 *1500:10 *1522:10 0.00686829 -*RES -1 *2826:la_oenb[7] *1522:5 2.05183 -2 *1522:5 *1522:7 65.158 -3 *1522:7 *1522:9 4.5 -4 *1522:9 *1522:10 756.094 -5 *1522:10 *2820:la_oenb_mprj[7] 26.8015 -*END - -*D_NET *1523 0.0241191 -*CONN -*I *2820:la_oenb_mprj[80] I *D mgmt_protect -*I *2826:la_oenb[80] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[80] 0.000730429 -2 *2826:la_oenb[80] 7.21667e-05 -3 *1523:10 0.00190186 -4 *1523:9 0.00117143 -5 *1523:7 0.0022046 -6 *1523:5 0.00227677 -7 *1523:7 *2820:la_oenb_mprj[91] 0.000855418 -8 *2820:la_data_out_mprj[91] *1523:7 0 -9 *2820:la_iena_mprj[80] *2820:la_oenb_mprj[80] 0 -10 *2820:la_iena_mprj[91] *1523:7 0.000864653 -11 *883:11 *1523:7 0 -12 *884:7 *2820:la_oenb_mprj[80] 0 -13 *884:8 *1523:10 0.000352299 -14 *895:8 *1523:10 0.000530137 -15 *1136:10 *1523:10 0.00561374 -16 *1137:10 *1523:10 0.000689689 -17 *1139:7 *1523:7 0 -18 *1139:10 *1523:10 0.000993703 -19 *1393:10 *1523:10 0.00586216 -*RES -1 *2826:la_oenb[80] *1523:5 2.05183 -2 *1523:5 *1523:7 68.8952 -3 *1523:7 *1523:9 4.5 -4 *1523:9 *1523:10 93.8968 -5 *1523:10 *2820:la_oenb_mprj[80] 23.0642 -*END - -*D_NET *1524 0.0295711 -*CONN -*I *2820:la_oenb_mprj[81] I *D mgmt_protect -*I *2826:la_oenb[81] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[81] 0.000851211 -2 *2826:la_oenb[81] 7.21667e-05 -3 *1524:10 0.00189287 -4 *1524:9 0.00104166 -5 *1524:7 0.00226759 -6 *1524:5 0.00233976 -7 *2820:la_data_out_mprj[81] *2820:la_oenb_mprj[81] 0 -8 *2820:la_data_out_mprj[82] *2820:la_oenb_mprj[81] 0 -9 *2820:la_data_out_mprj[94] *1524:7 0 -10 *2820:la_iena_mprj[81] *2820:la_oenb_mprj[81] 0 -11 *884:8 *1524:10 5.24855e-05 -12 *884:11 *1524:7 0 -13 *885:7 *2820:la_oenb_mprj[81] 0 -14 *885:8 *1524:10 7.24449e-05 -15 *898:5 *1524:7 0.00193747 -16 *1140:7 *1524:7 0 -17 *1140:10 *1524:10 0.00970758 -18 *1141:10 *1524:10 0.00933583 -*RES -1 *2826:la_oenb[81] *1524:5 2.05183 -2 *1524:5 *1524:7 66.4037 -3 *1524:7 *1524:9 4.5 -4 *1524:9 *1524:10 104.989 -5 *1524:10 *2820:la_oenb_mprj[81] 25.5557 -*END - -*D_NET *1525 0.0296681 -*CONN -*I *2820:la_oenb_mprj[82] I *D mgmt_protect -*I *2826:la_oenb[82] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[82] 0.000689278 -2 *2826:la_oenb[82] 7.21667e-05 -3 *1525:10 0.00205028 -4 *1525:9 0.001361 -5 *1525:7 0.00206987 -6 *1525:5 0.00214204 -7 *1525:10 *1532:16 0.00524197 -8 *2820:la_data_out_mprj[96] *1525:7 0.000917012 -9 *2820:la_iena_mprj[82] *2820:la_oenb_mprj[82] 0 -10 *2820:la_iena_mprj[96] *1525:7 0.000900005 -11 *885:11 *1525:7 0 -12 *886:7 *2820:la_oenb_mprj[82] 0.00129334 -13 *886:8 *1525:10 0.0106142 -14 *1140:10 *1525:10 0.00231328 -15 *1141:7 *1525:7 0 -16 *1391:10 *1525:10 3.62662e-06 -*RES -1 *2826:la_oenb[82] *1525:5 2.05183 -2 *1525:5 *1525:7 65.158 -3 *1525:7 *1525:9 4.5 -4 *1525:9 *1525:10 115.526 -5 *1525:10 *2820:la_oenb_mprj[82] 28.6579 -*END - -*D_NET *1526 0.0331789 -*CONN -*I *2820:la_oenb_mprj[83] I *D mgmt_protect -*I *2826:la_oenb[83] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[83] 0.00100124 -2 *2826:la_oenb[83] 7.21667e-05 -3 *1526:10 0.00232856 -4 *1526:9 0.00132732 -5 *1526:7 0.00241187 -6 *1526:5 0.00248404 -7 *1526:7 *2820:la_oenb_mprj[98] 0.000190042 -8 *2820:la_data_out_mprj[83] *2820:la_oenb_mprj[83] 0 -9 *2820:la_data_out_mprj[84] *2820:la_oenb_mprj[83] 0 -10 *2820:la_data_out_mprj[99] *1526:7 0 -11 *2820:la_iena_mprj[83] *2820:la_oenb_mprj[83] 0 -12 *2820:la_iena_mprj[98] *1526:7 0.000531447 -13 *886:11 *1526:7 0 -14 *887:7 *2820:la_oenb_mprj[83] 0 -15 *887:8 *1526:10 0.000381824 -16 *903:5 *1526:7 0 -17 *1398:10 *1526:10 0.0109264 -18 *1399:10 *1526:10 0.0112659 -19 *1521:10 *1526:10 0.000258087 -*RES -1 *2826:la_oenb[83] *1526:5 2.05183 -2 *1526:5 *1526:7 63.4969 -3 *1526:7 *1526:9 4.5 -4 *1526:9 *1526:10 128.282 -5 *1526:10 *2820:la_oenb_mprj[83] 28.4625 -*END - -*D_NET *1527 0.0330446 -*CONN -*I *2820:la_oenb_mprj[84] I *D mgmt_protect -*I *2826:la_oenb[84] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[84] 0.00199829 -2 *2826:la_oenb[84] 0.00122689 -3 *1527:12 0.00199829 -4 *1527:10 0.00183305 -5 *1527:9 0.00305994 -6 *1527:10 *1528:10 0 -7 *1527:10 *1534:10 0.00860782 -8 *2820:la_data_out_mprj[84] *2820:la_oenb_mprj[84] 0 -9 *2820:la_iena_mprj[84] *2820:la_oenb_mprj[84] 0 -10 *888:7 *2820:la_oenb_mprj[84] 0 -11 *1135:7 *2820:la_oenb_mprj[84] 0 -12 *1142:10 *1527:10 0.0118182 -13 *1143:9 *1527:9 0 -14 *1147:10 *1527:10 0 -15 *1148:10 *1527:10 0.000153129 -16 *1150:10 *1527:10 0.000173166 -17 *1156:10 *1527:10 3.83336e-05 -18 *1402:10 *1527:10 0 -19 *1415:10 *1527:10 0.00148607 -20 *1519:7 *2820:la_oenb_mprj[84] 0.000651415 -*RES -1 *2826:la_oenb[84] *1527:9 34.3127 -2 *1527:9 *1527:10 142.702 -3 *1527:10 *1527:12 4.5 -4 *1527:12 *2820:la_oenb_mprj[84] 58.0132 -*END - -*D_NET *1528 0.0374313 -*CONN -*I *2820:la_oenb_mprj[85] I *D mgmt_protect -*I *2826:la_oenb[85] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[85] 0.00178313 -2 *2826:la_oenb[85] 0.00111468 -3 *1528:12 0.00178313 -4 *1528:10 0.00144843 -5 *1528:9 0.00256311 -6 *1528:10 *1529:10 0.000438814 -7 *1528:10 *1530:10 0.0125329 -8 *2820:la_iena_mprj[85] *2820:la_oenb_mprj[85] 0 -9 *2826:la_input[85] *1528:9 0 -10 *889:7 *2820:la_oenb_mprj[85] 0 -11 *1144:9 *1528:9 0 -12 *1144:10 *1528:10 0.000187171 -13 *1392:7 *2820:la_oenb_mprj[85] 0.00268408 -14 *1402:10 *1528:10 0.0128959 -15 *1527:10 *1528:10 0 -*RES -1 *2826:la_oenb[85] *1528:9 32.6761 -2 *1528:9 *1528:10 151.576 -3 *1528:10 *1528:12 4.5 -4 *1528:12 *2820:la_oenb_mprj[85] 61.3352 -*END - -*D_NET *1529 0.0401564 -*CONN -*I *2820:la_oenb_mprj[86] I *D mgmt_protect -*I *2826:la_oenb[86] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[86] 0.00199572 -2 *2826:la_oenb[86] 0.00107181 -3 *1529:12 0.00199572 -4 *1529:10 0.00120471 -5 *1529:9 0.00227652 -6 *1529:10 *1530:10 0.0144763 -7 *1529:10 *1537:10 0.00137566 -8 *2820:la_iena_mprj[86] *2820:la_oenb_mprj[86] 0 -9 *2826:la_input[86] *1529:9 0 -10 *784:5 *1529:9 0 -11 *890:7 *2820:la_oenb_mprj[86] 0 -12 *1144:10 *1529:10 0.0140579 -13 *1145:9 *1529:9 0 -14 *1145:10 *1529:10 1.41853e-05 -15 *1520:7 *2820:la_oenb_mprj[86] 0.0012491 -16 *1528:10 *1529:10 0.000438814 -*RES -1 *2826:la_oenb[86] *1529:9 31.8456 -2 *1529:9 *1529:10 163.777 -3 *1529:10 *1529:12 4.5 -4 *1529:12 *2820:la_oenb_mprj[86] 62.1657 -*END - -*D_NET *1530 0.0413862 -*CONN -*I *2820:la_oenb_mprj[87] I *D mgmt_protect -*I *2826:la_oenb[87] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[87] 6.22868e-05 -2 *2826:la_oenb[87] 0.000962486 -3 *1530:13 0.00144381 -4 *1530:12 0.00138153 -5 *1530:10 0.00139796 -6 *1530:9 0.00236045 -7 *1530:9 *1538:15 3.20069e-06 -8 *1530:10 *1537:10 0.000848219 -9 *1530:10 *1539:10 0.000795674 -10 *2820:la_iena_mprj[87] *1530:13 0 -11 *2826:la_input[87] *1530:9 0 -12 *786:5 *1530:9 0.000395615 -13 *891:7 *1530:13 0.00153194 -14 *1136:7 *1530:13 0.000804506 -15 *1146:9 *1530:9 0 -16 *1147:10 *1530:10 7.68538e-06 -17 *1393:7 *1530:13 0.00102631 -18 *1402:10 *1530:10 0.00135533 -19 *1528:10 *1530:10 0.0125329 -20 *1529:10 *1530:10 0.0144763 -*RES -1 *2826:la_oenb[87] *1530:9 32.2608 -2 *1530:9 *1530:10 173.76 -3 *1530:10 *1530:12 4.5 -4 *1530:12 *1530:13 61.8359 -5 *1530:13 *2820:la_oenb_mprj[87] 1.77093 -*END - -*D_NET *1531 0.0301294 -*CONN -*I *2820:la_oenb_mprj[88] I *D mgmt_protect -*I *2826:la_oenb[88] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[88] 0.00119112 -2 *2826:la_oenb[88] 0.00099775 -3 *1531:16 0.00440075 -4 *1531:15 0.00455297 -5 *1531:10 0.00298611 -6 *1531:9 0.00264051 -7 *1531:10 *1532:10 0.0066364 -8 *1531:15 *1532:13 0.000821233 -9 *1531:16 *1538:16 0.000185012 -10 *1531:16 *1542:16 0.000143231 -11 *1531:16 *1543:16 0.00203802 -12 *2820:la_iena_mprj[88] *2820:la_oenb_mprj[88] 0 -13 *2820:la_oenb_mprj[102] *1531:15 0 -14 *789:5 *1531:9 0 -15 *790:5 *1531:9 0 -16 *891:8 *1531:16 0 -17 *891:11 *1531:9 0 -18 *892:7 *2820:la_oenb_mprj[88] 0 -19 *896:8 *1531:16 0.00352764 -20 *1147:9 *1531:9 0 -21 *1397:10 *1531:16 0 -22 *1400:9 *1531:15 8.62625e-06 -23 *1521:7 *2820:la_oenb_mprj[88] 0 -*RES -1 *2826:la_oenb[88] *1531:9 29.7693 -2 *1531:9 *1531:10 70.6034 -3 *1531:10 *1531:15 44.4674 -4 *1531:15 *1531:16 116.636 -5 *1531:16 *2820:la_oenb_mprj[88] 33.4455 -*END - -*D_NET *1532 0.0435433 -*CONN -*I *2820:la_oenb_mprj[89] I *D mgmt_protect -*I *2826:la_oenb[89] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[89] 0.000840017 -2 *2826:la_oenb[89] 0.00096134 -3 *1532:16 0.00239233 -4 *1532:15 0.00155231 -5 *1532:13 0.00162017 -6 *1532:10 0.00268625 -7 *1532:9 0.00202742 -8 *1532:10 *1536:10 0.0066808 -9 *2820:la_iena_mprj[102] *1532:13 0 -10 *2820:la_iena_mprj[89] *2820:la_oenb_mprj[89] 0 -11 *2820:la_oenb_mprj[101] *1532:13 0 -12 *2820:la_oenb_mprj[102] *1532:13 0 -13 *792:5 *1532:9 0.000231363 -14 *886:8 *1532:16 0.000591614 -15 *887:8 *1532:16 0.000467085 -16 *892:11 *1532:9 0 -17 *894:10 *2820:la_oenb_mprj[89] 0 -18 *894:12 *1532:16 0 -19 *900:8 *1532:16 0.000332046 -20 *901:8 *1532:16 0.00416385 -21 *1137:7 *2820:la_oenb_mprj[89] 0.000134323 -22 *1140:10 *1532:16 0.00379586 -23 *1141:10 *1532:16 0.000287586 -24 *1145:10 *1532:10 1.41853e-05 -25 *1148:9 *1532:9 0 -26 *1302:10 *1532:10 0 -27 *1400:10 *1532:10 6.50586e-05 -28 *1401:10 *1532:10 0.00200006 -29 *1525:10 *1532:16 0.00524197 -30 *1531:10 *1532:10 0.0066364 -31 *1531:15 *1532:13 0.000821233 -*RES -1 *2826:la_oenb[89] *1532:9 29.6228 -2 *1532:9 *1532:10 92.7876 -3 *1532:10 *1532:13 46.6115 -4 *1532:13 *1532:15 4.5 -5 *1532:15 *1532:16 106.653 -6 *1532:16 *2820:la_oenb_mprj[89] 26.3862 -*END - -*D_NET *1533 0.186388 -*CONN -*I *2820:la_oenb_mprj[8] I *D mgmt_protect -*I *2826:la_oenb[8] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[8] 0.00272651 -2 *2826:la_oenb[8] 0.00147469 -3 *1533:12 0.00272651 -4 *1533:10 0.00855303 -5 *1533:9 0.0100277 -6 *2820:la_data_out_mprj[9] *2820:la_oenb_mprj[8] 0 -7 *2820:la_iena_mprj[8] *2820:la_oenb_mprj[8] 0 -8 *2826:la_input[45] *2820:la_oenb_mprj[8] 0.000103626 -9 *893:11 *1533:9 0 -10 *904:7 *2820:la_oenb_mprj[8] 0 -11 *1149:9 *1533:9 0 -12 *1311:10 *1533:10 0.0750797 -13 *1405:10 *1533:10 0.0803639 -14 *1405:15 *2820:la_oenb_mprj[8] 0 -15 *1451:10 *1533:10 0 -16 *1459:10 *1533:10 0.00282738 -17 *1461:10 *1533:10 0.00250524 -*RES -1 *2826:la_oenb[8] *1533:9 39.6423 -2 *1533:9 *1533:10 102.7 -3 *1533:10 *1533:12 3.36879 -4 *1533:12 *2820:la_oenb_mprj[8] 53.2378 -*END - -*D_NET *1534 0.0502686 -*CONN -*I *2820:la_oenb_mprj[90] I *D mgmt_protect -*I *2826:la_oenb[90] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[90] 0.0022895 -2 *2826:la_oenb[90] 0.00122092 -3 *1534:12 0.0022895 -4 *1534:10 0.00153509 -5 *1534:9 0.002756 -6 *2820:la_data_out_mprj[91] *2820:la_oenb_mprj[90] 0 -7 *2820:la_iena_mprj[90] *2820:la_oenb_mprj[90] 0 -8 *794:5 *1534:9 0.000159754 -9 *883:11 *2820:la_oenb_mprj[90] 0 -10 *894:15 *1534:9 0 -11 *895:7 *2820:la_oenb_mprj[90] 0 -12 *1150:9 *1534:9 0 -13 *1150:10 *1534:10 0.0198561 -14 *1415:10 *1534:10 0.000159075 -15 *1419:10 *1534:10 0.0108907 -16 *1420:10 *1534:10 0.000504041 -17 *1527:10 *1534:10 0.00860782 -*RES -1 *2826:la_oenb[90] *1534:9 35.5828 -2 *1534:9 *1534:10 210.364 -3 *1534:10 *1534:12 4.5 -4 *1534:12 *2820:la_oenb_mprj[90] 58.4284 -*END - -*D_NET *1535 0.0387497 -*CONN -*I *2820:la_oenb_mprj[91] I *D mgmt_protect -*I *2826:la_oenb[91] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[91] 0.0016605 -2 *2826:la_oenb[91] 0.00181922 -3 *1535:12 0.0016605 -4 *1535:10 0.0052705 -5 *1535:9 0.00708972 -6 *1535:10 *1541:12 0.000101794 -7 *2820:la_iena_mprj[91] *2820:la_oenb_mprj[91] 0 -8 *796:7 *1535:9 0 -9 *797:5 *1535:9 0 -10 *895:11 *1535:9 0 -11 *896:7 *2820:la_oenb_mprj[91] 0 -12 *902:8 *1535:10 0 -13 *1139:7 *2820:la_oenb_mprj[91] 0 -14 *1151:9 *1535:9 0 -15 *1151:10 *1535:10 0.000412036 -16 *1407:10 *1535:10 0.01988 -17 *1523:7 *2820:la_oenb_mprj[91] 0.000855418 -*RES -1 *2826:la_oenb[91] *1535:9 44.3031 -2 *1535:9 *1535:10 222.01 -3 *1535:10 *1535:12 4.5 -4 *1535:12 *2820:la_oenb_mprj[91] 49.7081 -*END - -*D_NET *1536 0.040001 -*CONN -*I *2820:la_oenb_mprj[92] I *D mgmt_protect -*I *2826:la_oenb[92] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[92] 0.000608673 -2 *2826:la_oenb[92] 0.00105092 -3 *1536:16 0.00333583 -4 *1536:15 0.00272715 -5 *1536:13 0.00207631 -6 *1536:12 0.00207631 -7 *1536:10 0.00221729 -8 *1536:9 0.00326821 -9 *1536:10 *1537:10 0.00479196 -10 *1536:13 *1537:13 0 -11 *1536:16 *1537:16 0.00824068 -12 *2820:la_data_out_mprj[104] *1536:13 0 -13 *2820:la_data_out_mprj[105] *1536:13 0 -14 *2820:la_iena_mprj[104] *1536:13 6.67654e-05 -15 *2820:la_iena_mprj[92] *2820:la_oenb_mprj[92] 0 -16 *2820:la_oenb_mprj[104] *1536:13 0.000378573 -17 *2826:la_input[86] *1536:13 0 -18 *781:8 *1536:16 0.000194701 -19 *800:5 *1536:9 0.00016343 -20 *895:8 *1536:16 4.5891e-05 -21 *896:11 *1536:9 0 -22 *897:5 *2820:la_oenb_mprj[92] 0 -23 *1145:10 *1536:10 0.00136921 -24 *1302:10 *1536:10 0 -25 *1396:10 *1536:16 1.43848e-05 -26 *1401:9 *1536:13 8.62625e-06 -27 *1433:10 *1536:10 0.000685261 -28 *1532:10 *1536:10 0.0066808 -*RES -1 *2826:la_oenb[92] *1536:9 30.5998 -2 *1536:9 *1536:10 131.055 -3 *1536:10 *1536:12 4.5 -4 *1536:12 *1536:13 47.5097 -5 *1536:13 *1536:15 4.5 -6 *1536:15 *1536:16 102.77 -7 *1536:16 *2820:la_oenb_mprj[92] 20.5727 -*END - -*D_NET *1537 0.0404959 -*CONN -*I *2820:la_oenb_mprj[93] I *D mgmt_protect -*I *2826:la_oenb[93] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[93] 0.000630099 -2 *2826:la_oenb[93] 0.00116542 -3 *1537:16 0.00204987 -4 *1537:15 0.00141977 -5 *1537:13 0.00202056 -6 *1537:12 0.00202056 -7 *1537:10 0.0021467 -8 *1537:9 0.00331212 -9 *1537:10 *1538:10 0.00495585 -10 *1537:10 *1539:10 2.18145e-05 -11 *2820:la_data_out_mprj[104] *1537:13 0.000191669 -12 *2820:la_iena_mprj[103] *1537:13 0 -13 *2820:la_iena_mprj[93] *2820:la_oenb_mprj[93] 0 -14 *2820:la_oenb_mprj[103] *1537:13 0 -15 *2826:la_input[93] *1537:9 0 -16 *778:8 *1537:16 0.0010229 -17 *781:8 *1537:16 0.000884788 -18 *782:7 *1537:13 0.000155272 -19 *802:5 *1537:9 0 -20 *895:8 *1537:16 0.000832927 -21 *898:5 *2820:la_oenb_mprj[93] 0 -22 *1144:9 *1537:13 8.62625e-06 -23 *1145:10 *1537:10 0.00184493 -24 *1153:9 *1537:9 0 -25 *1433:10 *1537:10 0.000372361 -26 *1441:10 *1537:10 0.000183145 -27 *1529:10 *1537:10 0.00137566 -28 *1530:10 *1537:10 0.000848219 -29 *1536:10 *1537:10 0.00479196 -30 *1536:13 *1537:13 0 -31 *1536:16 *1537:16 0.00824068 -*RES -1 *2826:la_oenb[93] *1537:9 31.4303 -2 *1537:9 *1537:10 156.567 -3 *1537:10 *1537:12 4.5 -4 *1537:12 *1537:13 46.264 -5 *1537:13 *1537:15 4.5 -6 *1537:15 *1537:16 88.9054 -7 *1537:16 *2820:la_oenb_mprj[93] 20.988 -*END - -*D_NET *1538 0.0516716 -*CONN -*I *2820:la_oenb_mprj[94] I *D mgmt_protect -*I *2826:la_oenb[94] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[94] 0.00106416 -2 *2826:la_oenb[94] 0.00122196 -3 *1538:16 0.0025382 -4 *1538:15 0.00289672 -5 *1538:10 0.00310493 -6 *1538:9 0.00290422 -7 *1538:10 *1539:10 0.013207 -8 *1538:15 *1542:15 0 -9 *1538:16 *1542:16 0.00770652 -10 *2820:la_data_out_mprj[108] *1538:15 0.000217587 -11 *2820:la_iena_mprj[108] *1538:15 0.000347102 -12 *2820:la_iena_mprj[94] *2820:la_oenb_mprj[94] 0 -13 *2826:la_input[94] *1538:9 0 -14 *786:5 *1538:15 0 -15 *804:7 *1538:9 0 -16 *805:5 *1538:9 0 -17 *891:8 *1538:16 0.0108767 -18 *899:5 *2820:la_oenb_mprj[94] 0 -19 *1140:7 *2820:la_oenb_mprj[94] 7.03385e-05 -20 *1146:9 *1538:15 0 -21 *1154:9 *1538:9 0 -22 *1441:10 *1538:10 0.000232897 -23 *1443:10 *1538:10 0.000139256 -24 *1530:9 *1538:15 3.20069e-06 -25 *1531:16 *1538:16 0.000185012 -26 *1537:10 *1538:10 0.00495585 -*RES -1 *2826:la_oenb[94] *1538:9 32.2608 -2 *1538:9 *1538:10 141.038 -3 *1538:10 *1538:15 44.0522 -4 *1538:15 *1538:16 116.636 -5 *1538:16 *2820:la_oenb_mprj[94] 31.3693 -*END - -*D_NET *1539 0.0582634 -*CONN -*I *2820:la_oenb_mprj[95] I *D mgmt_protect -*I *2826:la_oenb[95] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[95] 0.000716767 -2 *2826:la_oenb[95] 0.00124443 -3 *1539:16 0.00239396 -4 *1539:15 0.00167719 -5 *1539:13 0.0016537 -6 *1539:10 0.00322902 -7 *1539:9 0.00281976 -8 *1539:10 *1540:10 0.0154561 -9 *1539:13 *1540:15 0 -10 *2820:la_data_out_mprj[107] *1539:13 0.000394426 -11 *2820:la_iena_mprj[95] *2820:la_oenb_mprj[95] 0 -12 *2826:la_input[87] *1539:13 0 -13 *2826:la_input[95] *1539:9 0 -14 *780:8 *1539:16 0.00412743 -15 *785:5 *1539:13 0.000605676 -16 *885:8 *1539:16 1.21461e-06 -17 *895:8 *1539:16 0.00913061 -18 *900:7 *2820:la_oenb_mprj[95] 0 -19 *900:8 *1539:16 0 -20 *1035:16 *1539:16 3.6408e-05 -21 *1141:10 *1539:16 5.42157e-05 -22 *1147:10 *1539:10 0.000229024 -23 *1155:9 *1539:9 0 -24 *1291:10 *1539:16 0.000102814 -25 *1402:9 *1539:13 3.31733e-05 -26 *1443:10 *1539:10 0.000332926 -27 *1530:10 *1539:10 0.000795674 -28 *1537:10 *1539:10 2.18145e-05 -29 *1538:10 *1539:10 0.013207 -*RES -1 *2826:la_oenb[95] *1539:9 32.6761 -2 *1539:9 *1539:10 170.432 -3 *1539:10 *1539:13 47.442 -4 *1539:13 *1539:15 4.5 -5 *1539:15 *1539:16 98.3336 -6 *1539:16 *2820:la_oenb_mprj[95] 23.0642 -*END - -*D_NET *1540 0.0451582 -*CONN -*I *2820:la_oenb_mprj[96] I *D mgmt_protect -*I *2826:la_oenb[96] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[96] 0.00117851 -2 *2826:la_oenb[96] 0.0012727 -3 *1540:16 0.00369683 -4 *1540:15 0.00374013 -5 *1540:10 0.00499229 -6 *1540:9 0.00504319 -7 *1540:10 *2495:10 0.000187844 -8 *2820:la_iena_mprj[96] *2820:la_oenb_mprj[96] 0 -9 *2826:la_input[87] *1540:15 0 -10 *786:5 *1540:15 0.000459291 -11 *896:8 *1540:16 0.00297891 -12 *900:11 *1540:9 0 -13 *901:7 *2820:la_oenb_mprj[96] 0 -14 *1037:20 *1540:16 0 -15 *1039:20 *1540:16 0.00210403 -16 *1141:7 *2820:la_oenb_mprj[96] 0.00015149 -17 *1147:10 *1540:10 0.00261156 -18 *1150:10 *1540:10 8.68552e-05 -19 *1156:9 *1540:9 0 -20 *1406:10 *1540:10 0.00105801 -21 *1419:10 *1540:10 0 -22 *1443:10 *1540:10 3.94365e-05 -23 *1447:10 *1540:10 0.000100974 -24 *1539:10 *1540:10 0.0154561 -25 *1539:13 *1540:15 0 -*RES -1 *2826:la_oenb[96] *1540:9 33.0913 -2 *1540:9 *1540:10 185.406 -3 *1540:10 *1540:15 39.4844 -4 *1540:15 *1540:16 95.5606 -5 *1540:16 *2820:la_oenb_mprj[96] 35.1065 -*END - -*D_NET *1541 0.0692996 -*CONN -*I *2820:la_oenb_mprj[97] I *D mgmt_protect -*I *2826:la_oenb[97] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[97] 0.00137228 -2 *2826:la_oenb[97] 0.0017059 -3 *1541:12 0.0138311 -4 *1541:9 0.0141648 -5 *1541:9 *1847:5 0 -6 *1541:12 *1542:10 0.000868884 -7 *1541:12 *1543:10 0.000503594 -8 *1541:12 *1889:10 0.000272907 -9 *1541:12 *1911:16 0.000216058 -10 *1541:12 *2488:10 0.000294091 -11 *886:11 *2820:la_oenb_mprj[97] 0 -12 *897:8 *1541:12 0.000850566 -13 *901:11 *1541:9 0 -14 *902:5 *2820:la_oenb_mprj[97] 0 -15 *1061:10 *1541:12 0 -16 *1146:10 *1541:12 0.000347544 -17 *1151:10 *1541:12 0.000107179 -18 *1157:12 *1541:12 7.54336e-05 -19 *1158:12 *1541:12 0.031031 -20 *1403:10 *1541:12 0.00012426 -21 *1407:10 *1541:12 0.000102215 -22 *1408:10 *1541:12 0.000216928 -23 *1413:13 *2820:la_oenb_mprj[97] 0.00311302 -24 *1535:10 *1541:12 0.000101794 -*RES -1 *2826:la_oenb[97] *1541:9 40.4728 -2 *1541:9 *1541:12 43.6525 -3 *1541:12 *2820:la_oenb_mprj[97] 52.4073 -*END - -*D_NET *1542 0.0593771 -*CONN -*I *2820:la_oenb_mprj[98] I *D mgmt_protect -*I *2826:la_oenb[98] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[98] 0.00104895 -2 *2826:la_oenb[98] 0.00160044 -3 *1542:16 0.00241542 -4 *1542:15 0.00217815 -5 *1542:10 0.00367004 -6 *1542:9 0.0044588 -7 *1542:9 *2820:mprj_adr_o_core[5] 0 -8 *1542:10 *1543:10 0.0195245 -9 *1542:10 *1851:8 0 -10 *1542:16 *1543:16 0.00183195 -11 *2820:la_iena_mprj[108] *1542:15 0 -12 *2820:la_iena_mprj[98] *2820:la_oenb_mprj[98] 0 -13 *781:11 *1542:9 0.00044658 -14 *787:5 *1542:15 0.000440845 -15 *891:8 *1542:16 0.000178097 -16 *897:8 *1542:10 0.0104659 -17 *903:5 *2820:la_oenb_mprj[98] 0 -18 *1146:9 *1542:15 8.62625e-06 -19 *1158:9 *1542:9 0 -20 *1403:10 *1542:10 0.000426168 -21 *1408:10 *1542:10 0.000288876 -22 *1411:10 *1542:10 0.00148512 -23 *1413:10 *1542:10 0 -24 *1526:7 *2820:la_oenb_mprj[98] 0.000190042 -25 *1531:16 *1542:16 0.000143231 -26 *1538:15 *1542:15 0 -27 *1538:16 *1542:16 0.00770652 -28 *1541:12 *1542:10 0.000868884 -*RES -1 *2826:la_oenb[98] *1542:9 41.1033 -2 *1542:9 *1542:10 218.683 -3 *1542:10 *1542:15 33.6709 -4 *1542:15 *1542:16 86.687 -5 *1542:16 *2820:la_oenb_mprj[98] 31.7845 -*END - -*D_NET *1543 0.0537469 -*CONN -*I *2820:la_oenb_mprj[99] I *D mgmt_protect -*I *2826:la_oenb[99] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[99] 0.00110684 -2 *2826:la_oenb[99] 0.00174573 -3 *1543:16 0.00307291 -4 *1543:15 0.00250805 -5 *1543:10 0.00486909 -6 *1543:9 0.00607285 -7 *1543:9 *2820:mprj_adr_o_core[9] 0 -8 *1543:9 *2820:mprj_dat_o_core[8] 0.000154302 -9 *1543:9 *1855:5 5.27542e-05 -10 *1543:10 *1855:8 0 -11 *2820:la_data_out_mprj[100] *2820:la_oenb_mprj[99] 0 -12 *2820:la_data_out_mprj[110] *1543:15 0.000831044 -13 *2820:la_iena_mprj[110] *1543:15 0 -14 *2820:la_iena_mprj[99] *2820:la_oenb_mprj[99] 0 -15 *2826:la_input[99] *1543:9 0 -16 *778:7 *2820:la_oenb_mprj[99] 0 -17 *789:5 *1543:15 0.000611412 -18 *891:8 *1543:16 5.28017e-05 -19 *896:8 *1543:16 0.00158142 -20 *1039:14 *1543:10 0 -21 *1041:10 *1543:10 0 -22 *1041:16 *1543:16 0 -23 *1159:9 *1543:9 0 -24 *1399:7 *2820:la_oenb_mprj[99] 0.000100655 -25 *1408:10 *1543:10 0.00708059 -26 *1427:16 *1543:16 8.3247e-06 -27 *1531:16 *1543:16 0.00203802 -28 *1541:12 *1543:10 0.000503594 -29 *1542:10 *1543:10 0.0195245 -30 *1542:16 *1543:16 0.00183195 -*RES -1 *2826:la_oenb[99] *1543:9 42.6421 -2 *1543:9 *1543:10 225.892 -3 *1543:10 *1543:15 32.0099 -4 *1543:15 *1543:16 89.46 -5 *1543:16 *2820:la_oenb_mprj[99] 33.0303 -*END - -*D_NET *1544 0.177988 -*CONN -*I *2820:la_oenb_mprj[9] I *D mgmt_protect -*I *2826:la_oenb[9] O *D mgmt_core_wrapper -*CAP -1 *2820:la_oenb_mprj[9] 0.000704738 -2 *2826:la_oenb[9] 7.21667e-05 -3 *1544:10 0.00682833 -4 *1544:9 0.00612359 -5 *1544:7 0.00286113 -6 *1544:5 0.00293329 -7 *2820:la_iena_mprj[9] *2820:la_oenb_mprj[9] 0 -8 *788:5 *2820:la_oenb_mprj[9] 0 -9 *904:11 *1544:7 0 -10 *1160:9 *1544:7 0 -11 *1300:10 *1544:10 0.000480973 -12 *1320:10 *1544:10 0.0743318 -13 *1338:10 *1544:10 0.00301677 -14 *1341:10 *1544:10 0.00277347 -15 *1416:10 *1544:10 0.000268945 -16 *1428:10 *1544:10 0.0775924 -*RES -1 *2826:la_oenb[9] *1544:5 2.05183 -2 *1544:5 *1544:7 69.9334 -3 *1544:7 *1544:9 3.36879 -4 *1544:9 *1544:10 101.093 -5 *1544:10 *2820:la_oenb_mprj[9] 20.8949 -*END - -*D_NET *1545 0.063688 -*CONN -*I *2821:la_oenb[0] I *D user_project_wrapper -*I *2820:la_oenb_core[0] O *D mgmt_protect -*CAP -1 *2821:la_oenb[0] 0.00253657 -2 *2820:la_oenb_core[0] 0.00105905 -3 *1545:14 0.00267783 -4 *1545:8 0.00237053 -5 *1545:7 0.00328832 -6 *2821:la_oenb[0] *2496:7 0 -7 *1545:8 *1584:8 0.000463711 -8 *1545:8 *1584:14 4.77168e-06 -9 *1545:8 *1595:8 0.0225692 -10 *1545:8 *1802:8 1.67988e-05 -11 *1545:8 *1811:8 0.000307881 -12 *1545:8 *1868:8 0.000895431 -13 *1545:14 *1802:8 0.00127141 -14 *1545:14 *1868:8 0.000272272 -15 *1545:14 *2500:8 0.000638598 -16 *2820:la_data_out_core[0] *1545:7 0 -17 *905:7 *1545:7 0 -18 *944:5 *1545:7 0 -19 *977:13 *1545:7 7.28919e-05 -20 *1200:12 *1545:8 0.0252427 -*RES -1 *2820:la_oenb_core[0] *1545:7 34.276 -2 *1545:7 *1545:8 269.706 -3 *1545:8 *1545:14 18.558 -4 *1545:14 *2821:la_oenb[0] 53.0301 -*END - -*D_NET *1546 0.226257 -*CONN -*I *2821:la_oenb[100] I *D user_project_wrapper -*I *2820:la_oenb_core[100] O *D mgmt_protect -*CAP -1 *2821:la_oenb[100] 0.00141705 -2 *2820:la_oenb_core[100] 0.00118617 -3 *1546:18 0.00824019 -4 *1546:17 0.00795641 -5 *1546:13 0.00231945 -6 *1546:13 *1547:7 3.31882e-05 -7 *1546:13 *1633:14 0.000277287 -8 *1546:18 *1552:8 0.0002798 -9 *1546:18 *1568:8 0.0068286 -10 *2820:la_data_out_core[100] *1546:13 0 -11 *2821:la_data_in[52] *1546:13 6.04912e-06 -12 *2821:la_data_in[52] *1546:17 0.000440932 -13 *906:5 *1546:13 0 -14 *906:8 *1546:18 0.0976144 -15 *907:7 *1546:13 0 -16 *1022:8 *1546:13 0.000134328 -17 *1163:11 *1546:13 6.61114e-05 -18 *1163:11 *1546:17 0.000441219 -19 *1188:8 *1546:18 0.000591232 -20 *1190:8 *1546:18 0.0508853 -21 *1261:8 *1546:18 0.0474208 -22 *1279:14 *1546:13 0.00011818 -*RES -1 *2820:la_oenb_core[100] *1546:13 41.1766 -2 *1546:13 *1546:17 30.6242 -3 *1546:17 *1546:18 1041.71 -4 *1546:18 *2821:la_oenb[100] 37.598 -*END - -*D_NET *1547 0.113786 -*CONN -*I *2821:la_oenb[101] I *D user_project_wrapper -*I *2820:la_oenb_core[101] O *D mgmt_protect -*CAP -1 *2821:la_oenb[101] 0.00258694 -2 *2820:la_oenb_core[101] 0.000737788 -3 *1547:10 0.00258694 -4 *1547:8 0.0312148 -5 *1547:7 0.0319526 -6 *1547:8 *1565:8 0.00194051 -7 *1547:8 *1633:14 0.0256752 -8 *1547:8 *1635:18 0.00185942 -9 *1547:8 *1638:12 0.000184958 -10 *1547:8 *1641:8 0 -11 *1547:8 *1643:8 0 -12 *1547:8 *1656:8 0.00512344 -13 *2821:la_data_in[52] *1547:7 0.000205038 -14 *908:7 *1547:7 0 -15 *918:8 *1547:8 0.00348738 -16 *1022:8 *1547:8 0.00502529 -17 *1024:8 *1547:8 0 -18 *1026:8 *1547:8 0 -19 *1028:8 *1547:8 0 -20 *1030:8 *1547:8 0 -21 *1162:8 *1547:8 0 -22 *1163:11 *1547:7 0.000532382 -23 *1253:8 *1547:8 0.000639908 -24 *1256:8 *1547:8 0 -25 *1258:8 *1547:8 0 -26 *1260:8 *1547:8 0 -27 *1546:13 *1547:7 3.31882e-05 -*RES -1 *2820:la_oenb_core[101] *1547:7 27.2167 -2 *1547:7 *1547:8 1063.34 -3 *1547:8 *1547:10 4.5 -4 *1547:10 *2821:la_oenb[101] 59.6742 -*END - -*D_NET *1548 0.273947 -*CONN -*I *2821:la_oenb[102] I *D user_project_wrapper -*I *2820:la_oenb_core[102] O *D mgmt_protect -*CAP -1 *2821:la_oenb[102] 0.00128367 -2 *2820:la_oenb_core[102] 0.00237667 -3 *1548:8 0.0172417 -4 *1548:7 0.0159581 -5 *1548:5 0.00237667 -6 *1548:8 *1550:8 0.115166 -7 *1548:8 *1662:12 0.0044966 -8 *1548:8 *1670:8 0.107605 -9 *2820:la_data_out_core[102] *1548:5 0 -10 *2820:la_data_out_core[103] *1548:5 0 -11 *909:7 *1548:5 0 -12 *1181:8 *1548:8 0.00148346 -13 *1184:8 *1548:8 0.000141029 -14 *1236:5 *1548:5 0.000928172 -15 *1278:8 *1548:8 0.00489105 -16 *1282:8 *1548:8 0 -*RES -1 *2820:la_oenb_core[102] *1548:5 51.5768 -2 *1548:5 *1548:7 3.36879 -3 *1548:7 *1548:8 148.517 -4 *1548:8 *2821:la_oenb[102] 34.1829 -*END - -*D_NET *1549 0.269005 -*CONN -*I *2821:la_oenb[103] I *D user_project_wrapper -*I *2820:la_oenb_core[103] O *D mgmt_protect -*CAP -1 *2821:la_oenb[103] 0.00199977 -2 *2820:la_oenb_core[103] 0.00156782 -3 *1549:12 0.00199977 -4 *1549:10 0.0117851 -5 *1549:9 0.013353 -6 *1549:10 *1553:8 0.115065 -7 *1549:10 *1665:12 0.00012309 -8 *1549:10 *1667:12 0.000111178 -9 *1549:10 *1669:12 0.000102438 -10 *2820:la_data_out_core[103] *1549:9 0.000452827 -11 *910:7 *1549:9 0 -12 *1272:8 *1549:10 0.00625748 -13 *1274:8 *1549:10 0.00738786 -14 *1279:8 *1549:10 0.000236267 -15 *1281:8 *1549:10 0 -16 *1287:8 *1549:10 0.108563 -*RES -1 *2820:la_oenb_core[103] *1549:9 39.6301 -2 *1549:9 *1549:10 150.506 -3 *1549:10 *1549:12 3.36879 -4 *1549:12 *2821:la_oenb[103] 47.4242 -*END - -*D_NET *1550 0.282558 -*CONN -*I *2821:la_oenb[104] I *D user_project_wrapper -*I *2820:la_oenb_core[104] O *D mgmt_protect -*CAP -1 *2821:la_oenb[104] 0.00130859 -2 *2820:la_oenb_core[104] 0.00234621 -3 *1550:8 0.0188033 -4 *1550:7 0.0174947 -5 *1550:5 0.00234621 -6 *1550:8 *1559:8 0.112927 -7 *1550:8 *1648:16 0.00296876 -8 *2820:la_data_out_core[104] *1550:5 0 -9 *2820:la_data_out_core[105] *1550:5 0 -10 *2821:la_data_in[53] *1550:5 0.000674349 -11 *911:7 *1550:5 0 -12 *1184:8 *1550:8 0.000133943 -13 *1186:8 *1550:8 0.00314983 -14 *1280:8 *1550:8 0.00524033 -15 *1282:8 *1550:8 0 -16 *1548:8 *1550:8 0.115166 -*RES -1 *2820:la_oenb_core[104] *1550:5 50.7463 -2 *1550:5 *1550:7 3.36879 -3 *1550:7 *1550:8 152.265 -4 *1550:8 *2821:la_oenb[104] 35.0134 -*END - -*D_NET *1551 0.18905 -*CONN -*I *2821:la_oenb[105] I *D user_project_wrapper -*I *2820:la_oenb_core[105] O *D mgmt_protect -*CAP -1 *2821:la_oenb[105] 0.00210358 -2 *2820:la_oenb_core[105] 0.00159205 -3 *1551:10 0.00210358 -4 *1551:8 0.0111502 -5 *1551:7 0.0127422 -6 *1551:8 *1553:8 0.000107179 -7 *1551:8 *1555:8 0.103238 -8 *1551:8 *1571:8 0.0106759 -9 *1551:8 *1631:16 0.00117388 -10 *1551:8 *1671:8 0.0418219 -11 *2820:la_data_out_core[105] *1551:7 0 -12 *2820:la_data_out_core[106] *1551:7 0 -13 *912:7 *1551:7 0 -14 *1238:8 *1551:8 0.00178763 -15 *1239:8 *1551:8 0.000554491 -*RES -1 *2820:la_oenb_core[105] *1551:7 36.7675 -2 *1551:7 *1551:8 1116.59 -3 *1551:8 *1551:10 4.5 -4 *1551:10 *2821:la_oenb[105] 50.1234 -*END - -*D_NET *1552 0.244111 -*CONN -*I *2821:la_oenb[106] I *D user_project_wrapper -*I *2820:la_oenb_core[106] O *D mgmt_protect -*CAP -1 *2821:la_oenb[106] 0.00141844 -2 *2820:la_oenb_core[106] 0.00248894 -3 *1552:8 0.00886569 -4 *1552:7 0.00744725 -5 *1552:5 0.00248894 -6 *1552:5 *2821:la_oenb[53] 0 -7 *1552:8 *1554:8 0.105886 -8 *1552:8 *1570:8 0.00818328 -9 *1552:8 *1623:10 0.000268359 -10 *2820:la_data_out_core[106] *1552:5 0 -11 *2821:la_data_in[54] *1552:5 0 -12 *913:7 *1552:5 0 -13 *1190:8 *1552:8 0.0631247 -14 *1261:8 *1552:8 0.0436593 -15 *1546:18 *1552:8 0.0002798 -*RES -1 *2820:la_oenb_core[106] *1552:5 48.4624 -2 *1552:5 *1552:7 4.5 -3 *1552:7 *1552:8 1130.45 -4 *1552:8 *2821:la_oenb[106] 38.4285 -*END - -*D_NET *1553 0.282701 -*CONN -*I *2821:la_oenb[107] I *D user_project_wrapper -*I *2820:la_oenb_core[107] O *D mgmt_protect -*CAP -1 *2821:la_oenb[107] 0.00199545 -2 *2820:la_oenb_core[107] 0.00169885 -3 *1553:10 0.00199545 -4 *1553:8 0.0138369 -5 *1553:7 0.0155357 -6 *1553:8 *1555:8 0.000102215 -7 *1553:8 *1564:8 0.11569 -8 *1553:8 *1665:12 0.00864537 -9 *1553:8 *1667:12 0.000267774 -10 *1553:8 *1669:12 0.000246179 -11 *1553:8 *1671:8 0.00012426 -12 *2820:la_data_out_core[107] *1553:7 0 -13 *2820:la_data_out_core[108] *1553:7 0 -14 *914:7 *1553:7 0 -15 *1238:5 *1553:7 0 -16 *1279:8 *1553:8 0.00739059 -17 *1281:8 *1553:8 0 -18 *1549:10 *1553:8 0.115065 -19 *1551:8 *1553:8 0.000107179 -*RES -1 *2820:la_oenb_core[107] *1553:7 37.505 -2 *1553:7 *1553:8 157.696 -3 *1553:8 *1553:10 3.36879 -4 *1553:10 *2821:la_oenb[107] 48.2547 -*END - -*D_NET *1554 0.24747 -*CONN -*I *2821:la_oenb[108] I *D user_project_wrapper -*I *2820:la_oenb_core[108] O *D mgmt_protect -*CAP -1 *2821:la_oenb[108] 0.00142929 -2 *2820:la_oenb_core[108] 0.00249284 -3 *1554:8 0.00909472 -4 *1554:7 0.00766544 -5 *1554:5 0.00249284 -6 *1554:5 *2821:la_oenb[54] 0 -7 *1554:8 *1557:8 0.108423 -8 *1554:8 *1572:8 0.00777676 -9 *1554:8 *1623:10 0.000384993 -10 *2820:la_data_out_core[108] *1554:5 0 -11 *2820:la_data_out_core[109] *1554:5 0 -12 *915:7 *1554:5 0 -13 *1190:8 *1554:8 0.00182431 -14 *1238:5 *1554:5 0 -15 *1552:8 *1554:8 0.105886 -*RES -1 *2820:la_oenb_core[108] *1554:5 48.0471 -2 *1554:5 *1554:7 4.5 -3 *1554:7 *1554:8 1157.07 -4 *1554:8 *2821:la_oenb[108] 38.8438 -*END - -*D_NET *1555 0.251611 -*CONN -*I *2821:la_oenb[109] I *D user_project_wrapper -*I *2820:la_oenb_core[109] O *D mgmt_protect -*CAP -1 *2821:la_oenb[109] 0.00208096 -2 *2820:la_oenb_core[109] 0.00148123 -3 *1555:10 0.00208096 -4 *1555:8 0.00857538 -5 *1555:7 0.0100566 -6 *1555:8 *1558:8 0.109857 -7 *1555:8 *1571:8 0.0126859 -8 *2820:la_data_out_core[109] *1555:7 0 -9 *2821:la_data_in[55] *1555:7 0.000341235 -10 *917:7 *1555:7 0 -11 *1239:8 *1555:8 0.000791462 -12 *1240:8 *1555:8 0.000320552 -13 *1551:8 *1555:8 0.103238 -14 *1553:8 *1555:8 0.000102215 -*RES -1 *2820:la_oenb_core[109] *1555:7 36.3523 -2 *1555:7 *1555:8 1169.83 -3 *1555:8 *1555:10 4.5 -4 *1555:10 *2821:la_oenb[109] 50.5386 -*END - -*D_NET *1556 0.0287746 -*CONN -*I *2821:la_oenb[10] I *D user_project_wrapper -*I *2820:la_oenb_core[10] O *D mgmt_protect -*CAP -1 *2821:la_oenb[10] 0.00168298 -2 *2820:la_oenb_core[10] 0.00139726 -3 *1556:12 0.00299719 -4 *1556:10 0.00244672 -5 *1556:7 0.00252977 -6 *2821:la_oenb[10] *2820:mprj_dat_i_user[24] 0 -7 *2821:la_oenb[10] *1805:7 3.20069e-06 -8 *1556:12 *1945:8 0.00575932 -9 *2820:la_data_out_core[10] *1556:7 0 -10 *927:5 *1556:7 0 -11 *1172:8 *1556:10 0.00184 -12 *1172:8 *1556:12 0.00947314 -13 *1196:8 *1556:10 0 -14 *1197:8 *1556:10 0.000645042 -*RES -1 *2820:la_oenb_core[10] *1556:7 43.8268 -2 *1556:7 *1556:10 49.8299 -3 *1556:10 *1556:12 100.275 -4 *1556:12 *2821:la_oenb[10] 47.9793 -*END - -*D_NET *1557 0.25319 -*CONN -*I *2821:la_oenb[110] I *D user_project_wrapper -*I *2820:la_oenb_core[110] O *D mgmt_protect -*CAP -1 *2821:la_oenb[110] 0.00144026 -2 *2820:la_oenb_core[110] 0.00229311 -3 *1557:8 0.0095324 -4 *1557:7 0.00809214 -5 *1557:5 0.00229311 -6 *1557:8 *1561:8 0.109404 -7 *1557:8 *1572:8 0.00894725 -8 *1557:8 *1623:10 0.000320474 -9 *1557:8 *1627:14 0.0005984 -10 *2820:la_data_out_core[110] *1557:5 0 -11 *2820:la_data_out_core[111] *1557:5 0 -12 *918:7 *1557:5 0 -13 *1190:8 *1557:8 0.00105915 -14 *1239:5 *1557:5 0.000786733 -15 *1554:8 *1557:8 0.108423 -*RES -1 *2820:la_oenb_core[110] *1557:5 47.6319 -2 *1557:5 *1557:7 4.5 -3 *1557:7 *1557:8 1183.69 -4 *1557:8 *2821:la_oenb[110] 39.2591 -*END - -*D_NET *1558 0.259164 -*CONN -*I *2821:la_oenb[111] I *D user_project_wrapper -*I *2820:la_oenb_core[111] O *D mgmt_protect -*CAP -1 *2821:la_oenb[111] 0.00208291 -2 *2820:la_oenb_core[111] 0.00161241 -3 *1558:10 0.00208291 -4 *1558:8 0.00806286 -5 *1558:7 0.00967527 -6 *1558:8 *1560:8 0.112406 -7 *1558:8 *1571:8 0.000110257 -8 *1558:8 *1624:8 0.000103217 -9 *2820:la_data_out_core[111] *1558:7 0 -10 *2820:la_data_out_core[112] *1558:7 0 -11 *919:7 *1558:7 0 -12 *1191:8 *1558:8 0.0118005 -13 *1240:8 *1558:8 0.00126944 -14 *1281:8 *1558:8 0.000101794 -15 *1555:8 *1558:8 0.109857 -*RES -1 *2820:la_oenb_core[111] *1558:7 35.937 -2 *1558:7 *1558:8 1196.45 -3 *1558:8 *1558:10 4.5 -4 *1558:10 *2821:la_oenb[111] 50.9539 -*END - -*D_NET *1559 0.301643 -*CONN -*I *2821:la_oenb[112] I *D user_project_wrapper -*I *2820:la_oenb_core[112] O *D mgmt_protect -*CAP -1 *2821:la_oenb[112] 0.0013124 -2 *2820:la_oenb_core[112] 0.00247024 -3 *1559:8 0.0224516 -4 *1559:7 0.0211392 -5 *1559:5 0.00247024 -6 *1559:8 *1568:8 0.124477 -7 *1559:8 *1646:8 0.00284326 -8 *2820:la_data_out_core[112] *1559:5 0 -9 *2821:la_data_in[56] *1559:5 0.00041279 -10 *920:7 *1559:5 0 -11 *1181:8 *1559:8 0.000406161 -12 *1186:8 *1559:8 0.00043387 -13 *1188:8 *1559:8 0.00512221 -14 *1240:5 *1559:5 0 -15 *1282:8 *1559:8 0 -16 *1284:8 *1559:8 0.00517726 -17 *1550:8 *1559:8 0.112927 -*RES -1 *2820:la_oenb_core[112] *1559:5 49.9158 -2 *1559:5 *1559:7 3.36879 -3 *1559:7 *1559:8 166.951 -4 *1559:8 *2821:la_oenb[112] 35.844 -*END - -*D_NET *1560 0.265838 -*CONN -*I *2821:la_oenb[113] I *D user_project_wrapper -*I *2820:la_oenb_core[113] O *D mgmt_protect -*CAP -1 *2821:la_oenb[113] 0.00208272 -2 *2820:la_oenb_core[113] 0.00161425 -3 *1560:10 0.00208272 -4 *1560:8 0.0082397 -5 *1560:7 0.00985396 -6 *1560:8 *1562:8 0.115015 -7 *1560:8 *1571:8 0.000101365 -8 *1560:8 *1624:8 0.000432613 -9 *1560:8 *1626:8 0.000474501 -10 *921:7 *1560:7 0 -11 *1176:11 *1560:7 0 -12 *1191:8 *1560:8 0.0134342 -13 *1281:8 *1560:8 0.000101794 -14 *1558:8 *1560:8 0.112406 -*RES -1 *2820:la_oenb_core[113] *1560:7 35.5218 -2 *1560:7 *1560:8 1223.62 -3 *1560:8 *1560:10 4.5 -4 *1560:10 *2821:la_oenb[113] 51.3691 -*END - -*D_NET *1561 0.261567 -*CONN -*I *2821:la_oenb[114] I *D user_project_wrapper -*I *2820:la_oenb_core[114] O *D mgmt_protect -*CAP -1 *2821:la_oenb[114] 0.00143105 -2 *2820:la_oenb_core[114] 0.00252903 -3 *1561:8 0.0100689 -4 *1561:7 0.00863784 -5 *1561:5 0.00252903 -6 *1561:5 *2821:la_oenb[56] 0 -7 *1561:8 *1563:8 0.116004 -8 *1561:8 *1572:8 0.000110257 -9 *1561:8 *1627:14 0.000670122 -10 *1561:8 *1822:10 0.00868061 -11 *2820:la_data_out_core[114] *1561:5 0 -12 *2821:la_data_in[57] *1561:5 0 -13 *921:7 *1561:5 0 -14 *922:7 *1561:5 0 -15 *1190:8 *1561:8 0.00150174 -16 *1557:8 *1561:8 0.109404 -*RES -1 *2820:la_oenb_core[114] *1561:5 47.2166 -2 *1561:5 *1561:7 4.5 -3 *1561:7 *1561:8 1236.38 -4 *1561:8 *2821:la_oenb[114] 39.6743 -*END - -*D_NET *1562 0.270093 -*CONN -*I *2821:la_oenb[115] I *D user_project_wrapper -*I *2820:la_oenb_core[115] O *D mgmt_protect -*CAP -1 *2821:la_oenb[115] 0.00208467 -2 *2820:la_oenb_core[115] 0.00161614 -3 *1562:10 0.00208467 -4 *1562:8 0.00839297 -5 *1562:7 0.0100091 -6 *1562:8 *1569:8 0.114541 -7 *1562:8 *1575:8 0.0127128 -8 *1562:8 *1626:8 0.0029431 -9 *1562:8 *1629:8 0.00069335 -10 *2820:la_data_out_core[115] *1562:7 0 -11 *923:7 *1562:7 0 -12 *1560:8 *1562:8 0.115015 -*RES -1 *2820:la_oenb_core[115] *1562:7 35.1065 -2 *1562:7 *1562:8 1250.25 -3 *1562:8 *1562:10 4.5 -4 *1562:10 *2821:la_oenb[115] 51.7844 -*END - -*D_NET *1563 0.269836 -*CONN -*I *2821:la_oenb[116] I *D user_project_wrapper -*I *2820:la_oenb_core[116] O *D mgmt_protect -*CAP -1 *2821:la_oenb[116] 0.00144114 -2 *2820:la_oenb_core[116] 0.0025082 -3 *1563:8 0.0101476 -4 *1563:7 0.00870647 -5 *1563:5 0.0025082 -6 *1563:5 *2821:la_oenb[57] 6.88866e-05 -7 *1563:8 *1565:20 0.111785 -8 *1563:8 *1572:8 0.000101365 -9 *1563:8 *1574:10 0.000689473 -10 *1563:8 *1574:12 1.41689e-05 -11 *1563:8 *1627:14 0.0041919 -12 *1563:8 *1630:14 0.00146909 -13 *1563:8 *1822:10 0.00993175 -14 *2820:la_data_out_core[116] *1563:5 0 -15 *2820:la_data_out_core[117] *1563:5 0 -16 *923:7 *1563:5 0 -17 *924:7 *1563:5 0 -18 *1190:8 *1563:8 0.000268953 -19 *1241:7 *1563:5 0 -20 *1561:8 *1563:8 0.116004 -*RES -1 *2820:la_oenb_core[116] *1563:5 46.8014 -2 *1563:5 *1563:7 4.5 -3 *1563:7 *1563:8 1263 -4 *1563:8 *2821:la_oenb[116] 40.0896 -*END - -*D_NET *1564 0.305632 -*CONN -*I *2821:la_oenb[117] I *D user_project_wrapper -*I *2820:la_oenb_core[117] O *D mgmt_protect -*CAP -1 *2821:la_oenb[117] 0.00193756 -2 *2820:la_oenb_core[117] 0.00163773 -3 *1564:10 0.00193756 -4 *1564:8 0.0136634 -5 *1564:7 0.0153011 -6 *1564:8 *1566:8 0.137248 -7 *1564:8 *1667:12 0.00815345 -8 *1564:8 *1669:12 0.00966932 -9 *2820:la_data_out_core[117] *1564:7 0 -10 *2821:la_data_in[58] *1564:7 0.00039406 -11 *925:7 *1564:7 0 -12 *1281:8 *1564:8 0 -13 *1553:8 *1564:8 0.11569 -*RES -1 *2820:la_oenb_core[117] *1564:7 36.6745 -2 *1564:7 *1564:8 176.13 -3 *1564:8 *1564:10 3.36879 -4 *1564:10 *2821:la_oenb[117] 49.0853 -*END - -*D_NET *1565 0.272649 -*CONN -*I *2821:la_oenb[118] I *D user_project_wrapper -*I *2820:la_oenb_core[118] O *D mgmt_protect -*CAP -1 *2821:la_oenb[118] 0.0014444 -2 *2820:la_oenb_core[118] 0.0012824 -3 *1565:20 0.0097268 -4 *1565:19 0.00868646 -5 *1565:14 0.00127699 -6 *1565:13 0.00177116 -7 *1565:8 0.00218063 -8 *1565:14 *1632:14 0.00485005 -9 *1565:19 *2821:la_oenb[61] 1.09738e-05 -10 *1565:19 *1822:7 0 -11 *1565:20 *1574:12 0.115848 -12 *1565:20 *2736:8 0.00957124 -13 *2820:la_data_out_core[118] *1565:8 0 -14 *2820:la_data_out_core[119] *1565:8 0 -15 *926:7 *1565:8 0 -16 *929:5 *1565:13 0 -17 *935:5 *1565:19 0 -18 *1022:8 *1565:8 0.000342125 -19 *1185:11 *1565:13 0.000276886 -20 *1190:8 *1565:20 0 -21 *1242:5 *1565:8 0.000809673 -22 *1267:8 *1565:14 0.00084645 -23 *1547:8 *1565:8 0.00194051 -24 *1563:8 *1565:20 0.111785 -*RES -1 *2820:la_oenb_core[118] *1565:8 47.4906 -2 *1565:8 *1565:13 26.1963 -3 *1565:13 *1565:14 51.7469 -4 *1565:14 *1565:19 16.2303 -5 *1565:19 *1565:20 1217.52 -6 *1565:20 *2821:la_oenb[118] 40.5048 -*END - -*D_NET *1566 0.313888 -*CONN -*I *2821:la_oenb[119] I *D user_project_wrapper -*I *2820:la_oenb_core[119] O *D mgmt_protect -*CAP -1 *2821:la_oenb[119] 0.00194277 -2 *2820:la_oenb_core[119] 0.00174957 -3 *1566:10 0.00194277 -4 *1566:8 0.0105075 -5 *1566:7 0.0122571 -6 *1566:7 *2821:la_oenb[58] 4.69801e-05 -7 *1566:8 *1571:8 0.138465 -8 *1566:8 *1671:8 0.0093385 -9 *2820:la_data_out_core[119] *1566:7 0 -10 *928:7 *1566:7 0 -11 *1281:8 *1566:8 0.00038987 -12 *1564:8 *1566:8 0.137248 -*RES -1 *2820:la_oenb_core[119] *1566:7 35.844 -2 *1566:7 *1566:8 179.802 -3 *1566:8 *1566:10 3.36879 -4 *1566:10 *2821:la_oenb[119] 49.9158 -*END - -*D_NET *1567 0.0247589 -*CONN -*I *2821:la_oenb[11] I *D user_project_wrapper -*I *2820:la_oenb_core[11] O *D mgmt_protect -*CAP -1 *2821:la_oenb[11] 0.00113865 -2 *2820:la_oenb_core[11] 0.00178767 -3 *1567:8 0.00384331 -4 *1567:7 0.00270466 -5 *1567:5 0.00178767 -6 *1567:8 *1578:8 0 -7 *2820:la_data_out_core[11] *1567:5 0 -8 *2821:la_data_in[18] *1567:5 0.000374412 -9 *927:8 *1567:8 0.000826775 -10 *936:5 *1567:5 0 -11 *936:8 *1567:8 0.0122957 -*RES -1 *2820:la_oenb_core[11] *1567:5 50.5386 -2 *1567:5 *1567:7 4.5 -3 *1567:7 *1567:8 136.601 -4 *1567:8 *2821:la_oenb[11] 36.3523 -*END - -*D_NET *1568 0.323614 -*CONN -*I *2821:la_oenb[120] I *D user_project_wrapper -*I *2820:la_oenb_core[120] O *D mgmt_protect -*CAP -1 *2821:la_oenb[120] 0.0012922 -2 *2820:la_oenb_core[120] 0.00271847 -3 *1568:8 0.0188884 -4 *1568:7 0.0175962 -5 *1568:5 0.00271847 -6 *1568:8 *1570:8 0.141324 -7 *2820:la_data_out_core[120] *1568:5 0 -8 *2821:la_data_in[59] *1568:5 0 -9 *906:8 *1568:8 0.00609215 -10 *929:5 *1568:5 0 -11 *1181:8 *1568:8 0.000267885 -12 *1186:8 *1568:8 0.000403492 -13 *1188:8 *1568:8 0.00080444 -14 *1282:8 *1568:8 0.000202434 -15 *1546:18 *1568:8 0.0068286 -16 *1559:8 *1568:8 0.124477 -*RES -1 *2820:la_oenb_core[120] *1568:5 49.0853 -2 *1568:5 *1568:7 3.36879 -3 *1568:7 *1568:8 181.561 -4 *1568:8 *2821:la_oenb[120] 36.6745 -*END - -*D_NET *1569 0.283978 -*CONN -*I *2821:la_oenb[121] I *D user_project_wrapper -*I *2820:la_oenb_core[121] O *D mgmt_protect -*CAP -1 *2821:la_oenb[121] 0.00201036 -2 *2820:la_oenb_core[121] 0.00165301 -3 *1569:10 0.00201036 -4 *1569:8 0.0100384 -5 *1569:7 0.0116915 -6 *1569:8 *1573:8 0.123761 -7 *1569:8 *1575:8 0.015608 -8 *1569:8 *1629:8 0.00239913 -9 *1569:8 *1631:8 0.000264711 -10 *930:7 *1569:7 0 -11 *1185:11 *1569:7 0 -12 *1562:8 *1569:8 0.114541 -*RES -1 *2820:la_oenb_core[121] *1569:7 34.6913 -2 *1569:7 *1569:8 1330.11 -3 *1569:8 *1569:10 4.5 -4 *1569:10 *2821:la_oenb[121] 52.1996 -*END - -*D_NET *1570 0.342992 -*CONN -*I *2821:la_oenb[122] I *D user_project_wrapper -*I *2820:la_oenb_core[122] O *D mgmt_protect -*CAP -1 *2821:la_oenb[122] 0.0012936 -2 *2820:la_oenb_core[122] 0.00267802 -3 *1570:8 0.0169863 -4 *1570:7 0.0156927 -5 *1570:5 0.00267802 -6 *1570:8 *1572:8 0.144268 -7 *2820:la_data_out_core[122] *1570:5 0 -8 *930:7 *1570:5 0 -9 *931:5 *1570:5 0 -10 *1190:8 *1570:8 0.00713959 -11 *1261:8 *1570:8 0.00244018 -12 *1282:8 *1570:8 0.000307989 -13 *1552:8 *1570:8 0.00818328 -14 *1568:8 *1570:8 0.141324 -*RES -1 *2820:la_oenb_core[122] *1570:5 48.2547 -2 *1570:5 *1570:7 3.36879 -3 *1570:7 *1570:8 185.233 -4 *1570:8 *2821:la_oenb[122] 37.505 -*END - -*D_NET *1571 0.338255 -*CONN -*I *2821:la_oenb[123] I *D user_project_wrapper -*I *2820:la_oenb_core[123] O *D mgmt_protect -*CAP -1 *2821:la_oenb[123] 0.00189573 -2 *2820:la_oenb_core[123] 0.00173398 -3 *1571:10 0.00189573 -4 *1571:8 0.0118744 -5 *1571:7 0.0136084 -6 *2820:la_data_out_core[123] *1571:7 0 -7 *932:7 *1571:7 0 -8 *1191:8 *1571:8 0.144649 -9 *1281:8 *1571:8 0.00055835 -10 *1551:8 *1571:8 0.0106759 -11 *1555:8 *1571:8 0.0126859 -12 *1558:8 *1571:8 0.000110257 -13 *1560:8 *1571:8 0.000101365 -14 *1566:8 *1571:8 0.138465 -*RES -1 *2820:la_oenb_core[123] *1571:7 35.0134 -2 *1571:7 *1571:8 187.145 -3 *1571:8 *1571:10 3.36879 -4 *1571:10 *2821:la_oenb[123] 50.7463 -*END - -*D_NET *1572 0.345592 -*CONN -*I *2821:la_oenb[124] I *D user_project_wrapper -*I *2820:la_oenb_core[124] O *D mgmt_protect -*CAP -1 *2821:la_oenb[124] 0.00128987 -2 *2820:la_oenb_core[124] 0.00254067 -3 *1572:8 0.0161633 -4 *1572:7 0.0148734 -5 *1572:5 0.00254067 -6 *1572:5 *2821:la_oenb[60] 0.000295891 -7 *1572:8 *1822:10 0.145958 -8 *2820:la_data_out_core[124] *1572:5 0 -9 *932:7 *1572:5 0 -10 *933:5 *1572:5 0 -11 *1282:8 *1572:8 0.000727177 -12 *1554:8 *1572:8 0.00777676 -13 *1557:8 *1572:8 0.00894725 -14 *1561:8 *1572:8 0.000110257 -15 *1563:8 *1572:8 0.000101365 -16 *1570:8 *1572:8 0.144268 -*RES -1 *2820:la_oenb_core[124] *1572:5 47.4242 -2 *1572:5 *1572:7 3.36879 -3 *1572:7 *1572:8 188.981 -4 *1572:8 *2821:la_oenb[124] 38.3355 -*END - -*D_NET *1573 0.297425 -*CONN -*I *2821:la_oenb[125] I *D user_project_wrapper -*I *2820:la_oenb_core[125] O *D mgmt_protect -*CAP -1 *2821:la_oenb[125] 0.00193518 -2 *2820:la_oenb_core[125] 0.00164324 -3 *1573:10 0.00193518 -4 *1573:8 0.00957284 -5 *1573:7 0.0112161 -6 *1573:8 *1631:8 0.00223979 -7 *1573:8 *1649:8 1.41689e-05 -8 *1573:8 *2737:8 0.129655 -9 *1573:8 *2738:8 0.0154525 -10 *2820:la_data_out_core[125] *1573:7 0 -11 *934:9 *1573:7 0 -12 *934:11 *1573:7 0 -13 *1569:8 *1573:8 0.123761 -*RES -1 *2820:la_oenb_core[125] *1573:7 34.276 -2 *1573:7 *1573:8 1383.35 -3 *1573:8 *1573:10 4.5 -4 *1573:10 *2821:la_oenb[125] 52.6149 -*END - -*D_NET *1574 0.242493 -*CONN -*I *2821:la_oenb[126] I *D user_project_wrapper -*I *2820:la_oenb_core[126] O *D mgmt_protect -*CAP -1 *2821:la_oenb[126] 0.00131918 -2 *2820:la_oenb_core[126] 0.00208841 -3 *1574:12 0.0266455 -4 *1574:10 0.0254083 -5 *1574:5 0.00217033 -6 *1574:10 *1630:14 0.000638598 -7 *1574:12 *2736:8 0.0218273 -8 *2820:la_data_out_core[126] *1574:5 0 -9 *935:5 *1574:5 0 -10 *1190:8 *1574:12 0 -11 *1246:5 *1574:5 0.00182605 -12 *1270:8 *1574:10 1.67988e-05 -13 *1270:8 *1574:12 0.0440006 -14 *1563:8 *1574:10 0.000689473 -15 *1563:8 *1574:12 1.41689e-05 -16 *1565:20 *1574:12 0.115848 -*RES -1 *2820:la_oenb_core[126] *1574:5 46.3861 -2 *1574:5 *1574:10 12.4574 -3 *1574:10 *1574:12 1389.45 -4 *1574:12 *2821:la_oenb[126] 40.9201 -*END - -*D_NET *1575 0.362376 -*CONN -*I *2821:la_oenb[127] I *D user_project_wrapper -*I *2820:la_oenb_core[127] O *D mgmt_protect -*CAP -1 *2821:la_oenb[127] 0.00188028 -2 *2820:la_oenb_core[127] 0.00166468 -3 *1575:10 0.00188028 -4 *1575:8 0.0105356 -5 *1575:7 0.0122002 -6 *1575:7 *1822:7 0 -7 *1575:8 *2738:8 0.152505 -8 *2820:la_data_out_core[127] *1575:7 0 -9 *1191:8 *1575:8 0.152903 -10 *1281:8 *1575:8 0.000485419 -11 *1562:8 *1575:8 0.0127128 -12 *1569:8 *1575:8 0.015608 -*RES -1 *2820:la_oenb_core[127] *1575:7 33.3524 -2 *1575:7 *1575:8 194.488 -3 *1575:8 *1575:10 3.36879 -4 *1575:10 *2821:la_oenb[127] 52.4073 -*END - -*D_NET *1576 0.028618 -*CONN -*I *2821:la_oenb[12] I *D user_project_wrapper -*I *2820:la_oenb_core[12] O *D mgmt_protect -*CAP -1 *2821:la_oenb[12] 0.00108023 -2 *2820:la_oenb_core[12] 0.00188488 -3 *1576:8 0.00245145 -4 *1576:7 0.00137122 -5 *1576:5 0.00188488 -6 *1576:5 *2821:la_oenb[18] 0 -7 *1576:8 *1577:8 8.14875e-05 -8 *2820:la_data_out_core[12] *1576:5 0 -9 *937:5 *1576:5 0 -10 *1192:8 *1576:8 0.0114396 -11 *1194:8 *1576:8 0.00827248 -12 *1198:7 *1576:5 0.000151738 -*RES -1 *2820:la_oenb_core[12] *1576:5 52.1996 -2 *1576:5 *1576:7 4.5 -3 *1576:7 *1576:8 122.736 -4 *1576:8 *2821:la_oenb[12] 34.6913 -*END - -*D_NET *1577 0.0270032 -*CONN -*I *2821:la_oenb[13] I *D user_project_wrapper -*I *2820:la_oenb_core[13] O *D mgmt_protect -*CAP -1 *2821:la_oenb[13] 0.0011231 -2 *2820:la_oenb_core[13] 0.00190609 -3 *1577:8 0.002149 -4 *1577:7 0.0010259 -5 *1577:5 0.00190609 -6 *1577:5 *2821:la_oenb[18] 0 -7 *1577:8 *1578:8 0 -8 *2820:la_data_out_core[13] *1577:5 0 -9 *2821:la_data_in[19] *1577:5 0 -10 *936:8 *1577:8 0.00917531 -11 *938:5 *1577:5 0 -12 *1192:8 *1577:8 0.00943665 -13 *1194:8 *1577:8 0.000199561 -14 *1576:8 *1577:8 8.14875e-05 -*RES -1 *2820:la_oenb_core[13] *1577:5 51.3691 -2 *1577:5 *1577:7 4.5 -3 *1577:7 *1577:8 109.98 -4 *1577:8 *2821:la_oenb[13] 35.5218 -*END - -*D_NET *1578 0.0200932 -*CONN -*I *2821:la_oenb[14] I *D user_project_wrapper -*I *2820:la_oenb_core[14] O *D mgmt_protect -*CAP -1 *2821:la_oenb[14] 0.00128866 -2 *2820:la_oenb_core[14] 0.00176886 -3 *1578:8 0.00267012 -4 *1578:7 0.00138146 -5 *1578:5 0.00176886 -6 *2820:la_data_out_core[14] *1578:5 0 -7 *927:8 *1578:8 0.0028274 -8 *936:8 *1578:8 0 -9 *937:8 *1578:8 0.00782182 -10 *939:5 *1578:5 0 -11 *939:8 *1578:8 0.000565984 -12 *1199:7 *1578:5 0 -13 *1567:8 *1578:8 0 -14 *1577:8 *1578:8 0 -*RES -1 *2820:la_oenb_core[14] *1578:5 47.6319 -2 *1578:5 *1578:7 4.5 -3 *1578:7 *1578:8 96.6698 -4 *1578:8 *2821:la_oenb[14] 39.2591 -*END - -*D_NET *1579 0.0216553 -*CONN -*I *2821:la_oenb[15] I *D user_project_wrapper -*I *2820:la_oenb_core[15] O *D mgmt_protect -*CAP -1 *2821:la_oenb[15] 0.00143376 -2 *2820:la_oenb_core[15] 0.00166759 -3 *1579:8 0.00228464 -4 *1579:7 0.00251847 -5 *2820:la_data_out_core[15] *1579:7 0 -6 *938:8 *1579:8 0.000242981 -7 *940:7 *1579:7 0 -8 *940:8 *1579:8 0.00713521 -9 *1183:12 *1579:8 0.00016955 -10 *1193:12 *1579:8 0.00597175 -11 *1195:12 *1579:8 0.000231325 -*RES -1 *2820:la_oenb_core[15] *1579:7 49.6404 -2 *1579:7 *1579:8 82.8047 -3 *1579:8 *2821:la_oenb[15] 41.7506 -*END - -*D_NET *1580 0.0180861 -*CONN -*I *2821:la_oenb[16] I *D user_project_wrapper -*I *2820:la_oenb_core[16] O *D mgmt_protect -*CAP -1 *2821:la_oenb[16] 0.00153997 -2 *2820:la_oenb_core[16] 0.00151411 -3 *1580:8 0.00241254 -4 *1580:7 0.00238669 -5 *1580:8 *1581:8 0.000113197 -6 *2820:la_data_out_core[16] *1580:7 0 -7 *941:7 *1580:7 0 -8 *941:10 *1580:8 1.26362e-05 -9 *942:8 *1580:8 0.000102856 -10 *1183:12 *1580:8 0.000461355 -11 *1196:8 *1580:8 0.00631551 -12 *1198:8 *1580:8 0.00322728 -*RES -1 *2820:la_oenb_core[16] *1580:7 46.7336 -2 *1580:7 *1580:8 70.0488 -3 *1580:8 *2821:la_oenb[16] 44.6573 -*END - -*D_NET *1581 0.0137161 -*CONN -*I *2821:la_oenb[17] I *D user_project_wrapper -*I *2820:la_oenb_core[17] O *D mgmt_protect -*CAP -1 *2821:la_oenb[17] 0.00158969 -2 *2820:la_oenb_core[17] 0.0011234 -3 *1581:8 0.00287623 -4 *1581:7 0.00240994 -5 *2820:la_data_out_core[17] *1581:7 0.000833131 -6 *927:5 *2821:la_oenb[17] 0 -7 *941:7 *1581:7 0 -8 *942:7 *1581:7 0 -9 *1196:8 *1581:8 0.00425301 -10 *1197:8 *1581:8 0 -11 *1198:8 *1581:8 0.000185432 -12 *1199:10 *1581:8 0.000332055 -13 *1580:8 *1581:8 0.000113197 -*RES -1 *2820:la_oenb_core[17] *1581:7 45.9031 -2 *1581:7 *1581:8 56.1838 -3 *1581:8 *2821:la_oenb[17] 45.4878 -*END - -*D_NET *1582 0.0144169 -*CONN -*I *2821:la_oenb[18] I *D user_project_wrapper -*I *2820:la_oenb_core[18] O *D mgmt_protect -*CAP -1 *2821:la_oenb[18] 0.00144154 -2 *2820:la_oenb_core[18] 0.00152969 -3 *1582:10 0.00184836 -4 *1582:7 0.00193651 -5 *2820:la_data_out_core[13] *2821:la_oenb[18] 0.000143017 -6 *2820:la_data_out_core[18] *1582:7 0 -7 *942:8 *1582:10 0.000293924 -8 *943:7 *1582:7 0 -9 *943:10 *1582:10 0.00340594 -10 *1198:8 *1582:10 0.00381791 -11 *1199:10 *1582:10 0 -12 *1576:5 *2821:la_oenb[18] 0 -13 *1577:5 *2821:la_oenb[18] 0 -*RES -1 *2820:la_oenb_core[18] *1582:7 47.5641 -2 *1582:7 *1582:10 47.9279 -3 *1582:10 *2821:la_oenb[18] 39.3268 -*END - -*D_NET *1583 0.0088738 -*CONN -*I *2821:la_oenb[19] I *D user_project_wrapper -*I *2820:la_oenb_core[19] O *D mgmt_protect -*CAP -1 *2821:la_oenb[19] 0.00103641 -2 *2820:la_oenb_core[19] 0.00173774 -3 *1583:10 0.00190327 -4 *1583:5 0.0026046 -5 *1583:10 *1585:10 0.000802118 -6 *2820:la_data_out_core[19] *1583:5 0 -7 *2820:la_data_out_core[20] *1583:5 0 -8 *2821:la_data_in[21] *1583:5 0.000475724 -9 *945:5 *1583:5 0 -10 *1201:10 *1583:10 0.000313928 -*RES -1 *2820:la_oenb_core[19] *1583:5 53.4454 -2 *1583:5 *1583:10 38.5628 -3 *1583:10 *2821:la_oenb[19] 28.9455 -*END - -*D_NET *1584 0.0578852 -*CONN -*I *2821:la_oenb[1] I *D user_project_wrapper -*I *2820:la_oenb_core[1] O *D mgmt_protect -*CAP -1 *2821:la_oenb[1] 0.00230698 -2 *2820:la_oenb_core[1] 0.00111883 -3 *1584:14 0.00244563 -4 *1584:8 0.0025698 -5 *1584:7 0.00354998 -6 *2821:la_oenb[1] *1795:13 8.32377e-05 -7 *2821:la_oenb[1] *1809:13 3.10924e-05 -8 *2821:la_oenb[1] *1941:13 0.000101133 -9 *2821:la_oenb[1] *2491:7 0 -10 *1584:8 *1595:8 0.0233552 -11 *1584:8 *1606:8 0.00143985 -12 *1584:8 *1816:8 0.000675827 -13 *1584:14 *1811:8 0.000113197 -14 *1584:14 *1816:8 0.000928457 -15 *1584:14 *2492:8 0.000589689 -16 *2820:la_data_out_core[1] *1584:7 0 -17 *944:5 *1584:7 0 -18 *955:5 *1584:7 0 -19 *1222:10 *1584:8 4.91225e-06 -20 *1222:12 *1584:8 8.47748e-05 -21 *1244:8 *1584:8 0.0180182 -22 *1545:8 *1584:8 0.000463711 -23 *1545:8 *1584:14 4.77168e-06 -*RES -1 *2820:la_oenb_core[1] *1584:7 35.1065 -2 *1584:7 *1584:8 260.001 -3 *1584:8 *1584:14 15.363 -4 *1584:14 *2821:la_oenb[1] 50.9539 -*END - -*D_NET *1585 0.00861724 -*CONN -*I *2821:la_oenb[20] I *D user_project_wrapper -*I *2820:la_oenb_core[20] O *D mgmt_protect -*CAP -1 *2821:la_oenb[20] 0.00102864 -2 *2820:la_oenb_core[20] 0.00191182 -3 *1585:10 0.00133125 -4 *1585:5 0.00221442 -5 *2820:la_data_out_core[20] *1585:5 0 -6 *2820:la_data_out_core[21] *1585:5 0 -7 *946:7 *1585:5 0 -8 *1201:10 *1585:10 0.00132899 -9 *1202:7 *1585:5 0 -10 *1583:10 *1585:10 0.000802118 -*RES -1 *2820:la_oenb_core[20] *1585:5 53.8607 -2 *1585:5 *1585:10 25.8069 -3 *1585:10 *2821:la_oenb[20] 28.5303 -*END - -*D_NET *1586 0.00609634 -*CONN -*I *2821:la_oenb[21] I *D user_project_wrapper -*I *2820:la_oenb_core[21] O *D mgmt_protect -*CAP -1 *2821:la_oenb[21] 0.000136455 -2 *2820:la_oenb_core[21] 0.00291172 -3 *1586:5 0.00304817 -4 *2820:la_data_out_core[21] *1586:5 0 -5 *947:5 *1586:5 0 -*RES -1 *2820:la_oenb_core[21] *1586:5 82.3054 -2 *1586:5 *2821:la_oenb[21] 2.89455 -*END - -*D_NET *1587 0.00764458 -*CONN -*I *2821:la_oenb[22] I *D user_project_wrapper -*I *2820:la_oenb_core[22] O *D mgmt_protect -*CAP -1 *2821:la_oenb[22] 0.00161284 -2 *2820:la_oenb_core[22] 0.00131258 -3 *1587:10 0.00175358 -4 *1587:7 0.00145332 -5 *2820:la_data_out_core[22] *1587:7 0 -6 *2820:la_data_out_core[22] *1587:10 0.00033061 -7 *2820:la_data_out_core[23] *1587:7 0 -8 *948:7 *1587:7 0 -9 *948:10 *1587:10 0.000695917 -10 *1204:10 *1587:10 0.00048572 -*RES -1 *2820:la_oenb_core[22] *1587:7 41.7506 -2 *1587:7 *1587:10 14.6517 -3 *1587:10 *2821:la_oenb[22] 45.1404 -*END - -*D_NET *1588 0.010206 -*CONN -*I *2821:la_oenb[23] I *D user_project_wrapper -*I *2820:la_oenb_core[23] O *D mgmt_protect -*CAP -1 *2821:la_oenb[23] 0.00165572 -2 *2820:la_oenb_core[23] 0.00128337 -3 *1588:10 0.00191535 -4 *1588:7 0.00154301 -5 *1588:10 *1589:10 0.00148608 -6 *2820:la_data_out_core[23] *1588:7 0 -7 *2820:la_data_out_core[24] *1588:7 0 -8 *948:10 *1588:10 0.000908347 -9 *949:7 *1588:7 0 -10 *949:10 *1588:10 0.000128915 -11 *950:10 *1588:10 0.00122278 -12 *1205:10 *1588:10 6.24655e-05 -*RES -1 *2820:la_oenb_core[23] *1588:7 40.9201 -2 *1588:7 *1588:10 28.5167 -3 *1588:10 *2821:la_oenb[23] 45.9709 -*END - -*D_NET *1589 0.0121638 -*CONN -*I *2821:la_oenb[24] I *D user_project_wrapper -*I *2820:la_oenb_core[24] O *D mgmt_protect -*CAP -1 *2821:la_oenb[24] 0.00166854 -2 *2820:la_oenb_core[24] 0.00127558 -3 *1589:10 0.00199539 -4 *1589:7 0.00160243 -5 *2821:la_oenb[24] *1593:7 0 -6 *2820:la_data_out_core[24] *1589:7 0 -7 *2820:la_data_out_core[29] *2821:la_oenb[24] 2.95757e-05 -8 *949:10 *1589:10 0.000298839 -9 *950:7 *1589:7 0 -10 *950:10 *1589:10 0.000892567 -11 *1206:8 *1589:10 0.00285399 -12 *1210:14 *1589:10 6.08467e-05 -13 *1588:10 *1589:10 0.00148608 -*RES -1 *2820:la_oenb_core[24] *1589:7 40.5048 -2 *1589:7 *1589:10 41.8272 -3 *1589:10 *2821:la_oenb[24] 46.3861 -*END - -*D_NET *1590 0.0145278 -*CONN -*I *2821:la_oenb[25] I *D user_project_wrapper -*I *2820:la_oenb_core[25] O *D mgmt_protect -*CAP -1 *2821:la_oenb[25] 0.00172953 -2 *2820:la_oenb_core[25] 0.000748641 -3 *1590:10 0.00172953 -4 *1590:8 0.000818285 -5 *1590:7 0.00156693 -6 *1590:8 *1594:10 0.0017474 -7 *2820:la_data_out_core[25] *1590:7 0.0021802 -8 *949:10 *1590:8 0.00143942 -9 *951:7 *1590:7 0 -10 *952:11 *1590:8 0 -11 *954:13 *2821:la_oenb[25] 1.09738e-05 -12 *954:13 *1590:8 0.000315442 -13 *956:8 *1590:8 0.00148607 -14 *1206:8 *1590:8 0.000723529 -15 *1209:16 *2821:la_oenb[25] 0 -16 *1209:16 *1590:8 3.18408e-05 -*RES -1 *2820:la_oenb_core[25] *1590:7 39.2591 -2 *1590:7 *1590:8 50.6377 -3 *1590:8 *1590:10 4.5 -4 *1590:10 *2821:la_oenb[25] 47.6319 -*END - -*D_NET *1591 0.0156357 -*CONN -*I *2821:la_oenb[26] I *D user_project_wrapper -*I *2820:la_oenb_core[26] O *D mgmt_protect -*CAP -1 *2821:la_oenb[26] 0.00198707 -2 *2820:la_oenb_core[26] 0.000845215 -3 *1591:10 0.00198707 -4 *1591:8 0.00117734 -5 *1591:7 0.00202255 -6 *2821:la_oenb[26] *1600:7 0.000978209 -7 *2820:la_data_out_core[26] *1591:7 0 -8 *2820:la_data_out_core[34] *2821:la_oenb[26] 0 -9 *2820:la_data_out_core[35] *2821:la_oenb[26] 0 -10 *952:7 *1591:7 0 -11 *961:7 *2821:la_oenb[26] 0 -12 *1207:8 *1591:8 0.00530648 -13 *1208:8 *1591:8 0.00133181 -*RES -1 *2820:la_oenb_core[26] *1591:7 28.4625 -2 *1591:7 *1591:8 63.9482 -3 *1591:8 *1591:10 4.5 -4 *1591:10 *2821:la_oenb[26] 58.4284 -*END - -*D_NET *1592 0.0177531 -*CONN -*I *2821:la_oenb[27] I *D user_project_wrapper -*I *2820:la_oenb_core[27] O *D mgmt_protect -*CAP -1 *2821:la_oenb[27] 0.00185077 -2 *2820:la_oenb_core[27] 0.000559306 -3 *1592:10 0.00185077 -4 *1592:8 0.00199282 -5 *1592:7 0.00255213 -6 *2820:la_data_out_core[27] *1592:7 0.00068169 -7 *2820:la_data_out_core[37] *2821:la_oenb[27] 0.00135933 -8 *953:7 *1592:7 0 -9 *963:7 *2821:la_oenb[27] 0.000363864 -10 *1208:8 *1592:8 0.00654239 -*RES -1 *2820:la_oenb_core[27] *1592:7 26.8015 -2 *1592:7 *1592:8 77.2587 -3 *1592:8 *1592:10 4.5 -4 *1592:10 *2821:la_oenb[27] 60.0894 -*END - -*D_NET *1593 0.0236258 -*CONN -*I *2821:la_oenb[28] I *D user_project_wrapper -*I *2820:la_oenb_core[28] O *D mgmt_protect -*CAP -1 *2821:la_oenb[28] 0.00164159 -2 *2820:la_oenb_core[28] 0.00139001 -3 *1593:14 0.00164159 -4 *1593:12 0.000736849 -5 *1593:10 0.000955061 -6 *1593:7 0.00160823 -7 *2821:la_oenb[28] *1605:5 0.000311479 -8 *2820:la_data_out_core[28] *1593:7 0 -9 *2820:la_data_out_core[29] *1593:7 0 -10 *2820:la_data_out_core[40] *2821:la_oenb[28] 0 -11 *2821:la_oenb[24] *1593:7 0 -12 *950:10 *1593:10 0.00111656 -13 *951:8 *1593:10 0.00211693 -14 *951:8 *1593:12 4.89898e-06 -15 *953:14 *1593:12 0.00493469 -16 *954:7 *1593:7 0 -17 *1209:8 *1593:12 0.000315426 -18 *1210:8 *1593:10 7.02172e-06 -19 *1210:8 *1593:12 0.00638077 -20 *1210:14 *1593:10 0.000464685 -*RES -1 *2820:la_oenb_core[28] *1593:7 41.7506 -2 *1593:7 *1593:10 23.7515 -3 *1593:10 *1593:12 68.385 -4 *1593:12 *1593:14 4.5 -5 *1593:14 *2821:la_oenb[28] 46.3861 -*END - -*D_NET *1594 0.0266211 -*CONN -*I *2821:la_oenb[29] I *D user_project_wrapper -*I *2820:la_oenb_core[29] O *D mgmt_protect -*CAP -1 *2821:la_oenb[29] 0.00173143 -2 *2820:la_oenb_core[29] 0.00130092 -3 *1594:14 0.00173143 -4 *1594:12 0.000852218 -5 *1594:10 0.00102278 -6 *1594:7 0.00147148 -7 *2821:la_oenb[29] *1609:7 3.98472e-05 -8 *2820:la_data_out_core[29] *1594:7 0 -9 *2820:la_data_out_core[42] *2821:la_oenb[29] 0.000266589 -10 *954:14 *1594:12 0.00659546 -11 *956:7 *1594:7 0 -12 *956:8 *1594:10 1.67988e-05 -13 *956:8 *1594:12 0.00794823 -14 *968:8 *1594:12 0 -15 *970:7 *2821:la_oenb[29] 0 -16 *1206:8 *1594:10 0.00107411 -17 *1210:8 *1594:10 0.000474783 -18 *1210:8 *1594:12 0.000281315 -19 *1210:14 *1594:10 6.63455e-05 -20 *1590:8 *1594:10 0.0017474 -*RES -1 *2820:la_oenb_core[29] *1594:7 39.6743 -2 *1594:7 *1594:10 19.604 -3 *1594:10 *1594:12 85.0231 -4 *1594:12 *1594:14 4.5 -5 *1594:14 *2821:la_oenb[29] 47.6319 -*END - -*D_NET *1595 0.0579183 -*CONN -*I *2821:la_oenb[2] I *D user_project_wrapper -*I *2820:la_oenb_core[2] O *D mgmt_protect -*CAP -1 *2821:la_oenb[2] 0.00238244 -2 *2820:la_oenb_core[2] 0.00109399 -3 *1595:10 0.00238244 -4 *1595:8 0.00222494 -5 *1595:7 0.00331893 -6 *2821:la_oenb[2] *1814:7 4.94034e-05 -7 *2821:la_oenb[2] *1884:13 0 -8 *2821:la_oenb[2] *2493:5 0 -9 *2820:la_data_out_core[2] *1595:7 0 -10 *966:7 *1595:7 0 -11 *977:10 *1595:8 0 -12 *1200:12 *1595:8 0.000226394 -13 *1244:8 *1595:8 0.000315442 -14 *1545:8 *1595:8 0.0225692 -15 *1584:8 *1595:8 0.0233552 -*RES -1 *2820:la_oenb_core[2] *1595:7 34.6913 -2 *1595:7 *1595:8 256.396 -3 *1595:8 *1595:10 4.5 -4 *1595:10 *2821:la_oenb[2] 52.1996 -*END - -*D_NET *1596 0.0260844 -*CONN -*I *2821:la_oenb[30] I *D user_project_wrapper -*I *2820:la_oenb_core[30] O *D mgmt_protect -*CAP -1 *2821:la_oenb[30] 0.00178903 -2 *2820:la_oenb_core[30] 0.00123472 -3 *1596:10 0.00178903 -4 *1596:8 0.00134855 -5 *1596:7 0.00258327 -6 *2821:la_oenb[30] *1611:7 0 -7 *1596:8 *1597:8 0.000306383 -8 *1596:8 *1609:8 0 -9 *1596:8 *1611:8 7.58748e-05 -10 *2820:la_data_out_core[30] *1596:7 0 -11 *2820:la_data_out_core[31] *1596:7 0 -12 *2820:la_data_out_core[45] *2821:la_oenb[30] 0.000416855 -13 *952:16 *1596:8 0.002516 -14 *954:13 *1596:8 0.00106121 -15 *956:7 *1596:7 0 -16 *956:8 *1596:8 0.00263427 -17 *957:7 *1596:7 0 -18 *971:8 *1596:8 3.18408e-05 -19 *1212:8 *1596:8 0.0102974 -*RES -1 *2820:la_oenb_core[30] *1596:7 38.0133 -2 *1596:7 *1596:8 117.19 -3 *1596:8 *1596:10 4.5 -4 *1596:10 *2821:la_oenb[30] 48.8776 -*END - -*D_NET *1597 0.0314902 -*CONN -*I *2821:la_oenb[31] I *D user_project_wrapper -*I *2820:la_oenb_core[31] O *D mgmt_protect -*CAP -1 *2821:la_oenb[31] 0.00179313 -2 *2820:la_oenb_core[31] 0.00117912 -3 *1597:10 0.00179313 -4 *1597:8 0.00146725 -5 *1597:7 0.00264636 -6 *2821:la_oenb[31] *1614:7 0.000881199 -7 *1597:8 *1611:8 0 -8 *2820:la_data_out_core[31] *1597:7 0 -9 *2820:la_data_out_core[32] *1597:7 0 -10 *2820:la_data_out_core[47] *2821:la_oenb[31] 0 -11 *2820:la_data_out_core[48] *2821:la_oenb[31] 0 -12 *953:13 *1597:7 9.60366e-05 -13 *958:7 *1597:7 0 -14 *1212:8 *1597:8 0.00957103 -15 *1213:8 *1597:8 0.0115993 -16 *1214:8 *1597:8 0.000157173 -17 *1596:8 *1597:8 0.000306383 -*RES -1 *2820:la_oenb_core[31] *1597:7 37.1828 -2 *1597:7 *1597:8 130.501 -3 *1597:8 *1597:10 4.5 -4 *1597:10 *2821:la_oenb[31] 49.7081 -*END - -*D_NET *1598 0.0350467 -*CONN -*I *2821:la_oenb[32] I *D user_project_wrapper -*I *2820:la_oenb_core[32] O *D mgmt_protect -*CAP -1 *2821:la_oenb[32] 0.00206935 -2 *2820:la_oenb_core[32] 0.00109158 -3 *1598:10 0.00206935 -4 *1598:8 0.00131713 -5 *1598:7 0.0024087 -6 *2821:la_oenb[32] *1616:7 0 -7 *2821:la_oenb[32] *1618:7 9.83923e-05 -8 *1598:8 *1599:8 0.000105636 -9 *2820:la_data_out_core[32] *1598:7 0 -10 *2820:la_data_out_core[50] *2821:la_oenb[32] 7.28919e-05 -11 *958:8 *1598:8 0.000113197 -12 *959:7 *1598:7 0 -13 *959:8 *1598:8 0.0132134 -14 *960:8 *1598:8 0.012487 -15 *1227:13 *2821:la_oenb[32] 0 -*RES -1 *2820:la_oenb_core[32] *1598:7 34.6913 -2 *1598:7 *1598:8 143.811 -3 *1598:8 *1598:10 4.5 -4 *1598:10 *2821:la_oenb[32] 52.1996 -*END - -*D_NET *1599 0.0355383 -*CONN -*I *2821:la_oenb[33] I *D user_project_wrapper -*I *2820:la_oenb_core[33] O *D mgmt_protect -*CAP -1 *2821:la_oenb[33] 0.00216639 -2 *2820:la_oenb_core[33] 0.000736145 -3 *1599:10 0.00216639 -4 *1599:8 0.0020952 -5 *1599:7 0.00283134 -6 *2820:la_data_out_core[33] *1599:7 0.000612669 -7 *2820:la_data_out_core[53] *2821:la_oenb[33] 5.82975e-05 -8 *960:7 *1599:7 0 -9 *960:8 *1599:8 0.0144687 -10 *967:8 *1599:8 0.00998149 -11 *1207:5 *1599:7 0.000316096 -12 *1598:8 *1599:8 0.000105636 -*RES -1 *2820:la_oenb_core[33] *1599:7 33.8608 -2 *1599:7 *1599:8 157.122 -3 *1599:8 *1599:10 4.5 -4 *1599:10 *2821:la_oenb[33] 53.0301 -*END - -*D_NET *1600 0.0309826 -*CONN -*I *2821:la_oenb[34] I *D user_project_wrapper -*I *2820:la_oenb_core[34] O *D mgmt_protect -*CAP -1 *2821:la_oenb[34] 0.00164371 -2 *2820:la_oenb_core[34] 0.00136112 -3 *1600:8 0.00572458 -4 *1600:7 0.00544199 -5 *2821:la_oenb[34] *1623:7 0.000115511 -6 *2820:la_data_out_core[34] *1600:7 0 -7 *2821:la_oenb[26] *1600:7 0.000978209 -8 *961:7 *1600:7 0 -9 *961:8 *1600:8 0.0157175 -10 *968:8 *1600:8 0 -11 *1209:8 *1600:8 0 -12 *1231:14 *1600:8 0 -*RES -1 *2820:la_oenb_core[34] *1600:7 45.4878 -2 *1600:7 *1600:8 170.432 -3 *1600:8 *2821:la_oenb[34] 45.9031 -*END - -*D_NET *1601 0.0433418 -*CONN -*I *2821:la_oenb[35] I *D user_project_wrapper -*I *2820:la_oenb_core[35] O *D mgmt_protect -*CAP -1 *2821:la_oenb[35] 0.00160742 -2 *2820:la_oenb_core[35] 0.00160306 -3 *1601:8 0.00315696 -4 *1601:7 0.0031526 -5 *2820:la_data_out_core[35] *1601:7 0 -6 *2821:la_data_in[27] *1601:7 0.000141757 -7 *962:7 *1601:7 0 -8 *962:8 *1601:8 0.000313928 -9 *1208:5 *1601:7 0 -10 *1216:8 *1601:8 0 -11 *1217:8 *1601:8 0.0166267 -12 *1218:8 *1601:8 0.0167394 -*RES -1 *2820:la_oenb_core[35] *1601:7 47.1488 -2 *1601:7 *1601:8 184.297 -3 *1601:8 *2821:la_oenb[35] 44.2421 -*END - -*D_NET *1602 0.0459115 -*CONN -*I *2821:la_oenb[36] I *D user_project_wrapper -*I *2820:la_oenb_core[36] O *D mgmt_protect -*CAP -1 *2821:la_oenb[36] 0.0013983 -2 *2820:la_oenb_core[36] 0.00171597 -3 *1602:8 0.00302455 -4 *1602:7 0.00334221 -5 *1602:8 *1603:8 0.0177993 -6 *2820:la_data_out_core[36] *1602:7 0 -7 *963:7 *1602:7 0 -8 *963:8 *1602:8 0.000315426 -9 *964:8 *1602:8 0.017536 -10 *990:5 *2821:la_oenb[36] 0.000471869 -11 *1208:5 *1602:7 0 -12 *1216:8 *1602:8 0 -13 *1218:8 *1602:8 0.000307881 -*RES -1 *2820:la_oenb_core[36] *1602:7 48.3946 -2 *1602:7 *1602:8 197.053 -3 *1602:8 *2821:la_oenb[36] 42.9963 -*END - -*D_NET *1603 0.0466081 -*CONN -*I *2821:la_oenb[37] I *D user_project_wrapper -*I *2820:la_oenb_core[37] O *D mgmt_protect -*CAP -1 *2821:la_oenb[37] 0.00158496 -2 *2820:la_oenb_core[37] 0.00169555 -3 *1603:8 0.00368971 -4 *1603:7 0.00380031 -5 *2821:la_oenb[37] *1630:13 0 -6 *2820:la_data_out_core[37] *1603:7 0 -7 *964:7 *1603:7 0 -8 *964:8 *1603:8 0.000914219 -9 *993:11 *2821:la_oenb[37] 0 -10 *1218:8 *1603:8 0.017124 -11 *1602:8 *1603:8 0.0177993 -*RES -1 *2820:la_oenb_core[37] *1603:7 47.9793 -2 *1603:7 *1603:8 210.918 -3 *1603:8 *2821:la_oenb[37] 43.4116 -*END - -*D_NET *1604 0.0500643 -*CONN -*I *2821:la_oenb[38] I *D user_project_wrapper -*I *2820:la_oenb_core[38] O *D mgmt_protect -*CAP -1 *2821:la_oenb[38] 0.00152082 -2 *2820:la_oenb_core[38] 0.00177445 -3 *1604:8 0.00353217 -4 *1604:7 0.00378579 -5 *2821:la_oenb[38] *1627:13 7.14746e-05 -6 *2820:la_data_out_core[38] *1604:7 0 -7 *963:8 *1604:8 0.0177082 -8 *964:8 *1604:8 0.000899129 -9 *965:7 *1604:7 0 -10 *995:5 *2821:la_oenb[38] 0 -11 *996:5 *2821:la_oenb[38] 0 -12 *1209:5 *1604:7 0 -13 *1219:8 *1604:8 0.000194684 -14 *1221:8 *1604:8 0.0205776 -*RES -1 *2820:la_oenb_core[38] *1604:7 49.6404 -2 *1604:7 *1604:8 223.674 -3 *1604:8 *2821:la_oenb[38] 41.7506 -*END - -*D_NET *1605 0.0388601 -*CONN -*I *2821:la_oenb[39] I *D user_project_wrapper -*I *2820:la_oenb_core[39] O *D mgmt_protect -*CAP -1 *2821:la_oenb[39] 0.00104296 -2 *2820:la_oenb_core[39] 0.00200359 -3 *1605:8 0.00637098 -4 *1605:7 0.00532802 -5 *1605:5 0.00200359 -6 *2820:la_data_out_core[39] *1605:5 0 -7 *2820:la_data_out_core[40] *1605:5 0 -8 *2820:la_data_out_core[69] *2821:la_oenb[39] 9.31064e-05 -9 *2821:la_oenb[28] *1605:5 0.000311479 -10 *965:7 *1605:5 0 -11 *965:10 *1605:8 0.0210396 -12 *967:7 *1605:5 0 -13 *992:8 *1605:8 0 -14 *997:8 *1605:8 5.40294e-05 -15 *1209:5 *1605:5 0 -16 *1223:8 *1605:8 0.000612767 -*RES -1 *2820:la_oenb_core[39] *1605:5 54.2759 -2 *1605:5 *1605:7 4.5 -3 *1605:7 *1605:8 236.985 -4 *1605:8 *2821:la_oenb[39] 32.615 -*END - -*D_NET *1606 0.0546157 -*CONN -*I *2821:la_oenb[3] I *D user_project_wrapper -*I *2820:la_oenb_core[3] O *D mgmt_protect -*CAP -1 *2821:la_oenb[3] 0.00225202 -2 *2820:la_oenb_core[3] 0.00114463 -3 *1606:10 0.00225202 -4 *1606:8 0.0020218 -5 *1606:7 0.00316643 -6 *2821:la_oenb[3] *1816:7 0 -7 *2821:la_oenb[3] *1817:5 0 -8 *2821:la_oenb[3] *1948:7 3.99645e-05 -9 *1606:8 *1617:8 0.000113197 -10 *2820:la_data_out_core[3] *1606:7 0 -11 *2820:la_data_out_core[4] *1606:7 0 -12 *944:13 *2821:la_oenb[3] 8.59499e-05 -13 *977:7 *1606:7 0 -14 *1222:12 *1606:8 0.0225714 -15 *1244:8 *1606:8 0.0195283 -16 *1584:8 *1606:8 0.00143985 -*RES -1 *2820:la_oenb_core[3] *1606:7 35.937 -2 *1606:7 *1606:8 243.085 -3 *1606:8 *1606:10 4.5 -4 *1606:10 *2821:la_oenb[3] 50.9539 -*END - -*D_NET *1607 0.0530318 -*CONN -*I *2821:la_oenb[40] I *D user_project_wrapper -*I *2820:la_oenb_core[40] O *D mgmt_protect -*CAP -1 *2821:la_oenb[40] 0.00114659 -2 *2820:la_oenb_core[40] 0.001927 -3 *1607:8 0.00365739 -4 *1607:7 0.0025108 -5 *1607:5 0.001927 -6 *1607:8 *1610:14 0.0173585 -7 *2820:la_data_out_core[40] *1607:5 0 -8 *2821:la_data_in[29] *1607:5 0.000460471 -9 *968:7 *1607:5 0 -10 *997:8 *1607:8 0 -11 *1002:5 *2821:la_oenb[40] 0 -12 *1223:8 *1607:8 0.022983 -13 *1224:8 *1607:8 0 -14 *1225:8 *1607:8 0.000548898 -15 *1229:8 *1607:8 0.000512155 -*RES -1 *2820:la_oenb_core[40] *1607:5 53.0301 -2 *1607:5 *1607:7 4.5 -3 *1607:7 *1607:8 250.295 -4 *1607:8 *2821:la_oenb[40] 33.8608 -*END - -*D_NET *1608 0.0554966 -*CONN -*I *2821:la_oenb[41] I *D user_project_wrapper -*I *2820:la_oenb_core[41] O *D mgmt_protect -*CAP -1 *2821:la_oenb[41] 0.00136093 -2 *2820:la_oenb_core[41] 4.25268e-05 -3 *1608:10 0.00403576 -4 *1608:9 0.00267483 -5 *1608:7 0.00138518 -6 *1608:5 0.00142771 -7 *1608:10 *1613:8 0.000226394 -8 *1608:10 *1614:10 0.0202874 -9 *2820:la_data_out_core[41] *1608:7 0.000903022 -10 *969:7 *1608:7 0 -11 *1210:5 *1608:7 0.000750405 -12 *1215:8 *1608:10 0.000823167 -13 *1224:8 *1608:10 0.000977474 -14 *1230:8 *1608:10 0.0206018 -*RES -1 *2820:la_oenb_core[41] *1608:5 1.20912 -2 *1608:5 *1608:7 48.9631 -3 *1608:7 *1608:9 4.5 -4 *1608:9 *1608:10 264.715 -5 *1608:10 *2821:la_oenb[41] 38.0133 -*END - -*D_NET *1609 0.0610659 -*CONN -*I *2821:la_oenb[42] I *D user_project_wrapper -*I *2820:la_oenb_core[42] O *D mgmt_protect -*CAP -1 *2821:la_oenb[42] 0.00182086 -2 *2820:la_oenb_core[42] 0.00135427 -3 *1609:10 0.00182086 -4 *1609:8 0.00234833 -5 *1609:7 0.0037026 -6 *2821:la_oenb[42] *1646:5 0 -7 *2820:la_data_out_core[42] *1609:7 0 -8 *2821:la_oenb[29] *1609:7 3.98472e-05 -9 *956:8 *1609:8 9.39635e-05 -10 *968:8 *1609:8 6.44576e-05 -11 *970:7 *1609:7 0 -12 *970:8 *1609:8 0.000113197 -13 *971:8 *1609:8 0.0251233 -14 *1007:7 *2821:la_oenb[42] 0.000368764 -15 *1226:14 *1609:8 0.0239147 -16 *1231:14 *1609:8 0.00030075 -17 *1596:8 *1609:8 0 -*RES -1 *2820:la_oenb_core[42] *1609:7 40.5048 -2 *1609:7 *1609:8 276.916 -3 *1609:8 *1609:10 4.5 -4 *1609:10 *2821:la_oenb[42] 46.3861 -*END - -*D_NET *1610 0.0589836 -*CONN -*I *2821:la_oenb[43] I *D user_project_wrapper -*I *2820:la_oenb_core[43] O *D mgmt_protect -*CAP -1 *2821:la_oenb[43] 0.00121586 -2 *2820:la_oenb_core[43] 0.000786795 -3 *1610:14 0.00383214 -4 *1610:13 0.00383979 -5 *1610:10 0.00224697 -6 *1610:7 0.00181024 -7 *1610:10 *1615:8 0.000224395 -8 *2820:la_data_out_core[43] *1610:7 0 -9 *2820:la_data_out_core[48] *1610:13 0 -10 *2820:la_data_out_core[49] *1610:13 0 -11 *2821:la_data_in[32] *1610:13 0.000312343 -12 *971:7 *1610:7 0 -13 *997:8 *1610:14 0 -14 *1009:5 *2821:la_oenb[43] 0 -15 *1214:5 *1610:13 0 -16 *1227:8 *1610:14 0.0217293 -17 *1227:14 *1610:10 0.0035144 -18 *1229:8 *1610:14 0.000750112 -19 *1231:8 *1610:14 0.00136276 -20 *1607:8 *1610:14 0.0173585 -*RES -1 *2820:la_oenb_core[43] *1610:7 26.8015 -2 *1610:7 *1610:10 46.8187 -3 *1610:10 *1610:13 34.9844 -4 *1610:13 *1610:14 248.077 -5 *1610:14 *2821:la_oenb[43] 34.276 -*END - -*D_NET *1611 0.0528639 -*CONN -*I *2821:la_oenb[44] I *D user_project_wrapper -*I *2820:la_oenb_core[44] O *D mgmt_protect -*CAP -1 *2821:la_oenb[44] 0.00202892 -2 *2820:la_oenb_core[44] 0.00133756 -3 *1611:10 0.00202892 -4 *1611:8 0.00370884 -5 *1611:7 0.0050464 -6 *2821:la_oenb[44] *1653:7 0 -7 *1611:8 *1652:8 3.18408e-05 -8 *2820:la_data_out_core[44] *1611:7 0 -9 *2820:la_data_out_core[45] *1611:7 0 -10 *2820:la_data_out_core[82] *2821:la_oenb[44] 0.000107729 -11 *2821:la_oenb[30] *1611:7 0 -12 *971:7 *1611:7 0 -13 *971:8 *1611:8 0.0273215 -14 *972:7 *1611:7 0 -15 *1013:5 *2821:la_oenb[44] 0 -16 *1014:5 *2821:la_oenb[44] 0 -17 *1212:5 *1611:7 0 -18 *1214:8 *1611:8 0 -19 *1232:8 *1611:8 0.0111711 -20 *1267:8 *1611:8 0 -21 *1269:8 *1611:8 5.20546e-06 -22 *1596:8 *1611:8 7.58748e-05 -23 *1597:8 *1611:8 0 -*RES -1 *2820:la_oenb_core[44] *1611:7 39.6743 -2 *1611:7 *1611:8 304.092 -3 *1611:8 *1611:10 4.5 -4 *1611:10 *2821:la_oenb[44] 47.2166 -*END - -*D_NET *1612 0.0697159 -*CONN -*I *2821:la_oenb[45] I *D user_project_wrapper -*I *2820:la_oenb_core[45] O *D mgmt_protect -*CAP -1 *2821:la_oenb[45] 0.00132303 -2 *2820:la_oenb_core[45] 2.27669e-05 -3 *1612:10 0.00367714 -4 *1612:9 0.00235411 -5 *1612:7 0.001887 -6 *1612:5 0.00190976 -7 *1612:10 *1613:8 0.00107821 -8 *1612:10 *1646:8 0.00634127 -9 *1612:10 *1648:8 0.000183207 -10 *1612:10 *1655:8 0.00027329 -11 *2820:la_data_out_core[45] *1612:7 0 -12 *2820:la_data_out_core[46] *1612:7 0 -13 *2821:la_data_in[31] *1612:7 0 -14 *973:7 *1612:7 0.000372253 -15 *1224:8 *1612:10 0.0211136 -16 *1228:8 *1612:10 0.0291803 -*RES -1 *2820:la_oenb_core[45] *1612:5 0.647305 -2 *1612:5 *1612:7 50.6241 -3 *1612:7 *1612:9 4.5 -4 *1612:9 *1612:10 316.293 -5 *1612:10 *2821:la_oenb[45] 36.3523 -*END - -*D_NET *1613 0.0725212 -*CONN -*I *2821:la_oenb[46] I *D user_project_wrapper -*I *2820:la_oenb_core[46] O *D mgmt_protect -*CAP -1 *2821:la_oenb[46] 0.00137954 -2 *2820:la_oenb_core[46] 0.00200334 -3 *1613:8 0.00384628 -4 *1613:7 0.00246674 -5 *1613:5 0.00200334 -6 *1613:8 *1646:8 0.00837601 -7 *2820:la_data_out_core[46] *1613:5 0 -8 *2820:la_data_out_core[47] *1613:5 0 -9 *974:7 *1613:5 0 -10 *1018:5 *2821:la_oenb[46] 0 -11 *1213:5 *1613:5 0 -12 *1224:8 *1613:8 0.0204403 -13 *1230:8 *1613:8 0.0307011 -14 *1608:10 *1613:8 0.000226394 -15 *1612:10 *1613:8 0.00107821 -*RES -1 *2820:la_oenb_core[46] *1613:5 49.7081 -2 *1613:5 *1613:7 4.5 -3 *1613:7 *1613:8 330.713 -4 *1613:8 *2821:la_oenb[46] 37.1828 -*END - -*D_NET *1614 0.0755876 -*CONN -*I *2821:la_oenb[47] I *D user_project_wrapper -*I *2820:la_oenb_core[47] O *D mgmt_protect -*CAP -1 *2821:la_oenb[47] 0.00145068 -2 *2820:la_oenb_core[47] 0.00176695 -3 *1614:10 0.00408236 -4 *1614:9 0.00263168 -5 *1614:7 0.00176695 -6 *2821:la_oenb[47] *1662:5 0 -7 *1614:10 *1616:10 0.0307011 -8 *2820:la_data_out_core[47] *1614:7 0 -9 *2820:la_data_out_core[48] *1614:7 0 -10 *2821:la_oenb[31] *1614:7 0.000881199 -11 *975:7 *1614:7 0 -12 *1023:5 *2821:la_oenb[47] 0 -13 *1215:8 *1614:10 0.000421178 -14 *1230:8 *1614:10 0.000392997 -15 *1261:8 *1614:10 0.0112051 -16 *1278:17 *2821:la_oenb[47] 0 -17 *1608:10 *1614:10 0.0202874 -*RES -1 *2820:la_oenb_core[47] *1614:7 49.1952 -2 *1614:7 *1614:9 4.5 -3 *1614:9 *1614:10 342.914 -4 *1614:10 *2821:la_oenb[47] 38.4285 -*END - -*D_NET *1615 0.04801 -*CONN -*I *2821:la_oenb[48] I *D user_project_wrapper -*I *2820:la_oenb_core[48] O *D mgmt_protect -*CAP -1 *2821:la_oenb[48] 0.00266213 -2 *2820:la_oenb_core[48] 0.000799494 -3 *1615:10 0.00266213 -4 *1615:8 0.00902297 -5 *1615:7 0.00982246 -6 *2821:la_oenb[48] *1664:7 0 -7 *1615:8 *1622:8 0 -8 *1615:8 *1622:12 0.00141569 -9 *1615:8 *1625:8 0.000274449 -10 *1615:8 *1627:8 0.00161493 -11 *1615:8 *1630:10 0.00142653 -12 *1615:8 *1633:11 0.000512757 -13 *1615:8 *1633:14 0.000565437 -14 *2820:la_data_out_core[48] *1615:7 0 -15 *2820:la_data_out_core[49] *1615:7 0 -16 *972:8 *1615:8 0 -17 *976:7 *1615:7 0 -18 *1022:8 *1615:8 0.000572433 -19 *1025:7 *2821:la_oenb[48] 0.000550387 -20 *1227:14 *1615:8 0.000742567 -21 *1241:14 *1615:8 0.0101294 -22 *1241:18 *1615:8 0.000170421 -23 *1251:16 *1615:8 0.00271134 -24 *1265:16 *1615:8 0.00128191 -25 *1275:24 *1615:8 0.000293712 -26 *1279:14 *1615:8 0.000554429 -27 *1280:11 *2821:la_oenb[48] 0 -28 *1610:10 *1615:8 0.000224395 -*RES -1 *2820:la_oenb_core[48] *1615:7 26.3862 -2 *1615:7 *1615:8 357.333 -3 *1615:8 *1615:10 4.5 -4 *1615:10 *2821:la_oenb[48] 60.5047 -*END - -*D_NET *1616 0.079628 -*CONN -*I *2821:la_oenb[49] I *D user_project_wrapper -*I *2820:la_oenb_core[49] O *D mgmt_protect -*CAP -1 *2821:la_oenb[49] 0.00149942 -2 *2820:la_oenb_core[49] 8.20467e-05 -3 *1616:10 0.00432649 -4 *1616:9 0.00282706 -5 *1616:7 0.00173678 -6 *1616:5 0.00181883 -7 *1616:10 *1619:10 0.0332051 -8 *2820:la_data_out_core[49] *1616:7 0 -9 *2820:la_data_out_core[50] *1616:7 0 -10 *2820:la_data_out_core[96] *2821:la_oenb[49] 0 -11 *2821:la_oenb[32] *1616:7 0 -12 *978:7 *1616:7 0.000982087 -13 *1027:5 *2821:la_oenb[49] 0 -14 *1214:5 *1616:7 0 -15 *1215:8 *1616:10 0.000670122 -16 *1261:8 *1616:10 0.00177903 -17 *1614:10 *1616:10 0.0307011 -*RES -1 *2820:la_oenb_core[49] *1616:5 2.33274 -2 *1616:5 *1616:7 48.1326 -3 *1616:7 *1616:9 4.5 -4 *1616:9 *1616:10 367.871 -5 *1616:10 *2821:la_oenb[49] 38.8438 -*END - -*D_NET *1617 0.0527287 -*CONN -*I *2821:la_oenb[4] I *D user_project_wrapper -*I *2820:la_oenb_core[4] O *D mgmt_protect -*CAP -1 *2821:la_oenb[4] 0.0020779 -2 *2820:la_oenb_core[4] 0.00116699 -3 *1617:10 0.0020779 -4 *1617:8 0.00184256 -5 *1617:7 0.00300955 -6 *2821:la_oenb[4] *2820:mprj_dat_i_user[8] 6.61225e-05 -7 *2821:la_oenb[4] *1805:13 0 -8 *2821:la_oenb[4] *1819:5 0.000213027 -9 *2821:la_oenb[4] *1870:13 1.15048e-05 -10 *2821:la_oenb[4] *1871:17 0 -11 *2821:la_oenb[4] *1951:5 0 -12 *2820:la_data_out_core[4] *1617:7 0 -13 *988:7 *1617:7 0 -14 *988:8 *1617:8 0.000113197 -15 *1222:12 *1617:8 0.020556 -16 *1233:8 *1617:8 0.0212953 -17 *1244:8 *1617:8 0.000185432 -18 *1606:8 *1617:8 0.000113197 -*RES -1 *2820:la_oenb_core[4] *1617:7 36.7675 -2 *1617:7 *1617:8 229.22 -3 *1617:8 *1617:10 4.5 -4 *1617:10 *2821:la_oenb[4] 50.1234 -*END - -*D_NET *1618 0.0848891 -*CONN -*I *2821:la_oenb[50] I *D user_project_wrapper -*I *2820:la_oenb_core[50] O *D mgmt_protect -*CAP -1 *2821:la_oenb[50] 0.00232629 -2 *2820:la_oenb_core[50] 0.00123992 -3 *1618:10 0.00232629 -4 *1618:8 0.00299732 -5 *1618:7 0.00423724 -6 *1618:8 *1667:10 8.66138e-05 -7 *1618:8 *1669:10 0.00048572 -8 *2820:la_data_out_core[50] *1618:7 0 -9 *2820:la_data_out_core[51] *1618:7 0 -10 *2821:la_oenb[32] *1618:7 9.83923e-05 -11 *959:8 *1618:8 0 -12 *979:7 *1618:7 0 -13 *1031:5 *2821:la_oenb[50] 0 -14 *1227:13 *1618:7 0 -15 *1234:8 *1618:8 0.035466 -16 *1235:12 *1618:8 0.0356253 -17 *1286:11 *2821:la_oenb[50] 0 -*RES -1 *2820:la_oenb_core[50] *1618:7 38.0133 -2 *1618:7 *1618:8 383.954 -3 *1618:8 *1618:10 4.5 -4 *1618:10 *2821:la_oenb[50] 48.8776 -*END - -*D_NET *1619 0.0849339 -*CONN -*I *2821:la_oenb[51] I *D user_project_wrapper -*I *2820:la_oenb_core[51] O *D mgmt_protect -*CAP -1 *2821:la_oenb[51] 0.00157628 -2 *2820:la_oenb_core[51] 8.20467e-05 -3 *1619:10 0.00480276 -4 *1619:9 0.00322648 -5 *1619:7 0.00174725 -6 *1619:5 0.00182929 -7 *1619:10 *1621:10 0.0356898 -8 *2820:la_data_out_core[51] *1619:7 0 -9 *2820:la_data_out_core[52] *1619:7 0 -10 *2821:la_data_in[33] *1619:7 0 -11 *980:7 *1619:7 0.000929995 -12 *1163:11 *2821:la_oenb[51] 0 -13 *1215:8 *1619:10 0.00027329 -14 *1216:8 *1619:10 0.000562035 -15 *1261:8 *1619:10 0.00100962 -16 *1616:10 *1619:10 0.0332051 -*RES -1 *2820:la_oenb_core[51] *1619:5 2.33274 -2 *1619:5 *1619:7 47.7174 -3 *1619:7 *1619:9 4.5 -4 *1619:9 *1619:10 394.492 -5 *1619:10 *2821:la_oenb[51] 39.2591 -*END - -*D_NET *1620 0.0803516 -*CONN -*I *2821:la_oenb[52] I *D user_project_wrapper -*I *2820:la_oenb_core[52] O *D mgmt_protect -*CAP -1 *2821:la_oenb[52] 0.00208667 -2 *2820:la_oenb_core[52] 0.00105271 -3 *1620:14 0.0047617 -4 *1620:13 0.00313786 -5 *1620:8 0.00321701 -6 *1620:7 0.00380689 -7 *1620:8 *1622:8 0 -8 *1620:8 *1635:10 0.000631805 -9 *1620:13 *1637:7 0.000220104 -10 *1620:14 *1625:14 0.0265366 -11 *1620:14 *1632:8 0.00703544 -12 *2820:la_data_out_core[103] *2821:la_oenb[52] 0 -13 *2820:la_data_out_core[52] *1620:7 0 -14 *2820:la_data_out_core[53] *1620:7 0 -15 *967:8 *1620:8 0.000342204 -16 *969:8 *1620:8 0.011322 -17 *971:8 *1620:14 0 -18 *981:7 *1620:7 0 -19 *997:5 *1620:13 0 -20 *1231:14 *1620:14 1.00846e-05 -21 *1241:8 *1620:14 0.0155543 -22 *1267:8 *1620:14 0.000515634 -23 *1283:8 *1620:14 0.000120517 -*RES -1 *2820:la_oenb_core[52] *1620:7 32.615 -2 *1620:7 *1620:8 128.282 -3 *1620:8 *1620:13 19.9675 -4 *1620:13 *1620:14 282.462 -5 *1620:14 *2821:la_oenb[52] 47.9793 -*END - -*D_NET *1621 0.0910933 -*CONN -*I *2821:la_oenb[53] I *D user_project_wrapper -*I *2820:la_oenb_core[53] O *D mgmt_protect -*CAP -1 *2821:la_oenb[53] 0.00164153 -2 *2820:la_oenb_core[53] 0.00161306 -3 *1621:10 0.00514923 -4 *1621:9 0.0035077 -5 *1621:7 0.00161306 -6 *1621:10 *1623:10 0.0382405 -7 *2820:la_data_out_core[53] *1621:7 0 -8 *2820:la_data_out_core[54] *1621:7 0 -9 *2821:la_data_in[34] *1621:7 0.000954803 -10 *982:7 *1621:7 0.000877903 -11 *1216:8 *1621:10 0.000744799 -12 *1220:8 *1621:10 0.0003447 -13 *1261:8 *1621:10 0.000716318 -14 *1552:5 *2821:la_oenb[53] 0 -15 *1619:10 *1621:10 0.0356898 -*RES -1 *2820:la_oenb_core[53] *1621:7 49.6348 -2 *1621:7 *1621:9 4.5 -3 *1621:9 *1621:10 421.668 -4 *1621:10 *2821:la_oenb[53] 39.6743 -*END - -*D_NET *1622 0.0872273 -*CONN -*I *2821:la_oenb[54] I *D user_project_wrapper -*I *2820:la_oenb_core[54] O *D mgmt_protect -*CAP -1 *2821:la_oenb[54] 0.00215483 -2 *2820:la_oenb_core[54] 0.000934922 -3 *1622:18 0.00347754 -4 *1622:17 0.0019524 -5 *1622:12 0.00196763 -6 *1622:10 0.00137975 -7 *1622:8 0.00304784 -8 *1622:7 0.00394095 -9 *1622:8 *1635:10 0 -10 *1622:12 *1633:14 0.0132278 -11 *1622:17 *1658:7 2.20115e-05 -12 *2820:la_data_out_core[109] *2821:la_oenb[54] 0 -13 *2820:la_data_out_core[54] *1622:7 0 -14 *2820:la_data_out_core[55] *1622:7 0 -15 *2820:la_data_out_core[68] *1622:8 0.000455222 -16 *2820:la_data_out_core[68] *1622:10 1.09551e-05 -17 *2820:la_data_out_core[68] *1622:12 0 -18 *2820:la_data_out_core[87] *1622:17 0.000634833 -19 *983:7 *1622:7 0 -20 *1018:5 *1622:17 0 -21 *1241:8 *1622:18 0.0162936 -22 *1241:14 *1622:8 1.75637e-06 -23 *1241:14 *1622:12 0.0100763 -24 *1241:16 *1622:10 9.07708e-05 -25 *1241:18 *1622:8 0.00935286 -26 *1251:8 *1622:12 7.92757e-06 -27 *1267:8 *1622:18 0.0162978 -28 *1283:8 *1622:18 0.000483857 -29 *1554:5 *2821:la_oenb[54] 0 -30 *1615:8 *1622:8 0 -31 *1615:8 *1622:12 0.00141569 -32 *1620:8 *1622:8 0 -*RES -1 *2820:la_oenb_core[54] *1622:7 28.8777 -2 *1622:7 *1622:8 121.627 -3 *1622:8 *1622:10 1.80849 -4 *1622:10 *1622:12 142.702 -5 *1622:12 *1622:17 24.5353 -6 *1622:17 *1622:18 173.205 -7 *1622:18 *2821:la_oenb[54] 48.8099 -*END - -*D_NET *1623 0.0964562 -*CONN -*I *2821:la_oenb[55] I *D user_project_wrapper -*I *2820:la_oenb_core[55] O *D mgmt_protect -*CAP -1 *2821:la_oenb[55] 0.00170191 -2 *2820:la_oenb_core[55] 0.00188165 -3 *1623:10 0.00535243 -4 *1623:9 0.00365052 -5 *1623:7 0.00188165 -6 *1623:10 *1627:14 0.0343685 -7 *1623:10 *1630:14 0.000272256 -8 *2820:la_data_out_core[111] *2821:la_oenb[55] 0 -9 *2820:la_data_out_core[55] *1623:7 0 -10 *2820:la_data_out_core[56] *1623:7 0 -11 *2821:la_oenb[34] *1623:7 0.000115511 -12 *984:7 *1623:7 0.000513259 -13 *1220:8 *1623:10 0.00727366 -14 *1261:8 *1623:10 1.6258e-05 -15 *1282:8 *1623:10 0.000214358 -16 *1552:8 *1623:10 0.000268359 -17 *1554:8 *1623:10 0.000384993 -18 *1557:8 *1623:10 0.000320474 -19 *1621:10 *1623:10 0.0382405 -*RES -1 *2820:la_oenb_core[55] *1623:7 49.2196 -2 *1623:7 *1623:9 4.5 -3 *1623:9 *1623:10 448.289 -4 *1623:10 *2821:la_oenb[55] 40.0896 -*END - -*D_NET *1624 0.100898 -*CONN -*I *2821:la_oenb[56] I *D user_project_wrapper -*I *2820:la_oenb_core[56] O *D mgmt_protect -*CAP -1 *2821:la_oenb[56] 0.00262694 -2 *2820:la_oenb_core[56] 0.00119491 -3 *1624:10 0.00262694 -4 *1624:8 0.00369504 -5 *1624:7 0.00488994 -6 *1624:8 *1626:8 0.0420898 -7 *2820:la_data_out_core[56] *1624:7 0 -8 *2820:la_data_out_core[57] *1624:7 0 -9 *967:8 *1624:8 0.000286628 -10 *985:7 *1624:7 0 -11 *1240:8 *1624:8 0.0429524 -12 *1558:8 *1624:8 0.000103217 -13 *1560:8 *1624:8 0.000432613 -14 *1561:5 *2821:la_oenb[56] 0 -*RES -1 *2820:la_oenb_core[56] *1624:7 35.1065 -2 *1624:7 *1624:8 463.817 -3 *1624:8 *1624:10 4.5 -4 *1624:10 *2821:la_oenb[56] 51.7844 -*END - -*D_NET *1625 0.0950682 -*CONN -*I *2821:la_oenb[57] I *D user_project_wrapper -*I *2820:la_oenb_core[57] O *D mgmt_protect -*CAP -1 *2821:la_oenb[57] 0.00213489 -2 *2820:la_oenb_core[57] 0.000926165 -3 *1625:14 0.00588198 -4 *1625:13 0.00428231 -5 *1625:8 0.00122496 -6 *1625:7 0.0016159 -7 *1625:8 *1627:8 0.00181056 -8 *1625:14 *1632:8 0.00116366 -9 *1625:14 *1632:14 0.0295975 -10 *1625:14 *1634:8 0.00824825 -11 *1625:14 *1634:12 0.000418643 -12 *2820:la_data_out_core[117] *2821:la_oenb[57] 0 -13 *2820:la_data_out_core[57] *1625:7 0 -14 *2820:la_data_out_core[65] *1625:13 0 -15 *986:7 *1625:7 0 -16 *994:5 *1625:13 0.000864425 -17 *1241:8 *1625:14 0.00416819 -18 *1241:18 *1625:8 0.0056129 -19 *1267:8 *1625:14 0.000125129 -20 *1283:8 *1625:14 0.00011282 -21 *1563:5 *2821:la_oenb[57] 6.88866e-05 -22 *1615:8 *1625:8 0.000274449 -23 *1620:14 *1625:14 0.0265366 -*RES -1 *2820:la_oenb_core[57] *1625:7 28.0472 -2 *1625:7 *1625:8 59.5114 -3 *1625:8 *1625:13 24.9506 -4 *1625:13 *1625:14 417.785 -5 *1625:14 *2821:la_oenb[57] 47.5641 -*END - -*D_NET *1626 0.106237 -*CONN -*I *2821:la_oenb[58] I *D user_project_wrapper -*I *2820:la_oenb_core[58] O *D mgmt_protect -*CAP -1 *2821:la_oenb[58] 0.00251615 -2 *2820:la_oenb_core[58] 0.00121585 -3 *1626:10 0.00251615 -4 *1626:8 0.00393048 -5 *1626:7 0.00514633 -6 *1626:8 *1629:8 0.0445874 -7 *2820:la_data_out_core[119] *2821:la_oenb[58] 0.000379149 -8 *2820:la_data_out_core[58] *1626:7 0 -9 *967:8 *1626:8 0.000391181 -10 *986:7 *1626:7 0 -11 *987:7 *1626:7 0 -12 *1560:8 *1626:8 0.000474501 -13 *1562:8 *1626:8 0.0029431 -14 *1566:7 *2821:la_oenb[58] 4.69801e-05 -15 *1624:8 *1626:8 0.0420898 -*RES -1 *2820:la_oenb_core[58] *1626:7 34.6913 -2 *1626:7 *1626:8 490.438 -3 *1626:8 *1626:10 4.5 -4 *1626:10 *2821:la_oenb[58] 52.1996 -*END - -*D_NET *1627 0.10423 -*CONN -*I *2821:la_oenb[59] I *D user_project_wrapper -*I *2820:la_oenb_core[59] O *D mgmt_protect -*CAP -1 *2821:la_oenb[59] 0.00156857 -2 *2820:la_oenb_core[59] 0.000917407 -3 *1627:14 0.00511477 -4 *1627:13 0.00458519 -5 *1627:8 0.00175368 -6 *1627:7 0.00163211 -7 *1627:8 *1630:10 0.00142232 -8 *1627:13 *1635:7 0 -9 *1627:14 *1630:14 0.0424182 -10 *2820:la_data_out_core[60] *1627:7 0 -11 *2821:la_oenb[38] *1627:13 7.14746e-05 -12 *930:7 *2821:la_oenb[59] 0.000914425 -13 *989:7 *1627:7 0 -14 *995:5 *1627:13 0 -15 *996:5 *1627:13 0 -16 *1241:18 *1627:8 0.000245845 -17 *1243:11 *1627:7 0 -18 *1282:8 *1627:14 0.000331419 -19 *1557:8 *1627:14 0.0005984 -20 *1561:8 *1627:14 0.000670122 -21 *1563:8 *1627:14 0.0041919 -22 *1615:8 *1627:8 0.00161493 -23 *1623:10 *1627:14 0.0343685 -24 *1625:8 *1627:8 0.00181056 -*RES -1 *2820:la_oenb_core[59] *1627:7 27.2167 -2 *1627:7 *1627:8 53.9653 -3 *1627:8 *1627:13 32.8404 -4 *1627:13 *1627:14 449.952 -5 *1627:14 *2821:la_oenb[59] 40.5048 -*END - -*D_NET *1628 0.0504094 -*CONN -*I *2821:la_oenb[5] I *D user_project_wrapper -*I *2820:la_oenb_core[5] O *D mgmt_protect -*CAP -1 *2821:la_oenb[5] 0.00203961 -2 *2820:la_oenb_core[5] 0.00125269 -3 *1628:10 0.00203961 -4 *1628:8 0.00164247 -5 *1628:7 0.00289516 -6 *2821:la_oenb[5] *2820:mprj_dat_i_user[10] 0 -7 *1628:8 *2820:mprj_dat_i_user[11] 0.000383703 -8 *1628:8 *2820:mprj_dat_i_user[13] 1.67988e-05 -9 *1628:8 *1861:8 0.00132676 -10 *2820:la_data_out_core[5] *1628:7 0 -11 *988:7 *1628:7 0 -12 *988:8 *1628:8 7.83311e-05 -13 *999:7 *1628:7 0 -14 *999:8 *1628:8 0.019707 -15 *1255:8 *1628:8 0.0190272 -*RES -1 *2820:la_oenb_core[5] *1628:7 38.8438 -2 *1628:7 *1628:8 216.464 -3 *1628:8 *1628:10 4.5 -4 *1628:10 *2821:la_oenb[5] 48.0471 -*END - -*D_NET *1629 0.111864 -*CONN -*I *2821:la_oenb[60] I *D user_project_wrapper -*I *2820:la_oenb_core[60] O *D mgmt_protect -*CAP -1 *2821:la_oenb[60] 0.00260255 -2 *2820:la_oenb_core[60] 0.00122028 -3 *1629:10 0.00260255 -4 *1629:8 0.00413333 -5 *1629:7 0.00535362 -6 *1629:8 *1631:8 0.0470527 -7 *2820:la_data_out_core[125] *2821:la_oenb[60] 0 -8 *2820:la_data_out_core[60] *1629:7 0 -9 *932:7 *2821:la_oenb[60] 0 -10 *933:5 *2821:la_oenb[60] 0.000245836 -11 *967:8 *1629:8 0.000677667 -12 *990:5 *1629:7 0 -13 *1562:8 *1629:8 0.00069335 -14 *1569:8 *1629:8 0.00239913 -15 *1572:5 *2821:la_oenb[60] 0.000295891 -16 *1626:8 *1629:8 0.0445874 -*RES -1 *2820:la_oenb_core[60] *1629:7 34.276 -2 *1629:7 *1629:8 517.059 -3 *1629:8 *1629:10 4.5 -4 *1629:10 *2821:la_oenb[60] 52.6149 -*END - -*D_NET *1630 0.111446 -*CONN -*I *2821:la_oenb[61] I *D user_project_wrapper -*I *2820:la_oenb_core[61] O *D mgmt_protect -*CAP -1 *2821:la_oenb[61] 0.00185285 -2 *2820:la_oenb_core[61] 0.00103149 -3 *1630:14 0.00638548 -4 *1630:13 0.00546034 -5 *1630:10 0.0019592 -6 *1630:13 *1632:7 0.000364001 -7 *1630:14 *1651:8 9.48476e-05 -8 *1630:14 *1653:8 0.000218849 -9 *2820:la_data_out_core[61] *1630:10 0 -10 *2821:la_oenb[37] *1630:13 0 -11 *935:5 *2821:la_oenb[61] 0 -12 *990:5 *1630:10 0 -13 *991:7 *1630:10 0 -14 *992:5 *1630:13 0 -15 *998:8 *1630:14 0.0069679 -16 *1220:8 *1630:14 0.00151986 -17 *1221:8 *1630:14 0.0035546 -18 *1226:13 *1630:14 3.26073e-05 -19 *1250:19 *2821:la_oenb[61] 0.000249983 -20 *1263:8 *1630:14 0 -21 *1268:8 *1630:14 0.000249889 -22 *1270:8 *1630:14 0.0334866 -23 *1282:8 *1630:14 0.000359698 -24 *1563:8 *1630:14 0.00146909 -25 *1565:19 *2821:la_oenb[61] 1.09738e-05 -26 *1574:10 *1630:14 0.000638598 -27 *1615:8 *1630:10 0.00142653 -28 *1623:10 *1630:14 0.000272256 -29 *1627:8 *1630:10 0.00142232 -30 *1627:14 *1630:14 0.0424182 -*RES -1 *2820:la_oenb_core[61] *1630:10 46.4446 -2 *1630:10 *1630:13 28.3404 -3 *1630:13 *1630:14 515.396 -4 *1630:14 *2821:la_oenb[61] 40.9201 -*END - -*D_NET *1631 0.114484 -*CONN -*I *2821:la_oenb[62] I *D user_project_wrapper -*I *2820:la_oenb_core[62] O *D mgmt_protect -*CAP -1 *2821:la_oenb[62] 0.0027041 -2 *2820:la_oenb_core[62] 0.00122521 -3 *1631:16 0.00300843 -4 *1631:8 0.00500811 -5 *1631:7 0.00592899 -6 *1631:8 *1635:10 1.26314e-05 -7 *1631:8 *1635:12 0.00142517 -8 *1631:8 *1647:8 0.000670122 -9 *1631:8 *1649:8 0.0370172 -10 *1631:16 *2820:user_irq_core[0] 0 -11 *1631:16 *2820:user_irq_core[1] 8.62625e-06 -12 *1631:16 *1671:8 0.00116967 -13 *2820:la_data_out_core[62] *1631:7 0 -14 *967:8 *1631:8 0.00557424 -15 *991:7 *1631:7 0 -16 *992:5 *1631:7 0 -17 *1247:5 *1631:16 0 -18 *1551:8 *1631:16 0.00117388 -19 *1569:8 *1631:8 0.000264711 -20 *1573:8 *1631:8 0.00223979 -21 *1629:8 *1631:8 0.0470527 -*RES -1 *2820:la_oenb_core[62] *1631:7 33.8608 -2 *1631:7 *1631:8 531.479 -3 *1631:8 *1631:16 29.3631 -4 *1631:16 *2821:la_oenb[62] 49.7081 -*END - -*D_NET *1632 0.109554 -*CONN -*I *2821:la_oenb[63] I *D user_project_wrapper -*I *2820:la_oenb_core[63] O *D mgmt_protect -*CAP -1 *2821:la_oenb[63] 0.00229668 -2 *2820:la_oenb_core[63] 0.00155685 -3 *1632:20 0.00277205 -4 *1632:14 0.00414624 -5 *1632:13 0.00372319 -6 *1632:8 0.00154945 -7 *1632:7 0.00305398 -8 *1632:14 *1634:12 0.0383763 -9 *1632:20 *1634:12 0.00027242 -10 *1632:20 *1634:16 0.00181116 -11 *2820:la_data_out_core[63] *1632:7 0 -12 *2820:la_data_out_core[77] *1632:13 0 -13 *992:5 *1632:7 0 -14 *993:9 *1632:7 0 -15 *993:11 *1632:7 0 -16 *1231:13 *1632:13 7.14746e-05 -17 *1231:14 *1632:8 0.0047204 -18 *1250:13 *2821:la_oenb[63] 0.000208084 -19 *1267:8 *1632:14 0.000248699 -20 *1267:8 *1632:20 0.00149398 -21 *1283:8 *1632:14 0.000107595 -22 *1283:8 *1632:20 0.000135066 -23 *1565:14 *1632:14 0.00485005 -24 *1620:14 *1632:8 0.00703544 -25 *1625:14 *1632:8 0.00116366 -26 *1625:14 *1632:14 0.0295975 -27 *1630:13 *1632:7 0.000364001 -*RES -1 *2820:la_oenb_core[63] *1632:7 42.9963 -2 *1632:7 *1632:8 114.417 -3 *1632:8 *1632:13 10.4167 -4 *1632:13 *1632:14 408.08 -5 *1632:14 *1632:20 40.7301 -6 *1632:20 *2821:la_oenb[63] 43.8946 -*END - -*D_NET *1633 0.117408 -*CONN -*I *2821:la_oenb[64] I *D user_project_wrapper -*I *2820:la_oenb_core[64] O *D mgmt_protect -*CAP -1 *2821:la_oenb[64] 0.00325411 -2 *2820:la_oenb_core[64] 0.000885346 -3 *1633:16 0.00325411 -4 *1633:14 0.00459072 -5 *1633:13 0.00459072 -6 *1633:11 0.001132 -7 *1633:7 0.00201735 -8 *2821:la_oenb[64] *1640:17 8.11463e-06 -9 *1633:11 *1638:10 4.3116e-06 -10 *1633:14 *1635:18 0.0436056 -11 *1633:14 *1656:8 0.000490527 -12 *2820:la_data_out_core[64] *1633:7 0 -13 *933:13 *2821:la_oenb[64] 7.96949e-05 -14 *972:8 *1633:11 0 -15 *993:11 *1633:7 0 -16 *994:5 *1633:7 0 -17 *1000:7 *1633:11 0 -18 *1022:8 *1633:14 0 -19 *1251:8 *1633:14 0.00263026 -20 *1251:16 *1633:11 0.00281159 -21 *1275:24 *1633:14 0.000678803 -22 *1279:14 *1633:14 0.00711591 -23 *1546:13 *1633:14 0.000277287 -24 *1547:8 *1633:14 0.0256752 -25 *1615:8 *1633:11 0.000512757 -26 *1615:8 *1633:14 0.000565437 -27 *1622:12 *1633:14 0.0132278 -*RES -1 *2820:la_oenb_core[64] *1633:7 25.5557 -2 *1633:7 *1633:11 48.5113 -3 *1633:11 *1633:13 4.5 -4 *1633:13 *1633:14 528.706 -5 *1633:14 *1633:16 4.5 -6 *1633:16 *2821:la_oenb[64] 59.2589 -*END - -*D_NET *1634 0.119666 -*CONN -*I *2821:la_oenb[65] I *D user_project_wrapper -*I *2820:la_oenb_core[65] O *D mgmt_protect -*CAP -1 *2821:la_oenb[65] 0.0022895 -2 *2820:la_oenb_core[65] 0.00174836 -3 *1634:16 0.00308034 -4 *1634:14 0.00083531 -5 *1634:12 0.00353572 -6 *1634:10 0.00350944 -7 *1634:8 0.000941946 -8 *1634:7 0.00267212 -9 *1634:8 *1636:8 0.00668725 -10 *1634:8 *1636:12 1.67988e-05 -11 *1634:10 *1636:10 1.09551e-05 -12 *1634:12 *1636:12 0.0408644 -13 *1634:14 *1636:14 1.64789e-05 -14 *1634:16 *1636:12 1.71154e-05 -15 *1634:16 *1636:16 0.0026044 -16 *2820:la_data_out_core[65] *1634:7 0 -17 *969:14 *1634:8 4.0752e-05 -18 *995:5 *1634:7 0 -19 *1267:8 *1634:16 0.00107557 -20 *1283:8 *1634:12 0.000107152 -21 *1283:8 *1634:16 0.000486012 -22 *1625:14 *1634:8 0.00824825 -23 *1625:14 *1634:12 0.000418643 -24 *1632:14 *1634:12 0.0383763 -25 *1632:20 *1634:12 0.00027242 -26 *1632:20 *1634:16 0.00181116 -*RES -1 *2820:la_oenb_core[65] *1634:7 44.2421 -2 *1634:7 *1634:8 87.7962 -3 *1634:8 *1634:10 0.578717 -4 *1634:10 *1634:12 434.423 -5 *1634:12 *1634:14 1.39857 -6 *1634:14 *1634:16 61.7298 -7 *1634:16 *2821:la_oenb[65] 47.9793 -*END - -*D_NET *1635 0.120126 -*CONN -*I *2821:la_oenb[66] I *D user_project_wrapper -*I *2820:la_oenb_core[66] O *D mgmt_protect -*CAP -1 *2821:la_oenb[66] 0.00323685 -2 *2820:la_oenb_core[66] 0.00114194 -3 *1635:20 0.00323685 -4 *1635:18 0.00400499 -5 *1635:17 0.00419167 -6 *1635:12 0.00163188 -7 *1635:10 0.00228841 -8 *1635:7 0.00198515 -9 *1635:10 *1638:10 2.93863e-05 -10 *1635:10 *1638:12 0 -11 *1635:12 *1638:12 0 -12 *1635:12 *1641:8 0 -13 *1635:12 *1643:8 9.48476e-05 -14 *1635:12 *1645:8 0.000226394 -15 *1635:12 *1647:8 0.000167076 -16 *1635:17 *1647:7 5.246e-05 -17 *1635:18 *1656:8 0.00120675 -18 *2820:la_data_out_core[66] *1635:7 0 -19 *2820:la_data_out_core[68] *1635:10 6.0055e-05 -20 *967:8 *1635:10 0.000193699 -21 *995:5 *1635:7 0 -22 *996:5 *1635:7 0 -23 *1008:5 *1635:17 8.87047e-05 -24 *1251:8 *1635:18 0.0469851 -25 *1253:8 *1635:18 0.000308997 -26 *1256:8 *1635:12 0 -27 *1258:8 *1635:12 6.0055e-05 -28 *1260:8 *1635:12 0.000249876 -29 *1262:8 *1635:12 0.00115033 -30 *1547:8 *1635:18 0.00185942 -31 *1620:8 *1635:10 0.000631805 -32 *1622:8 *1635:10 0 -33 *1627:13 *1635:7 0 -34 *1631:8 *1635:10 1.26314e-05 -35 *1631:8 *1635:12 0.00142517 -36 *1633:14 *1635:18 0.0436056 -*RES -1 *2820:la_oenb_core[66] *1635:7 31.7845 -2 *1635:7 *1635:10 29.9968 -3 *1635:10 *1635:12 61.1752 -4 *1635:12 *1635:17 13.7388 -5 *1635:17 *1635:18 507.076 -6 *1635:18 *1635:20 4.5 -7 *1635:20 *2821:la_oenb[66] 58.8437 -*END - -*D_NET *1636 0.126858 -*CONN -*I *2821:la_oenb[67] I *D user_project_wrapper -*I *2820:la_oenb_core[67] O *D mgmt_protect -*CAP -1 *2821:la_oenb[67] 0.00223986 -2 *2820:la_oenb_core[67] 0.00169197 -3 *1636:16 0.003539 -4 *1636:14 0.00132063 -5 *1636:12 0.00336504 -6 *1636:10 0.00336174 -7 *1636:8 0.000595377 -8 *1636:7 0.00226915 -9 *1636:8 *1637:8 0.000388082 -10 *1636:12 *1637:8 0.0410237 -11 *1636:14 *1637:10 3.75603e-05 -12 *1636:16 *1637:8 9.82896e-06 -13 *1636:16 *1637:12 0.00978527 -14 *2820:la_data_out_core[67] *1636:7 0 -15 *969:13 *1636:7 0.000239138 -16 *969:14 *1636:8 0.00579731 -17 *996:5 *1636:7 0 -18 *997:5 *1636:7 0 -19 *1267:8 *1636:16 0.000272612 -20 *1283:8 *1636:12 0.000107152 -21 *1283:8 *1636:16 0.000597173 -22 *1634:8 *1636:8 0.00668725 -23 *1634:8 *1636:12 1.67988e-05 -24 *1634:10 *1636:10 1.09551e-05 -25 *1634:12 *1636:12 0.0408644 -26 *1634:14 *1636:14 1.64789e-05 -27 *1634:16 *1636:12 1.71154e-05 -28 *1634:16 *1636:16 0.0026044 -*RES -1 *2820:la_oenb_core[67] *1636:7 44.6573 -2 *1636:7 *1636:8 71.1581 -3 *1636:8 *1636:10 0.578717 -4 *1636:10 *1636:12 436.087 -5 *1636:12 *1636:14 0.988641 -6 *1636:14 *1636:16 103.325 -7 *1636:16 *2821:la_oenb[67] 47.1488 -*END - -*D_NET *1637 0.126732 -*CONN -*I *2821:la_oenb[68] I *D user_project_wrapper -*I *2820:la_oenb_core[68] O *D mgmt_protect -*CAP -1 *2821:la_oenb[68] 0.00221159 -2 *2820:la_oenb_core[68] 0.00174741 -3 *1637:12 0.00372434 -4 *1637:10 0.00153963 -5 *1637:8 0.0041398 -6 *1637:7 0.00586033 -7 *1637:8 *1640:8 0.0454498 -8 *1637:8 *1664:8 0.000107595 -9 *1637:12 *1640:8 0.000179379 -10 *2820:la_data_out_core[68] *1637:7 0 -11 *969:14 *1637:8 0.00500937 -12 *997:5 *1637:7 0 -13 *998:5 *1637:7 0 -14 *1257:8 *1637:12 0.000626455 -15 *1257:12 *1637:12 0.00394354 -16 *1267:8 *1637:12 0 -17 *1283:8 *1637:12 0.000728653 -18 *1620:13 *1637:7 0.000220104 -19 *1636:8 *1637:8 0.000388082 -20 *1636:12 *1637:8 0.0410237 -21 *1636:14 *1637:10 3.75603e-05 -22 *1636:16 *1637:8 9.82896e-06 -23 *1636:16 *1637:12 0.00978527 -*RES -1 *2820:la_oenb_core[68] *1637:7 45.4878 -2 *1637:7 *1637:8 499.312 -3 *1637:8 *1637:10 0.988641 -4 *1637:10 *1637:12 124.4 -5 *1637:12 *2821:la_oenb[68] 46.7336 -*END - -*D_NET *1638 0.13791 -*CONN -*I *2821:la_oenb[69] I *D user_project_wrapper -*I *2820:la_oenb_core[69] O *D mgmt_protect -*CAP -1 *2821:la_oenb[69] 0.00312647 -2 *2820:la_oenb_core[69] 0.00119946 -3 *1638:14 0.00312647 -4 *1638:12 0.00525151 -5 *1638:10 0.00645097 -6 *1638:12 *1656:8 0.00201301 -7 *2820:la_data_out_core[68] *1638:10 0.00015574 -8 *2820:la_data_out_core[69] *1638:10 0 -9 *1000:7 *1638:10 0 -10 *1251:16 *1638:10 6.46135e-05 -11 *1253:8 *1638:10 9.82896e-06 -12 *1253:8 *1638:12 0.0570802 -13 *1256:8 *1638:12 0.0592132 -14 *1547:8 *1638:12 0.000184958 -15 *1633:11 *1638:10 4.3116e-06 -16 *1635:10 *1638:10 2.93863e-05 -17 *1635:10 *1638:12 0 -18 *1635:12 *1638:12 0 -*RES -1 *2820:la_oenb_core[69] *1638:10 32.9447 -2 *1638:10 *1638:12 635.19 -3 *1638:12 *1638:14 4.5 -4 *1638:14 *2821:la_oenb[69] 57.5979 -*END - -*D_NET *1639 0.0467116 -*CONN -*I *2821:la_oenb[6] I *D user_project_wrapper -*I *2820:la_oenb_core[6] O *D mgmt_protect -*CAP -1 *2821:la_oenb[6] 0.00193099 -2 *2820:la_oenb_core[6] 0.001204 -3 *1639:10 0.00193099 -4 *1639:8 0.00178182 -5 *1639:7 0.00298582 -6 *2821:la_oenb[6] *2820:mprj_dat_i_user[13] 3.77659e-05 -7 *2821:la_oenb[6] *1925:5 0.000305303 -8 *2820:la_data_out_core[6] *1639:7 0 -9 *2820:la_data_out_core[7] *1639:7 0 -10 *988:8 *1639:8 0.0178251 -11 *999:8 *1639:8 0.0186111 -12 *1010:7 *1639:7 0 -13 *1244:8 *1639:8 0 -14 *1255:8 *1639:8 6.44576e-05 -15 *1277:8 *1639:8 3.42853e-05 -*RES -1 *2820:la_oenb_core[6] *1639:7 38.0133 -2 *1639:7 *1639:8 202.599 -3 *1639:8 *1639:10 4.5 -4 *1639:10 *2821:la_oenb[6] 48.8776 -*END - -*D_NET *1640 0.137389 -*CONN -*I *2821:la_oenb[70] I *D user_project_wrapper -*I *2820:la_oenb_core[70] O *D mgmt_protect -*CAP -1 *2821:la_oenb[70] 0.00211901 -2 *2820:la_oenb_core[70] 0.00152056 -3 *1640:18 0.00301799 -4 *1640:17 0.00102298 -5 *1640:8 0.00429615 -6 *1640:7 0.00569272 -7 *1640:8 *1664:8 0.000468206 -8 *1640:18 *1664:8 0.0010184 -9 *2820:la_data_out_core[70] *1640:7 0.00142981 -10 *2821:la_oenb[64] *1640:17 8.11463e-06 -11 *998:8 *1640:8 0 -12 *1000:7 *1640:7 0 -13 *1001:5 *1640:7 0 -14 *1257:8 *1640:18 0.0064896 -15 *1257:12 *1640:8 0.00311953 -16 *1257:12 *1640:18 0.00249332 -17 *1257:18 *1640:8 0.0456709 -18 *1259:8 *1640:8 0.00138703 -19 *1259:8 *1640:18 0.0120051 -20 *1637:8 *1640:8 0.0454498 -21 *1637:12 *1640:8 0.000179379 -*RES -1 *2820:la_oenb_core[70] *1640:7 45.9031 -2 *1640:7 *1640:8 523.16 -3 *1640:8 *1640:17 11.0518 -4 *1640:17 *1640:18 126.064 -5 *1640:18 *2821:la_oenb[70] 45.0726 -*END - -*D_NET *1641 0.143682 -*CONN -*I *2821:la_oenb[71] I *D user_project_wrapper -*I *2820:la_oenb_core[71] O *D mgmt_protect -*CAP -1 *2821:la_oenb[71] 0.00304463 -2 *2820:la_oenb_core[71] 0.00110848 -3 *1641:10 0.00304463 -4 *1641:8 0.00544794 -5 *1641:7 0.00655641 -6 *1641:8 *1658:8 0.00265619 -7 *2820:la_data_out_core[71] *1641:7 0 -8 *1002:5 *1641:7 0 -9 *1256:8 *1641:8 0.0598167 -10 *1258:8 *1641:8 0.062007 -11 *1547:8 *1641:8 0 -12 *1635:12 *1641:8 0 -*RES -1 *2820:la_oenb_core[71] *1641:7 30.1235 -2 *1641:7 *1641:8 663.475 -3 *1641:8 *1641:10 4.5 -4 *1641:10 *2821:la_oenb[71] 56.7674 -*END - -*D_NET *1642 0.147145 -*CONN -*I *2821:la_oenb[72] I *D user_project_wrapper -*I *2820:la_oenb_core[72] O *D mgmt_protect -*CAP -1 *2821:la_oenb[72] 0.0020625 -2 *2820:la_oenb_core[72] 0.00184827 -3 *1642:8 0.00710504 -4 *1642:7 0.00689081 -5 *1642:8 *1644:8 0.0623885 -6 *1642:8 *1664:8 0.00268634 -7 *2820:la_data_out_core[72] *1642:7 0 -8 *2821:la_data_in[41] *1642:7 0.00029479 -9 *970:16 *1642:8 0.000226066 -10 *998:8 *1642:8 0 -11 *1002:5 *1642:7 0 -12 *1003:5 *1642:7 0 -13 *1257:18 *1642:8 0.000194684 -14 *1259:8 *1642:8 0.0634484 -*RES -1 *2820:la_oenb_core[72] *1642:7 47.1488 -2 *1642:7 *1642:8 676.785 -3 *1642:8 *2821:la_oenb[72] 44.2421 -*END - -*D_NET *1643 0.149509 -*CONN -*I *2821:la_oenb[73] I *D user_project_wrapper -*I *2820:la_oenb_core[73] O *D mgmt_protect -*CAP -1 *2821:la_oenb[73] 0.00296282 -2 *2820:la_oenb_core[73] 0.00115135 -3 *1643:10 0.00296282 -4 *1643:8 0.00551975 -5 *1643:7 0.0066711 -6 *1643:8 *1660:8 0.00310641 -7 *2820:la_data_out_core[73] *1643:7 0 -8 *2820:la_data_out_core[74] *1643:7 0 -9 *1004:7 *1643:7 0 -10 *1258:8 *1643:8 0.0624045 -11 *1260:8 *1643:8 0.064635 -12 *1547:8 *1643:8 0 -13 *1635:12 *1643:8 9.48476e-05 -*RES -1 *2820:la_oenb_core[73] *1643:7 30.954 -2 *1643:7 *1643:8 690.65 -3 *1643:8 *1643:10 4.5 -4 *1643:10 *2821:la_oenb[73] 55.9369 -*END - -*D_NET *1644 0.150603 -*CONN -*I *2821:la_oenb[74] I *D user_project_wrapper -*I *2820:la_oenb_core[74] O *D mgmt_protect -*CAP -1 *2821:la_oenb[74] 0.00203256 -2 *2820:la_oenb_core[74] 0.00191183 -3 *1644:8 0.00759458 -4 *1644:7 0.00747386 -5 *1644:8 *1664:8 0.00311889 -6 *2820:la_data_out_core[74] *1644:7 0 -7 *970:16 *1644:8 2.20702e-05 -8 *1005:7 *1644:7 0 -9 *1226:13 *1644:7 0.000142359 -10 *1226:13 *1644:8 0.00081651 -11 *1259:8 *1644:8 0.000622925 -12 *1263:8 *1644:8 0.064479 -13 *1642:8 *1644:8 0.0623885 -*RES -1 *2820:la_oenb_core[74] *1644:7 47.5641 -2 *1644:7 *1644:8 703.406 -3 *1644:8 *2821:la_oenb[74] 43.8268 -*END - -*D_NET *1645 0.157086 -*CONN -*I *2821:la_oenb[75] I *D user_project_wrapper -*I *2820:la_oenb_core[75] O *D mgmt_protect -*CAP -1 *2821:la_oenb[75] 0.00261516 -2 *2820:la_oenb_core[75] 0.000844815 -3 *1645:10 0.00261516 -4 *1645:8 0.00564521 -5 *1645:7 0.00649002 -6 *1006:5 *1645:7 0 -7 *1190:13 *2821:la_oenb[75] 0.00101873 -8 *1260:8 *1645:8 0.0649666 -9 *1261:11 *1645:7 0.00152797 -10 *1262:8 *1645:8 0.0671906 -11 *1276:8 *1645:8 0.00394508 -12 *1635:12 *1645:8 0.000226394 -*RES -1 *2820:la_oenb_core[75] *1645:7 31.7845 -2 *1645:7 *1645:8 717.271 -3 *1645:8 *1645:10 4.5 -4 *1645:10 *2821:la_oenb[75] 55.1064 -*END - -*D_NET *1646 0.152519 -*CONN -*I *2821:la_oenb[76] I *D user_project_wrapper -*I *2820:la_oenb_core[76] O *D mgmt_protect -*CAP -1 *2821:la_oenb[76] 0.00156092 -2 *2820:la_oenb_core[76] 0.00231686 -3 *1646:8 0.00727824 -4 *1646:7 0.00571732 -5 *1646:5 0.00231686 -6 *1646:8 *1655:8 0.000433733 -7 *2820:la_data_out_core[76] *1646:5 0 -8 *2821:la_oenb[42] *1646:5 0 -9 *906:8 *1646:8 0.0513758 -10 *1006:5 *1646:5 0 -11 *1007:7 *1646:5 0 -12 *1230:8 *1646:8 0.000568581 -13 *1261:8 *1646:8 0.00164802 -14 *1273:14 *1646:8 0.0048076 -15 *1278:16 *1646:8 0.00152206 -16 *1280:8 *1646:8 0.00122461 -17 *1284:8 *1646:8 0.0541879 -18 *1559:8 *1646:8 0.00284326 -19 *1612:10 *1646:8 0.00634127 -20 *1613:8 *1646:8 0.00837601 -*RES -1 *2820:la_oenb_core[76] *1646:5 50.1234 -2 *1646:5 *1646:7 4.5 -3 *1646:7 *1646:8 730.027 -4 *1646:8 *2821:la_oenb[76] 36.7675 -*END - -*D_NET *1647 0.163078 -*CONN -*I *2821:la_oenb[77] I *D user_project_wrapper -*I *2820:la_oenb_core[77] O *D mgmt_protect -*CAP -1 *2821:la_oenb[77] 0.00279383 -2 *2820:la_oenb_core[77] 0.00124964 -3 *1647:10 0.00279383 -4 *1647:8 0.00534661 -5 *1647:7 0.00659625 -6 *1647:8 *1649:8 0.0687823 -7 *2820:la_data_out_core[77] *1647:7 0 -8 *1008:5 *1647:7 0 -9 *1188:13 *2821:la_oenb[77] 0 -10 *1262:8 *1647:8 0.000148901 -11 *1264:8 *1647:8 0.0697762 -12 *1276:8 *1647:8 0.000100556 -13 *1281:8 *1647:8 0.00459968 -14 *1631:8 *1647:8 0.000670122 -15 *1635:12 *1647:8 0.000167076 -16 *1635:17 *1647:7 5.246e-05 -*RES -1 *2820:la_oenb_core[77] *1647:7 33.0303 -2 *1647:7 *1647:8 743.892 -3 *1647:8 *1647:10 4.5 -4 *1647:10 *2821:la_oenb[77] 53.8607 -*END - -*D_NET *1648 0.162287 -*CONN -*I *2821:la_oenb[78] I *D user_project_wrapper -*I *2820:la_oenb_core[78] O *D mgmt_protect -*CAP -1 *2821:la_oenb[78] 0.00146418 -2 *2820:la_oenb_core[78] 0.00231297 -3 *1648:16 0.00585195 -4 *1648:14 0.00442388 -5 *1648:8 0.00109828 -6 *1648:7 0.00106217 -7 *1648:5 0.00231297 -8 *1648:8 *1655:8 0.00575288 -9 *1648:8 *1659:8 0.000378805 -10 *1648:8 *1662:10 0.00126721 -11 *1648:14 *1662:10 2.41483e-05 -12 *1648:14 *1662:12 9.82896e-06 -13 *1648:16 *1662:12 4.56667e-05 -14 *2820:la_data_out_core[78] *1648:5 0 -15 *998:13 *1648:5 0 -16 *1008:5 *1648:5 0 -17 *1009:5 *1648:5 0 -18 *1226:7 *1648:5 0.000162811 -19 *1228:8 *1648:8 0.00415813 -20 *1229:8 *1648:8 0.0062013 -21 *1231:8 *1648:8 0.000249054 -22 *1273:14 *1648:8 1.67988e-05 -23 *1273:14 *1648:14 0.000122378 -24 *1273:14 *1648:16 1.41689e-05 -25 *1278:8 *1648:16 0.0610805 -26 *1280:8 *1648:16 0.0611249 -27 *1550:8 *1648:16 0.00296876 -28 *1612:10 *1648:8 0.000183207 -*RES -1 *2820:la_oenb_core[78] *1648:5 51.3691 -2 *1648:5 *1648:7 4.5 -3 *1648:7 *1648:8 109.98 -4 *1648:8 *1648:14 2.43543 -5 *1648:14 *1648:16 645.727 -6 *1648:16 *2821:la_oenb[78] 35.5218 -*END - -*D_NET *1649 0.166937 -*CONN -*I *2821:la_oenb[79] I *D user_project_wrapper -*I *2820:la_oenb_core[79] O *D mgmt_protect -*CAP -1 *2821:la_oenb[79] 0.00274342 -2 *2820:la_oenb_core[79] 0.0013063 -3 *1649:10 0.00274342 -4 *1649:8 0.00588726 -5 *1649:7 0.00719355 -6 *1649:8 *2737:8 0.0358068 -7 *2820:la_data_out_core[79] *1649:7 0 -8 *2820:la_data_out_core[80] *1649:7 0 -9 *1009:5 *1649:7 0 -10 *1011:7 *1649:7 0 -11 *1264:8 *1649:8 0.000598776 -12 *1281:8 *1649:8 0.00484422 -13 *1573:8 *1649:8 1.41689e-05 -14 *1631:8 *1649:8 0.0370172 -15 *1647:8 *1649:8 0.0687823 -*RES -1 *2820:la_oenb_core[79] *1649:7 33.4455 -2 *1649:7 *1649:8 770.513 -3 *1649:8 *1649:10 4.5 -4 *1649:10 *2821:la_oenb[79] 53.4454 -*END - -*D_NET *1650 0.0376987 -*CONN -*I *2821:la_oenb[7] I *D user_project_wrapper -*I *2820:la_oenb_core[7] O *D mgmt_protect -*CAP -1 *2821:la_oenb[7] 0.00237176 -2 *2820:la_oenb_core[7] 0.000810642 -3 *1650:10 0.00237176 -4 *1650:8 0.00304795 -5 *1650:7 0.00385859 -6 *2821:la_oenb[7] *2820:mprj_dat_i_user[16] 0.000320646 -7 *2821:la_oenb[7] *1796:7 0.000249888 -8 *2821:la_oenb[7] *1927:5 0 -9 *1650:8 *1661:8 0.0157062 -10 *1650:8 *1812:8 0.00184192 -11 *1650:8 *1870:20 0.00394081 -12 *1650:8 *1878:22 0.00229711 -13 *2820:la_data_out_core[7] *1650:7 0 -14 *1010:8 *1650:8 0 -15 *1021:7 *1650:7 0 -16 *1161:14 *1650:8 0.000881427 -*RES -1 *2820:la_oenb_core[7] *1650:7 27.2167 -2 *1650:7 *1650:8 189.843 -3 *1650:8 *1650:10 4.5 -4 *1650:10 *2821:la_oenb[7] 59.6742 -*END - -*D_NET *1651 0.168454 -*CONN -*I *2821:la_oenb[80] I *D user_project_wrapper -*I *2820:la_oenb_core[80] O *D mgmt_protect -*CAP -1 *2821:la_oenb[80] 0.00191943 -2 *2820:la_oenb_core[80] 0.00193141 -3 *1651:8 0.00790784 -4 *1651:7 0.00791982 -5 *1651:8 *1666:8 0.0042373 -6 *2820:la_data_out_core[80] *1651:7 0 -7 *2820:la_data_out_core[81] *1651:7 0 -8 *2821:la_data_in[44] *1651:7 0.000487883 -9 *1012:7 *1651:7 0 -10 *1263:8 *1651:8 0.000824071 -11 *1265:8 *1651:8 0.0695436 -12 *1268:8 *1651:8 0.0735878 -13 *1630:14 *1651:8 9.48476e-05 -*RES -1 *2820:la_oenb_core[80] *1651:7 48.8099 -2 *1651:7 *1651:8 783.269 -3 *1651:8 *2821:la_oenb[80] 42.5811 -*END - -*D_NET *1652 0.173102 -*CONN -*I *2821:la_oenb[81] I *D user_project_wrapper -*I *2820:la_oenb_core[81] O *D mgmt_protect -*CAP -1 *2821:la_oenb[81] 0.00218199 -2 *2820:la_oenb_core[81] 0.00171549 -3 *1652:8 0.00812702 -4 *1652:7 0.00766051 -5 *2820:la_data_out_core[81] *1652:7 0 -6 *1013:5 *1652:7 0 -7 *1267:8 *1652:8 0.0728066 -8 *1269:8 *1652:8 0.0749776 -9 *1283:8 *1652:8 0.00010238 -10 *1285:8 *1652:8 0.00549838 -11 *1611:8 *1652:8 3.18408e-05 -*RES -1 *2820:la_oenb_core[81] *1652:7 41.7506 -2 *1652:7 *1652:8 797.134 -3 *1652:8 *2821:la_oenb[81] 49.6404 -*END - -*D_NET *1653 0.174983 -*CONN -*I *2821:la_oenb[82] I *D user_project_wrapper -*I *2820:la_oenb_core[82] O *D mgmt_protect -*CAP -1 *2821:la_oenb[82] 0.00185676 -2 *2820:la_oenb_core[82] 0.00210566 -3 *1653:8 0.00790615 -4 *1653:7 0.00815506 -5 *2820:la_data_out_core[82] *1653:7 0 -6 *2821:la_oenb[44] *1653:7 0 -7 *1014:5 *1653:7 0 -8 *1268:8 *1653:8 0.073966 -9 *1270:8 *1653:8 0.0761433 -10 *1282:8 *1653:8 0.0046311 -11 *1630:14 *1653:8 0.000218849 -*RES -1 *2820:la_oenb_core[82] *1653:7 49.6404 -2 *1653:7 *1653:8 809.89 -3 *1653:8 *2821:la_oenb[82] 41.7506 -*END - -*D_NET *1654 0.178894 -*CONN -*I *2821:la_oenb[83] I *D user_project_wrapper -*I *2820:la_oenb_core[83] O *D mgmt_protect -*CAP -1 *2821:la_oenb[83] 0.00218767 -2 *2820:la_oenb_core[83] 0.00165898 -3 *1654:10 0.00218767 -4 *1654:8 0.00602934 -5 *1654:7 0.00768832 -6 *2820:la_data_out_core[83] *1654:7 0 -7 *2820:la_data_out_core[84] *1654:7 0 -8 *1015:7 *1654:7 0 -9 *1232:8 *1654:8 3.40535e-05 -10 *1269:8 *1654:8 0.0753945 -11 *1271:8 *1654:8 0.0775654 -12 *1287:8 *1654:8 0.0061476 -*RES -1 *2820:la_oenb_core[83] *1654:7 40.9201 -2 *1654:7 *1654:8 823.755 -3 *1654:8 *1654:10 4.5 -4 *1654:10 *2821:la_oenb[83] 45.9709 -*END - -*D_NET *1655 0.131265 -*CONN -*I *2821:la_oenb[84] I *D user_project_wrapper -*I *2820:la_oenb_core[84] O *D mgmt_protect -*CAP -1 *2821:la_oenb[84] 0.00124887 -2 *2820:la_oenb_core[84] 0.00241847 -3 *1655:14 0.0174708 -4 *1655:13 0.0163777 -5 *1655:8 0.000653727 -6 *1655:7 0.000497936 -7 *1655:5 0.00241847 -8 *1655:14 *1657:8 0.0736003 -9 *1655:14 *1668:8 0.00353908 -10 *2820:la_data_out_core[84] *1655:5 0 -11 *2820:la_data_out_core[85] *1655:5 0 -12 *929:8 *1655:14 0.00204744 -13 *992:8 *1655:14 0 -14 *994:8 *1655:14 0 -15 *996:12 *1655:14 0 -16 *1016:7 *1655:5 0 -17 *1231:7 *1655:13 3.00073e-05 -18 *1273:13 *1655:13 0 -19 *1273:14 *1655:8 0.00450186 -20 *1612:10 *1655:8 0.00027329 -21 *1646:8 *1655:8 0.000433733 -22 *1648:8 *1655:8 0.00575288 -*RES -1 *2820:la_oenb_core[84] *1655:5 50.9539 -2 *1655:5 *1655:7 4.5 -3 *1655:7 *1655:8 61.1752 -4 *1655:8 *1655:13 12.493 -5 *1655:13 *1655:14 776.059 -6 *1655:14 *2821:la_oenb[84] 32.615 -*END - -*D_NET *1656 0.175172 -*CONN -*I *2821:la_oenb[85] I *D user_project_wrapper -*I *2820:la_oenb_core[85] O *D mgmt_protect -*CAP -1 *2821:la_oenb[85] 0.00285154 -2 *2820:la_oenb_core[85] 0.00107353 -3 *1656:10 0.00285154 -4 *1656:8 0.0254452 -5 *1656:7 0.0265187 -6 *1656:8 *1658:8 0.090482 -7 *2820:la_data_out_core[85] *1656:7 0 -8 *2821:la_data_in[46] *1656:7 0 -9 *918:8 *1656:8 0.0131332 -10 *1017:5 *1656:7 0 -11 *1173:8 *1656:8 5.99814e-05 -12 *1251:8 *1656:8 0.00171697 -13 *1253:8 *1656:8 0.00220529 -14 *1547:8 *1656:8 0.00512344 -15 *1633:14 *1656:8 0.000490527 -16 *1635:18 *1656:8 0.00120675 -17 *1638:12 *1656:8 0.00201301 -*RES -1 *2820:la_oenb_core[85] *1656:7 27.5389 -2 *1656:7 *1656:8 117.309 -3 *1656:8 *1656:10 3.36879 -4 *1656:10 *2821:la_oenb[85] 58.2208 -*END - -*D_NET *1657 0.179709 -*CONN -*I *2821:la_oenb[86] I *D user_project_wrapper -*I *2820:la_oenb_core[86] O *D mgmt_protect -*CAP -1 *2821:la_oenb[86] 0.00125979 -2 *2820:la_oenb_core[86] 0.00254331 -3 *1657:8 0.00847843 -4 *1657:7 0.00721864 -5 *1657:5 0.00254331 -6 *2820:la_data_out_core[86] *1657:5 0 -7 *992:8 *1657:8 0 -8 *1017:5 *1657:5 0 -9 *1018:5 *1657:5 0 -10 *1229:7 *1657:5 5.68549e-05 -11 *1231:8 *1657:8 0.000872368 -12 *1273:8 *1657:8 0.0766506 -13 *1275:8 *1657:8 0.000376393 -14 *1275:14 *1657:8 0.00241624 -15 *1286:8 *1657:8 0.00369284 -16 *1655:14 *1657:8 0.0736003 -*RES -1 *2820:la_oenb_core[86] *1657:5 53.8607 -2 *1657:5 *1657:7 4.5 -3 *1657:7 *1657:8 863.687 -4 *1657:8 *2821:la_oenb[86] 33.0303 -*END - -*D_NET *1658 0.218452 -*CONN -*I *2821:la_oenb[87] I *D user_project_wrapper -*I *2820:la_oenb_core[87] O *D mgmt_protect -*CAP -1 *2821:la_oenb[87] 0.00278074 -2 *2820:la_oenb_core[87] 0.00110833 -3 *1658:10 0.00278074 -4 *1658:8 0.0103387 -5 *1658:7 0.011447 -6 *1658:8 *1660:8 0.0933453 -7 *2820:la_data_out_core[87] *1658:7 0 -8 *2820:la_data_out_core[88] *1658:7 0 -9 *918:8 *1658:8 0.000573548 -10 *1019:7 *1658:7 0 -11 *1256:8 *1658:8 0.00279601 -12 *1276:8 *1658:8 0.000121359 -13 *1622:17 *1658:7 2.20115e-05 -14 *1641:8 *1658:8 0.00265619 -15 *1656:8 *1658:8 0.090482 -*RES -1 *2820:la_oenb_core[87] *1658:7 28.3694 -2 *1658:7 *1658:8 120.981 -3 *1658:8 *1658:10 3.36879 -4 *1658:10 *2821:la_oenb[87] 57.3903 -*END - -*D_NET *1659 0.191867 -*CONN -*I *2821:la_oenb[88] I *D user_project_wrapper -*I *2820:la_oenb_core[88] O *D mgmt_protect -*CAP -1 *2821:la_oenb[88] 0.00131702 -2 *2820:la_oenb_core[88] 0.00205782 -3 *1659:8 0.00744706 -4 *1659:7 0.00613004 -5 *1659:5 0.00205782 -6 *1659:8 *1662:10 0.000576159 -7 *1659:8 *1662:12 0.0816124 -8 *1659:8 *1670:8 0.00449723 -9 *2820:la_data_out_core[88] *1659:5 0 -10 *2821:la_data_in[47] *1659:5 0.00188068 -11 *1020:5 *1659:5 0 -12 *1184:8 *1659:8 0.000308997 -13 *1231:8 *1659:8 0.00262867 -14 *1275:8 *1659:8 0.0808689 -15 *1275:14 *1659:8 0.000105847 -16 *1648:8 *1659:8 0.000378805 -*RES -1 *2820:la_oenb_core[88] *1659:5 52.6149 -2 *1659:5 *1659:7 4.5 -3 *1659:7 *1659:8 890.308 -4 *1659:8 *2821:la_oenb[88] 34.276 -*END - -*D_NET *1660 0.225175 -*CONN -*I *2821:la_oenb[89] I *D user_project_wrapper -*I *2820:la_oenb_core[89] O *D mgmt_protect -*CAP -1 *2821:la_oenb[89] 0.00270889 -2 *2820:la_oenb_core[89] 0.0011689 -3 *1660:10 0.00270889 -4 *1660:8 0.0100268 -5 *1660:7 0.0111957 -6 *1660:8 *1663:8 0.00032807 -7 *2820:la_data_out_core[89] *1660:7 0 -8 *918:8 *1660:8 0 -9 *1022:7 *1660:7 0 -10 *1258:8 *1660:8 0.00338536 -11 *1276:8 *1660:8 0.0972003 -12 *1643:8 *1660:8 0.00310641 -13 *1658:8 *1660:8 0.0933453 -*RES -1 *2820:la_oenb_core[89] *1660:7 29.1999 -2 *1660:7 *1660:8 124.576 -3 *1660:8 *1660:10 3.36879 -4 *1660:10 *2821:la_oenb[89] 56.5598 -*END - -*D_NET *1661 0.0380377 -*CONN -*I *2821:la_oenb[8] I *D user_project_wrapper -*I *2820:la_oenb_core[8] O *D mgmt_protect -*CAP -1 *2821:la_oenb[8] 0.00239611 -2 *2820:la_oenb_core[8] 0.000789206 -3 *1661:10 0.00239611 -4 *1661:8 0.00267898 -5 *1661:7 0.00346819 -6 *2821:la_oenb[8] *2820:mprj_dat_i_user[18] 0 -7 *2821:la_oenb[8] *1799:5 0.000359845 -8 *2821:la_oenb[8] *1930:7 7.98939e-05 -9 *2820:la_data_out_core[8] *1661:7 0 -10 *1032:7 *1661:7 0 -11 *1161:14 *1661:8 0.0101632 -12 *1650:8 *1661:8 0.0157062 -*RES -1 *2820:la_oenb_core[8] *1661:7 26.8015 -2 *1661:7 *1661:8 175.978 -3 *1661:8 *1661:10 4.5 -4 *1661:10 *2821:la_oenb[8] 60.0894 -*END - -*D_NET *1662 0.196484 -*CONN -*I *2821:la_oenb[90] I *D user_project_wrapper -*I *2820:la_oenb_core[90] O *D mgmt_protect -*CAP -1 *2821:la_oenb[90] 0.00132799 -2 *2820:la_oenb_core[90] 0.00185731 -3 *1662:12 0.00761603 -4 *1662:10 0.00645495 -5 *1662:5 0.00202423 -6 *2821:la_oenb[47] *1662:5 0 -7 *1023:5 *1662:5 0 -8 *1181:8 *1662:12 0.000649254 -9 *1184:8 *1662:12 0.00105915 -10 *1186:8 *1662:12 1.41689e-05 -11 *1278:8 *1662:12 0.0848177 -12 *1278:17 *1662:5 0.0026311 -13 *1548:8 *1662:12 0.0044966 -14 *1648:8 *1662:10 0.00126721 -15 *1648:14 *1662:10 2.41483e-05 -16 *1648:14 *1662:12 9.82896e-06 -17 *1648:16 *1662:12 4.56667e-05 -18 *1659:8 *1662:10 0.000576159 -19 *1659:8 *1662:12 0.0816124 -*RES -1 *2820:la_oenb_core[90] *1662:5 51.7844 -2 *1662:5 *1662:10 19.1126 -3 *1662:10 *1662:12 903.063 -4 *1662:12 *2821:la_oenb[90] 34.6913 -*END - -*D_NET *1663 0.233804 -*CONN -*I *2821:la_oenb[91] I *D user_project_wrapper -*I *2820:la_oenb_core[91] O *D mgmt_protect -*CAP -1 *2821:la_oenb[91] 0.00261703 -2 *2820:la_oenb_core[91] 0.00128375 -3 *1663:10 0.00261703 -4 *1663:8 0.0108255 -5 *1663:7 0.0121092 -6 *2820:la_data_out_core[91] *1663:7 0 -7 *2821:la_data_in[48] *1663:7 0 -8 *918:8 *1663:8 0 -9 *1024:7 *1663:7 0 -10 *1262:8 *1663:8 0.00445002 -11 *1264:8 *1663:8 0.00466574 -12 *1276:8 *1663:8 0.0954559 -13 *1281:8 *1663:8 0.0994518 -14 *1660:8 *1663:8 0.00032807 -*RES -1 *2820:la_oenb_core[91] *1663:7 30.8609 -2 *1663:7 *1663:8 128.247 -3 *1663:8 *1663:10 3.36879 -4 *1663:10 *2821:la_oenb[91] 54.8988 -*END - -*D_NET *1664 0.199951 -*CONN -*I *2821:la_oenb[92] I *D user_project_wrapper -*I *2820:la_oenb_core[92] O *D mgmt_protect -*CAP -1 *2821:la_oenb[92] 0.00183897 -2 *2820:la_oenb_core[92] 0.00178235 -3 *1664:8 0.0371033 -4 *1664:7 0.0370467 -5 *1664:8 *1666:8 0.100579 -6 *2821:la_oenb[48] *1664:7 0 -7 *1025:7 *1664:7 0 -8 *1257:8 *1664:8 0.000633776 -9 *1257:12 *1664:8 0.00057916 -10 *1257:18 *1664:8 0.000451936 -11 *1259:8 *1664:8 0.002723 -12 *1263:8 *1664:8 0.00447358 -13 *1265:8 *1664:8 0.00430107 -14 *1280:11 *1664:7 0.000916711 -15 *1282:8 *1664:8 0.000121359 -16 *1283:8 *1664:8 0 -17 *1637:8 *1664:8 0.000107595 -18 *1640:8 *1664:8 0.000468206 -19 *1640:18 *1664:8 0.0010184 -20 *1642:8 *1664:8 0.00268634 -21 *1644:8 *1664:8 0.00311889 -*RES -1 *2820:la_oenb_core[92] *1664:7 46.6405 -2 *1664:7 *1664:8 130.16 -3 *1664:8 *2821:la_oenb[92] 42.488 -*END - -*D_NET *1665 0.207138 -*CONN -*I *2821:la_oenb[93] I *D user_project_wrapper -*I *2820:la_oenb_core[93] O *D mgmt_protect -*CAP -1 *2821:la_oenb[93] 0.00218435 -2 *2820:la_oenb_core[93] 0.0015756 -3 *1665:14 0.00218435 -4 *1665:12 0.00651715 -5 *1665:10 0.00653534 -6 *1665:8 0.000525127 -7 *1665:7 0.00208254 -8 *1665:8 *1667:10 0.00374544 -9 *1665:8 *1667:12 1.67988e-05 -10 *1665:10 *1667:10 1.09551e-05 -11 *1665:12 *1667:12 0.0855779 -12 *2820:la_data_out_core[93] *1665:7 0 -13 *2821:la_data_in[49] *1665:7 0.00023478 -14 *1025:7 *1665:7 0 -15 *1026:7 *1665:7 0 -16 *1232:8 *1665:8 0.000785018 -17 *1234:8 *1665:8 0.000313008 -18 *1274:8 *1665:8 0.00529998 -19 *1274:8 *1665:12 1.41689e-05 -20 *1279:8 *1665:12 0.0807667 -21 *1549:10 *1665:12 0.00012309 -22 *1553:8 *1665:12 0.00864537 -*RES -1 *2820:la_oenb_core[93] *1665:7 39.2591 -2 *1665:7 *1665:8 56.7384 -3 *1665:8 *1665:10 0.578717 -4 *1665:10 *1665:12 900.29 -5 *1665:12 *1665:14 4.5 -6 *1665:14 *2821:la_oenb[93] 48.0471 -*END - -*D_NET *1666 0.2451 -*CONN -*I *2821:la_oenb[94] I *D user_project_wrapper -*I *2820:la_oenb_core[94] O *D mgmt_protect -*CAP -1 *2821:la_oenb[94] 0.00178355 -2 *2820:la_oenb_core[94] 0.00204834 -3 *1666:8 0.0135236 -4 *1666:7 0.0137884 -5 *1666:8 *2736:8 0.00032807 -6 *2820:la_data_out_core[94] *1666:7 0 -7 *1027:5 *1666:7 0 -8 *1232:5 *1666:7 0.000489045 -9 *1268:8 *1666:8 0.00389557 -10 *1282:8 *1666:8 0.104427 -11 *1283:8 *1666:8 0 -12 *1651:8 *1666:8 0.0042373 -13 *1664:8 *1666:8 0.100579 -*RES -1 *2820:la_oenb_core[94] *1666:7 47.471 -2 *1666:7 *1666:8 133.831 -3 *1666:8 *2821:la_oenb[94] 41.6575 -*END - -*D_NET *1667 0.212719 -*CONN -*I *2821:la_oenb[95] I *D user_project_wrapper -*I *2820:la_oenb_core[95] O *D mgmt_protect -*CAP -1 *2821:la_oenb[95] 0.00216824 -2 *2820:la_oenb_core[95] 0.00165583 -3 *1667:14 0.00216824 -4 *1667:12 0.00665423 -5 *1667:10 0.00702836 -6 *1667:7 0.00202996 -7 *1667:10 *1669:10 0.00187225 -8 *1667:10 *1669:12 0.000161689 -9 *1667:12 *1669:12 0.0897073 -10 *2820:la_data_out_core[95] *1667:7 0 -11 *2820:la_data_out_core[96] *1667:7 0 -12 *1028:7 *1667:7 0 -13 *1234:8 *1667:10 0.0013032 -14 *1549:10 *1667:12 0.000111178 -15 *1553:8 *1667:12 0.000267774 -16 *1564:8 *1667:12 0.00815345 -17 *1618:8 *1667:10 8.66138e-05 -18 *1665:8 *1667:10 0.00374544 -19 *1665:8 *1667:12 1.67988e-05 -20 *1665:10 *1667:10 1.09551e-05 -21 *1665:12 *1667:12 0.0855779 -*RES -1 *2820:la_oenb_core[95] *1667:7 38.8438 -2 *1667:7 *1667:10 40.679 -3 *1667:10 *1667:12 943.549 -4 *1667:12 *1667:14 4.5 -5 *1667:14 *2821:la_oenb[95] 48.4624 -*END - -*D_NET *1668 0.176611 -*CONN -*I *2821:la_oenb[96] I *D user_project_wrapper -*I *2820:la_oenb_core[96] O *D mgmt_protect -*CAP -1 *2821:la_oenb[96] 0.00120386 -2 *2820:la_oenb_core[96] 0.00243027 -3 *1668:8 0.0300786 -4 *1668:7 0.0288748 -5 *1668:5 0.00243027 -6 *2820:la_data_out_core[96] *1668:5 0 -7 *2821:la_data_in[50] *1668:5 0.000748623 -8 *929:8 *1668:8 0.000423086 -9 *933:8 *1668:8 0.000134497 -10 *934:14 *1668:8 0 -11 *992:8 *1668:8 0.000133943 -12 *993:14 *1668:8 0.000133943 -13 *1029:5 *1668:5 0 -14 *1286:8 *1668:8 0.10648 -15 *1655:14 *1668:8 0.00353908 -*RES -1 *2820:la_oenb_core[96] *1668:5 54.0683 -2 *1668:5 *1668:7 3.36879 -3 *1668:7 *1668:8 137.503 -4 *1668:8 *2821:la_oenb[96] 31.6914 -*END - -*D_NET *1669 0.219136 -*CONN -*I *2821:la_oenb[97] I *D user_project_wrapper -*I *2820:la_oenb_core[97] O *D mgmt_protect -*CAP -1 *2821:la_oenb[97] 0.00215308 -2 *2820:la_oenb_core[97] 0.00163681 -3 *1669:14 0.00215308 -4 *1669:12 0.00692915 -5 *1669:10 0.0071635 -6 *1669:7 0.00187117 -7 *1669:10 *1671:8 1.67988e-05 -8 *1669:12 *1671:8 0.0941619 -9 *2820:la_data_out_core[97] *1669:7 0 -10 *1030:7 *1669:7 0 -11 *1235:10 *1669:10 0.000403799 -12 *1235:12 *1669:10 0.000401654 -13 *1549:10 *1669:12 0.000102438 -14 *1553:8 *1669:12 0.000246179 -15 *1564:8 *1669:12 0.00966932 -16 *1618:8 *1669:10 0.00048572 -17 *1667:10 *1669:10 0.00187225 -18 *1667:10 *1669:12 0.000161689 -19 *1667:12 *1669:12 0.0897073 -*RES -1 *2820:la_oenb_core[97] *1669:7 38.4285 -2 *1669:7 *1669:10 20.7132 -3 *1669:10 *1669:12 990.136 -4 *1669:12 *1669:14 4.5 -5 *1669:14 *2821:la_oenb[97] 48.8776 -*END - -*D_NET *1670 0.264017 -*CONN -*I *2821:la_oenb[98] I *D user_project_wrapper -*I *2820:la_oenb_core[98] O *D mgmt_protect -*CAP -1 *2821:la_oenb[98] 0.00126095 -2 *2820:la_oenb_core[98] 0.00193612 -3 *1670:8 0.0155023 -4 *1670:7 0.0142413 -5 *1670:5 0.00193612 -6 *1031:5 *1670:5 0 -7 *1275:8 *1670:8 0.00402342 -8 *1282:8 *1670:8 0 -9 *1286:8 *1670:8 0.110294 -10 *1286:11 *1670:5 0.00272043 -11 *1548:8 *1670:8 0.107605 -12 *1659:8 *1670:8 0.00449723 -*RES -1 *2820:la_oenb_core[98] *1670:5 52.4073 -2 *1670:5 *1670:7 3.36879 -3 *1670:7 *1670:8 141.174 -4 *1670:8 *2821:la_oenb[98] 33.3524 -*END - -*D_NET *1671 0.176636 -*CONN -*I *2821:la_oenb[99] I *D user_project_wrapper -*I *2820:la_oenb_core[99] O *D mgmt_protect -*CAP -1 *2821:la_oenb[99] 0.00213577 -2 *2820:la_oenb_core[99] 0.00159394 -3 *1671:10 0.00213577 -4 *1671:8 0.00948197 -5 *1671:7 0.0110759 -6 *2820:la_data_out_core[99] *1671:7 0 -7 *906:5 *1671:7 0 -8 *1235:10 *1671:8 0.000324166 -9 *1236:8 *1671:8 0.0023058 -10 *1237:8 *1671:8 0.000899113 -11 *1238:8 *1671:8 5.00593e-05 -12 *1551:8 *1671:8 0.0418219 -13 *1553:8 *1671:8 0.00012426 -14 *1566:8 *1671:8 0.0093385 -15 *1631:16 *1671:8 0.00116967 -16 *1669:10 *1671:8 1.67988e-05 -17 *1669:12 *1671:8 0.0941619 -*RES -1 *2820:la_oenb_core[99] *1671:7 37.598 -2 *1671:7 *1671:8 1036.72 -3 *1671:8 *1671:10 4.5 -4 *1671:10 *2821:la_oenb[99] 49.2929 -*END - -*D_NET *1672 0.0312913 -*CONN -*I *2821:la_oenb[9] I *D user_project_wrapper -*I *2820:la_oenb_core[9] O *D mgmt_protect -*CAP -1 *2821:la_oenb[9] 0.0017699 -2 *2820:la_oenb_core[9] 0.0013174 -3 *1672:8 0.00335777 -4 *1672:7 0.00290527 -5 *2821:la_oenb[9] *1803:7 0 -6 *1672:8 *1805:8 0.000121162 -7 *2820:la_data_out_core[9] *1672:7 0 -8 *916:7 *1672:7 0 -9 *916:8 *1672:8 0.00661452 -10 *1288:8 *1672:8 0.0152053 -*RES -1 *2820:la_oenb_core[9] *1672:7 41.7506 -2 *1672:7 *1672:8 163.222 -3 *1672:8 *2821:la_oenb[9] 49.6404 -*END - -*D_NET *1673 0.0123372 -*CONN -*I *2819:mask_rev_in[0] I *D housekeeping -*I *2827:mask_rev[0] O *D user_id_programming -*CAP -1 *2819:mask_rev_in[0] 0.00127441 -2 *2827:mask_rev[0] 0.000194382 -3 *1673:12 0.00372713 -4 *1673:10 0.00264711 -5 *2819:mask_rev_in[0] *2819:mask_rev_in[1] 0 -6 *2819:mask_rev_in[0] *1698:11 0.00034574 -7 *1673:10 *1702:9 0 -8 *1673:12 *1684:11 0.00249077 -9 *1673:12 *1686:19 0 -10 *1673:12 *1702:10 0.00123205 -11 *1673:12 *1704:16 0.000425627 -12 *64:51 *2819:mask_rev_in[0] 0 -13 *66:17 *2819:mask_rev_in[0] 0 -*RES -1 *2827:mask_rev[0] *1673:10 8.45803 -2 *1673:10 *1673:12 102.216 -3 *1673:12 *2819:mask_rev_in[0] 41.6651 -*END - -*D_NET *1674 0.0239332 -*CONN -*I *2819:mask_rev_in[10] I *D housekeeping -*I *2827:mask_rev[10] O *D user_id_programming -*CAP -1 *2819:mask_rev_in[10] 0.00094511 -2 *2827:mask_rev[10] 0.000613924 -3 *1674:11 0.00165385 -4 *1674:10 0.000708738 -5 *1674:8 0.000680311 -6 *1674:7 0.00129423 -7 *2819:mask_rev_in[10] *2819:mask_rev_in[11] 0 -8 *2819:mask_rev_in[10] *2819:mask_rev_in[9] 0.0020263 -9 *2819:mask_rev_in[10] *1676:16 0.000156367 -10 *2819:mask_rev_in[10] *2538:11 0 -11 *1674:7 *1698:7 0 -12 *1674:7 *1703:7 0 -13 *1674:8 *1675:8 0.000652004 -14 *1674:8 *1676:8 0.00484473 -15 *1674:8 *1680:10 0.00431142 -16 *1674:8 *1698:8 0.000767815 -17 *1674:8 *1703:8 8.47748e-05 -18 *1674:11 *2819:mask_rev_in[7] 0 -19 *1674:11 *1675:11 0.00349791 -20 *1674:11 *1676:11 0.00169567 -*RES -1 *2827:mask_rev[10] *1674:7 21.8185 -2 *1674:7 *1674:8 71.7127 -3 *1674:8 *1674:10 4.5 -4 *1674:10 *1674:11 57.0605 -5 *1674:11 *2819:mask_rev_in[10] 47.8711 -*END - -*D_NET *1675 0.0178306 -*CONN -*I *2819:mask_rev_in[11] I *D housekeeping -*I *2827:mask_rev[11] O *D user_id_programming -*CAP -1 *2819:mask_rev_in[11] 0.00119825 -2 *2827:mask_rev[11] 0.000584713 -3 *1675:11 0.00257892 -4 *1675:10 0.00138068 -5 *1675:8 0.0011544 -6 *1675:7 0.00173912 -7 *2819:mask_rev_in[11] *2819:mask_rev_in[12] 0 -8 *2819:mask_rev_in[11] *1699:13 0.000685247 -9 *2819:mask_rev_in[11] *2538:11 0.000689459 -10 *1675:7 *1676:7 0 -11 *1675:8 *1680:10 0.00366993 -12 *1675:11 *2819:mask_rev_in[7] 0 -13 *1675:11 *2819:mask_rev_in[8] 0 -14 *1675:11 *2819:mask_rev_in[9] 0 -15 *2819:mask_rev_in[10] *2819:mask_rev_in[11] 0 -16 *1674:8 *1675:8 0.000652004 -17 *1674:11 *1675:11 0.00349791 -*RES -1 *2827:mask_rev[11] *1675:7 20.988 -2 *1675:7 *1675:8 53.4107 -3 *1675:8 *1675:10 4.5 -4 *1675:10 *1675:11 59.5521 -5 *1675:11 *2819:mask_rev_in[11] 47.8767 -*END - -*D_NET *1676 0.0207864 -*CONN -*I *2819:mask_rev_in[12] I *D housekeeping -*I *2827:mask_rev[12] O *D user_id_programming -*CAP -1 *2819:mask_rev_in[12] 0.00128837 -2 *2827:mask_rev[12] 0.000649022 -3 *1676:16 0.00154425 -4 *1676:11 0.0017154 -5 *1676:10 0.00145953 -6 *1676:8 0.000307401 -7 *1676:7 0.000956423 -8 *2819:mask_rev_in[12] *1680:11 0 -9 *1676:8 *1698:8 0.00495515 -10 *1676:11 *2819:mask_rev_in[7] 0 -11 *1676:16 *1702:10 0.00121409 -12 *1676:16 *2538:11 0 -13 *2819:mask_rev_in[10] *1676:16 0.000156367 -14 *2819:mask_rev_in[11] *2819:mask_rev_in[12] 0 -15 *1674:8 *1676:8 0.00484473 -16 *1674:11 *1676:11 0.00169567 -17 *1675:7 *1676:7 0 -*RES -1 *2827:mask_rev[12] *1676:7 22.2337 -2 *1676:7 *1676:8 51.7469 -3 *1676:8 *1676:10 4.5 -4 *1676:10 *1676:11 56.6453 -5 *1676:11 *1676:16 21.9247 -6 *1676:16 *2819:mask_rev_in[12] 35.6506 -*END - -*D_NET *1677 0.00730291 -*CONN -*I *2819:mask_rev_in[13] I *D housekeeping -*I *2827:mask_rev[13] O *D user_id_programming -*CAP -1 *2819:mask_rev_in[13] 0.000878965 -2 *2827:mask_rev[13] 0.000251884 -3 *1677:8 0.00274419 -4 *1677:7 0.00211711 -5 *2819:mask_rev_in[13] *2819:mask_rev_in[14] 0 -6 *1677:7 *2819:mask_rev_in[26] 0 -7 *1677:7 *2819:mask_rev_in[29] 9.84631e-05 -8 *1677:7 *1689:10 0 -9 *1677:8 *1678:20 0 -10 *1677:8 *1681:10 0.00051415 -11 *1677:8 *1683:10 8.5662e-05 -12 *1677:8 *1689:10 0.000419695 -13 *1677:8 *1701:13 0.000192778 -*RES -1 *2827:mask_rev[13] *1677:7 11.8524 -2 *1677:7 *1677:8 60.066 -3 *1677:8 *2819:mask_rev_in[13] 29.3541 -*END - -*D_NET *1678 0.0132952 -*CONN -*I *2819:mask_rev_in[14] I *D housekeeping -*I *2827:mask_rev[14] O *D user_id_programming -*CAP -1 *2819:mask_rev_in[14] 0.00103864 -2 *2827:mask_rev[14] 0.000772152 -3 *1678:20 0.0029198 -4 *1678:19 0.0021759 -5 *1678:15 0.00106689 -6 *2819:mask_rev_in[14] *2819:mask_rev_in[15] 0 -7 *1678:15 *2819:mask_rev_in[31] 2.37478e-05 -8 *1678:15 *1686:16 0.000271446 -9 *1678:15 *1697:15 0.00174663 -10 *1678:15 *1699:12 0.000107108 -11 *1678:15 *1700:12 3.69591e-05 -12 *1678:19 *2819:mask_rev_in[28] 0.00018185 -13 *1678:19 *2819:mask_rev_in[30] 0.000692858 -14 *1678:19 *2819:mask_rev_in[31] 4.55455e-05 -15 *1678:20 *2819:mask_rev_in[25] 0.0012501 -16 *1678:20 *2819:mask_rev_in[26] 0.000214838 -17 *1678:20 *1700:13 0.00075079 -18 *1678:20 *1701:13 0 -19 *2819:mask_rev_in[13] *2819:mask_rev_in[14] 0 -20 *1677:8 *1678:20 0 -*RES -1 *2827:mask_rev[14] *1678:15 44.7057 -2 *1678:15 *1678:19 17.7514 -3 *1678:19 *1678:20 63.3936 -4 *1678:20 *2819:mask_rev_in[14] 33.5066 -*END - -*D_NET *1679 0.014427 -*CONN -*I *2819:mask_rev_in[15] I *D housekeeping -*I *2827:mask_rev[15] O *D user_id_programming -*CAP -1 *2819:mask_rev_in[15] 0.000838322 -2 *2827:mask_rev[15] 0.00085087 -3 *1679:17 0.0024381 -4 *1679:16 0.00245065 -5 *2819:mask_rev_in[15] *2819:mask_rev_in[16] 0.00191706 -6 *2819:mask_rev_in[15] *1701:10 0 -7 *1679:16 *1685:16 0.00139698 -8 *1679:16 *1686:16 0.00012693 -9 *1679:16 *1699:12 4.3116e-06 -10 *1679:17 *1680:16 1.43983e-05 -11 *1679:17 *1685:19 0.00403813 -12 *1679:17 *1702:10 0.000351195 -13 *1679:17 *2538:11 0 -14 *2819:mask_rev_in[14] *2819:mask_rev_in[15] 0 -*RES -1 *2827:mask_rev[15] *1679:16 36.3692 -2 *1679:16 *1679:17 63.3936 -3 *1679:17 *2819:mask_rev_in[15] 38.9049 -*END - -*D_NET *1680 0.0186183 -*CONN -*I *2819:mask_rev_in[16] I *D housekeeping -*I *2827:mask_rev[16] O *D user_id_programming -*CAP -1 *2819:mask_rev_in[16] 0.000787696 -2 *2827:mask_rev[16] 0.000599318 -3 *1680:16 0.001139 -4 *1680:11 0.00245258 -5 *1680:10 0.00246401 -6 *1680:7 0.00096205 -7 *2819:mask_rev_in[16] *1701:10 0 -8 *1680:16 *1702:10 0 -9 *1680:16 *2538:11 0.000300864 -10 *2819:mask_rev_in[12] *1680:11 0 -11 *2819:mask_rev_in[15] *2819:mask_rev_in[16] 0.00191706 -12 *1674:8 *1680:10 0.00431142 -13 *1675:8 *1680:10 0.00366993 -14 *1679:17 *1680:16 1.43983e-05 -*RES -1 *2827:mask_rev[16] *1680:7 21.4032 -2 *1680:7 *1680:10 49.5917 -3 *1680:10 *1680:11 58.3063 -4 *1680:11 *1680:16 21.3701 -5 *1680:16 *2819:mask_rev_in[16] 33.1591 -*END - -*D_NET *1681 0.00510573 -*CONN -*I *2819:mask_rev_in[17] I *D housekeeping -*I *2827:mask_rev[17] O *D user_id_programming -*CAP -1 *2819:mask_rev_in[17] 0.000835149 -2 *2827:mask_rev[17] 0.000806941 -3 *1681:10 0.00164209 -4 *2819:mask_rev_in[17] *2819:mask_rev_in[18] 0 -5 *1681:10 *2819:mask_rev_in[23] 0 -6 *1681:10 *2819:mask_rev_in[25] 0 -7 *1681:10 *1683:10 0.0013074 -8 *1677:8 *1681:10 0.00051415 -*RES -1 *2827:mask_rev[17] *1681:10 39.3965 -2 *1681:10 *2819:mask_rev_in[17] 23.6083 -*END - -*D_NET *1682 0.00380909 -*CONN -*I *2819:mask_rev_in[18] I *D housekeeping -*I *2827:mask_rev[18] O *D user_id_programming -*CAP -1 *2819:mask_rev_in[18] 0.00190455 -2 *2827:mask_rev[18] 0.00190455 -3 *2819:mask_rev_in[18] *2819:mask_rev_in[19] 0 -4 *2819:mask_rev_in[18] *1701:10 0 -5 *2819:mask_rev_in[17] *2819:mask_rev_in[18] 0 -*RES -1 *2827:mask_rev[18] *2819:mask_rev_in[18] 47.4969 -*END - -*D_NET *1683 0.00516394 -*CONN -*I *2819:mask_rev_in[19] I *D housekeeping -*I *2827:mask_rev[19] O *D user_id_programming -*CAP -1 *2819:mask_rev_in[19] 0.000818143 -2 *2827:mask_rev[19] 0.000933877 -3 *1683:10 0.00175202 -4 *2819:mask_rev_in[19] *2819:mask_rev_in[20] 0 -5 *1683:10 *2819:mask_rev_in[25] 0 -6 *1683:10 *1689:10 0.000266832 -7 *2819:mask_rev_in[18] *2819:mask_rev_in[19] 0 -8 *1677:8 *1683:10 8.5662e-05 -9 *1681:10 *1683:10 0.0013074 -*RES -1 *2827:mask_rev[19] *1683:10 39.8117 -2 *1683:10 *2819:mask_rev_in[19] 23.1931 -*END - -*D_NET *1684 0.0132997 -*CONN -*I *2819:mask_rev_in[1] I *D housekeeping -*I *2827:mask_rev[1] O *D user_id_programming -*CAP -1 *2819:mask_rev_in[1] 0.00140529 -2 *2827:mask_rev[1] 0.00106167 -3 *1684:11 0.0021284 -4 *1684:10 0.00178478 -5 *2819:mask_rev_in[1] *2819:mask_rev_in[2] 0 -6 *2819:mask_rev_in[1] *1698:11 0 -7 *1684:10 *1695:10 0 -8 *1684:10 *1701:10 0.000583389 -9 *1684:11 *1695:11 0.00114209 -10 *1684:11 *1704:16 0.00270333 -11 *2819:mask_rev_in[0] *2819:mask_rev_in[1] 0 -12 *1673:12 *1684:11 0.00249077 -*RES -1 *2827:mask_rev[1] *1684:10 26.3084 -2 *1684:10 *1684:11 59.5114 -3 *1684:11 *2819:mask_rev_in[1] 43.0574 -*END - -*D_NET *1685 0.0145483 -*CONN -*I *2819:mask_rev_in[20] I *D housekeeping -*I *2827:mask_rev[20] O *D user_id_programming -*CAP -1 *2819:mask_rev_in[20] 0.00128404 -2 *2827:mask_rev[20] 0.000390637 -3 *1685:19 0.00164454 -4 *1685:16 0.000751138 -5 *2819:mask_rev_in[20] *2819:mask_rev_in[21] 0 -6 *2819:mask_rev_in[20] *1690:12 0 -7 *1685:16 *1686:16 0.00129216 -8 *1685:16 *1699:12 7.77309e-06 -9 *1685:19 *1686:19 0.00362616 -10 *1685:19 *1702:10 4.02303e-05 -11 *1685:19 *1704:15 7.65564e-05 -12 *2819:mask_rev_in[19] *2819:mask_rev_in[20] 0 -13 *1679:16 *1685:16 0.00139698 -14 *1679:17 *1685:19 0.00403813 -*RES -1 *2827:mask_rev[20] *1685:16 31.6118 -2 *1685:16 *1685:19 47.3733 -3 *1685:19 *2819:mask_rev_in[20] 34.8201 -*END - -*D_NET *1686 0.013753 -*CONN -*I *2819:mask_rev_in[21] I *D housekeeping -*I *2827:mask_rev[21] O *D user_id_programming -*CAP -1 *2819:mask_rev_in[21] 0.000823142 -2 *2827:mask_rev[21] 0.00069747 -3 *1686:19 0.00147005 -4 *1686:16 0.00134438 -5 *2819:mask_rev_in[21] *2819:mask_rev_in[22] 0.00199037 -6 *2819:mask_rev_in[21] *1688:16 0.000101246 -7 *2819:mask_rev_in[21] *1690:12 3.21568e-05 -8 *1686:16 *1699:12 0.000893274 -9 *1686:19 *1702:10 0.000333145 -10 *1686:19 *1704:15 0.000751057 -11 *2819:mask_rev_in[20] *2819:mask_rev_in[21] 0 -12 *1673:12 *1686:19 0 -13 *1678:15 *1686:16 0.000271446 -14 *1679:16 *1686:16 0.00012693 -15 *1685:16 *1686:16 0.00129216 -16 *1685:19 *1686:19 0.00362616 -*RES -1 *2827:mask_rev[21] *1686:16 40.4452 -2 *1686:16 *1686:19 42.9364 -3 *1686:19 *2819:mask_rev_in[21] 35.2354 -*END - -*D_NET *1687 0.00400694 -*CONN -*I *2819:mask_rev_in[22] I *D housekeeping -*I *2827:mask_rev[22] O *D user_id_programming -*CAP -1 *2819:mask_rev_in[22] 0.00100828 -2 *2827:mask_rev[22] 0.00100828 -3 *2819:mask_rev_in[22] *2819:mask_rev_in[23] 0 -4 *2819:mask_rev_in[22] *1692:7 0 -5 *2819:mask_rev_in[21] *2819:mask_rev_in[22] 0.00199037 -*RES -1 *2827:mask_rev[22] *2819:mask_rev_in[22] 37.9848 -*END - -*D_NET *1688 0.00819269 -*CONN -*I *2819:mask_rev_in[23] I *D housekeeping -*I *2827:mask_rev[23] O *D user_id_programming -*CAP -1 *2819:mask_rev_in[23] 0.000912158 -2 *2827:mask_rev[23] 0.000293814 -3 *1688:16 0.00195735 -4 *1688:12 0.00133901 -5 *2819:mask_rev_in[23] *1692:7 0.000814331 -6 *1688:16 *1690:12 0.00183782 -7 *1688:16 *1699:13 0.000466373 -8 *1688:16 *2538:11 0.000470585 -9 *2819:mask_rev_in[21] *1688:16 0.000101246 -10 *2819:mask_rev_in[22] *2819:mask_rev_in[23] 0 -11 *1681:10 *2819:mask_rev_in[23] 0 -*RES -1 *2827:mask_rev[23] *1688:12 16.8644 -2 *1688:12 *1688:16 48.8649 -3 *1688:16 *2819:mask_rev_in[23] 35.9981 -*END - -*D_NET *1689 0.00527171 -*CONN -*I *2819:mask_rev_in[24] I *D housekeeping -*I *2827:mask_rev[24] O *D user_id_programming -*CAP -1 *2819:mask_rev_in[24] 0.000547886 -2 *2827:mask_rev[24] 0.000826522 -3 *1689:10 0.00137441 -4 *2819:mask_rev_in[24] *2819:mask_rev_in[25] 0.00133833 -5 *1689:10 *2819:mask_rev_in[28] 0.000377259 -6 *1689:10 *2819:mask_rev_in[29] 0.000120779 -7 *1677:7 *1689:10 0 -8 *1677:8 *1689:10 0.000419695 -9 *1683:10 *1689:10 0.000266832 -*RES -1 *2827:mask_rev[24] *1689:10 38.2873 -2 *1689:10 *2819:mask_rev_in[24] 23.0465 -*END - -*D_NET *1690 0.00881555 -*CONN -*I *2819:mask_rev_in[25] I *D housekeeping -*I *2827:mask_rev[25] O *D user_id_programming -*CAP -1 *2819:mask_rev_in[25] 0.00102545 -2 *2827:mask_rev[25] 0.000898047 -3 *1690:12 0.0019235 -4 *2819:mask_rev_in[25] *1700:13 0.000308415 -5 *1690:12 *1704:15 0.000201734 -6 *2819:mask_rev_in[20] *1690:12 0 -7 *2819:mask_rev_in[21] *1690:12 3.21568e-05 -8 *2819:mask_rev_in[24] *2819:mask_rev_in[25] 0.00133833 -9 *1678:20 *2819:mask_rev_in[25] 0.0012501 -10 *1681:10 *2819:mask_rev_in[25] 0 -11 *1683:10 *2819:mask_rev_in[25] 0 -12 *1688:16 *1690:12 0.00183782 -*RES -1 *2827:mask_rev[25] *1690:12 40.3719 -2 *1690:12 *2819:mask_rev_in[25] 47.4011 -*END - -*D_NET *1691 0.00297337 -*CONN -*I *2819:mask_rev_in[26] I *D housekeeping -*I *2827:mask_rev[26] O *D user_id_programming -*CAP -1 *2819:mask_rev_in[26] 0.00125932 -2 *2827:mask_rev[26] 0.00125932 -3 *2819:mask_rev_in[26] *2819:mask_rev_in[27] 2.01457e-05 -4 *2819:mask_rev_in[26] *1700:13 0.000219753 -5 *1677:7 *2819:mask_rev_in[26] 0 -6 *1678:20 *2819:mask_rev_in[26] 0.000214838 -*RES -1 *2827:mask_rev[26] *2819:mask_rev_in[26] 46.3667 -*END - -*D_NET *1692 0.00402979 -*CONN -*I *2819:mask_rev_in[27] I *D housekeeping -*I *2827:mask_rev[27] O *D user_id_programming -*CAP -1 *2819:mask_rev_in[27] 0.000804623 -2 *2827:mask_rev[27] 0.000793033 -3 *1692:7 0.00159766 -4 *2819:mask_rev_in[22] *1692:7 0 -5 *2819:mask_rev_in[23] *1692:7 0.000814331 -6 *2819:mask_rev_in[26] *2819:mask_rev_in[27] 2.01457e-05 -*RES -1 *2827:mask_rev[27] *1692:7 33.0303 -2 *1692:7 *2819:mask_rev_in[27] 25.3415 -*END - -*D_NET *1693 0.00332814 -*CONN -*I *2819:mask_rev_in[28] I *D housekeeping -*I *2827:mask_rev[28] O *D user_id_programming -*CAP -1 *2819:mask_rev_in[28] 0.00129812 -2 *2827:mask_rev[28] 0.00129812 -3 *2819:mask_rev_in[28] *2819:mask_rev_in[29] 0 -4 *2819:mask_rev_in[28] *2819:mask_rev_in[30] 0.000172797 -5 *1678:19 *2819:mask_rev_in[28] 0.00018185 -6 *1689:10 *2819:mask_rev_in[28] 0.000377259 -*RES -1 *2827:mask_rev[28] *2819:mask_rev_in[28] 48.5851 -*END - -*D_NET *1694 0.00550572 -*CONN -*I *2819:mask_rev_in[29] I *D housekeeping -*I *2827:mask_rev[29] O *D user_id_programming -*CAP -1 *2819:mask_rev_in[29] 0.00264324 -2 *2827:mask_rev[29] 0.00264324 -3 *2819:mask_rev_in[28] *2819:mask_rev_in[29] 0 -4 *1677:7 *2819:mask_rev_in[29] 9.84631e-05 -5 *1689:10 *2819:mask_rev_in[29] 0.000120779 -*RES -1 *2827:mask_rev[29] *2819:mask_rev_in[29] 42.6624 -*END - -*D_NET *1695 0.0127268 -*CONN -*I *2819:mask_rev_in[2] I *D housekeeping -*I *2827:mask_rev[2] O *D user_id_programming -*CAP -1 *2819:mask_rev_in[2] 0.00101178 -2 *2827:mask_rev[2] 0.00153099 -3 *1695:11 0.0022349 -4 *1695:10 0.00275411 -5 *2819:mask_rev_in[2] *2819:mask_rev_in[3] 0.00187001 -6 *1695:10 *1701:10 0 -7 *1695:11 *1704:16 0.00218291 -8 *2819:mask_rev_in[1] *2819:mask_rev_in[2] 0 -9 *1684:10 *1695:10 0 -10 *1684:11 *1695:11 0.00114209 -*RES -1 *2827:mask_rev[2] *1695:10 31.2258 -2 *1695:10 *1695:11 50.6377 -3 *1695:11 *2819:mask_rev_in[2] 43.3261 -*END - -*D_NET *1696 0.0050948 -*CONN -*I *2819:mask_rev_in[30] I *D housekeeping -*I *2827:mask_rev[30] O *D user_id_programming -*CAP -1 *2819:mask_rev_in[30] 0.000928699 -2 *2827:mask_rev[30] 0.000928699 -3 *2819:mask_rev_in[30] *2819:mask_rev_in[31] 0.00237174 -4 *2819:mask_rev_in[28] *2819:mask_rev_in[30] 0.000172797 -5 *1678:19 *2819:mask_rev_in[30] 0.000692858 -*RES -1 *2827:mask_rev[30] *2819:mask_rev_in[30] 44.8089 -*END - -*D_NET *1697 0.00962896 -*CONN -*I *2819:mask_rev_in[31] I *D housekeeping -*I *2827:mask_rev[31] O *D user_id_programming -*CAP -1 *2819:mask_rev_in[31] 0.000811019 -2 *2827:mask_rev[31] 0.000861435 -3 *1697:15 0.00148322 -4 *1697:12 0.00153364 -5 *2819:mask_rev_in[31] *1700:12 0.000742268 -6 *1697:15 *1700:12 9.71323e-06 -7 *2819:mask_rev_in[30] *2819:mask_rev_in[31] 0.00237174 -8 *1678:15 *2819:mask_rev_in[31] 2.37478e-05 -9 *1678:15 *1697:15 0.00174663 -10 *1678:19 *2819:mask_rev_in[31] 4.55455e-05 -*RES -1 *2827:mask_rev[31] *1697:12 32.3789 -2 *1697:12 *1697:15 29.4951 -3 *1697:15 *2819:mask_rev_in[31] 41.7939 -*END - -*D_NET *1698 0.0263613 -*CONN -*I *2819:mask_rev_in[3] I *D housekeeping -*I *2827:mask_rev[3] O *D user_id_programming -*CAP -1 *2819:mask_rev_in[3] 0.000958621 -2 *2827:mask_rev[3] 0.000649945 -3 *1698:11 0.00302591 -4 *1698:10 0.00206729 -5 *1698:8 0.00141618 -6 *1698:7 0.00206612 -7 *2819:mask_rev_in[3] *2538:11 0.000738355 -8 *1698:8 *1703:8 0.00750011 -9 *2819:mask_rev_in[0] *1698:11 0.00034574 -10 *2819:mask_rev_in[1] *1698:11 0 -11 *2819:mask_rev_in[2] *2819:mask_rev_in[3] 0.00187001 -12 *64:51 *1698:11 0 -13 *1674:7 *1698:7 0 -14 *1674:8 *1698:8 0.000767815 -15 *1676:8 *1698:8 0.00495515 -*RES -1 *2827:mask_rev[3] *1698:7 22.649 -2 *1698:7 *1698:8 99.9974 -3 *1698:8 *1698:10 4.5 -4 *1698:10 *1698:11 60.3826 -5 *1698:11 *2819:mask_rev_in[3] 49.2618 -*END - -*D_NET *1699 0.022146 -*CONN -*I *2819:mask_rev_in[4] I *D housekeeping -*I *2827:mask_rev[4] O *D user_id_programming -*CAP -1 *2819:mask_rev_in[4] 0.00100439 -2 *2827:mask_rev[4] 0.000554761 -3 *1699:13 0.00213741 -4 *1699:12 0.00168778 -5 *2819:mask_rev_in[4] *2819:mask_rev_in[5] 0 -6 *2819:mask_rev_in[4] *2573:15 0.000211913 -7 *2819:mask_rev_in[4] *2574:13 0.000236445 -8 *1699:12 *1700:12 0.000828589 -9 *1699:13 *1700:13 0.00945884 -10 *1699:13 *2538:11 0.0038618 -11 *2819:mask_rev_in[11] *1699:13 0.000685247 -12 *1678:15 *1699:12 0.000107108 -13 *1679:16 *1699:12 4.3116e-06 -14 *1685:16 *1699:12 7.77309e-06 -15 *1686:16 *1699:12 0.000893274 -16 *1688:16 *1699:13 0.000466373 -*RES -1 *2827:mask_rev[4] *1699:12 27.0839 -2 *1699:12 *1699:13 104.989 -3 *1699:13 *2819:mask_rev_in[4] 35.5828 -*END - -*D_NET *1700 0.0190511 -*CONN -*I *2819:mask_rev_in[5] I *D housekeeping -*I *2827:mask_rev[5] O *D user_id_programming -*CAP -1 *2819:mask_rev_in[5] 0.00108444 -2 *2827:mask_rev[5] 0.000419531 -3 *1700:13 0.00292838 -4 *1700:12 0.00226347 -5 *2819:mask_rev_in[5] *2819:mask_rev_in[6] 0 -6 *2819:mask_rev_in[5] *2573:15 0 -7 *1700:13 *1701:13 0 -8 *2819:mask_rev_in[25] *1700:13 0.000308415 -9 *2819:mask_rev_in[26] *1700:13 0.000219753 -10 *2819:mask_rev_in[31] *1700:12 0.000742268 -11 *2819:mask_rev_in[4] *2819:mask_rev_in[5] 0 -12 *1678:15 *1700:12 3.69591e-05 -13 *1678:20 *1700:13 0.00075079 -14 *1697:15 *1700:12 9.71323e-06 -15 *1699:12 *1700:12 0.000828589 -16 *1699:13 *1700:13 0.00945884 -*RES -1 *2827:mask_rev[5] *1700:12 22.5161 -2 *1700:12 *1700:13 100.552 -3 *1700:13 *2819:mask_rev_in[5] 35.1676 -*END - -*D_NET *1701 0.00817713 -*CONN -*I *2819:mask_rev_in[6] I *D housekeeping -*I *2827:mask_rev[6] O *D user_id_programming -*CAP -1 *2819:mask_rev_in[6] 0.000934984 -2 *2827:mask_rev[6] 0.00134237 -3 *1701:13 0.00235811 -4 *1701:10 0.0027655 -5 *2819:mask_rev_in[6] *2819:mask_rev_in[7] 0 -6 *2819:mask_rev_in[15] *1701:10 0 -7 *2819:mask_rev_in[16] *1701:10 0 -8 *2819:mask_rev_in[18] *1701:10 0 -9 *2819:mask_rev_in[5] *2819:mask_rev_in[6] 0 -10 *1677:8 *1701:13 0.000192778 -11 *1678:20 *1701:13 0 -12 *1684:10 *1701:10 0.000583389 -13 *1695:10 *1701:10 0 -14 *1700:13 *1701:13 0 -*RES -1 *2827:mask_rev[6] *1701:10 31.7832 -2 *1701:10 *1701:13 43.491 -3 *1701:13 *2819:mask_rev_in[6] 26.5151 -*END - -*D_NET *1702 0.0110951 -*CONN -*I *2819:mask_rev_in[7] I *D housekeeping -*I *2827:mask_rev[7] O *D user_id_programming -*CAP -1 *2819:mask_rev_in[7] 0.000871763 -2 *2827:mask_rev[7] 0.000134258 -3 *1702:10 0.00241508 -4 *1702:9 0.00167757 -5 *2819:mask_rev_in[7] *2819:mask_rev_in[8] 0.00187512 -6 *2819:mask_rev_in[7] *1703:11 0 -7 *1702:10 *2819:mask_rev_in[8] 0 -8 *1702:10 *1704:15 0.000950584 -9 *1702:10 *2538:11 0 -10 *2819:mask_rev_in[6] *2819:mask_rev_in[7] 0 -11 *1673:10 *1702:9 0 -12 *1673:12 *1702:10 0.00123205 -13 *1674:11 *2819:mask_rev_in[7] 0 -14 *1675:11 *2819:mask_rev_in[7] 0 -15 *1676:11 *2819:mask_rev_in[7] 0 -16 *1676:16 *1702:10 0.00121409 -17 *1679:17 *1702:10 0.000351195 -18 *1680:16 *1702:10 0 -19 *1685:19 *1702:10 4.02303e-05 -20 *1686:19 *1702:10 0.000333145 -*RES -1 *2827:mask_rev[7] *1702:9 6.64954 -2 *1702:9 *1702:10 71.1581 -3 *1702:10 *2819:mask_rev_in[7] 40.0041 -*END - -*D_NET *1703 0.0212662 -*CONN -*I *2819:mask_rev_in[8] I *D housekeeping -*I *2827:mask_rev[8] O *D user_id_programming -*CAP -1 *2819:mask_rev_in[8] 0.000903626 -2 *2827:mask_rev[8] 0.00066014 -3 *1703:11 0.00307647 -4 *1703:10 0.00217285 -5 *1703:8 0.00187372 -6 *1703:7 0.00253386 -7 *2819:mask_rev_in[8] *2538:11 0.000585477 -8 *1703:11 *2573:15 0 -9 *2819:mask_rev_in[7] *2819:mask_rev_in[8] 0.00187512 -10 *2819:mask_rev_in[7] *1703:11 0 -11 *1674:7 *1703:7 0 -12 *1674:8 *1703:8 8.47748e-05 -13 *1675:11 *2819:mask_rev_in[8] 0 -14 *1698:8 *1703:8 0.00750011 -15 *1702:10 *2819:mask_rev_in[8] 0 -*RES -1 *2827:mask_rev[8] *1703:7 23.0642 -2 *1703:7 *1703:8 86.1323 -3 *1703:8 *1703:10 4.5 -4 *1703:10 *1703:11 60.7978 -5 *1703:11 *2819:mask_rev_in[8] 47.598 -*END - -*D_NET *1704 0.0134605 -*CONN -*I *2819:mask_rev_in[9] I *D housekeeping -*I *2827:mask_rev[9] O *D user_id_programming -*CAP -1 *2819:mask_rev_in[9] 0.000974263 -2 *2827:mask_rev[9] 0.000339086 -3 *1704:16 0.00173212 -4 *1704:15 0.00109694 -5 *2819:mask_rev_in[10] *2819:mask_rev_in[9] 0.0020263 -6 *1673:12 *1704:16 0.000425627 -7 *1675:11 *2819:mask_rev_in[9] 0 -8 *1684:11 *1704:16 0.00270333 -9 *1685:19 *1704:15 7.65564e-05 -10 *1686:19 *1704:15 0.000751057 -11 *1690:12 *1704:15 0.000201734 -12 *1695:11 *1704:16 0.00218291 -13 *1702:10 *1704:15 0.000950584 -*RES -1 *2827:mask_rev[9] *1704:15 29.2942 -2 *1704:15 *1704:16 45.6463 -3 *1704:16 *2819:mask_rev_in[9] 42.9108 -*END - -*D_NET *1705 0.0133417 -*CONN -*I *2819:mgmt_gpio_in[0] I *D housekeeping -*I *2781:mgmt_gpio_in O *D gpio_control_block -*CAP -1 *2819:mgmt_gpio_in[0] 0.00135846 -2 *2781:mgmt_gpio_in 0.00111924 -3 *1705:14 0.00237179 -4 *1705:13 0.00213256 -5 *1705:13 *2781:mgmt_gpio_oeb 0 -6 *1705:13 *2334:8 4.89469e-06 -7 *1705:14 *1716:14 0.000321904 -8 *1705:14 *1744:8 0.00222522 -9 *1705:14 *1749:8 0.00160428 -10 *659:8 *1705:14 0.000645689 -11 *678:8 *1705:14 0.0015577 -*RES -1 *2781:mgmt_gpio_in *1705:13 35.8711 -2 *1705:13 *1705:14 77.2003 -3 *1705:14 *2819:mgmt_gpio_in[0] 8.32734 -*END - -*D_NET *1706 0.388882 -*CONN -*I *2789:mgmt_gpio_out I *D gpio_control_block -*I *2789:mgmt_gpio_in O *D gpio_control_block -*I *2819:mgmt_gpio_in[10] I *D housekeeping -*I *2819:mgmt_gpio_out[10] O *D housekeeping -*CAP -1 *2789:mgmt_gpio_out 0.000281191 -2 *2789:mgmt_gpio_in 7.25379e-05 -3 *2819:mgmt_gpio_in[10] 0.000560334 -4 *2819:mgmt_gpio_out[10] 9.94281e-05 -5 *1706:26 0.000464922 -6 *1706:25 0.00169994 -7 *1706:20 0.0260328 -8 *1706:19 0.0258774 -9 *1706:5 0.00209309 -10 *2819:mgmt_gpio_in[10] *2537:12 0.00130366 -11 *1706:20 *1707:20 0.1705 -12 *1706:20 *1742:20 0.15482 -13 *1706:20 *1749:8 0.00180248 -14 *100:56 *1706:20 4.86653e-05 -15 *101:54 *1706:20 0.00322539 -16 *101:58 *1706:20 0 -*RES -1 *2819:mgmt_gpio_out[10] *1706:5 0.292658 -2 *1706:5 *2819:mgmt_gpio_in[10] 27.9638 -3 *1706:5 *1706:19 8.23755 -4 *1706:19 *1706:20 2754.75 -5 *1706:20 *1706:25 49.1003 -6 *1706:25 *1706:26 2.87013 -7 *1706:26 *2789:mgmt_gpio_in 3.59493 -8 *1706:26 *2789:mgmt_gpio_out 8.86202 -*END - -*D_NET *1707 0.355344 -*CONN -*I *2790:mgmt_gpio_out I *D gpio_control_block -*I *2790:mgmt_gpio_in O *D gpio_control_block -*I *2819:mgmt_gpio_in[11] I *D housekeeping -*I *2819:mgmt_gpio_out[11] O *D housekeeping -*CAP -1 *2790:mgmt_gpio_out 0.00028116 -2 *2790:mgmt_gpio_in 7.25283e-05 -3 *2819:mgmt_gpio_in[11] 0.000549922 -4 *2819:mgmt_gpio_out[11] 9.94281e-05 -5 *1707:26 0.000450276 -6 *1707:25 0.00165616 -7 *1707:20 0.0387905 -8 *1707:19 0.0386169 -9 *1707:5 0.00203536 -10 *2819:mgmt_gpio_in[11] *2537:12 0.00130366 -11 *1707:20 *1709:20 0.0811866 -12 *100:56 *1707:20 0.0025629 -13 *101:58 *1707:20 0 -14 *101:75 *1707:20 0 -15 *617:38 *1707:20 0 -16 *628:68 *1707:20 0.0170024 -17 *640:34 *1707:20 0.000236262 -18 *659:25 *1707:20 0 -19 *1706:20 *1707:20 0.1705 -*RES -1 *2819:mgmt_gpio_out[11] *1707:5 0.292658 -2 *1707:5 *2819:mgmt_gpio_in[11] 27.9638 -3 *1707:5 *1707:19 8.16106 -4 *1707:19 *1707:20 2999.33 -5 *1707:20 *1707:25 49.1003 -6 *1707:25 *1707:26 2.45487 -7 *1707:26 *2790:mgmt_gpio_in 3.59493 -8 *1707:26 *2790:mgmt_gpio_out 8.86202 -*END - -*D_NET *1708 0.29385 -*CONN -*I *2791:mgmt_gpio_out I *D gpio_control_block -*I *2791:mgmt_gpio_in O *D gpio_control_block -*I *2819:mgmt_gpio_in[12] I *D housekeeping -*I *2819:mgmt_gpio_out[12] O *D housekeeping -*CAP -1 *2791:mgmt_gpio_out 0.000334135 -2 *2791:mgmt_gpio_in 6.63275e-05 -3 *2819:mgmt_gpio_in[12] 0.000549922 -4 *2819:mgmt_gpio_out[12] 9.94281e-05 -5 *1708:25 0.00148467 -6 *1708:20 0.0770951 -7 *1708:19 0.0772393 -8 *1708:5 0.00187775 -9 *2791:mgmt_gpio_out *1957:17 2.72062e-05 -10 *2791:mgmt_gpio_out *2298:20 0 -11 *2819:mgmt_gpio_in[12] *2537:12 0.00130366 -12 *1708:20 *2819:mgmt_gpio_in[17] 0 -13 *1708:20 *2819:mgmt_gpio_in[18] 0 -14 *1708:20 *2819:mgmt_gpio_in[19] 0 -15 *1708:20 *1713:17 0 -16 *1708:20 *1714:17 0 -17 *1708:20 *1715:17 0 -18 *100:50 *1708:20 0.000519368 -19 *112:54 *1708:20 0.0169018 -20 *616:53 *1708:20 0 -21 *628:65 *1708:20 0 -22 *629:50 *1708:20 0.0166823 -23 *640:40 *1708:20 0.000331623 -24 *652:57 *1708:20 0 -25 *652:60 *1708:20 0.0170075 -26 *659:25 *1708:20 0.08233 -*RES -1 *2819:mgmt_gpio_out[12] *1708:5 0.292658 -2 *1708:5 *2819:mgmt_gpio_in[12] 27.9638 -3 *1708:5 *1708:19 7.70212 -4 *1708:19 *1708:20 3245.99 -5 *1708:20 *1708:25 34.6806 -6 *1708:25 *2791:mgmt_gpio_in 3.58495 -7 *1708:25 *2791:mgmt_gpio_out 11.2305 -*END - -*D_NET *1709 0.420183 -*CONN -*I *2792:mgmt_gpio_out I *D gpio_control_block -*I *2792:mgmt_gpio_in O *D gpio_control_block -*I *2819:mgmt_gpio_in[13] I *D housekeeping -*I *2819:mgmt_gpio_out[13] O *D housekeeping -*CAP -1 *2792:mgmt_gpio_out 0.000281191 -2 *2792:mgmt_gpio_in 7.25379e-05 -3 *2819:mgmt_gpio_in[13] 0.000379056 -4 *2819:mgmt_gpio_out[13] 9.94281e-05 -5 *1709:26 0.000464922 -6 *1709:23 0.00175605 -7 *1709:20 0.0412995 -8 *1709:19 0.0410531 -9 *1709:5 0.00187693 -10 *2819:mgmt_gpio_in[13] *2537:12 0.00130366 -11 *1709:20 *1710:20 0.216356 -12 *100:44 *2819:mgmt_gpio_in[13] 0.000826485 -13 *617:38 *1709:20 0 -14 *628:68 *1709:20 0.017006 -15 *640:30 *2819:mgmt_gpio_in[13] 0 -16 *641:51 *1709:20 0.0152896 -17 *659:25 *1709:20 0.000931168 -18 *1707:20 *1709:20 0.0811866 -*RES -1 *2819:mgmt_gpio_out[13] *1709:5 0.292658 -2 *1709:5 *2819:mgmt_gpio_in[13] 27.9638 -3 *1709:5 *1709:19 8.00808 -4 *1709:19 *1709:20 3487.25 -5 *1709:20 *1709:23 46.2641 -6 *1709:23 *1709:26 7.37013 -7 *1709:26 *2792:mgmt_gpio_in 3.59493 -8 *1709:26 *2792:mgmt_gpio_out 8.86202 -*END - -*D_NET *1710 0.616681 -*CONN -*I *2793:mgmt_gpio_out I *D gpio_control_block -*I *2793:mgmt_gpio_in O *D gpio_control_block -*I *2819:mgmt_gpio_in[14] I *D housekeeping -*I *2819:mgmt_gpio_out[14] O *D housekeeping -*CAP -1 *2793:mgmt_gpio_out 0.00028116 -2 *2793:mgmt_gpio_in 7.25283e-05 -3 *2819:mgmt_gpio_in[14] 0.000263681 -4 *2819:mgmt_gpio_out[14] 9.94281e-05 -5 *1710:26 0.000640144 -6 *1710:23 0.00181333 -7 *1710:20 0.0426751 -8 *1710:19 0.0425371 -9 *1710:5 0.00175204 -10 *2819:mgmt_gpio_in[14] *2537:12 0.00130366 -11 *100:44 *2819:mgmt_gpio_in[14] 0.00130366 -12 *641:51 *1710:20 0.0232553 -13 *659:25 *1710:20 0.284327 -14 *1709:20 *1710:20 0.216356 -*RES -1 *2819:mgmt_gpio_out[14] *1710:5 0.292658 -2 *1710:5 *2819:mgmt_gpio_in[14] 27.9638 -3 *1710:5 *1710:19 7.93159 -4 *1710:19 *1710:20 4541.16 -5 *1710:20 *1710:23 46.2641 -6 *1710:23 *1710:26 12.3532 -7 *1710:26 *2793:mgmt_gpio_in 3.59493 -8 *1710:26 *2793:mgmt_gpio_out 8.86202 -*END - -*D_NET *1711 0.737661 -*CONN -*I *2794:mgmt_gpio_out I *D gpio_control_block -*I *2794:mgmt_gpio_in O *D gpio_control_block -*I *2819:mgmt_gpio_in[15] I *D housekeeping -*I *2819:mgmt_gpio_out[15] O *D housekeeping -*CAP -1 *2794:mgmt_gpio_out 0.000283113 -2 *2794:mgmt_gpio_in 9.41681e-05 -3 *2819:mgmt_gpio_in[15] 0.00158015 -4 *2819:mgmt_gpio_out[15] 0.00112966 -5 *1711:32 0.00128691 -6 *1711:23 0.00555765 -7 *1711:22 0.00464803 -8 *1711:20 0.00768955 -9 *1711:19 0.00768955 -10 *1711:17 0.0509466 -11 *1711:7 0.0536564 -12 *2819:mgmt_gpio_in[15] *2630:14 0.00130366 -13 *1711:17 *2819:mgmt_gpio_in[16] 0.00130366 -14 *1711:17 *1712:17 0.302131 -15 *1711:17 *2630:14 0.0516247 -16 *1711:17 *2652:14 0.0487203 -17 *1711:17 *2690:14 0.00505701 -18 *1711:17 *2717:14 0.00418057 -19 *1711:20 *1712:20 0.00262162 -20 *102:61 *1711:17 0.000129812 -21 *103:36 *1711:17 0.000231342 -22 *111:19 *1711:20 0.0278329 -23 *112:45 *1711:20 0.0614473 -24 *117:59 *1711:17 0.00815788 -25 *619:32 *1711:17 0.000128678 -26 *619:47 *1711:17 0.0167676 -27 *628:53 *1711:20 0.00137797 -28 *633:41 *1711:17 0.0353422 -29 *633:43 *1711:17 3.21704e-05 -30 *634:36 *1711:17 0.0166862 -31 *642:64 *1711:17 0.0180229 -32 *643:38 *1711:17 0 -*RES -1 *2819:mgmt_gpio_out[15] *1711:7 7.02701 -2 *1711:7 *2819:mgmt_gpio_in[15] 27.9606 -3 *1711:7 *1711:17 4857.17 -4 *1711:17 *1711:19 4.5 -5 *1711:19 *1711:20 749.438 -6 *1711:20 *1711:22 4.5 -7 *1711:22 *1711:23 124.539 -8 *1711:23 *1711:32 19.3505 -9 *1711:32 *2794:mgmt_gpio_in 8.46357 -10 *1711:32 *2794:mgmt_gpio_out 13.6238 -*END - -*D_NET *1712 0.844386 -*CONN -*I *2795:mgmt_gpio_out I *D gpio_control_block -*I *2795:mgmt_gpio_in O *D gpio_control_block -*I *2819:mgmt_gpio_in[16] I *D housekeeping -*I *2819:mgmt_gpio_out[16] O *D housekeeping -*CAP -1 *2795:mgmt_gpio_out 0.000283113 -2 *2795:mgmt_gpio_in 7.20851e-05 -3 *2819:mgmt_gpio_in[16] 0.00162312 -4 *2819:mgmt_gpio_out[16] 0.00116222 -5 *1712:26 0.000850718 -6 *1712:23 0.00508815 -7 *1712:22 0.00459263 -8 *1712:20 0.0137536 -9 *1712:19 0.0137536 -10 *1712:17 0.0384856 -11 *1712:7 0.0412709 -12 *1712:17 *2819:mgmt_gpio_in[17] 0.00130366 -13 *1712:17 *1713:17 0.300297 -14 *1712:20 *1715:20 0.00186864 -15 *110:41 *1712:20 0.0238372 -16 *111:19 *1712:20 0.0900867 -17 *633:41 *1712:17 0 -18 *1711:17 *2819:mgmt_gpio_in[16] 0.00130366 -19 *1711:17 *1712:17 0.302131 -20 *1711:20 *1712:20 0.00262162 -*RES -1 *2819:mgmt_gpio_out[16] *1712:7 7.1035 -2 *1712:7 *2819:mgmt_gpio_in[16] 28.0371 -3 *1712:7 *1712:17 4827.69 -4 *1712:17 *1712:19 4.5 -5 *1712:19 *1712:20 1050.03 -6 *1712:20 *1712:22 4.5 -7 *1712:22 *1712:23 123.501 -8 *1712:23 *1712:26 17.9793 -9 *1712:26 *2795:mgmt_gpio_in 8.38708 -10 *1712:26 *2795:mgmt_gpio_out 13.6238 -*END - -*D_NET *1713 1.01436 -*CONN -*I *2796:mgmt_gpio_out I *D gpio_control_block -*I *2796:mgmt_gpio_in O *D gpio_control_block -*I *2819:mgmt_gpio_in[17] I *D housekeeping -*I *2819:mgmt_gpio_out[17] O *D housekeeping -*CAP -1 *2796:mgmt_gpio_out 0.000283113 -2 *2796:mgmt_gpio_in 9.9949e-05 -3 *2819:mgmt_gpio_in[17] 0.00164527 -4 *2819:mgmt_gpio_out[17] 0.00119478 -5 *1713:26 0.000918127 -6 *1713:23 0.00499595 -7 *1713:22 0.00446088 -8 *1713:20 0.0088108 -9 *1713:19 0.0088108 -10 *1713:17 0.0382979 -11 *1713:7 0.0411379 -12 *1713:17 *2819:mgmt_gpio_in[18] 0.00130366 -13 *1713:17 *1714:17 0.298506 -14 *1713:20 *1714:20 0.144847 -15 *100:41 *1713:20 0.142533 -16 *633:38 *1713:20 0.0139187 -17 *633:41 *1713:17 0 -18 *659:28 *1713:20 0.000996039 -19 *1708:20 *2819:mgmt_gpio_in[17] 0 -20 *1708:20 *1713:17 0 -21 *1712:17 *2819:mgmt_gpio_in[17] 0.00130366 -22 *1712:17 *1713:17 0.300297 -*RES -1 *2819:mgmt_gpio_out[17] *1713:7 7.17999 -2 *1713:7 *2819:mgmt_gpio_in[17] 28.1136 -3 *1713:7 *1713:17 4799.86 -4 *1713:17 *1713:19 4.5 -5 *1713:19 *1713:20 1515.9 -6 *1713:20 *1713:22 4.5 -7 *1713:22 *1713:23 120.594 -8 *1713:23 *1713:26 19.0885 -9 *1713:26 *2796:mgmt_gpio_in 8.46357 -10 *1713:26 *2796:mgmt_gpio_out 13.6238 -*END - -*D_NET *1714 0.972949 -*CONN -*I *2787:mgmt_gpio_out I *D gpio_control_block -*I *2787:mgmt_gpio_in O *D gpio_control_block -*I *2819:mgmt_gpio_in[18] I *D housekeeping -*I *2819:mgmt_gpio_out[18] O *D housekeeping -*CAP -1 *2787:mgmt_gpio_out 0.000289814 -2 *2787:mgmt_gpio_in 0.00118703 -3 *2819:mgmt_gpio_in[18] 0.00167783 -4 *2819:mgmt_gpio_out[18] 0.00122733 -5 *1714:23 0.00512219 -6 *1714:22 0.00422497 -7 *1714:20 0.025972 -8 *1714:19 0.025972 -9 *1714:17 0.0380132 -10 *1714:7 0.0409184 -11 *1714:17 *2819:mgmt_gpio_in[19] 0.00130366 -12 *1714:17 *1715:17 0.296526 -13 *100:41 *1714:20 0.0133723 -14 *109:17 *1714:20 0.00967107 -15 *624:23 *1714:20 0.0104146 -16 *633:38 *1714:20 0.0187242 -17 *641:48 *1714:20 0.0330188 -18 *649:22 *1714:23 0.000649112 -19 *659:28 *1714:20 7.92757e-06 -20 *1708:20 *2819:mgmt_gpio_in[18] 0 -21 *1708:20 *1714:17 0 -22 *1713:17 *2819:mgmt_gpio_in[18] 0.00130366 -23 *1713:17 *1714:17 0.298506 -24 *1713:20 *1714:20 0.144847 -*RES -1 *2819:mgmt_gpio_out[18] *1714:7 7.25648 -2 *1714:7 *2819:mgmt_gpio_in[18] 28.1901 -3 *1714:7 *1714:17 4768.72 -4 *1714:17 *1714:19 4.5 -5 *1714:19 *1714:20 1932.96 -6 *1714:20 *1714:22 4.5 -7 *1714:22 *1714:23 119.971 -8 *1714:23 *2787:mgmt_gpio_in 15.5443 -9 *2787:mgmt_gpio_in *2787:mgmt_gpio_out 11.8354 -*END - -*D_NET *1715 0.961117 -*CONN -*I *2803:mgmt_gpio_out I *D gpio_control_block -*I *2803:mgmt_gpio_in O *D gpio_control_block -*I *2819:mgmt_gpio_in[19] I *D housekeeping -*I *2819:mgmt_gpio_out[19] O *D housekeeping -*CAP -1 *2803:mgmt_gpio_out 0.000450295 -2 *2803:mgmt_gpio_in 5.89397e-05 -3 *2819:mgmt_gpio_in[19] 0.0017208 -4 *2819:mgmt_gpio_out[19] 0.00126524 -5 *1715:26 0.000921568 -6 *1715:23 0.00282225 -7 *1715:22 0.00240992 -8 *1715:20 0.0142594 -9 *1715:19 0.0142594 -10 *1715:17 0.102034 -11 *1715:7 0.10502 -12 *2803:mgmt_gpio_out *2192:12 0.000849006 -13 *1715:23 *2821:io_in[20] 0.000518844 -14 *1715:23 *2136:16 6.11345e-05 -15 *1715:23 *2192:12 5.60269e-05 -16 *1715:26 *1964:11 0.000170188 -17 *1715:26 *2192:12 0.00205915 -18 *1715:26 *2230:11 0.000156463 -19 *100:17 *1715:26 0.000201482 -20 *110:41 *1715:20 0.202956 -21 *616:17 *1715:26 0.000188614 -22 *624:23 *1715:20 0.00232728 -23 *628:65 *1715:17 0.000960665 -24 *640:68 *1715:26 0.000218674 -25 *652:57 *1715:17 0.000835517 -26 *652:60 *1715:17 0 -27 *659:25 *1715:17 0 -28 *659:28 *1715:20 0.204639 -29 *1708:20 *2819:mgmt_gpio_in[19] 0 -30 *1708:20 *1715:17 0 -31 *1712:20 *1715:20 0.00186864 -32 *1714:17 *2819:mgmt_gpio_in[19] 0.00130366 -33 *1714:17 *1715:17 0.296526 -*RES -1 *2819:mgmt_gpio_out[19] *1715:7 7.33297 -2 *1715:7 *2819:mgmt_gpio_in[19] 28.2666 -3 *1715:7 *1715:17 4736.33 -4 *1715:17 *1715:19 4.5 -5 *1715:19 *1715:20 2232.45 -6 *1715:20 *1715:22 4.5 -7 *1715:22 *1715:23 67.6495 -8 *1715:23 *1715:26 5.98109 -9 *1715:26 *2803:mgmt_gpio_in 0.251087 -10 *1715:26 *2803:mgmt_gpio_out 1.37183 -*END - -*D_NET *1716 0.0505198 -*CONN -*I *2819:mgmt_gpio_in[1] I *D housekeeping -*I *2782:mgmt_gpio_in O *D gpio_control_block -*CAP -1 *2819:mgmt_gpio_in[1] 0.00134144 -2 *2782:mgmt_gpio_in 0.00128514 -3 *1716:14 0.00406479 -4 *1716:13 0.00400849 -5 *1716:13 *2782:mgmt_gpio_oeb 0 -6 *1716:13 *2345:8 4.89469e-06 -7 *1716:14 *1744:8 0.0194057 -8 *659:8 *1716:14 0.00576155 -9 *659:25 *1716:14 0.0143258 -10 *1705:14 *1716:14 0.000321904 -*RES -1 *2782:mgmt_gpio_in *1716:13 36.9803 -2 *1716:13 *1716:14 322.199 -3 *1716:14 *2819:mgmt_gpio_in[1] 8.17437 -*END - -*D_NET *1717 1.1382 -*CONN -*I *2810:mgmt_gpio_out I *D gpio_control_block -*I *2810:mgmt_gpio_in O *D gpio_control_block -*I *2819:mgmt_gpio_in[20] I *D housekeeping -*I *2819:mgmt_gpio_out[20] O *D housekeeping -*CAP -1 *2810:mgmt_gpio_out 5.0126e-05 -2 *2810:mgmt_gpio_in 0.000264834 -3 *2819:mgmt_gpio_in[20] 0.000267724 -4 *2819:mgmt_gpio_out[20] 0.00164557 -5 *1717:43 0.000459043 -6 *1717:40 0.00419253 -7 *1717:39 0.00404844 -8 *1717:37 0.00319538 -9 *1717:36 0.00319538 -10 *1717:34 0.0155184 -11 *1717:33 0.0155184 -12 *1717:31 0.0378973 -13 *1717:30 0.0378973 -14 *1717:28 0.00197586 -15 *1717:27 0.0033537 -16 *2819:mgmt_gpio_in[20] *2819:usr2_vdd_pwrgood 0 -17 *1717:27 *2819:mgmt_gpio_in[21] 0 -18 *1717:28 *1735:13 0 -19 *1717:28 *1750:10 0 -20 *1717:31 *1718:31 0.293921 -21 *1717:31 *1719:31 0.293837 -22 *1717:31 *1724:27 0.000289902 -23 *1717:40 *2631:19 0.000337843 -24 *100:20 *1717:37 4.3116e-06 -25 *100:23 *1717:40 0.000886148 -26 *625:17 *1717:34 0.00147158 -27 *626:47 *1717:34 0.215723 -28 *640:19 *1717:34 0.194074 -29 *640:71 *1717:37 0.00340631 -30 *640:74 *1717:40 1.30651e-05 -31 *650:13 *1717:40 0.000429755 -32 *688:13 *1717:40 0.00420324 -33 *689:23 *2810:mgmt_gpio_in 0.000123387 -34 *689:23 *1717:40 0 -*RES -1 *2819:mgmt_gpio_out[20] *2819:mgmt_gpio_in[20] 8.79358 -2 *2819:mgmt_gpio_out[20] *1717:27 43.8879 -3 *1717:27 *1717:28 52.8561 -4 *1717:28 *1717:30 4.5 -5 *1717:30 *1717:31 4709.55 -6 *1717:31 *1717:33 4.5 -7 *1717:33 *1717:34 2255.74 -8 *1717:34 *1717:36 4.5 -9 *1717:36 *1717:37 109.798 -10 *1717:37 *1717:39 4.5 -11 *1717:39 *1717:40 144.92 -12 *1717:40 *1717:43 8.20063 -13 *1717:43 *2810:mgmt_gpio_in 18.5024 -14 *1717:43 *2810:mgmt_gpio_out 3.50846 -*END - -*D_NET *1718 1.04278 -*CONN -*I *2811:mgmt_gpio_out I *D gpio_control_block -*I *2811:mgmt_gpio_in O *D gpio_control_block -*I *2819:mgmt_gpio_in[21] I *D housekeeping -*I *2819:mgmt_gpio_out[21] O *D housekeeping -*CAP -1 *2811:mgmt_gpio_out 8.79766e-05 -2 *2811:mgmt_gpio_in 0.000238911 -3 *2819:mgmt_gpio_in[21] 0.000267724 -4 *2819:mgmt_gpio_out[21] 0.00202337 -5 *1718:43 0.000434475 -6 *1718:40 0.00150317 -7 *1718:39 0.00139558 -8 *1718:37 0.0031654 -9 *1718:36 0.0031654 -10 *1718:34 0.0180726 -11 *1718:33 0.0180726 -12 *1718:31 0.101732 -13 *1718:30 0.101732 -14 *1718:28 0.000987512 -15 *1718:25 0.00274316 -16 *2811:mgmt_gpio_in *2309:11 0.00048572 -17 *1718:25 *2819:mgmt_gpio_in[22] 0 -18 *1718:28 *1719:30 0.00332773 -19 *1718:28 *1752:14 0.000378828 -20 *1718:31 *1724:27 0.000667537 -21 *1718:40 *2811:user_gpio_out 0.000338027 -22 *1718:40 *2385:11 0.000246253 -23 *1718:40 *2670:14 0.00110591 -24 *110:20 *1718:37 0.00345838 -25 *110:23 *1718:40 0.00013824 -26 *625:17 *1718:34 0 -27 *626:29 *1718:40 0.00439741 -28 *627:19 *1718:34 0.244322 -29 *650:23 *1718:40 0.0111008 -30 *650:41 *1718:34 0.221239 -31 *690:23 *1718:40 0.00203089 -32 *1717:27 *2819:mgmt_gpio_in[21] 0 -33 *1717:31 *1718:31 0.293921 -*RES -1 *2819:mgmt_gpio_out[21] *2819:mgmt_gpio_in[21] 8.79358 -2 *2819:mgmt_gpio_out[21] *1718:25 49.3539 -3 *1718:25 *1718:28 48.4825 -4 *1718:28 *1718:30 4.5 -5 *1718:30 *1718:31 4698.33 -6 *1718:31 *1718:33 4.5 -7 *1718:33 *1718:34 2554.67 -8 *1718:34 *1718:36 4.5 -9 *1718:36 *1718:37 109.798 -10 *1718:37 *1718:39 4.5 -11 *1718:39 *1718:40 155.458 -12 *1718:40 *1718:43 6.95487 -13 *1718:43 *2811:mgmt_gpio_in 18.5024 -14 *1718:43 *2811:mgmt_gpio_out 3.58495 -*END - -*D_NET *1719 1.09854 -*CONN -*I *2812:mgmt_gpio_out I *D gpio_control_block -*I *2812:mgmt_gpio_in O *D gpio_control_block -*I *2819:mgmt_gpio_in[22] I *D housekeeping -*I *2819:mgmt_gpio_out[22] O *D housekeeping -*CAP -1 *2812:mgmt_gpio_out 0.000173662 -2 *2812:mgmt_gpio_in 0.000187222 -3 *2819:mgmt_gpio_in[22] 0.000267724 -4 *2819:mgmt_gpio_out[22] 0.00204481 -5 *1719:40 0.00402474 -6 *1719:39 0.00366385 -7 *1719:37 0.00398878 -8 *1719:36 0.00398878 -9 *1719:34 0.0196698 -10 *1719:33 0.0196698 -11 *1719:31 0.101819 -12 *1719:30 0.102098 -13 *1719:25 0.00205631 -14 *2812:mgmt_gpio_in *2146:11 0.000432613 -15 *2812:mgmt_gpio_in *2234:17 0.000441022 -16 *1719:25 *2819:mgmt_gpio_in[23] 0 -17 *1719:30 *1752:14 0.00342103 -18 *1719:34 *1720:34 0.273458 -19 *1719:40 *2812:pad_gpio_in 9.16621e-05 -20 *1719:40 *2146:11 0.00144587 -21 *1719:40 *2196:11 9.65932e-05 -22 *1719:40 *2234:17 0.00190766 -23 *1719:40 *2671:14 0.000222062 -24 *1719:40 *2709:11 0 -25 *629:41 *1719:34 0.0005252 -26 *651:19 *1719:34 0.253059 -27 *651:62 *1719:40 0.00186647 -28 *691:23 *1719:40 0.000756785 -29 *1717:31 *1719:31 0.293837 -30 *1718:25 *2819:mgmt_gpio_in[22] 0 -31 *1718:28 *1719:30 0.00332773 -*RES -1 *2819:mgmt_gpio_out[22] *2819:mgmt_gpio_in[22] 8.79358 -2 *2819:mgmt_gpio_out[22] *1719:25 49.7692 -3 *1719:25 *1719:30 45.218 -4 *1719:30 *1719:31 4696.67 -5 *1719:31 *1719:33 4.5 -6 *1719:33 *1719:34 2871.91 -7 *1719:34 *1719:36 4.5 -8 *1719:36 *1719:37 111.459 -9 *1719:37 *1719:39 4.5 -10 *1719:39 *1719:40 149.357 -11 *1719:40 *2812:mgmt_gpio_in 13.0692 -12 *1719:40 *2812:mgmt_gpio_out 8.46357 -*END - -*D_NET *1720 0.9891 -*CONN -*I *2813:mgmt_gpio_out I *D gpio_control_block -*I *2813:mgmt_gpio_in O *D gpio_control_block -*I *2819:mgmt_gpio_in[23] I *D housekeeping -*I *2819:mgmt_gpio_out[23] O *D housekeeping -*CAP -1 *2813:mgmt_gpio_out 8.38194e-05 -2 *2813:mgmt_gpio_in 0.000212162 -3 *2819:mgmt_gpio_in[23] 0.000267724 -4 *2819:mgmt_gpio_out[23] 0.00113741 -5 *1720:44 0.000854955 -6 *1720:40 0.00371604 -7 *1720:39 0.00315707 -8 *1720:37 0.00314738 -9 *1720:36 0.00314738 -10 *1720:34 0.0223474 -11 *1720:33 0.0223474 -12 *1720:31 0.166243 -13 *1720:30 0.166587 -14 *1720:27 0.00121379 -15 *2813:mgmt_gpio_in *2311:11 0.00049413 -16 *2813:mgmt_gpio_in *2672:14 0 -17 *1720:27 *1721:21 0.000955171 -18 *1720:30 *1747:10 0.00142877 -19 *1720:31 *1722:23 0 -20 *1720:31 *1723:21 0 -21 *1720:31 *2738:5 0 -22 *1720:40 *2634:19 0.000714644 -23 *1720:40 *2672:14 0 -24 *1720:44 *2311:11 0.00233251 -25 *1720:44 *2672:14 0 -26 *2813:serial_clock *1720:40 0.000801619 -27 *2813:serial_load *1720:40 0.000337843 -28 *112:23 *1720:40 0.00870944 -29 *629:41 *1720:34 0.302098 -30 *652:22 *1720:37 0.0033072 -31 *652:25 *1720:40 0 -32 *653:17 *1720:40 0 -33 *665:16 *1720:31 0 -34 *1719:25 *2819:mgmt_gpio_in[23] 0 -35 *1719:34 *1720:34 0.273458 -*RES -1 *2819:mgmt_gpio_out[23] *2819:mgmt_gpio_in[23] 8.79358 -2 *2819:mgmt_gpio_out[23] *1720:27 38.9049 -3 *1720:27 *1720:30 19.6431 -4 *1720:30 *1720:31 4711.62 -5 *1720:31 *1720:33 4.5 -6 *1720:33 *1720:34 3158.64 -7 *1720:34 *1720:36 4.5 -8 *1720:36 *1720:37 108.967 -9 *1720:37 *1720:39 4.5 -10 *1720:39 *1720:40 134.383 -11 *1720:40 *1720:44 25.7047 -12 *1720:44 *2813:mgmt_gpio_in 13.6238 -13 *1720:44 *2813:mgmt_gpio_out 8.38708 -*END - -*D_NET *1721 1.21054 -*CONN -*I *2814:mgmt_gpio_out I *D gpio_control_block -*I *2814:mgmt_gpio_in O *D gpio_control_block -*I *2819:mgmt_gpio_in[24] I *D housekeeping -*I *2819:mgmt_gpio_out[24] O *D housekeeping -*CAP -1 *2814:mgmt_gpio_out 0.00022218 -2 *2814:mgmt_gpio_in 7.61875e-05 -3 *2819:mgmt_gpio_in[24] 1.28869e-05 -4 *2819:mgmt_gpio_out[24] 0.000248672 -5 *1721:33 0.00126021 -6 *1721:27 0.0377333 -7 *1721:26 0.0367714 -8 *1721:24 0.0567813 -9 *1721:23 0.0567813 -10 *1721:21 0.00186708 -11 *1721:13 0.00212864 -12 *2814:mgmt_gpio_out *1970:7 4.80336e-05 -13 *1721:13 *1722:23 0 -14 *1721:24 *1723:24 0.342504 -15 *1721:24 *1745:10 0.00443444 -16 *1721:27 *1734:16 0.0061504 -17 *1721:27 *1746:19 0.00644048 -18 *1721:27 *1751:19 7.12527e-05 -19 *1721:27 *2725:14 0.00790333 -20 *109:26 *1721:27 0.00390219 -21 *624:26 *1721:27 0.258118 -22 *647:28 *1721:27 0.242546 -23 *654:10 *2814:mgmt_gpio_out 0.000461064 -24 *654:10 *1721:33 2.02035e-05 -25 *654:15 *2814:mgmt_gpio_in 1.80858e-05 -26 *795:8 *1721:24 0 -27 *797:8 *1721:24 0 -28 *800:8 *1721:24 0 -29 *801:8 *1721:24 5.36005e-05 -30 *802:8 *1721:24 0.000441509 -31 *804:10 *1721:24 0.0574637 -32 *805:8 *1721:24 0.00083916 -33 *1072:10 *1721:24 0.0769634 -34 *1073:10 *1721:24 0.00692307 -35 *1074:10 *1721:24 0.000177491 -36 *1075:10 *1721:24 0.000169508 -37 *1076:10 *1721:24 5.36005e-05 -38 *1077:10 *1721:24 0 -39 *1079:10 *1721:24 0 -40 *1720:27 *1721:21 0.000955171 -*RES -1 *2819:mgmt_gpio_out[24] *1721:13 8.59816 -2 *1721:13 *2819:mgmt_gpio_in[24] 0.366399 -3 *1721:13 *1721:21 58.5139 -4 *1721:21 *1721:23 4.5 -5 *1721:23 *1721:24 3625.61 -6 *1721:24 *1721:26 4.5 -7 *1721:26 *1721:27 4349.94 -8 *1721:27 *1721:33 33.3954 -9 *1721:33 *2814:mgmt_gpio_in 3.66144 -10 *1721:33 *2814:mgmt_gpio_out 11.307 -*END - -*D_NET *1722 1.07167 -*CONN -*I *2815:mgmt_gpio_out I *D gpio_control_block -*I *2815:mgmt_gpio_in O *D gpio_control_block -*I *2819:mgmt_gpio_in[25] I *D housekeeping -*I *2819:mgmt_gpio_out[25] O *D housekeeping -*CAP -1 *2815:mgmt_gpio_out 0.000263653 -2 *2815:mgmt_gpio_in 0.000273178 -3 *2819:mgmt_gpio_in[25] 1.28869e-05 -4 *2819:mgmt_gpio_out[25] 0.000248672 -5 *1722:33 0.000550137 -6 *1722:30 0.00485393 -7 *1722:29 0.00484063 -8 *1722:27 0.038119 -9 *1722:26 0.038119 -10 *1722:24 0.0530305 -11 *1722:23 0.0544895 -12 *1722:13 0.00172056 -13 *2815:mgmt_gpio_out *2822:mprj_io_analog_en[25] 3.18067e-05 -14 *2815:mgmt_gpio_out *2275:11 0.000253467 -15 *2815:mgmt_gpio_out *2351:16 0.000517844 -16 *1722:13 *1723:21 0 -17 *1722:24 *1729:24 0.337757 -18 *1722:24 *1754:15 0.12838 -19 *1722:27 *1750:13 0 -20 *1722:30 *2047:13 0.000693161 -21 *1722:30 *2154:13 1.88152e-05 -22 *1722:33 *2275:11 5.39635e-06 -23 *1722:33 *2351:16 2.02035e-05 -24 *2826:la_input[3] *1722:27 0 -25 *114:36 *1722:27 0.201723 -26 *115:58 *1722:27 0 -27 *116:43 *1722:27 0 -28 *630:23 *1722:27 0.201813 -29 *655:39 *1722:27 0 -30 *656:29 *1722:27 4.13721e-05 -31 *1350:7 *1722:27 0.00388974 -32 *1720:31 *1722:23 0 -33 *1721:13 *1722:23 0 -*RES -1 *2819:mgmt_gpio_out[25] *1722:13 8.59816 -2 *1722:13 *2819:mgmt_gpio_in[25] 0.366399 -3 *1722:13 *1722:23 44.7428 -4 *1722:23 *1722:24 3532.44 -5 *1722:24 *1722:26 4.5 -6 *1722:26 *1722:27 3598.33 -7 *1722:27 *1722:29 4.5 -8 *1722:29 *1722:30 126.064 -9 *1722:30 *1722:33 4.87861 -10 *1722:33 *2815:mgmt_gpio_in 4.06385 -11 *1722:33 *2815:mgmt_gpio_out 12.2239 -*END - -*D_NET *1723 1.20936 -*CONN -*I *2816:mgmt_gpio_out I *D gpio_control_block -*I *2816:mgmt_gpio_in O *D gpio_control_block -*I *2819:mgmt_gpio_in[26] I *D housekeeping -*I *2819:mgmt_gpio_out[26] O *D housekeeping -*CAP -1 *2816:mgmt_gpio_out 0.000267226 -2 *2816:mgmt_gpio_in 9.59398e-05 -3 *2819:mgmt_gpio_in[26] 1.28869e-05 -4 *2819:mgmt_gpio_out[26] 0.000248672 -5 *1723:33 0.00174178 -6 *1723:27 0.0317233 -7 *1723:26 0.0303447 -8 *1723:24 0.0259195 -9 *1723:23 0.0259195 -10 *1723:21 0.00215365 -11 *1723:13 0.00241521 -12 *2816:mgmt_gpio_out *1972:12 0.000475383 -13 *1723:13 *2819:mgmt_gpio_in[27] 0 -14 *1723:24 *1724:28 0.344038 -15 *1723:24 *1745:10 0.00604628 -16 *1723:27 *1724:31 0.19122 -17 *1723:27 *1732:31 0.0391653 -18 *1723:27 *2725:14 0.00201902 -19 *1723:33 *1972:12 1.66771e-05 -20 *107:28 *1723:27 0.0011896 -21 *646:29 *1723:27 0.161848 -22 *1720:31 *1723:21 0 -23 *1721:24 *1723:24 0.342504 -24 *1722:13 *1723:21 0 -*RES -1 *2819:mgmt_gpio_out[26] *1723:13 8.59816 -2 *1723:13 *2819:mgmt_gpio_in[26] 0.366399 -3 *1723:13 *1723:21 58.0987 -4 *1723:21 *1723:23 4.5 -5 *1723:23 *1723:24 3639.48 -6 *1723:24 *1723:26 4.5 -7 *1723:26 *1723:27 3317.21 -8 *1723:27 *1723:33 38.3868 -9 *1723:33 *2816:mgmt_gpio_in 3.59493 -10 *1723:33 *2816:mgmt_gpio_out 11.2405 -*END - -*D_NET *1724 1.17849 -*CONN -*I *2817:mgmt_gpio_out I *D gpio_control_block -*I *2817:mgmt_gpio_in O *D gpio_control_block -*I *2819:mgmt_gpio_in[27] I *D housekeeping -*I *2819:mgmt_gpio_out[27] O *D housekeeping -*CAP -1 *2817:mgmt_gpio_out 0.000155974 -2 *2817:mgmt_gpio_in 8.45551e-05 -3 *2819:mgmt_gpio_in[27] 6.58967e-05 -4 *2819:mgmt_gpio_out[27] 0.000236007 -5 *1724:37 0.00155439 -6 *1724:31 0.0292128 -7 *1724:30 0.0278989 -8 *1724:28 0.0258351 -9 *1724:27 0.0272328 -10 *1724:24 0.0019031 -11 *1724:9 0.000807251 -12 *2817:mgmt_gpio_out *1973:7 4.80459e-05 -13 *2817:mgmt_gpio_out *2162:12 0.000153257 -14 *2817:mgmt_gpio_out *2277:11 0.000469124 -15 *1724:9 *1725:21 0 -16 *1724:28 *1725:24 0.344781 -17 *1724:28 *1745:10 0.00709644 -18 *1724:31 *1725:27 0.174714 -19 *1724:31 *2725:14 6.3191e-06 -20 *1724:37 *2162:12 4.75721e-06 -21 *1724:37 *2277:11 1.66771e-05 -22 *1717:31 *1724:27 0.000289902 -23 *1718:31 *1724:27 0.000667537 -24 *1723:13 *2819:mgmt_gpio_in[27] 0 -25 *1723:24 *1724:28 0.344038 -26 *1723:27 *1724:31 0.19122 -*RES -1 *2819:mgmt_gpio_out[27] *1724:9 7.42569 -2 *1724:9 *2819:mgmt_gpio_in[27] 1.9297 -3 *1724:9 *1724:24 22.9748 -4 *1724:24 *1724:27 48.2725 -5 *1724:27 *1724:28 3647.24 -6 *1724:28 *1724:30 4.5 -7 *1724:30 *1724:31 3055.18 -8 *1724:31 *1724:37 36.723 -9 *1724:37 *2817:mgmt_gpio_in 3.66144 -10 *1724:37 *2817:mgmt_gpio_out 11.307 -*END - -*D_NET *1725 1.14075 -*CONN -*I *2818:mgmt_gpio_out I *D gpio_control_block -*I *2818:mgmt_gpio_in O *D gpio_control_block -*I *2819:mgmt_gpio_in[28] I *D housekeeping -*I *2819:mgmt_gpio_out[28] O *D housekeeping -*CAP -1 *2818:mgmt_gpio_out 0.000208881 -2 *2818:mgmt_gpio_in 8.01334e-05 -3 *2819:mgmt_gpio_in[28] 1.28869e-05 -4 *2819:mgmt_gpio_out[28] 0.000248672 -5 *1725:33 0.00162748 -6 *1725:27 0.0271579 -7 *1725:26 0.0258194 -8 *1725:24 0.0259393 -9 *1725:23 0.0259393 -10 *1725:21 0.00208039 -11 *1725:13 0.00234195 -12 *2818:mgmt_gpio_out *1974:13 5.36925e-05 -13 *2818:mgmt_gpio_out *2278:11 0.000469124 -14 *1725:13 *1726:21 0 -15 *1725:24 *1726:24 0.0005022 -16 *1725:24 *1728:24 0.344449 -17 *1725:24 *1733:11 0.00614765 -18 *1725:27 *1726:27 0.158149 -19 *1725:33 *2278:11 1.66771e-05 -20 *110:49 *1725:24 1.41976e-05 -21 *1724:9 *1725:21 0 -22 *1724:28 *1725:24 0.344781 -23 *1724:31 *1725:27 0.174714 -*RES -1 *2819:mgmt_gpio_out[28] *1725:13 8.59816 -2 *1725:13 *2819:mgmt_gpio_in[28] 0.366399 -3 *1725:13 *1725:21 57.2682 -4 *1725:21 *1725:23 4.5 -5 *1725:23 *1725:24 3655.56 -6 *1725:24 *1725:26 4.5 -7 *1725:26 *1725:27 2791.5 -8 *1725:27 *1725:33 37.2776 -9 *1725:33 *2818:mgmt_gpio_in 3.66144 -10 *1725:33 *2818:mgmt_gpio_out 11.307 -*END - -*D_NET *1726 0.902604 -*CONN -*I *2804:mgmt_gpio_out I *D gpio_control_block -*I *2804:mgmt_gpio_in O *D gpio_control_block -*I *2819:mgmt_gpio_in[29] I *D housekeeping -*I *2819:mgmt_gpio_out[29] O *D housekeeping -*CAP -1 *2804:mgmt_gpio_out 0.000308264 -2 *2804:mgmt_gpio_in 0.000106673 -3 *2819:mgmt_gpio_in[29] 1.28869e-05 -4 *2819:mgmt_gpio_out[29] 0.000248672 -5 *1726:33 0.00181512 -6 *1726:27 0.0256641 -7 *1726:26 0.0242639 -8 *1726:24 0.0748318 -9 *1726:23 0.0748318 -10 *1726:21 0.00202587 -11 *1726:13 0.00228743 -12 *2804:mgmt_gpio_out *2013:9 0 -13 *2804:mgmt_gpio_out *2279:11 0.00015485 -14 *1726:13 *1728:21 0 -15 *1726:24 *1728:24 0.345244 -16 *1726:24 *1733:11 0.0134999 -17 *1726:27 *1730:29 0.00889053 -18 *1726:27 *1731:27 8.92568e-06 -19 *1726:33 *2279:11 2.87136e-06 -20 *101:48 *1726:27 0.12566 -21 *649:62 *1726:27 0 -22 *784:8 *1726:24 0 -23 *785:8 *1726:24 5.21591e-05 -24 *786:8 *1726:24 0.00013526 -25 *787:8 *1726:24 0.000713504 -26 *790:8 *1726:24 0.0431947 -27 *1725:13 *1726:21 0 -28 *1725:24 *1726:24 0.0005022 -29 *1725:27 *1726:27 0.158149 -*RES -1 *2819:mgmt_gpio_out[29] *1726:13 8.59816 -2 *1726:13 *2819:mgmt_gpio_in[29] 0.366399 -3 *1726:13 *1726:21 56.4377 -4 *1726:21 *1726:23 4.5 -5 *1726:23 *1726:24 3663.33 -6 *1726:24 *1726:26 4.5 -7 *1726:26 *1726:27 2527.4 -8 *1726:27 *1726:33 39.496 -9 *1726:33 *2804:mgmt_gpio_in 3.65147 -10 *1726:33 *2804:mgmt_gpio_out 11.297 -*END - -*D_NET *1727 0.0774494 -*CONN -*I *2797:mgmt_gpio_in O *D gpio_control_block -*I *2797:mgmt_gpio_out I *D gpio_control_block -*I *2819:mgmt_gpio_in[2] I *D housekeeping -*I *2819:mgmt_gpio_out[2] O *D housekeeping -*CAP -1 *2797:mgmt_gpio_in 4.79273e-05 -2 *2797:mgmt_gpio_out 0.000344398 -3 *2819:mgmt_gpio_in[2] 0.000549922 -4 *2819:mgmt_gpio_out[2] 9.94281e-05 -5 *1727:29 0.00137588 -6 *1727:20 0.00737652 -7 *1727:19 0.00792901 -8 *1727:5 0.0021854 -9 *2797:mgmt_gpio_out *1976:13 0.000138686 -10 *2819:mgmt_gpio_in[2] *2537:12 0.00130366 -11 *1727:20 *1736:20 0.00370732 -12 *1727:20 *1737:20 0.000670391 -13 *1727:20 *1738:20 0.000931168 -14 *1727:20 *1739:20 0.0263543 -15 *1727:20 *1740:20 0.0244305 -16 *1727:20 *2093:14 0 -17 *1727:20 *2296:14 0 -18 *1727:29 *1976:13 0 -19 *1727:29 *2014:13 0 -20 *616:56 *1727:20 0 -21 *660:16 *1727:20 0 -22 *669:30 *1727:29 4.89469e-06 -23 *678:8 *1727:20 0 -*RES -1 *2819:mgmt_gpio_out[2] *1727:5 0.292658 -2 *1727:5 *2819:mgmt_gpio_in[2] 27.9638 -3 *1727:5 *1727:19 8.62 -4 *1727:19 *1727:20 544.774 -5 *1727:20 *1727:29 31.8608 -6 *1727:29 *2797:mgmt_gpio_out 1.24546 -7 *1727:29 *2797:mgmt_gpio_in 0.177923 -*END - -*D_NET *1728 1.04208 -*CONN -*I *2805:mgmt_gpio_out I *D gpio_control_block -*I *2805:mgmt_gpio_in O *D gpio_control_block -*I *2819:mgmt_gpio_in[30] I *D housekeeping -*I *2819:mgmt_gpio_out[30] O *D housekeeping -*CAP -1 *2805:mgmt_gpio_out 0.0003908 -2 *2805:mgmt_gpio_in 0.000133609 -3 *2819:mgmt_gpio_in[30] 1.28869e-05 -4 *2819:mgmt_gpio_out[30] 0.000248672 -5 *1728:33 0.000547509 -6 *1728:30 0.0018951 -7 *1728:27 0.0306106 -8 *1728:26 0.0287386 -9 *1728:24 0.025925 -10 *1728:23 0.025925 -11 *1728:21 0.00204493 -12 *1728:13 0.00230649 -13 *2805:mgmt_gpio_out *1977:7 3.09106e-06 -14 *2805:mgmt_gpio_out *2281:11 0 -15 *1728:13 *1729:23 0 -16 *1728:24 *1733:11 0.00719095 -17 *1728:27 *2605:17 0.00185281 -18 *1728:27 *2607:11 0.0562205 -19 *1728:27 *2610:11 0.0455244 -20 *1728:27 *2645:16 0.00194805 -21 *1728:27 *2719:14 0.00440134 -22 *1728:27 *2724:14 0.0109616 -23 *110:49 *1728:24 0.000279817 -24 *649:56 *1728:27 0.104255 -25 *650:53 *1728:24 0.000967885 -26 *1725:24 *1728:24 0.344449 -27 *1726:13 *1728:21 0 -28 *1726:24 *1728:24 0.345244 -*RES -1 *2819:mgmt_gpio_out[30] *1728:13 8.59816 -2 *1728:13 *2819:mgmt_gpio_in[30] 0.366399 -3 *1728:13 *1728:21 56.8529 -4 *1728:21 *1728:23 4.5 -5 *1728:23 *1728:24 3660 -6 *1728:24 *1728:26 4.5 -7 *1728:26 *1728:27 2262.88 -8 *1728:27 *1728:30 46.2641 -9 *1728:30 *1728:33 4.87861 -10 *1728:33 *2805:mgmt_gpio_in 3.708 -11 *1728:33 *2805:mgmt_gpio_out 11.3535 -*END - -*D_NET *1729 0.969953 -*CONN -*I *2806:mgmt_gpio_out I *D gpio_control_block -*I *2806:mgmt_gpio_in O *D gpio_control_block -*I *2819:mgmt_gpio_in[31] I *D housekeeping -*I *2819:mgmt_gpio_out[31] O *D housekeeping -*CAP -1 *2806:mgmt_gpio_out 0.000162793 -2 *2806:mgmt_gpio_in 0.000109129 -3 *2819:mgmt_gpio_in[31] 1.28869e-05 -4 *2819:mgmt_gpio_out[31] 0.000248672 -5 *1729:37 0.000299852 -6 *1729:34 0.00463647 -7 *1729:33 0.00460854 -8 *1729:31 0.0340074 -9 *1729:29 0.0340332 -10 *1729:27 0.00126508 -11 *1729:26 0.00123931 -12 *1729:24 0.0212688 -13 *1729:23 0.0226927 -14 *1729:13 0.00168549 -15 *2806:mgmt_gpio_out *1978:15 1.10565e-05 -16 *2806:mgmt_gpio_out *2054:15 0.000468828 -17 *2806:mgmt_gpio_out *2174:12 0.000470189 -18 *1729:13 *1730:19 0 -19 *1729:24 *1750:10 0.34274 -20 *1729:27 *1750:13 0.00170309 -21 *1729:31 *1750:13 0.00337934 -22 *1729:34 *2054:15 0.000490094 -23 *1729:37 *2054:15 0.000180024 -24 *1729:37 *2174:12 0.000171753 -25 *99:10 *1729:24 0.000347349 -26 *104:40 *1729:31 0.0417928 -27 *108:26 *1729:31 7.77309e-06 -28 *620:42 *1729:31 9.2346e-06 -29 *648:26 *1729:31 0.112334 -30 *1083:9 *1729:27 0.0018205 -31 *1722:24 *1729:24 0.337757 -32 *1728:13 *1729:23 0 -*RES -1 *2819:mgmt_gpio_out[31] *1729:13 8.59816 -2 *1729:13 *2819:mgmt_gpio_in[31] 0.366399 -3 *1729:13 *1729:23 44.3276 -4 *1729:23 *1729:24 3586.24 -5 *1729:24 *1729:26 4.5 -6 *1729:26 *1729:27 59.0269 -7 *1729:27 *1729:29 0.732798 -8 *1729:29 *1729:31 1955.29 -9 *1729:31 *1729:33 4.5 -10 *1729:33 *1729:34 123.291 -11 *1729:34 *1729:37 7.37013 -12 *1729:37 *2806:mgmt_gpio_in 3.59493 -13 *1729:37 *2806:mgmt_gpio_out 11.2405 -*END - -*D_NET *1730 0.67511 -*CONN -*I *2807:mgmt_gpio_out I *D gpio_control_block -*I *2807:mgmt_gpio_in O *D gpio_control_block -*I *2819:mgmt_gpio_in[32] I *D housekeeping -*I *2819:mgmt_gpio_out[32] O *D housekeeping -*CAP -1 *2807:mgmt_gpio_out 0.000220783 -2 *2807:mgmt_gpio_in 9.14025e-05 -3 *2819:mgmt_gpio_in[32] 0.000340452 -4 *2819:mgmt_gpio_out[32] 0.000268285 -5 *1730:35 0.00171059 -6 *1730:29 0.012576 -7 *1730:28 0.0111776 -8 *1730:26 0.0820512 -9 *1730:25 0.0832728 -10 *1730:19 0.00479679 -11 *1730:17 0.00364739 -12 *2807:mgmt_gpio_out *1979:7 5.02212e-05 -13 *2807:mgmt_gpio_out *2177:11 0.000469124 -14 *2819:mgmt_gpio_in[32] *1731:21 0 -15 *1730:26 *1731:24 0.348065 -16 *1730:26 *1732:28 2.41483e-05 -17 *1730:29 *1731:27 0.0556009 -18 *1730:29 *2722:14 0.00800914 -19 *1730:35 *2177:11 1.66771e-05 -20 *101:48 *1730:29 0.0538301 -21 *1726:27 *1730:29 0.00889053 -22 *1729:13 *1730:19 0 -*RES -1 *2819:mgmt_gpio_out[32] *2819:mgmt_gpio_in[32] 10.1859 -2 *2819:mgmt_gpio_in[32] *1730:17 2.05183 -3 *1730:17 *1730:19 99.514 -4 *1730:19 *1730:25 38.1232 -5 *1730:25 *1730:26 3678.85 -6 *1730:26 *1730:28 4.5 -7 *1730:28 *1730:29 1152.5 -8 *1730:29 *1730:35 38.9414 -9 *1730:35 *2807:mgmt_gpio_in 3.66144 -10 *1730:35 *2807:mgmt_gpio_out 11.307 -*END - -*D_NET *1731 0.885717 -*CONN -*I *2808:mgmt_gpio_out I *D gpio_control_block -*I *2808:mgmt_gpio_in O *D gpio_control_block -*I *2819:mgmt_gpio_in[33] I *D housekeeping -*I *2819:mgmt_gpio_out[33] O *D housekeeping -*CAP -1 *2808:mgmt_gpio_out 0.000320108 -2 *2808:mgmt_gpio_in 0.000121581 -3 *2819:mgmt_gpio_in[33] 1.28869e-05 -4 *2819:mgmt_gpio_out[33] 0.000248672 -5 *1731:33 0.00183634 -6 *1731:27 0.010788 -7 *1731:26 0.00939332 -8 *1731:24 0.0258997 -9 *1731:23 0.0258997 -10 *1731:21 0.00321444 -11 *1731:13 0.003476 -12 *2808:mgmt_gpio_out *1980:15 1.02886e-05 -13 *2808:mgmt_gpio_out *2056:13 0.00022036 -14 *2808:mgmt_gpio_out *2436:8 0 -15 *1731:13 *1732:24 0 -16 *1731:21 *1732:25 0.00587588 -17 *1731:24 *1732:28 0.349656 -18 *1731:24 *1751:16 1.65872e-05 -19 *1731:27 *2722:14 0.0118964 -20 *1731:33 *2056:13 7.86825e-06 -21 *2819:mgmt_gpio_in[32] *1731:21 0 -22 *649:62 *1731:27 0.0331472 -23 *1726:27 *1731:27 8.92568e-06 -24 *1730:26 *1731:24 0.348065 -25 *1730:29 *1731:27 0.0556009 -*RES -1 *2819:mgmt_gpio_out[33] *1731:13 8.59816 -2 *1731:13 *2819:mgmt_gpio_in[33] 0.366399 -3 *1731:13 *1731:21 125.37 -4 *1731:21 *1731:23 4.5 -5 *1731:23 *1731:24 3695.49 -6 *1731:24 *1731:26 4.5 -7 *1731:26 *1731:27 888.811 -8 *1731:27 *1731:33 39.496 -9 *1731:33 *2808:mgmt_gpio_in 3.66144 -10 *1731:33 *2808:mgmt_gpio_out 11.307 -*END - -*D_NET *1732 0.8566 -*CONN -*I *2809:mgmt_gpio_out I *D gpio_control_block -*I *2809:mgmt_gpio_in O *D gpio_control_block -*I *2819:mgmt_gpio_in[34] I *D housekeeping -*I *2819:mgmt_gpio_out[34] O *D housekeeping -*CAP -1 *2809:mgmt_gpio_out 0.000182252 -2 *2809:mgmt_gpio_in 0.000109436 -3 *2819:mgmt_gpio_in[34] 0.00165071 -4 *2819:mgmt_gpio_out[34] 0.000248526 -5 *1732:37 0.00145571 -6 *1732:31 0.00617245 -7 *1732:30 0.00500843 -8 *1732:28 0.0257409 -9 *1732:27 0.0257409 -10 *1732:25 0.00213872 -11 *1732:24 0.0035409 -12 *2809:mgmt_gpio_out *1981:7 4.03816e-05 -13 *2809:mgmt_gpio_out *2073:12 0.000153257 -14 *2809:mgmt_gpio_out *2285:11 0.000469124 -15 *1732:24 *1747:10 0.000110147 -16 *1732:28 *1751:16 0.350002 -17 *1732:31 *2725:14 0.0258221 -18 *1732:37 *2073:12 4.75721e-06 -19 *1732:37 *2285:11 1.66771e-05 -20 *107:28 *1732:31 0.0132421 -21 *647:28 *1732:31 2.87578e-05 -22 *697:32 *2819:mgmt_gpio_in[34] 0 -23 *1723:27 *1732:31 0.0391653 -24 *1730:26 *1732:28 2.41483e-05 -25 *1731:13 *1732:24 0 -26 *1731:21 *1732:25 0.00587588 -27 *1731:24 *1732:28 0.349656 -*RES -1 *2819:mgmt_gpio_out[34] *2819:mgmt_gpio_in[34] 9.62408 -2 *2819:mgmt_gpio_in[34] *1732:24 48.9831 -3 *1732:24 *1732:25 94.8485 -4 *1732:25 *1732:27 4.5 -5 *1732:27 *1732:28 3698.82 -6 *1732:28 *1732:30 4.5 -7 *1732:30 *1732:31 625.956 -8 *1732:31 *1732:37 35.6138 -9 *1732:37 *2809:mgmt_gpio_in 3.66144 -10 *1732:37 *2809:mgmt_gpio_out 11.307 -*END - -*D_NET *1733 0.839008 -*CONN -*I *2819:mgmt_gpio_in[35] I *D housekeeping -*I *2783:mgmt_gpio_in O *D gpio_control_block -*CAP -1 *2819:mgmt_gpio_in[35] 1.28869e-05 -2 *2783:mgmt_gpio_in 0.000689653 -3 *1733:14 0.00114289 -4 *1733:13 0.00113 -5 *1733:11 0.170237 -6 *1733:10 0.170237 -7 *1733:8 0.00769012 -8 *1733:7 0.00837977 -9 *1733:7 *2783:mgmt_gpio_oeb 0 -10 *1733:8 *2822:mprj_io_oeb[35] 0.00012125 -11 *1733:8 *2822:mprj_io_out[35] 0.000810937 -12 *1733:8 *1745:13 0.0296861 -13 *1733:8 *2021:16 0.000651203 -14 *1733:8 *2059:16 0.000938008 -15 *1733:8 *2076:8 0.000496457 -16 *1733:8 *2077:10 0.000225834 -17 *1733:8 *2077:12 0.000651203 -18 *1733:8 *2078:10 0.000208848 -19 *1733:8 *2078:12 0.000500324 -20 *1733:8 *2248:8 0.000569924 -21 *1733:8 *2401:15 0.000213714 -22 *1733:8 *2438:8 0.000475529 -23 *1733:8 *2477:8 0.000276022 -24 *1733:11 *1745:10 0.403744 -25 *1733:14 *1746:13 0.00352285 -26 *1733:14 *1751:13 0.000588489 -27 *2784:serial_clock *1733:8 0 -28 *2785:serial_load *1733:8 0.00151958 -29 *619:20 *1733:8 0.00484828 -30 *650:53 *1733:11 0.00116106 -31 *697:32 *1733:14 0 -32 *786:8 *1733:11 0.00011282 -33 *787:8 *1733:11 0.000359698 -34 *789:8 *1733:11 0.000331419 -35 *790:8 *1733:11 0.000637172 -36 *1725:24 *1733:11 0.00614765 -37 *1726:24 *1733:11 0.0134999 -38 *1728:24 *1733:11 0.00719095 -*RES -1 *2783:mgmt_gpio_in *1733:7 1.62542 -2 *1733:7 *1733:8 59.2599 -3 *1733:8 *1733:10 0.376635 -4 *1733:10 *1733:11 515.173 -5 *1733:11 *1733:13 3.36879 -6 *1733:13 *1733:14 56.6453 -7 *1733:14 *2819:mgmt_gpio_in[35] 0.366399 -*END - -*D_NET *1734 0.54812 -*CONN -*I *2819:mgmt_gpio_in[36] I *D housekeeping -*I *2784:mgmt_gpio_in O *D gpio_control_block -*CAP -1 *2819:mgmt_gpio_in[36] 0.000118897 -2 *2784:mgmt_gpio_in 0.0011192 -3 *1734:26 0.00314049 -4 *1734:24 0.00383215 -5 *1734:19 0.080114 -6 *1734:18 0.0793035 -7 *1734:16 0.000770201 -8 *1734:15 0.0018894 -9 *1734:15 *2784:mgmt_gpio_oeb 0 -10 *1734:19 *1746:16 0.351835 -11 *1734:24 *1746:13 0.000538321 -12 *1734:26 *1745:7 0 -13 *1734:26 *1746:12 0 -14 *1734:26 *1746:13 0 -15 *1734:26 *1750:9 0.00245829 -16 *1734:26 *1751:7 0 -17 *109:26 *1734:16 0.00615398 -18 *648:37 *1734:15 8.27252e-05 -19 *1426:10 *1734:19 0.000266298 -20 *1429:10 *1734:19 0.0102183 -21 *1430:10 *1734:19 0.000128346 -22 *1432:10 *1734:19 0 -23 *1434:10 *1734:19 0 -24 *1436:10 *1734:19 0 -25 *1438:10 *1734:19 0 -26 *1721:27 *1734:16 0.0061504 -*RES -1 *2784:mgmt_gpio_in *1734:15 38.0995 -2 *1734:15 *1734:16 98.1705 -3 *1734:16 *1734:18 4.5 -4 *1734:18 *1734:19 3721 -5 *1734:19 *1734:24 33.7997 -6 *1734:24 *1734:26 99.514 -7 *1734:26 *2819:mgmt_gpio_in[36] 2.05183 -*END - -*D_NET *1735 0.591661 -*CONN -*I *2819:mgmt_gpio_in[37] I *D housekeeping -*I *2785:mgmt_gpio_in O *D gpio_control_block -*CAP -1 *2819:mgmt_gpio_in[37] 0.00116245 -2 *2785:mgmt_gpio_in 5.90925e-05 -3 *1735:13 0.0668256 -4 *1735:12 0.0656631 -5 *1735:10 0.0025571 -6 *1735:9 0.00261619 -7 *2819:mgmt_gpio_in[37] *1747:9 0 -8 *2819:mgmt_gpio_in[37] *1751:7 0 -9 *1735:13 *1747:10 0.365058 -10 *1735:13 *1823:29 0.0877192 -11 *1717:28 *1735:13 0 -*RES -1 *2785:mgmt_gpio_in *1735:9 3.59493 -2 *1735:9 *1735:10 72.2172 -3 *1735:10 *1735:12 4.5 -4 *1735:12 *1735:13 3819.72 -5 *1735:13 *2819:mgmt_gpio_in[37] 37.2194 -*END - -*D_NET *1736 0.113364 -*CONN -*I *2798:mgmt_gpio_out I *D gpio_control_block -*I *2798:mgmt_gpio_in O *D gpio_control_block -*I *2819:mgmt_gpio_in[3] I *D housekeeping -*I *2819:mgmt_gpio_out[3] O *D housekeeping -*CAP -1 *2798:mgmt_gpio_out 0.000251614 -2 *2798:mgmt_gpio_in 0.000121702 -3 *2819:mgmt_gpio_in[3] 0.000549922 -4 *2819:mgmt_gpio_out[3] 9.94281e-05 -5 *1736:26 0.000528324 -6 *1736:25 0.00152381 -7 *1736:20 0.0101385 -8 *1736:19 0.0102231 -9 *1736:5 0.00210277 -10 *2819:mgmt_gpio_in[3] *2537:12 0.00130366 -11 *1736:20 *1740:20 0.0415607 -12 *1736:20 *1741:20 0.0395848 -13 *1736:20 *1749:8 0 -14 *1736:25 *1985:15 0.00130248 -15 *678:8 *1736:20 0.000365823 -16 *1727:20 *1736:20 0.00370732 -*RES -1 *2819:mgmt_gpio_out[3] *1736:5 0.292658 -2 *1736:5 *2819:mgmt_gpio_in[3] 27.9638 -3 *1736:5 *1736:19 8.46702 -4 *1736:19 *1736:20 787.697 -5 *1736:20 *1736:25 46.8818 -6 *1736:25 *1736:26 4.11588 -7 *1736:26 *2798:mgmt_gpio_in 3.708 -8 *1736:26 *2798:mgmt_gpio_out 8.74894 -*END - -*D_NET *1737 0.130631 -*CONN -*I *2799:mgmt_gpio_out I *D gpio_control_block -*I *2799:mgmt_gpio_in O *D gpio_control_block -*I *2819:mgmt_gpio_in[4] I *D housekeeping -*I *2819:mgmt_gpio_out[4] O *D housekeeping -*CAP -1 *2799:mgmt_gpio_out 0.000251614 -2 *2799:mgmt_gpio_in 0.000121702 -3 *2819:mgmt_gpio_in[4] 0.000560334 -4 *2819:mgmt_gpio_out[4] 9.94281e-05 -5 *1737:26 0.000747402 -6 *1737:25 0.00180464 -7 *1737:20 0.0165652 -8 *1737:19 0.0170736 -9 *1737:5 0.00259874 -10 *2819:mgmt_gpio_in[4] *2537:12 0.00130366 -11 *1737:20 *1738:20 0.0621656 -12 *1737:20 *2280:11 0 -13 *1737:20 *2290:11 0.00249068 -14 *1737:20 *2432:14 0.00323017 -15 *1737:20 *2441:16 0.00295499 -16 *1737:20 *2470:14 0 -17 *116:49 *1737:20 0.00119093 -18 *616:56 *1737:20 0.0168018 -19 *1727:20 *1737:20 0.000670391 -*RES -1 *2819:mgmt_gpio_out[4] *1737:5 0.292658 -2 *1737:5 *2819:mgmt_gpio_in[4] 27.9638 -3 *1737:5 *1737:19 8.84947 -4 *1737:19 *1737:20 1025.64 -5 *1737:20 *1737:25 44.1088 -6 *1737:25 *1737:26 10.3447 -7 *1737:26 *2799:mgmt_gpio_in 3.708 -8 *1737:26 *2799:mgmt_gpio_out 8.74894 -*END - -*D_NET *1738 0.191939 -*CONN -*I *2800:mgmt_gpio_in O *D gpio_control_block -*I *2800:mgmt_gpio_out I *D gpio_control_block -*I *2819:mgmt_gpio_in[5] I *D housekeeping -*I *2819:mgmt_gpio_out[5] O *D housekeeping -*CAP -1 *2800:mgmt_gpio_in 5.76869e-05 -2 *2800:mgmt_gpio_out 0.000414297 -3 *2819:mgmt_gpio_in[5] 0.00130405 -4 *2819:mgmt_gpio_out[5] 0.00111302 -5 *1738:29 0.00135692 -6 *1738:20 0.0120187 -7 *1738:19 0.0117877 -8 *1738:5 0.003071 -9 *2800:mgmt_gpio_out *2822:mprj_io_slow_sel[5] 0.000494432 -10 *2800:mgmt_gpio_out *1987:13 2.45091e-05 -11 *2819:mgmt_gpio_in[5] *2619:16 0.000625184 -12 *1738:20 *1739:20 0.077966 -13 *1738:20 *2290:11 0 -14 *1738:20 *2442:14 0 -15 *1738:29 *2063:13 0 -16 *1738:29 *2253:8 0 -17 *113:72 *1738:20 0.0139163 -18 *116:49 *1738:20 0.00330545 -19 *653:60 *1738:20 0.000147411 -20 *697:8 *2819:mgmt_gpio_in[5] 0.00123963 -21 *1727:20 *1738:20 0.000931168 -22 *1737:20 *1738:20 0.0621656 -*RES -1 *2819:mgmt_gpio_out[5] *1738:5 3.65823 -2 *1738:5 *2819:mgmt_gpio_in[5] 31.3294 -3 *1738:5 *1738:19 5.40742 -4 *1738:19 *1738:20 1277.28 -5 *1738:20 *1738:29 30.7516 -6 *1738:29 *2800:mgmt_gpio_out 1.24546 -7 *1738:29 *2800:mgmt_gpio_in 0.177923 -*END - -*D_NET *1739 0.211491 -*CONN -*I *2801:mgmt_gpio_out I *D gpio_control_block -*I *2801:mgmt_gpio_in O *D gpio_control_block -*I *2819:mgmt_gpio_in[6] I *D housekeeping -*I *2819:mgmt_gpio_out[6] O *D housekeeping -*CAP -1 *2801:mgmt_gpio_out 0.000251614 -2 *2801:mgmt_gpio_in 0.000121702 -3 *2819:mgmt_gpio_in[6] 0.000549922 -4 *2819:mgmt_gpio_out[6] 9.94281e-05 -5 *1739:26 0.00185589 -6 *1739:20 0.0182636 -7 *1739:19 0.0183698 -8 *1739:5 0.0022381 -9 *2819:mgmt_gpio_in[6] *2537:12 0.00130366 -10 *1739:20 *1740:20 0.0122639 -11 *1739:20 *2292:11 0 -12 *1739:20 *2444:16 0 -13 *1739:26 *1988:13 0.000998917 -14 *2800:serial_clock *1739:20 0.000353972 -15 *2800:serial_load *1739:20 0.000356541 -16 *113:72 *1739:20 0.00115938 -17 *116:49 *1739:20 0.00554684 -18 *658:59 *1739:20 0.0434379 -19 *672:14 *1739:20 0 -20 *1727:20 *1739:20 0.0263543 -21 *1738:20 *1739:20 0.077966 -*RES -1 *2819:mgmt_gpio_out[6] *1739:5 0.292658 -2 *1739:5 *2819:mgmt_gpio_in[6] 27.9638 -3 *1739:5 *1739:19 8.69649 -4 *1739:19 *1739:20 1520.2 -5 *1739:20 *1739:26 49.3339 -6 *1739:26 *2801:mgmt_gpio_in 3.708 -7 *1739:26 *2801:mgmt_gpio_out 8.74894 -*END - -*D_NET *1740 0.281552 -*CONN -*I *2802:mgmt_gpio_out I *D gpio_control_block -*I *2802:mgmt_gpio_in O *D gpio_control_block -*I *2819:mgmt_gpio_in[7] I *D housekeeping -*I *2819:mgmt_gpio_out[7] O *D housekeeping -*CAP -1 *2802:mgmt_gpio_out 0.000109097 -2 *2802:mgmt_gpio_in 6.09072e-05 -3 *2819:mgmt_gpio_in[7] 0.000542504 -4 *2819:mgmt_gpio_out[7] 9.94281e-05 -5 *1740:25 0.000930232 -6 *1740:20 0.0188563 -7 *1740:19 0.0196219 -8 *1740:5 0.00216775 -9 *2802:mgmt_gpio_out *1989:13 3.27908e-05 -10 *2819:mgmt_gpio_in[7] *2537:12 0.00125157 -11 *1740:20 *1741:20 0.00394136 -12 *101:54 *1740:20 0.0773605 -13 *655:51 *2802:mgmt_gpio_out 0.000474471 -14 *658:59 *1740:20 0.077383 -15 *674:10 *2802:mgmt_gpio_out 0.000465214 -16 *1727:20 *1740:20 0.0244305 -17 *1736:20 *1740:20 0.0415607 -18 *1739:20 *1740:20 0.0122639 -*RES -1 *2819:mgmt_gpio_out[7] *1740:5 0.292658 -2 *1740:5 *2819:mgmt_gpio_in[7] 27.1333 -3 *1740:5 *1740:19 8.54351 -4 *1740:19 *1740:20 2030.96 -5 *1740:20 *1740:25 28.5799 -6 *1740:25 *2802:mgmt_gpio_in 3.58495 -7 *1740:25 *2802:mgmt_gpio_out 11.2305 -*END - -*D_NET *1741 0.32514 -*CONN -*I *2786:mgmt_gpio_out I *D gpio_control_block -*I *2786:mgmt_gpio_in O *D gpio_control_block -*I *2819:mgmt_gpio_in[8] I *D housekeeping -*I *2819:mgmt_gpio_out[8] O *D housekeeping -*CAP -1 *2786:mgmt_gpio_out 0.000266387 -2 *2786:mgmt_gpio_in 9.7115e-05 -3 *2819:mgmt_gpio_in[8] 0.000549922 -4 *2819:mgmt_gpio_out[8] 9.94281e-05 -5 *1741:26 0.000474695 -6 *1741:25 0.00166355 -7 *1741:20 0.020213 -8 *1741:19 0.0201444 -9 *1741:5 0.00213303 -10 *2819:mgmt_gpio_in[8] *2537:12 0.00130366 -11 *1741:20 *1742:20 0.139936 -12 *1741:20 *1749:8 0.000653095 -13 *101:54 *1741:20 0.0940791 -14 *1736:20 *1741:20 0.0395848 -15 *1740:20 *1741:20 0.00394136 -*RES -1 *2819:mgmt_gpio_out[8] *1741:5 0.292658 -2 *1741:5 *2819:mgmt_gpio_in[8] 27.9638 -3 *1741:5 *1741:19 8.39053 -4 *1741:19 *1741:20 2266.41 -5 *1741:20 *1741:25 47.4364 -6 *1741:25 *1741:26 2.87013 -7 *1741:26 *2786:mgmt_gpio_in 3.65147 -8 *1741:26 *2786:mgmt_gpio_out 8.80548 -*END - -*D_NET *1742 0.355847 -*CONN -*I *2788:mgmt_gpio_out I *D gpio_control_block -*I *2788:mgmt_gpio_in O *D gpio_control_block -*I *2819:mgmt_gpio_in[9] I *D housekeeping -*I *2819:mgmt_gpio_out[9] O *D housekeeping -*CAP -1 *2788:mgmt_gpio_out 0.000251614 -2 *2788:mgmt_gpio_in 0.000121702 -3 *2819:mgmt_gpio_in[9] 0.000549922 -4 *2819:mgmt_gpio_out[9] 9.94281e-05 -5 *1742:26 0.000674376 -6 *1742:25 0.0018273 -7 *1742:20 0.0225302 -8 *1742:19 0.0224677 -9 *1742:5 0.00211305 -10 *2819:mgmt_gpio_in[9] *2537:12 0.00130366 -11 *1742:20 *1749:8 0.000956555 -12 *101:54 *1742:20 0.00819502 -13 *1706:20 *1742:20 0.15482 -14 *1741:20 *1742:20 0.139936 -*RES -1 *2819:mgmt_gpio_out[9] *1742:5 0.292658 -2 *1742:5 *2819:mgmt_gpio_in[9] 27.9638 -3 *1742:5 *1742:19 8.31404 -4 *1742:19 *1742:20 2505.18 -5 *1742:20 *1742:25 47.991 -6 *1742:25 *1742:26 8.2684 -7 *1742:26 *2788:mgmt_gpio_in 3.708 -8 *1742:26 *2788:mgmt_gpio_out 8.74894 -*END - -*D_NET *1743 0.0100594 -*CONN -*I *2781:mgmt_gpio_oeb I *D gpio_control_block -*I *2819:mgmt_gpio_oeb[0] O *D housekeeping -*CAP -1 *2781:mgmt_gpio_oeb 0.000935513 -2 *2819:mgmt_gpio_oeb[0] 0.00152346 -3 *1743:8 0.00349018 -4 *1743:7 0.00407813 -5 *2781:mgmt_gpio_oeb *2781:mgmt_gpio_out 2.72062e-05 -6 *2781:mgmt_gpio_oeb *2334:8 4.89469e-06 -7 *1743:8 *2093:14 0 -8 *678:8 *1743:8 0 -9 *1705:13 *2781:mgmt_gpio_oeb 0 -*RES -1 *2819:mgmt_gpio_oeb[0] *1743:7 8.86278 -2 *1743:7 *1743:8 72.2172 -3 *1743:8 *2781:mgmt_gpio_oeb 31.9889 -*END - -*D_NET *1744 0.04849 -*CONN -*I *2782:mgmt_gpio_oeb I *D gpio_control_block -*I *2819:mgmt_gpio_oeb[1] O *D housekeeping -*CAP -1 *2782:mgmt_gpio_oeb 0.001243 -2 *2819:mgmt_gpio_oeb[1] 0.00136359 -3 *1744:8 0.00408825 -4 *1744:7 0.00420884 -5 *2782:mgmt_gpio_oeb *2782:mgmt_gpio_out 2.72062e-05 -6 *2782:mgmt_gpio_oeb *2345:8 4.89469e-06 -7 *1744:8 *1749:8 0.00159632 -8 *100:56 *1744:8 0.0141746 -9 *659:25 *1744:8 0.000152316 -10 *1705:14 *1744:8 0.00222522 -11 *1716:13 *2782:mgmt_gpio_oeb 0 -12 *1716:14 *1744:8 0.0194057 -*RES -1 *2819:mgmt_gpio_oeb[1] *1744:7 8.25085 -2 *1744:7 *1744:8 316.386 -3 *1744:8 *2782:mgmt_gpio_oeb 36.4257 -*END - -*D_NET *1745 0.823335 -*CONN -*I *2783:mgmt_gpio_oeb I *D gpio_control_block -*I *2819:mgmt_gpio_oeb[35] O *D housekeeping -*CAP -1 *2783:mgmt_gpio_oeb 0.000586635 -2 *2819:mgmt_gpio_oeb[35] 1.60434e-05 -3 *1745:13 0.0100242 -4 *1745:12 0.00943752 -5 *1745:10 0.166655 -6 *1745:9 0.166655 -7 *1745:7 0.00207088 -8 *1745:5 0.00208693 -9 *2783:mgmt_gpio_oeb *2783:mgmt_gpio_out 0.000153603 -10 *1745:7 *1746:13 0 -11 *1745:7 *1750:9 0 -12 *1745:10 *2489:10 0 -13 *1745:13 *1982:14 0.000579555 -14 *1745:13 *2020:14 0.000576072 -15 *1745:13 *2058:8 0.000950463 -16 *1745:13 *2075:8 0.000530855 -17 *1745:13 *2080:15 0.000259922 -18 *1745:13 *2080:16 0.000774583 -19 *1745:13 *2210:8 0.00060588 -20 *1745:13 *2211:13 0.000257706 -21 *1745:13 *2211:14 0.000799976 -22 *1745:13 *2286:11 0.000325321 -23 *1745:13 *2324:8 0.000847761 -24 *1745:13 *2325:10 0.000287339 -25 *1745:13 *2325:12 0.000704155 -26 *1745:13 *2401:18 0.00111963 -27 *1745:13 *2439:8 0.000639764 -28 *1745:13 *2477:16 0.00100413 -29 *110:49 *1745:10 0.000700977 -30 *619:20 *1745:13 0.000151739 -31 *687:16 *1745:13 0.00235152 -32 *801:8 *1745:10 0.000107152 -33 *802:8 *1745:10 0.000107595 -34 *803:8 *1745:10 0.000107152 -35 *804:10 *1745:10 0.000536294 -36 *805:8 *1745:10 0.000315895 -37 *1721:24 *1745:10 0.00443444 -38 *1723:24 *1745:10 0.00604628 -39 *1724:28 *1745:10 0.00709644 -40 *1733:7 *2783:mgmt_gpio_oeb 0 -41 *1733:8 *1745:13 0.0296861 -42 *1733:11 *1745:10 0.403744 -43 *1734:26 *1745:7 0 -*RES -1 *2819:mgmt_gpio_oeb[35] *1745:5 0.366399 -2 *1745:5 *1745:7 57.4758 -3 *1745:7 *1745:9 3.36879 -4 *1745:9 *1745:10 515.402 -5 *1745:10 *1745:12 0.376635 -6 *1745:12 *1745:13 59.8314 -7 *1745:13 *2783:mgmt_gpio_oeb 1.7784 -*END - -*D_NET *1746 0.784665 -*CONN -*I *2784:mgmt_gpio_oeb I *D gpio_control_block -*I *2819:mgmt_gpio_oeb[36] O *D housekeeping -*CAP -1 *2784:mgmt_gpio_oeb 0.00120372 -2 *2819:mgmt_gpio_oeb[36] 0.000406161 -3 *1746:19 0.00201158 -4 *1746:18 0.000807861 -5 *1746:16 0.0258495 -6 *1746:15 0.0258495 -7 *1746:13 0.00279393 -8 *1746:12 0.0032001 -9 *2784:mgmt_gpio_oeb *2784:mgmt_gpio_out 1.29018e-05 -10 *1746:12 *1751:7 0 -11 *1746:13 *1751:13 0.002031 -12 *1746:16 *1751:16 0.351682 -13 *1746:19 *1751:19 0.00641444 -14 *1746:19 *2725:14 9.2346e-06 -15 *108:74 *2784:mgmt_gpio_oeb 0 -16 *648:37 *2784:mgmt_gpio_oeb 0 -17 *697:29 *1746:12 5.55031e-05 -18 *697:32 *1746:13 0 -19 *1721:27 *1746:19 0.00644048 -20 *1733:14 *1746:13 0.00352285 -21 *1734:15 *2784:mgmt_gpio_oeb 0 -22 *1734:19 *1746:16 0.351835 -23 *1734:24 *1746:13 0.000538321 -24 *1734:26 *1746:12 0 -25 *1734:26 *1746:13 0 -26 *1745:7 *1746:13 0 -*RES -1 *2819:mgmt_gpio_oeb[36] *1746:12 19.9183 -2 *1746:12 *1746:13 124.747 -3 *1746:13 *1746:15 4.5 -4 *1746:15 *1746:16 3718.23 -5 *1746:16 *1746:18 4.5 -6 *1746:18 *1746:19 102.738 -7 *1746:19 *2784:mgmt_gpio_oeb 39.2087 -*END - -*D_NET *1747 0.573714 -*CONN -*I *2785:mgmt_gpio_oeb I *D gpio_control_block -*I *2819:mgmt_gpio_oeb[37] O *D housekeeping -*CAP -1 *2785:mgmt_gpio_oeb 0.00312882 -2 *2819:mgmt_gpio_oeb[37] 0.00114102 -3 *1747:13 0.00581922 -4 *1747:12 0.00269039 -5 *1747:10 0.0825353 -6 *1747:9 0.0836763 -7 *2785:mgmt_gpio_oeb *2785:mgmt_gpio_out 0.000626464 -8 *2785:mgmt_gpio_oeb *1752:28 0.0143514 -9 *1747:9 *1752:7 0 -10 *1747:10 *2785:user_gpio_out 0.00253968 -11 *1747:13 *1752:28 0.000350843 -12 *2819:mgmt_gpio_in[37] *1747:9 0 -13 *99:11 *1747:10 0.0102575 -14 *1720:30 *1747:10 0.00142877 -15 *1732:24 *1747:10 0.000110147 -16 *1735:13 *1747:10 0.365058 -*RES -1 *2819:mgmt_gpio_oeb[37] *1747:9 36.8042 -2 *1747:9 *1747:10 3963.92 -3 *1747:10 *1747:12 4.5 -4 *1747:12 *1747:13 79.2765 -5 *1747:13 *2785:mgmt_gpio_oeb 38.8372 -*END - -*D_NET *1748 0.00980666 -*CONN -*I *2781:mgmt_gpio_out I *D gpio_control_block -*I *2819:mgmt_gpio_out[0] O *D housekeeping -*CAP -1 *2781:mgmt_gpio_out 0.00114456 -2 *2819:mgmt_gpio_out[0] 0.00117642 -3 *1748:8 0.00343637 -4 *1748:7 0.00346823 -5 *2781:mgmt_gpio_out *1954:13 0.000548988 -6 *2781:mgmt_gpio_out *2334:8 4.89469e-06 -7 *2781:mgmt_gpio_oeb *2781:mgmt_gpio_out 2.72062e-05 -8 *119:8 *1748:8 0 -9 *659:8 *1748:8 0 -*RES -1 *2819:mgmt_gpio_out[0] *1748:7 7.63893 -2 *1748:7 *1748:8 63.9122 -3 *1748:8 *2781:mgmt_gpio_out 40.8625 -*END - -*D_NET *1749 0.041059 -*CONN -*I *2782:mgmt_gpio_out I *D gpio_control_block -*I *2819:mgmt_gpio_out[1] O *D housekeeping -*CAP -1 *2782:mgmt_gpio_out 0.00108439 -2 *2819:mgmt_gpio_out[1] 0.00142871 -3 *1749:8 0.00600089 -4 *1749:7 0.0063452 -5 *2782:mgmt_gpio_out *1965:13 0.000229211 -6 *2782:mgmt_gpio_out *2345:8 4.89469e-06 -7 *2782:mgmt_gpio_oeb *2782:mgmt_gpio_out 2.72062e-05 -8 *100:56 *1749:8 0.0143258 -9 *678:8 *1749:8 0.00499993 -10 *1705:14 *1749:8 0.00160428 -11 *1706:20 *1749:8 0.00180248 -12 *1736:20 *1749:8 0 -13 *1741:20 *1749:8 0.000653095 -14 *1742:20 *1749:8 0.000956555 -15 *1744:8 *1749:8 0.00159632 -*RES -1 *2819:mgmt_gpio_out[1] *1749:7 8.40384 -2 *1749:7 *1749:8 308.911 -3 *1749:8 *2782:mgmt_gpio_out 35.3165 -*END - -*D_NET *1750 0.588425 -*CONN -*I *2783:mgmt_gpio_out I *D gpio_control_block -*I *2819:mgmt_gpio_out[35] O *D housekeeping -*CAP -1 *2783:mgmt_gpio_out 0.00510101 -2 *2819:mgmt_gpio_out[35] 0.000881449 -3 *1750:13 0.0145741 -4 *1750:12 0.00947304 -5 *1750:10 0.066618 -6 *1750:9 0.0674995 -7 *2783:mgmt_gpio_out *1982:13 3.23036e-05 -8 *2783:mgmt_gpio_out *2058:7 0 -9 *1750:10 *1823:27 0.000282852 -10 *1750:10 *1889:27 0.00244202 -11 *1750:10 *1894:27 0 -12 *1750:10 *1896:26 0.000374691 -13 *1750:10 *1896:28 0.000102255 -14 *1750:10 *1898:27 0.000807497 -15 *1750:10 *1900:31 0.000459466 -16 *1750:10 *1902:31 0.000773072 -17 *1750:10 *1904:33 0.00137154 -18 *1750:10 *1906:25 0.0444278 -19 *1750:10 *1914:27 0.000819759 -20 *1750:10 *1914:29 0 -21 *1750:10 *1916:27 0.000537176 -22 *1750:10 *1916:29 0 -23 *1750:10 *1918:27 0 -24 *1750:10 *1920:27 0.000120367 -25 *1750:10 *1920:29 0 -26 *2783:mgmt_gpio_oeb *2783:mgmt_gpio_out 0.000153603 -27 *99:10 *1750:10 0.000347349 -28 *103:24 *1750:13 0.00490599 -29 *108:26 *1750:13 0.0147427 -30 *630:23 *1750:13 2.23142e-05 -31 *1350:7 *1750:13 0.00127456 -32 *1717:28 *1750:10 0 -33 *1722:27 *1750:13 0 -34 *1729:24 *1750:10 0.34274 -35 *1729:27 *1750:13 0.00170309 -36 *1729:31 *1750:13 0.00337934 -37 *1734:26 *1750:9 0.00245829 -38 *1745:7 *1750:9 0 -*RES -1 *2819:mgmt_gpio_out[35] *1750:9 44.2787 -2 *1750:9 *1750:10 3624.5 -3 *1750:10 *1750:12 4.5 -4 *1750:12 *1750:13 455.08 -5 *1750:13 *2783:mgmt_gpio_out 20.6423 -*END - -*D_NET *1751 0.783011 -*CONN -*I *2784:mgmt_gpio_out I *D gpio_control_block -*I *2819:mgmt_gpio_out[36] O *D housekeeping -*CAP -1 *2784:mgmt_gpio_out 0.00122742 -2 *2819:mgmt_gpio_out[36] 9.68355e-05 -3 *1751:19 0.00206269 -4 *1751:18 0.000835269 -5 *1751:16 0.0260719 -6 *1751:15 0.0260719 -7 *1751:13 0.00197791 -8 *1751:12 0.00228202 -9 *1751:7 0.00202573 -10 *1751:5 0.00181845 -11 *1751:12 *1752:12 0.00115452 -12 *1751:19 *2725:14 0.00656713 -13 *2784:mgmt_gpio_oeb *2784:mgmt_gpio_out 1.29018e-05 -14 *2819:mgmt_gpio_in[37] *1751:7 0 -15 *1721:27 *1751:19 7.12527e-05 -16 *1731:24 *1751:16 1.65872e-05 -17 *1732:28 *1751:16 0.350002 -18 *1733:14 *1751:13 0.000588489 -19 *1734:26 *1751:7 0 -20 *1746:12 *1751:7 0 -21 *1746:13 *1751:13 0.002031 -22 *1746:16 *1751:16 0.351682 -23 *1746:19 *1751:19 0.00641444 -*RES -1 *2819:mgmt_gpio_out[36] *1751:5 2.05183 -2 *1751:5 *1751:7 48.1326 -3 *1751:7 *1751:12 21.3701 -4 *1751:12 *1751:13 77.4079 -5 *1751:13 *1751:15 4.5 -6 *1751:15 *1751:16 3716.57 -7 *1751:16 *1751:18 4.5 -8 *1751:18 *1751:19 104.815 -9 *1751:19 *2784:mgmt_gpio_out 39.7633 -*END - -*D_NET *1752 0.347611 -*CONN -*I *2785:mgmt_gpio_out I *D gpio_control_block -*I *2819:mgmt_gpio_out[37] O *D housekeeping -*CAP -1 *2785:mgmt_gpio_out 0.000450393 -2 *2819:mgmt_gpio_out[37] 0.00167263 -3 *1752:28 0.00421475 -4 *1752:17 0.00669436 -5 *1752:16 0.00293 -6 *1752:14 0.137178 -7 *1752:12 0.138378 -8 *1752:7 0.00287255 -9 *1752:7 *2537:12 0 -10 *1752:14 *1753:16 0.000264572 -11 *1752:14 *1757:10 0.030574 -12 *1752:14 *1758:31 0.000399411 -13 *1752:14 *1759:10 1.59204e-05 -14 *1752:14 *1760:10 0 -15 *1752:14 *1761:10 0 -16 *1752:14 *1762:10 0 -17 *1752:14 *1768:10 6.44576e-05 -18 *1752:14 *2498:11 0 -19 *2785:mgmt_gpio_oeb *2785:mgmt_gpio_out 0.000626464 -20 *2785:mgmt_gpio_oeb *1752:28 0.0143514 -21 *648:88 *1752:14 0.00161958 -22 *1718:28 *1752:14 0.000378828 -23 *1719:30 *1752:14 0.00342103 -24 *1747:9 *1752:7 0 -25 *1747:13 *1752:28 0.000350843 -26 *1751:12 *1752:12 0.00115452 -*RES -1 *2819:mgmt_gpio_out[37] *1752:7 47.2533 -2 *1752:7 *1752:12 41.8513 -3 *1752:12 *1752:14 3946.73 -4 *1752:14 *1752:16 4.5 -5 *1752:16 *1752:17 83.0138 -6 *1752:17 *1752:28 46.7793 -7 *1752:28 *2785:mgmt_gpio_out 17.1671 -*END - -*D_NET *1753 0.229244 -*CONN -*I *2819:usr2_vcc_pwrgood I *D housekeeping -*I *2820:user2_vcc_powergood O *D mgmt_protect -*CAP -1 *2819:usr2_vcc_pwrgood 0.00152469 -2 *2820:user2_vcc_powergood 0.00127867 -3 *1753:17 0.0123405 -4 *1753:16 0.0110416 -5 *1753:8 0.00443026 -6 *1753:7 0.00548314 -7 *2819:usr2_vcc_pwrgood *2819:usr1_vcc_pwrgood 0 -8 *2819:usr2_vcc_pwrgood *2819:usr1_vdd_pwrgood 0 -9 *1753:8 *1754:8 0.00482218 -10 *1753:8 *1754:12 0.000126926 -11 *1753:8 *2498:8 0.00158402 -12 *1753:16 *1754:12 0 -13 *1753:16 *2497:8 0.00035801 -14 *1753:17 *1754:15 0.0573071 -15 *1753:17 *1900:31 0.000691706 -16 *1753:17 *2497:11 0.127174 -17 *1753:17 *2498:11 1.59204e-05 -18 *1042:9 *1753:8 0.000696135 -19 *1299:7 *1753:16 0.000104724 -20 *1752:14 *1753:16 0.000264572 -*RES -1 *2820:user2_vcc_powergood *1753:7 8.17437 -2 *1753:7 *1753:8 134.505 -3 *1753:8 *1753:16 22.8416 -4 *1753:16 *1753:17 1335.65 -5 *1753:17 *2819:usr2_vcc_pwrgood 46.355 -*END - -*D_NET *1754 0.232115 -*CONN -*I *2819:usr2_vdd_pwrgood I *D housekeeping -*I *2820:user2_vdd_powergood O *D mgmt_protect -*CAP -1 *2819:usr2_vdd_pwrgood 0.00148867 -2 *2820:user2_vdd_powergood 0.00124611 -3 *1754:15 0.0124868 -4 *1754:14 0.0109982 -5 *1754:12 0.00248252 -6 *1754:10 0.00257438 -7 *1754:8 0.00316191 -8 *1754:7 0.00431616 -9 *2819:usr2_vdd_pwrgood *2819:usr1_vdd_pwrgood 0 -10 *1754:12 *2497:8 0 -11 *1754:12 *2498:8 0 -12 *1754:15 *1900:31 0.000695903 -13 *1754:15 *2497:11 1.41291e-05 -14 *1754:15 *2498:11 0 -15 *2819:mgmt_gpio_in[20] *2819:usr2_vdd_pwrgood 0 -16 *1042:9 *1754:12 0.00201436 -17 *1426:7 *1754:8 0 -18 *1426:7 *1754:12 0 -19 *1722:24 *1754:15 0.12838 -20 *1753:8 *1754:8 0.00482218 -21 *1753:8 *1754:12 0.000126926 -22 *1753:16 *1754:12 0 -23 *1753:17 *1754:15 0.0573071 -*RES -1 *2820:user2_vdd_powergood *1754:7 8.09787 -2 *1754:7 *1754:8 102.641 -3 *1754:8 *1754:10 1.29461 -4 *1754:10 *1754:12 58.1964 -5 *1754:12 *1754:14 4.5 -6 *1754:14 *1754:15 1345.64 -7 *1754:15 *2819:usr2_vdd_pwrgood 45.5245 -*END - -*D_NET *1755 0.142548 -*CONN -*I *2826:mprj_ack_i I *D mgmt_core_wrapper -*I *2820:mprj_ack_i_core O *D mgmt_protect -*CAP -1 *2826:mprj_ack_i 1.60434e-05 -2 *2820:mprj_ack_i_core 0.000566044 -3 *1755:11 0.00351153 -4 *1755:10 0.00349548 -5 *1755:8 0.00501778 -6 *1755:7 0.00558382 -7 *1755:7 *2820:mprj_cyc_o_core 0.000223188 -8 *1755:8 *1757:24 0.060905 -9 *1755:8 *2489:24 0.00399976 -10 *1755:11 *1823:9 0 -11 *2820:la_oenb_mprj[127] *1755:7 0 -12 *1297:20 *1755:8 0.000193373 -13 *1319:10 *1755:8 0.000427125 -14 *1446:10 *1755:8 0.0586085 -*RES -1 *2820:mprj_ack_i_core *1755:7 19.3269 -2 *1755:7 *1755:8 652.383 -3 *1755:8 *1755:10 4.5 -4 *1755:10 *1755:11 72.6325 -5 *1755:11 *2826:mprj_ack_i 0.366399 -*END - -*D_NET *1756 0.0955463 -*CONN -*I *2820:mprj_ack_i_user I *D mgmt_protect -*I *2821:wbs_ack_o O *D user_project_wrapper -*CAP -1 *2820:mprj_ack_i_user 0.000438682 -2 *2821:wbs_ack_o 0.00249597 -3 *1756:8 0.0168995 -4 *1756:7 0.0164609 -5 *1756:5 0.00249597 -6 *2820:mprj_ack_i_user *1824:7 0 -7 *2820:mprj_ack_i_user *2486:7 0 -8 *1756:8 *1789:8 0.0105528 -9 *1756:8 *1790:8 0.0462025 -*RES -1 *2821:wbs_ack_o *1756:5 70.0555 -2 *1756:5 *1756:7 4.5 -3 *1756:7 *1756:8 753.875 -4 *1756:8 *2820:mprj_ack_i_user 16.8354 -*END - -*D_NET *1757 0.256926 -*CONN -*I *2820:mprj_adr_o_core[0] I *D mgmt_protect -*I *2819:wb_adr_i[0] I *D housekeeping -*I *2826:mprj_adr_o[0] O *D mgmt_core_wrapper -*CAP -1 *2820:mprj_adr_o_core[0] 0.000681919 -2 *2819:wb_adr_i[0] 0.00191547 -3 *2826:mprj_adr_o[0] 0.000170028 -4 *1757:24 0.00620713 -5 *1757:23 0.00552521 -6 *1757:21 0.00329444 -7 *1757:12 0.00191547 -8 *1757:10 0.00686329 -9 *1757:9 0.00686329 -10 *1757:7 0.00346447 -11 *2819:wb_adr_i[0] *2819:wb_adr_i[1] 0 -12 *2820:mprj_adr_o_core[0] *2820:mprj_we_o_core 0 -13 *2820:mprj_adr_o_core[0] *1825:7 0 -14 *1757:7 *1825:17 0 -15 *1757:7 *2499:9 0 -16 *1757:7 *2499:25 0 -17 *1757:10 *1768:10 0.0665378 -18 *1757:21 *1825:17 0 -19 *1757:21 *2499:9 0 -20 *1757:24 *1763:24 0.0535137 -21 *1757:24 *1787:30 0.00180021 -22 *1757:24 *2489:24 0.00474266 -23 *778:8 *1757:24 3.13797e-05 -24 *783:8 *1757:24 0.000331969 -25 *1297:20 *1757:24 0.00158869 -26 *1752:14 *1757:10 0.030574 -27 *1755:8 *1757:24 0.060905 -*RES -1 *2826:mprj_adr_o[0] *1757:7 4.48228 -2 *1757:7 *1757:9 4.5 -3 *1757:9 *1757:10 720.599 -4 *1757:10 *1757:12 4.5 -5 *1757:12 *2819:wb_adr_i[0] 48.499 -6 *1757:7 *1757:21 68.2723 -7 *1757:21 *1757:23 4.5 -8 *1757:23 *1757:24 669.021 -9 *1757:24 *2820:mprj_adr_o_core[0] 19.7422 -*END - -*D_NET *1758 0.270059 -*CONN -*I *2819:wb_adr_i[10] I *D housekeeping -*I *2820:mprj_adr_o_core[10] I *D mgmt_protect -*I *2826:mprj_adr_o[10] O *D mgmt_core_wrapper -*CAP -1 *2819:wb_adr_i[10] 0.00194468 -2 *2820:mprj_adr_o_core[10] 0.00160634 -3 *2826:mprj_adr_o[10] 0.000215312 -4 *1758:33 0.00194468 -5 *1758:31 0.00432644 -6 *1758:22 0.00312741 -7 *1758:21 0.00211635 -8 *1758:16 0.0104481 -9 *1758:15 0.0113419 -10 *1758:12 0.00180769 -11 *1758:9 0.00486035 -12 *2819:wb_adr_i[10] *2819:wb_adr_i[11] 0 -13 *2819:wb_adr_i[10] *1788:34 0 -14 *2820:mprj_adr_o_core[10] *2820:mprj_dat_o_core[9] 0 -15 *2820:mprj_adr_o_core[10] *1826:5 0 -16 *1758:9 *2826:mprj_dat_i[10] 0 -17 *1758:9 *1920:27 0 -18 *1758:12 *1768:10 0.00132675 -19 *1758:15 *1788:9 0.00103034 -20 *1758:15 *1856:11 0 -21 *1758:16 *1781:24 0.0051365 -22 *1758:16 *1889:10 0 -23 *1758:16 *1911:16 0 -24 *1758:16 *2488:10 0 -25 *1758:16 *2490:24 0 -26 *1758:21 *2820:mprj_dat_o_core[31] 0 -27 *1758:21 *1849:7 0.000493003 -28 *1758:22 *1759:24 0.000354786 -29 *1758:22 *1769:30 0.000386719 -30 *1758:31 *1759:10 0.052944 -31 *1758:31 *1768:10 0.0522717 -32 *807:8 *1758:22 0.00511711 -33 *1043:10 *1758:16 0.000405492 -34 *1048:10 *1758:22 0.0128474 -35 *1061:10 *1758:16 0.0418538 -36 *1063:10 *1758:16 0.0463152 -37 *1299:10 *1758:16 0.000401678 -38 *1304:10 *1758:16 0.000393197 -39 *1318:16 *1758:22 0.00269251 -40 *1440:10 *1758:16 0.00195048 -41 *1752:14 *1758:31 0.000399411 -*RES -1 *2826:mprj_adr_o[10] *1758:9 9.81279 -2 *1758:9 *1758:12 18.5339 -3 *1758:12 *1758:15 41.9506 -4 *1758:15 *1758:16 85.107 -5 *1758:16 *1758:21 19.4592 -6 *1758:21 *1758:22 137.71 -7 *1758:22 *2820:mprj_adr_o_core[10] 37.1828 -8 *1758:9 *1758:31 575.847 -9 *1758:31 *1758:33 4.5 -10 *1758:33 *2819:wb_adr_i[10] 49.3295 -*END - -*D_NET *1759 0.255138 -*CONN -*I *2820:mprj_adr_o_core[11] I *D mgmt_protect -*I *2819:wb_adr_i[11] I *D housekeeping -*I *2826:mprj_adr_o[11] O *D mgmt_core_wrapper -*CAP -1 *2820:mprj_adr_o_core[11] 0.00151757 -2 *2819:wb_adr_i[11] 0.0019627 -3 *2826:mprj_adr_o[11] 0.000259765 -4 *1759:24 0.0221458 -5 *1759:23 0.0206282 -6 *1759:21 0.00189904 -7 *1759:12 0.0019627 -8 *1759:10 0.00395636 -9 *1759:9 0.00395636 -10 *1759:7 0.00215881 -11 *2819:wb_adr_i[11] *1760:13 0 -12 *2820:mprj_adr_o_core[11] *2820:mprj_dat_o_core[10] 0.000276202 -13 *2820:mprj_adr_o_core[11] *2820:mprj_dat_o_core[11] 0 -14 *2820:mprj_adr_o_core[11] *1827:7 0 -15 *1759:7 *1827:17 0.000140751 -16 *1759:7 *1890:9 0 -17 *1759:10 *1760:10 0.0520075 -18 *1759:21 *1827:17 0.00104369 -19 *1759:21 *1890:9 0 -20 *1759:21 *1907:29 6.99045e-05 -21 *1759:21 *1909:29 4.83562e-06 -22 *1759:24 *1760:24 0.0838504 -23 *1759:24 *1765:22 0.000898461 -24 *1759:24 *1769:30 0.00106055 -25 *1759:24 *1848:8 0 -26 *1759:24 *1900:10 0 -27 *1759:24 *1905:22 0 -28 *1759:24 *2487:16 0 -29 *2819:wb_adr_i[10] *2819:wb_adr_i[11] 0 -30 *778:13 *2820:mprj_adr_o_core[11] 0 -31 *778:17 *2820:mprj_adr_o_core[11] 7.10146e-06 -32 *1048:10 *1759:24 0.00201625 -33 *1752:14 *1759:10 1.59204e-05 -34 *1758:22 *1759:24 0.000354786 -35 *1758:31 *1759:10 0.052944 -*RES -1 *2826:mprj_adr_o[11] *1759:7 7.41347 -2 *1759:7 *1759:9 4.5 -3 *1759:9 *1759:10 560.873 -4 *1759:10 *1759:12 4.5 -5 *1759:12 *2819:wb_adr_i[11] 49.7448 -6 *1759:7 *1759:21 48.9631 -7 *1759:21 *1759:23 3.36879 -8 *1759:23 *1759:24 107.595 -9 *1759:24 *2820:mprj_adr_o_core[11] 36.6745 -*END - -*D_NET *1760 0.312896 -*CONN -*I *2820:mprj_adr_o_core[12] I *D mgmt_protect -*I *2819:wb_adr_i[12] I *D housekeeping -*I *2826:mprj_adr_o[12] O *D mgmt_core_wrapper -*CAP -1 *2820:mprj_adr_o_core[12] 0.001701 -2 *2819:wb_adr_i[12] 1.28869e-05 -3 *2826:mprj_adr_o[12] 0.000258184 -4 *1760:24 0.00915702 -5 *1760:23 0.00745602 -6 *1760:21 0.00224469 -7 *1760:13 0.0019763 -8 *1760:12 0.00196342 -9 *1760:10 0.00392574 -10 *1760:9 0.00392574 -11 *1760:7 0.00250288 -12 *2820:mprj_adr_o_core[12] *2820:mprj_dat_o_core[11] 0 -13 *2820:mprj_adr_o_core[12] *1828:5 0 -14 *1760:7 *2826:mprj_dat_i[12] 0 -15 *1760:10 *1761:10 0.0508053 -16 *1760:13 *1761:13 0 -17 *1760:21 *2826:mprj_dat_i[12] 0 -18 *1760:24 *1761:24 0.000255472 -19 *1760:24 *1762:24 0.083845 -20 *1760:24 *2487:10 0.000702377 -21 *2819:wb_adr_i[11] *1760:13 0 -22 *1047:10 *1760:24 0.00146265 -23 *1062:10 *1760:24 0 -24 *1306:10 *1760:24 0.00229466 -25 *1445:10 *1760:24 0.00254883 -26 *1752:14 *1760:10 0 -27 *1759:10 *1760:10 0.0520075 -28 *1759:24 *1760:24 0.0838504 -*RES -1 *2826:mprj_adr_o[12] *1760:7 6.14329 -2 *1760:7 *1760:9 4.5 -3 *1760:9 *1760:10 550.89 -4 *1760:10 *1760:12 4.5 -5 *1760:12 *1760:13 49.7936 -6 *1760:13 *2819:wb_adr_i[12] 0.366399 -7 *1760:7 *1760:21 47.7174 -8 *1760:21 *1760:23 3.36879 -9 *1760:23 *1760:24 108.436 -10 *1760:24 *2820:mprj_adr_o_core[12] 37.505 -*END - -*D_NET *1761 0.318785 -*CONN -*I *2820:mprj_adr_o_core[13] I *D mgmt_protect -*I *2819:wb_adr_i[13] I *D housekeeping -*I *2826:mprj_adr_o[13] O *D mgmt_core_wrapper -*CAP -1 *2820:mprj_adr_o_core[13] 0.00173734 -2 *2819:wb_adr_i[13] 1.28869e-05 -3 *2826:mprj_adr_o[13] 0.000277199 -4 *1761:24 0.0097103 -5 *1761:23 0.0101259 -6 *1761:13 0.00199091 -7 *1761:12 0.00197802 -8 *1761:10 0.00381419 -9 *1761:9 0.00381419 -10 *1761:7 0.00243009 -11 *2820:mprj_adr_o_core[13] *2820:mprj_dat_o_core[12] 0 -12 *2820:mprj_adr_o_core[13] *1828:5 0 -13 *2820:mprj_adr_o_core[13] *1829:7 0 -14 *1761:7 *1829:17 0 -15 *1761:7 *1892:7 0 -16 *1761:10 *1762:10 0.0497094 -17 *1761:13 *1762:13 0 -18 *1761:23 *1829:17 0 -19 *1761:23 *1892:7 0 -20 *1761:23 *1892:23 0 -21 *1761:24 *1762:24 0.0855288 -22 *1761:24 *1764:24 0.0840985 -23 *1761:24 *1779:28 0.0029905 -24 *1761:24 *1783:24 0.00369387 -25 *780:11 *2820:mprj_adr_o_core[13] 0.000165314 -26 *1052:10 *1761:24 0.00231709 -27 *1053:10 *1761:24 0.00310315 -28 *1054:10 *1761:24 0.000118134 -29 *1062:10 *1761:24 0 -30 *1310:10 *1761:24 0.000108607 -31 *1752:14 *1761:10 0 -32 *1760:10 *1761:10 0.0508053 -33 *1760:13 *1761:13 0 -34 *1760:24 *1761:24 0.000255472 -*RES -1 *2826:mprj_adr_o[13] *1761:7 6.55854 -2 *1761:7 *1761:9 4.5 -3 *1761:9 *1761:10 538.134 -4 *1761:10 *1761:12 4.5 -5 *1761:12 *1761:13 50.2089 -6 *1761:13 *2819:wb_adr_i[13] 0.366399 -7 *1761:7 *1761:23 49.0099 -8 *1761:23 *1761:24 109.66 -9 *1761:24 *2820:mprj_adr_o_core[13] 39.166 -*END - -*D_NET *1762 0.279167 -*CONN -*I *2820:mprj_adr_o_core[14] I *D mgmt_protect -*I *2819:wb_adr_i[14] I *D housekeeping -*I *2826:mprj_adr_o[14] O *D mgmt_core_wrapper -*CAP -1 *2820:mprj_adr_o_core[14] 0.00175868 -2 *2819:wb_adr_i[14] 1.28869e-05 -3 *2826:mprj_adr_o[14] 0.000323235 -4 *1762:24 0.00917235 -5 *1762:23 0.00957699 -6 *1762:13 0.00200551 -7 *1762:12 0.00199263 -8 *1762:10 0.0115519 -9 *1762:9 0.0115519 -10 *1762:7 0.00248655 -11 *2820:mprj_adr_o_core[14] *2820:mprj_dat_o_core[13] 0 -12 *2820:mprj_adr_o_core[14] *1830:5 0 -13 *1762:7 *2826:mprj_dat_i[14] 0 -14 *1762:7 *1893:7 0 -15 *1762:13 *1763:13 0 -16 *1762:23 *2826:mprj_dat_i[14] 0 -17 *1762:23 *1893:7 0 -18 *1762:23 *1893:23 0 -19 *1762:24 *1764:24 0.00066078 -20 *806:14 *1762:24 0.00163036 -21 *807:14 *1762:24 0.0044242 -22 *1037:20 *1762:24 0.000108607 -23 *1308:10 *1762:24 0.00282748 -24 *1752:14 *1762:10 0 -25 *1760:24 *1762:24 0.083845 -26 *1761:10 *1762:10 0.0497094 -27 *1761:13 *1762:13 0 -28 *1761:24 *1762:24 0.0855288 -*RES -1 *2826:mprj_adr_o[14] *1762:7 7.53561 -2 *1762:7 *1762:9 4.5 -3 *1762:9 *1762:10 526.488 -4 *1762:10 *1762:12 4.5 -5 *1762:12 *1762:13 50.6241 -6 *1762:13 *2819:wb_adr_i[14] 0.366399 -7 *1762:7 *1762:23 49.4251 -8 *1762:23 *1762:24 110.808 -9 *1762:24 *2820:mprj_adr_o_core[14] 38.3355 -*END - -*D_NET *1763 0.24133 -*CONN -*I *2820:mprj_adr_o_core[15] I *D mgmt_protect -*I *2819:wb_adr_i[15] I *D housekeeping -*I *2826:mprj_adr_o[15] O *D mgmt_core_wrapper -*CAP -1 *2820:mprj_adr_o_core[15] 0.000703355 -2 *2819:wb_adr_i[15] 1.28869e-05 -3 *2826:mprj_adr_o[15] 0.00119609 -4 *1763:24 0.0145866 -5 *1763:23 0.0159152 -6 *1763:13 0.00292801 -7 *1763:12 0.00291512 -8 *1763:10 0.0043145 -9 *1763:9 0.0043145 -10 *1763:7 0.00322804 -11 *2820:mprj_adr_o_core[15] *2820:mprj_dat_o_core[14] 0 -12 *2820:mprj_adr_o_core[15] *1831:7 0 -13 *1763:7 *1831:17 0 -14 *1763:7 *1894:7 0 -15 *1763:7 *1894:25 0 -16 *1763:10 *1764:10 0.00434067 -17 *1763:10 *1780:10 0 -18 *1763:10 *1788:31 0.00145383 -19 *1763:10 *1891:10 0.00407213 -20 *1763:10 *1899:12 0.00046538 -21 *1763:10 *1899:25 0.000750112 -22 *1763:10 *1901:10 0.040398 -23 *1763:10 *1903:10 0.0377282 -24 *1763:13 *1764:13 0 -25 *1763:23 *1831:17 0 -26 *1763:23 *1894:7 0 -27 *1763:24 *1787:30 0.0384145 -28 *1763:24 *1829:8 0.002279 -29 *1763:24 *1835:8 0.0011886 -30 *1763:24 *1909:30 0 -31 *1763:24 *1916:10 0.000513389 -32 *1763:24 *1918:10 0.000310774 -33 *1763:24 *2489:24 0.00578719 -34 *1757:24 *1763:24 0.0535137 -35 *1762:13 *1763:13 0 -*RES -1 *2826:mprj_adr_o[15] *1763:7 27.3212 -2 *1763:7 *1763:9 4.5 -3 *1763:9 *1763:10 513.732 -4 *1763:10 *1763:12 4.5 -5 *1763:12 *1763:13 70.9715 -6 *1763:13 *2819:wb_adr_i[15] 0.366399 -7 *1763:7 *1763:23 49.5182 -8 *1763:23 *1763:24 812.108 -9 *1763:24 *2820:mprj_adr_o_core[15] 20.1574 -*END - -*D_NET *1764 0.312172 -*CONN -*I *2820:mprj_adr_o_core[16] I *D mgmt_protect -*I *2819:wb_adr_i[16] I *D housekeeping -*I *2826:mprj_adr_o[16] O *D mgmt_core_wrapper -*CAP -1 *2820:mprj_adr_o_core[16] 0.00146666 -2 *2819:wb_adr_i[16] 1.28869e-05 -3 *2826:mprj_adr_o[16] 0.00132989 -4 *1764:24 0.0101636 -5 *1764:23 0.00982001 -6 *1764:13 0.00297476 -7 *1764:12 0.00296187 -8 *1764:10 0.00393418 -9 *1764:9 0.00393418 -10 *1764:7 0.00245298 -11 *2820:mprj_adr_o_core[16] *2820:mprj_dat_o_core[15] 0 -12 *2820:mprj_adr_o_core[16] *1832:5 0.000284634 -13 *1764:7 *2826:mprj_dat_i[16] 0 -14 *1764:7 *1895:7 0 -15 *1764:10 *1765:15 0.000147308 -16 *1764:10 *1765:31 0.00475029 -17 *1764:10 *1780:10 0.00022 -18 *1764:10 *1892:10 0.00411151 -19 *1764:10 *1903:10 0.0379937 -20 *1764:10 *1905:15 0.000383703 -21 *1764:10 *1905:31 0.0351037 -22 *1764:13 *1765:34 0 -23 *1764:23 *2826:mprj_dat_i[16] 0 -24 *1764:23 *1895:23 0 -25 *1764:24 *1766:24 0.0874956 -26 *1764:24 *1785:24 0.0035785 -27 *1764:24 *1825:14 0.00134681 -28 *1764:24 *1839:14 0.000969006 -29 *1764:24 *1905:16 0.000935874 -30 *782:13 *2820:mprj_adr_o_core[16] 0.000294715 -31 *1054:10 *1764:24 0.00260421 -32 *1057:14 *1764:24 0.00010238 -33 *1062:10 *1764:24 0 -34 *1294:15 *2820:mprj_adr_o_core[16] 0.000713748 -35 *1310:10 *1764:24 0.00298502 -36 *1761:24 *1764:24 0.0840985 -37 *1762:24 *1764:24 0.00066078 -38 *1763:10 *1764:10 0.00434067 -39 *1763:13 *1764:13 0 -*RES -1 *2826:mprj_adr_o[16] *1764:7 29.8371 -2 *1764:7 *1764:9 4.5 -3 *1764:9 *1764:10 502.64 -4 *1764:10 *1764:12 4.5 -5 *1764:12 *1764:13 71.802 -6 *1764:13 *2819:wb_adr_i[16] 0.366399 -7 *1764:7 *1764:23 26.5863 -8 *1764:23 *1764:24 113.026 -9 *1764:24 *2820:mprj_adr_o_core[16] 39.9965 -*END - -*D_NET *1765 0.279253 -*CONN -*I *2819:wb_adr_i[17] I *D housekeeping -*I *2820:mprj_adr_o_core[17] I *D mgmt_protect -*I *2826:mprj_adr_o[17] O *D mgmt_core_wrapper -*CAP -1 *2819:wb_adr_i[17] 1.28869e-05 -2 *2820:mprj_adr_o_core[17] 0.00155096 -3 *2826:mprj_adr_o[17] 0.00129487 -4 *1765:34 0.00301111 -5 *1765:33 0.00299822 -6 *1765:31 0.00348469 -7 *1765:22 0.00359147 -8 *1765:21 0.0024198 -9 *1765:16 0.00443564 -10 *1765:15 0.0048754 -11 *1765:9 0.00559861 -12 *2820:mprj_adr_o_core[17] *2820:mprj_dat_o_core[16] 0 -13 *2820:mprj_adr_o_core[17] *2820:mprj_dat_o_core[17] 0 -14 *2820:mprj_adr_o_core[17] *1833:7 0 -15 *1765:9 *1833:17 0 -16 *1765:9 *1896:7 0 -17 *1765:9 *1896:26 0 -18 *1765:9 *1897:15 0 -19 *1765:15 *1780:10 0.000142393 -20 *1765:15 *1896:7 0.000425505 -21 *1765:15 *1897:15 7.84967e-05 -22 *1765:16 *1771:24 0.0523359 -23 *1765:16 *1773:16 0.00636384 -24 *1765:16 *1827:14 0.000580792 -25 *1765:16 *1831:14 0.000636915 -26 *1765:16 *1833:14 0.0333438 -27 *1765:22 *1769:30 0.0199178 -28 *1765:22 *1771:30 0.00105947 -29 *1765:22 *1775:30 0.0163012 -30 *1765:31 *1766:10 0.0448074 -31 *1765:31 *1780:10 0.000757656 -32 *1765:31 *1849:10 0.00055029 -33 *1765:31 *1892:10 0.00291713 -34 *1765:31 *1905:15 0.000379505 -35 *1765:31 *1905:31 0.0354366 -36 *1765:34 *1766:13 0 -37 *1059:10 *1765:16 0.0197346 -38 *1060:10 *1765:16 0.00153704 -39 *1292:7 *2820:mprj_adr_o_core[17] 0 -40 *1305:12 *1765:16 0.000954996 -41 *1314:16 *1765:22 0.00074739 -42 *1318:16 *1765:22 0.000807467 -43 *1432:7 *1765:21 0.000367353 -44 *1445:15 *1765:21 0 -45 *1759:24 *1765:22 0.000898461 -46 *1764:10 *1765:15 0.000147308 -47 *1764:10 *1765:31 0.00475029 -48 *1764:13 *1765:34 0 -*RES -1 *2826:mprj_adr_o[17] *1765:9 33.4822 -2 *1765:9 *1765:15 32.5995 -3 *1765:15 *1765:16 596.368 -4 *1765:16 *1765:21 17.0608 -5 *1765:21 *1765:22 230.329 -6 *1765:22 *2820:mprj_adr_o_core[17] 35.5218 -7 *1765:9 *1765:31 489.329 -8 *1765:31 *1765:33 4.5 -9 *1765:33 *1765:34 72.6325 -10 *1765:34 *2819:wb_adr_i[17] 0.366399 -*END - -*D_NET *1766 0.323985 -*CONN -*I *2820:mprj_adr_o_core[18] I *D mgmt_protect -*I *2819:wb_adr_i[18] I *D housekeeping -*I *2826:mprj_adr_o[18] O *D mgmt_core_wrapper -*CAP -1 *2820:mprj_adr_o_core[18] 0.00195107 -2 *2819:wb_adr_i[18] 1.28869e-05 -3 *2826:mprj_adr_o[18] 0.00115815 -4 *1766:24 0.0107678 -5 *1766:23 0.0097748 -6 *1766:13 0.0030252 -7 *1766:12 0.00301231 -8 *1766:10 0.00308473 -9 *1766:9 0.00308473 -10 *1766:7 0.00211626 -11 *2820:mprj_adr_o_core[18] *2820:mprj_dat_o_core[17] 0 -12 *2820:mprj_adr_o_core[18] *1834:5 0 -13 *1766:7 *2826:mprj_dat_i[18] 0.00069791 -14 *1766:10 *1780:10 0.0168828 -15 *1766:10 *1780:21 0.00386546 -16 *1766:10 *1849:10 0.000598562 -17 *1766:10 *1892:10 0.00256727 -18 *1766:10 *1905:31 0.000113197 -19 *1766:10 *1913:27 0.000308494 -20 *1766:10 *1913:29 0.0236326 -21 *1766:13 *1767:13 0 -22 *1766:23 *2826:mprj_dat_i[18] 9.97972e-05 -23 *1766:24 *1767:24 0.0905949 -24 *1766:24 *1787:24 0.00313531 -25 *1766:24 *1829:14 0.00346307 -26 *1766:24 *1839:14 0.000240013 -27 *1766:24 *1905:16 0.000260492 -28 *1766:24 *1907:24 0.00118625 -29 *1056:10 *1766:24 0.0032213 -30 *1057:14 *1766:24 0.00282649 -31 *1062:10 *1766:24 0 -32 *1764:24 *1766:24 0.0874956 -33 *1765:31 *1766:10 0.0448074 -34 *1765:34 *1766:13 0 -*RES -1 *2826:mprj_adr_o[18] *1766:7 31.0828 -2 *1766:7 *1766:9 4.5 -3 *1766:9 *1766:10 474.355 -4 *1766:10 *1766:12 4.5 -5 *1766:12 *1766:13 73.0477 -6 *1766:13 *2819:wb_adr_i[18] 0.366399 -7 *1766:7 *1766:23 24.51 -8 *1766:23 *1766:24 116.009 -9 *1766:24 *2820:mprj_adr_o_core[18] 40.827 -*END - -*D_NET *1767 0.321553 -*CONN -*I *2820:mprj_adr_o_core[19] I *D mgmt_protect -*I *2819:wb_adr_i[19] I *D housekeeping -*I *2826:mprj_adr_o[19] O *D mgmt_core_wrapper -*CAP -1 *2820:mprj_adr_o_core[19] 0.00181178 -2 *2819:wb_adr_i[19] 1.28869e-05 -3 *2826:mprj_adr_o[19] 0.00144344 -4 *1767:24 0.0107491 -5 *1767:23 0.00943893 -6 *1767:13 0.00331254 -7 *1767:12 0.00329965 -8 *1767:10 0.00303278 -9 *1767:9 0.00303278 -10 *1767:7 0.00194506 -11 *2820:mprj_adr_o_core[19] *2820:mprj_dat_o_core[18] 0.000441647 -12 *2820:mprj_adr_o_core[19] *2820:mprj_dat_o_core[19] 0 -13 *2820:mprj_adr_o_core[19] *1835:7 0 -14 *1767:7 *2826:mprj_dat_i[19] 0.000812587 -15 *1767:7 *1899:15 0 -16 *1767:10 *1769:10 0.0425594 -17 *1767:10 *1771:10 0.0400762 -18 *1767:10 *1784:22 0.00038324 -19 *1767:10 *1838:8 0.00301554 -20 *1767:10 *1902:10 0.000149232 -21 *1767:10 *1904:10 0 -22 *1767:13 *1769:13 0 -23 *1767:23 *2826:mprj_dat_i[19] 0.000323305 -24 *1767:23 *1899:15 0 -25 *1767:24 *1772:24 0.00027285 -26 *1767:24 *1773:16 0.0887112 -27 *1767:24 *1827:14 0.00326311 -28 *1767:24 *1831:14 0.00367534 -29 *1767:24 *1909:24 0.00128162 -30 *1058:10 *1767:24 0.00370963 -31 *1059:10 *1767:24 0.000274281 -32 *1060:10 *1767:24 0.000119469 -33 *1062:10 *1767:24 0.000329875 -34 *1305:14 *1767:24 0.000252161 -35 *1307:16 *1767:24 0.000129663 -36 *1315:10 *1767:24 0.00309912 -37 *1766:13 *1767:13 0 -38 *1766:24 *1767:24 0.0905949 -*RES -1 *2826:mprj_adr_o[19] *1767:7 37.3116 -2 *1767:7 *1767:9 4.5 -3 *1767:9 *1767:10 462.154 -4 *1767:10 *1767:12 4.5 -5 *1767:12 *1767:13 79.2765 -6 *1767:13 *2819:wb_adr_i[19] 0.366399 -7 *1767:7 *1767:23 17.4507 -8 *1767:23 *1767:24 117.233 -9 *1767:24 *2820:mprj_adr_o_core[19] 41.6575 -*END - -*D_NET *1768 0.283416 -*CONN -*I *2820:mprj_adr_o_core[1] I *D mgmt_protect -*I *2819:wb_adr_i[1] I *D housekeeping -*I *2826:mprj_adr_o[1] O *D mgmt_core_wrapper -*CAP -1 *2820:mprj_adr_o_core[1] 0.00257563 -2 *2819:wb_adr_i[1] 0.00193249 -3 *2826:mprj_adr_o[1] 0.000265254 -4 *1768:26 0.00257563 -5 *1768:24 0.00525127 -6 *1768:23 0.0060979 -7 *1768:12 0.00193249 -8 *1768:10 0.00689076 -9 *1768:9 0.00689076 -10 *1768:7 0.00111188 -11 *2819:wb_adr_i[1] *1779:17 0 -12 *2820:mprj_adr_o_core[1] *2820:mprj_dat_o_core[0] 0 -13 *2820:mprj_adr_o_core[1] *2820:mprj_sel_o_core[0] 0 -14 *2820:mprj_adr_o_core[1] *1836:5 0.000792405 -15 *1768:7 *2487:7 0 -16 *1768:7 *2487:31 0 -17 *1768:23 *2487:7 0 -18 *1768:24 *1788:10 0 -19 *1768:24 *1836:8 0.0641279 -20 *1768:24 *1953:10 0 -21 *1768:24 *2495:10 0.0609205 -22 *1768:24 *2499:10 0.00159642 -23 *2819:wb_adr_i[0] *2819:wb_adr_i[1] 0 -24 *1156:9 *2820:mprj_adr_o_core[1] 0.000253887 -25 *1413:9 *2820:mprj_adr_o_core[1] 0 -26 *1419:10 *1768:24 0 -27 *1752:14 *1768:10 6.44576e-05 -28 *1757:10 *1768:10 0.0665378 -29 *1758:12 *1768:10 0.00132675 -30 *1758:31 *1768:10 0.0522717 -*RES -1 *2826:mprj_adr_o[1] *1768:7 6.58297 -2 *1768:7 *1768:9 4.5 -3 *1768:9 *1768:10 704.515 -4 *1768:10 *1768:12 4.5 -5 *1768:12 *2819:wb_adr_i[1] 48.9143 -6 *1768:7 *1768:23 25.8488 -7 *1768:23 *1768:24 679.004 -8 *1768:24 *1768:26 4.5 -9 *1768:26 *2820:mprj_adr_o_core[1] 61.7504 -*END - -*D_NET *1769 0.250591 -*CONN -*I *2820:mprj_adr_o_core[20] I *D mgmt_protect -*I *2819:wb_adr_i[20] I *D housekeeping -*I *2826:mprj_adr_o[20] O *D mgmt_core_wrapper -*CAP -1 *2820:mprj_adr_o_core[20] 0.00160531 -2 *2819:wb_adr_i[20] 1.28869e-05 -3 *2826:mprj_adr_o[20] 0.00146441 -4 *1769:30 0.00463678 -5 *1769:29 0.00356262 -6 *1769:24 0.00481719 -7 *1769:23 0.00468007 -8 *1769:13 0.00332713 -9 *1769:12 0.00331425 -10 *1769:10 0.00428531 -11 *1769:9 0.00428531 -12 *1769:7 0.00185843 -13 *2820:mprj_adr_o_core[20] *2820:mprj_dat_o_core[19] 0 -14 *2820:mprj_adr_o_core[20] *2820:mprj_dat_o_core[20] 0 -15 *2820:mprj_adr_o_core[20] *1837:7 0 -16 *1769:7 *1837:11 0.000820361 -17 *1769:10 *1771:10 0.000113197 -18 *1769:10 *1784:22 0.017496 -19 *1769:13 *1770:13 0 -20 *1769:13 *1771:13 0 -21 *1769:23 *1837:11 0.000305463 -22 *1769:24 *1771:24 0.00203661 -23 *1769:24 *1775:24 0.0541222 -24 *1769:24 *1776:24 0.00634299 -25 *1769:24 *1777:24 0.00021242 -26 *1769:24 *1835:14 0.0352895 -27 *1769:24 *1913:16 0.000199305 -28 *1769:30 *1775:30 0.000540305 -29 *1048:10 *1769:30 0.00247834 -30 *1049:12 *1769:24 0.00118031 -31 *1051:10 *1769:24 0.00174019 -32 *1060:10 *1769:24 0.0205972 -33 *1318:16 *1769:30 0.00529352 -34 *1445:10 *1769:30 4.856e-05 -35 *1758:22 *1769:30 0.000386719 -36 *1759:24 *1769:30 0.00106055 -37 *1765:22 *1769:30 0.0199178 -38 *1767:10 *1769:10 0.0425594 -39 *1767:13 *1769:13 0 -*RES -1 *2826:mprj_adr_o[20] *1769:7 37.7269 -2 *1769:7 *1769:9 4.5 -3 *1769:9 *1769:10 449.398 -4 *1769:10 *1769:12 4.5 -5 *1769:12 *1769:13 79.6918 -6 *1769:13 *2819:wb_adr_i[20] 0.366399 -7 *1769:7 *1769:23 16.298 -8 *1769:23 *1769:24 635.19 -9 *1769:24 *1769:29 17.8913 -10 *1769:29 *1769:30 223.674 -11 *1769:30 *2820:mprj_adr_o_core[20] 35.937 -*END - -*D_NET *1770 0.258719 -*CONN -*I *2820:mprj_adr_o_core[21] I *D mgmt_protect -*I *2819:wb_adr_i[21] I *D housekeeping -*I *2826:mprj_adr_o[21] O *D mgmt_core_wrapper -*CAP -1 *2820:mprj_adr_o_core[21] 2.27669e-05 -2 *2819:wb_adr_i[21] 1.28869e-05 -3 *2826:mprj_adr_o[21] 0.000880867 -4 *1770:27 0.00244072 -5 *1770:26 0.00241795 -6 *1770:24 0.00589251 -7 *1770:23 0.0065882 -8 *1770:13 0.00257851 -9 *1770:12 0.00256563 -10 *1770:10 0.0098208 -11 *1770:9 0.0098208 -12 *1770:7 0.00157656 -13 *1770:7 *2826:mprj_dat_i[21] 0 -14 *1770:7 *1901:7 0 -15 *1770:10 *2826:irq[2] 0.000638598 -16 *1770:10 *1774:15 0.000908333 -17 *1770:10 *1774:25 0.035955 -18 *1770:10 *1890:25 0.0012481 -19 *1770:10 *2489:10 0.00579562 -20 *1770:13 *1771:13 0 -21 *1770:23 *2826:mprj_dat_i[21] 0 -22 *1770:23 *1901:7 0 -23 *1770:23 *1901:23 0 -24 *1770:24 *1788:16 0.00644103 -25 *1770:24 *1842:12 1.41853e-05 -26 *1770:24 *1891:24 0.000337459 -27 *1770:24 *1901:24 0.0817262 -28 *1770:24 *1902:10 0.000113197 -29 *1770:24 *1904:10 0.0755031 -30 *1770:24 *1919:16 0.00422857 -31 *1770:27 *2820:mprj_dat_o_core[20] 0.000600739 -32 *1770:27 *1838:5 0 -33 *1295:15 *1770:27 0.000305127 -34 *1421:11 *1770:27 0.000285156 -35 *1769:13 *1770:13 0 -*RES -1 *2826:mprj_adr_o[21] *1770:7 21.5076 -2 *1770:7 *1770:9 4.5 -3 *1770:9 *1770:10 439.415 -4 *1770:10 *1770:12 4.5 -5 *1770:12 *1770:13 65.158 -6 *1770:13 *2819:wb_adr_i[21] 0.366399 -7 *1770:7 *1770:23 16.7133 -8 *1770:23 *1770:24 865.35 -9 *1770:24 *1770:26 4.5 -10 *1770:26 *1770:27 54.3614 -11 *1770:27 *2820:mprj_adr_o_core[21] 0.647305 -*END - -*D_NET *1771 0.265504 -*CONN -*I *2820:mprj_adr_o_core[22] I *D mgmt_protect -*I *2819:wb_adr_i[22] I *D housekeeping -*I *2826:mprj_adr_o[22] O *D mgmt_core_wrapper -*CAP -1 *2820:mprj_adr_o_core[22] 0.00155227 -2 *2819:wb_adr_i[22] 1.28869e-05 -3 *2826:mprj_adr_o[22] 0.00170455 -4 *1771:30 0.00385808 -5 *1771:29 0.00282415 -6 *1771:24 0.0053483 -7 *1771:23 0.00540936 -8 *1771:13 0.00328528 -9 *1771:12 0.0032724 -10 *1771:10 0.00291263 -11 *1771:9 0.00291263 -12 *1771:7 0.00228395 -13 *2820:mprj_adr_o_core[22] *2820:mprj_dat_o_core[21] 0 -14 *2820:mprj_adr_o_core[22] *2820:mprj_dat_o_core[22] 0 -15 *2820:mprj_adr_o_core[22] *1839:7 0 -16 *1771:7 *1839:17 0 -17 *1771:7 *1902:9 0 -18 *1771:7 *1902:30 0 -19 *1771:10 *1772:10 0.0393923 -20 *1771:10 *1904:10 9.49073e-05 -21 *1771:13 *1772:13 0 -22 *1771:23 *1839:17 0 -23 *1771:24 *1772:24 0.00632129 -24 *1771:24 *1775:24 0.000651693 -25 *1771:24 *1833:14 0.000282235 -26 *1771:24 *1835:14 0.0352853 -27 *1771:24 *1909:24 0.00126155 -28 *1771:30 *1775:30 0.020692 -29 *1771:30 *1777:30 0.00874861 -30 *1771:30 *2487:16 0.00134263 -31 *1060:10 *1771:24 0.0174278 -32 *1314:16 *1771:30 0.00280463 -33 *1434:7 *1771:29 0 -34 *1440:16 *1771:30 0.000201058 -35 *1765:16 *1771:24 0.0523359 -36 *1765:22 *1771:30 0.00105947 -37 *1767:10 *1771:10 0.0400762 -38 *1769:10 *1771:10 0.000113197 -39 *1769:13 *1771:13 0 -40 *1769:24 *1771:24 0.00203661 -41 *1770:13 *1771:13 0 -*RES -1 *2826:mprj_adr_o[22] *1771:7 36.8964 -2 *1771:7 *1771:9 4.5 -3 *1771:9 *1771:10 428.877 -4 *1771:10 *1771:12 4.5 -5 *1771:12 *1771:13 78.8613 -6 *1771:13 *2819:wb_adr_i[22] 0.366399 -7 *1771:7 *1771:23 17.9591 -8 *1771:23 *1771:24 627.98 -9 *1771:24 *1771:29 18.3065 -10 *1771:29 *1771:30 244.194 -11 *1771:30 *2820:mprj_adr_o_core[22] 34.6913 -*END - -*D_NET *1772 0.314365 -*CONN -*I *2820:mprj_adr_o_core[23] I *D mgmt_protect -*I *2819:wb_adr_i[23] I *D housekeeping -*I *2826:mprj_adr_o[23] O *D mgmt_core_wrapper -*CAP -1 *2820:mprj_adr_o_core[23] 0.00193253 -2 *2819:wb_adr_i[23] 1.28869e-05 -3 *2826:mprj_adr_o[23] 0.00169901 -4 *1772:24 0.010735 -5 *1772:23 0.0093635 -6 *1772:13 0.00326044 -7 *1772:12 0.00324756 -8 *1772:10 0.00341151 -9 *1772:9 0.00341151 -10 *1772:7 0.00226006 -11 *2820:mprj_adr_o_core[23] *2820:mprj_dat_o_core[22] 0 -12 *2820:mprj_adr_o_core[23] *1840:5 0 -13 *1772:7 *2826:mprj_dat_i[23] 0 -14 *1772:7 *1773:15 0 -15 *1772:7 *1903:7 0 -16 *1772:7 *1903:23 0 -17 *1772:10 *1773:15 0.000278807 -18 *1772:10 *1773:25 0.0025979 -19 *1772:10 *1781:10 0.0280644 -20 *1772:10 *1904:10 9.69703e-05 -21 *1772:13 *1773:28 0 -22 *1772:23 *1773:15 0 -23 *1772:23 *1903:23 0 -24 *1772:24 *1773:16 0.0949182 -25 *1772:24 *1776:24 0.0928792 -26 *1772:24 *1835:14 0.00402463 -27 *1060:10 *1772:24 0.00376478 -28 *1062:10 *1772:24 0.000645255 -29 *1307:16 *1772:24 0.000999247 -30 *1422:15 *2820:mprj_adr_o_core[23] 0.000774926 -31 *1767:24 *1772:24 0.00027285 -32 *1771:10 *1772:10 0.0393923 -33 *1771:13 *1772:13 0 -34 *1771:24 *1772:24 0.00632129 -*RES -1 *2826:mprj_adr_o[23] *1772:7 36.4811 -2 *1772:7 *1772:9 4.5 -3 *1772:9 *1772:10 416.121 -4 *1772:10 *1772:12 4.5 -5 *1772:12 *1772:13 78.446 -6 *1772:13 *2819:wb_adr_i[23] 0.366399 -7 *1772:7 *1772:23 16.6202 -8 *1772:23 *1772:24 121.516 -9 *1772:24 *2820:mprj_adr_o_core[23] 43.3185 -*END - -*D_NET *1773 0.293191 -*CONN -*I *2819:wb_adr_i[24] I *D housekeeping -*I *2820:mprj_adr_o_core[24] I *D mgmt_protect -*I *2826:mprj_adr_o[24] O *D mgmt_core_wrapper -*CAP -1 *2819:wb_adr_i[24] 1.28869e-05 -2 *2820:mprj_adr_o_core[24] 0.00144961 -3 *2826:mprj_adr_o[24] 0.00156451 -4 *1773:28 0.00320299 -5 *1773:27 0.0031901 -6 *1773:25 0.00427562 -7 *1773:16 0.0108357 -8 *1773:15 0.0102024 -9 *1773:9 0.00665638 -10 *2820:mprj_adr_o_core[24] *2820:mprj_dat_o_core[24] 0 -11 *2820:mprj_adr_o_core[24] *1841:7 0.000287363 -12 *2820:mprj_adr_o_core[24] *1904:19 0.00194615 -13 *1773:9 *1841:11 0 -14 *1773:9 *1904:32 0 -15 *1773:15 *1842:12 0.000282235 -16 *1773:15 *1904:10 0.000436811 -17 *1773:16 *1776:24 0.000249792 -18 *1773:16 *1833:14 0.00353352 -19 *1773:16 *1839:14 0.00028933 -20 *1773:16 *1905:16 0.00028933 -21 *1773:16 *1907:24 0.000290528 -22 *1773:16 *1909:24 0.000325419 -23 *1773:25 *1775:10 7.17336e-05 -24 *1773:25 *1776:10 0.000967446 -25 *1773:25 *1778:10 0 -26 *1773:25 *1781:10 0.0128036 -27 *1773:25 *1842:12 0.000798408 -28 *1773:25 *1906:10 3.04269e-05 -29 *1773:25 *1907:10 9.48476e-05 -30 *1773:25 *1909:10 0.031584 -31 *1773:28 *1774:28 0 -32 *1773:28 *1775:13 0 -33 *1059:10 *1773:16 0.00313281 -34 *1305:14 *1773:16 0.00151736 -35 *1765:16 *1773:16 0.00636384 -36 *1767:24 *1773:16 0.0887112 -37 *1772:7 *1773:15 0 -38 *1772:10 *1773:15 0.000278807 -39 *1772:10 *1773:25 0.0025979 -40 *1772:13 *1773:28 0 -41 *1772:23 *1773:15 0 -42 *1772:24 *1773:16 0.0949182 -*RES -1 *2826:mprj_adr_o[24] *1773:9 38.0499 -2 *1773:9 *1773:15 34.4573 -3 *1773:15 *1773:16 121.363 -4 *1773:16 *2820:mprj_adr_o_core[24] 42.488 -5 *1773:9 *1773:25 402.256 -6 *1773:25 *1773:27 4.5 -7 *1773:27 *1773:28 77.2003 -8 *1773:28 *2819:wb_adr_i[24] 0.366399 -*END - -*D_NET *1774 0.277494 -*CONN -*I *2819:wb_adr_i[25] I *D housekeeping -*I *2820:mprj_adr_o_core[25] I *D mgmt_protect -*I *2826:mprj_adr_o[25] O *D mgmt_core_wrapper -*CAP -1 *2819:wb_adr_i[25] 1.28869e-05 -2 *2820:mprj_adr_o_core[25] 0.0029389 -3 *2826:mprj_adr_o[25] 0.000908806 -4 *1774:28 0.00259723 -5 *1774:27 0.00258434 -6 *1774:25 0.00295777 -7 *1774:18 0.0029389 -8 *1774:16 0.00618604 -9 *1774:15 0.00670389 -10 *1774:9 0.00438441 -11 *2820:mprj_adr_o_core[25] *2820:mprj_dat_o_core[24] 0 -12 *2820:mprj_adr_o_core[25] *1842:5 0 -13 *1774:9 *2826:mprj_dat_i[25] 0 -14 *1774:9 *1905:9 0 -15 *1774:15 *1841:11 0.000463947 -16 *1774:15 *1890:25 0.00040048 -17 *1774:15 *1905:9 0 -18 *1774:15 *1905:15 0.000172431 -19 *1774:16 *1840:14 0.0795852 -20 *1774:16 *1842:10 0.00292571 -21 *1774:16 *1842:12 0.000735201 -22 *1774:16 *1849:10 0.000268994 -23 *1774:16 *1855:8 8.41174e-05 -24 *1774:16 *1895:24 0.00468474 -25 *1774:16 *1906:10 0.0839518 -26 *1774:25 *2826:irq[0] 0.00111432 -27 *1774:25 *1778:27 0.0256466 -28 *1774:25 *1890:25 0.00455975 -29 *1774:25 *2489:10 0.0032924 -30 *1774:28 *1775:13 0 -31 *783:14 *1774:16 7.46601e-05 -32 *1038:9 *2820:mprj_adr_o_core[25] 0.000456946 -33 *1770:10 *1774:15 0.000908333 -34 *1770:10 *1774:25 0.035955 -35 *1773:28 *1774:28 0 -*RES -1 *2826:mprj_adr_o[25] *1774:9 26.4229 -2 *1774:9 *1774:15 28.7341 -3 *1774:15 *1774:16 890.862 -4 *1774:16 *1774:18 4.5 -5 *1774:18 *2820:mprj_adr_o_core[25] 55.9369 -6 *1774:9 *1774:25 389.501 -7 *1774:25 *1774:27 4.5 -8 *1774:27 *1774:28 65.5732 -9 *1774:28 *2819:wb_adr_i[25] 0.366399 -*END - -*D_NET *1775 0.254494 -*CONN -*I *2820:mprj_adr_o_core[26] I *D mgmt_protect -*I *2819:wb_adr_i[26] I *D housekeeping -*I *2826:mprj_adr_o[26] O *D mgmt_core_wrapper -*CAP -1 *2820:mprj_adr_o_core[26] 0.00158994 -2 *2819:wb_adr_i[26] 1.28869e-05 -3 *2826:mprj_adr_o[26] 0.00148593 -4 *1775:30 0.00452742 -5 *1775:29 0.00350789 -6 *1775:24 0.00588349 -7 *1775:23 0.00597902 -8 *1775:13 0.0030917 -9 *1775:12 0.00307882 -10 *1775:10 0.00459015 -11 *1775:9 0.00459015 -12 *1775:7 0.00215186 -13 *2820:mprj_adr_o_core[26] *2820:mprj_dat_o_core[25] 0 -14 *2820:mprj_adr_o_core[26] *1843:7 0 -15 *1775:7 *1843:11 0 -16 *1775:10 *1778:10 0.00427079 -17 *1775:10 *1778:21 0.00567887 -18 *1775:10 *1849:10 0.00011282 -19 *1775:10 *1907:10 0.0347913 -20 *1775:10 *1913:27 6.04938e-05 -21 *1775:10 *1913:29 0.00483046 -22 *1775:13 *1776:13 0 -23 *1775:23 *1843:11 0 -24 *1775:24 *1776:24 0.00701756 -25 *1775:24 *1777:24 0.0626187 -26 *1775:24 *1909:24 0 -27 *1775:29 *1777:29 0 -28 *1775:30 *1777:30 0.000700269 -29 *1775:30 *2487:16 0.00154408 -30 *1436:7 *1775:29 0 -31 *1445:10 *1775:30 0 -32 *1765:22 *1775:30 0.0163012 -33 *1769:24 *1775:24 0.0541222 -34 *1769:30 *1775:30 0.000540305 -35 *1771:24 *1775:24 0.000651693 -36 *1771:30 *1775:30 0.020692 -37 *1773:25 *1775:10 7.17336e-05 -38 *1773:28 *1775:13 0 -39 *1774:28 *1775:13 0 -*RES -1 *2826:mprj_adr_o[26] *1775:7 31.4737 -2 *1775:7 *1775:9 4.5 -3 *1775:9 *1775:10 376.745 -4 *1775:10 *1775:12 4.5 -5 *1775:12 *1775:13 75.124 -6 *1775:13 *2819:wb_adr_i[26] 0.366399 -7 *1775:7 *1775:23 20.4506 -8 *1775:23 *1775:24 658.483 -9 *1775:24 *1775:29 19.137 -10 *1775:29 *1775:30 250.85 -11 *1775:30 *2820:mprj_adr_o_core[26] 35.1065 -*END - -*D_NET *1776 0.313291 -*CONN -*I *2820:mprj_adr_o_core[27] I *D mgmt_protect -*I *2819:wb_adr_i[27] I *D housekeeping -*I *2826:mprj_adr_o[27] O *D mgmt_core_wrapper -*CAP -1 *2820:mprj_adr_o_core[27] 0.00211888 -2 *2819:wb_adr_i[27] 1.28869e-05 -3 *2826:mprj_adr_o[27] 0.00136173 -4 *1776:24 0.0116443 -5 *1776:23 0.00999795 -6 *1776:13 0.00314749 -7 *1776:12 0.00313461 -8 *1776:10 0.00228402 -9 *1776:9 0.00228402 -10 *1776:7 0.0018343 -11 *2820:mprj_adr_o_core[27] *2820:mprj_dat_o_core[26] 0.000262305 -12 *2820:mprj_adr_o_core[27] *1844:7 0 -13 *1776:7 *1844:11 0.000737975 -14 *1776:7 *1907:7 0 -15 *1776:7 *1907:23 0 -16 *1776:10 *1777:10 0.0333236 -17 *1776:10 *1907:10 0.000540322 -18 *1776:10 *1909:10 0.0323741 -19 *1776:13 *1777:13 0 -20 *1776:23 *1844:11 0.000375413 -21 *1776:23 *1907:23 0 -22 *1776:24 *1781:24 0.0971308 -23 *1049:12 *1776:24 0.000170531 -24 *1049:14 *1776:24 0.00130336 -25 *1050:16 *1776:24 0.000637963 -26 *1062:10 *1776:24 0.00115774 -27 *1314:15 *2820:mprj_adr_o_core[27] 0 -28 *1423:7 *2820:mprj_adr_o_core[27] 0 -29 *1769:24 *1776:24 0.00634299 -30 *1772:24 *1776:24 0.0928792 -31 *1773:16 *1776:24 0.000249792 -32 *1773:25 *1776:10 0.000967446 -33 *1775:13 *1776:13 0 -34 *1775:24 *1776:24 0.00701756 -*RES -1 *2826:mprj_adr_o[27] *1776:7 34.4049 -2 *1776:7 *1776:9 4.5 -3 *1776:9 *1776:10 362.325 -4 *1776:10 *1776:12 4.5 -5 *1776:12 *1776:13 76.3698 -6 *1776:13 *2819:wb_adr_i[27] 0.366399 -7 *1776:7 *1776:23 17.866 -8 *1776:23 *1776:24 126.947 -9 *1776:24 *2820:mprj_adr_o_core[27] 44.149 -*END - -*D_NET *1777 0.259061 -*CONN -*I *2820:mprj_adr_o_core[28] I *D mgmt_protect -*I *2819:wb_adr_i[28] I *D housekeeping -*I *2826:mprj_adr_o[28] O *D mgmt_core_wrapper -*CAP -1 *2820:mprj_adr_o_core[28] 0.00154898 -2 *2819:wb_adr_i[28] 1.28869e-05 -3 *2826:mprj_adr_o[28] 0.00152437 -4 *1777:30 0.00566819 -5 *1777:29 0.00476221 -6 *1777:24 0.00552564 -7 *1777:23 0.00549478 -8 *1777:13 0.00312365 -9 *1777:12 0.00311076 -10 *1777:10 0.00214439 -11 *1777:9 0.00214439 -12 *1777:7 0.00213652 -13 *2820:mprj_adr_o_core[28] *2820:mprj_dat_o_core[27] 0 -14 *2820:mprj_adr_o_core[28] *1845:5 0 -15 *1777:7 *2826:mprj_dat_i[28] 0 -16 *1777:7 *1908:9 0 -17 *1777:10 *1907:10 0.0335827 -18 *1777:10 *1909:10 0.000113197 -19 *1777:13 *1778:30 0 -20 *1777:24 *1781:24 0.00670504 -21 *1777:24 *1909:24 0 -22 *1777:24 *1913:16 0.0659322 -23 *1777:30 *1785:30 0 -24 *1777:30 *2487:16 0.0019425 -25 *1440:16 *1777:30 0.00680857 -26 *1444:16 *1777:30 0.00117679 -27 *1445:16 *1777:30 0 -28 *1769:24 *1777:24 0.00021242 -29 *1771:30 *1777:30 0.00874861 -30 *1775:24 *1777:24 0.0626187 -31 *1775:29 *1777:29 0 -32 *1775:30 *1777:30 0.000700269 -33 *1776:10 *1777:10 0.0333236 -34 *1776:13 *1777:13 0 -*RES -1 *2826:mprj_adr_o[28] *1777:7 32.3042 -2 *1777:7 *1777:9 4.5 -3 *1777:9 *1777:10 352.342 -4 *1777:10 *1777:12 4.5 -5 *1777:12 *1777:13 75.9545 -6 *1777:13 *2819:wb_adr_i[28] 0.366399 -7 *1777:7 *1777:23 19.2048 -8 *1777:23 *1777:24 693.423 -9 *1777:24 *1777:29 20.798 -10 *1777:29 *1777:30 233.102 -11 *1777:30 *2820:mprj_adr_o_core[28] 33.8608 -*END - -*D_NET *1778 0.277849 -*CONN -*I *2819:wb_adr_i[29] I *D housekeeping -*I *2820:mprj_adr_o_core[29] I *D mgmt_protect -*I *2826:mprj_adr_o[29] O *D mgmt_core_wrapper -*CAP -1 *2819:wb_adr_i[29] 1.28869e-05 -2 *2820:mprj_adr_o_core[29] 6.22868e-05 -3 *2826:mprj_adr_o[29] 0.00145083 -4 *1778:30 0.00261629 -5 *1778:29 0.00260341 -6 *1778:27 0.00190483 -7 *1778:26 0.00243595 -8 *1778:21 0.00131154 -9 *1778:13 0.00288875 -10 *1778:12 0.00282646 -11 *1778:10 0.00656899 -12 *1778:9 0.00880023 -13 *1778:9 *1846:11 0 -14 *1778:9 *1909:7 0 -15 *1778:10 *1780:10 0.000284336 -16 *1778:10 *1845:8 0.000371284 -17 *1778:10 *1849:10 0.000650798 -18 *1778:10 *1897:16 0.00468474 -19 *1778:10 *1906:10 0.0834465 -20 *1778:10 *1908:10 0.0864327 -21 *1778:13 *2820:mprj_adr_o_core[30] 0 -22 *1778:13 *2820:mprj_dat_o_core[28] 0 -23 *1778:13 *1846:7 0.0012021 -24 *1778:21 *1780:10 0.000334664 -25 *1778:21 *1780:21 0.000675516 -26 *1778:21 *1892:10 0.000101794 -27 *1778:21 *1913:10 0.000166542 -28 *1778:21 *1913:27 0.000595132 -29 *1778:26 *2826:irq[0] 7.09666e-06 -30 *1778:26 *1780:24 0 -31 *1778:26 *2735:14 0 -32 *1778:27 *1890:25 0.0266852 -33 *1778:27 *2489:10 0.00307189 -34 *1778:30 *1780:28 0 -35 *1296:9 *1778:13 5.98105e-05 -36 *1318:15 *1778:13 0 -37 *1425:15 *1778:13 0 -38 *1773:25 *1778:10 0 -39 *1774:25 *1778:27 0.0256466 -40 *1775:10 *1778:10 0.00427079 -41 *1775:10 *1778:21 0.00567887 -42 *1777:13 *1778:30 0 -*RES -1 *2826:mprj_adr_o[29] *1778:9 35.5584 -2 *1778:9 *1778:10 933.012 -3 *1778:10 *1778:12 4.5 -4 *1778:12 *1778:13 56.8529 -5 *1778:13 *2820:mprj_adr_o_core[29] 1.77093 -6 *1778:9 *1778:21 60.066 -7 *1778:21 *1778:26 17.8913 -8 *1778:26 *1778:27 280.244 -9 *1778:27 *1778:29 4.5 -10 *1778:29 *1778:30 65.9885 -11 *1778:30 *2819:wb_adr_i[29] 0.366399 -*END - -*D_NET *1779 0.245004 -*CONN -*I *2820:mprj_adr_o_core[2] I *D mgmt_protect -*I *2819:wb_adr_i[2] I *D housekeeping -*I *2826:mprj_adr_o[2] O *D mgmt_core_wrapper -*CAP -1 *2820:mprj_adr_o_core[2] 0.00115218 -2 *2819:wb_adr_i[2] 1.28869e-05 -3 *2826:mprj_adr_o[2] 0.00161841 -4 *1779:34 0.00435745 -5 *1779:33 0.00364324 -6 *1779:28 0.00310218 -7 *1779:27 0.00339017 -8 *1779:17 0.00353144 -9 *1779:16 0.00351855 -10 *1779:14 0.0149658 -11 *1779:13 0.0149658 -12 *1779:11 0.00234437 -13 *2820:mprj_adr_o_core[2] *2820:mprj_sel_o_core[1] 0 -14 *2820:mprj_adr_o_core[2] *1847:5 0 -15 *1779:11 *2826:mprj_dat_i[2] 0 -16 *1779:11 *1911:15 0.000605259 -17 *1779:11 *2488:25 0 -18 *1779:14 *1782:25 0.0620416 -19 *1779:14 *1893:24 0.000565068 -20 *1779:17 *1782:28 0 -21 *1779:28 *1783:24 0.0240298 -22 *1779:28 *2487:10 0.000991103 -23 *1779:34 *1783:30 0.0386831 -24 *1779:34 *1785:30 0.0374961 -25 *1779:34 *1914:10 0.00318204 -26 *2819:wb_adr_i[1] *1779:17 0 -27 *806:14 *1779:28 0.0123151 -28 *807:14 *1779:28 0.00230879 -29 *901:11 *2820:mprj_adr_o_core[2] 8.5866e-05 -30 *1038:20 *1779:34 0.000698415 -31 *1053:10 *1779:28 0.00102099 -32 *1054:10 *1779:28 0.000159075 -33 *1427:16 *1779:34 0.00122855 -34 *1761:24 *1779:28 0.0029905 -*RES -1 *2826:mprj_adr_o[2] *1779:11 39.5833 -2 *1779:11 *1779:13 4.5 -3 *1779:13 *1779:14 684.55 -4 *1779:14 *1779:16 4.5 -5 *1779:16 *1779:17 81.768 -6 *1779:17 *2819:wb_adr_i[2] 0.366399 -7 *1779:11 *1779:27 19.2048 -8 *1779:27 *1779:28 266.933 -9 *1779:28 *1779:33 18.7218 -10 *1779:33 *1779:34 426.659 -11 *1779:34 *2820:mprj_adr_o_core[2] 30.1235 -*END - -*D_NET *1780 0.269868 -*CONN -*I *2819:wb_adr_i[30] I *D housekeeping -*I *2820:mprj_adr_o_core[30] I *D mgmt_protect -*I *2826:mprj_adr_o[30] O *D mgmt_core_wrapper -*CAP -1 *2819:wb_adr_i[30] 1.28869e-05 -2 *2820:mprj_adr_o_core[30] 0.00318957 -3 *2826:mprj_adr_o[30] 0.00147077 -4 *1780:28 0.00266674 -5 *1780:27 0.00265386 -6 *1780:25 0.00177991 -7 *1780:24 0.00220348 -8 *1780:21 0.000923705 -9 *1780:12 0.00318957 -10 *1780:10 0.00863102 -11 *1780:9 0.0106019 -12 *2820:mprj_adr_o_core[30] *2820:mprj_dat_o_core[29] 0 -13 *2820:mprj_adr_o_core[30] *1840:13 2.81227e-05 -14 *2820:mprj_adr_o_core[30] *1848:7 0 -15 *2820:mprj_adr_o_core[30] *1855:13 0.000130377 -16 *1780:9 *1848:11 0 -17 *1780:9 *1910:7 0 -18 *1780:9 *1910:24 3.67528e-06 -19 *1780:10 *1786:24 0.0561635 -20 *1780:10 *1788:31 0 -21 *1780:10 *1845:8 0.0868469 -22 *1780:10 *1849:10 0.00616954 -23 *1780:10 *1855:14 0.000855748 -24 *1780:21 *1913:10 0.000383717 -25 *1780:21 *1913:27 0.000872795 -26 *1780:24 *2741:12 7.09666e-06 -27 *1780:25 *1890:25 0.0274265 -28 *1780:25 *1893:10 0.0274223 -29 *1780:25 *2490:10 0.00307189 -30 *1780:28 *1781:13 0 -31 *1763:10 *1780:10 0 -32 *1764:10 *1780:10 0.00022 -33 *1765:15 *1780:10 0.000142393 -34 *1765:31 *1780:10 0.000757656 -35 *1766:10 *1780:10 0.0168828 -36 *1766:10 *1780:21 0.00386546 -37 *1778:10 *1780:10 0.000284336 -38 *1778:13 *2820:mprj_adr_o_core[30] 0 -39 *1778:21 *1780:10 0.000334664 -40 *1778:21 *1780:21 0.000675516 -41 *1778:26 *1780:24 0 -42 *1778:30 *1780:28 0 -*RES -1 *2826:mprj_adr_o[30] *1780:9 35.9981 -2 *1780:9 *1780:10 942.44 -3 *1780:10 *1780:12 4.5 -4 *1780:12 *2820:mprj_adr_o_core[30] 58.0132 -5 *1780:9 *1780:21 45.7095 -6 *1780:21 *1780:24 11.315 -7 *1780:24 *1780:25 288.008 -8 *1780:25 *1780:27 4.5 -9 *1780:27 *1780:28 66.819 -10 *1780:28 *2819:wb_adr_i[30] 0.366399 -*END - -*D_NET *1781 0.26825 -*CONN -*I *2820:mprj_adr_o_core[31] I *D mgmt_protect -*I *2819:wb_adr_i[31] I *D housekeeping -*I *2826:mprj_adr_o[31] O *D mgmt_core_wrapper -*CAP -1 *2820:mprj_adr_o_core[31] 0.00209932 -2 *2819:wb_adr_i[31] 1.28869e-05 -3 *2826:mprj_adr_o[31] 0.00166072 -4 *1781:24 0.0189845 -5 *1781:23 0.0173685 -6 *1781:13 0.00318996 -7 *1781:12 0.00317707 -8 *1781:10 0.00297565 -9 *1781:9 0.00297565 -10 *1781:7 0.00214406 -11 *2820:mprj_adr_o_core[31] *2820:mprj_dat_o_core[30] 0 -12 *2820:mprj_adr_o_core[31] *1849:7 0.00029952 -13 *1781:7 *2826:mprj_dat_i[31] 0 -14 *1781:7 *1912:7 0 -15 *1781:7 *1912:24 3.67528e-06 -16 *1781:10 *1909:10 0.000488332 -17 *1781:13 *2819:wb_dat_i[0] 0 -18 *1781:23 *1912:7 0 -19 *1781:24 *1913:16 0.015626 -20 *1051:10 *1781:24 0.00190182 -21 *1062:10 *1781:24 0.044338 -22 *1063:10 *1781:24 0.000929185 -23 *1427:15 *2820:mprj_adr_o_core[31] 0.000234573 -24 *1758:16 *1781:24 0.0051365 -25 *1772:10 *1781:10 0.0280644 -26 *1773:25 *1781:10 0.0128036 -27 *1776:24 *1781:24 0.0971308 -28 *1777:24 *1781:24 0.00670504 -29 *1780:28 *1781:13 0 -*RES -1 *2826:mprj_adr_o[31] *1781:7 35.5041 -2 *1781:7 *1781:9 4.5 -3 *1781:9 *1781:10 316.293 -4 *1781:10 *1781:12 4.5 -5 *1781:12 *1781:13 78.0308 -6 *1781:13 *2819:wb_adr_i[31] 0.366399 -7 *1781:7 *1781:23 15.3745 -8 *1781:23 *1781:24 131.231 -9 *1781:24 *2820:mprj_adr_o_core[31] 44.9795 -*END - -*D_NET *1782 0.296072 -*CONN -*I *2819:wb_adr_i[3] I *D housekeeping -*I *2820:mprj_adr_o_core[3] I *D mgmt_protect -*I *2826:mprj_adr_o[3] O *D mgmt_core_wrapper -*CAP -1 *2819:wb_adr_i[3] 1.28869e-05 -2 *2820:mprj_adr_o_core[3] 0.00282272 -3 *2826:mprj_adr_o[3] 0.00112294 -4 *1782:28 0.00350759 -5 *1782:27 0.0034947 -6 *1782:25 0.00419111 -7 *1782:24 0.00488531 -8 *1782:12 0.00282272 -9 *1782:10 0.00510484 -10 *1782:9 0.00692198 -11 *2820:mprj_adr_o_core[3] *2820:mprj_dat_o_core[2] 0 -12 *2820:mprj_adr_o_core[3] *2820:mprj_dat_o_core[3] 0 -13 *2820:mprj_adr_o_core[3] *2820:mprj_sel_o_core[2] 0 -14 *2820:mprj_adr_o_core[3] *1850:7 0.000283646 -15 *1782:9 *1850:11 0 -16 *1782:10 *1784:10 0.000339574 -17 *1782:10 *1847:8 0.000829197 -18 *1782:10 *1849:10 0.000282057 -19 *1782:10 *1851:14 0.0558938 -20 *1782:10 *1853:8 0.0645189 -21 *1782:10 *2499:10 0.00389853 -22 *1782:24 *1851:14 0.00120635 -23 *1782:24 *1853:8 0.00121634 -24 *1782:24 *1914:7 0 -25 *1782:24 *2490:23 0 -26 *1782:25 *1783:10 0.0614043 -27 *1782:25 *1893:24 0.000234007 -28 *1782:28 *1783:13 0 -29 *781:14 *1782:10 0.00894732 -30 *1419:10 *1782:10 8.98392e-05 -31 *1779:14 *1782:25 0.0620416 -32 *1779:17 *1782:28 0 -*RES -1 *2826:mprj_adr_o[3] *1782:9 32.2364 -2 *1782:9 *1782:10 704.515 -3 *1782:10 *1782:12 4.5 -4 *1782:12 *2820:mprj_adr_o_core[3] 60.0894 -5 *1782:9 *1782:24 32.0617 -6 *1782:24 *1782:25 654.601 -7 *1782:25 *1782:27 4.5 -8 *1782:27 *1782:28 81.3528 -9 *1782:28 *2819:wb_adr_i[3] 0.366399 -*END - -*D_NET *1783 0.287293 -*CONN -*I *2820:mprj_adr_o_core[4] I *D mgmt_protect -*I *2819:wb_adr_i[4] I *D housekeeping -*I *2826:mprj_adr_o[4] O *D mgmt_core_wrapper -*CAP -1 *2820:mprj_adr_o_core[4] 0.00118062 -2 *2819:wb_adr_i[4] 1.28869e-05 -3 *2826:mprj_adr_o[4] 0.00152982 -4 *1783:30 0.00428885 -5 *1783:29 0.00359245 -6 *1783:24 0.00329845 -7 *1783:23 0.00355581 -8 *1783:13 0.00348615 -9 *1783:12 0.00347327 -10 *1783:10 0.00412884 -11 *1783:9 0.00412884 -12 *1783:7 0.0022714 -13 *2820:mprj_adr_o_core[4] *2820:mprj_sel_o_core[3] 0 -14 *2820:mprj_adr_o_core[4] *1851:5 0 -15 *1783:7 *2826:mprj_dat_i[4] 0.000726014 -16 *1783:7 *2490:7 0 -17 *1783:7 *2490:23 0 -18 *1783:10 *1784:22 0.0602295 -19 *1783:10 *1893:24 0.000644459 -20 *1783:13 *1784:25 0 -21 *1783:24 *1785:24 0.00636219 -22 *1783:24 *1825:14 0.0123447 -23 *1783:30 *1785:30 0.000620311 -24 *1783:30 *1827:8 0.0356723 -25 *1783:30 *1914:10 0.0031137 -26 *1038:20 *1783:30 0.00233313 -27 *1054:10 *1783:24 0.00159227 -28 *1423:10 *1783:30 0.000895596 -29 *1761:24 *1783:24 0.00369387 -30 *1779:28 *1783:24 0.0240298 -31 *1779:34 *1783:30 0.0386831 -32 *1782:25 *1783:10 0.0614043 -33 *1782:28 *1783:13 0 -*RES -1 *2826:mprj_adr_o[4] *1783:7 38.9726 -2 *1783:7 *1783:9 4.5 -3 *1783:9 *1783:10 647.946 -4 *1783:10 *1783:12 4.5 -5 *1783:12 *1783:13 80.9375 -6 *1783:13 *2819:wb_adr_i[4] 0.366399 -7 *1783:7 *1783:23 19.6201 -8 *1783:23 *1783:24 294.663 -9 *1783:24 *1783:29 19.5523 -10 *1783:29 *1783:30 423.886 -11 *1783:30 *2820:mprj_adr_o_core[4] 29.7083 -*END - -*D_NET *1784 0.270938 -*CONN -*I *2819:wb_adr_i[5] I *D housekeeping -*I *2820:mprj_adr_o_core[5] I *D mgmt_protect -*I *2826:mprj_adr_o[5] O *D mgmt_core_wrapper -*CAP -1 *2819:wb_adr_i[5] 1.28869e-05 -2 *2820:mprj_adr_o_core[5] 0.00219442 -3 *2826:mprj_adr_o[5] 0.000994157 -4 *1784:25 0.00346472 -5 *1784:24 0.00345183 -6 *1784:22 0.00545711 -7 *1784:21 0.00591527 -8 *1784:12 0.00219442 -9 *1784:10 0.00520103 -10 *1784:9 0.00520103 -11 *1784:7 0.00145231 -12 *2820:mprj_adr_o_core[5] *1852:7 0 -13 *2820:mprj_adr_o_core[5] *1915:33 0.00292763 -14 *1784:7 *1852:11 0.000751059 -15 *1784:7 *1915:7 0 -16 *1784:7 *1915:23 0 -17 *1784:10 *1786:24 0.00138612 -18 *1784:10 *1828:8 0.0107507 -19 *1784:10 *1849:10 0.000549365 -20 *1784:10 *1853:8 0.0680407 -21 *1784:10 *1855:14 0.0535935 -22 *1784:10 *2499:10 0.00349348 -23 *1784:21 *1852:11 0.00012725 -24 *1784:21 *1915:23 0 -25 *1784:22 *1832:8 0.00164511 -26 *1784:22 *1838:8 0.000148106 -27 *1784:22 *1893:24 0.0123318 -28 *1784:22 *1898:10 0.000873324 -29 *1784:25 *1785:13 0 -30 *2826:la_input[98] *2820:mprj_adr_o_core[5] 0 -31 *781:11 *2820:mprj_adr_o_core[5] 0 -32 *1039:19 *2820:mprj_adr_o_core[5] 0 -33 *1419:10 *1784:10 0.000332359 -34 *1542:9 *2820:mprj_adr_o_core[5] 0 -35 *1767:10 *1784:22 0.00038324 -36 *1769:10 *1784:22 0.017496 -37 *1782:10 *1784:10 0.000339574 -38 *1783:10 *1784:22 0.0602295 -39 *1783:13 *1784:25 0 -*RES -1 *2826:mprj_adr_o[5] *1784:7 30.2523 -2 *1784:7 *1784:9 4.5 -3 *1784:9 *1784:10 726.7 -4 *1784:10 *1784:12 4.5 -5 *1784:12 *2820:mprj_adr_o_core[5] 59.2589 -6 *1784:7 *1784:21 12.976 -7 *1784:21 *1784:22 635.745 -8 *1784:22 *1784:24 4.5 -9 *1784:24 *1784:25 80.5223 -10 *1784:25 *2819:wb_adr_i[5] 0.366399 -*END - -*D_NET *1785 0.269073 -*CONN -*I *2820:mprj_adr_o_core[6] I *D mgmt_protect -*I *2819:wb_adr_i[6] I *D housekeeping -*I *2826:mprj_adr_o[6] O *D mgmt_core_wrapper -*CAP -1 *2820:mprj_adr_o_core[6] 0.00119767 -2 *2819:wb_adr_i[6] 1.28869e-05 -3 *2826:mprj_adr_o[6] 0.000994537 -4 *1785:30 0.00647835 -5 *1785:29 0.0056331 -6 *1785:24 0.00307318 -7 *1785:23 0.0041166 -8 *1785:13 0.00277448 -9 *1785:12 0.0027616 -10 *1785:10 0.00520438 -11 *1785:9 0.00520438 -12 *1785:7 0.00239038 -13 *2820:mprj_adr_o_core[6] *2820:mprj_dat_o_core[5] 0 -14 *2820:mprj_adr_o_core[6] *1853:5 0 -15 *1785:7 *2826:mprj_dat_i[6] 0 -16 *1785:10 *1786:10 0.0580503 -17 *1785:10 *1788:10 0.00111944 -18 *1785:10 *1788:30 8.2739e-05 -19 *1785:10 *1828:14 0.000344119 -20 *1785:10 *1853:8 0 -21 *1785:10 *1890:12 9.51286e-05 -22 *1785:10 *1890:25 0.00105257 -23 *1785:10 *1893:10 0.0482883 -24 *1785:10 *1911:25 0.00411934 -25 *1785:13 *1786:13 0 -26 *1785:23 *2826:mprj_dat_i[6] 0 -27 *1785:24 *1787:24 0.0257164 -28 *1785:24 *1825:14 0.0123489 -29 *1785:30 *1827:8 0.000276395 -30 *1785:30 *1905:22 0.00363502 -31 *1785:30 *1914:10 0.000118134 -32 *781:11 *2820:mprj_adr_o_core[6] 0.000100025 -33 *1054:10 *1785:24 0.000532369 -34 *1057:12 *1785:24 0.00013754 -35 *1057:14 *1785:24 0.0036548 -36 *1427:16 *1785:30 0.0151793 -37 *1438:7 *1785:29 0.000570057 -38 *1445:16 *1785:30 0.0057533 -39 *1764:24 *1785:24 0.0035785 -40 *1777:30 *1785:30 0 -41 *1779:34 *1785:30 0.0374961 -42 *1783:24 *1785:24 0.00636219 -43 *1783:30 *1785:30 0.000620311 -44 *1784:25 *1785:13 0 -*RES -1 *2826:mprj_adr_o[6] *1785:7 23.9991 -2 *1785:7 *1785:9 4.5 -3 *1785:9 *1785:10 625.207 -4 *1785:10 *1785:12 4.5 -5 *1785:12 *1785:13 67.6495 -6 *1785:13 *2819:wb_adr_i[6] 0.366399 -7 *1785:7 *1785:23 32.0776 -8 *1785:23 *1785:24 311.856 -9 *1785:24 *1785:29 19.5523 -10 *1785:29 *1785:30 422.222 -11 *1785:30 *2820:mprj_adr_o_core[6] 30.5388 -*END - -*D_NET *1786 0.292643 -*CONN -*I *2820:mprj_adr_o_core[7] I *D mgmt_protect -*I *2819:wb_adr_i[7] I *D housekeeping -*I *2826:mprj_adr_o[7] O *D mgmt_core_wrapper -*CAP -1 *2820:mprj_adr_o_core[7] 6.22868e-05 -2 *2819:wb_adr_i[7] 1.28869e-05 -3 *2826:mprj_adr_o[7] 0.00109268 -4 *1786:27 0.0023933 -5 *1786:26 0.00233101 -6 *1786:24 0.00532747 -7 *1786:23 0.00554404 -8 *1786:13 0.0027976 -9 *1786:12 0.00278472 -10 *1786:10 0.00434034 -11 *1786:9 0.00434034 -12 *1786:7 0.00130926 -13 *1786:7 *1854:11 0 -14 *1786:7 *1917:7 0 -15 *1786:10 *1787:10 0.056742 -16 *1786:10 *1893:10 0.000113197 -17 *1786:10 *1911:25 0.00359888 -18 *1786:13 *1787:13 0 -19 *1786:23 *1854:11 0 -20 *1786:23 *1917:23 0 -21 *1786:24 *1823:10 0.00316417 -22 *1786:24 *1828:8 0.0107465 -23 *1786:24 *1845:8 0.000451273 -24 *1786:24 *1849:10 0.00117488 -25 *1786:24 *1855:14 0.0561127 -26 *1786:24 *1906:10 3.58099e-05 -27 *1786:24 *1908:10 0.000106845 -28 *1786:27 *2820:mprj_dat_o_core[6] 0 -29 *1786:27 *1854:7 0.00114749 -30 *2826:la_input[99] *1786:27 0 -31 *782:14 *1786:24 0.00477383 -32 *783:11 *1786:27 0.000797848 -33 *783:14 *1786:24 0.00107822 -34 *1038:19 *1786:27 0 -35 *1415:9 *1786:27 6.97621e-06 -36 *1419:10 *1786:24 0.00465697 -37 *1780:10 *1786:24 0.0561635 -38 *1784:10 *1786:24 0.00138612 -39 *1785:10 *1786:10 0.0580503 -40 *1785:13 *1786:13 0 -*RES -1 *2826:mprj_adr_o[7] *1786:7 26.0998 -2 *1786:7 *1786:9 4.5 -3 *1786:9 *1786:10 614.67 -4 *1786:10 *1786:12 4.5 -5 *1786:12 *1786:13 68.0647 -6 *1786:13 *2819:wb_adr_i[7] 0.366399 -7 *1786:7 *1786:23 9.65401 -8 *1786:23 *1786:24 738.901 -9 *1786:24 *1786:26 4.5 -10 *1786:26 *1786:27 58.5139 -11 *1786:27 *2820:mprj_adr_o_core[7] 1.77093 -*END - -*D_NET *1787 0.284496 -*CONN -*I *2820:mprj_adr_o_core[8] I *D mgmt_protect -*I *2819:wb_adr_i[8] I *D housekeeping -*I *2826:mprj_adr_o[8] O *D mgmt_core_wrapper -*CAP -1 *2820:mprj_adr_o_core[8] 0.000616058 -2 *2819:wb_adr_i[8] 1.28869e-05 -3 *2826:mprj_adr_o[8] 0.00104615 -4 *1787:30 0.00431574 -5 *1787:29 0.00483686 -6 *1787:24 0.00359841 -7 *1787:23 0.0036788 -8 *1787:13 0.00281543 -9 *1787:12 0.00280254 -10 *1787:10 0.00495657 -11 *1787:9 0.00495657 -12 *1787:7 0.00226372 -13 *2820:mprj_adr_o_core[8] *2820:mprj_dat_o_core[7] 0 -14 *2820:mprj_adr_o_core[8] *1855:5 0.00024075 -15 *1787:7 *2826:mprj_dat_i[8] 0 -16 *1787:7 *1918:7 0 -17 *1787:7 *1918:25 0 -18 *1787:10 *1788:31 0.00235152 -19 *1787:10 *1855:14 0 -20 *1787:10 *1893:10 6.44576e-05 -21 *1787:10 *1895:10 0.0460369 -22 *1787:10 *1917:10 0.00422959 -23 *1787:13 *1788:34 0 -24 *1787:23 *2826:mprj_dat_i[8] 0 -25 *1787:23 *1918:7 0 -26 *1787:23 *1919:15 0.000341446 -27 *1787:24 *1827:14 0.00085688 -28 *1787:24 *1829:14 0.023539 -29 *1787:30 *1829:8 0.0393936 -30 *1787:30 *2489:24 0.00367126 -31 *778:8 *1787:30 0.000380813 -32 *806:8 *1787:30 9.64752e-05 -33 *1057:12 *1787:24 0.000436811 -34 *1059:10 *1787:24 4.52871e-05 -35 *1315:10 *1787:24 0.00110328 -36 *1757:24 *1787:30 0.00180021 -37 *1763:24 *1787:30 0.0384145 -38 *1766:24 *1787:24 0.00313531 -39 *1785:24 *1787:24 0.0257164 -40 *1786:10 *1787:10 0.056742 -41 *1786:13 *1787:13 0 -*RES -1 *2826:mprj_adr_o[8] *1787:7 24.8296 -2 *1787:7 *1787:9 4.5 -3 *1787:9 *1787:10 600.805 -4 *1787:10 *1787:12 4.5 -5 *1787:12 *1787:13 68.48 -6 *1787:13 *2819:wb_adr_i[8] 0.366399 -7 *1787:7 *1787:23 30.8319 -8 *1787:23 *1787:24 300.209 -9 *1787:24 *1787:29 29.9336 -10 *1787:29 *1787:30 450.507 -11 *1787:30 *2820:mprj_adr_o_core[8] 20.5727 -*END - -*D_NET *1788 0.242084 -*CONN -*I *2819:wb_adr_i[9] I *D housekeeping -*I *2820:mprj_adr_o_core[9] I *D mgmt_protect -*I *2826:mprj_adr_o[9] O *D mgmt_core_wrapper -*CAP -1 *2819:wb_adr_i[9] 1.28869e-05 -2 *2820:mprj_adr_o_core[9] 0.00269851 -3 *2826:mprj_adr_o[9] 0.000690962 -4 *1788:34 0.00288907 -5 *1788:33 0.00287619 -6 *1788:31 0.00627549 -7 *1788:30 0.0065291 -8 *1788:18 0.00269851 -9 *1788:16 0.00215861 -10 *1788:15 0.00275546 -11 *1788:10 0.00817043 -12 *1788:9 0.00851814 -13 *2820:mprj_adr_o_core[9] *2820:mprj_dat_o_core[8] 0 -14 *2820:mprj_adr_o_core[9] *1856:7 0 -15 *1788:9 *1856:11 0 -16 *1788:9 *1919:9 0 -17 *1788:10 *1828:14 0.0582379 -18 *1788:10 *1836:8 0 -19 *1788:10 *1847:8 0 -20 *1788:10 *1953:10 0.0187129 -21 *1788:10 *2489:10 0.000224455 -22 *1788:15 *2820:mprj_iena_wb 0 -23 *1788:15 *1849:7 2.27839e-05 -24 *1788:15 *1904:15 7.09666e-06 -25 *1788:16 *1832:8 0 -26 *1788:16 *1834:8 0.000266441 -27 *1788:16 *1842:10 1.41853e-05 -28 *1788:16 *1842:12 0.000848781 -29 *1788:16 *1855:8 0.012814 -30 *1788:16 *1893:24 0 -31 *1788:16 *1901:24 7.24449e-05 -32 *1788:16 *1919:16 0.00085334 -33 *1788:30 *1828:14 0.000356617 -34 *1788:30 *1856:11 5.96373e-05 -35 *1788:31 *1895:10 0.000721049 -36 *1788:31 *1897:15 0.00137566 -37 *1788:31 *1897:25 0.043314 -38 *1788:31 *1899:12 0.00106121 -39 *1788:31 *1899:25 0.0404707 -40 *1788:31 *1915:10 0.00380887 -41 *2819:wb_adr_i[10] *1788:34 0 -42 *1040:9 *1788:15 8.62625e-06 -43 *1297:9 *1788:15 0 -44 *1433:10 *1788:10 8.07438e-05 -45 *1543:9 *2820:mprj_adr_o_core[9] 0 -46 *1758:15 *1788:9 0.00103034 -47 *1763:10 *1788:31 0.00145383 -48 *1768:24 *1788:10 0 -49 *1770:24 *1788:16 0.00644103 -50 *1780:10 *1788:31 0 -51 *1785:10 *1788:10 0.00111944 -52 *1785:10 *1788:30 8.2739e-05 -53 *1787:10 *1788:31 0.00235152 -54 *1787:13 *1788:34 0 -*RES -1 *2826:mprj_adr_o[9] *1788:9 27.2534 -2 *1788:9 *1788:10 615.224 -3 *1788:10 *1788:15 19.5523 -4 *1788:15 *1788:16 145.475 -5 *1788:16 *1788:18 4.5 -6 *1788:18 *2820:mprj_adr_o_core[9] 54.6912 -7 *1788:9 *1788:30 16.544 -8 *1788:30 *1788:31 583.612 -9 *1788:31 *1788:33 4.5 -10 *1788:33 *1788:34 69.7257 -11 *1788:34 *2819:wb_adr_i[9] 0.366399 -*END - -*D_NET *1789 0.126068 -*CONN -*I *2821:wbs_adr_i[0] I *D user_project_wrapper -*I *2820:mprj_adr_o_user[0] O *D mgmt_protect -*CAP -1 *2821:wbs_adr_i[0] 0.00249062 -2 *2820:mprj_adr_o_user[0] 0.000474723 -3 *1789:10 0.00249062 -4 *1789:8 0.0085581 -5 *1789:7 0.00903282 -6 *1789:7 *2820:mprj_dat_i_user[0] 0 -7 *1789:7 *2500:7 0 -8 *1789:8 *1790:8 0.0472411 -9 *1789:8 *1791:8 0.0452272 -10 *1756:8 *1789:8 0.0105528 -*RES -1 *2820:mprj_adr_o_user[0] *1789:7 17.6659 -2 *1789:7 *1789:8 736.128 -3 *1789:8 *1789:10 4.5 -4 *1789:10 *2821:wbs_adr_i[0] 69.225 -*END - -*D_NET *1790 0.11508 -*CONN -*I *2821:wbs_adr_i[10] I *D user_project_wrapper -*I *2820:mprj_adr_o_user[10] O *D mgmt_protect -*CAP -1 *2821:wbs_adr_i[10] 0.00252222 -2 *2820:mprj_adr_o_user[10] 0.000453287 -3 *1790:10 0.00252222 -4 *1790:8 0.0054211 -5 *1790:7 0.00587439 -6 *2821:wbs_adr_i[10] *1824:13 0.0011389 -7 *1790:7 *2820:mprj_dat_i_user[10] 0 -8 *1790:7 *1952:7 0 -9 *1790:8 *1791:8 0.00370379 -10 *1756:8 *1790:8 0.0462025 -11 *1789:8 *1790:8 0.0472411 -*RES -1 *2820:mprj_adr_o_user[10] *1790:7 17.2507 -2 *1790:7 *1790:8 584.721 -3 *1790:8 *1790:10 4.5 -4 *1790:10 *2821:wbs_adr_i[10] 69.6402 -*END - -*D_NET *1791 0.114957 -*CONN -*I *2821:wbs_adr_i[11] I *D user_project_wrapper -*I *2820:mprj_adr_o_user[11] O *D mgmt_protect -*CAP -1 *2821:wbs_adr_i[11] 0.00278205 -2 *2820:mprj_adr_o_user[11] 0.000482497 -3 *1791:10 0.00278205 -4 *1791:8 0.0045389 -5 *1791:7 0.0050214 -6 *1791:7 *2820:mprj_dat_i_user[11] 0 -7 *1791:7 *1922:7 0 -8 *1791:8 *1793:8 0.0504186 -9 *1789:8 *1791:8 0.0452272 -10 *1790:8 *1791:8 0.00370379 -*RES -1 *2820:mprj_adr_o_user[11] *1791:7 18.0812 -2 *1791:7 *1791:8 571.965 -3 *1791:8 *1791:10 4.5 -4 *1791:10 *2821:wbs_adr_i[11] 68.8097 -*END - -*D_NET *1792 0.0842975 -*CONN -*I *2821:wbs_adr_i[12] I *D user_project_wrapper -*I *2820:mprj_adr_o_user[12] O *D mgmt_protect -*CAP -1 *2821:wbs_adr_i[12] 0.00103036 -2 *2820:mprj_adr_o_user[12] 0.000974994 -3 *1792:18 0.00315902 -4 *1792:16 0.00215169 -5 *1792:14 0.00533888 -6 *1792:13 0.00693952 -7 *1792:8 0.00630313 -8 *1792:7 0.00565445 -9 *1792:7 *2820:mprj_dat_i_user[11] 0 -10 *1792:7 *2820:mprj_dat_i_user[12] 0 -11 *1792:7 *1923:7 0 -12 *1792:8 *1802:8 0 -13 *1792:8 *1804:8 0 -14 *1792:8 *1806:8 0.000131218 -15 *1792:8 *1872:8 0.000188584 -16 *1792:8 *1874:8 0.0251877 -17 *1792:8 *1934:8 0.00207939 -18 *1792:8 *1936:8 0 -19 *1792:8 *1938:8 0.00016955 -20 *1792:8 *1940:8 0.0217315 -21 *1792:8 *1946:8 0 -22 *1792:8 *1947:10 0.000550322 -23 *1792:14 *1801:10 0.00221463 -24 *1792:14 *1864:8 0 -25 *1792:14 *1866:8 0.000492544 -26 *1792:14 *1929:8 0 -*RES -1 *2820:mprj_adr_o_user[12] *1792:7 30.1235 -2 *1792:7 *1792:8 335.149 -3 *1792:8 *1792:13 37.8234 -4 *1792:13 *1792:14 166.273 -5 *1792:14 *1792:16 0.578717 -6 *1792:16 *1792:18 57.0157 -7 *1792:18 *2821:wbs_adr_i[12] 33.0303 -*END - -*D_NET *1793 0.0808867 -*CONN -*I *2821:wbs_adr_i[13] I *D user_project_wrapper -*I *2820:mprj_adr_o_user[13] O *D mgmt_protect -*CAP -1 *2821:wbs_adr_i[13] 0.00280979 -2 *2820:mprj_adr_o_user[13] 0.000497102 -3 *1793:10 0.00280979 -4 *1793:8 0.0119271 -5 *1793:7 0.0124242 -6 *1793:7 *2820:mprj_dat_i_user[13] 0 -7 *1793:7 *1924:7 0 -8 *1791:8 *1793:8 0.0504186 -*RES -1 *2820:mprj_adr_o_user[13] *1793:7 18.4964 -2 *1793:7 *1793:8 545.344 -3 *1793:8 *1793:10 4.5 -4 *1793:10 *2821:wbs_adr_i[13] 68.3945 -*END - -*D_NET *1794 0.126452 -*CONN -*I *2821:wbs_adr_i[14] I *D user_project_wrapper -*I *2820:mprj_adr_o_user[14] O *D mgmt_protect -*CAP -1 *2821:wbs_adr_i[14] 0.00230701 -2 *2820:mprj_adr_o_user[14] 0.000857332 -3 *1794:10 0.00230701 -4 *1794:8 0.0102289 -5 *1794:7 0.0110862 -6 *1794:7 *1862:11 0.000780788 -7 *1794:7 *1925:5 0 -8 *1794:8 *1796:19 0 -9 *1794:8 *1800:8 0.0456692 -10 *1794:8 *1802:8 0.000405492 -11 *1794:8 *1804:8 0.00243375 -12 *1794:8 *1824:8 0.0436532 -13 *1794:8 *1872:8 0.000101365 -14 *1794:8 *1879:8 0.000190972 -15 *1794:8 *1885:8 0 -16 *1794:8 *1887:8 0 -17 *1794:8 *1924:8 0 -18 *1794:8 *1930:8 0.00577638 -19 *1794:8 *1932:8 0.000235627 -20 *1794:8 *1936:8 0.000110257 -21 *1794:8 *1948:8 0 -22 *1794:8 *1950:8 0 -23 *1794:8 *2494:8 0.000307989 -*RES -1 *2820:mprj_adr_o_user[14] *1794:7 31.6914 -2 *1794:7 *1794:8 73.3275 -3 *1794:8 *1794:10 3.36879 -4 *1794:10 *2821:wbs_adr_i[14] 54.0683 -*END - -*D_NET *1795 0.0946901 -*CONN -*I *2821:wbs_adr_i[15] I *D user_project_wrapper -*I *2820:mprj_adr_o_user[15] O *D mgmt_protect -*CAP -1 *2821:wbs_adr_i[15] 0.00151307 -2 *2820:mprj_adr_o_user[15] 0.000515889 -3 *1795:20 0.00717397 -4 *1795:19 0.00609005 -5 *1795:13 0.00159183 -6 *1795:8 0.0023547 -7 *1795:7 0.0017079 -8 *1795:7 *2820:mprj_dat_i_user[15] 0.00143714 -9 *1795:7 *1926:7 0 -10 *1795:8 *2820:mprj_dat_i_user[5] 0.00145608 -11 *1795:8 *1812:8 0.0110087 -12 *1795:8 *1870:14 0.0050094 -13 *1795:8 *1882:20 0.00192534 -14 *1795:8 *1946:8 0.000113197 -15 *1795:13 *2820:mprj_dat_i_user[1] 0.000332407 -16 *1795:13 *1809:13 0.000160384 -17 *1795:13 *1878:13 7.50872e-05 -18 *1795:13 *1932:7 0 -19 *1795:13 *1941:13 9.22013e-06 -20 *1795:19 *1803:10 0.00292376 -21 *1795:19 *1821:7 4.15661e-05 -22 *1795:19 *1857:8 0.000742567 -23 *1795:19 *2486:10 0.000160617 -24 *1795:20 *1803:10 0.0128901 -25 *1795:20 *1803:16 0 -26 *1795:20 *1805:14 0.0168388 -27 *1795:20 *1821:10 0.00676023 -28 *1795:20 *1858:8 0.00359945 -29 *1795:20 *1935:18 0.00728054 -30 *2821:la_oenb[1] *1795:13 8.32377e-05 -31 *905:14 *1795:19 0.00027196 -32 *1161:7 *1795:19 4.15661e-05 -33 *1161:14 *1795:8 0.00058136 -*RES -1 *2820:mprj_adr_o_user[15] *1795:7 27.632 -2 *1795:7 *1795:8 117.745 -3 *1795:8 *1795:13 32.0099 -4 *1795:13 *1795:19 41.2281 -5 *1795:19 *1795:20 370.089 -6 *1795:20 *2821:wbs_adr_i[15] 41.7506 -*END - -*D_NET *1796 0.112907 -*CONN -*I *2821:wbs_adr_i[16] I *D user_project_wrapper -*I *2820:mprj_adr_o_user[16] O *D mgmt_protect -*CAP -1 *2821:wbs_adr_i[16] 0.00193302 -2 *2820:mprj_adr_o_user[16] 0.00141373 -3 *1796:22 0.00193302 -4 *1796:20 0.00281788 -5 *1796:19 0.00300427 -6 *1796:8 0.000721336 -7 *1796:7 0.00194868 -8 *1796:7 *2820:mprj_dat_i_user[16] 0 -9 *1796:7 *1927:5 0 -10 *1796:8 *1863:8 1.61631e-05 -11 *1796:8 *1863:14 0.000998952 -12 *1796:8 *1863:18 0.00253512 -13 *1796:8 *1928:8 0.0054809 -14 *1796:19 *1870:13 4.00504e-05 -15 *1796:19 *1924:8 0.000268006 -16 *1796:19 *1951:5 2.18541e-05 -17 *1796:20 *1861:8 0.0422652 -18 *1796:20 *1863:8 0.0422568 -19 *1796:20 *1950:8 0.00439499 -20 *2821:la_oenb[7] *1796:7 0.000249888 -21 *1255:8 *1796:8 2.18145e-05 -22 *1266:8 *1796:8 0.000585491 -23 *1794:8 *1796:19 0 -*RES -1 *2820:mprj_adr_o_user[16] *1796:7 40.5048 -2 *1796:7 *1796:8 58.4022 -3 *1796:8 *1796:19 17.2659 -4 *1796:19 *1796:20 444.406 -5 *1796:20 *1796:22 4.5 -6 *1796:22 *2821:wbs_adr_i[16] 47.2166 -*END - -*D_NET *1797 0.10734 -*CONN -*I *2821:wbs_adr_i[17] I *D user_project_wrapper -*I *2820:mprj_adr_o_user[17] O *D mgmt_protect -*CAP -1 *2821:wbs_adr_i[17] 0.00121864 -2 *2820:mprj_adr_o_user[17] 2.27669e-05 -3 *1797:10 0.00460957 -4 *1797:9 0.00339093 -5 *1797:7 0.00200571 -6 *1797:5 0.00202848 -7 *1797:7 *2820:mprj_dat_i_user[16] 0 -8 *1797:7 *2820:mprj_dat_i_user[17] 0 -9 *1797:7 *1928:7 0 -10 *1797:10 *1817:8 0.00115781 -11 *1797:10 *1864:8 0.0461447 -12 *1797:10 *1871:18 0.000173512 -13 *1797:10 *1927:8 0.0456178 -14 *1797:10 *1929:8 0.00020979 -15 *2821:la_data_in[8] *1797:7 0.000760226 -*RES -1 *2820:mprj_adr_o_user[17] *1797:5 0.647305 -2 *1797:5 *1797:7 50.2089 -3 *1797:7 *1797:9 4.5 -4 *1797:9 *1797:10 491.548 -5 *1797:10 *2821:wbs_adr_i[17] 36.7675 -*END - -*D_NET *1798 0.105169 -*CONN -*I *2821:wbs_adr_i[18] I *D user_project_wrapper -*I *2820:mprj_adr_o_user[18] O *D mgmt_protect -*CAP -1 *2821:wbs_adr_i[18] 0.00187282 -2 *2820:mprj_adr_o_user[18] 0.00153967 -3 *1798:14 0.00187282 -4 *1798:12 0.00310578 -5 *1798:10 0.00312448 -6 *1798:8 0.000673469 -7 *1798:7 0.00219444 -8 *1798:7 *2820:mprj_dat_i_user[18] 0 -9 *1798:7 *1929:5 0 -10 *1798:8 *1865:8 1.67988e-05 -11 *1798:8 *1865:12 0.00662122 -12 *1798:8 *1867:12 0.00714585 -13 *1798:10 *1865:10 9.95922e-06 -14 *1798:10 *1867:10 9.95922e-06 -15 *1798:12 *1865:8 0.0382419 -16 *1798:12 *1867:8 0.0347964 -17 *1798:12 *1867:12 1.67988e-05 -18 *1798:12 *1924:8 0.00369398 -19 *1021:8 *1798:8 0.000108585 -20 *1277:5 *1798:7 0.000123582 -*RES -1 *2820:mprj_adr_o_user[18] *1798:7 41.7506 -2 *1798:7 *1798:8 76.1495 -3 *1798:8 *1798:10 0.578717 -4 *1798:10 *1798:12 402.256 -5 *1798:12 *1798:14 4.5 -6 *1798:14 *2821:wbs_adr_i[18] 45.5556 -*END - -*D_NET *1799 0.0994334 -*CONN -*I *2821:wbs_adr_i[19] I *D user_project_wrapper -*I *2820:mprj_adr_o_user[19] O *D mgmt_protect -*CAP -1 *2821:wbs_adr_i[19] 0.00114202 -2 *2820:mprj_adr_o_user[19] 0.00209279 -3 *1799:8 0.00436874 -4 *1799:7 0.00322671 -5 *1799:5 0.00209279 -6 *1799:5 *2820:mprj_dat_i_user[19] 0 -7 *1799:5 *1930:7 0 -8 *1799:5 *1931:5 0 -9 *1799:8 *1866:8 0.00154964 -10 *1799:8 *1869:8 0.0406853 -11 *1799:8 *1884:8 0.000165394 -12 *1799:8 *1929:8 0.000313928 -13 *1799:8 *1931:8 0.0434362 -14 *2821:la_oenb[8] *1799:5 0.000359845 -*RES -1 *2820:mprj_adr_o_user[19] *1799:5 51.7844 -2 *1799:5 *1799:7 4.5 -3 *1799:7 *1799:8 465.481 -4 *1799:8 *2821:wbs_adr_i[19] 35.1065 -*END - -*D_NET *1800 0.164215 -*CONN -*I *2821:wbs_adr_i[1] I *D user_project_wrapper -*I *2820:mprj_adr_o_user[1] O *D mgmt_protect -*CAP -1 *2821:wbs_adr_i[1] 0.00195427 -2 *2820:mprj_adr_o_user[1] 0.00121005 -3 *1800:10 0.00195427 -4 *1800:8 0.00830143 -5 *1800:7 0.00951149 -6 *1800:7 *2820:mprj_dat_i_user[1] 0 -7 *1800:7 *2491:7 0 -8 *1800:8 *1824:8 0.00395436 -9 *1800:8 *1932:8 0.0775758 -10 *1800:8 *2500:8 0.0140843 -11 *1794:8 *1800:8 0.0456692 -*RES -1 *2820:mprj_adr_o_user[1] *1800:7 32.5219 -2 *1800:7 *1800:8 99.1047 -3 *1800:8 *1800:10 3.36879 -4 *1800:10 *2821:wbs_adr_i[1] 53.2378 -*END - -*D_NET *1801 0.0931401 -*CONN -*I *2821:wbs_adr_i[20] I *D user_project_wrapper -*I *2820:mprj_adr_o_user[20] O *D mgmt_protect -*CAP -1 *2821:wbs_adr_i[20] 0.00105754 -2 *2820:mprj_adr_o_user[20] 6.22868e-05 -3 *1801:10 0.00444181 -4 *1801:9 0.00338427 -5 *1801:7 0.0017662 -6 *1801:5 0.00182849 -7 *1801:7 *2820:mprj_dat_i_user[20] 0 -8 *1801:7 *1931:5 0.000930632 -9 *1801:10 *1866:8 0.000313928 -10 *1801:10 *1871:10 0.00115153 -11 *1801:10 *1871:12 0.00242901 -12 *1801:10 *1933:8 0.0419621 -13 *1801:10 *1935:12 0.0306536 -14 *2821:la_data_in[9] *1801:7 0.000944062 -15 *1792:14 *1801:10 0.00221463 -*RES -1 *2820:mprj_adr_o_user[20] *1801:5 1.77093 -2 *1801:5 *1801:7 53.5309 -3 *1801:7 *1801:9 4.5 -4 *1801:9 *1801:10 449.952 -5 *1801:10 *2821:wbs_adr_i[20] 33.4455 -*END - -*D_NET *1802 0.0837533 -*CONN -*I *2821:wbs_adr_i[21] I *D user_project_wrapper -*I *2820:mprj_adr_o_user[21] O *D mgmt_protect -*CAP -1 *2821:wbs_adr_i[21] 0.0024395 -2 *2820:mprj_adr_o_user[21] 0.00115061 -3 *1802:10 0.0024395 -4 *1802:8 0.00429602 -5 *1802:7 0.00544663 -6 *1802:7 *2820:mprj_dat_i_user[21] 0 -7 *1802:7 *1933:5 0 -8 *1802:7 *1934:7 0 -9 *1802:8 *1804:8 0.0373577 -10 *1802:8 *1934:8 0.00182703 -11 *1802:8 *2500:8 0.0107019 -12 *1200:12 *1802:8 0.0164007 -13 *1545:8 *1802:8 1.67988e-05 -14 *1545:14 *1802:8 0.00127141 -15 *1792:8 *1802:8 0 -16 *1794:8 *1802:8 0.000405492 -*RES -1 *2820:mprj_adr_o_user[21] *1802:7 33.4455 -2 *1802:7 *1802:8 438.306 -3 *1802:8 *1802:10 4.5 -4 *1802:10 *2821:wbs_adr_i[21] 53.4454 -*END - -*D_NET *1803 0.0827815 -*CONN -*I *2821:wbs_adr_i[22] I *D user_project_wrapper -*I *2820:mprj_adr_o_user[22] O *D mgmt_protect -*CAP -1 *2821:wbs_adr_i[22] 0.00170413 -2 *2820:mprj_adr_o_user[22] 0.00168112 -3 *1803:16 0.00449203 -4 *1803:15 0.00291791 -5 *1803:10 0.00281572 -6 *1803:9 0.00268572 -7 *1803:7 0.00168112 -8 *1803:7 *1934:7 0.00156885 -9 *1803:10 *1805:14 0.0007977 -10 *1803:10 *1821:10 0.0128435 -11 *1803:10 *1858:8 0.000608238 -12 *1803:10 *2486:10 1.15389e-05 -13 *1803:15 *1882:13 0.000147999 -14 *1803:15 *1935:17 7.09666e-06 -15 *1803:16 *1805:14 4.71461e-05 -16 *1803:16 *1860:8 0.000715007 -17 *1803:16 *1937:8 0.000857698 -18 *2821:la_data_in[10] *1803:7 0 -19 *2821:la_data_in[2] *1803:10 0.000255147 -20 *2821:la_oenb[9] *1803:7 0 -21 *905:14 *1803:10 9.57557e-05 -22 *944:8 *1803:10 0.0124684 -23 *955:8 *1803:10 0.00129404 -24 *966:8 *1803:10 0.0136576 -25 *1161:10 *1803:10 0.00361417 -26 *1795:19 *1803:10 0.00292376 -27 *1795:20 *1803:10 0.0128901 -28 *1795:20 *1803:16 0 -*RES -1 *2820:mprj_adr_o_user[22] *1803:7 47.412 -2 *1803:7 *1803:9 4.5 -3 *1803:9 *1803:10 339.032 -4 *1803:10 *1803:15 12.0778 -5 *1803:15 *1803:16 84.4685 -6 *1803:16 *2821:wbs_adr_i[22] 44.2421 -*END - -*D_NET *1804 0.0920782 -*CONN -*I *2821:wbs_adr_i[23] I *D user_project_wrapper -*I *2820:mprj_adr_o_user[23] O *D mgmt_protect -*CAP -1 *2821:wbs_adr_i[23] 0.00249013 -2 *2820:mprj_adr_o_user[23] 0.00111073 -3 *1804:10 0.00249013 -4 *1804:8 0.00311339 -5 *1804:7 0.00422412 -6 *1804:7 *2820:mprj_dat_i_user[23] 0 -7 *1804:7 *1935:5 0 -8 *1804:8 *1936:8 0.0381881 -9 *1200:12 *1804:8 0.000670122 -10 *1792:8 *1804:8 0 -11 *1794:8 *1804:8 0.00243375 -12 *1802:8 *1804:8 0.0373577 -*RES -1 *2820:mprj_adr_o_user[23] *1804:7 33.0303 -2 *1804:7 *1804:8 411.685 -3 *1804:8 *1804:10 4.5 -4 *1804:10 *2821:wbs_adr_i[23] 53.8607 -*END - -*D_NET *1805 0.0805611 -*CONN -*I *2821:wbs_adr_i[24] I *D user_project_wrapper -*I *2820:mprj_adr_o_user[24] O *D mgmt_protect -*CAP -1 *2821:wbs_adr_i[24] 0.00163003 -2 *2820:mprj_adr_o_user[24] 0.00161366 -3 *1805:14 0.00371936 -4 *1805:13 0.00233401 -5 *1805:8 0.00217234 -6 *1805:7 0.00354133 -7 *1805:7 *2820:mprj_dat_i_user[24] 0 -8 *1805:7 *1936:7 0 -9 *1805:8 *1867:12 0.00205586 -10 *1805:8 *1945:8 0.0116186 -11 *1805:13 *1951:5 0.000291882 -12 *1805:14 *1860:8 0.000608238 -13 *1805:14 *1873:8 0.0245993 -14 *1805:14 *1937:8 0.000309013 -15 *2821:la_oenb[10] *1805:7 3.20069e-06 -16 *2821:la_oenb[4] *1805:13 0 -17 *916:8 *1805:8 0.000293924 -18 *966:8 *1805:14 0.00371169 -19 *1021:8 *1805:8 0 -20 *1032:8 *1805:8 0 -21 *1161:10 *1805:14 0.00361836 -22 *1172:8 *1805:8 0.000591935 -23 *1288:8 *1805:8 4.3492e-05 -24 *1672:8 *1805:8 0.000121162 -25 *1795:20 *1805:14 0.0168388 -26 *1803:10 *1805:14 0.0007977 -27 *1803:16 *1805:14 4.71461e-05 -*RES -1 *2820:mprj_adr_o_user[24] *1805:7 43.4116 -2 *1805:7 *1805:8 123.845 -3 *1805:8 *1805:13 14.9845 -4 *1805:13 *1805:14 274.698 -5 *1805:14 *2821:wbs_adr_i[24] 42.1658 -*END - -*D_NET *1806 0.0861032 -*CONN -*I *2821:wbs_adr_i[25] I *D user_project_wrapper -*I *2820:mprj_adr_o_user[25] O *D mgmt_protect -*CAP -1 *2821:wbs_adr_i[25] 0.00259631 -2 *2820:mprj_adr_o_user[25] 0.00104642 -3 *1806:10 0.00259631 -4 *1806:8 0.00305013 -5 *1806:7 0.00409655 -6 *1806:7 *2820:mprj_dat_i_user[25] 0 -7 *1806:7 *1937:7 0 -8 *1806:8 *1872:8 0.0350548 -9 *1806:8 *1930:8 0.00174509 -10 *1806:8 *1936:8 0.000113197 -11 *1806:8 *1938:8 0.0356132 -12 *1200:12 *1806:8 6.0055e-05 -13 *1792:8 *1806:8 0.000131218 -*RES -1 *2820:mprj_adr_o_user[25] *1806:7 31.7845 -2 *1806:7 *1806:8 385.064 -3 *1806:8 *1806:10 4.5 -4 *1806:10 *2821:wbs_adr_i[25] 55.1064 -*END - -*D_NET *1807 0.0805363 -*CONN -*I *2821:wbs_adr_i[26] I *D user_project_wrapper -*I *2820:mprj_adr_o_user[26] O *D mgmt_protect -*CAP -1 *2821:wbs_adr_i[26] 0.00169233 -2 *2820:mprj_adr_o_user[26] 0.00178281 -3 *1807:14 0.00258319 -4 *1807:13 0.000971263 -5 *1807:8 0.00240581 -6 *1807:7 0.00410822 -7 *2821:wbs_adr_i[26] *1882:13 0.000242984 -8 *2821:wbs_adr_i[26] *1935:17 4.09058e-05 -9 *1807:7 *2820:mprj_dat_i_user[26] 6.0298e-05 -10 *1807:7 *1938:7 0 -11 *1807:8 *1860:8 0.00034009 -12 *1807:8 *1875:12 0.0292179 -13 *1807:8 *1939:8 0.0292179 -14 *1807:13 *1877:7 0 -15 *1807:14 *1860:8 0.000333559 -16 *1807:14 *1870:8 0 -17 *1807:14 *1877:8 0.000436825 -18 *1807:14 *1882:14 0.00585757 -19 *1807:14 *1947:14 0.00124477 -*RES -1 *2820:mprj_adr_o_user[26] *1807:7 47.5641 -2 *1807:7 *1807:8 310.192 -3 *1807:8 *1807:13 10.4167 -4 *1807:13 *1807:14 61.7298 -5 *1807:14 *2821:wbs_adr_i[26] 45.0726 -*END - -*D_NET *1808 0.0805274 -*CONN -*I *2821:wbs_adr_i[27] I *D user_project_wrapper -*I *2820:mprj_adr_o_user[27] O *D mgmt_protect -*CAP -1 *2821:wbs_adr_i[27] 0.00267131 -2 *2820:mprj_adr_o_user[27] 0.00100938 -3 *1808:10 0.00267131 -4 *1808:8 0.00295268 -5 *1808:7 0.00396207 -6 *1808:7 *2820:mprj_dat_i_user[27] 0 -7 *1808:7 *1939:7 0 -8 *1808:8 *1874:8 0.032484 -9 *1808:8 *1934:8 0.00137579 -10 *1808:8 *1938:8 0.0327454 -11 *905:10 *1808:8 0.000220183 -12 *1010:8 *1808:8 0.000435263 -13 *1200:12 *1808:8 0 -*RES -1 *2820:mprj_adr_o_user[27] *1808:7 30.954 -2 *1808:7 *1808:8 358.443 -3 *1808:8 *1808:10 4.5 -4 *1808:10 *2821:wbs_adr_i[27] 55.9369 -*END - -*D_NET *1809 0.0712801 -*CONN -*I *2821:wbs_adr_i[28] I *D user_project_wrapper -*I *2820:mprj_adr_o_user[28] O *D mgmt_protect -*CAP -1 *2821:wbs_adr_i[28] 0.00191704 -2 *2820:mprj_adr_o_user[28] 0.00170929 -3 *1809:14 0.00329743 -4 *1809:13 0.00146302 -5 *1809:8 0.00181945 -6 *1809:7 0.00344612 -7 *2821:wbs_adr_i[28] *1876:13 0 -8 *1809:7 *2820:mprj_dat_i_user[28] 0 -9 *1809:7 *1940:7 0 -10 *1809:8 *1941:8 0.0209106 -11 *1809:8 *1944:8 0.0209106 -12 *1809:13 *1941:13 7.50872e-05 -13 *1809:14 *1870:8 0.00458518 -14 *1809:14 *1878:8 0.00821293 -15 *1809:14 *1926:8 0.000749867 -16 *1809:14 *1941:14 0.000518784 -17 *1809:14 *1947:14 0 -18 *2821:la_oenb[1] *1809:13 3.10924e-05 -19 *944:14 *1809:14 0.0014732 -20 *1795:13 *1809:13 0.000160384 -*RES -1 *2820:mprj_adr_o_user[28] *1809:7 45.9031 -2 *1809:7 *1809:8 222.565 -3 *1809:8 *1809:13 12.0778 -4 *1809:13 *1809:14 122.736 -5 *1809:14 *2821:wbs_adr_i[28] 48.3946 -*END - -*D_NET *1810 0.0755897 -*CONN -*I *2821:wbs_adr_i[29] I *D user_project_wrapper -*I *2820:mprj_adr_o_user[29] O *D mgmt_protect -*CAP -1 *2821:wbs_adr_i[29] 0.00142022 -2 *2820:mprj_adr_o_user[29] 0.000881782 -3 *1810:16 0.00159107 -4 *1810:13 0.0015494 -5 *1810:8 0.00385634 -6 *1810:7 0.00335957 -7 *1810:7 *2820:mprj_dat_i_user[29] 0 -8 *1810:7 *1941:7 0 -9 *1810:8 *1812:8 0.028768 -10 *1810:8 *1942:8 0.0287638 -11 *1810:8 *1947:10 0.000502471 -12 *1810:13 *2821:wbs_adr_i[30] 0 -13 *1810:13 *2821:wbs_dat_i[30] 0 -14 *1810:13 *1947:13 0.000136276 -15 *1810:16 *1886:18 0.00238245 -16 *1810:16 *1923:14 0.00237825 -*RES -1 *2820:mprj_adr_o_user[29] *1810:7 28.4625 -2 *1810:7 *1810:8 306.865 -3 *1810:8 *1810:13 33.2556 -4 *1810:13 *1810:16 29.626 -5 *1810:16 *2821:wbs_adr_i[29] 34.3438 -*END - -*D_NET *1811 0.155994 -*CONN -*I *2821:wbs_adr_i[2] I *D user_project_wrapper -*I *2820:mprj_adr_o_user[2] O *D mgmt_protect -*CAP -1 *2821:wbs_adr_i[2] 0.00190256 -2 *2820:mprj_adr_o_user[2] 0.00116648 -3 *1811:10 0.00190256 -4 *1811:8 0.00418067 -5 *1811:7 0.00534714 -6 *1811:7 *2820:mprj_dat_i_user[2] 0 -7 *1811:7 *2492:7 0 -8 *1811:8 *1868:8 0.0661369 -9 *1811:8 *1932:8 0.00790745 -10 *1811:8 *2492:8 0.0666042 -11 *1161:13 *1811:7 0.000425016 -12 *1545:8 *1811:8 0.000307881 -13 *1584:14 *1811:8 0.000113197 -*RES -1 *2820:mprj_adr_o_user[2] *1811:7 35.1065 -2 *1811:7 *1811:8 700.633 -3 *1811:8 *1811:10 4.5 -4 *1811:10 *2821:wbs_adr_i[2] 51.7844 -*END - -*D_NET *1812 0.0656564 -*CONN -*I *2821:wbs_adr_i[30] I *D user_project_wrapper -*I *2820:mprj_adr_o_user[30] O *D mgmt_protect -*CAP -1 *2821:wbs_adr_i[30] 0.00286197 -2 *2820:mprj_adr_o_user[30] 0.000853494 -3 *1812:10 0.00286197 -4 *1812:8 0.00310015 -5 *1812:7 0.00395365 -6 *1812:7 *2820:mprj_dat_i_user[30] 0 -7 *1812:7 *1942:7 0 -8 *1812:8 *1870:14 0.000276785 -9 *1812:8 *1870:20 0.00411799 -10 *1812:8 *1878:22 0.00229291 -11 *1812:8 *1882:20 0.00211713 -12 *1812:8 *1942:8 0.000373913 -13 *1812:8 *1946:8 0.000748844 -14 *1812:8 *1947:10 0.000462967 -15 *1010:8 *1812:8 1.59204e-05 -16 *1650:8 *1812:8 0.00184192 -17 *1795:8 *1812:8 0.0110087 -18 *1810:8 *1812:8 0.028768 -19 *1810:13 *2821:wbs_adr_i[30] 0 -*RES -1 *2820:mprj_adr_o_user[30] *1812:7 28.0472 -2 *1812:7 *1812:8 318.511 -3 *1812:8 *1812:10 4.5 -4 *1812:10 *2821:wbs_adr_i[30] 58.8437 -*END - -*D_NET *1813 0.0671511 -*CONN -*I *2821:wbs_adr_i[31] I *D user_project_wrapper -*I *2820:mprj_adr_o_user[31] O *D mgmt_protect -*CAP -1 *2821:wbs_adr_i[31] 0.0018426 -2 *2820:mprj_adr_o_user[31] 0.00162985 -3 *1813:8 0.00435734 -4 *1813:7 0.00414459 -5 *1813:7 *2820:mprj_dat_i_user[31] 0 -6 *1813:7 *1944:7 0 -7 *1813:8 *1878:14 0.0195492 -8 *1813:8 *1880:8 0.00140264 -9 *1813:8 *1926:8 0.000176808 -10 *1813:8 *1941:14 0.00546422 -11 *1813:8 *1944:8 0.0284549 -12 *1813:8 *1945:8 0.000128915 -13 *1183:8 *1813:8 0 -*RES -1 *2820:mprj_adr_o_user[31] *1813:7 45.0726 -2 *1813:7 *1813:8 305.201 -3 *1813:8 *2821:wbs_adr_i[31] 46.3183 -*END - -*D_NET *1814 0.171871 -*CONN -*I *2821:wbs_adr_i[3] I *D user_project_wrapper -*I *2820:mprj_adr_o_user[3] O *D mgmt_protect -*CAP -1 *2821:wbs_adr_i[3] 0.00184305 -2 *2820:mprj_adr_o_user[3] 0.0013675 -3 *1814:10 0.00184305 -4 *1814:8 0.00608994 -5 *1814:7 0.00745744 -6 *1814:7 *2820:mprj_dat_i_user[3] 0 -7 *1814:7 *1884:13 0 -8 *1814:7 *2493:5 0 -9 *1814:8 *1818:8 0.00648738 -10 *1814:8 *1820:8 0.00542114 -11 *1814:8 *1879:8 0.000969021 -12 *1814:8 *1948:8 0.068204 -13 *1814:8 *2494:8 0.0721395 -14 *2821:la_oenb[2] *1814:7 4.94034e-05 -*RES -1 *2820:mprj_adr_o_user[3] *1814:7 35.844 -2 *1814:7 *1814:8 94.2093 -3 *1814:8 *1814:10 3.36879 -4 *1814:10 *2821:wbs_adr_i[3] 49.9158 -*END - -*D_NET *1815 0.1667 -*CONN -*I *2821:wbs_adr_i[4] I *D user_project_wrapper -*I *2820:mprj_adr_o_user[4] O *D mgmt_protect -*CAP -1 *2821:wbs_adr_i[4] 0.00119039 -2 *2820:mprj_adr_o_user[4] 0.00230852 -3 *1815:8 0.0104474 -4 *1815:7 0.00925704 -5 *1815:5 0.00230852 -6 *1815:5 *2820:mprj_dat_i_user[4] 0 -7 *1815:5 *1884:13 0 -8 *1815:5 *1886:23 0 -9 *1815:5 *2494:7 0 -10 *1815:8 *1817:8 0.0675979 -11 *1815:8 *1858:8 0.000168694 -12 *1815:8 *1862:8 0.00135473 -13 *1815:8 *1884:8 0.000198316 -14 *1815:8 *1927:8 0.00106916 -15 *1815:8 *2493:8 0.0707989 -16 *2821:la_data_in[3] *1815:5 0 -17 *1222:5 *1815:5 0 -*RES -1 *2820:mprj_adr_o_user[4] *1815:5 49.0853 -2 *1815:5 *1815:7 3.36879 -3 *1815:7 *1815:8 91.7616 -4 *1815:8 *2821:wbs_adr_i[4] 36.6745 -*END - -*D_NET *1816 0.144306 -*CONN -*I *2821:wbs_adr_i[5] I *D user_project_wrapper -*I *2820:mprj_adr_o_user[5] O *D mgmt_protect -*CAP -1 *2821:wbs_adr_i[5] 0.0019108 -2 *2820:mprj_adr_o_user[5] 0.00133646 -3 *1816:10 0.0019108 -4 *1816:8 0.00418067 -5 *1816:7 0.00551713 -6 *1816:7 *2820:mprj_dat_i_user[5] 0 -7 *1816:7 *1886:23 0 -8 *1816:7 *1947:10 0 -9 *1816:7 *1948:7 0 -10 *1816:8 *1818:8 7.90692e-05 -11 *1816:8 *1883:8 0.0616266 -12 *1816:8 *1921:8 0.0578183 -13 *1816:8 *2492:8 0.00029642 -14 *1816:8 *2494:8 0.00769526 -15 *2821:la_oenb[3] *1816:7 0 -16 *1222:10 *1816:8 0.000330596 -17 *1584:8 *1816:8 0.000675827 -18 *1584:14 *1816:8 0.000928457 -*RES -1 *2820:mprj_adr_o_user[5] *1816:7 36.3523 -2 *1816:7 *1816:8 651.828 -3 *1816:8 *1816:10 4.5 -4 *1816:10 *2821:wbs_adr_i[5] 50.5386 -*END - -*D_NET *1817 0.163789 -*CONN -*I *2821:wbs_adr_i[6] I *D user_project_wrapper -*I *2820:mprj_adr_o_user[6] O *D mgmt_protect -*CAP -1 *2821:wbs_adr_i[6] 0.0011702 -2 *2820:mprj_adr_o_user[6] 0.00232318 -3 *1817:8 0.0103166 -4 *1817:7 0.00914635 -5 *1817:5 0.00232318 -6 *1817:5 *2820:mprj_dat_i_user[6] 0 -7 *1817:5 *1923:13 0 -8 *1817:5 *1948:7 0 -9 *1817:8 *1858:8 0 -10 *1817:8 *1864:8 0.000883414 -11 *1817:8 *1884:8 0.000328086 -12 *1817:8 *1949:8 0.068542 -13 *2821:la_data_in[4] *1817:5 0 -14 *2821:la_oenb[3] *1817:5 0 -15 *1797:10 *1817:8 0.00115781 -16 *1815:8 *1817:8 0.0675979 -*RES -1 *2820:mprj_adr_o_user[6] *1817:5 49.9158 -2 *1817:5 *1817:7 3.36879 -3 *1817:7 *1817:8 88.0901 -4 *1817:8 *2821:wbs_adr_i[6] 35.844 -*END - -*D_NET *1818 0.13657 -*CONN -*I *2821:wbs_adr_i[7] I *D user_project_wrapper -*I *2820:mprj_adr_o_user[7] O *D mgmt_protect -*CAP -1 *2821:wbs_adr_i[7] 0.00190956 -2 *2820:mprj_adr_o_user[7] 0.00137351 -3 *1818:10 0.00190956 -4 *1818:8 0.00453144 -5 *1818:7 0.00590495 -6 *1818:7 *2820:mprj_dat_i_user[7] 0 -7 *1818:7 *1949:5 0 -8 *1818:7 *1950:7 0 -9 *1818:8 *1820:8 0.0554783 -10 *1818:8 *1883:8 0.0575415 -11 *1818:8 *1950:8 0.000345279 -12 *1222:10 *1818:8 0.000383717 -13 *1222:12 *1818:8 0.000625954 -14 *1814:8 *1818:8 0.00648738 -15 *1816:8 *1818:8 7.90692e-05 -*RES -1 *2820:mprj_adr_o_user[7] *1818:7 37.1828 -2 *1818:7 *1818:8 625.207 -3 *1818:8 *1818:10 4.5 -4 *1818:10 *2821:wbs_adr_i[7] 49.7081 -*END - -*D_NET *1819 0.155074 -*CONN -*I *2821:wbs_adr_i[8] I *D user_project_wrapper -*I *2820:mprj_adr_o_user[8] O *D mgmt_protect -*CAP -1 *2821:wbs_adr_i[8] 0.00107355 -2 *2820:mprj_adr_o_user[8] 0.00233748 -3 *1819:8 0.0103383 -4 *1819:7 0.00926477 -5 *1819:5 0.00233748 -6 *1819:5 *2820:mprj_dat_i_user[8] 0 -7 *1819:5 *1950:7 0 -8 *1819:8 *1858:8 0 -9 *1819:8 *1866:8 0.000165394 -10 *1819:8 *1884:8 0.0623826 -11 *1819:8 *1933:8 0.000152056 -12 *1819:8 *1949:8 0.00119759 -13 *1819:8 *1951:8 0.0656115 -14 *2821:la_oenb[4] *1819:5 0.000213027 -15 *1233:5 *1819:5 0 -*RES -1 *2820:mprj_adr_o_user[8] *1819:5 52.4073 -2 *1819:5 *1819:7 3.36879 -3 *1819:7 *1819:8 84.4186 -4 *1819:8 *2821:wbs_adr_i[8] 33.3524 -*END - -*D_NET *1820 0.131915 -*CONN -*I *2821:wbs_adr_i[9] I *D user_project_wrapper -*I *2820:mprj_adr_o_user[9] O *D mgmt_protect -*CAP -1 *2821:wbs_adr_i[9] 0.00193484 -2 *2820:mprj_adr_o_user[9] 0.00140103 -3 *1820:10 0.00193484 -4 *1820:8 0.00390764 -5 *1820:7 0.00530867 -6 *1820:7 *2820:mprj_dat_i_user[9] 0 -7 *1820:7 *1951:5 0 -8 *1820:8 *1922:8 0.0542777 -9 *1820:8 *1952:8 0.00090253 -10 *988:11 *1820:7 1.77537e-06 -11 *1222:12 *1820:8 5.00728e-05 -12 *1233:8 *1820:8 0.00129676 -13 *1814:8 *1820:8 0.00542114 -14 *1818:8 *1820:8 0.0554783 -*RES -1 *2820:mprj_adr_o_user[9] *1820:7 37.598 -2 *1820:7 *1820:8 598.586 -3 *1820:8 *1820:10 4.5 -4 *1820:10 *2821:wbs_adr_i[9] 49.2929 -*END - -*D_NET *1821 0.136383 -*CONN -*I *2821:wb_clk_i I *D user_project_wrapper -*I *2820:user_clock O *D mgmt_protect -*CAP -1 *2821:wb_clk_i 0.00129931 -2 *2820:user_clock 0.00228149 -3 *1821:10 0.0125468 -4 *1821:9 0.0112475 -5 *1821:7 0.00228149 -6 *1821:7 *2486:7 0 -7 *1821:10 *1935:18 0.00727213 -8 *1821:10 *2486:10 0.0717354 -9 *1821:10 *2496:8 0.00807309 -10 *1795:19 *1821:7 4.15661e-05 -11 *1795:20 *1821:10 0.00676023 -12 *1803:10 *1821:10 0.0128435 -*RES -1 *2820:user_clock *1821:7 47.8273 -2 *1821:7 *1821:9 4.5 -3 *1821:9 *1821:10 761.085 -4 *1821:10 *2821:wb_clk_i 40.9201 -*END - -*D_NET *1822 0.358013 -*CONN -*I *2821:user_clock2 I *D user_project_wrapper -*I *2820:user_clock2 O *D mgmt_protect -*CAP -1 *2821:user_clock2 0.00127803 -2 *2820:user_clock2 0.00210581 -3 *1822:10 0.0166917 -4 *1822:9 0.0154137 -5 *1822:7 0.00210581 -6 *1822:7 *2820:user_irq_core[0] 0.00218348 -7 *1822:10 *2736:8 0.153646 -8 *1282:8 *1822:10 1.85963e-05 -9 *1561:8 *1822:10 0.00868061 -10 *1563:8 *1822:10 0.00993175 -11 *1565:19 *1822:7 0 -12 *1572:8 *1822:10 0.145958 -13 *1575:7 *1822:7 0 -*RES -1 *2820:user_clock2 *1822:7 48.4502 -2 *1822:7 *1822:9 3.36879 -3 *1822:9 *1822:10 194.87 -4 *1822:10 *2821:user_clock2 39.166 -*END - -*D_NET *1823 0.358306 -*CONN -*I *2819:wb_cyc_i I *D housekeeping -*I *2820:mprj_cyc_o_core I *D mgmt_protect -*I *2826:mprj_cyc_o O *D mgmt_core_wrapper -*CAP -1 *2819:wb_cyc_i 0.0011907 -2 *2820:mprj_cyc_o_core 0.00274681 -3 *2826:mprj_cyc_o 0.00218886 -4 *1823:29 0.00693372 -5 *1823:27 0.00638656 -6 *1823:12 0.00274681 -7 *1823:10 0.0083643 -8 *1823:9 0.00990963 -9 *2819:wb_cyc_i *2819:usr1_vcc_pwrgood 0 -10 *2819:wb_cyc_i *2819:wb_we_i 0 -11 *2820:mprj_cyc_o_core *2820:mprj_stb_o_core 0 -12 *1823:9 *2495:9 0 -13 *1823:10 *1828:8 0.000527672 -14 *1823:10 *1849:10 0.0473823 -15 *1823:10 *1855:14 0.00313457 -16 *1823:10 *1897:16 0.00383165 -17 *1823:10 *2499:10 0.0702954 -18 *1823:27 *2499:25 0 -19 *1823:29 *2499:27 0.0870883 -20 *2820:la_oenb_mprj[127] *2820:mprj_cyc_o_core 0 -21 *1035:15 *2820:mprj_cyc_o_core 0 -22 *1412:9 *2820:mprj_cyc_o_core 2.35251e-05 -23 *1420:10 *1823:10 0.0141654 -24 *1735:13 *1823:29 0.0877192 -25 *1750:10 *1823:27 0.000282852 -26 *1755:7 *2820:mprj_cyc_o_core 0.000223188 -27 *1755:11 *1823:9 0 -28 *1786:24 *1823:10 0.00316417 -*RES -1 *2826:mprj_cyc_o *1823:9 39.6423 -2 *1823:9 *1823:10 90.2318 -3 *1823:10 *1823:12 3.36879 -4 *1823:12 *2820:mprj_cyc_o_core 59.0513 -5 *2826:mprj_cyc_o *1823:27 23.6891 -6 *1823:27 *1823:29 920.811 -7 *1823:29 *2819:wb_cyc_i 37.6347 -*END - -*D_NET *1824 0.133889 -*CONN -*I *2821:wbs_cyc_i I *D user_project_wrapper -*I *2820:mprj_cyc_o_user O *D mgmt_protect -*CAP -1 *2821:wbs_cyc_i 0.00121167 -2 *2820:mprj_cyc_o_user 0.00112198 -3 *1824:14 0.00819538 -4 *1824:13 0.00770296 -5 *1824:8 0.00695861 -6 *1824:7 0.00736134 -7 *1824:7 *2496:7 0 -8 *1824:8 *1872:8 0.00218961 -9 *1824:8 *1930:8 0.03354 -10 *1824:8 *1936:8 0.00201302 -11 *1824:14 *1857:8 0.00150575 -12 *1824:14 *1882:8 0.000526688 -13 *1824:14 *1947:20 0.0115207 -14 *1824:14 *2486:10 0.000223253 -15 *1824:14 *2493:8 0.00107117 -16 *2820:mprj_ack_i_user *1824:7 0 -17 *2821:wbs_adr_i[10] *1824:13 0.0011389 -18 *1794:8 *1824:8 0.0436532 -19 *1800:8 *1824:8 0.00395436 -*RES -1 *2820:mprj_cyc_o_user *1824:7 30.8609 -2 *1824:7 *1824:8 67.0553 -3 *1824:8 *1824:13 29.01 -4 *1824:13 *1824:14 263.605 -5 *1824:14 *2821:wbs_cyc_i 38.4285 -*END - -*D_NET *1825 0.142247 -*CONN -*I *2826:mprj_dat_i[0] I *D mgmt_core_wrapper -*I *2820:mprj_dat_i_core[0] O *D mgmt_protect -*CAP -1 *2826:mprj_dat_i[0] 8.98429e-05 -2 *2820:mprj_dat_i_core[0] 0.000845553 -3 *1825:17 0.0024965 -4 *1825:16 0.00240666 -5 *1825:14 0.000837414 -6 *1825:13 0.00162623 -7 *1825:8 0.00539987 -8 *1825:7 0.00545662 -9 *1825:7 *2820:mprj_dat_o_core[0] 0 -10 *1825:7 *2820:mprj_we_o_core 0 -11 *1825:8 *1833:8 0.00281932 -12 *1825:8 *1839:8 0.00164624 -13 *1825:8 *1850:8 0.000648324 -14 *1825:8 *1909:30 0.0332978 -15 *1825:8 *1920:10 0.00396092 -16 *1825:13 *1827:13 0.00061449 -17 *1825:17 *1889:9 0 -18 *2820:mprj_adr_o_core[0] *1825:7 0 -19 *780:8 *1825:8 0.00855062 -20 *782:8 *1825:8 0.000679181 -21 *806:8 *1825:8 0.0448312 -22 *1757:7 *1825:17 0 -23 *1757:21 *1825:17 0 -24 *1764:24 *1825:14 0.00134681 -25 *1783:24 *1825:14 0.0123447 -26 *1785:24 *1825:14 0.0123489 -*RES -1 *2820:mprj_dat_i_core[0] *1825:7 23.0642 -2 *1825:7 *1825:8 540.907 -3 *1825:8 *1825:13 26.6116 -4 *1825:13 *1825:14 129.946 -5 *1825:14 *1825:16 4.5 -6 *1825:16 *1825:17 51.4546 -7 *1825:17 *2826:mprj_dat_i[0] 2.05183 -*END - -*D_NET *1826 0.129594 -*CONN -*I *2826:mprj_dat_i[10] I *D mgmt_core_wrapper -*I *2820:mprj_dat_i_core[10] O *D mgmt_protect -*CAP -1 *2826:mprj_dat_i[10] 0.000905153 -2 *2820:mprj_dat_i_core[10] 0.00253872 -3 *1826:14 0.013545 -4 *1826:13 0.0131922 -5 *1826:8 0.00110986 -6 *1826:7 0.000557476 -7 *1826:5 0.00253872 -8 *1826:5 *2820:mprj_dat_o_core[10] 0 -9 *1826:5 *1915:32 8.67307e-05 -10 *1826:8 *1851:8 0.00564668 -11 *1826:8 *1891:24 0.000108607 -12 *1826:8 *1895:24 0.00010238 -13 *1826:13 *1851:13 0 -14 *1826:14 *1830:12 0.00102238 -15 *1826:14 *1830:16 0.0630062 -16 *1826:14 *2489:10 0.000709571 -17 *2820:mprj_adr_o_core[10] *1826:5 0 -18 *778:13 *1826:5 2.55661e-06 -19 *779:11 *1826:5 0.000697764 -20 *783:14 *1826:8 0.000135103 -21 *791:8 *1826:14 0.00108064 -22 *792:8 *1826:14 0.000526284 -23 *794:8 *1826:14 0.000663933 -24 *796:10 *1826:14 0 -25 *798:8 *1826:14 0 -26 *800:8 *1826:14 0 -27 *1035:10 *1826:8 0.00340591 -28 *1036:9 *1826:13 0 -29 *1036:10 *1826:8 0.000751626 -30 *1290:9 *1826:5 2.60141e-05 -31 *1293:12 *1826:13 8.62625e-06 -32 *1294:12 *1826:14 0.00190029 -33 *1302:10 *1826:14 0.015326 -34 *1758:9 *2826:mprj_dat_i[10] 0 -*RES -1 *2820:mprj_dat_i_core[10] *1826:5 56.3522 -2 *1826:5 *1826:7 4.5 -3 *1826:7 *1826:8 60.066 -4 *1826:8 *1826:13 19.137 -5 *1826:13 *1826:14 710.061 -6 *1826:14 *2826:mprj_dat_i[10] 27.1312 -*END - -*D_NET *1827 0.166501 -*CONN -*I *2826:mprj_dat_i[11] I *D mgmt_core_wrapper -*I *2820:mprj_dat_i_core[11] O *D mgmt_protect -*CAP -1 *2826:mprj_dat_i[11] 8.98429e-05 -2 *2820:mprj_dat_i_core[11] 0.00119367 -3 *1827:17 0.00195884 -4 *1827:16 0.001869 -5 *1827:14 0.0022196 -6 *1827:13 0.00270026 -7 *1827:8 0.00489796 -8 *1827:7 0.00561097 -9 *1827:7 *2820:mprj_dat_o_core[11] 0 -10 *1827:8 *1844:8 6.94787e-05 -11 *1827:8 *1846:8 4.23874e-05 -12 *1827:8 *1848:8 0.0053874 -13 *1827:8 *1910:10 0.00027469 -14 *1827:8 *1912:10 0.0321659 -15 *1827:14 *1829:14 0.0282375 -16 *1827:14 *1831:14 0.0267528 -17 *1827:17 *1891:7 0 -18 *1827:17 *1891:23 0 -19 *1827:17 *1909:29 7.09666e-06 -20 *2820:mprj_adr_o_core[11] *1827:7 0 -21 *1059:10 *1827:14 0.000533681 -22 *1423:10 *1827:8 0.00973412 -23 *1425:16 *1827:8 0.000307898 -24 *1759:7 *1827:17 0.000140751 -25 *1759:21 *1827:17 0.00104369 -26 *1765:16 *1827:14 0.000580792 -27 *1767:24 *1827:14 0.00326311 -28 *1783:30 *1827:8 0.0356723 -29 *1785:30 *1827:8 0.000276395 -30 *1787:24 *1827:14 0.00085688 -31 *1825:13 *1827:13 0.00061449 -*RES -1 *2820:mprj_dat_i_core[11] *1827:7 29.293 -2 *1827:7 *1827:8 462.154 -3 *1827:8 *1827:13 22.0438 -4 *1827:13 *1827:14 316.847 -5 *1827:14 *1827:16 4.5 -6 *1827:16 *1827:17 49.7936 -7 *1827:17 *2826:mprj_dat_i[11] 2.05183 -*END - -*D_NET *1828 0.168695 -*CONN -*I *2826:mprj_dat_i[12] I *D mgmt_core_wrapper -*I *2820:mprj_dat_i_core[12] O *D mgmt_protect -*CAP -1 *2826:mprj_dat_i[12] 0.000920007 -2 *2820:mprj_dat_i_core[12] 0.00289889 -3 *1828:14 0.00574153 -4 *1828:13 0.00518584 -5 *1828:8 0.00118768 -6 *1828:7 0.000823365 -7 *1828:5 0.00289889 -8 *2826:mprj_dat_i[12] *1892:7 0 -9 *1828:5 *2820:mprj_dat_o_core[11] 0 -10 *1828:5 *2820:mprj_dat_o_core[12] 0 -11 *1828:13 *1855:13 7.09666e-06 -12 *1828:14 *1830:16 0.0639025 -13 *1828:14 *1890:12 0.000383703 -14 *1828:14 *1890:25 0.00214518 -15 *1828:14 *2489:10 0.000459555 -16 *2820:mprj_adr_o_core[12] *1828:5 0 -17 *2820:mprj_adr_o_core[13] *1828:5 0 -18 *1034:9 *1828:5 0.000267777 -19 *1040:10 *1828:14 0.000904135 -20 *1418:9 *1828:5 0 -21 *1433:10 *1828:14 4.91225e-06 -22 *1760:7 *2826:mprj_dat_i[12] 0 -23 *1760:21 *2826:mprj_dat_i[12] 0 -24 *1784:10 *1828:8 0.0107507 -25 *1785:10 *1828:14 0.000344119 -26 *1786:24 *1828:8 0.0107465 -27 *1788:10 *1828:14 0.0582379 -28 *1788:30 *1828:14 0.000356617 -29 *1823:10 *1828:8 0.000527672 -*RES -1 *2820:mprj_dat_i_core[12] *1828:5 58.8437 -2 *1828:5 *1828:7 4.5 -3 *1828:7 *1828:8 113.863 -4 *1828:8 *1828:13 15.815 -5 *1828:13 *1828:14 675.121 -6 *1828:14 *2826:mprj_dat_i[12] 26.8381 -*END - -*D_NET *1829 0.171105 -*CONN -*I *2826:mprj_dat_i[13] I *D mgmt_core_wrapper -*I *2820:mprj_dat_i_core[13] O *D mgmt_protect -*CAP -1 *2826:mprj_dat_i[13] 8.98429e-05 -2 *2820:mprj_dat_i_core[13] 0.000639794 -3 *1829:17 0.00240971 -4 *1829:16 0.00231987 -5 *1829:14 0.0024736 -6 *1829:13 0.00360402 -7 *1829:8 0.00460438 -8 *1829:7 0.00411375 -9 *1829:7 *2820:mprj_dat_o_core[13] 0.000243842 -10 *1829:8 *1831:8 0.00102292 -11 *1829:8 *1835:8 0.0408711 -12 *1829:8 *2489:24 0.00469432 -13 *1829:14 *1831:14 1.41853e-05 -14 *1829:14 *1839:14 0.000411498 -15 *1829:14 *1905:16 0.00070936 -16 *1829:14 *1907:24 0.00290708 -17 *1829:14 *1909:24 0.00285622 -18 *2820:mprj_adr_o_core[13] *1829:7 0 -19 *806:8 *1829:8 0.000207797 -20 *1316:7 *1829:13 0 -21 *1761:7 *1829:17 0 -22 *1761:23 *1829:17 0 -23 *1763:24 *1829:8 0.002279 -24 *1766:24 *1829:14 0.00346307 -25 *1787:24 *1829:14 0.023539 -26 *1787:30 *1829:8 0.0393936 -27 *1827:14 *1829:14 0.0282375 -*RES -1 *2820:mprj_dat_i_core[13] *1829:7 20.988 -2 *1829:7 *1829:8 468.809 -3 *1829:8 *1829:13 29.9336 -4 *1829:13 *1829:14 327.385 -5 *1829:14 *1829:16 4.5 -6 *1829:16 *1829:17 50.2089 -7 *1829:17 *2826:mprj_dat_i[13] 2.05183 -*END - -*D_NET *1830 0.164835 -*CONN -*I *2826:mprj_dat_i[14] I *D mgmt_core_wrapper -*I *2820:mprj_dat_i_core[14] O *D mgmt_protect -*CAP -1 *2826:mprj_dat_i[14] 0.000980208 -2 *2820:mprj_dat_i_core[14] 0.00336018 -3 *1830:16 0.00706851 -4 *1830:14 0.00610893 -5 *1830:12 0.000537843 -6 *1830:10 0.000686502 -7 *1830:5 0.00352947 -8 *1830:5 *2820:mprj_dat_o_core[13] 0 -9 *1830:5 *2820:mprj_dat_o_core[14] 0 -10 *1830:16 *1890:25 0.00121044 -11 *1830:16 *2489:10 0.000632809 -12 *2820:mprj_adr_o_core[14] *1830:5 0 -13 *2826:la_input[101] *1830:5 1.77537e-06 -14 *780:16 *1830:10 0.00179183 -15 *1037:12 *1830:12 0.00211047 -16 *1040:10 *1830:12 0.0022209 -17 *1040:10 *1830:16 0.000928404 -18 *1040:14 *1830:12 9.82896e-06 -19 *1294:12 *1830:10 1.41853e-05 -20 *1294:12 *1830:12 0.00331259 -21 *1419:9 *1830:5 0 -22 *1424:20 *1830:10 0.00184495 -23 *1424:20 *1830:12 0.000554491 -24 *1762:7 *2826:mprj_dat_i[14] 0 -25 *1762:23 *2826:mprj_dat_i[14] 0 -26 *1826:14 *1830:12 0.00102238 -27 *1826:14 *1830:16 0.0630062 -28 *1828:14 *1830:16 0.0639025 -*RES -1 *2820:mprj_dat_i_core[14] *1830:5 65.0725 -2 *1830:5 *1830:10 24.6586 -3 *1830:10 *1830:12 59.5114 -4 *1830:12 *1830:14 0.578717 -5 *1830:14 *1830:16 726.145 -6 *1830:16 *2826:mprj_dat_i[14] 28.1083 -*END - -*D_NET *1831 0.179867 -*CONN -*I *2826:mprj_dat_i[15] I *D mgmt_core_wrapper -*I *2820:mprj_dat_i_core[15] O *D mgmt_protect -*CAP -1 *2826:mprj_dat_i[15] 8.98429e-05 -2 *2820:mprj_dat_i_core[15] 0.000795109 -3 *1831:17 0.00236445 -4 *1831:16 0.00227461 -5 *1831:14 0.00222155 -6 *1831:13 0.00335488 -7 *1831:8 0.00439841 -8 *1831:7 0.00406019 -9 *1831:7 *2820:mprj_dat_o_core[14] 0 -10 *1831:7 *2820:mprj_dat_o_core[15] 0 -11 *1831:8 *1833:8 0.0435409 -12 *1831:8 *1835:8 0.0423558 -13 *1831:8 *1916:10 0.00490697 -14 *1831:14 *1833:14 0.0311285 -15 *1831:14 *1909:24 0.00575555 -16 *1831:17 *1895:7 0 -17 *1831:17 *1895:23 0 -18 *2820:mprj_adr_o_core[15] *1831:7 0 -19 *806:8 *1831:8 0.000517688 -20 *1317:7 *1831:13 0 -21 *1763:7 *1831:17 0 -22 *1763:23 *1831:17 0 -23 *1765:16 *1831:14 0.000636915 -24 *1767:24 *1831:14 0.00367534 -25 *1827:14 *1831:14 0.0267528 -26 *1829:8 *1831:8 0.00102292 -27 *1829:14 *1831:14 1.41853e-05 -*RES -1 *2820:mprj_dat_i_core[15] *1831:7 21.8185 -2 *1831:7 *1831:8 471.582 -3 *1831:8 *1831:13 29.9336 -4 *1831:13 *1831:14 342.359 -5 *1831:14 *1831:16 4.5 -6 *1831:16 *1831:17 49.3784 -7 *1831:17 *2826:mprj_dat_i[15] 2.05183 -*END - -*D_NET *1832 0.17744 -*CONN -*I *2826:mprj_dat_i[16] I *D mgmt_core_wrapper -*I *2820:mprj_dat_i_core[16] O *D mgmt_protect -*CAP -1 *2826:mprj_dat_i[16] 0.0017431 -2 *2820:mprj_dat_i_core[16] 0.0021528 -3 *1832:8 0.00765492 -4 *1832:7 0.00591182 -5 *1832:5 0.0021528 -6 *1832:5 *2820:mprj_dat_o_core[16] 0 -7 *1832:8 *1834:8 4.62974e-05 -8 *1832:8 *1893:24 0.0742558 -9 *1832:8 *1898:10 0.0764799 -10 *1832:8 *1917:24 0.000156676 -11 *1832:8 *2490:24 0.00386655 -12 *2820:mprj_adr_o_core[16] *1832:5 0.000284634 -13 *1292:7 *1832:5 0.000540791 -14 *1294:15 *1832:5 0.000549158 -15 *1764:7 *2826:mprj_dat_i[16] 0 -16 *1764:23 *2826:mprj_dat_i[16] 0 -17 *1784:22 *1832:8 0.00164511 -18 *1788:16 *1832:8 0 -*RES -1 *2820:mprj_dat_i_core[16] *1832:5 51.7844 -2 *1832:5 *1832:7 4.5 -3 *1832:7 *1832:8 822.646 -4 *1832:8 *2826:mprj_dat_i[16] 42.2269 -*END - -*D_NET *1833 0.176913 -*CONN -*I *2826:mprj_dat_i[17] I *D mgmt_core_wrapper -*I *2820:mprj_dat_i_core[17] O *D mgmt_protect -*CAP -1 *2826:mprj_dat_i[17] 8.98429e-05 -2 *2820:mprj_dat_i_core[17] 0.000823317 -3 *1833:17 0.00232446 -4 *1833:16 0.00223462 -5 *1833:14 0.0023564 -6 *1833:13 0.00348166 -7 *1833:8 0.00477022 -8 *1833:7 0.00446827 -9 *1833:7 *2820:mprj_dat_o_core[17] 0 -10 *1833:8 *1835:8 0.000636915 -11 *1833:8 *1909:30 0.00126894 -12 *1833:8 *1918:10 0.00467054 -13 *1833:14 *1909:24 0.00128137 -14 *2820:mprj_adr_o_core[17] *1833:7 0 -15 *806:8 *1833:8 0.0338586 -16 *1765:9 *1833:17 0 -17 *1765:16 *1833:14 0.0333438 -18 *1771:24 *1833:14 0.000282235 -19 *1773:16 *1833:14 0.00353352 -20 *1825:8 *1833:8 0.00281932 -21 *1831:8 *1833:8 0.0435409 -22 *1831:14 *1833:14 0.0311285 -*RES -1 *2820:mprj_dat_i_core[17] *1833:7 22.2337 -2 *1833:7 *1833:8 473.8 -3 *1833:8 *1833:13 29.9336 -4 *1833:13 *1833:14 357.333 -5 *1833:14 *1833:16 4.5 -6 *1833:16 *1833:17 48.9631 -7 *1833:17 *2826:mprj_dat_i[17] 2.05183 -*END - -*D_NET *1834 0.181798 -*CONN -*I *2826:mprj_dat_i[18] I *D mgmt_core_wrapper -*I *2820:mprj_dat_i_core[18] O *D mgmt_protect -*CAP -1 *2826:mprj_dat_i[18] 0.00141269 -2 *2820:mprj_dat_i_core[18] 0.0025668 -3 *1834:8 0.00733746 -4 *1834:7 0.00592477 -5 *1834:5 0.0025668 -6 *2826:mprj_dat_i[18] *1898:9 0 -7 *1834:5 *2820:mprj_dat_o_core[17] 0 -8 *1834:5 *2820:mprj_dat_o_core[18] 0 -9 *1834:8 *1838:8 0.0777522 -10 *1834:8 *1891:24 0.000300034 -11 *1834:8 *1898:10 0.000725963 -12 *1834:8 *1901:24 0.000904272 -13 *1834:8 *1902:10 0.0714783 -14 *1834:8 *1904:16 0.00464407 -15 *1834:8 *1917:24 0.00456391 -16 *2820:mprj_adr_o_core[18] *1834:5 0 -17 *807:13 *1834:5 3.02446e-05 -18 *1037:19 *1834:5 0.000365113 -19 *1293:15 *1834:5 0.000114465 -20 *1766:7 *2826:mprj_dat_i[18] 0.00069791 -21 *1766:23 *2826:mprj_dat_i[18] 9.97972e-05 -22 *1788:16 *1834:8 0.000266441 -23 *1832:8 *1834:8 4.62974e-05 -*RES -1 *2820:mprj_dat_i_core[18] *1834:5 53.0301 -2 *1834:5 *1834:7 4.5 -3 *1834:7 *1834:8 839.839 -4 *1834:8 *2826:mprj_dat_i[18] 40.9811 -*END - -*D_NET *1835 0.185736 -*CONN -*I *2826:mprj_dat_i[19] I *D mgmt_core_wrapper -*I *2820:mprj_dat_i_core[19] O *D mgmt_protect -*CAP -1 *2826:mprj_dat_i[19] 0.0018711 -2 *2820:mprj_dat_i_core[19] 0.000777072 -3 *1835:16 0.0018711 -4 *1835:14 0.00236891 -5 *1835:13 0.00345636 -6 *1835:8 0.0047336 -7 *1835:7 0.00442322 -8 *1835:7 *2820:mprj_dat_o_core[19] 0 -9 *1835:8 *1909:30 0.000106586 -10 *1835:8 *1916:10 0.00491675 -11 *2820:mprj_adr_o_core[19] *1835:7 0 -12 *1446:7 *1835:13 0.000423306 -13 *1763:24 *1835:8 0.0011886 -14 *1767:7 *2826:mprj_dat_i[19] 0.000812587 -15 *1767:23 *2826:mprj_dat_i[19] 0.000323305 -16 *1769:24 *1835:14 0.0352895 -17 *1771:24 *1835:14 0.0352853 -18 *1772:24 *1835:14 0.00402463 -19 *1829:8 *1835:8 0.0408711 -20 *1831:8 *1835:8 0.0423558 -21 *1833:8 *1835:8 0.000636915 -*RES -1 *2820:mprj_dat_i_core[19] *1835:7 21.4032 -2 *1835:7 *1835:8 477.682 -3 *1835:8 *1835:13 32.0099 -4 *1835:13 *1835:14 371.199 -5 *1835:14 *1835:16 4.5 -6 *1835:16 *2826:mprj_dat_i[19] 49.7692 -*END - -*D_NET *1836 0.148823 -*CONN -*I *2826:mprj_dat_i[1] I *D mgmt_core_wrapper -*I *2820:mprj_dat_i_core[1] O *D mgmt_protect -*CAP -1 *2826:mprj_dat_i[1] 0.00107629 -2 *2820:mprj_dat_i_core[1] 0.00238494 -3 *1836:8 0.00600687 -4 *1836:7 0.00493058 -5 *1836:5 0.00238494 -6 *2826:mprj_dat_i[1] *1900:7 0 -7 *1836:5 *2820:mprj_dat_o_core[1] 0 -8 *1836:8 *1847:8 0.0638752 -9 *1836:8 *2499:10 0.00262727 -10 *2820:mprj_adr_o_core[1] *1836:5 0.000792405 -11 *1036:15 *1836:5 0.000215045 -12 *1041:15 *1836:5 0.00040193 -13 *1413:9 *1836:5 0 -14 *1419:10 *1836:8 0 -15 *1768:24 *1836:8 0.0641279 -16 *1788:10 *1836:8 0 -*RES -1 *2820:mprj_dat_i_core[1] *1836:5 61.3352 -2 *1836:5 *1836:7 4.5 -3 *1836:7 *1836:8 683.44 -4 *1836:8 *2826:mprj_dat_i[1] 31.5525 -*END - -*D_NET *1837 0.17823 -*CONN -*I *2826:mprj_dat_i[20] I *D mgmt_core_wrapper -*I *2820:mprj_dat_i_core[20] O *D mgmt_protect -*CAP -1 *2826:mprj_dat_i[20] 8.98429e-05 -2 *2820:mprj_dat_i_core[20] 0.00107965 -3 *1837:11 0.00261027 -4 *1837:10 0.00252043 -5 *1837:8 0.00804137 -6 *1837:7 0.00912102 -7 *1837:7 *2820:mprj_dat_o_core[20] 0 -8 *1837:8 *1841:8 0.000536921 -9 *1837:8 *1843:8 0.0780205 -10 *1837:8 *1856:8 0.065648 -11 *1837:8 *1896:10 0.00866638 -12 *1837:8 *1907:30 0.000192826 -13 *2820:mprj_adr_o_core[20] *1837:7 0 -14 *1295:16 *1837:8 0.000204664 -15 *1296:16 *1837:8 6.85706e-05 -16 *1422:16 *1837:8 0.000287828 -17 *1425:16 *1837:8 1.54593e-05 -18 *1769:7 *1837:11 0.000820361 -19 *1769:23 *1837:11 0.000305463 -*RES -1 *2820:mprj_dat_i_core[20] *1837:7 26.3862 -2 *1837:7 *1837:8 857.586 -3 *1837:8 *1837:10 4.5 -4 *1837:10 *1837:11 65.5732 -5 *1837:11 *2826:mprj_dat_i[20] 2.05183 -*END - -*D_NET *1838 0.186565 -*CONN -*I *2826:mprj_dat_i[21] I *D mgmt_core_wrapper -*I *2820:mprj_dat_i_core[21] O *D mgmt_protect -*CAP -1 *2826:mprj_dat_i[21] 0.00171578 -2 *2820:mprj_dat_i_core[21] 0.00241021 -3 *1838:8 0.00774928 -4 *1838:7 0.0060335 -5 *1838:5 0.00241021 -6 *1838:5 *2820:mprj_dat_o_core[21] 0.000579466 -7 *1838:8 *1891:24 0.000300034 -8 *1838:8 *1898:10 0.078226 -9 *1838:8 *1902:10 0.00192735 -10 *1838:8 *1917:24 0.00400287 -11 *1295:15 *1838:5 0.000169929 -12 *1421:11 *1838:5 0.000125004 -13 *1767:10 *1838:8 0.00301554 -14 *1770:7 *2826:mprj_dat_i[21] 0 -15 *1770:23 *2826:mprj_dat_i[21] 0 -16 *1770:27 *1838:5 0 -17 *1784:22 *1838:8 0.000148106 -18 *1834:8 *1838:8 0.0777522 -*RES -1 *2820:mprj_dat_i_core[21] *1838:5 52.6149 -2 *1838:5 *1838:7 4.5 -3 *1838:7 *1838:8 865.905 -4 *1838:8 *2826:mprj_dat_i[21] 41.3964 -*END - -*D_NET *1839 0.177685 -*CONN -*I *2826:mprj_dat_i[22] I *D mgmt_core_wrapper -*I *2820:mprj_dat_i_core[22] O *D mgmt_protect -*CAP -1 *2826:mprj_dat_i[22] 8.98429e-05 -2 *2820:mprj_dat_i_core[22] 0.00093243 -3 *1839:17 0.00242224 -4 *1839:16 0.0023324 -5 *1839:14 0.00441127 -6 *1839:13 0.00519617 -7 *1839:8 0.00520043 -8 *1839:7 0.00534797 -9 *1839:7 *2820:mprj_dat_o_core[22] 0 -10 *1839:8 *1850:8 0.00114101 -11 *1839:8 *1890:16 0.00750908 -12 *1839:8 *1907:30 0.0615879 -13 *1839:8 *1909:30 0.0604028 -14 *1839:13 *1856:11 0 -15 *1839:14 *1905:16 0.0175556 -16 *2820:mprj_adr_o_core[22] *1839:7 0 -17 *1764:24 *1839:14 0.000969006 -18 *1766:24 *1839:14 0.000240013 -19 *1771:7 *1839:17 0 -20 *1771:23 *1839:17 0 -21 *1773:16 *1839:14 0.00028933 -22 *1825:8 *1839:8 0.00164624 -23 *1829:14 *1839:14 0.000411498 -*RES -1 *2820:mprj_dat_i_core[22] *1839:7 23.8947 -2 *1839:7 *1839:8 674.567 -3 *1839:8 *1839:13 25.7811 -4 *1839:13 *1839:14 200.935 -5 *1839:14 *1839:16 4.5 -6 *1839:16 *1839:17 51.4546 -7 *1839:17 *2826:mprj_dat_i[22] 2.05183 -*END - -*D_NET *1840 0.191839 -*CONN -*I *2826:mprj_dat_i[23] I *D mgmt_core_wrapper -*I *2820:mprj_dat_i_core[23] O *D mgmt_protect -*CAP -1 *2826:mprj_dat_i[23] 0.00156311 -2 *2820:mprj_dat_i_core[23] 0.00258038 -3 *1840:14 0.00724902 -4 *1840:13 0.00602031 -5 *1840:8 0.00108602 -6 *1840:5 0.003332 -7 *2826:mprj_dat_i[23] *1904:9 0 -8 *1840:5 *1904:19 0 -9 *1840:8 *1902:16 0.00417674 -10 *1840:8 *2488:10 0.00010238 -11 *1840:13 *1855:13 7.26959e-06 -12 *1840:14 *1842:12 0.079581 -13 *1840:14 *1849:10 0.000267885 -14 *1840:14 *1891:24 0.00492242 -15 *1840:14 *1895:24 0.000228897 -16 *2820:mprj_adr_o_core[23] *1840:5 0 -17 *2820:mprj_adr_o_core[30] *1840:13 2.81227e-05 -18 *1042:10 *1840:8 1.66917e-05 -19 *1042:15 *1840:5 9.75356e-05 -20 *1296:12 *1840:8 0.000514419 -21 *1296:15 *1840:5 0 -22 *1309:15 *1840:5 0 -23 *1422:15 *1840:5 0.000243414 -24 *1425:12 *1840:8 0.000236016 -25 *1772:7 *2826:mprj_dat_i[23] 0 -26 *1774:16 *1840:14 0.0795852 -*RES -1 *2820:mprj_dat_i_core[23] *1840:5 50.5386 -2 *1840:5 *1840:8 49.0371 -3 *1840:8 *1840:13 14.154 -4 *1840:13 *1840:14 839.839 -5 *1840:14 *2826:mprj_dat_i[23] 37.9278 -*END - -*D_NET *1841 0.197572 -*CONN -*I *2826:mprj_dat_i[24] I *D mgmt_core_wrapper -*I *2820:mprj_dat_i_core[24] O *D mgmt_protect -*CAP -1 *2826:mprj_dat_i[24] 1.60434e-05 -2 *2820:mprj_dat_i_core[24] 0.00101246 -3 *1841:11 0.00253005 -4 *1841:10 0.002514 -5 *1841:8 0.0056375 -6 *1841:7 0.00664996 -7 *1841:7 *2820:mprj_dat_o_core[24] 0 -8 *1841:8 *1843:8 0.0840194 -9 *1841:8 *1844:8 0.0834374 -10 *1841:8 *1899:16 0.00910085 -11 *1841:8 *1903:24 0.000402729 -12 *1841:11 *1905:9 0 -13 *1841:11 *1905:15 0.000506002 -14 *2820:mprj_adr_o_core[24] *1841:7 0.000287363 -15 *1425:16 *1841:8 0.000457391 -16 *1773:9 *1841:11 0 -17 *1774:15 *1841:11 0.000463947 -18 *1837:8 *1841:8 0.000536921 -*RES -1 *2820:mprj_dat_i_core[24] *1841:7 27.2167 -2 *1841:7 *1841:8 894.744 -3 *1841:8 *1841:10 4.5 -4 *1841:10 *1841:11 64.7427 -5 *1841:11 *2826:mprj_dat_i[24] 0.366399 -*END - -*D_NET *1842 0.194747 -*CONN -*I *2826:mprj_dat_i[25] I *D mgmt_core_wrapper -*I *2820:mprj_dat_i_core[25] O *D mgmt_protect -*CAP -1 *2826:mprj_dat_i[25] 0.00161035 -2 *2820:mprj_dat_i_core[25] 0.00300321 -3 *1842:12 0.00770155 -4 *1842:10 0.00637275 -5 *1842:5 0.00328477 -6 *2826:mprj_dat_i[25] *1906:9 0 -7 *1842:5 *2820:mprj_dat_o_core[25] 0 -8 *1842:10 *1855:8 0.00287064 -9 *1842:10 *1891:24 0.00010238 -10 *1842:12 *1849:10 0.000380395 -11 *1842:12 *1891:24 0.00443132 -12 *1842:12 *1895:24 0.000210902 -13 *1842:12 *1904:10 0.0791797 -14 *1842:12 *1906:10 0.00031258 -15 *2820:mprj_adr_o_core[25] *1842:5 0 -16 *1038:9 *1842:5 8.7252e-05 -17 *1770:24 *1842:12 1.41853e-05 -18 *1773:15 *1842:12 0.000282235 -19 *1773:25 *1842:12 0.000798408 -20 *1774:9 *2826:mprj_dat_i[25] 0 -21 *1774:16 *1842:10 0.00292571 -22 *1774:16 *1842:12 0.000735201 -23 *1788:16 *1842:10 1.41853e-05 -24 *1788:16 *1842:12 0.000848781 -25 *1840:14 *1842:12 0.079581 -*RES -1 *2820:mprj_dat_i_core[25] *1842:5 55.5217 -2 *1842:5 *1842:10 36.3053 -3 *1842:10 *1842:12 870.342 -4 *1842:12 *2826:mprj_dat_i[25] 38.9049 -*END - -*D_NET *1843 0.194707 -*CONN -*I *2826:mprj_dat_i[26] I *D mgmt_core_wrapper -*I *2820:mprj_dat_i_core[26] O *D mgmt_protect -*CAP -1 *2826:mprj_dat_i[26] 8.98429e-05 -2 *2820:mprj_dat_i_core[26] 0.00111491 -3 *1843:11 0.00291704 -4 *1843:10 0.0028272 -5 *1843:8 0.00692862 -6 *1843:7 0.00804354 -7 *1843:7 *2820:mprj_dat_o_core[26] 0 -8 *1843:8 *1844:8 0.00122402 -9 *1843:8 *1896:10 0.00952246 -10 *2820:mprj_adr_o_core[26] *1843:7 0 -11 *1775:7 *1843:11 0 -12 *1775:23 *1843:11 0 -13 *1837:8 *1843:8 0.0780205 -14 *1841:8 *1843:8 0.0840194 -*RES -1 *2820:mprj_dat_i_core[26] *1843:7 26.8015 -2 *1843:7 *1843:8 909.719 -3 *1843:8 *1843:10 4.5 -4 *1843:10 *1843:11 65.158 -5 *1843:11 *2826:mprj_dat_i[26] 2.05183 -*END - -*D_NET *1844 0.201754 -*CONN -*I *2826:mprj_dat_i[27] I *D mgmt_core_wrapper -*I *2820:mprj_dat_i_core[27] O *D mgmt_protect -*CAP -1 *2826:mprj_dat_i[27] 8.98429e-05 -2 *2820:mprj_dat_i_core[27] 0.00117771 -3 *1844:11 0.00249179 -4 *1844:10 0.00240194 -5 *1844:8 0.00591606 -6 *1844:7 0.00709377 -7 *1844:7 *2820:mprj_dat_o_core[27] 0 -8 *1844:8 *1846:8 0.0863777 -9 *1844:8 *1899:16 0.00999504 -10 *2820:mprj_adr_o_core[27] *1844:7 0 -11 *1425:16 *1844:8 0.000366157 -12 *1776:7 *1844:11 0.000737975 -13 *1776:23 *1844:11 0.000375413 -14 *1827:8 *1844:8 6.94787e-05 -15 *1841:8 *1844:8 0.0834374 -16 *1843:8 *1844:8 0.00122402 -*RES -1 *2820:mprj_dat_i_core[27] *1844:7 27.632 -2 *1844:7 *1844:8 919.147 -3 *1844:8 *1844:10 4.5 -4 *1844:10 *1844:11 64.3275 -5 *1844:11 *2826:mprj_dat_i[27] 2.05183 -*END - -*D_NET *1845 0.20252 -*CONN -*I *2826:mprj_dat_i[28] I *D mgmt_core_wrapper -*I *2820:mprj_dat_i_core[28] O *D mgmt_protect -*CAP -1 *2826:mprj_dat_i[28] 0.00149542 -2 *2820:mprj_dat_i_core[28] 0.00306919 -3 *1845:8 0.00787355 -4 *1845:7 0.00637813 -5 *1845:5 0.00306919 -6 *1845:5 *2820:mprj_dat_o_core[27] 0 -7 *1845:5 *2820:mprj_dat_o_core[28] 0 -8 *1845:8 *1849:10 0.00541605 -9 *1845:8 *1897:16 0.00010238 -10 *1845:8 *1908:10 0.0870062 -11 *2820:mprj_adr_o_core[28] *1845:5 0 -12 *1039:9 *1845:5 0.000440386 -13 *1296:9 *1845:5 0 -14 *1425:15 *1845:5 0 -15 *1777:7 *2826:mprj_dat_i[28] 0 -16 *1778:10 *1845:8 0.000371284 -17 *1780:10 *1845:8 0.0868469 -18 *1786:24 *1845:8 0.000451273 -*RES -1 *2820:mprj_dat_i_core[28] *1845:5 57.5979 -2 *1845:5 *1845:7 4.5 -3 *1845:7 *1845:8 927.466 -4 *1845:8 *2826:mprj_dat_i[28] 36.4134 -*END - -*D_NET *1846 0.207073 -*CONN -*I *2826:mprj_dat_i[29] I *D mgmt_core_wrapper -*I *2820:mprj_dat_i_core[29] O *D mgmt_protect -*CAP -1 *2826:mprj_dat_i[29] 8.98429e-05 -2 *2820:mprj_dat_i_core[29] 0.000783998 -3 *1846:11 0.0028352 -4 *1846:10 0.00274536 -5 *1846:8 0.00608418 -6 *1846:7 0.00686818 -7 *1846:7 *2820:mprj_dat_o_core[29] 0.000282677 -8 *1846:8 *1848:8 0.000789434 -9 *1846:8 *1903:24 0.00983221 -10 *1846:8 *1910:10 0.0891393 -11 *1778:9 *1846:11 0 -12 *1778:13 *1846:7 0.0012021 -13 *1827:8 *1846:8 4.23874e-05 -14 *1844:8 *1846:8 0.0863777 -*RES -1 *2820:mprj_dat_i_core[29] *1846:7 28.0472 -2 *1846:7 *1846:8 936.34 -3 *1846:8 *1846:10 4.5 -4 *1846:10 *1846:11 63.9122 -5 *1846:11 *2826:mprj_dat_i[29] 2.05183 -*END - -*D_NET *1847 0.149761 -*CONN -*I *2826:mprj_dat_i[2] I *D mgmt_core_wrapper -*I *2820:mprj_dat_i_core[2] O *D mgmt_protect -*CAP -1 *2826:mprj_dat_i[2] 0.00114911 -2 *2820:mprj_dat_i_core[2] 0.00268096 -3 *1847:8 0.00637217 -4 *1847:7 0.00522307 -5 *1847:5 0.00268096 -6 *1847:5 *2820:mprj_dat_o_core[2] 0 -7 *1847:8 *1851:14 0.0542581 -8 *1847:8 *2499:10 0.00295609 -9 *2820:mprj_adr_o_core[2] *1847:5 0 -10 *781:14 *1847:8 0.00895152 -11 *901:11 *1847:5 0.000784721 -12 *1419:10 *1847:8 0 -13 *1541:9 *1847:5 0 -14 *1779:11 *2826:mprj_dat_i[2] 0 -15 *1782:10 *1847:8 0.000829197 -16 *1788:10 *1847:8 0 -17 *1836:8 *1847:8 0.0638752 -*RES -1 *2820:mprj_dat_i_core[2] *1847:5 60.9199 -2 *1847:5 *1847:7 4.5 -3 *1847:7 *1847:8 693.978 -4 *1847:8 *2826:mprj_dat_i[2] 33.0913 -*END - -*D_NET *1848 0.213391 -*CONN -*I *2826:mprj_dat_i[30] I *D mgmt_core_wrapper -*I *2820:mprj_dat_i_core[30] O *D mgmt_protect -*CAP -1 *2826:mprj_dat_i[30] 8.98429e-05 -2 *2820:mprj_dat_i_core[30] 0.00129981 -3 *1848:11 0.00276203 -4 *1848:10 0.00267219 -5 *1848:8 0.0131452 -6 *1848:7 0.014445 -7 *1848:7 *2820:mprj_dat_o_core[30] 0 -8 *1848:8 *1903:24 0.0904777 -9 *1848:8 *1905:22 0.00482388 -10 *1848:8 *1910:10 0.000856794 -11 *1848:8 *1912:10 0.0184504 -12 *1848:8 *1914:10 0.0581913 -13 *2820:mprj_adr_o_core[30] *1848:7 0 -14 *1759:24 *1848:8 0 -15 *1780:9 *1848:11 0 -16 *1827:8 *1848:8 0.0053874 -17 *1846:8 *1848:8 0.000789434 -*RES -1 *2820:mprj_dat_i_core[30] *1848:7 28.3694 -2 *1848:7 *1848:8 130.389 -3 *1848:8 *1848:10 3.36879 -4 *1848:10 *1848:11 62.4588 -5 *1848:11 *2826:mprj_dat_i[30] 2.05183 -*END - -*D_NET *1849 0.225746 -*CONN -*I *2826:mprj_dat_i[31] I *D mgmt_core_wrapper -*I *2820:mprj_dat_i_core[31] O *D mgmt_protect -*CAP -1 *2826:mprj_dat_i[31] 0.00145868 -2 *2820:mprj_dat_i_core[31] 2.27669e-05 -3 *1849:10 0.0284296 -4 *1849:9 0.026971 -5 *1849:7 0.00270801 -6 *1849:5 0.00273078 -7 *1849:7 *2820:mprj_dat_o_core[31] 0 -8 *1849:7 *2820:mprj_iena_wb 0 -9 *1849:10 *1851:14 0.000268994 -10 *1849:10 *1853:8 0.000506175 -11 *1849:10 *1855:14 0.000986007 -12 *1849:10 *1891:10 0.000431104 -13 *1849:10 *1892:10 0.0126975 -14 *1849:10 *1897:16 0.0795263 -15 *1849:10 *1906:10 0.000400519 -16 *1849:10 *1908:10 0.00046435 -17 *1849:10 *1911:15 0 -18 *1849:10 *1911:25 0 -19 *1849:10 *1915:10 0.000607951 -20 *1849:10 *1919:15 0.000223197 -21 *1849:10 *1919:29 0.000880138 -22 *1849:10 *2499:10 0.000763528 -23 *2820:mprj_adr_o_core[31] *1849:7 0.00029952 -24 *1427:15 *1849:7 0.00105 -25 *1758:21 *1849:7 0.000493003 -26 *1765:31 *1849:10 0.00055029 -27 *1766:10 *1849:10 0.000598562 -28 *1774:16 *1849:10 0.000268994 -29 *1775:10 *1849:10 0.00011282 -30 *1778:10 *1849:10 0.000650798 -31 *1780:10 *1849:10 0.00616954 -32 *1781:7 *2826:mprj_dat_i[31] 0 -33 *1782:10 *1849:10 0.000282057 -34 *1784:10 *1849:10 0.000549365 -35 *1786:24 *1849:10 0.00117488 -36 *1788:15 *1849:7 2.27839e-05 -37 *1823:10 *1849:10 0.0473823 -38 *1840:14 *1849:10 0.000267885 -39 *1842:12 *1849:10 0.000380395 -40 *1845:8 *1849:10 0.00541605 -*RES -1 *2820:mprj_dat_i_core[31] *1849:5 0.647305 -2 *1849:5 *1849:7 58.3063 -3 *1849:7 *1849:9 3.36879 -4 *1849:9 *1849:10 131.613 -5 *1849:10 *2826:mprj_dat_i[31] 34.6593 -*END - -*D_NET *1850 0.152571 -*CONN -*I *2826:mprj_dat_i[3] I *D mgmt_core_wrapper -*I *2820:mprj_dat_i_core[3] O *D mgmt_protect -*CAP -1 *2826:mprj_dat_i[3] 8.98429e-05 -2 *2820:mprj_dat_i_core[3] 0.000823633 -3 *1850:11 0.00322879 -4 *1850:10 0.00313895 -5 *1850:8 0.00545945 -6 *1850:7 0.00628309 -7 *1850:7 *2820:mprj_dat_o_core[3] 0 -8 *1850:8 *1852:8 0.065416 -9 *1850:8 *1892:24 0.00629978 -10 *1850:8 *1907:30 0.0526298 -11 *1850:11 *1914:7 0 -12 *2820:mprj_adr_o_core[3] *1850:7 0.000283646 -13 *782:8 *1850:8 0.000655033 -14 *1291:10 *1850:8 0.00623392 -15 *1294:16 *1850:8 0.000239984 -16 *1782:9 *1850:11 0 -17 *1825:8 *1850:8 0.000648324 -18 *1839:8 *1850:8 0.00114101 -*RES -1 *2820:mprj_dat_i_core[3] *1850:7 24.7252 -2 *1850:7 *1850:8 705.625 -3 *1850:8 *1850:10 4.5 -4 *1850:10 *1850:11 67.2342 -5 *1850:11 *2826:mprj_dat_i[3] 2.05183 -*END - -*D_NET *1851 0.147843 -*CONN -*I *2826:mprj_dat_i[4] I *D mgmt_core_wrapper -*I *2820:mprj_dat_i_core[4] O *D mgmt_protect -*CAP -1 *2826:mprj_dat_i[4] 0.000939291 -2 *2820:mprj_dat_i_core[4] 0.00273639 -3 *1851:14 0.0057345 -4 *1851:13 0.00506654 -5 *1851:8 0.00183679 -6 *1851:7 0.00156547 -7 *1851:5 0.00273639 -8 *1851:5 *2820:mprj_sel_o_core[3] 0 -9 *1851:5 *1915:33 0 -10 *1851:8 *1855:8 0.0033032 -11 *1851:8 *1891:24 0.000118134 -12 *1851:8 *1895:24 0.000159297 -13 *1851:14 *1853:8 0.000438797 -14 *1851:14 *2499:10 0.00336691 -15 *2820:mprj_adr_o_core[4] *1851:5 0 -16 *2826:la_input[103] *1851:13 8.62625e-06 -17 *2826:la_input[98] *1851:5 0 -18 *783:14 *1851:8 9.48476e-05 -19 *1035:10 *1851:8 0.00160736 -20 *1297:19 *1851:5 0 -21 *1414:9 *1851:5 0 -22 *1418:12 *1851:8 0.000130331 -23 *1421:11 *1851:13 0 -24 *1542:10 *1851:8 0 -25 *1782:10 *1851:14 0.0558938 -26 *1782:24 *1851:14 0.00120635 -27 *1783:7 *2826:mprj_dat_i[4] 0.000726014 -28 *1826:8 *1851:8 0.00564668 -29 *1826:13 *1851:13 0 -30 *1847:8 *1851:14 0.0542581 -31 *1849:10 *1851:14 0.000268994 -*RES -1 *2820:mprj_dat_i_core[4] *1851:5 55.9369 -2 *1851:5 *1851:7 4.5 -3 *1851:7 *1851:8 104.434 -4 *1851:8 *1851:13 13.7388 -5 *1851:13 *1851:14 613.006 -6 *1851:14 *2826:mprj_dat_i[4] 33.5066 -*END - -*D_NET *1852 0.160958 -*CONN -*I *2826:mprj_dat_i[5] I *D mgmt_core_wrapper -*I *2820:mprj_dat_i_core[5] O *D mgmt_protect -*CAP -1 *2826:mprj_dat_i[5] 8.98429e-05 -2 *2820:mprj_dat_i_core[5] 0.000849812 -3 *1852:11 0.00288493 -4 *1852:10 0.00279509 -5 *1852:8 0.00501116 -6 *1852:7 0.00586097 -7 *1852:7 *2820:mprj_dat_o_core[5] 0.000256964 -8 *1852:8 *1854:8 0.0676385 -9 *1852:8 *1892:24 0.007451 -10 *1852:8 *1907:30 0.00153795 -11 *1852:11 *1916:7 0 -12 *2820:mprj_adr_o_core[5] *1852:7 0 -13 *1294:16 *1852:8 0.000287842 -14 *1784:7 *1852:11 0.000751059 -15 *1784:21 *1852:11 0.00012725 -16 *1850:8 *1852:8 0.065416 -*RES -1 *2820:mprj_dat_i_core[5] *1852:7 25.1405 -2 *1852:7 *1852:8 725.59 -3 *1852:8 *1852:10 4.5 -4 *1852:10 *1852:11 66.819 -5 *1852:11 *2826:mprj_dat_i[5] 2.05183 -*END - -*D_NET *1853 0.159046 -*CONN -*I *2826:mprj_dat_i[6] I *D mgmt_core_wrapper -*I *2820:mprj_dat_i_core[6] O *D mgmt_protect -*CAP -1 *2826:mprj_dat_i[6] 0.00123752 -2 *2820:mprj_dat_i_core[6] 0.0028792 -3 *1853:8 0.00681842 -4 *1853:7 0.0055809 -5 *1853:5 0.0028792 -6 *2826:mprj_dat_i[6] *1917:7 0 -7 *2826:mprj_dat_i[6] *1917:23 0 -8 *1853:5 *2820:mprj_dat_o_core[6] 0 -9 *1853:8 *1855:14 0.000694271 -10 *1853:8 *2499:10 0.00390505 -11 *2820:mprj_adr_o_core[6] *1853:5 0 -12 *781:11 *1853:5 0 -13 *783:11 *1853:5 0 -14 *1158:9 *1853:5 0.000330478 -15 *1782:10 *1853:8 0.0645189 -16 *1782:24 *1853:8 0.00121634 -17 *1784:10 *1853:8 0.0680407 -18 *1785:7 *2826:mprj_dat_i[6] 0 -19 *1785:10 *1853:8 0 -20 *1785:23 *2826:mprj_dat_i[6] 0 -21 *1849:10 *1853:8 0.000506175 -22 *1851:14 *1853:8 0.000438797 -*RES -1 *2820:mprj_dat_i_core[6] *1853:5 59.6742 -2 *1853:5 *1853:7 4.5 -3 *1853:7 *1853:8 735.019 -4 *1853:8 *2826:mprj_dat_i[6] 34.3371 -*END - -*D_NET *1854 0.164459 -*CONN -*I *2826:mprj_dat_i[7] I *D mgmt_core_wrapper -*I *2820:mprj_dat_i_core[7] O *D mgmt_protect -*CAP -1 *2826:mprj_dat_i[7] 8.98429e-05 -2 *2820:mprj_dat_i_core[7] 0.000696639 -3 *1854:11 0.00315213 -4 *1854:10 0.00306228 -5 *1854:8 0.00512263 -6 *1854:7 0.00581927 -7 *1854:7 *2820:mprj_dat_o_core[7] 0 -8 *1854:8 *1856:8 0.0693508 -9 *1854:8 *1894:10 0.00708183 -10 *1854:8 *1907:30 0.000764726 -11 *1294:16 *1854:8 0.000532777 -12 *1786:7 *1854:11 0 -13 *1786:23 *1854:11 0 -14 *1786:27 *1854:7 0.00114749 -15 *1852:8 *1854:8 0.0676385 -*RES -1 *2820:mprj_dat_i_core[7] *1854:7 25.5557 -2 *1854:7 *1854:8 743.338 -3 *1854:8 *1854:10 4.5 -4 *1854:10 *1854:11 66.4037 -5 *1854:11 *2826:mprj_dat_i[7] 2.05183 -*END - -*D_NET *1855 0.156666 -*CONN -*I *2826:mprj_dat_i[8] I *D mgmt_core_wrapper -*I *2820:mprj_dat_i_core[8] O *D mgmt_protect -*CAP -1 *2826:mprj_dat_i[8] 0.00130103 -2 *2820:mprj_dat_i_core[8] 0.00259593 -3 *1855:14 0.00600957 -4 *1855:13 0.00490864 -5 *1855:8 0.00196904 -6 *1855:7 0.00176894 -7 *1855:5 0.00259593 -8 *1855:5 *2820:mprj_dat_o_core[7] 0 -9 *1855:5 *2820:mprj_dat_o_core[8] 0 -10 *1855:8 *1891:24 0.000629947 -11 *2820:mprj_adr_o_core[30] *1855:13 0.000130377 -12 *2820:mprj_adr_o_core[8] *1855:5 0.00024075 -13 *2826:la_input[99] *1855:5 0 -14 *783:14 *1855:8 0 -15 *1038:19 *1855:5 0 -16 *1543:9 *1855:5 5.27542e-05 -17 *1543:10 *1855:8 0 -18 *1774:16 *1855:8 8.41174e-05 -19 *1780:10 *1855:14 0.000855748 -20 *1784:10 *1855:14 0.0535935 -21 *1786:24 *1855:14 0.0561127 -22 *1787:7 *2826:mprj_dat_i[8] 0 -23 *1787:10 *1855:14 0 -24 *1787:23 *2826:mprj_dat_i[8] 0 -25 *1788:16 *1855:8 0.012814 -26 *1823:10 *1855:14 0.00313457 -27 *1828:13 *1855:13 7.09666e-06 -28 *1840:13 *1855:13 7.26959e-06 -29 *1842:10 *1855:8 0.00287064 -30 *1849:10 *1855:14 0.000986007 -31 *1851:8 *1855:8 0.0033032 -32 *1853:8 *1855:14 0.000694271 -*RES -1 *2820:mprj_dat_i_core[8] *1855:5 55.1064 -2 *1855:5 *1855:7 4.5 -3 *1855:7 *1855:8 140.484 -4 *1855:8 *1855:13 12.9083 -5 *1855:13 *1855:14 612.451 -6 *1855:14 *2826:mprj_dat_i[8] 35.1676 -*END - -*D_NET *1856 0.167498 -*CONN -*I *2826:mprj_dat_i[9] I *D mgmt_core_wrapper -*I *2820:mprj_dat_i_core[9] O *D mgmt_protect -*CAP -1 *2826:mprj_dat_i[9] 8.98429e-05 -2 *2820:mprj_dat_i_core[9] 0.00101516 -3 *1856:11 0.00309336 -4 *1856:10 0.00300351 -5 *1856:8 0.00541791 -6 *1856:7 0.00643308 -7 *1856:7 *2820:mprj_dat_o_core[9] 0 -8 *1856:8 *1894:10 0.00809686 -9 *1856:8 *1907:30 0.000536886 -10 *1856:11 *1920:7 0 -11 *2820:mprj_adr_o_core[9] *1856:7 0 -12 *1292:10 *1856:8 8.15039e-05 -13 *1294:16 *1856:8 0.0040914 -14 *1295:16 *1856:8 0.000579863 -15 *1758:15 *1856:11 0 -16 *1788:9 *1856:11 0 -17 *1788:30 *1856:11 5.96373e-05 -18 *1837:8 *1856:8 0.065648 -19 *1839:13 *1856:11 0 -20 *1854:8 *1856:8 0.0693508 -*RES -1 *2820:mprj_dat_i_core[9] *1856:7 25.971 -2 *1856:7 *1856:8 761.085 -3 *1856:8 *1856:10 4.5 -4 *1856:10 *1856:11 65.9885 -5 *1856:11 *2826:mprj_dat_i[9] 2.05183 -*END - -*D_NET *1857 0.146713 -*CONN -*I *2820:mprj_dat_i_user[0] I *D mgmt_protect -*I *2821:wbs_dat_o[0] O *D user_project_wrapper -*CAP -1 *2820:mprj_dat_i_user[0] 0.00225152 -2 *2821:wbs_dat_o[0] 0.00127691 -3 *1857:10 0.00225152 -4 *1857:8 0.00565843 -5 *1857:7 0.00693534 -6 *2820:mprj_dat_i_user[0] *1921:7 0 -7 *2820:mprj_dat_i_user[0] *2500:7 0 -8 *1857:8 *1876:13 0.00106766 -9 *1857:8 *1882:8 0.0460252 -10 *1857:8 *1886:8 0.00156008 -11 *1857:8 *1886:18 0.00340191 -12 *1857:8 *1923:14 1.71154e-05 -13 *1857:8 *2486:10 0.0671939 -14 *1857:8 *2491:8 0.0043898 -15 *2821:la_data_in[1] *2820:mprj_dat_i_user[0] 0 -16 *905:14 *1857:8 0.00243556 -17 *1200:5 *2820:mprj_dat_i_user[0] 0 -18 *1789:7 *2820:mprj_dat_i_user[0] 0 -19 *1795:19 *1857:8 0.000742567 -20 *1824:14 *1857:8 0.00150575 -*RES -1 *2821:wbs_dat_o[0] *1857:7 40.0896 -2 *1857:7 *1857:8 724.481 -3 *1857:8 *1857:10 4.5 -4 *1857:10 *2820:mprj_dat_i_user[0] 46.8014 -*END - -*D_NET *1858 0.142545 -*CONN -*I *2820:mprj_dat_i_user[10] I *D mgmt_protect -*I *2821:wbs_dat_o[10] O *D user_project_wrapper -*CAP -1 *2820:mprj_dat_i_user[10] 0.00202141 -2 *2821:wbs_dat_o[10] 0.00148448 -3 *1858:8 0.0123528 -4 *1858:7 0.0118159 -5 *2820:mprj_dat_i_user[10] *1922:7 0 -6 *2820:mprj_dat_i_user[10] *1952:7 0 -7 *1858:8 *1860:8 0.0575797 -8 *1858:8 *1924:8 0 -9 *1858:8 *1935:18 0.000152056 -10 *1858:8 *1943:10 0.000435066 -11 *1858:8 *1949:8 0 -12 *1858:8 *1951:8 0 -13 *1858:8 *2491:8 0.000588182 -14 *1858:8 *2493:8 9.84894e-05 -15 *1858:8 *2496:8 0.0515382 -16 *2821:la_oenb[5] *2820:mprj_dat_i_user[10] 0 -17 *1161:10 *1858:8 0.00010238 -18 *1244:5 *2820:mprj_dat_i_user[10] 0 -19 *1790:7 *2820:mprj_dat_i_user[10] 0 -20 *1795:20 *1858:8 0.00359945 -21 *1803:10 *1858:8 0.000608238 -22 *1815:8 *1858:8 0.000168694 -23 *1817:8 *1858:8 0 -24 *1819:8 *1858:8 0 -*RES -1 *2821:wbs_dat_o[10] *1858:7 40.827 -2 *1858:7 *1858:8 79.1407 -3 *1858:8 *2820:mprj_dat_i_user[10] 48.3015 -*END - -*D_NET *1859 0.122234 -*CONN -*I *2820:mprj_dat_i_user[11] I *D mgmt_protect -*I *2821:wbs_dat_o[11] O *D user_project_wrapper -*CAP -1 *2820:mprj_dat_i_user[11] 0.00149999 -2 *2821:wbs_dat_o[11] 0.00189553 -3 *1859:8 0.00567347 -4 *1859:7 0.00417348 -5 *1859:5 0.00189553 -6 *2820:mprj_dat_i_user[11] *1861:8 1.67988e-05 -7 *2820:mprj_dat_i_user[11] *1922:7 0 -8 *2820:mprj_dat_i_user[11] *1923:7 0 -9 *1859:8 *1861:8 0.0487523 -10 *1859:8 *1885:8 0.00500149 -11 *1859:8 *1922:8 0.000339591 -12 *1859:8 *1924:8 0.000275322 -13 *1859:8 *1952:8 0.0519941 -14 *1859:8 *2494:8 0.000129528 -15 *988:8 *2820:mprj_dat_i_user[11] 0.000195605 -16 *988:8 *1859:8 7.68538e-06 -17 *1628:8 *2820:mprj_dat_i_user[11] 0.000383703 -18 *1791:7 *2820:mprj_dat_i_user[11] 0 -19 *1792:7 *2820:mprj_dat_i_user[11] 0 -*RES -1 *2821:wbs_dat_o[11] *1859:5 48.0471 -2 *1859:5 *1859:7 4.5 -3 *1859:7 *1859:8 555.327 -4 *1859:8 *2820:mprj_dat_i_user[11] 43.6129 -*END - -*D_NET *1860 0.113076 -*CONN -*I *2820:mprj_dat_i_user[12] I *D mgmt_protect -*I *2821:wbs_dat_o[12] O *D user_project_wrapper -*CAP -1 *2820:mprj_dat_i_user[12] 0.00195379 -2 *2821:wbs_dat_o[12] 0.00153886 -3 *1860:8 0.02384 -4 *1860:7 0.023425 -5 *2820:mprj_dat_i_user[12] *1924:7 0 -6 *1860:8 *1873:8 0.000763973 -7 *1860:8 *1875:10 0.000156964 -8 *1860:8 *1875:12 0.000313353 -9 *1860:8 *1882:14 0.000135387 -10 *1860:8 *1924:8 0 -11 *1860:8 *1926:8 0 -12 *1860:8 *1937:8 0.000763973 -13 *1860:8 *1939:8 0.000608238 -14 *2821:la_data_in[6] *2820:mprj_dat_i_user[12] 0 -15 *1255:5 *2820:mprj_dat_i_user[12] 0 -16 *1792:7 *2820:mprj_dat_i_user[12] 0 -17 *1803:16 *1860:8 0.000715007 -18 *1805:14 *1860:8 0.000608238 -19 *1807:8 *1860:8 0.00034009 -20 *1807:14 *1860:8 0.000333559 -21 *1858:8 *1860:8 0.0575797 -*RES -1 *2821:wbs_dat_o[12] *1860:7 41.6575 -2 *1860:7 *1860:8 75.4692 -3 *1860:8 *2820:mprj_dat_i_user[12] 47.471 -*END - -*D_NET *1861 0.115763 -*CONN -*I *2820:mprj_dat_i_user[13] I *D mgmt_protect -*I *2821:wbs_dat_o[13] O *D user_project_wrapper -*CAP -1 *2820:mprj_dat_i_user[13] 0.0015421 -2 *2821:wbs_dat_o[13] 0.00191062 -3 *1861:8 0.00557204 -4 *1861:7 0.00402994 -5 *1861:5 0.00191062 -6 *2820:mprj_dat_i_user[13] *1863:18 0.000636338 -7 *2820:mprj_dat_i_user[13] *1924:7 0 -8 *2820:mprj_dat_i_user[13] *1925:5 0 -9 *1861:8 *1863:8 0.000395627 -10 *1861:8 *1863:14 0.00228766 -11 *1861:8 *1863:18 0.000262811 -12 *1861:8 *1885:8 0.00385702 -13 *1861:8 *1924:8 0.000357385 -14 *2820:mprj_dat_i_user[11] *1861:8 1.67988e-05 -15 *2821:la_oenb[6] *2820:mprj_dat_i_user[13] 3.77659e-05 -16 *1255:8 *2820:mprj_dat_i_user[13] 0.000585477 -17 *1628:8 *2820:mprj_dat_i_user[13] 1.67988e-05 -18 *1628:8 *1861:8 0.00132676 -19 *1793:7 *2820:mprj_dat_i_user[13] 0 -20 *1796:20 *1861:8 0.0422652 -21 *1859:8 *1861:8 0.0487523 -*RES -1 *2821:wbs_dat_o[13] *1861:5 47.6319 -2 *1861:5 *1861:7 4.5 -3 *1861:7 *1861:8 527.042 -4 *1861:8 *2820:mprj_dat_i_user[13] 47.0771 -*END - -*D_NET *1862 0.112858 -*CONN -*I *2820:mprj_dat_i_user[14] I *D mgmt_protect -*I *2821:wbs_dat_o[14] O *D user_project_wrapper -*CAP -1 *2820:mprj_dat_i_user[14] 6.22868e-05 -2 *2821:wbs_dat_o[14] 0.00126283 -3 *1862:11 0.00187226 -4 *1862:10 0.00180997 -5 *1862:8 0.00367402 -6 *1862:7 0.00493686 -7 *1862:8 *1871:18 0.000161493 -8 *1862:8 *1925:8 0.0488381 -9 *1862:8 *1927:8 0.0478419 -10 *1862:11 *1925:5 0 -11 *1862:11 *1926:7 0 -12 *2821:la_data_in[7] *1862:11 0.000262548 -13 *1794:7 *1862:11 0.000780788 -14 *1815:8 *1862:8 0.00135473 -*RES -1 *2821:wbs_dat_o[14] *1862:7 37.598 -2 *1862:7 *1862:8 518.723 -3 *1862:8 *1862:10 4.5 -4 *1862:10 *1862:11 49.3784 -5 *1862:11 *2820:mprj_dat_i_user[14] 1.77093 -*END - -*D_NET *1863 0.110621 -*CONN -*I *2820:mprj_dat_i_user[15] I *D mgmt_protect -*I *2821:wbs_dat_o[15] O *D user_project_wrapper -*CAP -1 *2820:mprj_dat_i_user[15] 0.00125581 -2 *2821:wbs_dat_o[15] 0.00190575 -3 *1863:18 0.00154042 -4 *1863:14 0.000573923 -5 *1863:8 0.00341672 -6 *1863:7 0.00312741 -7 *1863:5 0.00190575 -8 *2820:mprj_dat_i_user[15] *1926:7 0 -9 *2820:mprj_dat_i_user[15] *1927:5 0 -10 *1863:8 *1928:8 1.41853e-05 -11 *1863:8 *1928:12 0.0417299 -12 *1863:8 *1950:8 0.00376288 -13 *2820:mprj_dat_i_user[13] *1863:18 0.000636338 -14 *1255:8 *1863:18 0.00055844 -15 *1266:5 *2820:mprj_dat_i_user[15] 3.20069e-06 -16 *1795:7 *2820:mprj_dat_i_user[15] 0.00143714 -17 *1796:8 *1863:8 1.61631e-05 -18 *1796:8 *1863:14 0.000998952 -19 *1796:8 *1863:18 0.00253512 -20 *1796:20 *1863:8 0.0422568 -21 *1861:8 *1863:8 0.000395627 -22 *1861:8 *1863:14 0.00228766 -23 *1861:8 *1863:18 0.000262811 -*RES -1 *2821:wbs_dat_o[15] *1863:5 46.8014 -2 *1863:5 *1863:7 4.5 -3 *1863:7 *1863:8 453.835 -4 *1863:8 *1863:14 25.4515 -5 *1863:14 *1863:18 31.5671 -6 *1863:18 *2820:mprj_dat_i_user[15] 38.0078 -*END - -*D_NET *1864 0.106655 -*CONN -*I *2820:mprj_dat_i_user[16] I *D mgmt_protect -*I *2821:wbs_dat_o[16] O *D user_project_wrapper -*CAP -1 *2820:mprj_dat_i_user[16] 0.00207706 -2 *2821:wbs_dat_o[16] 0.00119138 -3 *1864:10 0.00207706 -4 *1864:8 0.00351029 -5 *1864:7 0.00470167 -6 *2820:mprj_dat_i_user[16] *1928:7 0 -7 *1864:8 *1927:8 0.00028978 -8 *1864:8 *1929:8 0.0454585 -9 *2821:la_oenb[7] *2820:mprj_dat_i_user[16] 0.000320646 -10 *1792:14 *1864:8 0 -11 *1796:7 *2820:mprj_dat_i_user[16] 0 -12 *1797:7 *2820:mprj_dat_i_user[16] 0 -13 *1797:10 *1864:8 0.0461447 -14 *1817:8 *1864:8 0.000883414 -*RES -1 *2821:wbs_dat_o[16] *1864:7 36.3523 -2 *1864:7 *1864:8 493.211 -3 *1864:8 *1864:10 4.5 -4 *1864:10 *2820:mprj_dat_i_user[16] 50.5386 -*END - -*D_NET *1865 0.106899 -*CONN -*I *2820:mprj_dat_i_user[17] I *D mgmt_protect -*I *2821:wbs_dat_o[17] O *D user_project_wrapper -*CAP -1 *2820:mprj_dat_i_user[17] 0.00154798 -2 *2821:wbs_dat_o[17] 0.00189194 -3 *1865:12 0.00214872 -4 *1865:10 0.000619437 -5 *1865:8 0.00274727 -6 *1865:7 0.00272858 -7 *1865:5 0.00189194 -8 *2820:mprj_dat_i_user[17] *1929:5 0 -9 *1865:8 *1887:8 0.00320782 -10 *1865:8 *1928:12 0.0389725 -11 *1865:10 *1928:10 9.95922e-06 -12 *1865:12 *1928:8 0.00605219 -13 *1865:12 *1928:12 1.41689e-05 -14 *2821:la_data_in[8] *2820:mprj_dat_i_user[17] 0 -15 *1021:8 *1865:12 0.000118245 -16 *1266:8 *1865:12 5.80138e-05 -17 *1797:7 *2820:mprj_dat_i_user[17] 0 -18 *1798:8 *1865:8 1.67988e-05 -19 *1798:8 *1865:12 0.00662122 -20 *1798:10 *1865:10 9.95922e-06 -21 *1798:12 *1865:8 0.0382419 -*RES -1 *2821:wbs_dat_o[17] *1865:5 45.9709 -2 *1865:5 *1865:7 4.5 -3 *1865:7 *1865:8 410.021 -4 *1865:8 *1865:10 0.578717 -5 *1865:10 *1865:12 70.6034 -6 *1865:12 *2820:mprj_dat_i_user[17] 41.3353 -*END - -*D_NET *1866 0.0974692 -*CONN -*I *2820:mprj_dat_i_user[18] I *D mgmt_protect -*I *2821:wbs_dat_o[18] O *D user_project_wrapper -*CAP -1 *2820:mprj_dat_i_user[18] 0.00229864 -2 *2821:wbs_dat_o[18] 0.00109578 -3 *1866:10 0.00229864 -4 *1866:8 0.00350545 -5 *1866:7 0.00460123 -6 *2820:mprj_dat_i_user[18] *1930:7 0 -7 *1866:8 *1869:8 0.0401694 -8 *1866:8 *1929:8 0.000121125 -9 *1866:8 *1933:8 0.0408575 -10 *2821:la_oenb[8] *2820:mprj_dat_i_user[18] 0 -11 *1277:5 *2820:mprj_dat_i_user[18] 0 -12 *1792:14 *1866:8 0.000492544 -13 *1798:7 *2820:mprj_dat_i_user[18] 0 -14 *1799:8 *1866:8 0.00154964 -15 *1801:10 *1866:8 0.000313928 -16 *1819:8 *1866:8 0.000165394 -*RES -1 *2821:wbs_dat_o[18] *1866:7 34.276 -2 *1866:7 *1866:8 466.59 -3 *1866:8 *1866:10 4.5 -4 *1866:10 *2820:mprj_dat_i_user[18] 52.6149 -*END - -*D_NET *1867 0.0934839 -*CONN -*I *2820:mprj_dat_i_user[19] I *D mgmt_protect -*I *2821:wbs_dat_o[19] O *D user_project_wrapper -*CAP -1 *2820:mprj_dat_i_user[19] 0.00158026 -2 *2821:wbs_dat_o[19] 0.00187799 -3 *1867:12 0.0030072 -4 *1867:10 0.00144781 -5 *1867:8 0.00303549 -6 *1867:7 0.00489262 -7 *2820:mprj_dat_i_user[19] *1931:5 0 -8 *1867:8 *1870:8 0.0306691 -9 *1867:8 *1924:8 0.00274909 -10 *1867:8 *1945:8 1.75637e-06 -11 *1867:12 *1945:8 2.01874e-05 -12 *1021:8 *1867:12 0.000177516 -13 *1798:8 *1867:12 0.00714585 -14 *1798:10 *1867:10 9.95922e-06 -15 *1798:12 *1867:8 0.0347964 -16 *1798:12 *1867:12 1.67988e-05 -17 *1799:5 *2820:mprj_dat_i_user[19] 0 -18 *1805:8 *1867:12 0.00205586 -*RES -1 *2821:wbs_dat_o[19] *1867:7 49.6404 -2 *1867:7 *1867:8 366.207 -3 *1867:8 *1867:10 0.578717 -4 *1867:10 *1867:12 87.7962 -5 *1867:12 *2820:mprj_dat_i_user[19] 42.1658 -*END - -*D_NET *1868 0.157423 -*CONN -*I *2820:mprj_dat_i_user[1] I *D mgmt_protect -*I *2821:wbs_dat_o[1] O *D user_project_wrapper -*CAP -1 *2820:mprj_dat_i_user[1] 0.00117859 -2 *2821:wbs_dat_o[1] 0.00191441 -3 *1868:8 0.00541445 -4 *1868:7 0.00423586 -5 *1868:5 0.00191441 -6 *2820:mprj_dat_i_user[1] *1932:7 0 -7 *1868:8 *1921:8 0.000179648 -8 *1868:8 *1932:8 0.00870862 -9 *1868:8 *2492:8 0.00028978 -10 *1868:8 *2500:8 0.0659502 -11 *1545:8 *1868:8 0.000895431 -12 *1545:14 *1868:8 0.000272272 -13 *1795:13 *2820:mprj_dat_i_user[1] 0.000332407 -14 *1800:7 *2820:mprj_dat_i_user[1] 0 -15 *1811:8 *1868:8 0.0661369 -*RES -1 *2821:wbs_dat_o[1] *1868:5 52.1996 -2 *1868:5 *1868:7 4.5 -3 *1868:7 *1868:8 706.734 -4 *1868:8 *2820:mprj_dat_i_user[1] 34.6913 -*END - -*D_NET *1869 0.0949962 -*CONN -*I *2820:mprj_dat_i_user[20] I *D mgmt_protect -*I *2821:wbs_dat_o[20] O *D user_project_wrapper -*CAP -1 *2820:mprj_dat_i_user[20] 0.00216014 -2 *2821:wbs_dat_o[20] 0.00113932 -3 *1869:10 0.00216014 -4 *1869:8 0.00314302 -5 *1869:7 0.00428235 -6 *2820:mprj_dat_i_user[20] *1933:5 0 -7 *1869:8 *1871:18 0 -8 *1869:8 *1884:8 0.000152056 -9 *1869:8 *1931:8 0.00020979 -10 *1869:8 *1933:8 0.000670106 -11 *1288:5 *2820:mprj_dat_i_user[20] 0.000224572 -12 *1799:8 *1869:8 0.0406853 -13 *1801:7 *2820:mprj_dat_i_user[20] 0 -14 *1866:8 *1869:8 0.0401694 -*RES -1 *2821:wbs_dat_o[20] *1869:7 34.6913 -2 *1869:7 *1869:8 439.969 -3 *1869:8 *1869:10 4.5 -4 *1869:10 *2820:mprj_dat_i_user[20] 52.1996 -*END - -*D_NET *1870 0.0782084 -*CONN -*I *2820:mprj_dat_i_user[21] I *D mgmt_protect -*I *2821:wbs_dat_o[21] O *D user_project_wrapper -*CAP -1 *2820:mprj_dat_i_user[21] 0.000838909 -2 *2821:wbs_dat_o[21] 0.00188349 -3 *1870:20 0.00126901 -4 *1870:14 0.00110409 -5 *1870:13 0.00132384 -6 *1870:8 0.00527217 -7 *1870:7 0.00650581 -8 *2820:mprj_dat_i_user[21] *1934:7 0 -9 *1870:8 *1926:8 0.00252827 -10 *1870:8 *1945:8 0.00040761 -11 *1870:8 *1947:14 0 -12 *1870:13 *2820:mprj_dat_i_user[8] 0.000650572 -13 *1870:13 *1951:5 0 -14 *2821:la_oenb[4] *1870:13 1.15048e-05 -15 *944:14 *1870:8 0.00524313 -16 *1161:14 *1870:14 0.00249162 -17 *1161:14 *1870:20 3.89637e-05 -18 *1650:8 *1870:20 0.00394081 -19 *1795:8 *1870:14 0.0050094 -20 *1796:19 *1870:13 4.00504e-05 -21 *1802:7 *2820:mprj_dat_i_user[21] 0 -22 *1807:14 *1870:8 0 -23 *1809:14 *1870:8 0.00458518 -24 *1812:8 *1870:14 0.000276785 -25 *1812:8 *1870:20 0.00411799 -26 *1867:8 *1870:8 0.0306691 -*RES -1 *2821:wbs_dat_o[21] *1870:7 49.2251 -2 *1870:7 *1870:8 322.948 -3 *1870:8 *1870:13 24.1201 -4 *1870:13 *1870:14 60.3433 -5 *1870:14 *1870:20 49.3385 -6 *1870:20 *2820:mprj_dat_i_user[21] 23.132 -*END - -*D_NET *1871 0.0654676 -*CONN -*I *2820:mprj_dat_i_user[22] I *D mgmt_protect -*I *2821:wbs_dat_o[22] O *D user_project_wrapper -*CAP -1 *2820:mprj_dat_i_user[22] 6.22868e-05 -2 *2821:wbs_dat_o[22] 0.00131323 -3 *1871:21 0.00170245 -4 *1871:20 0.00164017 -5 *1871:18 0.00175321 -6 *1871:17 0.00201714 -7 *1871:12 0.00680363 -8 *1871:10 0.00785293 -9 *1871:12 *1935:12 0.0221533 -10 *1871:17 *1951:5 0.000245081 -11 *1871:18 *1881:8 0.0105463 -12 *1871:18 *1925:8 0.00383301 -13 *1871:18 *1927:8 0.000292277 -14 *1871:18 *1929:8 0 -15 *1871:18 *1931:8 0 -16 *1871:18 *1935:10 2.10179e-05 -17 *1871:21 *1935:5 0.00119261 -18 *2821:la_data_in[10] *1871:21 0.000123448 -19 *2821:la_oenb[4] *1871:17 0 -20 *1797:10 *1871:18 0.000173512 -21 *1801:10 *1871:10 0.00115153 -22 *1801:10 *1871:12 0.00242901 -23 *1862:8 *1871:18 0.000161493 -24 *1869:8 *1871:18 0 -*RES -1 *2821:wbs_dat_o[22] *1871:10 45.7018 -2 *1871:10 *1871:12 291.058 -3 *1871:12 *1871:17 14.9845 -4 *1871:17 *1871:18 112.199 -5 *1871:18 *1871:20 4.5 -6 *1871:20 *1871:21 48.5479 -7 *1871:21 *2820:mprj_dat_i_user[22] 1.77093 -*END - -*D_NET *1872 0.0890723 -*CONN -*I *2820:mprj_dat_i_user[23] I *D mgmt_protect -*I *2821:wbs_dat_o[23] O *D user_project_wrapper -*CAP -1 *2820:mprj_dat_i_user[23] 0.00106786 -2 *2821:wbs_dat_o[23] 0.00254457 -3 *1872:8 0.00431943 -4 *1872:7 0.00325157 -5 *1872:5 0.00254457 -6 *2820:mprj_dat_i_user[23] *1936:7 0 -7 *1872:8 *1936:8 0.0378099 -8 *1792:8 *1872:8 0.000188584 -9 *1794:8 *1872:8 0.000101365 -10 *1804:7 *2820:mprj_dat_i_user[23] 0 -11 *1806:8 *1872:8 0.0350548 -12 *1824:8 *1872:8 0.00218961 -*RES -1 *2821:wbs_dat_o[23] *1872:5 54.6912 -2 *1872:5 *1872:7 4.5 -3 *1872:7 *1872:8 400.593 -4 *1872:8 *2820:mprj_dat_i_user[23] 32.1998 -*END - -*D_NET *1873 0.081015 -*CONN -*I *2820:mprj_dat_i_user[24] I *D mgmt_protect -*I *2821:wbs_dat_o[24] O *D user_project_wrapper -*CAP -1 *2820:mprj_dat_i_user[24] 0.00164631 -2 *2821:wbs_dat_o[24] 0.00165729 -3 *1873:14 0.00187328 -4 *1873:8 0.00323203 -5 *1873:7 0.00466235 -6 *2820:mprj_dat_i_user[24] *1937:7 0.000723419 -7 *1873:8 *1937:8 0.0347775 -8 *1873:14 *1937:8 0.000790462 -9 *2821:la_oenb[10] *2820:mprj_dat_i_user[24] 0 -10 *966:8 *1873:8 0.00447706 -11 *966:8 *1873:14 0.00181194 -12 *1805:7 *2820:mprj_dat_i_user[24] 0 -13 *1805:14 *1873:8 0.0245993 -14 *1860:8 *1873:8 0.000763973 -*RES -1 *2821:wbs_dat_o[24] *1873:7 42.5811 -2 *1873:7 *1873:8 368.703 -3 *1873:8 *1873:14 24.3813 -4 *1873:14 *2820:mprj_dat_i_user[24] 46.0197 -*END - -*D_NET *1874 0.0825907 -*CONN -*I *2820:mprj_dat_i_user[25] I *D mgmt_protect -*I *2821:wbs_dat_o[25] O *D user_project_wrapper -*CAP -1 *2820:mprj_dat_i_user[25] 0.000982112 -2 *2821:wbs_dat_o[25] 0.00266982 -3 *1874:8 0.0038838 -4 *1874:7 0.00290169 -5 *1874:5 0.00266982 -6 *2820:mprj_dat_i_user[25] *1938:7 0 -7 *1874:8 *1934:8 0.00149647 -8 *1874:8 *1938:8 0.0012508 -9 *1874:8 *1940:8 0.000765812 -10 *1010:8 *1874:8 0.00829871 -11 *1792:8 *1874:8 0.0251877 -12 *1806:7 *2820:mprj_dat_i_user[25] 0 -13 *1808:8 *1874:8 0.032484 -*RES -1 *2821:wbs_dat_o[25] *1874:5 56.3522 -2 *1874:5 *1874:7 4.5 -3 *1874:7 *1874:8 373.972 -4 *1874:8 *2820:mprj_dat_i_user[25] 30.5388 -*END - -*D_NET *1875 0.0778843 -*CONN -*I *2820:mprj_dat_i_user[26] I *D mgmt_protect -*I *2821:wbs_dat_o[26] O *D user_project_wrapper -*CAP -1 *2820:mprj_dat_i_user[26] 0.00162389 -2 *2821:wbs_dat_o[26] 0.00172912 -3 *1875:12 0.00408592 -4 *1875:10 0.00291214 -5 *1875:7 0.00217922 -6 *2820:mprj_dat_i_user[26] *1878:19 0.000826182 -7 *2820:mprj_dat_i_user[26] *1939:7 0 -8 *1875:10 *1882:14 0.00197564 -9 *1875:10 *1939:8 0.00442788 -10 *1875:12 *1877:8 0.000403301 -11 *1875:12 *1877:12 0.022735 -12 *1875:12 *1882:14 0.00515848 -13 *1875:12 *1939:8 7.90692e-05 -14 *1807:7 *2820:mprj_dat_i_user[26] 6.0298e-05 -15 *1807:8 *1875:12 0.0292179 -16 *1860:8 *1875:10 0.000156964 -17 *1860:8 *1875:12 0.000313353 -*RES -1 *2821:wbs_dat_o[26] *1875:7 43.8268 -2 *1875:7 *1875:10 47.3342 -3 *1875:10 *1875:12 312.411 -4 *1875:12 *2820:mprj_dat_i_user[26] 48.7854 -*END - -*D_NET *1876 0.0774959 -*CONN -*I *2820:mprj_dat_i_user[27] I *D mgmt_protect -*I *2821:wbs_dat_o[27] O *D user_project_wrapper -*CAP -1 *2820:mprj_dat_i_user[27] 0.000924654 -2 *2821:wbs_dat_o[27] 0.00146646 -3 *1876:14 0.00391088 -4 *1876:13 0.00439319 -5 *1876:7 0.00287343 -6 *2820:mprj_dat_i_user[27] *1940:7 0 -7 *1876:13 *2821:wbs_dat_i[28] 0 -8 *1876:13 *1886:8 0.00106346 -9 *1876:14 *1934:8 0.000444742 -10 *1876:14 *1940:8 0.0315383 -11 *1876:14 *1942:8 0.029208 -12 *1876:14 *1946:8 0 -13 *1876:14 *1947:10 0.000605103 -14 *2821:wbs_adr_i[28] *1876:13 0 -15 *1808:7 *2820:mprj_dat_i_user[27] 0 -16 *1857:8 *1876:13 0.00106766 -*RES -1 *2821:wbs_dat_o[27] *1876:7 39.6743 -2 *1876:7 *1876:13 42.8555 -3 *1876:13 *1876:14 335.704 -4 *1876:14 *2820:mprj_dat_i_user[27] 29.293 -*END - -*D_NET *1877 0.0703073 -*CONN -*I *2820:mprj_dat_i_user[28] I *D mgmt_protect -*I *2821:wbs_dat_o[28] O *D user_project_wrapper -*CAP -1 *2820:mprj_dat_i_user[28] 0.00126836 -2 *2821:wbs_dat_o[28] 0.00179973 -3 *1877:12 0.0037507 -4 *1877:10 0.00251758 -5 *1877:8 0.000767878 -6 *1877:7 0.00253236 -7 *2820:mprj_dat_i_user[28] *1941:7 0.00243599 -8 *1877:8 *1882:14 0.0022771 -9 *1877:8 *1941:14 3.59437e-05 -10 *1877:8 *1944:8 0.00370371 -11 *1877:8 *1947:14 0.00322573 -12 *1877:12 *1939:8 9.27159e-05 -13 *1877:12 *1941:8 0.0214417 -14 *1877:12 *1944:8 0.000785585 -15 *1183:8 *1877:12 9.71151e-05 -16 *1807:13 *1877:7 0 -17 *1807:14 *1877:8 0.000436825 -18 *1809:7 *2820:mprj_dat_i_user[28] 0 -19 *1875:12 *1877:8 0.000403301 -20 *1875:12 *1877:12 0.022735 -*RES -1 *2821:wbs_dat_o[28] *1877:7 45.4878 -2 *1877:7 *1877:8 74.4857 -3 *1877:8 *1877:10 0.988641 -4 *1877:10 *1877:12 261.387 -5 *1877:12 *2820:mprj_dat_i_user[28] 49.1518 -*END - -*D_NET *1878 0.0713823 -*CONN -*I *2820:mprj_dat_i_user[29] I *D mgmt_protect -*I *2821:wbs_dat_o[29] O *D user_project_wrapper -*CAP -1 *2820:mprj_dat_i_user[29] 0.000838909 -2 *2821:wbs_dat_o[29] 0.00191609 -3 *1878:22 0.001048 -4 *1878:19 0.000829282 -5 *1878:14 0.00225302 -6 *1878:13 0.00168494 -7 *1878:8 0.000840151 -8 *1878:7 0.00270413 -9 *2820:mprj_dat_i_user[29] *1942:7 0 -10 *1878:8 *1880:8 0.000627856 -11 *1878:8 *1926:8 0.000355551 -12 *1878:8 *1941:14 0.000514312 -13 *1878:8 *1945:8 0.00492669 -14 *1878:14 *1880:8 0.0195492 -15 *1878:19 *1939:7 0 -16 *2820:mprj_dat_i_user[26] *1878:19 0.000826182 -17 *944:14 *1878:8 4.0752e-05 -18 *1650:8 *1878:22 0.00229711 -19 *1795:13 *1878:13 7.50872e-05 -20 *1809:14 *1878:8 0.00821293 -21 *1810:7 *2820:mprj_dat_i_user[29] 0 -22 *1812:8 *1878:22 0.00229291 -23 *1813:8 *1878:14 0.0195492 -*RES -1 *2821:wbs_dat_o[29] *1878:7 47.9793 -2 *1878:7 *1878:8 87.7962 -3 *1878:8 *1878:13 10.4167 -4 *1878:13 *1878:14 208.145 -5 *1878:14 *1878:19 26.1963 -6 *1878:19 *1878:22 29.0714 -7 *1878:22 *2820:mprj_dat_i_user[29] 23.132 -*END - -*D_NET *1879 0.180995 -*CONN -*I *2820:mprj_dat_i_user[2] I *D mgmt_protect -*I *2821:wbs_dat_o[2] O *D user_project_wrapper -*CAP -1 *2820:mprj_dat_i_user[2] 0.000881103 -2 *2821:wbs_dat_o[2] 0.0019078 -3 *1879:8 0.00630241 -4 *1879:7 0.0054213 -5 *1879:5 0.0019078 -6 *2820:mprj_dat_i_user[2] *1943:7 0.00177629 -7 *1879:8 *1921:8 0.00762504 -8 *1879:8 *1932:8 0.0742727 -9 *1879:8 *2492:8 0.00849869 -10 *1879:8 *2494:8 0.0712418 -11 *1161:13 *2820:mprj_dat_i_user[2] 0 -12 *1794:8 *1879:8 0.000190972 -13 *1811:7 *2820:mprj_dat_i_user[2] 0 -14 *1814:8 *1879:8 0.000969021 -*RES -1 *2821:wbs_dat_o[2] *1879:5 51.5768 -2 *1879:5 *1879:7 3.36879 -3 *1879:7 *1879:8 95.0507 -4 *1879:8 *2820:mprj_dat_i_user[2] 34.1829 -*END - -*D_NET *1880 0.0674267 -*CONN -*I *2820:mprj_dat_i_user[30] I *D mgmt_protect -*I *2821:wbs_dat_o[30] O *D user_project_wrapper -*CAP -1 *2820:mprj_dat_i_user[30] 0.00160648 -2 *2821:wbs_dat_o[30] 0.00187862 -3 *1880:8 0.00406204 -4 *1880:7 0.00433418 -5 *2820:mprj_dat_i_user[30] *1942:7 0 -6 *2820:mprj_dat_i_user[30] *1944:7 0 -7 *1880:8 *1926:8 0.000312037 -8 *1880:8 *1941:14 0.00615465 -9 *1880:8 *1945:8 0.027499 -10 *1812:7 *2820:mprj_dat_i_user[30] 0 -11 *1813:8 *1880:8 0.00140264 -12 *1878:8 *1880:8 0.000627856 -13 *1878:14 *1880:8 0.0195492 -*RES -1 *2821:wbs_dat_o[30] *1880:7 47.1488 -2 *1880:7 *1880:8 306.865 -3 *1880:8 *2820:mprj_dat_i_user[30] 44.2421 -*END - -*D_NET *1881 0.0636375 -*CONN -*I *2820:mprj_dat_i_user[31] I *D mgmt_protect -*I *2821:wbs_dat_o[31] O *D user_project_wrapper -*CAP -1 *2820:mprj_dat_i_user[31] 0.00192754 -2 *2821:wbs_dat_o[31] 0.00142022 -3 *1881:10 0.00192754 -4 *1881:8 0.00250453 -5 *1881:7 0.00392475 -6 *2820:mprj_dat_i_user[31] *1945:7 0 -7 *1881:8 *1886:18 0.00725056 -8 *1881:8 *1923:14 0.00887335 -9 *1881:8 *1925:8 0.000684308 -10 *1881:8 *1935:10 0 -11 *905:14 *1881:8 0.000667704 -12 *927:8 *1881:8 0.0048785 -13 *977:14 *1881:8 0.0189619 -14 *1193:7 *2820:mprj_dat_i_user[31] 7.03198e-05 -15 *1813:7 *2820:mprj_dat_i_user[31] 0 -16 *1871:18 *1881:8 0.0105463 -*RES -1 *2821:wbs_dat_o[31] *1881:7 38.8438 -2 *1881:7 *1881:8 293.554 -3 *1881:8 *1881:10 4.5 -4 *1881:10 *2820:mprj_dat_i_user[31] 48.0471 -*END - -*D_NET *1882 0.134311 -*CONN -*I *2820:mprj_dat_i_user[3] I *D mgmt_protect -*I *2821:wbs_dat_o[3] O *D user_project_wrapper -*CAP -1 *2820:mprj_dat_i_user[3] 0.000837926 -2 *2821:wbs_dat_o[3] 0.00128113 -3 *1882:20 0.00151617 -4 *1882:19 0.00182933 -5 *1882:14 0.00230401 -6 *1882:13 0.00133566 -7 *1882:8 0.00352536 -8 *1882:7 0.00462375 -9 *2820:mprj_dat_i_user[3] *1946:7 0 -10 *1882:8 *1886:8 0.0372013 -11 *1882:8 *1947:20 0.00306986 -12 *1882:8 *2491:8 0.00312813 -13 *1882:13 *1935:17 2.05972e-05 -14 *1882:14 *1937:8 0.000131242 -15 *1882:14 *1939:8 0.000183679 -16 *1882:19 *2821:wbs_dat_i[31] 6.25467e-05 -17 *1882:20 *1946:8 0.00669368 -18 *1882:20 *1947:10 0.00017754 -19 *2821:wbs_adr_i[26] *1882:13 0.000242984 -20 *1795:8 *1882:20 0.00192534 -21 *1803:15 *1882:13 0.000147999 -22 *1807:14 *1882:14 0.00585757 -23 *1812:8 *1882:20 0.00211713 -24 *1814:7 *2820:mprj_dat_i_user[3] 0 -25 *1824:14 *1882:8 0.000526688 -26 *1857:8 *1882:8 0.0460252 -27 *1860:8 *1882:14 0.000135387 -28 *1875:10 *1882:14 0.00197564 -29 *1875:12 *1882:14 0.00515848 -30 *1877:8 *1882:14 0.0022771 -*RES -1 *2821:wbs_dat_o[3] *1882:7 39.6743 -2 *1882:7 *1882:8 483.783 -3 *1882:8 *1882:13 14.154 -4 *1882:13 *1882:14 116.081 -5 *1882:14 *1882:19 28.6878 -6 *1882:19 *1882:20 71.1581 -7 *1882:20 *2820:mprj_dat_i_user[3] 27.2167 -*END - -*D_NET *1883 0.142379 -*CONN -*I *2820:mprj_dat_i_user[4] I *D mgmt_protect -*I *2821:wbs_dat_o[4] O *D user_project_wrapper -*CAP -1 *2820:mprj_dat_i_user[4] 0.001208 -2 *2821:wbs_dat_o[4] 0.00188354 -3 *1883:8 0.00590408 -4 *1883:7 0.00469608 -5 *1883:5 0.00188354 -6 *2820:mprj_dat_i_user[4] *1886:23 0.000568639 -7 *2820:mprj_dat_i_user[4] *1947:10 0 -8 *1883:8 *1921:8 0.000313928 -9 *1883:8 *1948:8 0.000419745 -10 *1883:8 *2494:8 0.00633355 -11 *1815:5 *2820:mprj_dat_i_user[4] 0 -12 *1816:8 *1883:8 0.0616266 -13 *1818:8 *1883:8 0.0575415 -*RES -1 *2821:wbs_dat_o[4] *1883:5 50.1234 -2 *1883:5 *1883:7 4.5 -3 *1883:7 *1883:8 653.492 -4 *1883:8 *2820:mprj_dat_i_user[4] 36.7675 -*END - -*D_NET *1884 0.156283 -*CONN -*I *2820:mprj_dat_i_user[5] I *D mgmt_protect -*I *2821:wbs_dat_o[5] O *D user_project_wrapper -*CAP -1 *2820:mprj_dat_i_user[5] 0.00103182 -2 *2821:wbs_dat_o[5] 0.00110276 -3 *1884:13 0.00267881 -4 *1884:8 0.0102263 -5 *1884:7 0.00968211 -6 *2820:mprj_dat_i_user[5] *1948:7 0 -7 *1884:8 *1949:8 0.0662452 -8 *1884:13 *2494:7 0 -9 *2821:la_data_in[3] *1884:13 0 -10 *2821:la_oenb[2] *1884:13 0 -11 *1161:14 *2820:mprj_dat_i_user[5] 0.000633287 -12 *1795:8 *2820:mprj_dat_i_user[5] 0.00145608 -13 *1799:8 *1884:8 0.000165394 -14 *1814:7 *1884:13 0 -15 *1815:5 *1884:13 0 -16 *1815:8 *1884:8 0.000198316 -17 *1816:7 *2820:mprj_dat_i_user[5] 0 -18 *1817:8 *1884:8 0.000328086 -19 *1819:8 *1884:8 0.0623826 -20 *1869:8 *1884:8 0.000152056 -*RES -1 *2821:wbs_dat_o[5] *1884:7 34.1829 -2 *1884:7 *1884:8 86.1778 -3 *1884:8 *1884:13 36.8998 -4 *1884:13 *2820:mprj_dat_i_user[5] 42.9144 -*END - -*D_NET *1885 0.161369 -*CONN -*I *2820:mprj_dat_i_user[6] I *D mgmt_protect -*I *2821:wbs_dat_o[6] O *D user_project_wrapper -*CAP -1 *2820:mprj_dat_i_user[6] 0.00138799 -2 *2821:wbs_dat_o[6] 0.00183698 -3 *1885:8 0.0071657 -4 *1885:7 0.00577771 -5 *1885:5 0.00183698 -6 *2820:mprj_dat_i_user[6] *1923:13 0.000142465 -7 *2820:mprj_dat_i_user[6] *1949:5 0 -8 *1885:8 *1948:8 0.067673 -9 *1885:8 *1950:8 0.0666897 -10 *1794:8 *1885:8 0 -11 *1817:5 *2820:mprj_dat_i_user[6] 0 -12 *1859:8 *1885:8 0.00500149 -13 *1861:8 *1885:8 0.00385702 -*RES -1 *2821:wbs_dat_o[6] *1885:5 48.2547 -2 *1885:5 *1885:7 3.36879 -3 *1885:7 *1885:8 86.4838 -4 *1885:8 *2820:mprj_dat_i_user[6] 37.505 -*END - -*D_NET *1886 0.123678 -*CONN -*I *2820:mprj_dat_i_user[7] I *D mgmt_protect -*I *2821:wbs_dat_o[7] O *D user_project_wrapper -*CAP -1 *2820:mprj_dat_i_user[7] 0.00139329 -2 *2821:wbs_dat_o[7] 0.00130635 -3 *1886:23 0.00264694 -4 *1886:18 0.00258077 -5 *1886:17 0.00144413 -6 *1886:8 0.00307456 -7 *1886:7 0.0042639 -8 *2820:mprj_dat_i_user[7] *1923:10 0.000583244 -9 *2820:mprj_dat_i_user[7] *1950:7 0 -10 *1886:8 *1923:14 0.00083916 -11 *1886:8 *1943:10 0.00280392 -12 *1886:8 *1947:20 0.0398798 -13 *1886:17 *2821:wbs_dat_i[28] 5.38612e-06 -14 *1886:18 *1923:14 0.00201661 -15 *1886:23 *1947:10 0 -16 *2820:mprj_dat_i_user[4] *1886:23 0.000568639 -17 *905:14 *1886:18 0.00656241 -18 *1161:14 *2820:mprj_dat_i_user[7] 0.000698415 -19 *1222:5 *1886:23 0.000150416 -20 *1810:16 *1886:18 0.00238245 -21 *1815:5 *1886:23 0 -22 *1816:7 *1886:23 0 -23 *1818:7 *2820:mprj_dat_i_user[7] 0 -24 *1857:8 *1886:8 0.00156008 -25 *1857:8 *1886:18 0.00340191 -26 *1876:13 *1886:8 0.00106346 -27 *1881:8 *1886:18 0.00725056 -28 *1882:8 *1886:8 0.0372013 -*RES -1 *2821:wbs_dat_o[7] *1886:7 39.2591 -2 *1886:7 *1886:8 439.415 -3 *1886:8 *1886:17 11.0518 -4 *1886:17 *1886:18 149.357 -5 *1886:18 *1886:23 35.7471 -6 *1886:23 *2820:mprj_dat_i_user[7] 49.0179 -*END - -*D_NET *1887 0.149255 -*CONN -*I *2820:mprj_dat_i_user[8] I *D mgmt_protect -*I *2821:wbs_dat_o[8] O *D user_project_wrapper -*CAP -1 *2820:mprj_dat_i_user[8] 0.00134332 -2 *2821:wbs_dat_o[8] 0.00180489 -3 *1887:8 0.00815811 -4 *1887:7 0.00861969 -5 *2820:mprj_dat_i_user[8] *1951:5 0 -6 *1887:8 *1924:8 0.0567917 -7 *1887:8 *1928:12 0.00389601 -8 *1887:8 *1950:8 0.0647163 -9 *2821:la_oenb[4] *2820:mprj_dat_i_user[8] 6.61225e-05 -10 *1794:8 *1887:8 0 -11 *1819:5 *2820:mprj_dat_i_user[8] 0 -12 *1865:8 *1887:8 0.00320782 -13 *1870:13 *2820:mprj_dat_i_user[8] 0.000650572 -*RES -1 *2821:wbs_dat_o[8] *1887:7 49.9625 -2 *1887:7 *1887:8 82.8123 -3 *1887:8 *2820:mprj_dat_i_user[8] 39.166 -*END - -*D_NET *1888 0.103732 -*CONN -*I *2820:mprj_dat_i_user[9] I *D mgmt_protect -*I *2821:wbs_dat_o[9] O *D user_project_wrapper -*CAP -1 *2820:mprj_dat_i_user[9] 0.0022322 -2 *2821:wbs_dat_o[9] 0.00101513 -3 *1888:10 0.0022322 -4 *1888:8 0.0165628 -5 *1888:7 0.017578 -6 *2820:mprj_dat_i_user[9] *1952:7 0 -7 *1888:8 *1951:8 0.0630579 -8 *988:11 *2820:mprj_dat_i_user[9] 0.00105355 -9 *1244:5 *2820:mprj_dat_i_user[9] 0 -10 *1820:7 *2820:mprj_dat_i_user[9] 0 -*RES -1 *2821:wbs_dat_o[9] *1888:7 31.6914 -2 *1888:7 *1888:8 80.9 -3 *1888:8 *1888:10 3.36879 -4 *1888:10 *2820:mprj_dat_i_user[9] 54.0683 -*END - -*D_NET *1889 0.278427 -*CONN -*I *2819:wb_dat_i[0] I *D housekeeping -*I *2820:mprj_dat_o_core[0] I *D mgmt_protect -*I *2826:mprj_dat_o[0] O *D mgmt_core_wrapper -*CAP -1 *2819:wb_dat_i[0] 0.00127645 -2 *2820:mprj_dat_o_core[0] 0.00233184 -3 *2826:mprj_dat_o[0] 0.00274745 -4 *1889:29 0.00611239 -5 *1889:27 0.00577108 -6 *1889:12 0.00233184 -7 *1889:10 0.0170493 -8 *1889:9 0.0188616 -9 *2819:wb_dat_i[0] *2819:wb_dat_i[1] 0 -10 *2820:mprj_dat_o_core[0] *2820:mprj_sel_o_core[0] 0 -11 *1889:9 *2487:7 0 -12 *1889:10 *2488:10 0.0716646 -13 *1889:27 *2487:7 0 -14 *1889:27 *2487:31 0 -15 *1889:27 *2488:25 0.000277007 -16 *1889:29 *1900:31 0 -17 *1889:29 *1900:35 0.0717864 -18 *1889:29 *2488:27 0.0737779 -19 *2820:mprj_adr_o_core[1] *2820:mprj_dat_o_core[0] 0 -20 *99:10 *1889:29 0.000344331 -21 *1039:14 *1889:10 0.000118356 -22 *1042:10 *1889:10 0.000265141 -23 *1042:21 *2820:mprj_dat_o_core[0] 0.000173332 -24 *1061:10 *1889:10 0 -25 *1301:10 *1889:10 0.000279755 -26 *1425:12 *1889:10 0.000144814 -27 *1427:10 *1889:10 0.000398943 -28 *1541:12 *1889:10 0.000272907 -29 *1750:10 *1889:27 0.00244202 -30 *1758:16 *1889:10 0 -31 *1781:13 *2819:wb_dat_i[0] 0 -32 *1825:7 *2820:mprj_dat_o_core[0] 0 -33 *1825:17 *1889:9 0 -*RES -1 *2826:mprj_dat_o[0] *1889:9 42.9643 -2 *1889:9 *1889:10 92.909 -3 *1889:10 *1889:12 3.36879 -4 *1889:12 *2820:mprj_dat_o_core[0] 49.9158 -5 *2826:mprj_dat_o[0] *1889:27 41.1484 -6 *1889:27 *1889:29 772.732 -7 *1889:29 *2819:wb_dat_i[0] 39.2957 -*END - -*D_NET *1890 0.308916 -*CONN -*I *2819:wb_dat_i[10] I *D housekeeping -*I *2820:mprj_dat_o_core[10] I *D mgmt_protect -*I *2826:mprj_dat_o[10] O *D mgmt_core_wrapper -*CAP -1 *2819:wb_dat_i[10] 1.28869e-05 -2 *2820:mprj_dat_o_core[10] 0.000791597 -3 *2826:mprj_dat_o[10] 0.00100019 -4 *1890:28 0.00259782 -5 *1890:27 0.00258493 -6 *1890:25 0.00837187 -7 *1890:16 0.00756394 -8 *1890:15 0.00895357 -9 *1890:12 0.00224119 -10 *1890:9 0.00943203 -11 *1890:16 *1892:24 0.0825391 -12 *1890:16 *1907:30 0.00858519 -13 *1890:16 *1914:10 0 -14 *1890:16 *1920:10 0.0822163 -15 *1890:25 *2826:irq[0] 0.00111012 -16 *1890:25 *1893:10 0.0132652 -17 *1890:25 *2489:10 0.00393918 -18 *1890:25 *2741:12 0.000589689 -19 *1890:28 *2819:wb_dat_i[9] 0 -20 *1890:28 *1891:13 0 -21 *1890:28 *1919:32 0 -22 *2820:mprj_adr_o_core[11] *2820:mprj_dat_o_core[10] 0.000276202 -23 *778:13 *2820:mprj_dat_o_core[10] 0.000128425 -24 *1759:7 *1890:9 0 -25 *1759:21 *1890:9 0 -26 *1770:10 *1890:25 0.0012481 -27 *1774:15 *1890:25 0.00040048 -28 *1774:25 *1890:25 0.00455975 -29 *1778:27 *1890:25 0.0266852 -30 *1780:25 *1890:25 0.0274265 -31 *1785:10 *1890:12 9.51286e-05 -32 *1785:10 *1890:25 0.00105257 -33 *1826:5 *2820:mprj_dat_o_core[10] 0 -34 *1828:14 *1890:12 0.000383703 -35 *1828:14 *1890:25 0.00214518 -36 *1830:16 *1890:25 0.00121044 -37 *1839:8 *1890:16 0.00750908 -*RES -1 *2826:mprj_dat_o[10] *1890:9 28.9388 -2 *1890:9 *1890:12 8.55102 -3 *1890:12 *1890:15 48.5946 -4 *1890:15 *1890:16 106.601 -5 *1890:16 *2820:mprj_dat_o_core[10] 23.3864 -6 *1890:9 *1890:25 652.937 -7 *1890:25 *1890:27 4.5 -8 *1890:27 *1890:28 66.4037 -9 *1890:28 *2819:wb_dat_i[10] 0.366399 -*END - -*D_NET *1891 0.369611 -*CONN -*I *2820:mprj_dat_o_core[11] I *D mgmt_protect -*I *2819:wb_dat_i[11] I *D housekeeping -*I *2826:mprj_dat_o[11] O *D mgmt_core_wrapper -*CAP -1 *2820:mprj_dat_o_core[11] 0.00280116 -2 *2819:wb_dat_i[11] 1.28869e-05 -3 *2826:mprj_dat_o[11] 0.00121786 -4 *1891:26 0.00280116 -5 *1891:24 0.0113687 -6 *1891:23 0.0116327 -7 *1891:13 0.00279151 -8 *1891:12 0.00277863 -9 *1891:10 0.00685346 -10 *1891:9 0.00685346 -11 *1891:7 0.00148184 -12 *1891:10 *1892:10 0.0681465 -13 *1891:10 *1901:10 0.000111627 -14 *1891:10 *1903:10 0.00375699 -15 *1891:10 *1919:29 0.0689758 -16 *1891:10 *2490:10 0.000104965 -17 *1891:13 *1892:13 0 -18 *1891:24 *1895:24 0.0819116 -19 *1891:24 *1901:24 0.000315907 -20 *1891:24 *1902:10 0.000301277 -21 *1891:24 *1904:10 0.000176586 -22 *1891:24 *1919:16 0.0787106 -23 *2820:mprj_adr_o_core[11] *2820:mprj_dat_o_core[11] 0 -24 *2820:mprj_adr_o_core[12] *2820:mprj_dat_o_core[11] 0 -25 *1418:12 *1891:24 9.47718e-05 -26 *1420:10 *1891:24 0.000656921 -27 *1763:10 *1891:10 0.00407213 -28 *1770:24 *1891:24 0.000337459 -29 *1826:8 *1891:24 0.000108607 -30 *1827:7 *2820:mprj_dat_o_core[11] 0 -31 *1827:17 *1891:7 0 -32 *1827:17 *1891:23 0 -33 *1828:5 *2820:mprj_dat_o_core[11] 0 -34 *1834:8 *1891:24 0.000300034 -35 *1838:8 *1891:24 0.000300034 -36 *1840:14 *1891:24 0.00492242 -37 *1842:10 *1891:24 0.00010238 -38 *1842:12 *1891:24 0.00443132 -39 *1849:10 *1891:10 0.000431104 -40 *1851:8 *1891:24 0.000118134 -41 *1855:8 *1891:24 0.000629947 -42 *1890:28 *1891:13 0 -*RES -1 *2826:mprj_dat_o[11] *1891:7 28.2372 -2 *1891:7 *1891:9 3.36879 -3 *1891:9 *1891:10 88.855 -4 *1891:10 *1891:12 3.36879 -5 *1891:12 *1891:13 70.7639 -6 *1891:13 *2819:wb_dat_i[11] 0.366399 -7 *1891:7 *1891:23 8.5228 -8 *1891:23 *1891:24 107.901 -9 *1891:24 *1891:26 3.36879 -10 *1891:26 *2820:mprj_dat_o_core[11] 55.7293 -*END - -*D_NET *1892 0.333638 -*CONN -*I *2820:mprj_dat_o_core[12] I *D mgmt_protect -*I *2819:wb_dat_i[12] I *D housekeeping -*I *2826:mprj_dat_o[12] O *D mgmt_core_wrapper -*CAP -1 *2820:mprj_dat_o_core[12] 0.00099596 -2 *2819:wb_dat_i[12] 1.28869e-05 -3 *2826:mprj_dat_o[12] 0.00121109 -4 *1892:24 0.00870611 -5 *1892:23 0.009574 -6 *1892:13 0.00282805 -7 *1892:12 0.00281516 -8 *1892:10 0.0131672 -9 *1892:9 0.0131672 -10 *1892:7 0.00307494 -11 *1892:10 *1905:31 0.00383665 -12 *1892:10 *1913:29 0.00280289 -13 *1892:10 *2490:10 0 -14 *1892:13 *1893:13 0 -15 *1892:24 *1894:10 0.0846141 -16 *1892:24 *1914:10 0 -17 *2820:mprj_adr_o_core[13] *2820:mprj_dat_o_core[12] 0 -18 *2826:mprj_dat_i[12] *1892:7 0 -19 *1761:7 *1892:7 0 -20 *1761:23 *1892:7 0 -21 *1761:23 *1892:23 0 -22 *1764:10 *1892:10 0.00411151 -23 *1765:31 *1892:10 0.00291713 -24 *1766:10 *1892:10 0.00256727 -25 *1778:21 *1892:10 0.000101794 -26 *1828:5 *2820:mprj_dat_o_core[12] 0 -27 *1849:10 *1892:10 0.0126975 -28 *1850:8 *1892:24 0.00629978 -29 *1852:8 *1892:24 0.007451 -30 *1890:16 *1892:24 0.0825391 -31 *1891:10 *1892:10 0.0681465 -32 *1891:13 *1892:13 0 -*RES -1 *2826:mprj_dat_o[12] *1892:7 27.944 -2 *1892:7 *1892:9 3.36879 -3 *1892:9 *1892:10 87.0192 -4 *1892:10 *1892:12 3.36879 -5 *1892:12 *1892:13 71.5944 -6 *1892:13 *2819:wb_dat_i[12] 0.366399 -7 *1892:7 *1892:23 42.5735 -8 *1892:23 *1892:24 109.201 -9 *1892:24 *2820:mprj_dat_o_core[12] 24.2169 -*END - -*D_NET *1893 0.244513 -*CONN -*I *2820:mprj_dat_o_core[13] I *D mgmt_protect -*I *2819:wb_dat_i[13] I *D housekeeping -*I *2826:mprj_dat_o[13] O *D mgmt_core_wrapper -*CAP -1 *2820:mprj_dat_o_core[13] 0.00236649 -2 *2819:wb_dat_i[13] 1.28869e-05 -3 *2826:mprj_dat_o[13] 0.000987653 -4 *1893:26 0.00236649 -5 *1893:24 0.0144721 -6 *1893:23 0.0151695 -7 *1893:13 0.00262858 -8 *1893:12 0.00261569 -9 *1893:10 0.00597878 -10 *1893:9 0.00597878 -11 *1893:7 0.00168502 -12 *1893:10 *1895:10 0.00175964 -13 *1893:10 *2490:10 0.003693 -14 *1893:10 *2741:12 0.000585477 -15 *1893:13 *2819:wb_dat_i[14] 0 -16 *1893:13 *1895:13 0 -17 *1893:24 *1902:16 0.00612238 -18 *1893:24 *1911:16 0.000233528 -19 *2820:mprj_adr_o_core[14] *2820:mprj_dat_o_core[13] 0 -20 *1039:12 *1893:24 0 -21 *1039:14 *1893:24 0 -22 *1291:7 *2820:mprj_dat_o_core[13] 0.000337386 -23 *1301:10 *1893:24 0 -24 *1425:12 *1893:24 9.12806e-05 -25 *1427:10 *1893:24 0 -26 *1762:7 *1893:7 0 -27 *1762:23 *1893:7 0 -28 *1762:23 *1893:23 0 -29 *1779:14 *1893:24 0.000565068 -30 *1780:25 *1893:10 0.0274223 -31 *1782:25 *1893:24 0.000234007 -32 *1783:10 *1893:24 0.000644459 -33 *1784:22 *1893:24 0.0123318 -34 *1785:10 *1893:10 0.0482883 -35 *1786:10 *1893:10 0.000113197 -36 *1787:10 *1893:10 6.44576e-05 -37 *1788:16 *1893:24 0 -38 *1829:7 *2820:mprj_dat_o_core[13] 0.000243842 -39 *1830:5 *2820:mprj_dat_o_core[13] 0 -40 *1832:8 *1893:24 0.0742558 -41 *1890:25 *1893:10 0.0132652 -42 *1892:13 *1893:13 0 -*RES -1 *2826:mprj_dat_o[13] *1893:7 23.5839 -2 *1893:7 *1893:9 4.5 -3 *1893:9 *1893:10 617.997 -4 *1893:10 *1893:12 4.5 -5 *1893:12 *1893:13 67.2342 -6 *1893:13 *2819:wb_dat_i[13] 0.366399 -7 *1893:7 *1893:23 17.5438 -8 *1893:23 *1893:24 801.016 -9 *1893:24 *1893:26 4.5 -10 *1893:26 *2820:mprj_dat_o_core[13] 51.3691 -*END - -*D_NET *1894 0.332364 -*CONN -*I *2819:wb_dat_i[14] I *D housekeeping -*I *2820:mprj_dat_o_core[14] I *D mgmt_protect -*I *2826:mprj_dat_o[14] O *D mgmt_core_wrapper -*CAP -1 *2819:wb_dat_i[14] 0.00136406 -2 *2820:mprj_dat_o_core[14] 0.00104199 -3 *2826:mprj_dat_o[14] 0.000419759 -4 *1894:27 0.00579284 -5 *1894:25 0.00483249 -6 *1894:10 0.00855247 -7 *1894:9 0.00751048 -8 *1894:7 0.00298915 -9 *1894:5 0.0030052 -10 *2819:wb_dat_i[14] *1895:13 0 -11 *1894:10 *1896:10 0.086594 -12 *1894:10 *1914:10 0.000149947 -13 *1894:27 *1896:26 0.000374422 -14 *1894:27 *1896:28 0.0532068 -15 *1894:27 *1920:29 0.0562267 -16 *1894:27 *2488:27 0 -17 *2820:mprj_adr_o_core[15] *2820:mprj_dat_o_core[14] 0 -18 *99:10 *1894:27 0.000510617 -19 *1750:10 *1894:27 0 -20 *1763:7 *1894:7 0 -21 *1763:7 *1894:25 0 -22 *1763:23 *1894:7 0 -23 *1830:5 *2820:mprj_dat_o_core[14] 0 -24 *1831:7 *2820:mprj_dat_o_core[14] 0 -25 *1854:8 *1894:10 0.00708183 -26 *1856:8 *1894:10 0.00809686 -27 *1892:24 *1894:10 0.0846141 -28 *1893:13 *2819:wb_dat_i[14] 0 -*RES -1 *2826:mprj_dat_o[14] *1894:5 0.366399 -2 *1894:5 *1894:7 65.7808 -3 *1894:7 *1894:9 3.36879 -4 *1894:9 *1894:10 111.649 -5 *1894:10 *2820:mprj_dat_o_core[14] 25.0474 -6 *2826:mprj_dat_o[14] *1894:25 14.1425 -7 *1894:25 *1894:27 603.578 -8 *1894:27 *2819:wb_dat_i[14] 41.7872 -*END - -*D_NET *1895 0.33669 -*CONN -*I *2820:mprj_dat_o_core[15] I *D mgmt_protect -*I *2819:wb_dat_i[15] I *D housekeeping -*I *2826:mprj_dat_o[15] O *D mgmt_core_wrapper -*CAP -1 *2820:mprj_dat_o_core[15] 0.00267773 -2 *2819:wb_dat_i[15] 1.28869e-05 -3 *2826:mprj_dat_o[15] 0.00114175 -4 *1895:26 0.00267773 -5 *1895:24 0.0125009 -6 *1895:23 0.0128261 -7 *1895:13 0.00268599 -8 *1895:12 0.00267311 -9 *1895:10 0.00493889 -10 *1895:9 0.00493889 -11 *1895:7 0.00146691 -12 *1895:10 *1897:15 0.00137986 -13 *1895:10 *1897:25 0.0533804 -14 *1895:10 *1917:10 0.00367129 -15 *1895:13 *2819:wb_dat_i[16] 0 -16 *1895:24 *1897:16 0.0871548 -17 *1895:24 *1904:10 0.000101537 -18 *1895:24 *1906:10 0.00540283 -19 *2819:wb_dat_i[14] *1895:13 0 -20 *2820:mprj_adr_o_core[16] *2820:mprj_dat_o_core[15] 0 -21 *782:13 *2820:mprj_dat_o_core[15] 0.000673289 -22 *1420:10 *1895:24 0.00056957 -23 *1764:7 *1895:7 0 -24 *1764:23 *1895:23 0 -25 *1774:16 *1895:24 0.00468474 -26 *1787:10 *1895:10 0.0460369 -27 *1788:31 *1895:10 0.000721049 -28 *1826:8 *1895:24 0.00010238 -29 *1831:7 *2820:mprj_dat_o_core[15] 0 -30 *1831:17 *1895:7 0 -31 *1831:17 *1895:23 0 -32 *1840:14 *1895:24 0.000228897 -33 *1842:12 *1895:24 0.000210902 -34 *1851:8 *1895:24 0.000159297 -35 *1891:24 *1895:24 0.0819116 -36 *1893:10 *1895:10 0.00175964 -37 *1893:13 *1895:13 0 -*RES -1 *2826:mprj_dat_o[15] *1895:7 26.3685 -2 *1895:7 *1895:9 4.5 -3 *1895:9 *1895:10 594.149 -4 *1895:10 *1895:12 4.5 -5 *1895:12 *1895:13 68.8952 -6 *1895:13 *2819:wb_dat_i[15] 0.366399 -7 *1895:7 *1895:23 9.56093 -8 *1895:23 *1895:24 112.72 -9 *1895:24 *1895:26 3.36879 -10 *1895:26 *2820:mprj_dat_o_core[15] 56.5598 -*END - -*D_NET *1896 0.334312 -*CONN -*I *2819:wb_dat_i[16] I *D housekeeping -*I *2820:mprj_dat_o_core[16] I *D mgmt_protect -*I *2826:mprj_dat_o[16] O *D mgmt_core_wrapper -*CAP -1 *2819:wb_dat_i[16] 0.00137866 -2 *2820:mprj_dat_o_core[16] 0.00108842 -3 *2826:mprj_dat_o[16] 1.60434e-05 -4 *1896:28 0.00510176 -5 *1896:26 0.00437438 -6 *1896:10 0.00844337 -7 *1896:9 0.00735495 -8 *1896:7 0.00270711 -9 *1896:5 0.00337444 -10 *2819:wb_dat_i[16] *1897:28 0 -11 *1896:7 *1897:15 0.000245754 -12 *1896:10 *1899:16 0.0878948 -13 *1896:10 *1914:10 0.000371906 -14 *1896:28 *1898:27 0.0522179 -15 *1896:28 *2488:27 0 -16 *2820:mprj_adr_o_core[17] *2820:mprj_dat_o_core[16] 0 -17 *99:10 *1896:28 0.000469437 -18 *1292:7 *2820:mprj_dat_o_core[16] 7.08723e-06 -19 *1750:10 *1896:26 0.000374691 -20 *1750:10 *1896:28 0.000102255 -21 *1765:9 *1896:7 0 -22 *1765:9 *1896:26 0 -23 *1765:15 *1896:7 0.000425505 -24 *1832:5 *2820:mprj_dat_o_core[16] 0 -25 *1837:8 *1896:10 0.00866638 -26 *1843:8 *1896:10 0.00952246 -27 *1894:10 *1896:10 0.086594 -28 *1894:27 *1896:26 0.000374422 -29 *1894:27 *1896:28 0.0532068 -30 *1895:13 *2819:wb_dat_i[16] 0 -*RES -1 *2826:mprj_dat_o[16] *1896:5 0.366399 -2 *1896:5 *1896:7 64.9503 -3 *1896:7 *1896:9 3.36879 -4 *1896:9 *1896:10 114.173 -5 *1896:10 *2820:mprj_dat_o_core[16] 25.8779 -6 *1896:5 *1896:26 28.403 -7 *1896:26 *1896:28 563.923 -8 *1896:28 *2819:wb_dat_i[16] 42.2025 -*END - -*D_NET *1897 0.33518 -*CONN -*I *2819:wb_dat_i[17] I *D housekeeping -*I *2820:mprj_dat_o_core[17] I *D mgmt_protect -*I *2826:mprj_dat_o[17] O *D mgmt_core_wrapper -*CAP -1 *2819:wb_dat_i[17] 1.28869e-05 -2 *2820:mprj_dat_o_core[17] 0.0029896 -3 *2826:mprj_dat_o[17] 0.00112273 -4 *1897:28 0.00269035 -5 *1897:27 0.00267746 -6 *1897:25 0.00409786 -7 *1897:18 0.0029896 -8 *1897:16 0.0112801 -9 *1897:15 0.0115624 -10 *1897:9 0.00550288 -11 *1897:16 *1908:10 0.00530045 -12 *1897:25 *1899:25 0.0047663 -13 *1897:25 *1915:10 0.0041981 -14 *1897:25 *1919:29 0.000137573 -15 *1897:28 *2819:wb_dat_i[18] 0 -16 *1897:28 *1899:28 0 -17 *2819:wb_dat_i[16] *1897:28 0 -18 *2820:mprj_adr_o_core[17] *2820:mprj_dat_o_core[17] 0 -19 *2820:mprj_adr_o_core[18] *2820:mprj_dat_o_core[17] 0 -20 *782:14 *1897:16 0.000159297 -21 *783:14 *1897:16 0.000341421 -22 *1293:15 *2820:mprj_dat_o_core[17] 0 -23 *1420:10 *1897:16 0.000276702 -24 *1765:9 *1897:15 0 -25 *1765:15 *1897:15 7.84967e-05 -26 *1778:10 *1897:16 0.00468474 -27 *1788:31 *1897:15 0.00137566 -28 *1788:31 *1897:25 0.043314 -29 *1823:10 *1897:16 0.00383165 -30 *1833:7 *2820:mprj_dat_o_core[17] 0 -31 *1834:5 *2820:mprj_dat_o_core[17] 0 -32 *1845:8 *1897:16 0.00010238 -33 *1849:10 *1897:16 0.0795263 -34 *1895:10 *1897:15 0.00137986 -35 *1895:10 *1897:25 0.0533804 -36 *1895:24 *1897:16 0.0871548 -37 *1896:7 *1897:15 0.000245754 -*RES -1 *2826:mprj_dat_o[17] *1897:9 30.1601 -2 *1897:9 *1897:15 27.4037 -3 *1897:15 *1897:16 113.332 -4 *1897:16 *1897:18 3.36879 -5 *1897:18 *2820:mprj_dat_o_core[17] 57.3903 -6 *1897:9 *1897:25 568.638 -7 *1897:25 *1897:27 4.5 -8 *1897:27 *1897:28 69.3105 -9 *1897:28 *2819:wb_dat_i[17] 0.366399 -*END - -*D_NET *1898 0.295222 -*CONN -*I *2819:wb_dat_i[18] I *D housekeeping -*I *2820:mprj_dat_o_core[18] I *D mgmt_protect -*I *2826:mprj_dat_o[18] O *D mgmt_core_wrapper -*CAP -1 *2819:wb_dat_i[18] 0.00139327 -2 *2820:mprj_dat_o_core[18] 0.00239786 -3 *2826:mprj_dat_o[18] 0.00216238 -4 *1898:27 0.00522992 -5 *1898:25 0.00399788 -6 *1898:12 0.00239786 -7 *1898:10 0.00598176 -8 *1898:9 0.00798291 -9 *2819:wb_dat_i[18] *1899:28 0 -10 *1898:9 *1899:15 0 -11 *1898:10 *1917:24 0.000170045 -12 *1898:10 *2490:24 0.00441048 -13 *1898:27 *1902:31 0.048547 -14 *1898:27 *2488:27 0 -15 *2820:mprj_adr_o_core[19] *2820:mprj_dat_o_core[18] 0.000441647 -16 *2826:mprj_dat_i[18] *1898:9 0 -17 *99:10 *1898:27 0.000424011 -18 *807:13 *2820:mprj_dat_o_core[18] 3.23649e-05 -19 *1037:19 *2820:mprj_dat_o_core[18] 0.000322279 -20 *1750:10 *1898:27 0.000807497 -21 *1784:22 *1898:10 0.000873324 -22 *1832:8 *1898:10 0.0764799 -23 *1834:5 *2820:mprj_dat_o_core[18] 0 -24 *1834:8 *1898:10 0.000725963 -25 *1838:8 *1898:10 0.078226 -26 *1896:28 *1898:27 0.0522179 -27 *1897:28 *2819:wb_dat_i[18] 0 -*RES -1 *2826:mprj_dat_o[18] *1898:9 47.6252 -2 *1898:9 *1898:10 842.612 -3 *1898:10 *1898:12 4.5 -4 *1898:12 *2820:mprj_dat_o_core[18] 52.1996 -5 *2826:mprj_dat_o[18] *1898:25 7.50916 -6 *1898:25 *1898:27 554.218 -7 *1898:27 *2819:wb_dat_i[18] 42.6177 -*END - -*D_NET *1899 0.334484 -*CONN -*I *2819:wb_dat_i[19] I *D housekeeping -*I *2820:mprj_dat_o_core[19] I *D mgmt_protect -*I *2826:mprj_dat_o[19] O *D mgmt_core_wrapper -*CAP -1 *2819:wb_dat_i[19] 1.28869e-05 -2 *2820:mprj_dat_o_core[19] 0.00115678 -3 *2826:mprj_dat_o[19] 0.00117725 -4 *1899:28 0.00271615 -5 *1899:27 0.00270326 -6 *1899:25 0.00394155 -7 *1899:16 0.00831457 -8 *1899:15 0.00885472 -9 *1899:12 0.00181517 -10 *1899:9 0.00523703 -11 *1899:16 *1903:24 0.0895174 -12 *1899:16 *1914:10 0.000494402 -13 *1899:25 *1901:10 0.0500135 -14 *1899:25 *1919:29 0.00402488 -15 *1899:28 *1901:13 0 -16 *2819:wb_dat_i[18] *1899:28 0 -17 *2820:mprj_adr_o_core[19] *2820:mprj_dat_o_core[19] 0 -18 *2820:mprj_adr_o_core[20] *2820:mprj_dat_o_core[19] 0 -19 *1763:10 *1899:12 0.00046538 -20 *1763:10 *1899:25 0.000750112 -21 *1767:7 *1899:15 0 -22 *1767:23 *1899:15 0 -23 *1788:31 *1899:12 0.00106121 -24 *1788:31 *1899:25 0.0404707 -25 *1835:7 *2820:mprj_dat_o_core[19] 0 -26 *1841:8 *1899:16 0.00910085 -27 *1844:8 *1899:16 0.00999504 -28 *1896:10 *1899:16 0.0878948 -29 *1897:25 *1899:25 0.0047663 -30 *1897:28 *1899:28 0 -31 *1898:9 *1899:15 0 -*RES -1 *2826:mprj_dat_o[19] *1899:9 30.9906 -2 *1899:9 *1899:12 15.7609 -3 *1899:12 *1899:15 41.5353 -4 *1899:15 *1899:16 116.162 -5 *1899:16 *2820:mprj_dat_o_core[19] 26.7084 -6 *1899:9 *1899:25 544.235 -7 *1899:25 *1899:27 4.5 -8 *1899:27 *1899:28 70.141 -9 *1899:28 *2819:wb_dat_i[19] 0.366399 -*END - -*D_NET *1900 0.316102 -*CONN -*I *2819:wb_dat_i[1] I *D housekeeping -*I *2820:mprj_dat_o_core[1] I *D mgmt_protect -*I *2826:mprj_dat_o[1] O *D mgmt_core_wrapper -*CAP -1 *2819:wb_dat_i[1] 0.00129345 -2 *2820:mprj_dat_o_core[1] 0.00118337 -3 *2826:mprj_dat_o[1] 0.000934834 -4 *1900:35 0.00644845 -5 *1900:33 0.00527793 -6 *1900:31 0.00104173 -7 *1900:10 0.0101824 -8 *1900:9 0.00899905 -9 *1900:7 0.00273688 -10 *1900:5 0.00275293 -11 *2819:wb_dat_i[1] *1911:28 0 -12 *2820:mprj_dat_o_core[1] *2820:mprj_sel_o_core[1] 0 -13 *1900:7 *2488:9 0 -14 *1900:10 *1905:22 0.057857 -15 *1900:10 *1914:10 0.00599524 -16 *1900:10 *2487:16 0.066513 -17 *1900:31 *2488:9 5.22654e-06 -18 *1900:31 *2488:25 0 -19 *1900:35 *1914:27 0 -20 *1900:35 *1914:29 0.0675644 -21 *1900:35 *2488:27 0.000137345 -22 *2819:wb_dat_i[0] *2819:wb_dat_i[1] 0 -23 *2826:mprj_dat_i[1] *1900:7 0 -24 *99:10 *1900:35 0.000361052 -25 *1036:15 *2820:mprj_dat_o_core[1] 0.000374708 -26 *1442:16 *1900:10 0.00116569 -27 *1445:16 *1900:10 0.00164372 -28 *1750:10 *1900:31 0.000459466 -29 *1753:17 *1900:31 0.000691706 -30 *1754:15 *1900:31 0.000695903 -31 *1759:24 *1900:10 0 -32 *1836:5 *2820:mprj_dat_o_core[1] 0 -33 *1889:29 *1900:31 0 -34 *1889:29 *1900:35 0.0717864 -*RES -1 *2826:mprj_dat_o[1] *1900:5 0.366399 -2 *1900:5 *1900:7 59.9673 -3 *1900:7 *1900:9 3.36879 -4 *1900:9 *1900:10 94.7447 -5 *1900:10 *2820:mprj_dat_o_core[1] 30.8609 -6 *2826:mprj_dat_o[1] *1900:31 47.487 -7 *1900:31 *1900:33 3.44819 -8 *1900:33 *1900:35 755.262 -9 *1900:35 *2819:wb_dat_i[1] 39.7109 -*END - -*D_NET *1901 0.299624 -*CONN -*I *2820:mprj_dat_o_core[20] I *D mgmt_protect -*I *2819:wb_dat_i[20] I *D housekeeping -*I *2826:mprj_dat_o[20] O *D mgmt_core_wrapper -*CAP -1 *2820:mprj_dat_o_core[20] 0.00259727 -2 *2819:wb_dat_i[20] 1.28869e-05 -3 *2826:mprj_dat_o[20] 0.00127831 -4 *1901:26 0.00259727 -5 *1901:24 0.00592133 -6 *1901:23 0.0063126 -7 *1901:13 0.00272734 -8 *1901:12 0.00271445 -9 *1901:10 0.00371315 -10 *1901:9 0.00371315 -11 *1901:7 0.00166959 -12 *1901:10 *1903:10 0.00442671 -13 *1901:10 *1919:29 0.00361216 -14 *1901:13 *2819:wb_dat_i[21] 0 -15 *1901:13 *1903:13 0 -16 *1901:24 *1902:10 0.075208 -17 *1901:24 *1904:16 0.00464826 -18 *1901:24 *1915:24 0.00422798 -19 *1901:24 *1917:24 0.000101365 -20 *2820:mprj_adr_o_core[20] *2820:mprj_dat_o_core[20] 0 -21 *1763:10 *1901:10 0.040398 -22 *1770:7 *1901:7 0 -23 *1770:23 *1901:7 0 -24 *1770:23 *1901:23 0 -25 *1770:24 *1901:24 0.0817262 -26 *1770:27 *2820:mprj_dat_o_core[20] 0.000600739 -27 *1788:16 *1901:24 7.24449e-05 -28 *1834:8 *1901:24 0.000904272 -29 *1837:7 *2820:mprj_dat_o_core[20] 0 -30 *1891:10 *1901:10 0.000111627 -31 *1891:24 *1901:24 0.000315907 -32 *1899:25 *1901:10 0.0500135 -33 *1899:28 *1901:13 0 -*RES -1 *2826:mprj_dat_o[20] *1901:7 28.5913 -2 *1901:7 *1901:9 4.5 -3 *1901:9 *1901:10 529.261 -4 *1901:10 *1901:12 4.5 -5 *1901:12 *1901:13 70.5562 -6 *1901:13 *2819:wb_dat_i[20] 0.366399 -7 *1901:7 *1901:23 11.7303 -8 *1901:23 *1901:24 864.241 -9 *1901:24 *1901:26 4.5 -10 *1901:26 *2820:mprj_dat_o_core[20] 53.8607 -*END - -*D_NET *1902 0.292222 -*CONN -*I *2819:wb_dat_i[21] I *D housekeeping -*I *2820:mprj_dat_o_core[21] I *D mgmt_protect -*I *2826:mprj_dat_o[21] O *D mgmt_core_wrapper -*CAP -1 *2819:wb_dat_i[21] 0.00140787 -2 *2820:mprj_dat_o_core[21] 0.00243023 -3 *2826:mprj_dat_o[21] 0.00192701 -4 *1902:31 0.00495383 -5 *1902:30 0.00385077 -6 *1902:18 0.00243023 -7 *1902:16 0.000747437 -8 *1902:15 0.000920024 -9 *1902:10 0.00580489 -10 *1902:9 0.00725449 -11 *2819:wb_dat_i[21] *1903:13 0 -12 *1902:10 *1904:10 0.000227819 -13 *1902:10 *1915:24 0.00369876 -14 *1902:10 *1917:24 0.000110257 -15 *1902:15 *1904:15 7.09666e-06 -16 *1902:16 *1911:16 0.000115848 -17 *1902:31 *1904:33 0.0464098 -18 *1902:31 *2488:27 0 -19 *2820:mprj_adr_o_core[22] *2820:mprj_dat_o_core[21] 0 -20 *99:10 *1902:31 0.000390675 -21 *1039:12 *1902:16 0 -22 *1042:10 *1902:16 0 -23 *1425:12 *1902:16 0.000159414 -24 *1750:10 *1902:31 0.000773072 -25 *1767:10 *1902:10 0.000149232 -26 *1770:24 *1902:10 0.000113197 -27 *1771:7 *1902:9 0 -28 *1771:7 *1902:30 0 -29 *1834:8 *1902:10 0.0714783 -30 *1838:5 *2820:mprj_dat_o_core[21] 0.000579466 -31 *1838:8 *1902:10 0.00192735 -32 *1840:8 *1902:16 0.00417674 -33 *1891:24 *1902:10 0.000301277 -34 *1893:24 *1902:16 0.00612238 -35 *1898:27 *1902:31 0.048547 -36 *1901:13 *2819:wb_dat_i[21] 0 -37 *1901:24 *1902:10 0.075208 -*RES -1 *2826:mprj_dat_o[21] *1902:9 39.4423 -2 *1902:9 *1902:10 805.453 -3 *1902:10 *1902:15 11.6625 -4 *1902:15 *1902:16 65.0574 -5 *1902:16 *1902:18 4.5 -6 *1902:18 *2820:mprj_dat_o_core[21] 50.9539 -7 *2826:mprj_dat_o[21] *1902:30 11.6204 -8 *1902:30 *1902:31 518.169 -9 *1902:31 *2819:wb_dat_i[21] 43.033 -*END - -*D_NET *1903 0.32844 -*CONN -*I *2820:mprj_dat_o_core[22] I *D mgmt_protect -*I *2819:wb_dat_i[22] I *D housekeeping -*I *2826:mprj_dat_o[22] O *D mgmt_core_wrapper -*CAP -1 *2820:mprj_dat_o_core[22] 0.00121938 -2 *2819:wb_dat_i[22] 1.28869e-05 -3 *2826:mprj_dat_o[22] 0.00126585 -4 *1903:24 0.00902899 -5 *1903:23 0.00934009 -6 *1903:13 0.00275313 -7 *1903:12 0.00274024 -8 *1903:10 0.00381831 -9 *1903:9 0.00381831 -10 *1903:7 0.00279633 -11 *1903:10 *1905:31 0.00456254 -12 *1903:13 *2819:wb_dat_i[23] 0 -13 *1903:13 *1905:34 0 -14 *1903:24 *1910:10 0.0107971 -15 *1903:24 *1914:10 0.00215166 -16 *2819:wb_dat_i[21] *1903:13 0 -17 *2820:mprj_adr_o_core[22] *2820:mprj_dat_o_core[22] 0 -18 *2820:mprj_adr_o_core[23] *2820:mprj_dat_o_core[22] 0 -19 *1763:10 *1903:10 0.0377282 -20 *1764:10 *1903:10 0.0379937 -21 *1772:7 *1903:7 0 -22 *1772:7 *1903:23 0 -23 *1772:23 *1903:23 0 -24 *1839:7 *2820:mprj_dat_o_core[22] 0 -25 *1841:8 *1903:24 0.000402729 -26 *1846:8 *1903:24 0.00983221 -27 *1848:8 *1903:24 0.0904777 -28 *1891:10 *1903:10 0.00375699 -29 *1899:16 *1903:24 0.0895174 -30 *1901:10 *1903:10 0.00442671 -31 *1901:13 *1903:13 0 -*RES -1 *2826:mprj_dat_o[22] *1903:7 27.7364 -2 *1903:7 *1903:9 4.5 -3 *1903:9 *1903:10 506.522 -4 *1903:10 *1903:12 4.5 -5 *1903:12 *1903:13 71.3867 -6 *1903:13 *2819:wb_dat_i[22] 0.366399 -7 *1903:7 *1903:23 39.4591 -8 *1903:23 *1903:24 121.363 -9 *1903:24 *2820:mprj_dat_o_core[22] 27.5389 -*END - -*D_NET *1904 0.294593 -*CONN -*I *2819:wb_dat_i[23] I *D housekeeping -*I *2820:mprj_dat_o_core[23] I *D mgmt_protect -*I *2826:mprj_dat_o[23] O *D mgmt_core_wrapper -*CAP -1 *2819:wb_dat_i[23] 0.00142248 -2 *2820:mprj_dat_o_core[23] 6.22868e-05 -3 *2826:mprj_dat_o[23] 0.00191636 -4 *1904:33 0.00466497 -5 *1904:32 0.0035327 -6 *1904:19 0.00227237 -7 *1904:18 0.00221008 -8 *1904:16 0.000395708 -9 *1904:15 0.000484304 -10 *1904:10 0.00608984 -11 *1904:9 0.00762739 -12 *2819:wb_dat_i[23] *1905:34 0 -13 *1904:10 *1919:16 0.00436322 -14 *1904:33 *1906:25 0.0439073 -15 *2820:mprj_adr_o_core[24] *1904:19 0.00194615 -16 *2826:mprj_dat_i[23] *1904:9 0 -17 *99:10 *1904:33 0.000365725 -18 *1296:15 *1904:19 0.000426605 -19 *1750:10 *1904:33 0.00137154 -20 *1767:10 *1904:10 0 -21 *1770:24 *1904:10 0.0755031 -22 *1771:10 *1904:10 9.49073e-05 -23 *1772:10 *1904:10 9.69703e-05 -24 *1773:9 *1904:32 0 -25 *1773:15 *1904:10 0.000436811 -26 *1788:15 *1904:15 7.09666e-06 -27 *1834:8 *1904:16 0.00464407 -28 *1840:5 *1904:19 0 -29 *1842:12 *1904:10 0.0791797 -30 *1891:24 *1904:10 0.000176586 -31 *1895:24 *1904:10 0.000101537 -32 *1901:24 *1904:16 0.00464826 -33 *1902:10 *1904:10 0.000227819 -34 *1902:15 *1904:15 7.09666e-06 -35 *1902:31 *1904:33 0.0464098 -36 *1903:13 *2819:wb_dat_i[23] 0 -*RES -1 *2826:mprj_dat_o[23] *1904:9 39.3201 -2 *1904:9 *1904:10 835.402 -3 *1904:10 *1904:15 10.4167 -4 *1904:15 *1904:16 49.5285 -5 *1904:16 *1904:18 4.5 -6 *1904:18 *1904:19 53.5309 -7 *1904:19 *2820:mprj_dat_o_core[23] 1.77093 -8 *2826:mprj_dat_o[23] *1904:32 11.2051 -9 *1904:32 *1904:33 493.211 -10 *1904:33 *2819:wb_dat_i[23] 43.4482 -*END - -*D_NET *1905 0.2903 -*CONN -*I *2819:wb_dat_i[24] I *D housekeeping -*I *2820:mprj_dat_o_core[24] I *D mgmt_protect -*I *2826:mprj_dat_o[24] O *D mgmt_core_wrapper -*CAP -1 *2819:wb_dat_i[24] 1.28869e-05 -2 *2820:mprj_dat_o_core[24] 0.00136296 -3 *2826:mprj_dat_o[24] 0.00131987 -4 *1905:34 0.00277551 -5 *1905:33 0.00276262 -6 *1905:31 0.00406531 -7 *1905:22 0.00865725 -8 *1905:21 0.00770206 -9 *1905:16 0.00229115 -10 *1905:15 0.00269604 -11 *1905:9 0.00619784 -12 *1905:16 *1907:24 0.0191424 -13 *1905:22 *1914:10 0.0621021 -14 *1905:31 *1913:29 0.00265091 -15 *1905:34 *2819:wb_dat_i[25] 0 -16 *1905:34 *1907:13 0 -17 *2819:wb_dat_i[23] *1905:34 0 -18 *2820:mprj_adr_o_core[24] *2820:mprj_dat_o_core[24] 0 -19 *2820:mprj_adr_o_core[25] *2820:mprj_dat_o_core[24] 0 -20 *1759:24 *1905:22 0 -21 *1764:10 *1905:15 0.000383703 -22 *1764:10 *1905:31 0.0351037 -23 *1764:24 *1905:16 0.000935874 -24 *1765:31 *1905:15 0.000379505 -25 *1765:31 *1905:31 0.0354366 -26 *1766:10 *1905:31 0.000113197 -27 *1766:24 *1905:16 0.000260492 -28 *1773:16 *1905:16 0.00028933 -29 *1774:9 *1905:9 0 -30 *1774:15 *1905:9 0 -31 *1774:15 *1905:15 0.000172431 -32 *1785:30 *1905:22 0.00363502 -33 *1829:14 *1905:16 0.00070936 -34 *1839:14 *1905:16 0.0175556 -35 *1841:7 *2820:mprj_dat_o_core[24] 0 -36 *1841:11 *1905:9 0 -37 *1841:11 *1905:15 0.000506002 -38 *1848:8 *1905:22 0.00482388 -39 *1892:10 *1905:31 0.00383665 -40 *1900:10 *1905:22 0.057857 -41 *1903:10 *1905:31 0.00456254 -42 *1903:13 *1905:34 0 -*RES -1 *2826:mprj_dat_o[24] *1905:9 33.0669 -2 *1905:9 *1905:15 36.0609 -3 *1905:15 *1905:16 217.573 -4 *1905:16 *1905:21 17.7982 -5 *1905:21 *1905:22 93.2914 -6 *1905:22 *2820:mprj_dat_o_core[24] 30.0304 -7 *1905:9 *1905:31 481.565 -8 *1905:31 *1905:33 4.5 -9 *1905:33 *1905:34 72.2172 -10 *1905:34 *2819:wb_dat_i[24] 0.366399 -*END - -*D_NET *1906 0.294997 -*CONN -*I *2819:wb_dat_i[25] I *D housekeeping -*I *2820:mprj_dat_o_core[25] I *D mgmt_protect -*I *2826:mprj_dat_o[25] O *D mgmt_core_wrapper -*CAP -1 *2819:wb_dat_i[25] 0.00143708 -2 *2820:mprj_dat_o_core[25] 0.00305724 -3 *2826:mprj_dat_o[25] 0.00199974 -4 *1906:25 0.00447977 -5 *1906:24 0.00320862 -6 *1906:12 0.00305724 -7 *1906:10 0.00648593 -8 *1906:9 0.00831974 -9 *2819:wb_dat_i[25] *1907:13 0 -10 *1906:10 *1908:10 0.000431253 -11 *2820:mprj_adr_o_core[26] *2820:mprj_dat_o_core[25] 0 -12 *2826:mprj_dat_i[25] *1906:9 0 -13 *99:10 *1906:25 0.000348787 -14 *783:14 *1906:10 0.000163617 -15 *1295:7 *2820:mprj_dat_o_core[25] 0 -16 *1301:15 *2820:mprj_dat_o_core[25] 9.21302e-05 -17 *1750:10 *1906:25 0.0444278 -18 *1773:25 *1906:10 3.04269e-05 -19 *1774:16 *1906:10 0.0839518 -20 *1778:10 *1906:10 0.0834465 -21 *1786:24 *1906:10 3.58099e-05 -22 *1842:5 *2820:mprj_dat_o_core[25] 0 -23 *1842:12 *1906:10 0.00031258 -24 *1849:10 *1906:10 0.000400519 -25 *1895:24 *1906:10 0.00540283 -26 *1904:33 *1906:25 0.0439073 -27 *1905:34 *2819:wb_dat_i[25] 0 -*RES -1 *2826:mprj_dat_o[25] *1906:9 42.6421 -2 *1906:9 *1906:10 904.173 -3 *1906:10 *1906:12 4.5 -4 *1906:12 *2820:mprj_dat_o_core[25] 56.3522 -5 *2826:mprj_dat_o[25] *1906:24 6.93045 -6 *1906:24 *1906:25 467.145 -7 *1906:25 *2819:wb_dat_i[25] 43.8635 -*END - -*D_NET *1907 0.270015 -*CONN -*I *2820:mprj_dat_o_core[26] I *D mgmt_protect -*I *2819:wb_dat_i[26] I *D housekeeping -*I *2826:mprj_dat_o[26] O *D mgmt_core_wrapper -*CAP -1 *2820:mprj_dat_o_core[26] 0.000848595 -2 *2819:wb_dat_i[26] 1.28869e-05 -3 *2826:mprj_dat_o[26] 0.00158116 -4 *1907:30 0.00597363 -5 *1907:29 0.00564848 -6 *1907:24 0.0029713 -7 *1907:23 0.00323775 -8 *1907:13 0.00289233 -9 *1907:12 0.00287944 -10 *1907:10 0.00446361 -11 *1907:9 0.00446361 -12 *1907:7 0.00237106 -13 *1907:10 *1909:10 0.00213525 -14 *1907:10 *1913:29 0.000578662 -15 *1907:13 *2819:wb_dat_i[27] 0 -16 *1907:13 *1909:13 0 -17 *1907:24 *1909:24 0.00887754 -18 *1907:29 *1909:29 0.000950475 -19 *1907:30 *1909:30 0.00142626 -20 *2819:wb_dat_i[25] *1907:13 0 -21 *2820:mprj_adr_o_core[27] *2820:mprj_dat_o_core[26] 0.000262305 -22 *1759:21 *1907:29 6.99045e-05 -23 *1766:24 *1907:24 0.00118625 -24 *1773:16 *1907:24 0.000290528 -25 *1773:25 *1907:10 9.48476e-05 -26 *1775:10 *1907:10 0.0347913 -27 *1776:7 *1907:7 0 -28 *1776:7 *1907:23 0 -29 *1776:10 *1907:10 0.000540322 -30 *1776:23 *1907:23 0 -31 *1777:10 *1907:10 0.0335827 -32 *1829:14 *1907:24 0.00290708 -33 *1837:8 *1907:30 0.000192826 -34 *1839:8 *1907:30 0.0615879 -35 *1843:7 *2820:mprj_dat_o_core[26] 0 -36 *1850:8 *1907:30 0.0526298 -37 *1852:8 *1907:30 0.00153795 -38 *1854:8 *1907:30 0.000764726 -39 *1856:8 *1907:30 0.000536886 -40 *1890:16 *1907:30 0.00858519 -41 *1905:16 *1907:24 0.0191424 -42 *1905:34 *1907:13 0 -*RES -1 *2826:mprj_dat_o[26] *1907:7 33.5744 -2 *1907:7 *1907:9 4.5 -3 *1907:9 *1907:10 454.944 -4 *1907:10 *1907:12 4.5 -5 *1907:12 *1907:13 75.5393 -6 *1907:13 *2819:wb_dat_i[26] 0.366399 -7 *1907:7 *1907:23 23.7726 -8 *1907:23 *1907:24 236.985 -9 *1907:24 *1907:29 26.1963 -10 *1907:29 *1907:30 679.558 -11 *1907:30 *2820:mprj_dat_o_core[26] 24.31 -*END - -*D_NET *1908 0.291597 -*CONN -*I *2819:wb_dat_i[27] I *D housekeeping -*I *2820:mprj_dat_o_core[27] I *D mgmt_protect -*I *2826:mprj_dat_o[27] O *D mgmt_core_wrapper -*CAP -1 *2819:wb_dat_i[27] 0.00161633 -2 *2820:mprj_dat_o_core[27] 0.00297255 -3 *2826:mprj_dat_o[27] 0.00166001 -4 *1908:25 0.00460307 -5 *1908:24 0.00310671 -6 *1908:12 0.00297255 -7 *1908:10 0.00636901 -8 *1908:9 0.00790907 -9 *2819:wb_dat_i[27] *1909:13 0 -10 *1908:25 *1910:25 0.0391637 -11 *1908:25 *1912:25 0.0376809 -12 *1908:25 *2497:11 0.00130401 -13 *1908:25 *2498:11 0.0019677 -14 *2820:mprj_adr_o_core[28] *2820:mprj_dat_o_core[27] 0 -15 *1039:9 *2820:mprj_dat_o_core[27] 0 -16 *1423:7 *2820:mprj_dat_o_core[27] 0.000529552 -17 *1777:7 *1908:9 0 -18 *1778:10 *1908:10 0.0864327 -19 *1786:24 *1908:10 0.000106845 -20 *1844:7 *2820:mprj_dat_o_core[27] 0 -21 *1845:5 *2820:mprj_dat_o_core[27] 0 -22 *1845:8 *1908:10 0.0870062 -23 *1849:10 *1908:10 0.00046435 -24 *1897:16 *1908:10 0.00530045 -25 *1906:10 *1908:10 0.000431253 -26 *1907:13 *2819:wb_dat_i[27] 0 -*RES -1 *2826:mprj_dat_o[27] *1908:9 37.366 -2 *1908:9 *1908:10 923.029 -3 *1908:10 *1908:12 4.5 -4 *1908:12 *2820:mprj_dat_o_core[27] 57.1827 -5 *2826:mprj_dat_o[27] *1908:24 6.93045 -6 *1908:24 *1908:25 442.188 -7 *1908:25 *2819:wb_dat_i[27] 47.6007 -*END - -*D_NET *1909 0.243118 -*CONN -*I *2820:mprj_dat_o_core[28] I *D mgmt_protect -*I *2819:wb_dat_i[28] I *D housekeeping -*I *2826:mprj_dat_o[28] O *D mgmt_core_wrapper -*CAP -1 *2820:mprj_dat_o_core[28] 0.000913379 -2 *2819:wb_dat_i[28] 1.28869e-05 -3 *2826:mprj_dat_o[28] 0.00155801 -4 *1909:30 0.00934545 -5 *1909:29 0.00906052 -6 *1909:24 0.00537426 -7 *1909:23 0.00543878 -8 *1909:13 0.00292931 -9 *1909:12 0.00291642 -10 *1909:10 0.00456583 -11 *1909:9 0.00456583 -12 *1909:7 0.00225098 -13 *1909:10 *1913:29 0 -14 *1909:13 *2819:wb_dat_i[29] 0 -15 *1909:13 *2819:wb_dat_i[30] 0 -16 *1909:24 *1913:16 0 -17 *1909:30 *1920:10 0.00838696 -18 *2819:wb_dat_i[27] *1909:13 0 -19 *1759:21 *1909:29 4.83562e-06 -20 *1763:24 *1909:30 0 -21 *1767:24 *1909:24 0.00128162 -22 *1771:24 *1909:24 0.00126155 -23 *1773:16 *1909:24 0.000325419 -24 *1773:25 *1909:10 0.031584 -25 *1775:24 *1909:24 0 -26 *1776:10 *1909:10 0.0323741 -27 *1777:10 *1909:10 0.000113197 -28 *1777:24 *1909:24 0 -29 *1778:9 *1909:7 0 -30 *1778:13 *2820:mprj_dat_o_core[28] 0 -31 *1781:10 *1909:10 0.000488332 -32 *1825:8 *1909:30 0.0332978 -33 *1827:17 *1909:29 7.09666e-06 -34 *1829:14 *1909:24 0.00285622 -35 *1831:14 *1909:24 0.00575555 -36 *1833:8 *1909:30 0.00126894 -37 *1833:14 *1909:24 0.00128137 -38 *1835:8 *1909:30 0.000106586 -39 *1839:8 *1909:30 0.0604028 -40 *1845:5 *2820:mprj_dat_o_core[28] 0 -41 *1907:10 *1909:10 0.00213525 -42 *1907:13 *1909:13 0 -43 *1907:24 *1909:24 0.00887754 -44 *1907:29 *1909:29 0.000950475 -45 *1907:30 *1909:30 0.00142626 -*RES -1 *2826:mprj_dat_o[28] *1909:7 33.1347 -2 *1909:7 *1909:9 4.5 -3 *1909:9 *1909:10 432.205 -4 *1909:10 *1909:12 4.5 -5 *1909:12 *1909:13 76.785 -6 *1909:13 *2819:wb_dat_i[28] 0.366399 -7 *1909:7 *1909:23 21.6963 -8 *1909:23 *1909:24 264.715 -9 *1909:24 *1909:29 27.8573 -10 *1909:29 *1909:30 667.357 -11 *1909:30 *2820:mprj_dat_o_core[28] 23.4795 -*END - -*D_NET *1910 0.297755 -*CONN -*I *2819:wb_dat_i[29] I *D housekeeping -*I *2820:mprj_dat_o_core[29] I *D mgmt_protect -*I *2826:mprj_dat_o[29] O *D mgmt_core_wrapper -*CAP -1 *2819:wb_dat_i[29] 0.00159489 -2 *2820:mprj_dat_o_core[29] 0.00111972 -3 *2826:mprj_dat_o[29] 0.000163759 -4 *1910:25 0.00431893 -5 *1910:24 0.00287175 -6 *1910:10 0.00688427 -7 *1910:9 0.00576455 -8 *1910:7 0.00272239 -9 *1910:5 0.00273843 -10 *2819:wb_dat_i[29] *2819:wb_dat_i[30] 0 -11 *1910:10 *1912:10 0.0891457 -12 *1910:25 *1912:25 0.000226394 -13 *1910:25 *2497:11 0.0396861 -14 *2820:mprj_adr_o_core[30] *2820:mprj_dat_o_core[29] 0 -15 *1780:9 *1910:7 0 -16 *1780:9 *1910:24 3.67528e-06 -17 *1827:8 *1910:10 0.00027469 -18 *1846:7 *2820:mprj_dat_o_core[29] 0.000282677 -19 *1846:8 *1910:10 0.0891393 -20 *1848:8 *1910:10 0.000856794 -21 *1903:24 *1910:10 0.0107971 -22 *1908:25 *1910:25 0.0391637 -23 *1909:13 *2819:wb_dat_i[29] 0 -*RES -1 *2826:mprj_dat_o[29] *1910:5 0.366399 -2 *1910:5 *1910:7 63.4969 -3 *1910:7 *1910:9 4.5 -4 *1910:9 *1910:10 941.331 -5 *1910:10 *2820:mprj_dat_o_core[29] 28.4625 -6 *2826:mprj_dat_o[29] *1910:24 8.02964 -7 *1910:24 *1910:25 417.785 -8 *1910:25 *2819:wb_dat_i[29] 47.1855 -*END - -*D_NET *1911 0.360991 -*CONN -*I *2819:wb_dat_i[2] I *D housekeeping -*I *2820:mprj_dat_o_core[2] I *D mgmt_protect -*I *2826:mprj_dat_o[2] O *D mgmt_core_wrapper -*CAP -1 *2819:wb_dat_i[2] 1.28869e-05 -2 *2820:mprj_dat_o_core[2] 0.00250284 -3 *2826:mprj_dat_o[2] 0.000957377 -4 *1911:28 0.00268226 -5 *1911:27 0.00266937 -6 *1911:25 0.0101619 -7 *1911:18 0.00250284 -8 *1911:16 0.00606982 -9 *1911:15 0.00723428 -10 *1911:9 0.0122837 -11 *2820:mprj_dat_o_core[2] *2820:mprj_sel_o_core[2] 0 -12 *1911:9 *2489:7 0 -13 *1911:9 *2489:21 0 -14 *1911:16 *2488:10 0.0736658 -15 *1911:16 *2490:24 0.0733768 -16 *1911:25 *1915:10 0.00119051 -17 *1911:25 *1917:10 0.075414 -18 *1911:25 *2489:10 0.00110192 -19 *1911:25 *2490:10 0.0798902 -20 *1911:28 *2819:wb_dat_i[3] 0 -21 *1911:28 *1915:13 0 -22 *2819:wb_dat_i[1] *1911:28 0 -23 *2820:mprj_adr_o_core[3] *2820:mprj_dat_o_core[2] 0 -24 *1158:12 *1911:16 0.000385943 -25 *1541:12 *1911:16 0.000216058 -26 *1758:16 *1911:16 0 -27 *1779:11 *1911:15 0.000605259 -28 *1785:10 *1911:25 0.00411934 -29 *1786:10 *1911:25 0.00359888 -30 *1847:5 *2820:mprj_dat_o_core[2] 0 -31 *1849:10 *1911:15 0 -32 *1849:10 *1911:25 0 -33 *1893:24 *1911:16 0.000233528 -34 *1902:16 *1911:16 0.000115848 -*RES -1 *2826:mprj_dat_o[2] *1911:9 27.1603 -2 *1911:9 *1911:15 20.7163 -3 *1911:15 *1911:16 95.0507 -4 *1911:16 *1911:18 3.36879 -5 *1911:18 *2820:mprj_dat_o_core[2] 51.5768 -6 *1911:9 *1911:25 105.53 -7 *1911:25 *1911:27 3.36879 -8 *1911:27 *1911:28 67.4418 -9 *1911:28 *2819:wb_dat_i[2] 0.366399 -*END - -*D_NET *1912 0.26343 -*CONN -*I *2819:wb_dat_i[30] I *D housekeeping -*I *2820:mprj_dat_o_core[30] I *D mgmt_protect -*I *2826:mprj_dat_o[30] O *D mgmt_core_wrapper -*CAP -1 *2819:wb_dat_i[30] 0.0016275 -2 *2820:mprj_dat_o_core[30] 0.00126778 -3 *2826:mprj_dat_o[30] 0.000152338 -4 *1912:25 0.00430209 -5 *1912:24 0.00281089 -6 *1912:10 0.0164648 -7 *1912:9 0.015197 -8 *1912:7 0.00269347 -9 *1912:5 0.00270952 -10 *2819:wb_dat_i[30] *1913:32 0 -11 *1912:25 *2497:11 6.44576e-05 -12 *1912:25 *2498:11 0.0384668 -13 *2819:wb_dat_i[29] *2819:wb_dat_i[30] 0 -14 *2820:mprj_adr_o_core[31] *2820:mprj_dat_o_core[30] 0 -15 *1781:7 *1912:7 0 -16 *1781:7 *1912:24 3.67528e-06 -17 *1781:23 *1912:7 0 -18 *1827:8 *1912:10 0.0321659 -19 *1848:7 *2820:mprj_dat_o_core[30] 0 -20 *1848:8 *1912:10 0.0184504 -21 *1908:25 *1912:25 0.0376809 -22 *1909:13 *2819:wb_dat_i[30] 0 -23 *1910:10 *1912:10 0.0891457 -24 *1910:25 *1912:25 0.000226394 -*RES -1 *2826:mprj_dat_o[30] *1912:5 0.366399 -2 *1912:5 *1912:7 63.0817 -3 *1912:7 *1912:9 4.5 -4 *1912:9 *1912:10 949.65 -5 *1912:10 *2820:mprj_dat_o_core[30] 28.8777 -6 *2826:mprj_dat_o[30] *1912:24 7.76095 -7 *1912:24 *1912:25 405.029 -8 *1912:25 *2819:wb_dat_i[30] 48.016 -*END - -*D_NET *1913 0.232297 -*CONN -*I *2819:wb_dat_i[31] I *D housekeeping -*I *2820:mprj_dat_o_core[31] I *D mgmt_protect -*I *2826:mprj_dat_o[31] O *D mgmt_core_wrapper -*CAP -1 *2819:wb_dat_i[31] 1.28869e-05 -2 *2820:mprj_dat_o_core[31] 0.00229496 -3 *2826:mprj_dat_o[31] 0.00144991 -4 *1913:32 0.00278857 -5 *1913:31 0.00277568 -6 *1913:29 0.00663005 -7 *1913:27 0.00687256 -8 *1913:16 0.0186723 -9 *1913:15 0.0169552 -10 *1913:10 0.00227028 -11 *2820:mprj_dat_o_core[31] *2820:mprj_iena_wb 0 -12 *1913:10 *2739:7 0 -13 *1913:15 *2739:7 0.000271492 -14 *1913:32 *2819:wb_sel_i[0] 0 -15 *2819:wb_dat_i[30] *1913:32 0 -16 *1051:10 *1913:16 0.0190844 -17 *1303:10 *1913:16 0.00996546 -18 *1318:10 *1913:16 0 -19 *1442:10 *1913:16 0.000393014 -20 *1444:10 *1913:16 0.0232203 -21 *1758:21 *2820:mprj_dat_o_core[31] 0 -22 *1766:10 *1913:27 0.000308494 -23 *1766:10 *1913:29 0.0236326 -24 *1769:24 *1913:16 0.000199305 -25 *1775:10 *1913:27 6.04938e-05 -26 *1775:10 *1913:29 0.00483046 -27 *1777:24 *1913:16 0.0659322 -28 *1778:21 *1913:10 0.000166542 -29 *1778:21 *1913:27 0.000595132 -30 *1780:21 *1913:10 0.000383717 -31 *1780:21 *1913:27 0.000872795 -32 *1781:24 *1913:16 0.015626 -33 *1849:7 *2820:mprj_dat_o_core[31] 0 -34 *1892:10 *1913:29 0.00280289 -35 *1905:31 *1913:29 0.00265091 -36 *1907:10 *1913:29 0.000578662 -37 *1909:10 *1913:29 0 -38 *1909:24 *1913:16 0 -*RES -1 *2826:mprj_dat_o[31] *1913:10 38.7789 -2 *1913:10 *1913:15 25.3658 -3 *1913:15 *1913:16 962.961 -4 *1913:16 *2820:mprj_dat_o_core[31] 45.9031 -5 *1913:10 *1913:27 17.6629 -6 *1913:27 *1913:29 373.14 -7 *1913:29 *1913:31 4.5 -8 *1913:31 *1913:32 73.463 -9 *1913:32 *2819:wb_dat_i[31] 0.366399 -*END - -*D_NET *1914 0.318143 -*CONN -*I *2819:wb_dat_i[3] I *D housekeeping -*I *2820:mprj_dat_o_core[3] I *D mgmt_protect -*I *2826:mprj_dat_o[3] O *D mgmt_core_wrapper -*CAP -1 *2819:wb_dat_i[3] 0.00130564 -2 *2820:mprj_dat_o_core[3] 0.00120926 -3 *2826:mprj_dat_o[3] 0.00123091 -4 *1914:29 0.00590687 -5 *1914:27 0.00571808 -6 *1914:10 0.0135199 -7 *1914:9 0.0123106 -8 *1914:7 0.00304596 -9 *1914:5 0.00316002 -10 *2819:wb_dat_i[3] *1915:13 0 -11 *2820:mprj_dat_o_core[3] *2820:mprj_sel_o_core[3] 0 -12 *1914:10 *1916:10 0 -13 *1914:10 *1918:10 0 -14 *1914:10 *1920:10 0 -15 *1914:29 *1916:27 0 -16 *1914:29 *1916:29 0.0658585 -17 *1914:29 *2488:27 0.000128915 -18 *2820:mprj_adr_o_core[3] *2820:mprj_dat_o_core[3] 0 -19 *99:10 *1914:29 0.000385684 -20 *1427:16 *1914:10 0.000108607 -21 *1750:10 *1914:27 0.000819759 -22 *1750:10 *1914:29 0 -23 *1779:34 *1914:10 0.00318204 -24 *1782:24 *1914:7 0 -25 *1783:30 *1914:10 0.0031137 -26 *1785:30 *1914:10 0.000118134 -27 *1848:8 *1914:10 0.0581913 -28 *1850:7 *2820:mprj_dat_o_core[3] 0 -29 *1850:11 *1914:7 0 -30 *1890:16 *1914:10 0 -31 *1892:24 *1914:10 0 -32 *1894:10 *1914:10 0.000149947 -33 *1896:10 *1914:10 0.000371906 -34 *1899:16 *1914:10 0.000494402 -35 *1900:10 *1914:10 0.00599524 -36 *1900:35 *1914:27 0 -37 *1900:35 *1914:29 0.0675644 -38 *1903:24 *1914:10 0.00215166 -39 *1905:22 *1914:10 0.0621021 -40 *1911:28 *2819:wb_dat_i[3] 0 -*RES -1 *2826:mprj_dat_o[3] *1914:5 2.05183 -2 *1914:5 *1914:7 67.4418 -3 *1914:7 *1914:9 3.36879 -4 *1914:9 *1914:10 97.7278 -5 *1914:10 *2820:mprj_dat_o_core[3] 29.1999 -6 *2826:mprj_dat_o[3] *1914:27 42.3045 -7 *1914:27 *1914:29 713.389 -8 *1914:29 *2819:wb_dat_i[3] 40.1262 -*END - -*D_NET *1915 0.374431 -*CONN -*I *2820:mprj_dat_o_core[4] I *D mgmt_protect -*I *2819:wb_dat_i[4] I *D housekeeping -*I *2826:mprj_dat_o[4] O *D mgmt_core_wrapper -*CAP -1 *2820:mprj_dat_o_core[4] 6.22868e-05 -2 *2819:wb_dat_i[4] 1.28869e-05 -3 *2826:mprj_dat_o[4] 0.00112052 -4 *1915:33 0.00179002 -5 *1915:32 0.00212166 -6 *1915:24 0.00863877 -7 *1915:23 0.00871068 -8 *1915:13 0.00275576 -9 *1915:12 0.00274288 -10 *1915:10 0.00857732 -11 *1915:9 0.00857732 -12 *1915:7 0.00158637 -13 *1915:10 *1917:10 0.076027 -14 *1915:10 *1919:15 0.0012339 -15 *1915:10 *1919:29 0.0725268 -16 *1915:13 *2819:wb_dat_i[5] 0 -17 *1915:13 *1917:13 0 -18 *1915:24 *1917:24 0.0743651 -19 *1915:24 *1919:16 0.0743629 -20 *1915:32 *1919:16 0.000123966 -21 *1915:32 *1919:22 2.05602e-05 -22 *2819:wb_dat_i[3] *1915:13 0 -23 *2820:mprj_adr_o_core[5] *1915:33 0.00292763 -24 *2826:la_input[98] *1915:33 0.000139486 -25 *779:11 *1915:32 8.67307e-05 -26 *1290:12 *1915:32 0.00367441 -27 *1297:19 *1915:33 0.000572025 -28 *1418:12 *1915:32 0.00385521 -29 *1784:7 *1915:7 0 -30 *1784:7 *1915:23 0 -31 *1784:21 *1915:23 0 -32 *1788:31 *1915:10 0.00380887 -33 *1826:5 *1915:32 8.67307e-05 -34 *1849:10 *1915:10 0.000607951 -35 *1851:5 *1915:33 0 -36 *1897:25 *1915:10 0.0041981 -37 *1901:24 *1915:24 0.00422798 -38 *1902:10 *1915:24 0.00369876 -39 *1911:25 *1915:10 0.00119051 -40 *1911:28 *1915:13 0 -*RES -1 *2826:mprj_dat_o[4] *1915:7 27.138 -2 *1915:7 *1915:9 3.36879 -3 *1915:9 *1915:10 100.405 -4 *1915:10 *1915:12 3.36879 -5 *1915:12 *1915:13 69.1029 -6 *1915:13 *2819:wb_dat_i[4] 0.366399 -7 *1915:7 *1915:23 11.8448 -8 *1915:23 *1915:24 94.7447 -9 *1915:24 *1915:32 16.8836 -10 *1915:32 *1915:33 55.8148 -11 *1915:33 *2820:mprj_dat_o_core[4] 1.77093 -*END - -*D_NET *1916 0.330349 -*CONN -*I *2819:wb_dat_i[5] I *D housekeeping -*I *2820:mprj_dat_o_core[5] I *D mgmt_protect -*I *2826:mprj_dat_o[5] O *D mgmt_core_wrapper -*CAP -1 *2819:wb_dat_i[5] 0.00132024 -2 *2820:mprj_dat_o_core[5] 0.000684729 -3 *2826:mprj_dat_o[5] 0.000849902 -4 *1916:29 0.00564882 -5 *1916:27 0.00506006 -6 *1916:10 0.00814363 -7 *1916:9 0.00745891 -8 *1916:7 0.00350791 -9 *1916:5 0.00362634 -10 *2819:wb_dat_i[5] *1917:13 0 -11 *1916:10 *1918:10 0.0776233 -12 *1916:10 *2489:24 0.0735209 -13 *1916:29 *1918:27 0.0653741 -14 *1916:29 *2488:27 8.8758e-05 -15 *2820:mprj_adr_o_core[6] *2820:mprj_dat_o_core[5] 0 -16 *99:10 *1916:29 0.000418593 -17 *781:11 *2820:mprj_dat_o_core[5] 3.29488e-05 -18 *1750:10 *1916:27 0.000537176 -19 *1750:10 *1916:29 0 -20 *1763:24 *1916:10 0.000513389 -21 *1831:8 *1916:10 0.00490697 -22 *1835:8 *1916:10 0.00491675 -23 *1852:7 *2820:mprj_dat_o_core[5] 0.000256964 -24 *1852:11 *1916:7 0 -25 *1914:10 *1916:10 0 -26 *1914:29 *1916:27 0 -27 *1914:29 *1916:29 0.0658585 -28 *1915:13 *2819:wb_dat_i[5] 0 -*RES -1 *2826:mprj_dat_o[5] *1916:5 2.05183 -2 *1916:5 *1916:7 75.7469 -3 *1916:7 *1916:9 3.36879 -4 *1916:9 *1916:10 100.558 -5 *1916:10 *2820:mprj_dat_o_core[5] 20.8949 -6 *2826:mprj_dat_o[5] *1916:27 29.6789 -7 *1916:27 *1916:29 695.642 -8 *1916:29 *2819:wb_dat_i[5] 40.5414 -*END - -*D_NET *1917 0.372861 -*CONN -*I *2820:mprj_dat_o_core[6] I *D mgmt_protect -*I *2819:wb_dat_i[6] I *D housekeeping -*I *2826:mprj_dat_o[6] O *D mgmt_core_wrapper -*CAP -1 *2820:mprj_dat_o_core[6] 0.00262818 -2 *2819:wb_dat_i[6] 1.28869e-05 -3 *2826:mprj_dat_o[6] 0.00109663 -4 *1917:26 0.00262818 -5 *1917:24 0.010394 -6 *1917:23 0.0109239 -7 *1917:13 0.00269761 -8 *1917:12 0.00268472 -9 *1917:10 0.00814651 -10 *1917:9 0.00814651 -11 *1917:7 0.00162655 -12 *1917:10 *1919:29 0.000249792 -13 *1917:10 *2490:10 0.000499585 -14 *1917:13 *2819:wb_dat_i[7] 0 -15 *1917:13 *1919:32 0 -16 *1917:24 *1919:16 0.00121336 -17 *1917:24 *1919:22 0.001208 -18 *1917:24 *2490:24 0.075337 -19 *2819:wb_dat_i[5] *1917:13 0 -20 *2826:mprj_dat_i[6] *1917:7 0 -21 *2826:mprj_dat_i[6] *1917:23 0 -22 *783:11 *2820:mprj_dat_o_core[6] 0 -23 *1290:12 *1917:24 0.00055542 -24 *1786:7 *1917:7 0 -25 *1786:23 *1917:23 0 -26 *1786:27 *2820:mprj_dat_o_core[6] 0 -27 *1787:10 *1917:10 0.00422959 -28 *1832:8 *1917:24 0.000156676 -29 *1834:8 *1917:24 0.00456391 -30 *1838:8 *1917:24 0.00400287 -31 *1853:5 *2820:mprj_dat_o_core[6] 0 -32 *1895:10 *1917:10 0.00367129 -33 *1898:10 *1917:24 0.000170045 -34 *1901:24 *1917:24 0.000101365 -35 *1902:10 *1917:24 0.000110257 -36 *1911:25 *1917:10 0.075414 -37 *1915:10 *1917:10 0.076027 -38 *1915:13 *1917:13 0 -39 *1915:24 *1917:24 0.0743651 -*RES -1 *2826:mprj_dat_o[6] *1917:7 26.3074 -2 *1917:7 *1917:9 3.36879 -3 *1917:9 *1917:10 97.4984 -4 *1917:10 *1917:12 3.36879 -5 *1917:12 *1917:13 68.2723 -6 *1917:13 *2819:wb_dat_i[6] 0.366399 -7 *1917:7 *1917:23 13.5058 -8 *1917:23 *1917:24 101.782 -9 *1917:24 *1917:26 3.36879 -10 *1917:26 *2820:mprj_dat_o_core[6] 53.2378 -*END - -*D_NET *1918 0.330391 -*CONN -*I *2819:wb_dat_i[7] I *D housekeeping -*I *2820:mprj_dat_o_core[7] I *D mgmt_protect -*I *2826:mprj_dat_o[7] O *D mgmt_core_wrapper -*CAP -1 *2819:wb_dat_i[7] 0.00133485 -2 *2820:mprj_dat_o_core[7] 0.000841865 -3 *2826:mprj_dat_o[7] 0.000448988 -4 *1918:27 0.00602508 -5 *1918:25 0.00512318 -6 *1918:10 0.00835826 -7 *1918:9 0.0075164 -8 *1918:7 0.00319654 -9 *1918:5 0.00321258 -10 *2819:wb_dat_i[7] *1919:32 0 -11 *1918:7 *1919:15 0 -12 *1918:10 *1920:10 0.0797655 -13 *1918:27 *1920:27 3.08263e-05 -14 *1918:27 *1920:29 0.0625264 -15 *1918:27 *2488:27 3.18408e-05 -16 *2820:mprj_adr_o_core[8] *2820:mprj_dat_o_core[7] 0 -17 *99:10 *1918:27 0.000463439 -18 *806:8 *1918:10 0.00353649 -19 *1750:10 *1918:27 0 -20 *1763:24 *1918:10 0.000310774 -21 *1787:7 *1918:7 0 -22 *1787:7 *1918:25 0 -23 *1787:23 *1918:7 0 -24 *1833:8 *1918:10 0.00467054 -25 *1854:7 *2820:mprj_dat_o_core[7] 0 -26 *1855:5 *2820:mprj_dat_o_core[7] 0 -27 *1914:10 *1918:10 0 -28 *1916:10 *1918:10 0.0776233 -29 *1916:29 *1918:27 0.0653741 -30 *1917:13 *2819:wb_dat_i[7] 0 -*RES -1 *2826:mprj_dat_o[7] *1918:5 0.366399 -2 *1918:5 *1918:7 69.1029 -3 *1918:7 *1918:9 3.36879 -4 *1918:9 *1918:10 103.159 -5 *1918:10 *2820:mprj_dat_o_core[7] 21.7254 -6 *2826:mprj_dat_o[7] *1918:25 14.9624 -7 *1918:25 *1918:27 690.65 -8 *1918:27 *2819:wb_dat_i[7] 40.9567 -*END - -*D_NET *1919 0.367724 -*CONN -*I *2819:wb_dat_i[8] I *D housekeeping -*I *2820:mprj_dat_o_core[8] I *D mgmt_protect -*I *2826:mprj_dat_o[8] O *D mgmt_core_wrapper -*CAP -1 *2819:wb_dat_i[8] 1.28869e-05 -2 *2820:mprj_dat_o_core[8] 0.00263227 -3 *2826:mprj_dat_o[8] 0.00111829 -4 *1919:32 0.00276718 -5 *1919:31 0.00275429 -6 *1919:29 0.00748459 -7 *1919:22 0.00280255 -8 *1919:16 0.00990997 -9 *1919:15 0.0101849 -10 *1919:9 0.00904805 -11 *1919:29 *2490:10 0.000110017 -12 *1919:32 *2819:wb_dat_i[9] 0 -13 *2819:wb_dat_i[7] *1919:32 0 -14 *2820:mprj_adr_o_core[9] *2820:mprj_dat_o_core[8] 0 -15 *1290:12 *1919:22 0.00112571 -16 *1418:12 *1919:16 0.000328712 -17 *1543:9 *2820:mprj_dat_o_core[8] 0.000154302 -18 *1770:24 *1919:16 0.00422857 -19 *1787:23 *1919:15 0.000341446 -20 *1788:9 *1919:9 0 -21 *1788:16 *1919:16 0.00085334 -22 *1849:10 *1919:15 0.000223197 -23 *1849:10 *1919:29 0.000880138 -24 *1855:5 *2820:mprj_dat_o_core[8] 0 -25 *1890:28 *1919:32 0 -26 *1891:10 *1919:29 0.0689758 -27 *1891:24 *1919:16 0.0787106 -28 *1897:25 *1919:29 0.000137573 -29 *1899:25 *1919:29 0.00402488 -30 *1901:10 *1919:29 0.00361216 -31 *1904:10 *1919:16 0.00436322 -32 *1915:10 *1919:15 0.0012339 -33 *1915:10 *1919:29 0.0725268 -34 *1915:24 *1919:16 0.0743629 -35 *1915:32 *1919:16 0.000123966 -36 *1915:32 *1919:22 2.05602e-05 -37 *1917:10 *1919:29 0.000249792 -38 *1917:13 *1919:32 0 -39 *1917:24 *1919:16 0.00121336 -40 *1917:24 *1919:22 0.001208 -41 *1918:7 *1919:15 0 -*RES -1 *2826:mprj_dat_o[8] *1919:9 29.6518 -2 *1919:9 *1919:15 15.1323 -3 *1919:15 *1919:16 101.438 -4 *1919:16 *1919:22 5.07319 -5 *1919:22 *2820:mprj_dat_o_core[8] 54.0683 -6 *1919:9 *1919:29 93.8268 -7 *1919:29 *1919:31 3.36879 -8 *1919:31 *1919:32 69.9334 -9 *1919:32 *2819:wb_dat_i[8] 0.366399 -*END - -*D_NET *1920 0.329666 -*CONN -*I *2819:wb_dat_i[9] I *D housekeeping -*I *2820:mprj_dat_o_core[9] I *D mgmt_protect -*I *2826:mprj_dat_o[9] O *D mgmt_core_wrapper -*CAP -1 *2819:wb_dat_i[9] 0.00134945 -2 *2820:mprj_dat_o_core[9] 0.000903198 -3 *2826:mprj_dat_o[9] 0.000627591 -4 *1920:29 0.00645531 -5 *1920:27 0.00564361 -6 *1920:10 0.00774357 -7 *1920:9 0.00684037 -8 *1920:7 0.00313757 -9 *1920:5 0.00322742 -10 *1920:29 *2488:27 0 -11 *2820:mprj_adr_o_core[10] *2820:mprj_dat_o_core[9] 0 -12 *99:10 *1920:29 0.000504093 -13 *1750:10 *1920:27 0.000120367 -14 *1750:10 *1920:29 0 -15 *1758:9 *1920:27 0 -16 *1825:8 *1920:10 0.00396092 -17 *1856:7 *2820:mprj_dat_o_core[9] 0 -18 *1856:11 *1920:7 0 -19 *1890:16 *1920:10 0.0822163 -20 *1890:28 *2819:wb_dat_i[9] 0 -21 *1894:27 *1920:29 0.0562267 -22 *1909:30 *1920:10 0.00838696 -23 *1914:10 *1920:10 0 -24 *1918:10 *1920:10 0.0797655 -25 *1918:27 *1920:27 3.08263e-05 -26 *1918:27 *1920:29 0.0625264 -27 *1919:32 *2819:wb_dat_i[9] 0 -*RES -1 *2826:mprj_dat_o[9] *1920:5 2.05183 -2 *1920:5 *1920:7 68.2723 -3 *1920:7 *1920:9 3.36879 -4 *1920:9 *1920:10 105.377 -5 *1920:10 *2820:mprj_dat_o_core[9] 22.5559 -6 *2826:mprj_dat_o[9] *1920:27 19.4354 -7 *1920:27 *1920:29 660.979 -8 *1920:29 *2819:wb_dat_i[9] 41.372 -*END - -*D_NET *1921 0.152187 -*CONN -*I *2821:wbs_dat_i[0] I *D user_project_wrapper -*I *2820:mprj_dat_o_user[0] O *D mgmt_protect -*CAP -1 *2821:wbs_dat_i[0] 0.00184119 -2 *2820:mprj_dat_o_user[0] 0.00133099 -3 *1921:10 0.00184119 -4 *1921:8 0.00651909 -5 *1921:7 0.00785008 -6 *1921:7 *2491:7 0 -7 *1921:8 *1932:8 0.00015065 -8 *1921:8 *2492:8 0.0659734 -9 *1921:8 *2500:8 0.000650002 -10 *2820:mprj_dat_i_user[0] *1921:7 0 -11 *1200:11 *1921:7 9.32704e-05 -12 *1816:8 *1921:8 0.0578183 -13 *1868:8 *1921:8 0.000179648 -14 *1879:8 *1921:8 0.00762504 -15 *1883:8 *1921:8 0.000313928 -*RES -1 *2820:mprj_dat_o_user[0] *1921:7 35.937 -2 *1921:7 *1921:8 734.464 -3 *1921:8 *1921:10 4.5 -4 *1921:10 *2821:wbs_dat_i[0] 50.9539 -*END - -*D_NET *1922 0.130723 -*CONN -*I *2821:wbs_dat_i[10] I *D user_project_wrapper -*I *2820:mprj_dat_o_user[10] O *D mgmt_protect -*CAP -1 *2821:wbs_dat_i[10] 0.00192475 -2 *2820:mprj_dat_o_user[10] 0.00142327 -3 *1922:10 0.00192475 -4 *1922:8 0.00370772 -5 *1922:7 0.005131 -6 *1922:8 *1948:8 0.00609631 -7 *1922:8 *1952:8 0.0547386 -8 *2820:mprj_dat_i_user[10] *1922:7 0 -9 *2820:mprj_dat_i_user[11] *1922:7 0 -10 *988:8 *1922:8 0.00109722 -11 *1233:8 *1922:8 6.24819e-05 -12 *1791:7 *1922:7 0 -13 *1820:8 *1922:8 0.0542777 -14 *1859:8 *1922:8 0.000339591 -*RES -1 *2820:mprj_dat_o_user[10] *1922:7 38.0133 -2 *1922:7 *1922:8 583.612 -3 *1922:8 *1922:10 4.5 -4 *1922:10 *2821:wbs_dat_i[10] 48.8776 -*END - -*D_NET *1923 0.116535 -*CONN -*I *2821:wbs_dat_i[11] I *D user_project_wrapper -*I *2820:mprj_dat_o_user[11] O *D mgmt_protect -*CAP -1 *2821:wbs_dat_i[11] 0.00127891 -2 *2820:mprj_dat_o_user[11] 0.000766806 -3 *1923:14 0.00557087 -4 *1923:13 0.00550317 -5 *1923:10 0.00209135 -6 *1923:7 0.00164694 -7 *1923:13 *1949:5 0.000495853 -8 *1923:14 *1925:8 0.0461345 -9 *1923:14 *1947:20 0.0324475 -10 *1923:14 *1949:8 0.000129117 -11 *1923:14 *2493:8 0.00174065 -12 *2820:mprj_dat_i_user[11] *1923:7 0 -13 *2820:mprj_dat_i_user[6] *1923:13 0.000142465 -14 *2820:mprj_dat_i_user[7] *1923:10 0.000583244 -15 *2821:la_data_in[4] *1923:13 1.87469e-05 -16 *1161:14 *1923:10 0.00386037 -17 *1792:7 *1923:7 0 -18 *1810:16 *1923:14 0.00237825 -19 *1817:5 *1923:13 0 -20 *1857:8 *1923:14 1.71154e-05 -21 *1881:8 *1923:14 0.00887335 -22 *1886:8 *1923:14 0.00083916 -23 *1886:18 *1923:14 0.00201661 -*RES -1 *2820:mprj_dat_o_user[11] *1923:7 25.971 -2 *1923:7 *1923:10 45.7095 -3 *1923:10 *1923:13 31.6624 -4 *1923:13 *1923:14 528.706 -5 *1923:14 *2821:wbs_dat_i[11] 38.4285 -*END - -*D_NET *1924 0.142544 -*CONN -*I *2821:wbs_dat_i[12] I *D user_project_wrapper -*I *2820:mprj_dat_o_user[12] O *D mgmt_protect -*CAP -1 *2821:wbs_dat_i[12] 0.00180174 -2 *2820:mprj_dat_o_user[12] 0.0015359 -3 *1924:8 0.00952615 -4 *1924:7 0.00926031 -5 *1924:8 *1926:8 0.0558209 -6 *1924:8 *1928:12 0.000364593 -7 *2820:mprj_dat_i_user[12] *1924:7 0 -8 *2820:mprj_dat_i_user[13] *1924:7 0 -9 *1255:5 *1924:7 9.84343e-05 -10 *1793:7 *1924:7 0 -11 *1794:8 *1924:8 0 -12 *1796:19 *1924:8 0.000268006 -13 *1798:12 *1924:8 0.00369398 -14 *1858:8 *1924:8 0 -15 *1859:8 *1924:8 0.000275322 -16 *1860:8 *1924:8 0 -17 *1861:8 *1924:8 0.000357385 -18 *1867:8 *1924:8 0.00274909 -19 *1887:8 *1924:8 0.0567917 -*RES -1 *2820:mprj_dat_o_user[12] *1924:7 39.9965 -2 *1924:7 *1924:8 76.846 -3 *1924:8 *2821:wbs_dat_i[12] 49.132 -*END - -*D_NET *1925 0.116049 -*CONN -*I *2821:wbs_dat_i[13] I *D user_project_wrapper -*I *2820:mprj_dat_o_user[13] O *D mgmt_protect -*CAP -1 *2821:wbs_dat_i[13] 0.0012678 -2 *2820:mprj_dat_o_user[13] 0.00205923 -3 *1925:8 0.00535427 -4 *1925:7 0.00408647 -5 *1925:5 0.00205923 -6 *1925:8 *2493:8 0.00142649 -7 *2820:mprj_dat_i_user[13] *1925:5 0 -8 *2821:la_oenb[6] *1925:5 0.000305303 -9 *1794:7 *1925:5 0 -10 *1862:8 *1925:8 0.0488381 -11 *1862:11 *1925:5 0 -12 *1871:18 *1925:8 0.00383301 -13 *1881:8 *1925:8 0.000684308 -14 *1923:14 *1925:8 0.0461345 -*RES -1 *2820:mprj_dat_o_user[13] *1925:5 48.8776 -2 *1925:5 *1925:7 4.5 -3 *1925:7 *1925:8 543.126 -4 *1925:8 *2821:wbs_dat_i[13] 38.0133 -*END - -*D_NET *1926 0.101771 -*CONN -*I *2821:wbs_dat_i[14] I *D user_project_wrapper -*I *2820:mprj_dat_o_user[14] O *D mgmt_protect -*CAP -1 *2821:wbs_dat_i[14] 0.0017897 -2 *2820:mprj_dat_o_user[14] 0.00159381 -3 *1926:8 0.0190365 -4 *1926:7 0.0188406 -5 *1926:8 *1941:14 0.000380407 -6 *1926:8 *1945:8 0.000186162 -7 *2820:mprj_dat_i_user[15] *1926:7 0 -8 *2821:la_data_in[7] *1926:7 0 -9 *1266:5 *1926:7 0 -10 *1795:7 *1926:7 0 -11 *1809:14 *1926:8 0.000749867 -12 *1813:8 *1926:8 0.000176808 -13 *1860:8 *1926:8 0 -14 *1862:11 *1926:7 0 -15 *1870:8 *1926:8 0.00252827 -16 *1878:8 *1926:8 0.000355551 -17 *1880:8 *1926:8 0.000312037 -18 *1924:8 *1926:8 0.0558209 -*RES -1 *2820:mprj_dat_o_user[14] *1926:7 40.827 -2 *1926:7 *1926:8 73.098 -3 *1926:8 *2821:wbs_dat_i[14] 48.3015 -*END - -*D_NET *1927 0.10988 -*CONN -*I *2821:wbs_dat_i[15] I *D user_project_wrapper -*I *2820:mprj_dat_o_user[15] O *D mgmt_protect -*CAP -1 *2821:wbs_dat_i[15] 0.00122962 -2 *2820:mprj_dat_o_user[15] 0.0021793 -3 *1927:8 0.00520524 -4 *1927:7 0.00397562 -5 *1927:5 0.0021793 -6 *2820:mprj_dat_i_user[15] *1927:5 0 -7 *2821:la_oenb[7] *1927:5 0 -8 *1266:5 *1927:5 0 -9 *1796:7 *1927:5 0 -10 *1797:10 *1927:8 0.0456178 -11 *1815:8 *1927:8 0.00106916 -12 *1862:8 *1927:8 0.0478419 -13 *1864:8 *1927:8 0.00028978 -14 *1871:18 *1927:8 0.000292277 -*RES -1 *2820:mprj_dat_o_user[15] *1927:5 49.7081 -2 *1927:5 *1927:7 4.5 -3 *1927:7 *1927:8 516.505 -4 *1927:8 *2821:wbs_dat_i[15] 37.1828 -*END - -*D_NET *1928 0.111142 -*CONN -*I *2821:wbs_dat_i[16] I *D user_project_wrapper -*I *2820:mprj_dat_o_user[16] O *D mgmt_protect -*CAP -1 *2821:wbs_dat_i[16] 0.00188663 -2 *2820:mprj_dat_o_user[16] 0.00152756 -3 *1928:14 0.00188663 -4 *1928:12 0.00321858 -5 *1928:10 0.00323945 -6 *1928:8 0.000561753 -7 *1928:7 0.00206845 -8 *2820:mprj_dat_i_user[16] *1928:7 0 -9 *1266:8 *1928:8 0.000218849 -10 *1796:8 *1928:8 0.0054809 -11 *1797:7 *1928:7 0 -12 *1863:8 *1928:8 1.41853e-05 -13 *1863:8 *1928:12 0.0417299 -14 *1865:8 *1928:12 0.0389725 -15 *1865:10 *1928:10 9.95922e-06 -16 *1865:12 *1928:8 0.00605219 -17 *1865:12 *1928:12 1.41689e-05 -18 *1887:8 *1928:12 0.00389601 -19 *1924:8 *1928:12 0.000364593 -*RES -1 *2820:mprj_dat_o_user[16] *1928:7 40.9201 -2 *1928:7 *1928:8 64.5028 -3 *1928:8 *1928:10 0.578717 -4 *1928:10 *1928:12 438.86 -5 *1928:12 *1928:14 4.5 -6 *1928:14 *2821:wbs_dat_i[16] 46.3861 -*END - -*D_NET *1929 0.103611 -*CONN -*I *2821:wbs_dat_i[17] I *D user_project_wrapper -*I *2820:mprj_dat_o_user[17] O *D mgmt_protect -*CAP -1 *2821:wbs_dat_i[17] 0.00117226 -2 *2820:mprj_dat_o_user[17] 0.0022197 -3 *1929:8 0.00505486 -4 *1929:7 0.0038826 -5 *1929:5 0.0022197 -6 *1929:8 *1931:8 0.0423912 -7 *1929:8 *1949:8 0.000567089 -8 *2820:mprj_dat_i_user[17] *1929:5 0 -9 *2821:la_data_in[8] *1929:5 0 -10 *1277:5 *1929:5 0 -11 *1792:14 *1929:8 0 -12 *1797:10 *1929:8 0.00020979 -13 *1798:7 *1929:5 0 -14 *1799:8 *1929:8 0.000313928 -15 *1864:8 *1929:8 0.0454585 -16 *1866:8 *1929:8 0.000121125 -17 *1871:18 *1929:8 0 -*RES -1 *2820:mprj_dat_o_user[17] *1929:5 50.9539 -2 *1929:5 *1929:7 4.5 -3 *1929:7 *1929:8 489.884 -4 *1929:8 *2821:wbs_dat_i[17] 35.937 -*END - -*D_NET *1930 0.111334 -*CONN -*I *2821:wbs_dat_i[18] I *D user_project_wrapper -*I *2820:mprj_dat_o_user[18] O *D mgmt_protect -*CAP -1 *2821:wbs_dat_i[18] 0.002482 -2 *2820:mprj_dat_o_user[18] 0.00100329 -3 *1930:10 0.002482 -4 *1930:8 0.00831775 -5 *1930:7 0.00932104 -6 *1930:8 *1934:8 0.0446889 -7 *1930:8 *1938:8 0.00189817 -8 *2820:mprj_dat_i_user[18] *1930:7 0 -9 *2821:la_oenb[8] *1930:7 7.98939e-05 -10 *1794:8 *1930:8 0.00577638 -11 *1799:5 *1930:7 0 -12 *1806:8 *1930:8 0.00174509 -13 *1824:8 *1930:8 0.03354 -*RES -1 *2820:mprj_dat_o_user[18] *1930:7 30.0304 -2 *1930:7 *1930:8 65.755 -3 *1930:8 *1930:10 3.36879 -4 *1930:10 *2821:wbs_dat_i[18] 55.7293 -*END - -*D_NET *1931 0.100846 -*CONN -*I *2821:wbs_dat_i[19] I *D user_project_wrapper -*I *2820:mprj_dat_o_user[19] O *D mgmt_protect -*CAP -1 *2821:wbs_dat_i[19] 0.00116929 -2 *2820:mprj_dat_o_user[19] 0.00170176 -3 *1931:8 0.00456309 -4 *1931:7 0.0033938 -5 *1931:5 0.00170176 -6 *1931:8 *1949:8 0.000521356 -7 *2820:mprj_dat_i_user[19] *1931:5 0 -8 *2821:la_data_in[9] *1931:5 0.000827593 -9 *1799:5 *1931:5 0 -10 *1799:8 *1931:8 0.0434362 -11 *1801:7 *1931:5 0.000930632 -12 *1869:8 *1931:8 0.00020979 -13 *1871:18 *1931:8 0 -14 *1929:8 *1931:8 0.0423912 -*RES -1 *2820:mprj_dat_o_user[19] *1931:5 51.3691 -2 *1931:5 *1931:7 4.5 -3 *1931:7 *1931:8 463.263 -4 *1931:8 *2821:wbs_dat_i[19] 35.5218 -*END - -*D_NET *1932 0.187483 -*CONN -*I *2821:wbs_dat_i[1] I *D user_project_wrapper -*I *2820:mprj_dat_o_user[1] O *D mgmt_protect -*CAP -1 *2821:wbs_dat_i[1] 0.00191984 -2 *2820:mprj_dat_o_user[1] 0.00125695 -3 *1932:10 0.00191984 -4 *1932:8 0.00605749 -5 *1932:7 0.00731443 -6 *1932:7 *2492:7 0 -7 *1932:8 *2492:8 0.000163504 -8 *2820:mprj_dat_i_user[1] *1932:7 0 -9 *1794:8 *1932:8 0.000235627 -10 *1795:13 *1932:7 0 -11 *1800:8 *1932:8 0.0775758 -12 *1811:8 *1932:8 0.00790745 -13 *1868:8 *1932:8 0.00870862 -14 *1879:8 *1932:8 0.0742727 -15 *1921:8 *1932:8 0.00015065 -*RES -1 *2820:mprj_dat_o_user[1] *1932:7 33.3524 -2 *1932:7 *1932:8 98.8752 -3 *1932:8 *1932:10 3.36879 -4 *1932:10 *2821:wbs_dat_i[1] 52.4073 -*END - -*D_NET *1933 0.0971223 -*CONN -*I *2821:wbs_dat_i[20] I *D user_project_wrapper -*I *2820:mprj_dat_o_user[20] O *D mgmt_protect -*CAP -1 *2821:wbs_dat_i[20] 0.0010848 -2 *2820:mprj_dat_o_user[20] 0.00215628 -3 *1933:8 0.00424449 -4 *1933:7 0.00315968 -5 *1933:5 0.00215628 -6 *1933:8 *1935:12 0.000298839 -7 *2820:mprj_dat_i_user[20] *1933:5 0 -8 *1288:5 *1933:5 0.000380194 -9 *1801:10 *1933:8 0.0419621 -10 *1802:7 *1933:5 0 -11 *1819:8 *1933:8 0.000152056 -12 *1866:8 *1933:8 0.0408575 -13 *1869:8 *1933:8 0.000670106 -*RES -1 *2820:mprj_dat_o_user[20] *1933:5 53.0301 -2 *1933:5 *1933:7 4.5 -3 *1933:7 *1933:8 449.952 -4 *1933:8 *2821:wbs_dat_i[20] 33.8608 -*END - -*D_NET *1934 0.103706 -*CONN -*I *2821:wbs_dat_i[21] I *D user_project_wrapper -*I *2820:mprj_dat_o_user[21] O *D mgmt_protect -*CAP -1 *2821:wbs_dat_i[21] 0.00258523 -2 *2820:mprj_dat_o_user[21] 0.000630658 -3 *1934:10 0.00258523 -4 *1934:8 0.0155506 -5 *1934:7 0.0161812 -6 *1934:8 *1940:8 0.000724102 -7 *1934:8 *1942:8 0.00015065 -8 *1934:8 *1947:10 0.0118171 -9 *2820:mprj_dat_i_user[21] *1934:7 0 -10 *1792:8 *1934:8 0.00207939 -11 *1802:7 *1934:7 0 -12 *1802:8 *1934:8 0.00182703 -13 *1803:7 *1934:7 0.00156885 -14 *1808:8 *1934:8 0.00137579 -15 *1874:8 *1934:8 0.00149647 -16 *1876:14 *1934:8 0.000444742 -17 *1930:8 *1934:8 0.0446889 -*RES -1 *2820:mprj_dat_o_user[21] *1934:7 29.1999 -2 *1934:7 *1934:8 60.3241 -3 *1934:8 *1934:10 3.36879 -4 *1934:10 *2821:wbs_dat_i[21] 56.5598 -*END - -*D_NET *1935 0.0867904 -*CONN -*I *2821:wbs_dat_i[22] I *D user_project_wrapper -*I *2820:mprj_dat_o_user[22] O *D mgmt_protect -*CAP -1 *2821:wbs_dat_i[22] 0.0015755 -2 *2820:mprj_dat_o_user[22] 0.00176649 -3 *1935:18 0.00208475 -4 *1935:17 0.00103537 -5 *1935:12 0.00468543 -6 *1935:10 0.00447152 -7 *1935:5 0.0020787 -8 *2821:la_data_in[10] *1935:5 0 -9 *2821:wbs_adr_i[26] *1935:17 4.09058e-05 -10 *1172:7 *1935:5 0 -11 *1795:20 *1935:18 0.00728054 -12 *1801:10 *1935:12 0.0306536 -13 *1803:15 *1935:17 7.09666e-06 -14 *1804:7 *1935:5 0 -15 *1821:10 *1935:18 0.00727213 -16 *1858:8 *1935:18 0.000152056 -17 *1871:12 *1935:12 0.0221533 -18 *1871:18 *1935:10 2.10179e-05 -19 *1871:21 *1935:5 0.00119261 -20 *1881:8 *1935:10 0 -21 *1882:13 *1935:17 2.05972e-05 -22 *1933:8 *1935:12 0.000298839 -*RES -1 *2820:mprj_dat_o_user[22] *1935:5 50.5386 -2 *1935:5 *1935:10 12.8311 -3 *1935:10 *1935:12 342.082 -4 *1935:12 *1935:17 17.476 -5 *1935:17 *1935:18 76.7041 -6 *1935:18 *2821:wbs_dat_i[22] 41.3353 -*END - -*D_NET *1936 0.0917904 -*CONN -*I *2821:wbs_dat_i[23] I *D user_project_wrapper -*I *2820:mprj_dat_o_user[23] O *D mgmt_protect -*CAP -1 *2821:wbs_dat_i[23] 0.00251731 -2 *2820:mprj_dat_o_user[23] 0.00108587 -3 *1936:10 0.00251731 -4 *1936:8 0.0031072 -5 *1936:7 0.00419307 -6 *2820:mprj_dat_i_user[23] *1936:7 0 -7 *1200:12 *1936:8 0.000135103 -8 *1792:8 *1936:8 0 -9 *1794:8 *1936:8 0.000110257 -10 *1804:8 *1936:8 0.0381881 -11 *1805:7 *1936:7 0 -12 *1806:8 *1936:8 0.000113197 -13 *1824:8 *1936:8 0.00201302 -14 *1872:8 *1936:8 0.0378099 -*RES -1 *2820:mprj_dat_o_user[23] *1936:7 32.615 -2 *1936:7 *1936:8 410.021 -3 *1936:8 *1936:10 4.5 -4 *1936:10 *2821:wbs_dat_i[23] 54.2759 -*END - -*D_NET *1937 0.0848358 -*CONN -*I *2821:wbs_dat_i[24] I *D user_project_wrapper -*I *2820:mprj_dat_o_user[24] O *D mgmt_protect -*CAP -1 *2821:wbs_dat_i[24] 0.00167627 -2 *2820:mprj_dat_o_user[24] 0.00156475 -3 *1937:8 0.00497428 -4 *1937:7 0.00486277 -5 *1937:8 *1939:8 0.0333682 -6 *2820:mprj_dat_i_user[24] *1937:7 0.000723419 -7 *966:8 *1937:8 3.61993e-05 -8 *1803:16 *1937:8 0.000857698 -9 *1805:14 *1937:8 0.000309013 -10 *1806:7 *1937:7 0 -11 *1860:8 *1937:8 0.000763973 -12 *1873:8 *1937:8 0.0347775 -13 *1873:14 *1937:8 0.000790462 -14 *1882:14 *1937:8 0.000131242 -*RES -1 *2820:mprj_dat_o_user[24] *1937:7 48.3946 -2 *1937:7 *1937:8 396.71 -3 *1937:8 *2821:wbs_dat_i[24] 42.9963 -*END - -*D_NET *1938 0.0850236 -*CONN -*I *2821:wbs_dat_i[25] I *D user_project_wrapper -*I *2820:mprj_dat_o_user[25] O *D mgmt_protect -*CAP -1 *2821:wbs_dat_i[25] 0.00262357 -2 *2820:mprj_dat_o_user[25] 0.00102156 -3 *1938:10 0.00262357 -4 *1938:8 0.00302811 -5 *1938:7 0.00404966 -6 *2820:mprj_dat_i_user[25] *1938:7 0 -7 *1200:12 *1938:8 0 -8 *1792:8 *1938:8 0.00016955 -9 *1806:8 *1938:8 0.0356132 -10 *1807:7 *1938:7 0 -11 *1808:8 *1938:8 0.0327454 -12 *1874:8 *1938:8 0.0012508 -13 *1930:8 *1938:8 0.00189817 -*RES -1 *2820:mprj_dat_o_user[25] *1938:7 31.3693 -2 *1938:7 *1938:8 383.4 -3 *1938:8 *1938:10 4.5 -4 *1938:10 *2821:wbs_dat_i[25] 55.5217 -*END - -*D_NET *1939 0.081763 -*CONN -*I *2821:wbs_dat_i[26] I *D user_project_wrapper -*I *2820:mprj_dat_o_user[26] O *D mgmt_protect -*CAP -1 *2821:wbs_dat_i[26] 0.00170768 -2 *2820:mprj_dat_o_user[26] 0.00159048 -3 *1939:8 0.00460835 -4 *1939:7 0.00449115 -5 *2820:mprj_dat_i_user[26] *1939:7 0 -6 *905:13 *1939:7 0.000943126 -7 *966:8 *1939:8 0.000176505 -8 *1183:8 *1939:8 0.00026805 -9 *1807:8 *1939:8 0.0292179 -10 *1808:7 *1939:7 0 -11 *1860:8 *1939:8 0.000608238 -12 *1875:10 *1939:8 0.00442788 -13 *1875:12 *1939:8 7.90692e-05 -14 *1877:12 *1939:8 9.27159e-05 -15 *1878:19 *1939:7 0 -16 *1882:14 *1939:8 0.000183679 -17 *1937:8 *1939:8 0.0333682 -*RES -1 *2820:mprj_dat_o_user[26] *1939:7 47.9793 -2 *1939:7 *1939:8 370.089 -3 *1939:8 *2821:wbs_dat_i[26] 43.4116 -*END - -*D_NET *1940 0.079133 -*CONN -*I *2821:wbs_dat_i[27] I *D user_project_wrapper -*I *2820:mprj_dat_o_user[27] O *D mgmt_protect -*CAP -1 *2821:wbs_dat_i[27] 0.00270603 -2 *2820:mprj_dat_o_user[27] 0.000942665 -3 *1940:10 0.00270603 -4 *1940:8 0.00309003 -5 *1940:7 0.0040327 -6 *2821:wbs_dat_i[27] *1947:19 0.000120576 -7 *1940:8 *1942:8 0.000113197 -8 *1940:8 *1946:8 0 -9 *1940:8 *1947:10 0.000598596 -10 *2820:mprj_dat_i_user[27] *1940:7 0 -11 *1010:8 *1940:8 0.0100634 -12 *1792:8 *1940:8 0.0217315 -13 *1809:7 *1940:7 0 -14 *1874:8 *1940:8 0.000765812 -15 *1876:14 *1940:8 0.0315383 -16 *1934:8 *1940:8 0.000724102 -*RES -1 *2820:mprj_dat_o_user[27] *1940:7 29.7083 -2 *1940:7 *1940:8 356.779 -3 *1940:8 *1940:10 4.5 -4 *1940:10 *2821:wbs_dat_i[27] 57.1827 -*END - -*D_NET *1941 0.0729614 -*CONN -*I *2821:wbs_dat_i[28] I *D user_project_wrapper -*I *2820:mprj_dat_o_user[28] O *D mgmt_protect -*CAP -1 *2821:wbs_dat_i[28] 0.00184885 -2 *2820:mprj_dat_o_user[28] 0.00115945 -3 *1941:14 0.00313209 -4 *1941:13 0.00132238 -5 *1941:8 0.00185661 -6 *1941:7 0.00297693 -7 *1941:8 *1944:8 0.000242965 -8 *1941:14 *1944:8 0.000620311 -9 *1941:14 *1947:14 0.0017543 -10 *2820:mprj_dat_i_user[28] *1941:7 0.00243599 -11 *2821:la_oenb[1] *1941:13 0.000101133 -12 *1795:13 *1941:13 9.22013e-06 -13 *1809:8 *1941:8 0.0209106 -14 *1809:13 *1941:13 7.50872e-05 -15 *1809:14 *1941:14 0.000518784 -16 *1810:7 *1941:7 0 -17 *1813:8 *1941:14 0.00546422 -18 *1876:13 *2821:wbs_dat_i[28] 0 -19 *1877:8 *1941:14 3.59437e-05 -20 *1877:12 *1941:8 0.0214417 -21 *1878:8 *1941:14 0.000514312 -22 *1880:8 *1941:14 0.00615465 -23 *1886:17 *2821:wbs_dat_i[28] 5.38612e-06 -24 *1926:8 *1941:14 0.000380407 -*RES -1 *2820:mprj_dat_o_user[28] *1941:7 46.3183 -2 *1941:7 *1941:8 228.665 -3 *1941:8 *1941:13 10.832 -4 *1941:13 *1941:14 114.972 -5 *1941:14 *2821:wbs_dat_i[28] 46.7336 -*END - -*D_NET *1942 0.0729616 -*CONN -*I *2821:wbs_dat_i[29] I *D user_project_wrapper -*I *2820:mprj_dat_o_user[29] O *D mgmt_protect -*CAP -1 *2821:wbs_dat_i[29] 0.00281911 -2 *2820:mprj_dat_o_user[29] 0.000895362 -3 *1942:10 0.00281911 -4 *1942:8 0.00294372 -5 *1942:7 0.00383908 -6 *1942:8 *1946:8 9.42921e-05 -7 *1942:8 *1947:10 0.000556304 -8 *2820:mprj_dat_i_user[29] *1942:7 0 -9 *2820:mprj_dat_i_user[30] *1942:7 0 -10 *1010:8 *1942:8 0.000384979 -11 *1810:8 *1942:8 0.0287638 -12 *1812:7 *1942:7 0 -13 *1812:8 *1942:8 0.000373913 -14 *1876:14 *1942:8 0.029208 -15 *1934:8 *1942:8 0.00015065 -16 *1940:8 *1942:8 0.000113197 -*RES -1 *2820:mprj_dat_o_user[29] *1942:7 28.8777 -2 *1942:7 *1942:8 330.158 -3 *1942:8 *1942:10 4.5 -4 *1942:10 *2821:wbs_dat_i[29] 58.0132 -*END - -*D_NET *1943 0.180543 -*CONN -*I *2821:wbs_dat_i[2] I *D user_project_wrapper -*I *2820:mprj_dat_o_user[2] O *D mgmt_protect -*CAP -1 *2821:wbs_dat_i[2] 0.00125563 -2 *2820:mprj_dat_o_user[2] 0.00190729 -3 *1943:10 0.0104641 -4 *1943:9 0.00920844 -5 *1943:7 0.00190729 -6 *1943:7 *2493:5 0 -7 *1943:10 *1947:20 0.00275883 -8 *1943:10 *2491:8 0.0738982 -9 *1943:10 *2493:8 0.0741277 -10 *2820:mprj_dat_i_user[2] *1943:7 0.00177629 -11 *2821:la_data_in[2] *1943:7 0 -12 *1161:13 *1943:7 0 -13 *1211:7 *1943:7 0 -14 *1858:8 *1943:10 0.000435066 -15 *1886:8 *1943:10 0.00280392 -*RES -1 *2820:mprj_dat_o_user[2] *1943:7 49.8425 -2 *1943:7 *1943:9 3.36879 -3 *1943:9 *1943:10 96.1216 -4 *1943:10 *2821:wbs_dat_i[2] 38.3355 -*END - -*D_NET *1944 0.0675411 -*CONN -*I *2821:wbs_dat_i[30] I *D user_project_wrapper -*I *2820:mprj_dat_o_user[30] O *D mgmt_protect -*CAP -1 *2821:wbs_dat_i[30] 0.00182331 -2 *2820:mprj_dat_o_user[30] 0.00165371 -3 *1944:8 0.00475688 -4 *1944:7 0.00458727 -5 *2821:wbs_dat_i[30] *1947:13 1.77537e-06 -6 *2820:mprj_dat_i_user[30] *1944:7 0 -7 *1183:8 *1944:8 0 -8 *1809:8 *1944:8 0.0209106 -9 *1810:13 *2821:wbs_dat_i[30] 0 -10 *1813:7 *1944:7 0 -11 *1813:8 *1944:8 0.0284549 -12 *1877:8 *1944:8 0.00370371 -13 *1877:12 *1944:8 0.000785585 -14 *1941:8 *1944:8 0.000242965 -15 *1941:14 *1944:8 0.000620311 -*RES -1 *2820:mprj_dat_o_user[30] *1944:7 45.4878 -2 *1944:7 *1944:8 316.847 -3 *1944:8 *2821:wbs_dat_i[30] 45.9031 -*END - -*D_NET *1945 0.0644795 -*CONN -*I *2821:wbs_dat_i[31] I *D user_project_wrapper -*I *2820:mprj_dat_o_user[31] O *D mgmt_protect -*CAP -1 *2821:wbs_dat_i[31] 0.00188414 -2 *2820:mprj_dat_o_user[31] 0.00157923 -3 *1945:8 0.00486817 -4 *1945:7 0.00456325 -5 *2820:mprj_dat_i_user[31] *1945:7 0 -6 *905:7 *1945:7 0 -7 *944:14 *1945:8 0.00093549 -8 *1172:8 *1945:8 3.83336e-05 -9 *1556:12 *1945:8 0.00575932 -10 *1805:8 *1945:8 0.0116186 -11 *1813:8 *1945:8 0.000128915 -12 *1867:8 *1945:8 1.75637e-06 -13 *1867:12 *1945:8 2.01874e-05 -14 *1870:8 *1945:8 0.00040761 -15 *1878:8 *1945:8 0.00492669 -16 *1880:8 *1945:8 0.027499 -17 *1882:19 *2821:wbs_dat_i[31] 6.25467e-05 -18 *1926:8 *1945:8 0.000186162 -*RES -1 *2820:mprj_dat_o_user[31] *1945:7 43.8268 -2 *1945:7 *1945:8 303.537 -3 *1945:8 *2821:wbs_dat_i[31] 47.5641 -*END - -*D_NET *1946 0.0609956 -*CONN -*I *2821:wbs_dat_i[3] I *D user_project_wrapper -*I *2820:mprj_dat_o_user[3] O *D mgmt_protect -*CAP -1 *2821:wbs_dat_i[3] 0.00227564 -2 *2820:mprj_dat_o_user[3] 0.00081649 -3 *1946:10 0.00227564 -4 *1946:8 0.0228494 -5 *1946:7 0.0236659 -6 *1946:7 *2494:7 0 -7 *2820:mprj_dat_i_user[3] *1946:7 0 -8 *1161:14 *1946:8 0.00146253 -9 *1792:8 *1946:8 0 -10 *1795:8 *1946:8 0.000113197 -11 *1812:8 *1946:8 0.000748844 -12 *1876:14 *1946:8 0 -13 *1882:20 *1946:8 0.00669368 -14 *1940:8 *1946:8 0 -15 *1942:8 *1946:8 9.42921e-05 -*RES -1 *2820:mprj_dat_o_user[3] *1946:7 26.8015 -2 *1946:7 *1946:8 680.667 -3 *1946:8 *1946:10 4.5 -4 *1946:10 *2821:wbs_dat_i[3] 60.0894 -*END - -*D_NET *1947 0.137643 -*CONN -*I *2821:wbs_dat_i[4] I *D user_project_wrapper -*I *2820:mprj_dat_o_user[4] O *D mgmt_protect -*CAP -1 *2821:wbs_dat_i[4] 0.001252 -2 *2820:mprj_dat_o_user[4] 0.00592398 -3 *1947:20 0.00496721 -4 *1947:19 0.00408443 -5 *1947:14 0.00129634 -6 *1947:13 0.00184561 -7 *1947:10 0.00684247 -8 *2820:mprj_dat_i_user[4] *1947:10 0 -9 *2821:wbs_dat_i[27] *1947:19 0.000120576 -10 *2821:wbs_dat_i[30] *1947:13 1.77537e-06 -11 *1792:8 *1947:10 0.000550322 -12 *1807:14 *1947:14 0.00124477 -13 *1809:14 *1947:14 0 -14 *1810:8 *1947:10 0.000502471 -15 *1810:13 *1947:13 0.000136276 -16 *1812:8 *1947:10 0.000462967 -17 *1816:7 *1947:10 0 -18 *1824:14 *1947:20 0.0115207 -19 *1870:8 *1947:14 0 -20 *1876:14 *1947:10 0.000605103 -21 *1877:8 *1947:14 0.00322573 -22 *1882:8 *1947:20 0.00306986 -23 *1882:20 *1947:10 0.00017754 -24 *1886:8 *1947:20 0.0398798 -25 *1886:23 *1947:10 0 -26 *1923:14 *1947:20 0.0324475 -27 *1934:8 *1947:10 0.0118171 -28 *1940:8 *1947:10 0.000598596 -29 *1941:14 *1947:14 0.0017543 -30 *1942:8 *1947:10 0.000556304 -31 *1943:10 *1947:20 0.00275883 -*RES -1 *2820:mprj_dat_o_user[4] *1947:10 46.8566 -2 *1947:10 *1947:13 20.6582 -3 *1947:13 *1947:14 63.3936 -4 *1947:14 *1947:19 16.2303 -5 *1947:19 *1947:20 490.993 -6 *1947:20 *2821:wbs_dat_i[4] 38.8438 -*END - -*D_NET *1948 0.167017 -*CONN -*I *2821:wbs_dat_i[5] I *D user_project_wrapper -*I *2820:mprj_dat_o_user[5] O *D mgmt_protect -*CAP -1 *2821:wbs_dat_i[5] 0.0018391 -2 *2820:mprj_dat_o_user[5] 0.00139375 -3 *1948:10 0.0018391 -4 *1948:8 0.00636412 -5 *1948:7 0.00775788 -6 *1948:8 *1952:8 0.00505184 -7 *1948:8 *2494:8 0.000338389 -8 *2820:mprj_dat_i_user[5] *1948:7 0 -9 *2821:la_oenb[3] *1948:7 3.99645e-05 -10 *1794:8 *1948:8 0 -11 *1814:8 *1948:8 0.068204 -12 *1816:7 *1948:7 0 -13 *1817:5 *1948:7 0 -14 *1883:8 *1948:8 0.000419745 -15 *1885:8 *1948:8 0.067673 -16 *1922:8 *1948:8 0.00609631 -*RES -1 *2820:mprj_dat_o_user[5] *1948:7 36.6745 -2 *1948:7 *1948:8 89.6199 -3 *1948:8 *1948:10 3.36879 -4 *1948:10 *2821:wbs_dat_i[5] 49.0853 -*END - -*D_NET *1949 0.161525 -*CONN -*I *2821:wbs_dat_i[6] I *D user_project_wrapper -*I *2820:mprj_dat_o_user[6] O *D mgmt_protect -*CAP -1 *2821:wbs_dat_i[6] 0.001141 -2 *2820:mprj_dat_o_user[6] 0.0021754 -3 *1949:8 0.00973775 -4 *1949:7 0.00859675 -5 *1949:5 0.0021754 -6 *2820:mprj_dat_i_user[6] *1949:5 0 -7 *2821:la_data_in[4] *1949:5 0 -8 *1233:5 *1949:5 0 -9 *1817:8 *1949:8 0.068542 -10 *1818:7 *1949:5 0 -11 *1819:8 *1949:8 0.00119759 -12 *1858:8 *1949:8 0 -13 *1884:8 *1949:8 0.0662452 -14 *1923:13 *1949:5 0.000495853 -15 *1923:14 *1949:8 0.000129117 -16 *1929:8 *1949:8 0.000567089 -17 *1931:8 *1949:8 0.000521356 -*RES -1 *2820:mprj_dat_o_user[6] *1949:5 50.7463 -2 *1949:5 *1949:7 3.36879 -3 *1949:7 *1949:8 87.8606 -4 *1949:8 *2821:wbs_dat_i[6] 35.0134 -*END - -*D_NET *1950 0.159012 -*CONN -*I *2821:wbs_dat_i[7] I *D user_project_wrapper -*I *2820:mprj_dat_o_user[7] O *D mgmt_protect -*CAP -1 *2821:wbs_dat_i[7] 0.00180777 -2 *2820:mprj_dat_o_user[7] 0.001495 -3 *1950:10 0.00180777 -4 *1950:8 0.00624873 -5 *1950:7 0.00774373 -6 *2820:mprj_dat_i_user[7] *1950:7 0 -7 *1233:5 *1950:7 0 -8 *1794:8 *1950:8 0 -9 *1796:20 *1950:8 0.00439499 -10 *1818:7 *1950:7 0 -11 *1818:8 *1950:8 0.000345279 -12 *1819:5 *1950:7 0 -13 *1863:8 *1950:8 0.00376288 -14 *1885:8 *1950:8 0.0666897 -15 *1887:8 *1950:8 0.0647163 -*RES -1 *2820:mprj_dat_o_user[7] *1950:7 38.3355 -2 *1950:7 *1950:8 85.9484 -3 *1950:8 *1950:10 3.36879 -4 *1950:10 *2821:wbs_dat_i[7] 47.4242 -*END - -*D_NET *1951 0.153057 -*CONN -*I *2821:wbs_dat_i[8] I *D user_project_wrapper -*I *2820:mprj_dat_o_user[8] O *D mgmt_protect -*CAP -1 *2821:wbs_dat_i[8] 0.00104434 -2 *2820:mprj_dat_o_user[8] 0.00236678 -3 *1951:8 0.00954764 -4 *1951:7 0.00850329 -5 *1951:5 0.00236678 -6 *2820:mprj_dat_i_user[8] *1951:5 0 -7 *2821:la_oenb[4] *1951:5 0 -8 *988:11 *1951:5 0 -9 *1796:19 *1951:5 2.18541e-05 -10 *1805:13 *1951:5 0.000291882 -11 *1819:8 *1951:8 0.0656115 -12 *1820:7 *1951:5 0 -13 *1858:8 *1951:8 0 -14 *1870:13 *1951:5 0 -15 *1871:17 *1951:5 0.000245081 -16 *1888:8 *1951:8 0.0630579 -*RES -1 *2820:mprj_dat_o_user[8] *1951:5 53.2378 -2 *1951:5 *1951:7 3.36879 -3 *1951:7 *1951:8 84.1891 -4 *1951:8 *2821:wbs_dat_i[8] 32.5219 -*END - -*D_NET *1952 0.128466 -*CONN -*I *2821:wbs_dat_i[9] I *D user_project_wrapper -*I *2820:mprj_dat_o_user[9] O *D mgmt_protect -*CAP -1 *2821:wbs_dat_i[9] 0.0018966 -2 *2820:mprj_dat_o_user[9] 0.00144467 -3 *1952:10 0.0018966 -4 *1952:8 0.00454836 -5 *1952:7 0.00599303 -6 *2820:mprj_dat_i_user[10] *1952:7 0 -7 *2820:mprj_dat_i_user[9] *1952:7 0 -8 *1244:5 *1952:7 0 -9 *1790:7 *1952:7 0 -10 *1820:8 *1952:8 0.00090253 -11 *1859:8 *1952:8 0.0519941 -12 *1922:8 *1952:8 0.0547386 -13 *1948:8 *1952:8 0.00505184 -*RES -1 *2820:mprj_dat_o_user[9] *1952:7 38.4285 -2 *1952:7 *1952:8 596.368 -3 *1952:8 *1952:10 4.5 -4 *1952:10 *2821:wbs_dat_i[9] 48.4624 -*END - -*D_NET *1953 0.0625601 -*CONN -*I *2820:mprj_iena_wb I *D mgmt_protect -*I *2826:mprj_wb_iena O *D mgmt_core_wrapper -*CAP -1 *2820:mprj_iena_wb 0.00351809 -2 *2826:mprj_wb_iena 0.00100961 -3 *1953:12 0.00351809 -4 *1953:10 0.0057328 -5 *1953:9 0.00674241 -6 *1953:9 *2495:9 0 -7 *1953:10 *2495:10 0.000125334 -8 *2820:mprj_dat_o_core[31] *2820:mprj_iena_wb 0 -9 *1297:9 *2820:mprj_iena_wb 0.000280319 -10 *1433:10 *1953:10 0.0145043 -11 *1441:10 *1953:10 0.00604768 -12 *1443:10 *1953:10 0.000980169 -13 *1447:10 *1953:10 0.00138843 -14 *1768:24 *1953:10 0 -15 *1788:10 *1953:10 0.0187129 -16 *1788:15 *2820:mprj_iena_wb 0 -17 *1849:7 *2820:mprj_iena_wb 0 -*RES -1 *2826:mprj_wb_iena *1953:9 29.7693 -2 *1953:9 *1953:10 442.188 -3 *1953:10 *1953:12 4.5 -4 *1953:12 *2820:mprj_iena_wb 64.242 -*END - -*D_NET *1954 0.0134183 -*CONN -*I *2822:mprj_io_analog_en[0] I *D chip_io -*I *2781:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_en[0] 0.000489083 -2 *2781:pad_gpio_ana_en 0.000547975 -3 *1954:14 0.00281696 -4 *1954:13 0.00287586 -5 *2822:mprj_io_analog_en[0] *2822:mprj_io_dm[0] 0 -6 *1954:13 *1992:13 2.72062e-05 -7 *1954:13 *2334:8 4.05974e-05 -8 *1954:14 *1992:14 0.00600344 -9 *1954:14 *2068:14 6.8162e-05 -10 *1954:14 *2093:14 0 -11 *1954:14 *2104:16 0 -12 *1954:14 *2372:14 0 -13 *1954:14 *2448:14 0 -14 *2781:mgmt_gpio_out *1954:13 0.000548988 -*RES -1 *2781:pad_gpio_ana_en *1954:13 28.1039 -2 *1954:13 *1954:14 103.154 -3 *1954:14 *2822:mprj_io_analog_en[0] 22.2122 -*END - -*D_NET *1955 0.0613947 -*CONN -*I *2822:mprj_io_analog_en[10] I *D chip_io -*I *2789:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_en[10] 0.000988329 -2 *2789:pad_gpio_ana_en 3.60638e-05 -3 *1955:8 0.00751151 -4 *1955:7 0.00655924 -5 *2822:mprj_io_analog_en[10] *2822:mprj_io_analog_pol[10] 0.00054759 -6 *2822:mprj_io_analog_en[10] *2822:mprj_io_dm[30] 0.000195214 -7 *2822:mprj_io_analog_en[10] *2822:mprj_io_inp_dis[10] 0.000182819 -8 *2822:mprj_io_analog_en[10] *2108:14 0 -9 *2822:mprj_io_analog_en[10] *2298:14 0.000275937 -10 *2822:mprj_io_analog_en[10] *2612:13 0.000284208 -11 *1955:8 *1956:13 8.21151e-05 -12 *1955:8 *1993:8 0.0309874 -13 *1955:8 *1994:13 0.011963 -14 *1955:8 *2032:8 1.48618e-05 -15 *1955:8 *2067:13 0.00174938 -16 *2788:serial_clock_out *1955:8 1.69979e-05 -*RES -1 *2789:pad_gpio_ana_en *1955:7 0.51465 -2 *1955:7 *1955:8 61.8888 -3 *1955:8 *2822:mprj_io_analog_en[10] 27.5606 -*END - -*D_NET *1956 0.0551129 -*CONN -*I *2822:mprj_io_analog_en[11] I *D chip_io -*I *2790:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_en[11] 0.000643111 -2 *2790:pad_gpio_ana_en 0.00548819 -3 *1956:20 0.0022772 -4 *1956:19 0.00178838 -5 *1956:14 0.00144694 -6 *1956:13 0.00678084 -7 *2822:mprj_io_analog_en[11] *2822:mprj_io_dm[33] 0 -8 *2822:mprj_io_analog_en[11] *2613:13 0 -9 *1956:13 *1994:13 0.0178493 -10 *1956:13 *2032:8 2.40249e-06 -11 *1956:14 *1994:14 0.00418243 -12 *1956:14 *2033:14 0.000375297 -13 *1956:14 *2374:8 0.000632098 -14 *1956:20 *2109:18 0.00823385 -15 *1956:20 *2260:11 0.00291275 -16 *1956:20 *2261:19 0.000472836 -17 *1956:20 *2261:23 7.2193e-05 -18 *1956:20 *2374:8 0.000136527 -19 *1956:20 *2412:23 0.00149535 -20 *663:14 *1956:20 0.000241167 -21 *1955:8 *1956:13 8.21151e-05 -*RES -1 *2790:pad_gpio_ana_en *1956:13 39.0044 -2 *1956:13 *1956:14 66.819 -3 *1956:14 *1956:19 11.9418 -4 *1956:19 *1956:20 140.111 -5 *1956:20 *2822:mprj_io_analog_en[11] 22.8684 -*END - -*D_NET *1957 0.0577966 -*CONN -*I *2822:mprj_io_analog_en[12] I *D chip_io -*I *2791:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_en[12] 0.000303081 -2 *2791:pad_gpio_ana_en 0.000962212 -3 *1957:30 0.000820174 -4 *1957:24 0.00504431 -5 *1957:23 0.00670428 -6 *1957:17 0.00313927 -7 *2822:mprj_io_analog_en[12] *2822:mprj_io_dm[36] 0 -8 *2822:mprj_io_analog_en[12] *2614:11 0 -9 *1957:17 *1995:19 0.000156881 -10 *1957:17 *2033:13 0.000124334 -11 *1957:17 *2111:13 0.000124334 -12 *1957:17 *2112:13 0.000343222 -13 *1957:17 *2298:20 0 -14 *1957:17 *2299:13 0.000172732 -15 *1957:17 *2375:13 0.000167452 -16 *1957:23 *1995:25 0.00198434 -17 *1957:23 *2032:8 0.0114552 -18 *1957:23 *2113:8 0 -19 *1957:23 *2222:10 0.0133474 -20 *1957:23 *2336:8 4.7332e-05 -21 *1957:24 *2113:8 0.00139004 -22 *1957:24 *2114:13 0 -23 *1957:24 *2116:13 0 -24 *1957:24 *2117:8 0.00733039 -25 *1957:24 *2261:11 0 -26 *1957:30 *2822:mprj_io_slow_sel[12] 0.000913655 -27 *1957:30 *2112:21 0.000261327 -28 *1957:30 *2117:21 0.00122621 -29 *1957:30 *2117:24 0.00065321 -30 *1957:30 *2186:13 0.000114314 -31 *1957:30 *2223:8 0.000198292 -32 *1957:30 *2261:10 0 -33 *1957:30 *2261:11 0.000184383 -34 *1957:30 *2614:11 0.000601103 -35 *2791:mgmt_gpio_out *1957:17 2.72062e-05 -*RES -1 *2791:pad_gpio_ana_en *1957:17 32.1081 -2 *1957:17 *1957:23 33.5577 -3 *1957:23 *1957:24 170.632 -4 *1957:24 *1957:30 47.9666 -5 *1957:30 *2822:mprj_io_analog_en[12] 16.5296 -*END - -*D_NET *1958 0.0893549 -*CONN -*I *2822:mprj_io_analog_en[13] I *D chip_io -*I *2792:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_en[13] 0.00039604 -2 *2792:pad_gpio_ana_en 0.00384662 -3 *1958:14 0.00961298 -4 *1958:13 0.0130636 -5 *2822:mprj_io_analog_en[13] *2615:13 0 -6 *1958:13 *1996:13 0.0166498 -7 *1958:13 *2034:10 0.0189501 -8 *1958:13 *2113:8 0 -9 *1958:14 *1996:14 0.0268359 -10 *1958:14 *2117:38 0 -11 *1958:14 *2186:32 0 -*RES -1 *2792:pad_gpio_ana_en *1958:13 44.5253 -2 *1958:13 *1958:14 428.088 -3 *1958:14 *2822:mprj_io_analog_en[13] 18.6087 -*END - -*D_NET *1959 0.0233647 -*CONN -*I *2822:mprj_io_analog_en[14] I *D chip_io -*I *2793:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_en[14] 0.000348232 -2 *2793:pad_gpio_ana_en 0.000561974 -3 *1959:14 0.00203959 -4 *1959:13 0.00225333 -5 *2822:mprj_io_analog_en[14] *2616:11 0 -6 *1959:13 *1997:15 0.000448386 -7 *1959:13 *2035:13 0.000270852 -8 *1959:13 *2118:15 7.22422e-05 -9 *1959:14 *1997:16 0.000437312 -10 *1959:14 *2119:14 0.00868887 -11 *1959:14 *2263:11 6.22044e-05 -12 *1959:14 *2301:16 0.0081817 -*RES -1 *2793:pad_gpio_ana_en *1959:13 31.1416 -2 *1959:13 *1959:14 154.645 -3 *1959:14 *2822:mprj_io_analog_en[14] 16.9449 -*END - -*D_NET *1960 0.0527076 -*CONN -*I *2822:mprj_io_analog_en[15] I *D chip_io -*I *2794:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_en[15] 0.000420615 -2 *2794:pad_gpio_ana_en 0.000741591 -3 *1960:29 0.01145 -4 *1960:28 0.0117545 -5 *1960:23 0.00216991 -6 *1960:22 0.00261083 -7 *1960:19 0.00254614 -8 *1960:16 0.00212172 -9 *1960:16 *2794:pad_gpio_in 0.00165184 -10 *1960:16 *2121:13 0.000284848 -11 *1960:16 *2226:18 0.00064924 -12 *1960:16 *2340:10 0 -13 *1960:16 *2378:13 3.91685e-05 -14 *1960:19 *2188:13 0 -15 *1960:19 *2378:13 0 -16 *1960:19 *2378:15 0 -17 *1960:22 *2122:16 0.000810613 -18 *1960:23 *1998:17 0.00234327 -19 *1960:28 *1998:22 0.000281884 -20 *1960:28 *2188:25 0.000750031 -21 *1960:28 *2340:20 2.82537e-05 -22 *1960:29 *2036:23 0.000338665 -23 *1960:29 *2122:23 0.00464178 -24 *1960:29 *2264:10 0.00707268 -*RES -1 *2794:pad_gpio_ana_en *1960:16 46.6343 -2 *1960:16 *1960:19 41.8272 -3 *1960:19 *1960:22 39.9674 -4 *1960:22 *1960:23 56.1838 -5 *1960:23 *1960:28 36.1624 -6 *1960:28 *1960:29 388.946 -7 *1960:29 *2822:mprj_io_analog_en[15] 16.066 -*END - -*D_NET *1961 0.0441093 -*CONN -*I *2822:mprj_io_analog_en[16] I *D chip_io -*I *2795:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_en[16] 0.00112323 -2 *2795:pad_gpio_ana_en 8.99935e-05 -3 *1961:17 0.00196845 -4 *1961:16 0.000845218 -5 *1961:14 0.00102765 -6 *1961:11 0.00166142 -7 *1961:10 0.000723764 -8 *2822:mprj_io_analog_en[16] *2822:mprj_io_analog_pol[16] 0.0021113 -9 *2822:mprj_io_analog_en[16] *2822:mprj_io_dm[48] 6.10203e-05 -10 *2822:mprj_io_analog_en[16] *2822:mprj_io_holdover[16] 0.00336298 -11 *2822:mprj_io_analog_en[16] *2822:mprj_io_slow_sel[16] 0.00706763 -12 *2822:mprj_io_analog_en[16] *2037:18 0 -13 *2822:mprj_io_analog_en[16] *2124:14 0 -14 *1961:11 *2037:13 0.00204748 -15 *1961:11 *2125:13 0.00404392 -16 *1961:14 *2125:16 0.0025195 -17 *1961:17 *1999:17 0.00826752 -18 *1961:17 *2125:17 0.00717162 -19 *623:13 *1961:14 1.66626e-05 -*RES -1 *2795:pad_gpio_ana_en *1961:10 8.46357 -2 *1961:10 *1961:11 52.3015 -3 *1961:11 *1961:14 46.6115 -4 *1961:14 *1961:16 4.5 -5 *1961:16 *1961:17 87.7962 -6 *1961:17 *2822:mprj_io_analog_en[16] 40.1413 -*END - -*D_NET *1962 0.0509905 -*CONN -*I *2822:mprj_io_analog_en[17] I *D chip_io -*I *2796:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_en[17] 0.000344978 -2 *2796:pad_gpio_ana_en 7.96089e-05 -3 *1962:23 0.00515084 -4 *1962:22 0.00480586 -5 *1962:20 0.00171328 -6 *1962:19 0.00237613 -7 *1962:16 0.00138055 -8 *1962:11 0.00312577 -9 *1962:10 0.00248768 -10 *2822:mprj_io_analog_en[17] *2822:mprj_io_dm[51] 0 -11 *1962:11 *2000:11 0.000282235 -12 *1962:11 *2038:11 0.00999615 -13 *1962:11 *2456:11 0 -14 *1962:16 *2000:16 0.000698604 -15 *1962:16 *2038:16 0 -16 *1962:16 *2128:14 0 -17 *1962:19 *2038:19 0.00249091 -18 *1962:20 *2038:20 0 -19 *1962:23 *2822:mprj_io_analog_sel[17] 0.0024693 -20 *1962:23 *2822:mprj_io_dm[52] 0.000252038 -21 *1962:23 *2000:23 0.00617442 -22 *1962:23 *2266:8 0.00716213 -*RES -1 *2796:pad_gpio_ana_en *1962:10 8.46357 -2 *1962:10 *1962:11 113.308 -3 *1962:11 *1962:16 34.0861 -4 *1962:16 *1962:19 32.9536 -5 *1962:19 *1962:20 47.925 -6 *1962:20 *1962:22 4.5 -7 *1962:22 *1962:23 217.019 -8 *1962:23 *2822:mprj_io_analog_en[17] 13.9287 -*END - -*D_NET *1963 0.0343778 -*CONN -*I *2822:mprj_io_analog_en[18] I *D chip_io -*I *2787:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_en[18] 0.000100165 -2 *2787:pad_gpio_ana_en 6.99824e-05 -3 *1963:17 0.00215056 -4 *1963:16 0.0020504 -5 *1963:14 0.00172571 -6 *1963:13 0.00172571 -7 *1963:11 0.00196902 -8 *1963:10 0.002039 -9 *1963:11 *2001:11 0.000332911 -10 *1963:11 *2039:11 0.00621268 -11 *1963:11 *2131:11 0.0124922 -12 *1963:14 *2229:27 1.52448e-05 -13 *1963:14 *2457:22 0.0018307 -14 *1963:17 *2822:mprj_io_dm[56] 0.00076256 -15 *1963:17 *2822:mprj_io_vtrip_sel[18] 0.000141291 -16 *1963:17 *2229:27 0.000759667 -*RES -1 *2787:pad_gpio_ana_en *1963:10 8.38708 -2 *1963:10 *1963:11 164.886 -3 *1963:11 *1963:13 4.5 -4 *1963:13 *1963:14 59.1368 -5 *1963:14 *1963:16 4.5 -6 *1963:16 *1963:17 61.7298 -7 *1963:17 *2822:mprj_io_analog_en[18] 7.21135 -*END - -*D_NET *1964 0.0130547 -*CONN -*I *2822:mprj_io_analog_en[19] I *D chip_io -*I *2803:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_en[19] 0.000185312 -2 *2803:pad_gpio_ana_en 0.000171208 -3 *1964:11 0.00104654 -4 *1964:10 0.00103244 -5 *1964:10 *2002:10 0 -6 *1964:10 *2192:12 4.31122e-05 -7 *1964:11 *2803:pad_gpio_in 0.00014667 -8 *1964:11 *2134:11 0.00147912 -9 *1964:11 *2192:13 0.00472561 -10 *1964:11 *2230:11 0.00201704 -11 *1964:11 *2420:17 0.0017603 -12 *640:68 *1964:11 0.000277187 -13 *1715:26 *1964:11 0.000170188 -*RES -1 *2803:pad_gpio_ana_en *1964:10 9.70932 -2 *1964:10 *1964:11 77.2587 -3 *1964:11 *2822:mprj_io_analog_en[19] 8.20532 -*END - -*D_NET *1965 0.0171108 -*CONN -*I *2822:mprj_io_analog_en[1] I *D chip_io -*I *2782:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_en[1] 0.000484813 -2 *2782:pad_gpio_ana_en 0.000512163 -3 *1965:14 0.00147201 -4 *1965:13 0.00149936 -5 *2822:mprj_io_analog_en[1] *2822:mprj_io_dm[3] 0 -6 *1965:13 *2003:13 0.000550368 -7 *1965:13 *2041:13 0 -8 *1965:13 *2345:8 1.32509e-05 -9 *1965:14 *2003:14 0.000114237 -10 *1965:14 *2115:14 0.00632107 -11 *1965:14 *2126:14 0.000114237 -12 *1965:14 *2307:14 0.00580014 -13 *2782:mgmt_gpio_out *1965:13 0.000229211 -*RES -1 *2782:pad_gpio_ana_en *1965:13 28.3826 -2 *1965:13 *1965:14 104.815 -3 *1965:14 *2822:mprj_io_analog_en[1] 22.0756 -*END - -*D_NET *1966 0.0162691 -*CONN -*I *2822:mprj_io_analog_en[20] I *D chip_io -*I *2810:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_en[20] 0.000394281 -2 *2810:pad_gpio_ana_en 0.00081921 -3 *1966:16 0.00113616 -4 *1966:11 0.00156109 -5 *2822:mprj_io_analog_en[20] *2822:mprj_io_dm[60] 0.000440786 -6 *2822:mprj_io_analog_en[20] *2822:mprj_io_slow_sel[20] 1.41291e-05 -7 *2822:mprj_io_analog_en[20] *2004:17 0.00362102 -8 *2822:mprj_io_analog_en[20] *2308:23 0.00330199 -9 *1966:11 *2004:13 2.20702e-05 -10 *1966:11 *2138:13 0.00207671 -11 *1966:11 *2139:13 0.000185642 -12 *1966:11 *2194:13 0.00186651 -13 *1966:11 *2384:11 0.000389428 -14 *1966:16 *2822:mprj_io_out[20] 0 -15 *110:17 *2822:mprj_io_analog_en[20] 1.44742e-05 -16 *689:20 *1966:16 0.000425555 -*RES -1 *2810:pad_gpio_ana_en *1966:11 48.0092 -2 *1966:11 *1966:16 32.4251 -3 *1966:16 *2822:mprj_io_analog_en[20] 44.8123 -*END - -*D_NET *1967 0.016271 -*CONN -*I *2822:mprj_io_analog_en[21] I *D chip_io -*I *2811:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_en[21] 0.000425144 -2 *2811:pad_gpio_ana_en 0.00016494 -3 *1967:16 0.000682897 -4 *1967:13 0.000915541 -5 *1967:10 0.000822729 -6 *2822:mprj_io_analog_en[21] *2822:mprj_io_dm[64] 4.82966e-05 -7 *2822:mprj_io_analog_en[21] *2822:mprj_io_slow_sel[21] 0.000158904 -8 *2822:mprj_io_analog_en[21] *2005:17 0.00129416 -9 *2822:mprj_io_analog_en[21] *2143:23 0.00329817 -10 *2822:mprj_io_analog_en[21] *2271:15 0.000540793 -11 *1967:13 *2141:11 0.000710825 -12 *1967:13 *2142:11 7.92757e-06 -13 *1967:13 *2195:11 0.00218937 -14 *1967:13 *2233:11 0.00223826 -15 *1967:13 *2271:16 3.99086e-06 -16 *1967:16 *2142:16 0.00139121 -17 *1967:16 *2143:22 5.1493e-06 -18 *1967:16 *2271:15 0.00137272 -*RES -1 *2811:pad_gpio_ana_en *1967:10 8.46357 -2 *1967:10 *1967:13 46.2641 -3 *1967:13 *1967:16 27.5099 -4 *1967:16 *2822:mprj_io_analog_en[21] 42.5939 -*END - -*D_NET *1968 0.0239016 -*CONN -*I *2822:mprj_io_analog_en[22] I *D chip_io -*I *2812:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_en[22] 9.41226e-05 -2 *2812:pad_gpio_ana_en 0.000780684 -3 *1968:23 0.000481835 -4 *1968:20 0.000919603 -5 *1968:19 0.00131257 -6 *2822:mprj_io_analog_en[22] *2822:mprj_io_dm[66] 0 -7 *1968:19 *2006:19 0.00331734 -8 *1968:19 *2044:13 1.41291e-05 -9 *1968:19 *2144:11 0.00139576 -10 *1968:19 *2146:11 0.000135445 -11 *1968:19 *2196:11 0.000134886 -12 *1968:19 *2234:17 0.000815957 -13 *1968:19 *2272:21 2.02035e-05 -14 *1968:20 *2146:14 0.00344465 -15 *1968:20 *2196:14 0.00344934 -16 *1968:23 *2822:mprj_io_dm[67] 0.00266714 -17 *1968:23 *2822:mprj_io_inp_dis[22] 0.000354817 -18 *1968:23 *2144:19 0.000348618 -19 *1968:23 *2146:17 0.00407963 -20 *691:23 *1968:19 0.000134886 -*RES -1 *2812:pad_gpio_ana_en *1968:19 36.1904 -2 *1968:19 *1968:20 56.0224 -3 *1968:20 *1968:23 47.3733 -4 *1968:23 *2822:mprj_io_analog_en[22] 6.08773 -*END - -*D_NET *1969 0.0143272 -*CONN -*I *2822:mprj_io_analog_en[23] I *D chip_io -*I *2813:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_en[23] 0.00114805 -2 *2813:pad_gpio_ana_en 0.000747802 -3 *1969:16 0.00132587 -4 *1969:11 0.000925619 -5 *2822:mprj_io_analog_en[23] *2822:mprj_io_dm[69] 0.000361169 -6 *2822:mprj_io_analog_en[23] *2822:mprj_io_dm[71] 0.000439196 -7 *2822:mprj_io_analog_en[23] *2822:mprj_io_slow_sel[23] 1.01044e-05 -8 *2822:mprj_io_analog_en[23] *2235:17 5.04054e-06 -9 *2822:mprj_io_analog_en[23] *2597:16 0.00130751 -10 *1969:11 *2007:13 4.0752e-05 -11 *1969:11 *2147:11 3.99086e-06 -12 *1969:11 *2149:13 0.00229558 -13 *1969:11 *2150:11 0.0025038 -14 *1969:11 *2197:11 0.00057348 -15 *1969:11 *2597:25 3.4123e-05 -16 *1969:16 *2822:mprj_io_holdover[23] 0.00130076 -17 *1969:16 *2311:14 0.00130436 -*RES -1 *2813:pad_gpio_ana_en *1969:11 49.1184 -2 *1969:11 *1969:16 29.9336 -3 *1969:16 *2822:mprj_io_analog_en[23] 45.3807 -*END - -*D_NET *1970 0.0233508 -*CONN -*I *2822:mprj_io_analog_en[24] I *D chip_io -*I *2814:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_en[24] 0.000408145 -2 *2814:pad_gpio_ana_en 0.000416223 -3 *1970:8 0.00425876 -4 *1970:7 0.00426684 -5 *2822:mprj_io_analog_en[24] *2822:mprj_io_dm[72] 0 -6 *1970:7 *2008:7 0.000268918 -7 *1970:8 *2814:user_gpio_oeb 0.000874377 -8 *1970:8 *2008:8 0 -9 *1970:8 *2151:8 0 -10 *1970:8 *2152:8 0.0108573 -11 *1970:8 *2426:14 0 -12 *2814:mgmt_gpio_out *1970:7 4.80336e-05 -13 *617:20 *1970:8 0.000272174 -14 *632:67 *1970:8 0.00168006 -*RES -1 *2814:pad_gpio_ana_en *1970:7 4.96178 -2 *1970:7 *1970:8 184.335 -3 *1970:8 *2822:mprj_io_analog_en[24] 19.5785 -*END - -*D_NET *1971 0.00909851 -*CONN -*I *2822:mprj_io_analog_en[25] I *D chip_io -*I *2815:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_en[25] 0.00294522 -2 *2815:pad_gpio_ana_en 0.00294522 -3 *2822:mprj_io_analog_en[25] *2009:13 4.70618e-05 -4 *2822:mprj_io_analog_en[25] *2047:13 0.000120046 -5 *2822:mprj_io_analog_en[25] *2154:13 0.000120046 -6 *2822:mprj_io_analog_en[25] *2156:23 0.000565394 -7 *2822:mprj_io_analog_en[25] *2237:8 0.00042524 -8 *2822:mprj_io_analog_en[25] *2275:11 0.000117561 -9 *2822:mprj_io_analog_en[25] *2351:29 0.00139831 -10 *2815:mgmt_gpio_out *2822:mprj_io_analog_en[25] 3.18067e-05 -11 *106:43 *2822:mprj_io_analog_en[25] 0 -12 *618:34 *2822:mprj_io_analog_en[25] 0 -13 *694:19 *2822:mprj_io_analog_en[25] 0.000110968 -14 *694:20 *2822:mprj_io_analog_en[25] 0.000271637 -*RES -1 *2815:pad_gpio_ana_en *2822:mprj_io_analog_en[25] 18.1804 -*END - -*D_NET *1972 0.0116674 -*CONN -*I *2822:mprj_io_analog_en[26] I *D chip_io -*I *2816:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_en[26] 9.48156e-05 -2 *2816:pad_gpio_ana_en 0.000950339 -3 *1972:19 0.000655362 -4 *1972:15 0.00098948 -5 *1972:12 0.00137927 -6 *2822:mprj_io_analog_en[26] *2238:33 0 -7 *1972:12 *2010:12 0.00187162 -8 *1972:12 *2600:19 0.000210973 -9 *1972:19 *2822:mprj_io_dm[78] 0 -10 *1972:19 *2010:16 1.87125e-05 -11 *1972:19 *2158:8 0.00186869 -12 *2816:mgmt_gpio_out *1972:12 0.000475383 -13 *116:22 *1972:19 0.000449924 -14 *634:14 *1972:19 0.00235848 -15 *656:13 *1972:19 0.000302851 -16 *695:27 *1972:15 2.48087e-05 -17 *1723:33 *1972:12 1.66771e-05 -*RES -1 *2816:pad_gpio_ana_en *1972:12 48.3378 -2 *1972:12 *1972:15 13.5424 -3 *1972:15 *1972:19 47.6192 -4 *1972:19 *2822:mprj_io_analog_en[26] 7.05869 -*END - -*D_NET *1973 0.0148317 -*CONN -*I *2822:mprj_io_analog_en[27] I *D chip_io -*I *2817:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_en[27] 0.000771363 -2 *2817:pad_gpio_ana_en 0.000746392 -3 *1973:8 0.00140605 -4 *1973:7 0.00138107 -5 *2822:mprj_io_analog_en[27] *2822:mprj_io_dm[81] 0 -6 *2822:mprj_io_analog_en[27] *2239:20 3.66465e-05 -7 *2822:mprj_io_analog_en[27] *2353:16 0 -8 *2822:mprj_io_analog_en[27] *2391:26 0.000340658 -9 *1973:7 *2011:7 0.000215751 -10 *1973:7 *2161:7 0 -11 *1973:8 *2161:8 1.1246e-05 -12 *1973:8 *2315:8 0.00392301 -13 *1973:8 *2391:22 0.000552609 -14 *1973:8 *2467:8 1.87125e-05 -15 *2817:mgmt_gpio_out *1973:7 4.80459e-05 -16 *642:52 *1973:8 0.000630292 -17 *657:8 *1973:8 0.00448586 -18 *657:20 *2822:mprj_io_analog_en[27] 0.000264004 -*RES -1 *2817:pad_gpio_ana_en *1973:7 5.42072 -2 *1973:7 *1973:8 72.6325 -3 *1973:8 *2822:mprj_io_analog_en[27] 38.4587 -*END - -*D_NET *1974 0.0126397 -*CONN -*I *2822:mprj_io_analog_en[28] I *D chip_io -*I *2818:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_en[28] 0.000398662 -2 *2818:pad_gpio_ana_en 0.000500428 -3 *1974:14 0.00118035 -4 *1974:13 0.00128211 -5 *2822:mprj_io_analog_en[28] *2240:20 0 -6 *1974:13 *2012:13 5.36925e-05 -7 *1974:13 *2278:11 0.000119662 -8 *1974:13 *2354:13 5.04829e-06 -9 *1974:14 *2012:14 0.00479664 -10 *1974:14 *2316:14 0.00248871 -11 *1974:14 *2392:8 0.00111496 -12 *2818:mgmt_gpio_out *1974:13 5.36925e-05 -13 *106:37 *1974:14 0.000645725 -*RES -1 *2818:pad_gpio_ana_en *1974:13 23.1889 -2 *1974:13 *1974:14 76.9926 -3 *1974:14 *2822:mprj_io_analog_en[28] 18.0541 -*END - -*D_NET *1975 0.00815292 -*CONN -*I *2822:mprj_io_analog_en[29] I *D chip_io -*I *2804:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_en[29] 0.000205775 -2 *2804:pad_gpio_ana_en 0.00134049 -3 *1975:18 0.00137772 -4 *1975:15 0.00207438 -5 *1975:12 0.00224292 -6 *2822:mprj_io_analog_en[29] *2822:mprj_io_dm[87] 0 -7 *1975:18 *2822:mprj_io_dm[88] 0 -8 *1975:18 *2822:mprj_io_slow_sel[29] 0 -9 *1975:18 *2279:10 0 -10 *1975:18 *2279:11 0 -11 *1975:18 *2604:10 0 -12 *641:12 *2822:mprj_io_analog_en[29] 0 -13 *641:12 *1975:18 0.000911644 -*RES -1 *2804:pad_gpio_ana_en *1975:12 42.996 -2 *1975:12 *1975:15 29.0714 -3 *1975:15 *1975:18 47.8572 -4 *1975:18 *2822:mprj_io_analog_en[29] 9.81119 -*END - -*D_NET *1976 0.0143005 -*CONN -*I *2822:mprj_io_analog_en[2] I *D chip_io -*I *2797:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_en[2] 0.000350751 -2 *2797:pad_gpio_ana_en 0.000379135 -3 *1976:20 0.000886682 -4 *1976:19 0.000679399 -5 *1976:16 0.00124552 -6 *1976:13 0.00148119 -7 *2822:mprj_io_analog_en[2] *2822:mprj_io_dm[6] 0 -8 *1976:13 *2014:13 0.000892829 -9 *1976:16 *2159:8 0.00121192 -10 *1976:19 *2822:mprj_io_oeb[2] 8.05684e-05 -11 *1976:19 *2159:13 3.94907e-05 -12 *1976:20 *2242:14 0.000205332 -13 *2797:mgmt_gpio_out *1976:13 0.000138686 -14 *110:52 *2822:mprj_io_analog_en[2] 0 -15 *110:52 *1976:16 0 -16 *626:66 *1976:20 2.01595e-05 -17 *650:56 *1976:20 0.00345874 -18 *669:20 *1976:20 0.00322582 -19 *669:30 *1976:13 4.3116e-06 -20 *1727:29 *1976:13 0 -*RES -1 *2797:pad_gpio_ana_en *1976:13 25.1831 -2 *1976:13 *1976:16 46.1962 -3 *1976:16 *1976:19 8.55102 -4 *1976:19 *1976:20 56.23 -5 *1976:20 *2822:mprj_io_analog_en[2] 17.3601 -*END - -*D_NET *1977 0.0127565 -*CONN -*I *2822:mprj_io_analog_en[30] I *D chip_io -*I *2805:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_en[30] 0.000102763 -2 *2805:pad_gpio_ana_en 0.000294354 -3 *1977:17 0.000637159 -4 *1977:8 0.00128765 -5 *1977:7 0.0010476 -6 *2822:mprj_io_analog_en[30] *2357:20 0 -7 *1977:7 *2015:7 0.000198952 -8 *1977:8 *2172:16 0.00156989 -9 *1977:8 *2433:8 0.00313591 -10 *1977:17 *2822:mprj_io_dm[90] 0 -11 *1977:17 *2822:mprj_io_slow_sel[30] 1.3813e-05 -12 *1977:17 *2319:13 5.04829e-06 -13 *1977:17 *2357:19 0.000103329 -14 *1977:17 *2433:8 4.10997e-05 -15 *2805:mgmt_gpio_out *1977:7 3.09106e-06 -16 *102:26 *1977:17 0.00173578 -17 *106:37 *1977:8 0.000500639 -18 *106:37 *1977:17 0.00016534 -19 *642:8 *1977:8 0 -20 *642:13 *1977:17 0.000110297 -21 *681:8 *1977:17 0.00180374 -*RES -1 *2805:pad_gpio_ana_en *1977:7 4.50284 -2 *1977:7 *1977:8 50.2089 -3 *1977:8 *1977:17 49.1769 -4 *1977:17 *2822:mprj_io_analog_en[30] 7.28463 -*END - -*D_NET *1978 0.01248 -*CONN -*I *2822:mprj_io_analog_en[31] I *D chip_io -*I *2806:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_en[31] 0.000260584 -2 *2806:pad_gpio_ana_en 0.000457448 -3 *1978:16 0.00117453 -4 *1978:15 0.00137139 -5 *2822:mprj_io_analog_en[31] *2358:12 0 -6 *1978:15 *2016:15 1.10565e-05 -7 *1978:15 *2054:15 0.000127932 -8 *1978:15 *2174:12 0.000119662 -9 *1978:16 *2822:mprj_io_dm[94] 0 -10 *1978:16 *2822:mprj_io_slow_sel[31] 0 -11 *1978:16 *2175:8 0.004247 -12 *1978:16 *2358:8 8.35615e-06 -13 *1978:16 *2358:12 0.000462947 -14 *1978:16 *2434:8 0.00354884 -15 *1978:16 *2606:13 0 -16 *2806:mgmt_gpio_out *1978:15 1.10565e-05 -17 *106:37 *1978:16 0.00067925 -*RES -1 *2806:pad_gpio_ana_en *1978:15 22.5678 -2 *1978:15 *1978:16 76.1621 -3 *1978:16 *2822:mprj_io_analog_en[31] 15.8357 -*END - -*D_NET *1979 0.0107081 -*CONN -*I *2822:mprj_io_analog_en[32] I *D chip_io -*I *2807:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_en[32] 0.000265951 -2 *2807:pad_gpio_ana_en 0.000363024 -3 *1979:8 0.00204064 -4 *1979:7 0.00213771 -5 *2822:mprj_io_analog_en[32] *2177:21 0 -6 *1979:7 *2017:7 0.000169336 -7 *1979:8 *2822:mprj_io_dm[97] 0 -8 *1979:8 *2822:mprj_io_slow_sel[32] 0 -9 *1979:8 *2177:16 1.69327e-05 -10 *1979:8 *2177:21 0.00214069 -11 *1979:8 *2283:10 0 -12 *1979:8 *2283:11 0 -13 *1979:8 *2473:8 0 -14 *1979:8 *2607:8 0 -15 *2807:mgmt_gpio_out *1979:7 5.02212e-05 -16 *106:31 *1979:8 0.00274191 -17 *619:20 *1979:8 0.000781717 -*RES -1 *2807:pad_gpio_ana_en *1979:7 4.50284 -2 *1979:7 *1979:8 79.2765 -3 *1979:8 *2822:mprj_io_analog_en[32] 15.975 -*END - -*D_NET *1980 0.0115389 -*CONN -*I *2822:mprj_io_analog_en[33] I *D chip_io -*I *2808:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_en[33] 0.000257051 -2 *2808:pad_gpio_ana_en 0.000998758 -3 *1980:16 0.000951981 -4 *1980:15 0.00169369 -5 *2822:mprj_io_analog_en[33] *2822:mprj_io_dm[99] 0 -6 *2822:mprj_io_analog_en[33] *2398:16 0 -7 *1980:15 *2018:15 0.000956242 -8 *1980:15 *2056:13 0.00134775 -9 *1980:15 *2284:11 0 -10 *1980:15 *2322:13 5.04829e-06 -11 *1980:16 *2822:mprj_io_dm[100] 0 -12 *1980:16 *2822:mprj_io_slow_sel[33] 0 -13 *1980:16 *2069:8 0.00255097 -14 *1980:16 *2398:16 0.000236262 -15 *1980:16 *2436:8 0.00185281 -16 *1980:16 *2608:7 0 -17 *2808:mgmt_gpio_out *1980:15 1.02886e-05 -18 *106:25 *1980:15 0.000266428 -19 *619:20 *1980:16 0.000411592 -*RES -1 *2808:pad_gpio_ana_en *1980:15 49.9744 -2 *1980:15 *1980:16 48.7555 -3 *1980:16 *2822:mprj_io_analog_en[33] 15.8357 -*END - -*D_NET *1981 0.0129583 -*CONN -*I *2822:mprj_io_analog_en[34] I *D chip_io -*I *2809:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_en[34] 0.000421644 -2 *2809:pad_gpio_ana_en 0.000554862 -3 *1981:8 0.00127158 -4 *1981:7 0.0014048 -5 *2822:mprj_io_analog_en[34] *2609:13 0 -6 *1981:7 *2019:7 0.000166365 -7 *1981:7 *2071:7 0 -8 *1981:8 *2019:8 0.000909006 -9 *1981:8 *2071:8 0 -10 *1981:8 *2073:16 0.00307189 -11 *1981:8 *2323:8 0.00451635 -12 *2809:mgmt_gpio_out *1981:7 4.03816e-05 -13 *619:20 *1981:8 0.000601465 -*RES -1 *2809:pad_gpio_ana_en *1981:7 4.88529 -2 *1981:7 *1981:8 79.2765 -3 *1981:8 *2822:mprj_io_analog_en[34] 18.6087 -*END - -*D_NET *1982 0.0113783 -*CONN -*I *2822:mprj_io_analog_en[35] I *D chip_io -*I *2783:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_en[35] 0.000567343 -2 *2783:pad_gpio_ana_en 0.000766577 -3 *1982:14 0.00218001 -4 *1982:13 0.00237924 -5 *2822:mprj_io_analog_en[35] *2822:mprj_io_dm[105] 0 -6 *2822:mprj_io_analog_en[35] *2074:23 0 -7 *1982:13 *2020:13 0.00153069 -8 *1982:13 *2248:8 0 -9 *1982:14 *2020:14 0.000916779 -10 *1982:14 *2286:11 0.00242582 -11 *2783:mgmt_gpio_out *1982:13 3.23036e-05 -12 *1745:13 *1982:14 0.000579555 -*RES -1 *2783:pad_gpio_ana_en *1982:13 33.9282 -2 *1982:13 *1982:14 69.1029 -3 *1982:14 *2822:mprj_io_analog_en[35] 21.9363 -*END - -*D_NET *1983 0.0142339 -*CONN -*I *2822:mprj_io_analog_en[36] I *D chip_io -*I *2784:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_en[36] 0.000234583 -2 *2784:pad_gpio_ana_en 0.000493899 -3 *1983:16 0.00160011 -4 *1983:15 0.0015399 -5 *1983:12 0.000668265 -6 *2822:mprj_io_analog_en[36] *2021:16 0 -7 *1983:12 *2021:12 0.00107637 -8 *1983:15 *2021:15 0.00254375 -9 *1983:15 *2059:15 0.00253955 -10 *1983:16 *2822:mprj_io_dm[109] 0 -11 *1983:16 *2822:mprj_io_slow_sel[36] 0 -12 *1983:16 *2021:16 0.000236262 -13 *1983:16 *2078:12 0.00330121 -14 *1983:16 *2287:13 0 -*RES -1 *2784:pad_gpio_ana_en *1983:12 25.4989 -2 *1983:12 *1983:15 31.2898 -3 *1983:15 *1983:16 60.7978 -4 *1983:16 *2822:mprj_io_analog_en[36] 15.104 -*END - -*D_NET *1984 0.0118548 -*CONN -*I *2822:mprj_io_analog_en[37] I *D chip_io -*I *2785:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_en[37] 0.000295965 -2 *2785:pad_gpio_ana_en 0.000327822 -3 *1984:8 0.00141379 -4 *1984:7 0.00144565 -5 *2822:mprj_io_analog_en[37] *2022:14 0 -6 *1984:7 *2822:mprj_io_analog_sel[37] 0 -7 *1984:7 *2022:13 0.000114713 -8 *1984:7 *2081:7 0 -9 *1984:8 *2822:mprj_io_analog_sel[37] 0.000614731 -10 *1984:8 *2022:13 0 -11 *1984:8 *2022:14 0 -12 *1984:8 *2081:8 0.000236262 -13 *1984:8 *2082:8 0.00446426 -14 *1984:8 *2440:16 0.00294164 -*RES -1 *2785:pad_gpio_ana_en *1984:7 4.57933 -2 *1984:7 *1984:8 79.2765 -3 *1984:8 *2822:mprj_io_analog_en[37] 16.3903 -*END - -*D_NET *1985 0.0181429 -*CONN -*I *2822:mprj_io_analog_en[3] I *D chip_io -*I *2798:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_en[3] 0.000549497 -2 *2798:pad_gpio_ana_en 0.000595294 -3 *1985:16 0.00139888 -4 *1985:15 0.00144468 -5 *2822:mprj_io_analog_en[3] *2822:mprj_io_dm[10] 0 -6 *2822:mprj_io_analog_en[3] *2822:mprj_io_dm[9] 0 -7 *1985:15 *2023:13 0.00191714 -8 *1985:15 *2061:15 0 -9 *1985:16 *2023:14 0.00012693 -10 *1985:16 *2079:14 0.000114237 -11 *1985:16 *2181:14 0.00552889 -12 *1985:16 *2289:11 0 -13 *1985:16 *2327:16 0.00506005 -14 *2797:resetn_out *1985:15 0.000104808 -15 *111:36 *2822:mprj_io_analog_en[3] 0 -16 *1736:25 *1985:15 0.00130248 -*RES -1 *2798:pad_gpio_ana_en *1985:15 39.2656 -2 *1985:15 *1985:16 91.9417 -3 *1985:16 *2822:mprj_io_analog_en[3] 22.7313 -*END - -*D_NET *1986 0.0192125 -*CONN -*I *2822:mprj_io_analog_en[4] I *D chip_io -*I *2799:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_en[4] 0.000512936 -2 *2799:pad_gpio_ana_en 0.000579395 -3 *1986:14 0.00134274 -4 *1986:13 0.0014092 -5 *2822:mprj_io_analog_en[4] *2822:mprj_io_dm[12] 0 -6 *1986:13 *2024:15 0.00111952 -7 *1986:13 *2062:13 4.15236e-05 -8 *1986:13 *2085:15 0 -9 *1986:13 *2366:8 2.08076e-05 -10 *1986:14 *2086:16 0.0065881 -11 *1986:14 *2442:14 0.00659528 -12 *116:49 *1986:14 0.00100298 -13 *671:14 *2822:mprj_io_analog_en[4] 0 -*RES -1 *2799:pad_gpio_ana_en *1986:13 27.4892 -2 *1986:13 *1986:14 105.23 -3 *1986:14 *2822:mprj_io_analog_en[4] 22.0756 -*END - -*D_NET *1987 0.0176054 -*CONN -*I *2822:mprj_io_analog_en[5] I *D chip_io -*I *2800:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_en[5] 0.000499428 -2 *2800:pad_gpio_ana_en 0.000672413 -3 *1987:14 0.00174151 -4 *1987:13 0.00191449 -5 *2822:mprj_io_analog_en[5] *2822:mprj_io_dm[15] 0 -6 *1987:13 *2025:13 3.26002e-05 -7 *1987:13 *2063:13 0.00144866 -8 *1987:13 *2253:8 0 -9 *1987:14 *2063:14 0 -10 *1987:14 *2088:14 0.00598247 -11 *1987:14 *2090:14 0.00432568 -12 *2800:mgmt_gpio_out *1987:13 2.45091e-05 -13 *115:64 *1987:14 0.000963661 -14 *672:14 *1987:14 0 -*RES -1 *2800:pad_gpio_ana_en *1987:13 32.7481 -2 *1987:13 *1987:14 98.5858 -3 *1987:14 *2822:mprj_io_analog_en[5] 22.3515 -*END - -*D_NET *1988 0.0151114 -*CONN -*I *2822:mprj_io_analog_en[6] I *D chip_io -*I *2801:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_en[6] 0.000326649 -2 *2801:pad_gpio_ana_en 0.000743734 -3 *1988:14 0.00186677 -4 *1988:13 0.00228386 -5 *2822:mprj_io_analog_en[6] *2822:mprj_io_dm[19] 0.00070508 -6 *1988:13 *2026:13 3.84497e-05 -7 *1988:13 *2368:8 0.000383985 -8 *1988:14 *2026:14 0.00528939 -9 *1988:14 *2091:14 0 -10 *1988:14 *2092:14 0 -11 *654:59 *1988:14 0.00167723 -12 *655:45 *1988:14 0.000797342 -13 *673:14 *1988:14 0 -14 *1739:26 *1988:13 0.000998917 -*RES -1 *2801:pad_gpio_ana_en *1988:13 36.682 -2 *1988:13 *1988:14 91.9417 -3 *1988:14 *2822:mprj_io_analog_en[6] 20.1331 -*END - -*D_NET *1989 0.0583123 -*CONN -*I *2822:mprj_io_analog_en[7] I *D chip_io -*I *2802:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_en[7] 0.000570537 -2 *2802:pad_gpio_ana_en 0.000473625 -3 *1989:14 0.00511815 -4 *1989:13 0.00502124 -5 *2822:mprj_io_analog_en[7] *2822:mprj_io_dm[21] 0 -6 *2822:mprj_io_analog_en[7] *2590:9 0.000171079 -7 *1989:13 *2027:13 0.00126085 -8 *1989:14 *2027:14 0.000769369 -9 *1989:14 *2095:8 0.000254382 -10 *1989:14 *2096:14 0.00787341 -11 *1989:14 *2098:14 0.00968668 -12 *1989:14 *2256:10 0.00132849 -13 *1989:14 *2407:14 0.0252628 -14 *2802:mgmt_gpio_out *1989:13 3.27908e-05 -15 *655:51 *1989:13 0.000246801 -16 *674:10 *1989:13 0.000242125 -*RES -1 *2802:pad_gpio_ana_en *1989:13 31.2893 -2 *1989:13 *1989:14 429.126 -3 *1989:14 *2822:mprj_io_analog_en[7] 22.4909 -*END - -*D_NET *1990 0.0592631 -*CONN -*I *2822:mprj_io_analog_en[8] I *D chip_io -*I *2786:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_en[8] 0.000954267 -2 *2786:pad_gpio_ana_en 0.00349194 -3 *1990:29 0.00148421 -4 *1990:19 0.000600132 -5 *1990:14 0.00311411 -6 *1990:13 0.00653585 -7 *2822:mprj_io_analog_en[8] *2822:mprj_io_analog_pol[8] 2.6506e-05 -8 *2822:mprj_io_analog_en[8] *2822:mprj_io_dm[24] 0 -9 *2822:mprj_io_analog_en[8] *2822:mprj_io_dm[25] 0 -10 *2822:mprj_io_analog_en[8] *2822:mprj_io_slow_sel[8] 0 -11 *2822:mprj_io_analog_en[8] *2028:14 0.00118624 -12 *2822:mprj_io_analog_en[8] *2294:10 0 -13 *2822:mprj_io_analog_en[8] *2601:10 0 -14 *2822:mprj_io_analog_en[8] *2601:13 0.000601118 -15 *1990:13 *2822:mprj_io_analog_sel[7] 0.00902032 -16 *1990:13 *2028:13 0.0170376 -17 *1990:13 *2066:8 2.40249e-06 -18 *1990:13 *2255:8 0 -19 *1990:14 *2028:14 0.00842723 -20 *1990:14 *2100:10 0.0011913 -21 *1990:14 *2103:8 0 -22 *1990:19 *2103:13 5.04829e-06 -23 *1990:29 *2028:14 0.00253686 -24 *1990:29 *2100:10 0.000404445 -25 *1990:29 *2103:23 9.83988e-05 -26 *1990:29 *2446:18 0.00254513 -27 *676:20 *1990:14 0 -*RES -1 *2786:pad_gpio_ana_en *1990:13 37.9901 -2 *1990:13 *1990:14 135.751 -3 *1990:14 *1990:19 10.278 -4 *1990:19 *1990:29 47.8114 -5 *1990:29 *2822:mprj_io_analog_en[8] 45.8365 -*END - -*D_NET *1991 0.0601745 -*CONN -*I *2822:mprj_io_analog_en[9] I *D chip_io -*I *2788:pad_gpio_ana_en O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_en[9] 0.00039104 -2 *2788:pad_gpio_ana_en 0.0033715 -3 *1991:14 0.003055 -4 *1991:13 0.00603547 -5 *2822:mprj_io_analog_en[9] *2611:11 0 -6 *1991:13 *2029:13 0.0173336 -7 *1991:13 *2066:8 0.000875525 -8 *1991:13 *2067:13 8.00779e-06 -9 *1991:14 *2067:14 1.23804e-05 -10 *1991:14 *2067:18 0.00203649 -11 *1991:14 *2259:11 0.00851289 -12 *1991:14 *2295:11 0 -13 *1991:14 *2297:8 0.00124056 -14 *1991:14 *2371:8 0.000447726 -15 *1991:14 *2409:30 0.0105349 -16 *2788:serial_clock_out *1991:13 0.00631948 -*RES -1 *2788:pad_gpio_ana_en *1991:13 38.6568 -2 *1991:13 *1991:14 203.022 -3 *1991:14 *2822:mprj_io_analog_en[9] 18.0541 -*END - -*D_NET *1992 0.0162777 -*CONN -*I *2822:mprj_io_analog_pol[0] I *D chip_io -*I *2781:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_pol[0] 0.000487385 -2 *2781:pad_gpio_ana_pol 0.000738763 -3 *1992:14 0.00133521 -4 *1992:13 0.00158659 -5 *2822:mprj_io_analog_pol[0] *2822:mprj_io_dm[0] 0 -6 *2822:mprj_io_analog_pol[0] *2822:mprj_io_inp_dis[0] 0 -7 *1992:13 *2030:13 2.72062e-05 -8 *1992:13 *2104:15 0 -9 *1992:13 *2220:13 0 -10 *1992:13 *2334:8 4.05974e-05 -11 *1992:14 *2068:14 0.00012693 -12 *1992:14 *2296:14 0.00590433 -13 *1992:14 *2448:14 0 -14 *1954:13 *1992:13 2.72062e-05 -15 *1954:14 *1992:14 0.00600344 -*RES -1 *2781:pad_gpio_ana_pol *1992:13 28.6585 -2 *1992:13 *1992:14 98.5858 -3 *1992:14 *2822:mprj_io_analog_pol[0] 21.9363 -*END - -*D_NET *1993 0.0612905 -*CONN -*I *2822:mprj_io_analog_pol[10] I *D chip_io -*I *2789:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_pol[10] 0.000297454 -2 *2789:pad_gpio_ana_pol 3.60638e-05 -3 *1993:8 0.00455086 -4 *1993:7 0.00428947 -5 *1993:8 *2031:8 0.00879493 -6 *1993:8 *2032:8 0.011732 -7 *2788:serial_clock_out *1993:8 5.47006e-05 -8 *2822:mprj_io_analog_en[10] *2822:mprj_io_analog_pol[10] 0.00054759 -9 *1955:8 *1993:8 0.0309874 -*RES -1 *2789:pad_gpio_ana_pol *1993:7 0.51465 -2 *1993:7 *1993:8 61.4316 -3 *1993:8 *2822:mprj_io_analog_pol[10] 5.85321 -*END - -*D_NET *1994 0.0695354 -*CONN -*I *2822:mprj_io_analog_pol[11] I *D chip_io -*I *2790:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_pol[11] 0.000757797 -2 *2790:pad_gpio_ana_pol 0.00253696 -3 *1994:14 0.00247449 -4 *1994:13 0.00425365 -5 *2822:mprj_io_analog_pol[11] *2822:mprj_io_inp_dis[11] 0 -6 *2822:mprj_io_analog_pol[11] *2261:19 0.00145279 -7 *2822:mprj_io_analog_pol[11] *2374:8 0.000225478 -8 *2822:mprj_io_analog_pol[11] *2413:14 2.01595e-05 -9 *2822:mprj_io_analog_pol[11] *2413:20 0.0013971 -10 *2822:mprj_io_analog_pol[11] *2613:13 0 -11 *1994:13 *2032:8 0.0012479 -12 *1994:14 *2033:14 0 -13 *1994:14 *2260:11 1.87269e-05 -14 *1994:14 *2261:19 2.01595e-05 -15 *1994:14 *2261:23 0.0016509 -16 *1994:14 *2374:8 0.00185622 -17 *1994:14 *2375:14 6.24797e-05 -18 *1994:14 *2412:14 0.0102683 -19 *1994:14 *2413:14 0.00729757 -20 *1955:8 *1994:13 0.011963 -21 *1956:13 *1994:13 0.0178493 -22 *1956:14 *1994:14 0.00418243 -*RES -1 *2790:pad_gpio_ana_pol *1994:13 38.4707 -2 *1994:13 *1994:14 192.848 -3 *1994:14 *2822:mprj_io_analog_pol[11] 44.7152 -*END - -*D_NET *1995 0.0519954 -*CONN -*I *2822:mprj_io_analog_pol[12] I *D chip_io -*I *2791:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_pol[12] 0.000636965 -2 *2791:pad_gpio_ana_pol 0.00103243 -3 *1995:26 0.00428382 -4 *1995:25 0.00909984 -5 *1995:19 0.00648541 -6 *2822:mprj_io_analog_pol[12] *2822:mprj_io_dm[36] 0 -7 *2822:mprj_io_analog_pol[12] *2822:mprj_io_inp_dis[12] 0 -8 *2822:mprj_io_analog_pol[12] *2614:11 0 -9 *1995:19 *2032:8 0.000184845 -10 *1995:19 *2033:13 2.89493e-05 -11 *1995:19 *2111:13 0 -12 *1995:19 *2112:13 0 -13 *1995:19 *2299:13 0 -14 *1995:25 *2032:8 0.0116346 -15 *1995:25 *2113:8 0 -16 *1995:26 *2116:14 0.0101199 -17 *1995:26 *2186:14 0.00255457 -18 *1995:26 *2300:20 0.00125814 -19 *1995:26 *2375:14 0 -20 *663:14 *1995:26 0.00253483 -21 *1957:17 *1995:19 0.000156881 -22 *1957:23 *1995:25 0.00198434 -*RES -1 *2791:pad_gpio_ana_pol *1995:19 41.6424 -2 *1995:19 *1995:25 35.5842 -3 *1995:25 *1995:26 211.742 -4 *1995:26 *2822:mprj_io_analog_pol[12] 22.7696 -*END - -*D_NET *1996 0.0825524 -*CONN -*I *2822:mprj_io_analog_pol[13] I *D chip_io -*I *2792:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_pol[13] 0.000430418 -2 *2792:pad_gpio_ana_pol 0.00600272 -3 *1996:14 0.00635044 -4 *1996:13 0.0119227 -5 *2822:mprj_io_analog_pol[13] *2822:mprj_io_dm[39] 0 -6 *2822:mprj_io_analog_pol[13] *2615:13 0 -7 *1996:14 *2186:20 0 -8 *1996:14 *2186:32 0 -9 *1996:14 *2300:32 0.0143604 -10 *1958:13 *1996:13 0.0166498 -11 *1958:14 *1996:14 0.0268359 -*RES -1 *2792:pad_gpio_ana_pol *1996:13 39.6111 -2 *1996:13 *1996:14 470.444 -3 *1996:14 *2822:mprj_io_analog_pol[13] 19.5785 -*END - -*D_NET *1997 0.0246179 -*CONN -*I *2822:mprj_io_analog_pol[14] I *D chip_io -*I *2793:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_pol[14] 0.000398613 -2 *2793:pad_gpio_ana_pol 0.000537906 -3 *1997:16 0.00203562 -4 *1997:15 0.00217491 -5 *2822:mprj_io_analog_pol[14] *2822:mprj_io_dm[42] 0 -6 *2822:mprj_io_analog_pol[14] *2822:mprj_io_inp_dis[14] 0 -7 *2822:mprj_io_analog_pol[14] *2616:11 0 -8 *1997:15 *2035:13 4.69062e-05 -9 *1997:15 *2118:15 0 -10 *1997:16 *2118:16 0.00968941 -11 *1997:16 *2119:14 0.00869605 -12 *1997:16 *2120:16 0 -13 *1997:16 *2301:16 0.000152805 -14 *1959:13 *1997:15 0.000448386 -15 *1959:14 *1997:16 0.000437312 -*RES -1 *2793:pad_gpio_ana_pol *1997:15 27.9088 -2 *1997:15 *1997:16 163.78 -3 *1997:16 *2822:mprj_io_analog_pol[14] 18.4693 -*END - -*D_NET *1998 0.0867234 -*CONN -*I *2822:mprj_io_analog_pol[15] I *D chip_io -*I *2794:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_pol[15] 0.000433233 -2 *2794:pad_gpio_ana_pol 0.00120513 -3 *1998:23 0.00842674 -4 *1998:22 0.00872957 -5 *1998:17 0.00212948 -6 *1998:16 0.00220917 -7 *1998:13 0.00202089 -8 *2822:mprj_io_analog_pol[15] *2822:mprj_io_inp_dis[15] 0 -9 *1998:13 *2264:13 0 -10 *1998:13 *2416:11 0.000113289 -11 *1998:17 *2188:17 0.00712075 -12 *1998:22 *2188:25 0.00026104 -13 *1998:22 *2340:20 0.000206816 -14 *1998:22 *2416:20 0.000283455 -15 *1998:23 *2036:23 0.00114883 -16 *1998:23 *2122:23 0.0389726 -17 *1998:23 *2264:10 0.0108171 -18 *2794:resetn *1998:16 2.02035e-05 -19 *2794:resetn_out *1998:16 0 -20 *2794:serial_clock *1998:16 0 -21 *1960:23 *1998:17 0.00234327 -22 *1960:28 *1998:22 0.000281884 -*RES -1 *2794:pad_gpio_ana_pol *1998:13 44.7448 -2 *1998:13 *1998:16 26.2641 -3 *1998:16 *1998:17 85.0231 -4 *1998:17 *1998:22 35.654 -5 *1998:22 *1998:23 52.5986 -6 *1998:23 *2822:mprj_io_analog_pol[15] 14.7027 -*END - -*D_NET *1999 0.0389788 -*CONN -*I *2822:mprj_io_analog_pol[16] I *D chip_io -*I *2795:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_pol[16] 0.000662669 -2 *2795:pad_gpio_ana_pol 7.83259e-05 -3 *1999:17 0.00148565 -4 *1999:16 0.00107059 -5 *1999:13 0.00116209 -6 *1999:10 0.000992805 -7 *2822:mprj_io_analog_pol[16] *2822:mprj_io_dm[48] 0.00615143 -8 *2822:mprj_io_analog_pol[16] *2822:mprj_io_holdover[16] 0.00330232 -9 *2822:mprj_io_analog_pol[16] *2303:22 0.000705674 -10 *2822:mprj_io_analog_pol[16] *2379:25 3.46002e-05 -11 *1999:13 *2795:pad_gpio_in 0.00144585 -12 *1999:13 *2189:11 0 -13 *1999:13 *2303:16 0.00207894 -14 *1999:16 *2303:16 0.000178932 -15 *1999:17 *2303:17 0.00895146 -16 *2795:resetn *1999:13 0 -17 *2822:mprj_io_analog_en[16] *2822:mprj_io_analog_pol[16] 0.0021113 -18 *107:13 *1999:13 0.000171456 -19 *647:13 *1999:13 0.000127162 -20 *1961:17 *1999:17 0.00826752 -*RES -1 *2795:pad_gpio_ana_pol *1999:10 8.38708 -2 *1999:10 *1999:13 47.9279 -3 *1999:13 *1999:16 13.3913 -4 *1999:16 *1999:17 95.006 -5 *1999:17 *2822:mprj_io_analog_pol[16] 35.2567 -*END - -*D_NET *2000 0.0546504 -*CONN -*I *2822:mprj_io_analog_pol[17] I *D chip_io -*I *2796:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_pol[17] 0.000389544 -2 *2796:pad_gpio_ana_pol 6.13534e-05 -3 *2000:23 0.00305312 -4 *2000:22 0.00266357 -5 *2000:20 0.00121415 -6 *2000:19 0.00172827 -7 *2000:16 0.00122841 -8 *2000:11 0.00167972 -9 *2000:10 0.00102678 -10 *2822:mprj_io_analog_pol[17] *2822:mprj_io_dm[51] 0 -11 *2000:11 *2038:11 0.00999195 -12 *2000:11 *2128:11 0.00466304 -13 *2000:16 *2128:14 0 -14 *2000:19 *2038:19 0.00228913 -15 *2000:20 *2821:analog_io[11] 0.00150913 -16 *2000:20 *2038:20 0.00074193 -17 *2000:23 *2822:mprj_io_dm[51] 0.00272025 -18 *2000:23 *2266:8 0.00643795 -19 *2000:23 *2418:23 0.00384201 -20 *647:17 *2000:23 0.0022549 -21 *1962:11 *2000:11 0.000282235 -22 *1962:16 *2000:16 0.000698604 -23 *1962:23 *2000:23 0.00617442 -*RES -1 *2796:pad_gpio_ana_pol *2000:10 8.38708 -2 *2000:10 *2000:11 112.199 -3 *2000:11 *2000:16 34.0861 -4 *2000:16 *2000:19 28.5167 -5 *2000:19 *2000:20 49.586 -6 *2000:20 *2000:22 4.5 -7 *2000:22 *2000:23 212.582 -8 *2000:23 *2822:mprj_io_analog_pol[17] 14.7592 -*END - -*D_NET *2001 0.031167 -*CONN -*I *2822:mprj_io_analog_pol[18] I *D chip_io -*I *2787:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_pol[18] 0.000910986 -2 *2787:pad_gpio_ana_pol 0.0001008 -3 *2001:14 0.00296308 -4 *2001:13 0.00205209 -5 *2001:11 0.0033264 -6 *2001:10 0.0034272 -7 *2822:mprj_io_analog_pol[18] *2822:mprj_io_dm[54] 0 -8 *2822:mprj_io_analog_pol[18] *2822:mprj_io_dm[55] 0.00345878 -9 *2822:mprj_io_analog_pol[18] *2822:mprj_io_inp_dis[18] 0.00779649 -10 *2001:11 *2131:11 0.00567235 -11 *2001:14 *2822:mprj_io_dm[56] 0 -12 *2001:14 *2039:14 0.00112589 -13 *2001:14 *2132:14 0 -14 *1963:11 *2001:11 0.000332911 -*RES -1 *2787:pad_gpio_ana_pol *2001:10 8.46357 -2 *2001:10 *2001:11 143.811 -3 *2001:11 *2001:13 4.5 -4 *2001:13 *2001:14 69.3105 -5 *2001:14 *2822:mprj_io_analog_pol[18] 24.5972 -*END - -*D_NET *2002 0.0188117 -*CONN -*I *2822:mprj_io_analog_pol[19] I *D chip_io -*I *2803:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_pol[19] 0.000133521 -2 *2803:pad_gpio_ana_pol 0.000256864 -3 *2002:11 0.000724656 -4 *2002:10 0.000847999 -5 *2002:10 *2040:10 0 -6 *2002:10 *2192:12 2.31947e-05 -7 *2002:11 *2822:mprj_io_inp_dis[19] 0.000960687 -8 *2002:11 *2040:11 0.0083834 -9 *2002:11 *2134:11 0.000482966 -10 *2002:11 *2135:11 0.0069984 -11 *2002:11 *2192:13 0 -12 *1964:10 *2002:10 0 -*RES -1 *2803:pad_gpio_ana_pol *2002:10 11.7091 -2 *2002:10 *2002:11 87.7962 -3 *2002:11 *2822:mprj_io_analog_pol[19] 7.21135 -*END - -*D_NET *2003 0.0132779 -*CONN -*I *2822:mprj_io_analog_pol[1] I *D chip_io -*I *2782:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_pol[1] 0.000459872 -2 *2782:pad_gpio_ana_pol 0.00053903 -3 *2003:14 0.00209872 -4 *2003:13 0.00217787 -5 *2822:mprj_io_analog_pol[1] *2822:mprj_io_inp_dis[1] 0 -6 *2003:13 *2041:13 0.000206486 -7 *2003:13 *2115:13 0 -8 *2003:13 *2345:8 7.93673e-05 -9 *2003:14 *2041:14 0 -10 *2003:14 *2193:14 0 -11 *2003:14 *2307:14 0.00584716 -12 *2003:14 *2459:14 0.00120483 -13 *1965:13 *2003:13 0.000550368 -14 *1965:14 *2003:14 0.000114237 -*RES -1 *2782:pad_gpio_ana_pol *2003:13 28.4562 -2 *2003:13 *2003:14 97.34 -3 *2003:14 *2822:mprj_io_analog_pol[1] 21.3817 -*END - -*D_NET *2004 0.0155699 -*CONN -*I *2822:mprj_io_analog_pol[20] I *D chip_io -*I *2810:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_pol[20] 0.000102401 -2 *2810:pad_gpio_ana_pol 0.000437379 -3 *2004:17 0.00167573 -4 *2004:16 0.00198906 -5 *2004:13 0.000853112 -6 *2004:13 *2042:13 0.000556925 -7 *2004:13 *2138:13 0.000129801 -8 *2004:13 *2140:13 0.00202584 -9 *2004:13 *2232:13 0.000967899 -10 *2004:16 *2822:mprj_io_dm[60] 0.000652311 -11 *2004:16 *2822:mprj_io_dm[61] 0.000637148 -12 *2004:17 *2822:mprj_io_dm[60] 0.00156603 -13 *2004:17 *2308:23 0.000333162 -14 *2822:mprj_io_analog_en[20] *2004:17 0.00362102 -15 *110:17 *2004:17 0 -16 *1966:11 *2004:13 2.20702e-05 -*RES -1 *2810:pad_gpio_ana_pol *2004:13 38.6441 -2 *2004:13 *2004:16 27.0946 -3 *2004:16 *2004:17 62.2844 -4 *2004:17 *2822:mprj_io_analog_pol[20] 6.93045 -*END - -*D_NET *2005 0.0158775 -*CONN -*I *2822:mprj_io_analog_pol[21] I *D chip_io -*I *2811:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_pol[21] 0.000149926 -2 *2811:pad_gpio_ana_pol 0.00068647 -3 *2005:17 0.000974851 -4 *2005:16 0.00130133 -5 *2005:13 0.00116288 -6 *2005:13 *2043:13 0.00035468 -7 *2005:13 *2143:13 0.00154787 -8 *2005:16 *2043:16 0.00126304 -9 *2005:17 *2822:mprj_io_dm[64] 6.11359e-06 -10 *2005:17 *2822:mprj_io_slow_sel[21] 0.000375267 -11 *2005:17 *2043:17 0.000110786 -12 *2005:17 *2143:23 0.000197808 -13 *2005:17 *2195:17 0.00590191 -14 *2005:17 *2271:15 0.000532369 -15 *2822:mprj_io_analog_en[21] *2005:17 0.00129416 -16 *111:63 *2005:17 1.80122e-05 -*RES -1 *2811:pad_gpio_ana_pol *2005:13 37.5349 -2 *2005:13 *2005:16 25.0183 -3 *2005:16 *2005:17 63.3936 -4 *2005:17 *2822:mprj_io_analog_pol[21] 7.3457 -*END - -*D_NET *2006 0.0220978 -*CONN -*I *2822:mprj_io_analog_pol[22] I *D chip_io -*I *2812:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_pol[22] 0.00020438 -2 *2812:pad_gpio_ana_pol 0.000822524 -3 *2006:23 0.000626413 -4 *2006:22 0.000422033 -5 *2006:20 0.00141578 -6 *2006:19 0.00223831 -7 *2822:mprj_io_analog_pol[22] *2822:mprj_io_dm[66] 0 -8 *2822:mprj_io_analog_pol[22] *2144:19 0 -9 *2822:mprj_io_analog_pol[22] *2146:17 1.84293e-05 -10 *2006:19 *2044:13 2.15184e-05 -11 *2006:19 *2144:11 9.16621e-05 -12 *2006:19 *2145:13 0.00133965 -13 *2006:19 *2145:16 1.91391e-05 -14 *2006:19 *2234:17 1.98294e-05 -15 *2006:19 *2272:21 1.57662e-05 -16 *2006:20 *2144:14 0.00159318 -17 *2006:23 *2822:mprj_io_inp_dis[22] 0.000386906 -18 *2006:23 *2144:19 0.00457626 -19 *2006:23 *2146:17 1.70293e-05 -20 *652:19 *2822:mprj_io_analog_pol[22] 1.00981e-05 -21 *652:19 *2006:23 0.00494157 -22 *1968:19 *2006:19 0.00331734 -*RES -1 *2812:pad_gpio_ana_pol *2006:19 37.887 -2 *2006:19 *2006:20 53.5309 -3 *2006:20 *2006:22 4.5 -4 *2006:22 *2006:23 52.3015 -5 *2006:23 *2822:mprj_io_analog_pol[22] 9.33864 -*END - -*D_NET *2007 0.0202033 -*CONN -*I *2822:mprj_io_analog_pol[23] I *D chip_io -*I *2813:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_pol[23] 0.000948447 -2 *2813:pad_gpio_ana_pol 0.000333594 -3 *2007:13 0.00128204 -4 *2822:mprj_io_analog_pol[23] *2822:mprj_io_analog_sel[23] 0.000686467 -5 *2822:mprj_io_analog_pol[23] *2822:mprj_io_dm[70] 0.00138132 -6 *2822:mprj_io_analog_pol[23] *2822:mprj_io_dm[71] 0.000628643 -7 *2822:mprj_io_analog_pol[23] *2822:mprj_io_holdover[23] 0.00500575 -8 *2822:mprj_io_analog_pol[23] *2822:mprj_io_inp_dis[23] 0.00488447 -9 *2007:13 *2045:13 0.00245491 -10 *2007:13 *2149:13 0.00255691 -11 *1969:11 *2007:13 4.0752e-05 -*RES -1 *2813:pad_gpio_ana_pol *2007:13 40.786 -2 *2007:13 *2822:mprj_io_analog_pol[23] 40.1133 -*END - -*D_NET *2008 0.0225715 -*CONN -*I *2822:mprj_io_analog_pol[24] I *D chip_io -*I *2814:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_pol[24] 0.000442216 -2 *2814:pad_gpio_ana_pol 0.000493593 -3 *2008:8 0.00413708 -4 *2008:7 0.00418846 -5 *2822:mprj_io_analog_pol[24] *2822:mprj_io_dm[72] 0 -6 *2008:7 *2046:7 0.000295006 -7 *2008:8 *2814:user_gpio_oeb 0.000870918 -8 *2008:8 *2046:8 0.00959117 -9 *2008:8 *2151:8 0.000578973 -10 *618:34 *2008:8 0.00170515 -11 *1970:7 *2008:7 0.000268918 -12 *1970:8 *2008:8 0 -*RES -1 *2814:pad_gpio_ana_pol *2008:7 5.26774 -2 *2008:7 *2008:8 175.615 -3 *2008:8 *2822:mprj_io_analog_pol[24] 20.1359 -*END - -*D_NET *2009 0.0121295 -*CONN -*I *2822:mprj_io_analog_pol[25] I *D chip_io -*I *2815:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_pol[25] 0.000709909 -2 *2815:pad_gpio_ana_pol 0.000798594 -3 *2009:28 0.00156265 -4 *2009:16 0.00207147 -5 *2009:13 0.00201732 -6 *2822:mprj_io_analog_pol[25] *2822:mprj_io_dm[75] 0 -7 *2822:mprj_io_analog_pol[25] *2822:mprj_io_inp_dis[25] 0 -8 *2822:mprj_io_analog_pol[25] *2156:23 6.55232e-05 -9 *2009:13 *2047:13 4.2387e-05 -10 *2009:13 *2275:11 1.66771e-05 -11 *2009:13 *2351:16 7.86825e-06 -12 *2009:28 *2237:14 0.000175149 -13 *2009:28 *2599:13 0.0012313 -14 *2822:mprj_io_analog_en[25] *2009:13 4.70618e-05 -15 *102:49 *2009:16 0.000466331 -16 *102:49 *2009:28 0.000343944 -17 *115:36 *2009:28 0.00116115 -18 *633:29 *2009:16 0.00141212 -19 *633:29 *2009:28 0 -*RES -1 *2815:pad_gpio_ana_pol *2009:13 27.0739 -2 *2009:13 *2009:16 47.7662 -3 *2009:16 *2009:28 48.6267 -4 *2009:28 *2822:mprj_io_analog_pol[25] 19.5154 -*END - -*D_NET *2010 0.0138093 -*CONN -*I *2822:mprj_io_analog_pol[26] I *D chip_io -*I *2816:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_pol[26] 0.000402758 -2 *2816:pad_gpio_ana_pol 0.000601382 -3 *2010:16 0.0008825 -4 *2010:15 0.000952275 -5 *2010:12 0.00107392 -6 *2822:mprj_io_analog_pol[26] *2822:mprj_io_dm[78] 0 -7 *2822:mprj_io_analog_pol[26] *2160:17 5.04829e-06 -8 *2822:mprj_io_analog_pol[26] *2238:33 1.44113e-05 -9 *2010:12 *2048:7 1.66948e-05 -10 *2010:12 *2276:11 0.000500617 -11 *2010:12 *2600:19 0.00017947 -12 *2010:16 *2158:8 0.0024938 -13 *116:22 *2010:16 0.000878945 -14 *617:14 *2822:mprj_io_analog_pol[26] 0 -15 *632:20 *2010:16 0.00341621 -16 *656:13 *2010:16 0.00050095 -17 *695:28 *2010:12 0 -18 *1972:12 *2010:12 0.00187162 -19 *1972:19 *2010:16 1.87125e-05 -*RES -1 *2816:pad_gpio_ana_pol *2010:12 40.9397 -2 *2010:12 *2010:15 14.0971 -3 *2010:15 *2010:16 54.9843 -4 *2010:16 *2822:mprj_io_analog_pol[26] 19.0239 -*END - -*D_NET *2011 0.0142922 -*CONN -*I *2822:mprj_io_analog_pol[27] I *D chip_io -*I *2817:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_pol[27] 0.000378873 -2 *2817:pad_gpio_ana_pol 0.000330183 -3 *2011:8 0.00133992 -4 *2011:7 0.00129123 -5 *2822:mprj_io_analog_pol[27] *2822:mprj_io_dm[81] 0 -6 *2822:mprj_io_analog_pol[27] *2822:mprj_io_inp_dis[27] 0 -7 *2822:mprj_io_analog_pol[27] *2353:16 0 -8 *2011:7 *2049:7 0.000215751 -9 *2011:8 *2049:8 1.87125e-05 -10 *2011:8 *2162:19 0.00244485 -11 *2011:8 *2163:24 0.00156278 -12 *2011:8 *2429:8 0.00390251 -13 *106:37 *2011:8 0.000403321 -14 *117:21 *2011:8 0.00026938 -15 *117:22 *2011:8 0.00068251 -16 *634:14 *2011:8 0.00105054 -17 *657:19 *2011:8 0.000185867 -18 *1973:7 *2011:7 0.000215751 -*RES -1 *2817:pad_gpio_ana_pol *2011:7 4.73231 -2 *2011:7 *2011:8 89.2426 -3 *2011:8 *2822:mprj_io_analog_pol[27] 17.9147 -*END - -*D_NET *2012 0.0149331 -*CONN -*I *2822:mprj_io_analog_pol[28] I *D chip_io -*I *2818:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_pol[28] 0.000431178 -2 *2818:pad_gpio_ana_pol 0.000549537 -3 *2012:14 0.00120957 -4 *2012:13 0.00132793 -5 *2822:mprj_io_analog_pol[28] *2822:mprj_io_dm[84] 0 -6 *2822:mprj_io_analog_pol[28] *2822:mprj_io_inp_dis[28] 0 -7 *2822:mprj_io_analog_pol[28] *2050:41 5.04829e-06 -8 *2822:mprj_io_analog_pol[28] *2240:20 0 -9 *2012:13 *2164:7 0 -10 *2012:13 *2278:11 0.000119662 -11 *2012:13 *2354:13 5.20546e-06 -12 *2012:14 *2164:8 0.00523434 -13 *2012:14 *2316:14 0.000218663 -14 *2012:14 *2354:13 0.000107729 -15 *2012:14 *2392:8 1.28326e-05 -16 *106:37 *2012:14 0.00070493 -17 *634:74 *2012:14 1.87125e-05 -18 *658:36 *2012:14 0.000137372 -19 *1974:13 *2012:13 5.36925e-05 -20 *1974:14 *2012:14 0.00479664 -*RES -1 *2818:pad_gpio_ana_pol *2012:13 23.7435 -2 *2012:13 *2012:14 86.9587 -3 *2012:14 *2822:mprj_io_analog_pol[28] 19.0239 -*END - -*D_NET *2013 0.0151085 -*CONN -*I *2822:mprj_io_analog_pol[29] I *D chip_io -*I *2804:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_pol[29] 0.000410691 -2 *2804:pad_gpio_ana_pol 0.000467265 -3 *2013:10 0.00116167 -4 *2013:9 0.00121824 -5 *2822:mprj_io_analog_pol[29] *2822:mprj_io_dm[87] 0 -6 *2013:9 *2051:9 0.000247249 -7 *2013:10 *2203:34 1.23455e-05 -8 *2013:10 *2241:38 0.00371287 -9 *2013:10 *2393:10 0.0016983 -10 *2804:mgmt_gpio_out *2013:9 0 -11 *106:37 *2013:10 0.000730323 -12 *617:70 *2013:10 0.00544955 -13 *641:12 *2822:mprj_io_analog_pol[29] 0 -*RES -1 *2804:pad_gpio_ana_pol *2013:9 5.02829 -2 *2013:9 *2013:10 87.5816 -3 *2013:10 *2822:mprj_io_analog_pol[29] 19.0239 -*END - -*D_NET *2014 0.0168195 -*CONN -*I *2822:mprj_io_analog_pol[2] I *D chip_io -*I *2797:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_pol[2] 0.000539719 -2 *2797:pad_gpio_ana_pol 0.000779196 -3 *2014:14 0.00129011 -4 *2014:13 0.00152959 -5 *2822:mprj_io_analog_pol[2] *2822:mprj_io_dm[6] 0 -6 *2014:13 *2052:13 0.000158111 -7 *2014:14 *2148:14 0.00574954 -8 *2014:14 *2280:11 0.000152316 -9 *2014:14 *2318:14 0.00544567 -10 *110:52 *2822:mprj_io_analog_pol[2] 0 -11 *669:30 *2014:13 0.000282456 -12 *1727:29 *2014:13 0 -13 *1976:13 *2014:13 0.000892829 -*RES -1 *2797:pad_gpio_ana_pol *2014:13 35.6549 -2 *2014:13 *2014:14 91.9417 -3 *2014:14 *2822:mprj_io_analog_pol[2] 21.2451 -*END - -*D_NET *2015 0.0156517 -*CONN -*I *2822:mprj_io_analog_pol[30] I *D chip_io -*I *2805:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_pol[30] 0.000437742 -2 *2805:pad_gpio_ana_pol 0.000415735 -3 *2015:8 0.00119641 -4 *2015:7 0.0011744 -5 *2822:mprj_io_analog_pol[30] *2822:mprj_io_inp_dis[30] 0 -6 *2822:mprj_io_analog_pol[30] *2357:20 0 -7 *2015:7 *2053:7 0.000267524 -8 *2015:8 *2173:14 0.00560835 -9 *2015:8 *2357:19 0.000102523 -10 *106:37 *2015:8 0.00064172 -11 *618:8 *2015:8 0.00560835 -12 *1977:7 *2015:7 0.000198952 -*RES -1 *2805:pad_gpio_ana_pol *2015:7 5.03827 -2 *2015:7 *2015:8 90.0731 -3 *2015:8 *2822:mprj_io_analog_pol[30] 19.1255 -*END - -*D_NET *2016 0.0137962 -*CONN -*I *2822:mprj_io_analog_pol[31] I *D chip_io -*I *2806:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_pol[31] 0.000473854 -2 *2806:pad_gpio_ana_pol 0.000672683 -3 *2016:16 0.00143454 -4 *2016:15 0.00163337 -5 *2822:mprj_io_analog_pol[31] *2822:mprj_io_dm[93] 0 -6 *2822:mprj_io_analog_pol[31] *2358:12 0 -7 *2016:15 *2054:15 0.00013419 -8 *2016:15 *2174:12 0.000119662 -9 *2016:16 *2244:8 0.00536189 -10 *2016:16 *2472:8 0.0019648 -11 *2016:16 *2606:13 0.00130168 -12 *682:8 *2016:16 0.000688531 -13 *1978:15 *2016:15 1.10565e-05 -*RES -1 *2806:pad_gpio_ana_pol *2016:15 26.45 -2 *2016:15 *2016:16 86.1282 -3 *2016:16 *2822:mprj_io_analog_pol[31] 20.1331 -*END - -*D_NET *2017 0.0135982 -*CONN -*I *2822:mprj_io_analog_pol[32] I *D chip_io -*I *2807:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_pol[32] 0.000324804 -2 *2807:pad_gpio_ana_pol 0.000376295 -3 *2017:8 0.00132486 -4 *2017:7 0.00137635 -5 *2822:mprj_io_analog_pol[32] *2177:21 0 -6 *2822:mprj_io_analog_pol[32] *2473:14 0 -7 *2017:7 *2055:7 0.000205194 -8 *2017:8 *2177:16 0.001109 -9 *2017:8 *2177:21 1.23804e-05 -10 *2017:8 *2435:8 0.00113257 -11 *2017:8 *2473:14 0.00262403 -12 *106:31 *2017:8 0.000891407 -13 *619:20 *2017:8 0.000776783 -14 *620:23 *2017:8 0.00327517 -15 *1979:7 *2017:7 0.000169336 -*RES -1 *2807:pad_gpio_ana_pol *2017:7 4.73231 -2 *2017:7 *2017:8 90.0731 -3 *2017:8 *2822:mprj_io_analog_pol[32] 17.2236 -*END - -*D_NET *2018 0.0145721 -*CONN -*I *2822:mprj_io_analog_pol[33] I *D chip_io -*I *2808:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_pol[33] 0.000360553 -2 *2808:pad_gpio_ana_pol 0.000881647 -3 *2018:16 0.000992359 -4 *2018:15 0.00151345 -5 *2822:mprj_io_analog_pol[33] *2822:mprj_io_dm[99] 0 -6 *2822:mprj_io_analog_pol[33] *2822:mprj_io_inp_dis[33] 0 -7 *2822:mprj_io_analog_pol[33] *2398:16 0 -8 *2018:15 *2056:13 7.54478e-05 -9 *2018:15 *2284:16 0.000523745 -10 *2018:16 *2180:8 0.000396366 -11 *2018:16 *2322:14 0.00353563 -12 *2018:16 *2398:16 0.00435894 -13 *103:18 *2018:15 0.000311148 -14 *621:15 *2018:16 0.000666555 -15 *1980:15 *2018:15 0.000956242 -*RES -1 *2808:pad_gpio_ana_pol *2018:15 40.5658 -2 *2018:15 *2018:16 69.9334 -3 *2018:16 *2822:mprj_io_analog_pol[33] 18.33 -*END - -*D_NET *2019 0.0142343 -*CONN -*I *2822:mprj_io_analog_pol[34] I *D chip_io -*I *2809:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_pol[34] 0.000369976 -2 *2809:pad_gpio_ana_pol 0.00044819 -3 *2019:8 0.00127922 -4 *2019:7 0.00135744 -5 *2822:mprj_io_analog_pol[34] *2822:mprj_io_dm[102] 0 -6 *2822:mprj_io_analog_pol[34] *2057:14 0 -7 *2822:mprj_io_analog_pol[34] *2609:13 0 -8 *2822:mprj_io_analog_pol[34] *2609:14 0 -9 *2019:7 *2057:7 0.000156769 -10 *2019:8 *2822:mprj_io_inp_dis[34] 0.000904991 -11 *2019:8 *2057:8 0.00399919 -12 *2019:8 *2057:14 0.000318445 -13 *2019:8 *2073:16 0.00353055 -14 *2019:8 *2323:8 4.61488e-05 -15 *619:20 *2019:8 0.000747974 -16 *1981:7 *2019:7 0.000166365 -17 *1981:8 *2019:8 0.000909006 -*RES -1 *2809:pad_gpio_ana_pol *2019:7 4.73231 -2 *2019:7 *2019:8 89.2426 -3 *2019:8 *2822:mprj_io_analog_pol[34] 17.9147 -*END - -*D_NET *2020 0.0141171 -*CONN -*I *2822:mprj_io_analog_pol[35] I *D chip_io -*I *2783:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_pol[35] 0.000491994 -2 *2783:pad_gpio_ana_pol 0.000806558 -3 *2020:14 0.00139631 -4 *2020:13 0.00171087 -5 *2822:mprj_io_analog_pol[35] *2822:mprj_io_dm[105] 0 -6 *2822:mprj_io_analog_pol[35] *2822:mprj_io_inp_dis[35] 0 -7 *2822:mprj_io_analog_pol[35] *2248:8 0 -8 *2020:13 *2058:7 2.69096e-05 -9 *2020:14 *2075:8 0.00382214 -10 *2020:14 *2210:8 0.000439023 -11 *2020:14 *2286:11 0.00239977 -12 *1745:13 *2020:14 0.000576072 -13 *1982:13 *2020:13 0.00153069 -14 *1982:14 *2020:14 0.000916779 -*RES -1 *2783:pad_gpio_ana_pol *2020:13 36.2039 -2 *2020:13 *2020:14 76.1621 -3 *2020:14 *2822:mprj_io_analog_pol[35] 20.6877 -*END - -*D_NET *2021 0.0170996 -*CONN -*I *2822:mprj_io_analog_pol[36] I *D chip_io -*I *2784:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_pol[36] 0.00025513 -2 *2784:pad_gpio_ana_pol 0.000322602 -3 *2021:16 0.000977111 -4 *2021:15 0.00136293 -5 *2021:12 0.000963552 -6 *2822:mprj_io_analog_pol[36] *2822:mprj_io_dm[108] 0 -7 *2822:mprj_io_analog_pol[36] *2822:mprj_io_inp_dis[36] 0 -8 *2822:mprj_io_analog_pol[36] *2059:16 0 -9 *2021:12 *2059:12 0.00120967 -10 *2021:15 *2059:15 5.24081e-05 -11 *2021:16 *2822:mprj_io_dm[108] 0 -12 *2021:16 *2059:16 0.000109332 -13 *2021:16 *2077:12 0.00405901 -14 *2021:16 *2078:12 0.00328026 -15 *2822:mprj_io_analog_en[36] *2021:16 0 -16 *1733:8 *2021:16 0.000651203 -17 *1983:12 *2021:12 0.00107637 -18 *1983:15 *2021:15 0.00254375 -19 *1983:16 *2021:16 0.000236262 -*RES -1 *2784:pad_gpio_ana_pol *2021:12 28.4056 -2 *2021:12 *2021:15 32.9536 -3 *2021:15 *2021:16 68.6876 -4 *2021:16 *2822:mprj_io_analog_pol[36] 15.7735 -*END - -*D_NET *2022 0.00839303 -*CONN -*I *2822:mprj_io_analog_pol[37] I *D chip_io -*I *2785:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_pol[37] 0.000217166 -2 *2785:pad_gpio_ana_pol 0.00137865 -3 *2022:14 0.00193737 -4 *2022:13 0.00309886 -5 *2822:mprj_io_analog_pol[37] *2822:mprj_io_dm[111] 0 -6 *2022:13 *2822:mprj_io_analog_sel[37] 0.000409284 -7 *2022:13 *2082:8 0 -8 *2022:13 *2288:13 0.000158225 -9 *2022:13 *2440:16 0 -10 *2022:14 *2822:mprj_io_dm[111] 0 -11 *2022:14 *2822:mprj_io_dm[112] 0 -12 *2022:14 *2822:mprj_io_out[37] 0.000456787 -13 *2022:14 *2822:mprj_io_slow_sel[37] 0 -14 *2022:14 *2081:8 0 -15 *2022:14 *2288:13 0 -16 *2022:14 *2440:16 0.000621972 -17 *2822:mprj_io_analog_en[37] *2022:14 0 -18 *1984:7 *2022:13 0.000114713 -19 *1984:8 *2022:13 0 -20 *1984:8 *2022:14 0 -*RES -1 *2785:pad_gpio_ana_pol *2022:13 49.3687 -2 *2022:13 *2022:14 55.3995 -3 *2022:14 *2822:mprj_io_analog_pol[37] 14.5871 -*END - -*D_NET *2023 0.0144261 -*CONN -*I *2822:mprj_io_analog_pol[3] I *D chip_io -*I *2798:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_pol[3] 0.000481601 -2 *2798:pad_gpio_ana_pol 0.000694598 -3 *2023:14 0.00162851 -4 *2023:13 0.00184151 -5 *2822:mprj_io_analog_pol[3] *2822:mprj_io_dm[9] 0 -6 *2822:mprj_io_analog_pol[3] *2822:mprj_io_inp_dis[3] 0 -7 *2023:13 *2061:15 4.69062e-05 -8 *2023:13 *2181:13 0 -9 *2023:13 *2365:8 0.000467916 -10 *2023:14 *2289:11 0 -11 *2023:14 *2289:15 0.00207258 -12 *2023:14 *2327:16 0.00503402 -13 *2797:resetn_out *2023:13 0.00011443 -14 *111:36 *2822:mprj_io_analog_pol[3] 0 -15 *1985:15 *2023:13 0.00191714 -16 *1985:16 *2023:14 0.00012693 -*RES -1 *2798:pad_gpio_ana_pol *2023:13 41.2526 -2 *2023:13 *2023:14 84.4672 -3 *2023:14 *2822:mprj_io_analog_pol[3] 20.816 -*END - -*D_NET *2024 0.0153073 -*CONN -*I *2822:mprj_io_analog_pol[4] I *D chip_io -*I *2799:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_pol[4] 0.000435023 -2 *2799:pad_gpio_ana_pol 0.000390906 -3 *2024:16 0.00196669 -4 *2024:15 0.00192258 -5 *2822:mprj_io_analog_pol[4] *2822:mprj_io_inp_dis[4] 0 -6 *2024:15 *2062:13 0.00101271 -7 *2024:15 *2085:15 0 -8 *2024:16 *2062:14 0 -9 *2024:16 *2085:16 0.000114237 -10 *2024:16 *2328:16 0.00581031 -11 *2024:16 *2404:14 0 -12 *2024:16 *2480:14 0.00171041 -13 *655:45 *2024:16 0.00082487 -14 *671:14 *2822:mprj_io_analog_pol[4] 0 -15 *671:14 *2024:16 0 -16 *1986:13 *2024:15 0.00111952 -*RES -1 *2799:pad_gpio_ana_pol *2024:15 28.4662 -2 *2024:15 *2024:16 96.5095 -3 *2024:16 *2822:mprj_io_analog_pol[4] 20.2725 -*END - -*D_NET *2025 0.0176162 -*CONN -*I *2822:mprj_io_analog_pol[5] I *D chip_io -*I *2800:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_pol[5] 0.000547339 -2 *2800:pad_gpio_ana_pol 0.000797772 -3 *2025:14 0.00134173 -4 *2025:13 0.00159217 -5 *2822:mprj_io_analog_pol[5] *2822:mprj_io_inp_dis[5] 0 -6 *2025:13 *2063:13 0.000146868 -7 *2025:13 *2253:8 0 -8 *2025:14 *2088:14 0.00614023 -9 *2025:14 *2329:14 0.0059145 -10 *116:49 *2025:14 0.000988756 -11 *672:14 *2025:14 0.000114237 -12 *1987:13 *2025:13 3.26002e-05 -*RES -1 *2800:pad_gpio_ana_pol *2025:13 29.2896 -2 *2025:13 *2025:14 98.1705 -3 *2025:14 *2822:mprj_io_analog_pol[5] 23.0455 -*END - -*D_NET *2026 0.0140141 -*CONN -*I *2822:mprj_io_analog_pol[6] I *D chip_io -*I *2801:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_pol[6] 0.000337558 -2 *2801:pad_gpio_ana_pol 0.000661911 -3 *2026:14 0.00171696 -4 *2026:13 0.00204131 -5 *2822:mprj_io_analog_pol[6] *2822:mprj_io_dm[18] 0.00073905 -6 *2026:13 *2064:13 3.84497e-05 -7 *2026:13 *2091:13 0 -8 *2026:13 *2368:8 5.88867e-05 -9 *2026:14 *2091:14 0 -10 *2026:14 *2330:16 0.00192378 -11 *654:59 *2026:14 0.000277764 -12 *655:45 *2026:14 0.000890627 -13 *1988:13 *2026:13 3.84497e-05 -14 *1988:14 *2026:14 0.00528939 -*RES -1 *2801:pad_gpio_ana_pol *2026:13 26.44 -2 *2026:13 *2026:14 97.7553 -3 *2026:14 *2822:mprj_io_analog_pol[6] 20.2725 -*END - -*D_NET *2027 0.0509075 -*CONN -*I *2822:mprj_io_analog_pol[7] I *D chip_io -*I *2802:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_pol[7] 0.000597988 -2 *2802:pad_gpio_ana_pol 0.000511892 -3 *2027:14 0.0101909 -4 *2027:13 0.0101048 -5 *2822:mprj_io_analog_pol[7] *2822:mprj_io_inp_dis[7] 0 -6 *2822:mprj_io_analog_pol[7] *2590:9 0.000133925 -7 *2027:13 *2095:7 0 -8 *2027:14 *2256:10 0.00129258 -9 *2027:14 *2407:14 0.0257837 -10 *115:70 *2027:13 6.7566e-05 -11 *116:56 *2027:14 0.000121621 -12 *631:42 *2027:13 7.22422e-05 -13 *658:59 *2027:14 0 -14 *1989:13 *2027:13 0.00126085 -15 *1989:14 *2027:14 0.000769369 -*RES -1 *2802:pad_gpio_ana_pol *2027:13 28.0574 -2 *2027:13 *2027:14 437.847 -3 *2027:14 *2822:mprj_io_analog_pol[7] 23.0078 -*END - -*D_NET *2028 0.0624814 -*CONN -*I *2822:mprj_io_analog_pol[8] I *D chip_io -*I *2786:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_pol[8] 0.000506558 -2 *2786:pad_gpio_ana_pol 0.00330222 -3 *2028:14 0.00255566 -4 *2028:13 0.00535132 -5 *2822:mprj_io_analog_pol[8] *2822:mprj_io_dm[24] 0 -6 *2822:mprj_io_analog_pol[8] *2822:mprj_io_inp_dis[8] 0 -7 *2822:mprj_io_analog_pol[8] *2100:10 0.000181563 -8 *2822:mprj_io_analog_pol[8] *2409:30 0.00118565 -9 *2822:mprj_io_analog_pol[8] *2601:13 0.00111694 -10 *2028:13 *2822:mprj_io_analog_sel[7] 0.00416612 -11 *2028:13 *2066:8 0.00356236 -12 *2028:13 *2255:8 8.49896e-06 -13 *2028:14 *2822:mprj_io_slow_sel[8] 0.000658455 -14 *2028:14 *2100:10 0.0019273 -15 *2028:14 *2103:23 0.00248835 -16 *2028:14 *2409:30 0.00025498 -17 *2028:14 *2446:14 0.0036695 -18 *2028:14 *2446:18 0.0023315 -19 *2822:mprj_io_analog_en[8] *2822:mprj_io_analog_pol[8] 2.6506e-05 -20 *2822:mprj_io_analog_en[8] *2028:14 0.00118624 -21 *676:20 *2028:14 0 -22 *1990:13 *2028:13 0.0170376 -23 *1990:14 *2028:14 0.00842723 -24 *1990:29 *2028:14 0.00253686 -*RES -1 *2786:pad_gpio_ana_pol *2028:13 37.4564 -2 *2028:13 *2028:14 197.001 -3 *2028:14 *2822:mprj_io_analog_pol[8] 36.4667 -*END - -*D_NET *2029 0.0545791 -*CONN -*I *2822:mprj_io_analog_pol[9] I *D chip_io -*I *2788:pad_gpio_ana_pol O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_pol[9] 0.000311871 -2 *2788:pad_gpio_ana_pol 0.00294084 -3 *2029:14 0.00508595 -4 *2029:13 0.00771492 -5 *2822:mprj_io_analog_pol[9] *2822:mprj_io_dm[27] 0 -6 *2822:mprj_io_analog_pol[9] *2611:11 0 -7 *2029:13 *2067:13 0.00758393 -8 *2029:14 *2822:mprj_io_slow_sel[9] 0 -9 *2029:14 *2067:14 0.00654247 -10 *2029:14 *2067:18 2.6506e-05 -11 *2029:14 *2103:36 0.00243006 -12 *2029:14 *2183:8 0.00110709 -13 *2029:14 *2295:10 0 -14 *2029:14 *2371:8 0.000506598 -15 *2029:14 *2409:30 0.00196153 -16 *2029:14 *2611:11 0.00103372 -17 *1991:13 *2029:13 0.0173336 -*RES -1 *2788:pad_gpio_ana_pol *2029:13 38.1231 -2 *2029:13 *2029:14 211.327 -3 *2029:14 *2822:mprj_io_analog_pol[9] 16.8055 -*END - -*D_NET *2030 0.00984034 -*CONN -*I *2822:mprj_io_analog_sel[0] I *D chip_io -*I *2781:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_sel[0] 0.00019507 -2 *2781:pad_gpio_ana_sel 0.000222852 -3 *2030:14 0.00230551 -4 *2030:13 0.00233329 -5 *2822:mprj_io_analog_sel[0] *2822:mprj_io_dm[2] 0 -6 *2030:13 *2068:13 3.05206e-05 -7 *2030:13 *2104:15 0.00047711 -8 *2030:13 *2220:13 0.000463343 -9 *2030:13 *2334:8 1.48603e-05 -10 *2030:14 *2822:mprj_io_dm[2] 4.76719e-05 -11 *2030:14 *2822:mprj_io_holdover[0] 5.65975e-05 -12 *2030:14 *2822:mprj_io_ib_mode_sel[0] 6.94365e-05 -13 *2030:14 *2822:mprj_io_out[0] 4.76719e-05 -14 *2030:14 *2822:mprj_io_vtrip_sel[0] 4.76719e-05 -15 *2030:14 *2104:16 0 -16 *2030:14 *2182:14 0 -17 *2030:14 *2220:14 0.00350152 -18 *2030:14 *2334:8 0 -19 *1992:13 *2030:13 2.72062e-05 -*RES -1 *2781:pad_gpio_ana_sel *2030:13 18.6155 -2 *2030:13 *2030:14 83.2214 -3 *2030:14 *2822:mprj_io_analog_sel[0] 14.0325 -*END - -*D_NET *2031 0.0546151 -*CONN -*I *2822:mprj_io_analog_sel[10] I *D chip_io -*I *2789:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_sel[10] 0.00028968 -2 *2789:pad_gpio_ana_sel 3.60638e-05 -3 *2031:8 0.0075154 -4 *2031:7 0.00726178 -5 *2031:8 *2032:8 0.0127085 -6 *2031:8 *2222:10 0.0119137 -7 *2031:8 *2257:8 0.0041127 -8 *2031:8 *2335:8 0.000503185 -9 *2788:serial_clock_out *2031:8 0.00147918 -10 *1993:8 *2031:8 0.00879493 -*RES -1 *2789:pad_gpio_ana_sel *2031:7 0.51465 -2 *2031:7 *2031:8 63.4891 -3 *2031:8 *2822:mprj_io_analog_sel[10] 6.37776 -*END - -*D_NET *2032 0.0635221 -*CONN -*I *2822:mprj_io_analog_sel[11] I *D chip_io -*I *2790:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_sel[11] 0.000371221 -2 *2790:pad_gpio_ana_sel 3.60638e-05 -3 *2032:8 0.00554933 -4 *2032:7 0.00521418 -5 *2032:8 *2222:10 0.00337096 -6 *1955:8 *2032:8 1.48618e-05 -7 *1956:13 *2032:8 2.40249e-06 -8 *1957:23 *2032:8 0.0114552 -9 *1993:8 *2032:8 0.011732 -10 *1994:13 *2032:8 0.0012479 -11 *1995:19 *2032:8 0.000184845 -12 *1995:25 *2032:8 0.0116346 -13 *2031:8 *2032:8 0.0127085 -*RES -1 *2790:pad_gpio_ana_sel *2032:7 0.51465 -2 *2032:7 *2032:8 63.4891 -3 *2032:8 *2822:mprj_io_analog_sel[11] 6.53074 -*END - -*D_NET *2033 0.0680948 -*CONN -*I *2822:mprj_io_analog_sel[12] I *D chip_io -*I *2791:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_sel[12] 0.000416837 -2 *2791:pad_gpio_ana_sel 0.000565707 -3 *2033:18 0.00139251 -4 *2033:16 0.000999476 -5 *2033:14 0.00355021 -6 *2033:13 0.00409212 -7 *2822:mprj_io_analog_sel[12] *2117:26 0 -8 *2822:mprj_io_analog_sel[12] *2186:19 5.98635e-05 -9 *2822:mprj_io_analog_sel[12] *2614:14 0 -10 *2033:13 *2111:13 2.72062e-05 -11 *2033:14 *2111:14 0.0237725 -12 *2033:14 *2184:8 0.00186827 -13 *2033:14 *2375:14 0.0224889 -14 *2033:14 *2375:20 8.36973e-06 -15 *2033:14 *2451:8 0.00179373 -16 *2033:16 *2111:16 6.99044e-06 -17 *2033:18 *2111:14 2.01595e-05 -18 *2033:18 *2111:18 0.00316515 -19 *2033:18 *2299:18 0.000210876 -20 *2033:18 *2300:26 0.000308866 -21 *2033:18 *2375:20 0.00222102 -22 *2033:18 *2451:8 0.000597423 -23 *1956:14 *2033:14 0.000375297 -24 *1957:17 *2033:13 0.000124334 -25 *1994:14 *2033:14 0 -26 *1995:19 *2033:13 2.89493e-05 -*RES -1 *2791:pad_gpio_ana_sel *2033:13 25.3308 -2 *2033:13 *2033:14 385.317 -3 *2033:14 *2033:16 0.732798 -4 *2033:16 *2033:18 73.463 -5 *2033:18 *2822:mprj_io_analog_sel[12] 19.7179 -*END - -*D_NET *2034 0.0926106 -*CONN -*I *2822:mprj_io_analog_sel[13] I *D chip_io -*I *2792:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_sel[13] 0.000235844 -2 *2792:pad_gpio_ana_sel 0.000112165 -3 *2034:10 0.0117645 -4 *2034:9 0.0116408 -5 *2034:9 *2114:13 3.91372e-05 -6 *2034:10 *2113:8 0 -7 *2034:10 *2224:8 0.0498681 -8 *1958:13 *2034:10 0.0189501 -*RES -1 *2792:pad_gpio_ana_sel *2034:9 0.717515 -2 *2034:9 *2034:10 100.524 -3 *2034:10 *2822:mprj_io_analog_sel[13] 6.22478 -*END - -*D_NET *2035 0.0282624 -*CONN -*I *2822:mprj_io_analog_sel[14] I *D chip_io -*I *2793:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_sel[14] 0.000550145 -2 *2793:pad_gpio_ana_sel 0.000682461 -3 *2035:14 0.00209301 -4 *2035:13 0.00222533 -5 *2822:mprj_io_analog_sel[14] *2822:mprj_io_dm[44] 0 -6 *2035:13 *2118:15 0.000193706 -7 *2035:13 *2119:13 0.000275528 -8 *2035:13 *2187:13 0.000320777 -9 *2035:14 *2118:16 0 -10 *2035:14 *2187:14 0.0109165 -11 *2035:14 *2225:14 0.0106872 -12 *621:48 *2822:mprj_io_analog_sel[14] 0 -13 *1959:13 *2035:13 0.000270852 -14 *1997:15 *2035:13 4.69062e-05 -*RES -1 *2793:pad_gpio_ana_sel *2035:13 36.2095 -2 *2035:13 *2035:14 176.238 -3 *2035:14 *2822:mprj_io_analog_sel[14] 22.2649 -*END - -*D_NET *2036 0.0943794 -*CONN -*I *2822:mprj_io_analog_sel[15] I *D chip_io -*I *2794:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_sel[15] 0.000424579 -2 *2794:pad_gpio_ana_sel 7.00063e-05 -3 *2036:23 0.00381252 -4 *2036:22 0.00424304 -5 *2036:17 0.00256512 -6 *2036:16 0.002435 -7 *2036:11 0.00175208 -8 *2036:10 0.00109709 -9 *2036:11 *2122:11 0.00418391 -10 *2036:11 *2302:25 0.00022875 -11 *2036:16 *2625:11 2.02035e-05 -12 *2036:17 *2123:17 0 -13 *2036:17 *2188:17 0.000279912 -14 *2036:22 *2454:16 0 -15 *2036:23 *2122:23 0.0367894 -16 *2036:23 *2264:10 0.000356404 -17 *2036:23 *2302:39 0.000333928 -18 *2036:23 *2416:27 0.0342976 -19 *2794:serial_load_out *2036:16 2.33103e-06 -20 *645:49 *2036:16 0 -21 *1960:29 *2036:23 0.000338665 -22 *1998:23 *2036:23 0.00114883 -*RES -1 *2794:pad_gpio_ana_sel *2036:10 8.38708 -2 *2036:10 *2036:11 48.9739 -3 *2036:11 *2036:16 26.6116 -4 *2036:16 *2036:17 47.3101 -5 *2036:17 *2036:22 31.5015 -6 *2036:22 *2036:23 52.3692 -7 *2036:23 *2822:mprj_io_analog_sel[15] 14.7272 -*END - -*D_NET *2037 0.0284425 -*CONN -*I *2822:mprj_io_analog_sel[16] I *D chip_io -*I *2795:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_sel[16] 6.8495e-05 -2 *2795:pad_gpio_ana_sel 9.15731e-05 -3 *2037:21 0.000428596 -4 *2037:18 0.00160183 -5 *2037:17 0.00124173 -6 *2037:15 0.00239147 -7 *2037:13 0.00286646 -8 *2037:10 0.000566565 -9 *2037:13 *2124:11 0.00442408 -10 *2037:13 *2125:13 7.92757e-06 -11 *2037:15 *2124:11 0.00147771 -12 *2037:18 *2124:14 0.00337314 -13 *2037:21 *2125:23 0.00407729 -14 *2037:21 *2303:23 0.00360156 -15 *2037:21 *2379:25 0.0001766 -16 *2822:mprj_io_analog_en[16] *2037:18 0 -17 *666:17 *2037:21 0 -18 *1961:11 *2037:13 0.00204748 -*RES -1 *2795:pad_gpio_ana_sel *2037:10 8.46357 -2 *2037:10 *2037:13 49.8179 -3 *2037:13 *2037:15 82.8047 -4 *2037:15 *2037:17 4.5 -5 *2037:17 *2037:18 54.569 -6 *2037:18 *2037:21 47.3733 -7 *2037:21 *2822:mprj_io_analog_sel[16] 5.52592 -*END - -*D_NET *2038 0.0716473 -*CONN -*I *2822:mprj_io_analog_sel[17] I *D chip_io -*I *2796:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_sel[17] 0.00339987 -2 *2796:pad_gpio_ana_sel 9.93606e-05 -3 *2038:20 0.00458398 -4 *2038:19 0.00154146 -5 *2038:16 0.00131076 -6 *2038:11 0.00153515 -7 *2038:10 0.000681099 -8 *2822:mprj_io_analog_sel[17] *2822:mprj_io_dm[51] 0.0211369 -9 *2822:mprj_io_analog_sel[17] *2822:mprj_io_dm[52] 0.00719546 -10 *2822:mprj_io_analog_sel[17] *2266:8 0.000685257 -11 *2038:20 *2821:analog_io[11] 0.00149866 -12 *1962:11 *2038:11 0.00999615 -13 *1962:16 *2038:16 0 -14 *1962:19 *2038:19 0.00249091 -15 *1962:20 *2038:20 0 -16 *1962:23 *2822:mprj_io_analog_sel[17] 0.0024693 -17 *2000:11 *2038:11 0.00999195 -18 *2000:19 *2038:19 0.00228913 -19 *2000:20 *2038:20 0.00074193 -*RES -1 *2796:pad_gpio_ana_sel *2038:10 8.46357 -2 *2038:10 *2038:11 104.434 -3 *2038:11 *2038:16 34.0861 -4 *2038:16 *2038:19 34.6174 -5 *2038:19 *2038:20 48.9631 -6 *2038:20 *2822:mprj_io_analog_sel[17] 43.4576 -*END - -*D_NET *2039 0.023924 -*CONN -*I *2822:mprj_io_analog_sel[18] I *D chip_io -*I *2787:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_sel[18] 0.00195218 -2 *2787:pad_gpio_ana_sel 0.000106442 -3 *2039:14 0.00325524 -4 *2039:13 0.00130306 -5 *2039:11 0.00173472 -6 *2039:10 0.00184116 -7 *2822:mprj_io_analog_sel[18] *2822:mprj_io_dm[56] 0 -8 *2822:mprj_io_analog_sel[18] *2822:mprj_io_holdover[18] 0.000593433 -9 *2822:mprj_io_analog_sel[18] *2822:mprj_io_inp_dis[18] 0 -10 *2822:mprj_io_analog_sel[18] *2822:mprj_io_out[18] 0 -11 *2039:11 *2132:11 0.00105741 -12 *2039:11 *2381:11 0.00395782 -13 *2039:14 *2822:mprj_io_dm[56] 0.000784009 -14 *668:25 *2822:mprj_io_analog_sel[18] 0 -15 *1963:11 *2039:11 0.00621268 -16 *2001:14 *2039:14 0.00112589 -*RES -1 *2787:pad_gpio_ana_sel *2039:10 8.46357 -2 *2039:10 *2039:11 143.257 -3 *2039:11 *2039:13 4.5 -4 *2039:13 *2039:14 53.9461 -5 *2039:14 *2822:mprj_io_analog_sel[18] 16.0048 -*END - -*D_NET *2040 0.0161433 -*CONN -*I *2822:mprj_io_analog_sel[19] I *D chip_io -*I *2803:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_sel[19] 0.000728859 -2 *2803:pad_gpio_ana_sel 0.000306298 -3 *2040:11 0.00222896 -4 *2040:10 0.00180639 -5 *2822:mprj_io_analog_sel[19] *2136:17 0 -6 *2822:mprj_io_analog_sel[19] *2192:13 0.000119341 -7 *2040:10 *2134:10 0 -8 *2040:10 *2192:12 3.84497e-05 -9 *2040:11 *2822:mprj_io_inp_dis[19] 0.00140724 -10 *2040:11 *2135:11 9.16621e-05 -11 *2040:11 *2136:17 0.00103266 -12 *2040:11 *2192:13 0 -13 *2002:10 *2040:10 0 -14 *2002:11 *2040:11 0.0083834 -*RES -1 *2803:pad_gpio_ana_sel *2040:10 12.2008 -2 *2040:10 *2040:11 90.5692 -3 *2040:11 *2822:mprj_io_analog_sel[19] 26.1089 -*END - -*D_NET *2041 0.0129614 -*CONN -*I *2822:mprj_io_analog_sel[1] I *D chip_io -*I *2782:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_sel[1] 0.000270274 -2 *2782:pad_gpio_ana_sel 0.000454567 -3 *2041:14 0.00112471 -4 *2041:13 0.001309 -5 *2041:13 *2115:13 0.000263266 -6 *2041:13 *2345:8 8.35615e-06 -7 *2041:14 *2822:mprj_io_dm[5] 0 -8 *2041:14 *2137:14 0.00473797 -9 *2041:14 *2193:14 0.00458679 -10 *1965:13 *2041:13 0 -11 *2003:13 *2041:13 0.000206486 -12 *2003:14 *2041:14 0 -*RES -1 *2782:pad_gpio_ana_sel *2041:13 24.7734 -2 *2041:13 *2041:14 81.1452 -3 *2041:14 *2822:mprj_io_analog_sel[1] 16.1144 -*END - -*D_NET *2042 0.0232042 -*CONN -*I *2822:mprj_io_analog_sel[20] I *D chip_io -*I *2810:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_sel[20] 0.00139018 -2 *2810:pad_gpio_ana_sel 0.000735729 -3 *2042:13 0.00212591 -4 *2822:mprj_io_analog_sel[20] *2822:mprj_io_dm[60] 0.00161724 -5 *2822:mprj_io_analog_sel[20] *2822:mprj_io_dm[61] 0.00505985 -6 *2822:mprj_io_analog_sel[20] *2822:mprj_io_dm[62] 2.30558e-05 -7 *2822:mprj_io_analog_sel[20] *2822:mprj_io_holdover[20] 0.00932532 -8 *2822:mprj_io_analog_sel[20] *2822:mprj_io_out[20] 0.000976097 -9 *2822:mprj_io_analog_sel[20] *2822:mprj_io_slow_sel[20] 0.000217791 -10 *2042:13 *2232:13 0.00117612 -11 *2004:13 *2042:13 0.000556925 -*RES -1 *2810:pad_gpio_ana_sel *2042:13 38.6441 -2 *2042:13 *2822:mprj_io_analog_sel[20] 40.7599 -*END - -*D_NET *2043 0.0211611 -*CONN -*I *2822:mprj_io_analog_sel[21] I *D chip_io -*I *2811:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_sel[21] 7.90497e-05 -2 *2811:pad_gpio_ana_sel 0.000339404 -3 *2043:17 0.00103484 -4 *2043:16 0.00124814 -5 *2043:13 0.00063175 -6 *2043:13 *2143:13 0.00176451 -7 *2043:13 *2195:11 0.00261002 -8 *2043:16 *2195:16 0.00128909 -9 *2043:16 *2233:16 5.8218e-05 -10 *2043:17 *2822:mprj_io_dm[63] 0.00387815 -11 *2043:17 *2822:mprj_io_dm[64] 0.00282441 -12 *2043:17 *2822:mprj_io_slow_sel[21] 0.000334328 -13 *2043:17 *2143:23 0.00153303 -14 *2043:17 *2233:17 0.00180769 -15 *2005:13 *2043:13 0.00035468 -16 *2005:16 *2043:16 0.00126304 -17 *2005:17 *2043:17 0.000110786 -*RES -1 *2811:pad_gpio_ana_sel *2043:13 40.3079 -2 *2043:13 *2043:16 27.9251 -3 *2043:16 *2043:17 83.9139 -4 *2043:17 *2822:mprj_io_analog_sel[21] 5.80682 -*END - -*D_NET *2044 0.0201898 -*CONN -*I *2822:mprj_io_analog_sel[22] I *D chip_io -*I *2812:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_sel[22] 0.00223588 -2 *2812:pad_gpio_ana_sel 0.000690301 -3 *2044:14 0.00377124 -4 *2044:13 0.00222566 -5 *2822:mprj_io_analog_sel[22] *2822:mprj_io_holdover[22] 0.00760146 -6 *2822:mprj_io_analog_sel[22] *2146:17 0.000199184 -7 *2044:13 *2144:11 0.000100974 -8 *2044:13 *2145:13 0.00160098 -9 *2044:14 *2234:20 0.00172852 -10 *2044:14 *2272:21 0 -11 *1968:19 *2044:13 1.41291e-05 -12 *2006:19 *2044:13 2.15184e-05 -*RES -1 *2812:pad_gpio_ana_sel *2044:13 36.9038 -2 *2044:13 *2044:14 58.0987 -3 *2044:14 *2822:mprj_io_analog_sel[22] 20.4664 -*END - -*D_NET *2045 0.017623 -*CONN -*I *2822:mprj_io_analog_sel[23] I *D chip_io -*I *2813:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_sel[23] 0.00255072 -2 *2813:pad_gpio_ana_sel 0.00072033 -3 *2045:13 0.00327105 -4 *2822:mprj_io_analog_sel[23] *2822:mprj_io_dm[70] 0.000664392 -5 *2822:mprj_io_analog_sel[23] *2822:mprj_io_holdover[23] 0.00718351 -6 *2822:mprj_io_analog_sel[23] *2387:15 0 -7 *2045:13 *2149:13 9.16621e-05 -8 *2822:mprj_io_analog_pol[23] *2822:mprj_io_analog_sel[23] 0.000686467 -9 *2007:13 *2045:13 0.00245491 -*RES -1 *2813:pad_gpio_ana_sel *2045:13 40.8625 -2 *2045:13 *2822:mprj_io_analog_sel[23] 44.3942 -*END - -*D_NET *2046 0.0257954 -*CONN -*I *2822:mprj_io_analog_sel[24] I *D chip_io -*I *2814:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_sel[24] 0.00052679 -2 *2814:pad_gpio_ana_sel 0.00051466 -3 *2046:8 0.00185462 -4 *2046:7 0.00184249 -5 *2822:mprj_io_analog_sel[24] *2822:mprj_io_dm[74] 0 -6 *2822:mprj_io_analog_sel[24] *2312:11 0 -7 *2046:7 *2151:7 0.000296461 -8 *2046:8 *2151:8 0.00944265 -9 *618:34 *2046:8 0.00143158 -10 *2008:7 *2046:7 0.000295006 -11 *2008:8 *2046:8 0.00959117 -*RES -1 *2814:pad_gpio_ana_sel *2046:7 5.34423 -2 *2046:7 *2046:8 154.022 -3 *2046:8 *2822:mprj_io_analog_sel[24] 21.6604 -*END - -*D_NET *2047 0.0190447 -*CONN -*I *2822:mprj_io_analog_sel[25] I *D chip_io -*I *2815:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_sel[25] 0.00043562 -2 *2815:pad_gpio_ana_sel 0.000501543 -3 *2047:14 0.00130956 -4 *2047:13 0.00137548 -5 *2822:mprj_io_analog_sel[25] *2156:23 5.65975e-05 -6 *2822:mprj_io_analog_sel[25] *2237:22 5.04829e-06 -7 *2047:13 *2154:13 0.0016858 -8 *2047:13 *2237:8 0.00106542 -9 *2047:14 *2155:8 0.00363508 -10 *2047:14 *2199:8 0.000464736 -11 *2047:14 *2313:8 0.00481795 -12 *2047:14 *2389:22 0.00211973 -13 *2047:14 *2465:20 1.2366e-05 -14 *2822:mprj_io_analog_en[25] *2047:13 0.000120046 -15 *618:34 *2047:14 0.000704135 -16 *1722:30 *2047:13 0.000693161 -17 *2009:13 *2047:13 4.2387e-05 -*RES -1 *2815:pad_gpio_ana_sel *2047:13 38.2281 -2 *2047:13 *2047:14 93.1875 -3 *2047:14 *2822:mprj_io_analog_sel[25] 19.1633 -*END - -*D_NET *2048 0.0177441 -*CONN -*I *2822:mprj_io_analog_sel[26] I *D chip_io -*I *2816:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_sel[26] 0.000938671 -2 *2816:pad_gpio_ana_sel 0.000530126 -3 *2048:14 0.00207171 -4 *2048:13 0.00133097 -5 *2048:8 0.00067628 -6 *2048:7 0.00100848 -7 *2822:mprj_io_analog_sel[26] *2822:mprj_io_dm[80] 3.31882e-05 -8 *2822:mprj_io_analog_sel[26] *2160:17 0.000572468 -9 *2822:mprj_io_analog_sel[26] *2390:20 0 -10 *2822:mprj_io_analog_sel[26] *2390:25 0.00103596 -11 *2048:7 *2157:7 0.000255845 -12 *2048:8 *2200:8 0.000693224 -13 *2048:8 *2314:12 0.00292096 -14 *2048:13 *2200:20 0.000247443 -15 *2048:14 *2200:20 0.00020018 -16 *2048:14 *2390:20 0.00254661 -17 *2048:14 *2390:25 0.000103433 -18 *2048:14 *2466:8 7.77309e-06 -19 *102:49 *2822:mprj_io_analog_sel[26] 0.000165203 -20 *102:49 *2048:14 0.000461226 -21 *116:16 *2048:8 0.00148308 -22 *617:14 *2822:mprj_io_analog_sel[26] 0 -23 *618:34 *2048:8 0.000442108 -24 *695:27 *2048:13 2.41274e-06 -25 *2010:12 *2048:7 1.66948e-05 -*RES -1 *2816:pad_gpio_ana_sel *2048:7 5.11476 -2 *2048:7 *2048:8 46.8869 -3 *2048:8 *2048:13 13.6056 -4 *2048:13 *2048:14 50.6241 -5 *2048:14 *2822:mprj_io_analog_sel[26] 40.3618 -*END - -*D_NET *2049 0.0185786 -*CONN -*I *2822:mprj_io_analog_sel[27] I *D chip_io -*I *2817:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_sel[27] 0.000400954 -2 *2817:pad_gpio_ana_sel 0.000380125 -3 *2049:8 0.00141794 -4 *2049:7 0.00139711 -5 *2822:mprj_io_analog_sel[27] *2822:mprj_io_dm[83] 6.8952e-05 -6 *2049:7 *2161:7 0.000240602 -7 *2049:8 *2163:24 0.0025178 -8 *2049:8 *2429:8 0.00405879 -9 *106:37 *2049:8 0.000643666 -10 *117:21 *2049:8 0.000272629 -11 *117:22 *2049:8 7.57306e-05 -12 *633:14 *2049:8 0.00686988 -13 *2011:7 *2049:7 0.000215751 -14 *2011:8 *2049:8 1.87125e-05 -*RES -1 *2817:pad_gpio_ana_sel *2049:7 4.88529 -2 *2049:7 *2049:8 110.836 -3 *2049:8 *2822:mprj_io_analog_sel[27] 18.748 -*END - -*D_NET *2050 0.0567511 -*CONN -*I *2822:mprj_io_analog_sel[28] I *D chip_io -*I *2818:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_sel[28] 0.000600347 -2 *2818:pad_gpio_ana_sel 0.000861737 -3 *2050:41 0.00104217 -4 *2050:37 0.00131849 -5 *2050:29 0.00550656 -6 *2050:28 0.0049231 -7 *2050:17 0.00567259 -8 *2050:16 0.00624112 -9 *2822:mprj_io_analog_sel[28] *2822:mprj_io_dm[86] 0 -10 *2822:mprj_io_analog_sel[28] *2822:mprj_io_ib_mode_sel[28] 1.53125e-05 -11 *2822:mprj_io_analog_sel[28] *2822:mprj_io_out[28] 0.000519635 -12 *2822:mprj_io_analog_sel[28] *2240:20 0 -13 *2822:mprj_io_analog_sel[28] *2316:14 9.06192e-05 -14 *2822:mprj_io_analog_sel[28] *2392:8 0.000149592 -15 *2050:37 *2240:8 0.000535672 -16 *2050:37 *2278:11 0 -17 *2050:37 *2430:8 0.000551798 -18 *2050:37 *2468:23 5.04829e-06 -19 *2822:mprj_io_analog_pol[28] *2050:41 5.04829e-06 -20 *106:37 *2822:mprj_io_analog_sel[28] 0.000154671 -21 *106:37 *2050:37 0.000218612 -22 *618:34 *2050:41 0.000377629 -23 *634:74 *2050:41 0.00246267 -24 *658:24 *2050:28 0.000544667 -25 *658:27 *2050:29 0.0213418 -26 *658:36 *2822:mprj_io_analog_sel[28] 0.00114957 -27 *679:10 *2050:41 0.00246267 -*RES -1 *2818:pad_gpio_ana_sel *2050:16 12.6224 -2 *2050:16 *2050:17 150.466 -3 *2050:17 *2050:28 27.4942 -4 *2050:28 *2050:29 223.119 -5 *2050:29 *2050:37 47.0712 -6 *2050:37 *2050:41 45.9525 -7 *2050:41 *2822:mprj_io_analog_sel[28] 41.5508 -*END - -*D_NET *2051 0.0169578 -*CONN -*I *2822:mprj_io_analog_sel[29] I *D chip_io -*I *2804:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_sel[29] 0.000570573 -2 *2804:pad_gpio_ana_sel 0.000704646 -3 *2051:10 0.00184514 -4 *2051:9 0.00197921 -5 *2822:mprj_io_analog_sel[29] *2822:mprj_io_dm[89] 0 -6 *2822:mprj_io_analog_sel[29] *2822:mprj_io_holdover[29] 0.000171064 -7 *2051:9 *2167:9 0.000272112 -8 *2051:10 *2169:16 0.0050463 -9 *2051:10 *2431:10 0.000858234 -10 *2051:10 *2604:13 0.00177827 -11 *642:52 *2051:10 0.00103579 -12 *680:8 *2051:10 0.00244918 -13 *2013:9 *2051:9 0.000247249 -*RES -1 *2804:pad_gpio_ana_sel *2051:9 5.7167 -2 *2051:9 *2051:10 109.175 -3 *2051:10 *2822:mprj_io_analog_sel[29] 23.0455 -*END - -*D_NET *2052 0.0132469 -*CONN -*I *2822:mprj_io_analog_sel[2] I *D chip_io -*I *2797:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_sel[2] 0.000474608 -2 *2797:pad_gpio_ana_sel 0.000746616 -3 *2052:14 0.00129652 -4 *2052:13 0.00156853 -5 *2052:13 *2148:13 3.84497e-05 -6 *2052:14 *2148:14 0.000152316 -7 *2052:14 *2170:14 0.0047113 -8 *2052:14 *2204:14 0.000507721 -9 *2052:14 *2470:14 0.00353922 -10 *110:52 *2822:mprj_io_analog_sel[2] 0 -11 *626:66 *2052:14 0 -12 *669:30 *2052:13 5.35541e-05 -13 *2014:13 *2052:13 0.000158111 -*RES -1 *2797:pad_gpio_ana_sel *2052:13 29.4862 -2 *2052:13 *2052:14 80.3147 -3 *2052:14 *2822:mprj_io_analog_sel[2] 19.8572 -*END - -*D_NET *2053 0.0181026 -*CONN -*I *2822:mprj_io_analog_sel[30] I *D chip_io -*I *2805:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_sel[30] 0.000560613 -2 *2805:pad_gpio_ana_sel 0.000523867 -3 *2053:8 0.00151452 -4 *2053:7 0.00147777 -5 *2822:mprj_io_analog_sel[30] *2822:mprj_io_dm[92] 0 -6 *2822:mprj_io_analog_sel[30] *2357:20 0 -7 *2053:7 *2171:7 0.000238736 -8 *2053:8 *2205:8 0.00336901 -9 *2053:8 *2205:12 1.23804e-05 -10 *2053:8 *2243:14 0.00355398 -11 *2053:8 *2395:8 0.000204269 -12 *2053:8 *2395:14 0.00348354 -13 *102:14 *2053:8 0.00289642 -14 *2015:7 *2053:7 0.000267524 -*RES -1 *2805:pad_gpio_ana_sel *2053:7 5.34423 -2 *2053:7 *2053:8 110.42 -3 *2053:8 *2822:mprj_io_analog_sel[30] 21.9363 -*END - -*D_NET *2054 0.0174429 -*CONN -*I *2822:mprj_io_analog_sel[31] I *D chip_io -*I *2806:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_sel[31] 0.000533777 -2 *2806:pad_gpio_ana_sel 0.00101029 -3 *2054:16 0.00143599 -4 *2054:15 0.00191251 -5 *2822:mprj_io_analog_sel[31] *2822:mprj_io_dm[95] 0 -6 *2822:mprj_io_analog_sel[31] *2720:14 0 -7 *2054:15 *2174:12 0.000128928 -8 *2054:16 *2174:16 0.000565939 -9 *2054:16 *2320:8 0.000298872 -10 *2054:16 *2472:8 0.00567444 -11 *2806:mgmt_gpio_out *2054:15 0.000468828 -12 *643:14 *2054:16 0.00362062 -13 *682:8 *2054:16 0.00086048 -14 *1729:34 *2054:15 0.000490094 -15 *1729:37 *2054:15 0.000180024 -16 *1978:15 *2054:15 0.000127932 -17 *2016:15 *2054:15 0.00013419 -*RES -1 *2806:pad_gpio_ana_sel *2054:15 45.2785 -2 *2054:15 *2054:16 91.1112 -3 *2054:16 *2822:mprj_io_analog_sel[31] 21.1802 -*END - -*D_NET *2055 0.0174514 -*CONN -*I *2822:mprj_io_analog_sel[32] I *D chip_io -*I *2807:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_sel[32] 0.000416506 -2 *2807:pad_gpio_ana_sel 0.000489056 -3 *2055:8 0.00150339 -4 *2055:7 0.00157594 -5 *2822:mprj_io_analog_sel[32] *2473:14 0 -6 *2055:7 *2177:11 5.76949e-05 -7 *2055:7 *2179:7 0 -8 *2055:8 *2207:8 0.00139421 -9 *2055:8 *2397:8 0.0040164 -10 *2055:8 *2397:18 0.00283747 -11 *2055:8 *2435:8 0.0040164 -12 *619:20 *2055:8 0.000921869 -13 *620:23 *2055:8 1.72799e-05 -14 *2017:7 *2055:7 0.000205194 -*RES -1 *2807:pad_gpio_ana_sel *2055:7 4.96178 -2 *2055:7 *2055:8 110.42 -3 *2055:8 *2822:mprj_io_analog_sel[32] 19.1633 -*END - -*D_NET *2056 0.0149357 -*CONN -*I *2822:mprj_io_analog_sel[33] I *D chip_io -*I *2808:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_sel[33] 0.000575918 -2 *2808:pad_gpio_ana_sel 0.00135326 -3 *2056:16 0.0023619 -4 *2056:15 0.00178598 -5 *2056:13 0.00135326 -6 *2822:mprj_io_analog_sel[33] *2822:mprj_io_dm[101] 0 -7 *2822:mprj_io_analog_sel[33] *2398:16 0 -8 *2056:13 *2180:7 7.42816e-06 -9 *2056:13 *2284:11 0 -10 *2056:16 *2208:8 0.00515557 -11 *2808:mgmt_gpio_out *2056:13 0.00022036 -12 *645:15 *2056:16 0.000691 -13 *1731:33 *2056:13 7.86825e-06 -14 *1980:15 *2056:13 0.00134775 -15 *2018:15 *2056:13 7.54478e-05 -*RES -1 *2808:pad_gpio_ana_sel *2056:13 48.6055 -2 *2056:13 *2056:15 4.5 -3 *2056:15 *2056:16 82.3909 -4 *2056:16 *2822:mprj_io_analog_sel[33] 22.4909 -*END - -*D_NET *2057 0.0164958 -*CONN -*I *2822:mprj_io_analog_sel[34] I *D chip_io -*I *2809:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_sel[34] 0.000276717 -2 *2809:pad_gpio_ana_sel 0.000419375 -3 *2057:14 0.00110684 -4 *2057:8 0.00142362 -5 *2057:7 0.00101287 -6 *2057:7 *2071:7 0.000156769 -7 *2057:8 *2822:mprj_io_dm[103] 2.01595e-05 -8 *2057:8 *2072:8 0.00409561 -9 *2057:8 *2323:8 0 -10 *2057:14 *2822:mprj_io_dm[103] 0.000626213 -11 *2057:14 *2822:mprj_io_inp_dis[34] 0.00121098 -12 *2057:14 *2073:16 0.000298872 -13 *2057:14 *2609:13 0.000374328 -14 *2822:mprj_io_analog_pol[34] *2057:14 0 -15 *619:20 *2057:8 0.000556909 -16 *619:20 *2057:14 0.000442119 -17 *2019:7 *2057:7 0.000156769 -18 *2019:8 *2057:8 0.00399919 -19 *2019:8 *2057:14 0.000318445 -*RES -1 *2809:pad_gpio_ana_sel *2057:7 4.65582 -2 *2057:7 *2057:8 66.6113 -3 *2057:8 *2057:14 49.6281 -4 *2057:14 *2822:mprj_io_analog_sel[34] 11.7132 -*END - -*D_NET *2058 0.0181853 -*CONN -*I *2822:mprj_io_analog_sel[35] I *D chip_io -*I *2783:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_sel[35] 0.000384288 -2 *2783:pad_gpio_ana_sel 0.000645753 -3 *2058:8 0.00140599 -4 *2058:7 0.00166746 -5 *2822:mprj_io_analog_sel[35] *2822:mprj_io_dm[107] 0 -6 *2822:mprj_io_analog_sel[35] *2248:8 0 -7 *2058:7 *2075:7 0 -8 *2058:8 *2076:8 0.000316724 -9 *2058:8 *2210:8 0.00686655 -10 *2058:8 *2324:8 0.00592113 -11 *2783:mgmt_gpio_out *2058:7 0 -12 *1745:13 *2058:8 0.000950463 -13 *2020:13 *2058:7 2.69096e-05 -*RES -1 *2783:pad_gpio_ana_sel *2058:7 4.88529 -2 *2058:7 *2058:8 110.42 -3 *2058:8 *2822:mprj_io_analog_sel[35] 18.6087 -*END - -*D_NET *2059 0.0196802 -*CONN -*I *2822:mprj_io_analog_sel[36] I *D chip_io -*I *2784:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_sel[36] 0.000321196 -2 *2784:pad_gpio_ana_sel 0.00060894 -3 *2059:16 0.0013022 -4 *2059:15 0.00170409 -5 *2059:12 0.00133202 -6 *2059:15 *2477:15 2.16355e-05 -7 *2059:16 *2822:mprj_io_inp_dis[36] 0 -8 *2059:16 *2077:12 0.00410596 -9 *2059:16 *2325:12 1.23518e-05 -10 *2059:16 *2401:18 0.00542288 -11 *2822:mprj_io_analog_pol[36] *2059:16 0 -12 *1733:8 *2059:16 0.000938008 -13 *1983:15 *2059:15 0.00253955 -14 *2021:12 *2059:12 0.00120967 -15 *2021:15 *2059:15 5.24081e-05 -16 *2021:16 *2059:16 0.000109332 -*RES -1 *2784:pad_gpio_ana_sel *2059:12 30.0667 -2 *2059:12 *2059:15 34.6174 -3 *2059:15 *2059:16 87.3739 -4 *2059:16 *2822:mprj_io_analog_sel[36] 17.4995 -*END - -*D_NET *2060 0.0152289 -*CONN -*I *2822:mprj_io_analog_sel[37] I *D chip_io -*I *2785:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_sel[37] 0.00330674 -2 *2785:pad_gpio_ana_sel 0.00330674 -3 *2822:mprj_io_analog_sel[37] *2822:mprj_io_holdover[37] 6.96236e-05 -4 *2822:mprj_io_analog_sel[37] *2822:mprj_io_out[37] 0.00548335 -5 *2822:mprj_io_analog_sel[37] *2081:7 0.000177738 -6 *2822:mprj_io_analog_sel[37] *2081:8 0.0007458 -7 *2822:mprj_io_analog_sel[37] *2082:8 0.00064132 -8 *2822:mprj_io_analog_sel[37] *2250:29 4.44899e-05 -9 *2822:mprj_io_analog_sel[37] *2440:16 0.000429068 -10 *1984:7 *2822:mprj_io_analog_sel[37] 0 -11 *1984:8 *2822:mprj_io_analog_sel[37] 0.000614731 -12 *2022:13 *2822:mprj_io_analog_sel[37] 0.000409284 -*RES -1 *2785:pad_gpio_ana_sel *2822:mprj_io_analog_sel[37] 22.0457 -*END - -*D_NET *2061 0.00864245 -*CONN -*I *2822:mprj_io_analog_sel[3] I *D chip_io -*I *2798:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_sel[3] 0.000527807 -2 *2798:pad_gpio_ana_sel 0.000216703 -3 *2061:16 0.00216789 -4 *2061:15 0.00185678 -5 *2822:mprj_io_analog_sel[3] *2822:mprj_io_dm[11] 4.25527e-05 -6 *2822:mprj_io_analog_sel[3] *2822:mprj_io_holdover[3] 5.65975e-05 -7 *2822:mprj_io_analog_sel[3] *2822:mprj_io_out[3] 0 -8 *2061:15 *2084:13 0.000522263 -9 *2061:15 *2181:13 1.67063e-05 -10 *2061:15 *2213:15 3.53886e-05 -11 *2061:15 *2251:13 0.000484359 -12 *2061:16 *2822:mprj_io_ib_mode_sel[3] 0 -13 *2061:16 *2822:mprj_io_out[3] 0 -14 *2061:16 *2822:mprj_io_vtrip_sel[3] 0 -15 *2061:16 *2251:14 0.00166883 -16 *111:30 *2061:16 0 -17 *111:36 *2822:mprj_io_analog_sel[3] 0 -18 *111:36 *2061:16 0.000999667 -19 *670:14 *2061:16 0 -20 *1985:15 *2061:15 0 -21 *2023:13 *2061:15 4.69062e-05 -*RES -1 *2798:pad_gpio_ana_sel *2061:15 19.8741 -2 *2061:15 *2061:16 73.0477 -3 *2061:16 *2822:mprj_io_analog_sel[3] 24.2794 -*END - -*D_NET *2062 0.0140058 -*CONN -*I *2822:mprj_io_analog_sel[4] I *D chip_io -*I *2799:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_sel[4] 0.000327756 -2 *2799:pad_gpio_ana_sel 0.000431317 -3 *2062:14 0.00111657 -4 *2062:13 0.00122013 -5 *2822:mprj_io_analog_sel[4] *2822:mprj_io_dm[14] 0 -6 *2062:13 *2085:15 0.000212818 -7 *2062:13 *2366:8 0.000111131 -8 *2062:14 *2087:14 0.00462807 -9 *2062:14 *2404:14 0.00411224 -10 *654:43 *2062:14 0.000706134 -11 *671:14 *2822:mprj_io_analog_sel[4] 0 -12 *671:14 *2062:14 8.53927e-05 -13 *1986:13 *2062:13 4.15236e-05 -14 *2024:15 *2062:13 0.00101271 -15 *2024:16 *2062:14 0 -*RES -1 *2799:pad_gpio_ana_sel *2062:13 28.2348 -2 *2062:13 *2062:14 76.9926 -3 *2062:14 *2822:mprj_io_analog_sel[4] 17.3601 -*END - -*D_NET *2063 0.01359 -*CONN -*I *2822:mprj_io_analog_sel[5] I *D chip_io -*I *2800:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_sel[5] 0.00043752 -2 *2800:pad_gpio_ana_sel 0.000715734 -3 *2063:14 0.00126855 -4 *2063:13 0.00154677 -5 *2822:mprj_io_analog_sel[5] *2822:mprj_io_dm[17] 0 -6 *2063:13 *2088:13 2.72062e-05 -7 *2063:13 *2253:8 0 -8 *2063:14 *2090:14 0.000389486 -9 *2063:14 *2215:14 0.0040811 -10 *2063:14 *2367:14 0.00260666 -11 *2063:14 *2481:14 0.000263095 -12 *630:29 *2063:14 0.00065835 -13 *1738:29 *2063:13 0 -14 *1987:13 *2063:13 0.00144866 -15 *1987:14 *2063:14 0 -16 *2025:13 *2063:13 0.000146868 -*RES -1 *2800:pad_gpio_ana_sel *2063:13 36.4061 -2 *2063:13 *2063:14 71.5944 -3 *2063:14 *2822:mprj_io_analog_sel[5] 20.6877 -*END - -*D_NET *2064 0.0123574 -*CONN -*I *2822:mprj_io_analog_sel[6] I *D chip_io -*I *2801:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_sel[6] 0.000493973 -2 *2801:pad_gpio_ana_sel 0.000245451 -3 *2064:14 0.00108442 -4 *2064:13 0.000835895 -5 *2822:mprj_io_analog_sel[6] *2822:mprj_io_dm[20] 0.00019711 -6 *2822:mprj_io_analog_sel[6] *2822:mprj_io_holdover[6] 0.000171064 -7 *2822:mprj_io_analog_sel[6] *2822:mprj_io_out[6] 0.000223156 -8 *2064:13 *2091:13 5.29561e-05 -9 *2064:13 *2094:15 0.000501425 -10 *2064:13 *2368:8 1.55462e-05 -11 *2064:14 *2822:mprj_io_vtrip_sel[6] 0.000216644 -12 *2064:14 *2254:8 0.0036672 -13 *630:47 *2822:mprj_io_analog_sel[6] 0.000570698 -14 *630:47 *2064:14 0.00404338 -15 *2026:13 *2064:13 3.84497e-05 -*RES -1 *2801:pad_gpio_ana_sel *2064:13 18.9543 -2 *2064:13 *2064:14 64.9503 -3 *2064:14 *2822:mprj_io_analog_sel[6] 31.4205 -*END - -*D_NET *2065 0.0468535 -*CONN -*I *2822:mprj_io_analog_sel[7] I *D chip_io -*I *2802:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_sel[7] 0.0060211 -2 *2802:pad_gpio_ana_sel 0.00624199 -3 *2065:10 0.0122631 -4 *2822:mprj_io_analog_sel[7] *2066:8 0.00197382 -5 *2822:mprj_io_analog_sel[7] *2255:8 0 -6 *2065:10 *2097:8 0 -7 *2065:10 *2217:8 0 -8 *2065:10 *2255:8 0.00410399 -9 *116:65 *2065:10 0.00129085 -10 *675:16 *2822:mprj_io_analog_sel[7] 0.00177224 -11 *1990:13 *2822:mprj_io_analog_sel[7] 0.00902032 -12 *2028:13 *2822:mprj_io_analog_sel[7] 0.00416612 -*RES -1 *2802:pad_gpio_ana_sel *2065:10 28.9693 -2 *2065:10 *2822:mprj_io_analog_sel[7] 42.9615 -*END - -*D_NET *2066 0.059096 -*CONN -*I *2822:mprj_io_analog_sel[8] I *D chip_io -*I *2786:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_sel[8] 0.000168155 -2 *2786:pad_gpio_ana_sel 0.000146391 -3 *2066:8 0.00637825 -4 *2066:7 0.00635648 -5 *2066:7 *2098:13 3.27908e-05 -6 *2066:8 *2218:10 0.00237293 -7 *2066:8 *2255:8 0.0147356 -8 *2066:8 *2257:8 0.0121501 -9 *2788:serial_clock_out *2066:8 0.0103411 -10 *2822:mprj_io_analog_sel[7] *2066:8 0.00197382 -11 *1990:13 *2066:8 2.40249e-06 -12 *1991:13 *2066:8 0.000875525 -13 *2028:13 *2066:8 0.00356236 -*RES -1 *2786:pad_gpio_ana_sel *2066:7 0.707538 -2 *2066:7 *2066:8 63.6606 -3 *2066:8 *2822:mprj_io_analog_sel[8] 6.0718 -*END - -*D_NET *2067 0.0519082 -*CONN -*I *2822:mprj_io_analog_sel[9] I *D chip_io -*I *2788:pad_gpio_ana_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_analog_sel[9] 0.00036206 -2 *2788:pad_gpio_ana_sel 0.00840124 -3 *2067:18 0.00097556 -4 *2067:16 0.000640396 -5 *2067:14 0.000966973 -6 *2067:13 0.00934131 -7 *2822:mprj_io_analog_sel[9] *2822:mprj_io_dm[29] 0 -8 *2822:mprj_io_analog_sel[9] *2103:36 0 -9 *2822:mprj_io_analog_sel[9] *2611:14 0 -10 *2067:13 *2297:7 5.71289e-05 -11 *2067:13 *2335:7 0.000160787 -12 *2067:13 *2373:7 0.000573079 -13 *2067:14 *2297:8 0.000867592 -14 *2067:14 *2409:30 0.00650446 -15 *2067:18 *2103:36 0.00361799 -16 *2067:18 *2259:11 0.000797637 -17 *2067:18 *2297:8 0.00058725 -18 *2788:serial_clock_out *2067:13 9.56027e-05 -19 *1955:8 *2067:13 0.00174938 -20 *1991:13 *2067:13 8.00779e-06 -21 *1991:14 *2067:14 1.23804e-05 -22 *1991:14 *2067:18 0.00203649 -23 *2029:13 *2067:13 0.00758393 -24 *2029:14 *2067:14 0.00654247 -25 *2029:14 *2067:18 2.6506e-05 -*RES -1 *2788:pad_gpio_ana_sel *2067:13 47.1926 -2 *2067:13 *2067:14 105.645 -3 *2067:14 *2067:16 0.732798 -4 *2067:16 *2067:18 59.3444 -5 *2067:18 *2822:mprj_io_analog_sel[9] 18.0541 -*END - -*D_NET *2068 0.0187219 -*CONN -*I *2822:mprj_io_dm[0] I *D chip_io -*I *2781:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[0] 0.000545256 -2 *2781:pad_gpio_dm[0] 0.000572536 -3 *2068:14 0.00143091 -4 *2068:13 0.00145819 -5 *2068:13 *2093:13 3.59146e-05 -6 *2068:13 *2104:15 0.000688043 -7 *2068:13 *2182:13 0.000758246 -8 *2068:13 *2220:13 7.77309e-06 -9 *2068:13 *2334:8 0.000145299 -10 *2068:14 *2093:14 0.00666325 -11 *2068:14 *2296:14 0.00619082 -12 *2822:mprj_io_analog_en[0] *2822:mprj_io_dm[0] 0 -13 *2822:mprj_io_analog_pol[0] *2822:mprj_io_dm[0] 0 -14 *1954:14 *2068:14 6.8162e-05 -15 *1992:14 *2068:14 0.00012693 -16 *2030:13 *2068:13 3.05206e-05 -*RES -1 *2781:pad_gpio_dm[0] *2068:13 29.2267 -2 *2068:13 *2068:14 106.476 -3 *2068:14 *2822:mprj_io_dm[0] 23.4607 -*END - -*D_NET *2069 0.0131633 -*CONN -*I *2822:mprj_io_dm[100] I *D chip_io -*I *2808:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[100] 0.000308654 -2 *2808:pad_gpio_dm[1] 0.000305358 -3 *2069:8 0.00109248 -4 *2069:7 0.00108918 -5 *2822:mprj_io_dm[100] *2608:8 0 -6 *2069:7 *2070:7 0.000190282 -7 *2069:7 *2180:7 0.000190282 -8 *2069:8 *2322:8 0.00244079 -9 *2069:8 *2398:14 0.000743661 -10 *2069:8 *2398:16 0.00349 -11 *2069:8 *2436:8 1.87269e-05 -12 *619:20 *2069:8 0.000742907 -13 *1980:16 *2822:mprj_io_dm[100] 0 -14 *1980:16 *2069:8 0.00255097 -*RES -1 *2808:pad_gpio_dm[1] *2069:7 4.57933 -2 *2069:7 *2069:8 80.9375 -3 *2069:8 *2822:mprj_io_dm[100] 16.8055 -*END - -*D_NET *2070 0.020766 -*CONN -*I *2822:mprj_io_dm[101] I *D chip_io -*I *2808:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[101] 0.000500745 -2 *2808:pad_gpio_dm[2] 0.000580104 -3 *2070:8 0.00148298 -4 *2070:7 0.00156234 -5 *2822:mprj_io_dm[101] *2822:mprj_io_holdover[33] 0 -6 *2822:mprj_io_dm[101] *2398:16 0 -7 *2070:7 *2208:7 0.000253659 -8 *2070:8 *2208:8 0.00757453 -9 *2822:mprj_io_analog_sel[33] *2822:mprj_io_dm[101] 0 -10 *645:15 *2070:8 0.00104687 -11 *684:16 *2070:8 0.00757453 -12 *2069:7 *2070:7 0.000190282 -*RES -1 *2808:pad_gpio_dm[2] *2070:7 5.19125 -2 *2070:7 *2070:8 121.217 -3 *2070:8 *2822:mprj_io_dm[101] 21.2423 -*END - -*D_NET *2071 0.0091988 -*CONN -*I *2822:mprj_io_dm[102] I *D chip_io -*I *2809:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[102] 0.000478158 -2 *2809:pad_gpio_dm[0] 0.000593308 -3 *2071:8 0.00300381 -4 *2071:7 0.00311896 -5 *2822:mprj_io_dm[102] *2609:13 0 -6 *2071:7 *2072:7 0.000147173 -7 *2071:8 *2073:16 0 -8 *2071:8 *2323:8 0.00170063 -9 *2822:mprj_io_analog_pol[34] *2822:mprj_io_dm[102] 0 -10 *685:14 *2071:8 0 -11 *1981:7 *2071:7 0 -12 *1981:8 *2071:8 0 -13 *2057:7 *2071:7 0.000156769 -*RES -1 *2809:pad_gpio_dm[0] *2071:7 5.19125 -2 *2071:7 *2071:8 91.3188 -3 *2071:8 *2822:mprj_io_dm[102] 20.1859 -*END - -*D_NET *2072 0.0119121 -*CONN -*I *2822:mprj_io_dm[103] I *D chip_io -*I *2809:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[103] 0.000540305 -2 *2809:pad_gpio_dm[1] 0.000442663 -3 *2072:8 0.00198658 -4 *2072:7 0.00188894 -5 *2822:mprj_io_dm[103] *2822:mprj_io_slow_sel[34] 0 -6 *2822:mprj_io_dm[103] *2609:13 0.000105778 -7 *2072:7 *2822:mprj_io_holdover[34] 0 -8 *2072:7 *2073:12 4.02086e-05 -9 *2072:8 *2822:mprj_io_slow_sel[34] 0.000915154 -10 *2072:8 *2323:8 0 -11 *619:20 *2822:mprj_io_dm[103] 0.000100007 -12 *619:20 *2072:8 0.0010033 -13 *2057:8 *2822:mprj_io_dm[103] 2.01595e-05 -14 *2057:8 *2072:8 0.00409561 -15 *2057:14 *2822:mprj_io_dm[103] 0.000626213 -16 *2071:7 *2072:7 0.000147173 -*RES -1 *2809:pad_gpio_dm[1] *2072:7 4.57933 -2 *2072:7 *2072:8 70.7639 -3 *2072:8 *2822:mprj_io_dm[103] 28.2982 -*END - -*D_NET *2073 0.0154267 -*CONN -*I *2822:mprj_io_dm[104] I *D chip_io -*I *2809:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[104] 0.00031526 -2 *2809:pad_gpio_dm[2] 0.00158062 -3 *2073:16 0.0015652 -4 *2073:15 0.00167856 -5 *2073:12 0.00200924 -6 *2073:12 *2822:mprj_io_holdover[34] 1.48814e-06 -7 *2073:12 *2285:11 0 -8 *2073:16 *2822:mprj_io_inp_dis[34] 0.000142505 -9 *2809:mgmt_gpio_out *2073:12 0.000153257 -10 *106:13 *2073:12 0.00039902 -11 *619:20 *2073:16 0.000635277 -12 *1732:37 *2073:12 4.75721e-06 -13 *1981:8 *2073:16 0.00307189 -14 *2019:8 *2073:16 0.00353055 -15 *2057:14 *2073:16 0.000298872 -16 *2071:8 *2073:16 0 -17 *2072:7 *2073:12 4.02086e-05 -*RES -1 *2809:pad_gpio_dm[2] *2073:12 49.2813 -2 *2073:12 *2073:15 14.6517 -3 *2073:15 *2073:16 80.3147 -4 *2073:16 *2822:mprj_io_dm[104] 17.2236 -*END - -*D_NET *2074 0.0356554 -*CONN -*I *2822:mprj_io_dm[105] I *D chip_io -*I *2783:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[105] 0.000252967 -2 *2783:pad_gpio_dm[0] 0.000882926 -3 *2074:36 2.68684e-05 -4 *2074:23 0.00166903 -5 *2074:22 0.00141606 -6 *2074:20 0.00803799 -7 *2074:19 0.00898168 -8 *2074:16 0.00247311 -9 *2074:8 0.00386321 -10 *2074:7 0.00318984 -11 *2822:mprj_io_dm[105] *2248:8 0 -12 *2074:23 *2822:mprj_io_dm[106] 0 -13 *2074:23 *2822:mprj_io_slow_sel[35] 0 -14 *2074:23 *2248:8 0.00395541 -15 *2074:23 *2286:10 0 -16 *2074:23 *2610:8 0 -17 *2822:mprj_io_analog_en[35] *2822:mprj_io_dm[105] 0 -18 *2822:mprj_io_analog_en[35] *2074:23 0 -19 *2822:mprj_io_analog_pol[35] *2822:mprj_io_dm[105] 0 -20 *619:20 *2074:23 0.000906339 -*RES -1 *2783:pad_gpio_dm[0] *2074:7 31.9168 -2 *2074:7 *2074:8 130.501 -3 *2074:8 *2074:16 37.7831 -4 *2074:16 *2074:19 31.0395 -5 *2074:19 *2074:20 224.783 -6 *2074:20 *2074:22 4.5 -7 *2074:22 *2074:23 63.7046 -8 *2074:23 *2822:mprj_io_dm[105] 15.6963 -9 *2783:pad_gpio_dm[0] *2074:36 0.0631875 -*END - -*D_NET *2075 0.0139313 -*CONN -*I *2822:mprj_io_dm[106] I *D chip_io -*I *2783:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[106] 0.000487253 -2 *2783:pad_gpio_dm[1] 0.000638077 -3 *2075:8 0.00124527 -4 *2075:7 0.00139609 -5 *2075:7 *2076:7 0.000148988 -6 *2075:7 *2210:7 0 -7 *2075:8 *2210:8 0.00506634 -8 *2075:8 *2286:11 0.000596244 -9 *1745:13 *2075:8 0.000530855 -10 *2020:14 *2075:8 0.00382214 -11 *2058:7 *2075:7 0 -12 *2074:23 *2822:mprj_io_dm[106] 0 -*RES -1 *2783:pad_gpio_dm[1] *2075:7 5.03827 -2 *2075:7 *2075:8 81.3528 -3 *2075:8 *2822:mprj_io_dm[106] 20.1331 -*END - -*D_NET *2076 0.0154778 -*CONN -*I *2822:mprj_io_dm[107] I *D chip_io -*I *2783:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[107] 0.000350763 -2 *2783:pad_gpio_dm[2] 0.000421793 -3 *2076:8 0.00212119 -4 *2076:7 0.00219222 -5 *2822:mprj_io_dm[107] *2248:8 0 -6 *2076:7 *2210:7 0.000148988 -7 *2076:8 *2822:mprj_io_out[35] 0.000125376 -8 *2076:8 *2210:8 0 -9 *2076:8 *2248:8 0.003602 -10 *2076:8 *2324:8 0.000906641 -11 *2076:8 *2438:8 0.0044677 -12 *2822:mprj_io_analog_sel[35] *2822:mprj_io_dm[107] 0 -13 *619:20 *2076:8 0.000178927 -14 *1733:8 *2076:8 0.000496457 -15 *2058:8 *2076:8 0.000316724 -16 *2075:7 *2076:7 0.000148988 -*RES -1 *2783:pad_gpio_dm[2] *2076:7 4.65582 -2 *2076:7 *2076:8 121.217 -3 *2076:8 *2822:mprj_io_dm[107] 17.9147 -*END - -*D_NET *2077 0.0152772 -*CONN -*I *2822:mprj_io_dm[108] I *D chip_io -*I *2784:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[108] 0.000284611 -2 *2784:pad_gpio_dm[0] 0.000668916 -3 *2077:12 0.000832307 -4 *2077:10 0.00121661 -5 *2077:10 *2078:10 0.000184083 -6 *2077:10 *2078:12 6.17825e-05 -7 *2077:10 *2080:15 0 -8 *2077:10 *2325:10 9.71323e-06 -9 *2077:10 *2401:15 0.00144469 -10 *2077:10 *2477:8 0.00150672 -11 *2077:12 *2078:12 2.11664e-05 -12 *2077:12 *2325:10 4.22808e-06 -13 *2077:12 *2325:12 3.80436e-07 -14 *2822:mprj_io_analog_pol[36] *2822:mprj_io_dm[108] 0 -15 *108:38 *2077:10 0 -16 *1733:8 *2077:10 0.000225834 -17 *1733:8 *2077:12 0.000651203 -18 *2021:16 *2822:mprj_io_dm[108] 0 -19 *2021:16 *2077:12 0.00405901 -20 *2059:16 *2077:12 0.00410596 -*RES -1 *2784:pad_gpio_dm[0] *2077:10 30.3982 -2 *2077:10 *2077:12 65.9885 -3 *2077:12 *2822:mprj_io_dm[108] 16.1144 -*END - -*D_NET *2078 0.0126911 -*CONN -*I *2822:mprj_io_dm[109] I *D chip_io -*I *2784:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[109] 0.000288881 -2 *2784:pad_gpio_dm[1] 0.000814193 -3 *2078:12 0.000761895 -4 *2078:10 0.00128721 -5 *2078:10 *2080:15 0.000163923 -6 *2078:10 *2401:15 0.00159788 -7 *108:38 *2078:10 0.000219405 -8 *648:32 *2078:10 0 -9 *648:36 *2078:10 0 -10 *1733:8 *2078:10 0.000208848 -11 *1733:8 *2078:12 0.000500324 -12 *1983:16 *2822:mprj_io_dm[109] 0 -13 *1983:16 *2078:12 0.00330121 -14 *2021:16 *2078:12 0.00328026 -15 *2077:10 *2078:10 0.000184083 -16 *2077:10 *2078:12 6.17825e-05 -17 *2077:12 *2078:12 2.11664e-05 -*RES -1 *2784:pad_gpio_dm[1] *2078:10 31.9063 -2 *2078:10 *2078:12 54.3614 -3 *2078:12 *2822:mprj_io_dm[109] 16.2509 -*END - -*D_NET *2079 0.0194026 -*CONN -*I *2822:mprj_io_dm[10] I *D chip_io -*I *2798:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[10] 0.000702529 -2 *2798:pad_gpio_dm[1] 0.000805824 -3 *2079:14 0.00170726 -4 *2079:13 0.00181055 -5 *2079:13 *2084:13 3.89371e-05 -6 *2079:13 *2181:13 7.24012e-05 -7 *2079:14 *2181:14 0.00664737 -8 *2079:14 *2289:11 0 -9 *2079:14 *2327:16 5.92227e-05 -10 *2079:14 *2441:16 0.00732456 -11 *2822:mprj_io_analog_en[3] *2822:mprj_io_dm[10] 0 -12 *111:30 *2079:13 0.000119662 -13 *111:36 *2822:mprj_io_dm[10] 0 -14 *1985:16 *2079:14 0.000114237 -*RES -1 *2798:pad_gpio_dm[1] *2079:13 27.3771 -2 *2079:13 *2079:14 116.857 -3 *2079:14 *2822:mprj_io_dm[10] 26.961 -*END - -*D_NET *2080 0.0186725 -*CONN -*I *2822:mprj_io_dm[110] I *D chip_io -*I *2784:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[110] 0.000438982 -2 *2784:pad_gpio_dm[2] 0.000938131 -3 *2080:16 0.00134525 -4 *2080:15 0.0018444 -5 *2822:mprj_io_dm[110] *2822:mprj_io_holdover[36] 0 -6 *2080:15 *2211:13 0.000229787 -7 *2080:15 *2325:10 0.000867775 -8 *2080:15 *2477:15 3.26421e-05 -9 *2080:16 *2211:14 0.00150556 -10 *2080:16 *2439:8 0.00268988 -11 *687:16 *2080:15 0.00179741 -12 *687:16 *2080:16 0.00578427 -13 *1745:13 *2080:15 0.000259922 -14 *1745:13 *2080:16 0.000774583 -15 *2077:10 *2080:15 0 -16 *2078:10 *2080:15 0.000163923 -*RES -1 *2784:pad_gpio_dm[2] *2080:15 45.2594 -2 *2080:15 *2080:16 92.357 -3 *2080:16 *2822:mprj_io_dm[110] 19.8572 -*END - -*D_NET *2081 0.0116627 -*CONN -*I *2822:mprj_io_dm[111] I *D chip_io -*I *2785:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[111] 0.000330242 -2 *2785:pad_gpio_dm[0] 0.000251915 -3 *2081:8 0.00240699 -4 *2081:7 0.00232866 -5 *2081:7 *2082:7 0.000252009 -6 *2081:8 *2082:8 0.00493309 -7 *2822:mprj_io_analog_pol[37] *2822:mprj_io_dm[111] 0 -8 *2822:mprj_io_analog_sel[37] *2081:7 0.000177738 -9 *2822:mprj_io_analog_sel[37] *2081:8 0.0007458 -10 *1984:7 *2081:7 0 -11 *1984:8 *2081:8 0.000236262 -12 *2022:14 *2822:mprj_io_dm[111] 0 -13 *2022:14 *2081:8 0 -*RES -1 *2785:pad_gpio_dm[0] *2081:7 4.73231 -2 *2081:7 *2081:8 91.3188 -3 *2081:8 *2822:mprj_io_dm[111] 17.2236 -*END - -*D_NET *2082 0.0132125 -*CONN -*I *2822:mprj_io_dm[112] I *D chip_io -*I *2785:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[112] 0.000362248 -2 *2785:pad_gpio_dm[1] 0.000261273 -3 *2082:8 0.00119964 -4 *2082:7 0.00109867 -5 *2822:mprj_io_analog_sel[37] *2082:8 0.00064132 -6 *1984:8 *2082:8 0.00446426 -7 *2022:13 *2082:8 0 -8 *2022:14 *2822:mprj_io_dm[112] 0 -9 *2081:7 *2082:7 0.000252009 -10 *2081:8 *2082:8 0.00493309 -*RES -1 *2785:pad_gpio_dm[1] *2082:7 4.65582 -2 *2082:7 *2082:8 81.3528 -3 *2082:8 *2822:mprj_io_dm[112] 17.9147 -*END - -*D_NET *2083 0.0170535 -*CONN -*I *2822:mprj_io_dm[113] I *D chip_io -*I *2785:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[113] 0.00191169 -2 *2785:pad_gpio_dm[2] 0.00181684 -3 *2083:15 0.00372853 -4 *2822:mprj_io_dm[113] *2822:mprj_io_holdover[37] 0.00116396 -5 *2822:mprj_io_dm[113] *2822:mprj_io_oeb[37] 0.00461676 -6 *2822:mprj_io_dm[113] *2250:29 0.00117204 -7 *2822:mprj_io_dm[113] *2326:27 1.93857e-05 -8 *2083:15 *2785:pad_gpio_in 0 -9 *2083:15 *2822:mprj_io_holdover[37] 0.00181309 -10 *2083:15 *2212:16 0 -11 *2083:15 *2250:15 0 -12 *2083:15 *2288:19 0 -13 *2083:15 *2364:15 0.000305566 -14 *2083:15 *2402:15 2.5386e-05 -15 *2083:15 *2402:21 0.000480206 -*RES -1 *2785:pad_gpio_dm[2] *2083:15 47.9154 -2 *2083:15 *2822:mprj_io_dm[113] 19.2039 -*END - -*D_NET *2084 0.0148263 -*CONN -*I *2822:mprj_io_dm[11] I *D chip_io -*I *2798:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[11] 0.000346337 -2 *2798:pad_gpio_dm[2] 0.000371824 -3 *2084:14 0.00106405 -4 *2084:13 0.00108953 -5 *2084:13 *2181:13 1.65872e-05 -6 *2084:13 *2213:15 0.000872703 -7 *2084:13 *2251:13 0.000489728 -8 *2084:13 *2365:8 0.000494416 -9 *2084:14 *2213:16 0.00469601 -10 *2084:14 *2289:15 0.000178513 -11 *2084:14 *2403:14 0.00446669 -12 *2822:mprj_io_analog_sel[3] *2822:mprj_io_dm[11] 4.25527e-05 -13 *670:14 *2084:14 0.000136165 -14 *2061:15 *2084:13 0.000522263 -15 *2079:13 *2084:13 3.89371e-05 -*RES -1 *2798:pad_gpio_dm[2] *2084:13 30.5269 -2 *2084:13 *2084:14 79.8994 -3 *2084:14 *2822:mprj_io_dm[11] 17.4007 -*END - -*D_NET *2085 0.0182626 -*CONN -*I *2822:mprj_io_dm[12] I *D chip_io -*I *2799:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[12] 0.000466649 -2 *2799:pad_gpio_dm[0] 0.000810882 -3 *2085:16 0.00137289 -4 *2085:15 0.00171712 -5 *2085:15 *2086:15 2.81691e-05 -6 *2085:15 *2087:13 7.22572e-05 -7 *2085:15 *2366:8 0 -8 *2085:16 *2086:16 0.0065381 -9 *2085:16 *2328:16 0.00601358 -10 *2822:mprj_io_analog_en[4] *2822:mprj_io_dm[12] 0 -11 *115:64 *2085:16 0.000915902 -12 *671:14 *2822:mprj_io_dm[12] 0 -13 *671:14 *2085:16 0 -14 *1986:13 *2085:15 0 -15 *2024:15 *2085:15 0 -16 *2024:16 *2085:16 0.000114237 -17 *2062:13 *2085:15 0.000212818 -*RES -1 *2799:pad_gpio_dm[0] *2085:15 31.2364 -2 *2085:15 *2085:16 104.399 -3 *2085:16 *2822:mprj_io_dm[12] 21.1058 -*END - -*D_NET *2086 0.0199321 -*CONN -*I *2822:mprj_io_dm[13] I *D chip_io -*I *2799:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[13] 0.000530661 -2 *2799:pad_gpio_dm[1] 0.000701966 -3 *2086:16 0.00168645 -4 *2086:15 0.00185775 -5 *2086:15 *2087:13 0.00026862 -6 *2086:16 *2328:16 0.000148843 -7 *2086:16 *2442:14 0.000431563 -8 *115:64 *2086:16 0.00115187 -9 *671:14 *2822:mprj_io_dm[13] 0 -10 *1986:14 *2086:16 0.0065881 -11 *2085:15 *2086:15 2.81691e-05 -12 *2085:16 *2086:16 0.0065381 -*RES -1 *2799:pad_gpio_dm[1] *2086:15 29.2995 -2 *2086:15 *2086:16 119.348 -3 *2086:16 *2822:mprj_io_dm[13] 22.9061 -*END - -*D_NET *2087 0.0141364 -*CONN -*I *2822:mprj_io_dm[14] I *D chip_io -*I *2799:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[14] 0.000275053 -2 *2799:pad_gpio_dm[2] 0.000510235 -3 *2087:14 0.000989099 -4 *2087:13 0.00122428 -5 *2087:13 *2822:mprj_io_holdover[4] 3.84497e-05 -6 *2087:13 *2366:8 0.000143105 -7 *2087:14 *2404:14 0.00020105 -8 *2822:mprj_io_analog_sel[4] *2822:mprj_io_dm[14] 0 -9 *654:43 *2087:14 0.000739296 -10 *671:14 *2822:mprj_io_dm[14] 0 -11 *671:14 *2087:14 0.00504691 -12 *2062:14 *2087:14 0.00462807 -13 *2085:15 *2087:13 7.22572e-05 -14 *2086:15 *2087:13 0.00026862 -*RES -1 *2799:pad_gpio_dm[2] *2087:13 28.0954 -2 *2087:13 *2087:14 81.1452 -3 *2087:14 *2822:mprj_io_dm[14] 15.975 -*END - -*D_NET *2088 0.0182308 -*CONN -*I *2822:mprj_io_dm[15] I *D chip_io -*I *2800:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[15] 0.000493958 -2 *2800:pad_gpio_dm[0] 0.00076218 -3 *2088:14 0.00144619 -4 *2088:13 0.00171441 -5 *2088:13 *2822:mprj_io_dm[16] 2.72062e-05 -6 *2088:13 *2253:8 0 -7 *2088:14 *2090:14 0.000359719 -8 *2088:14 *2329:14 0.000152316 -9 *2822:mprj_io_analog_en[5] *2822:mprj_io_dm[15] 0 -10 *116:49 *2088:14 0.00102672 -11 *672:14 *2088:14 9.81824e-05 -12 *1987:14 *2088:14 0.00598247 -13 *2025:14 *2088:14 0.00614023 -14 *2063:13 *2088:13 2.72062e-05 -*RES -1 *2800:pad_gpio_dm[0] *2088:13 29.2131 -2 *2088:13 *2088:14 107.721 -3 *2088:14 *2822:mprj_io_dm[15] 21.8619 -*END - -*D_NET *2089 0.0155014 -*CONN -*I *2822:mprj_io_dm[16] I *D chip_io -*I *2800:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[16] 0.0032007 -2 *2800:pad_gpio_dm[1] 0.0032007 -3 *2822:mprj_io_dm[16] *2800:pad_gpio_in 0.00848729 -4 *2822:mprj_io_dm[16] *2090:13 3.64393e-05 -5 *2822:mprj_io_dm[16] *2253:8 0.00054903 -6 *654:43 *2822:mprj_io_dm[16] 0 -7 *2088:13 *2822:mprj_io_dm[16] 2.72062e-05 -*RES -1 *2800:pad_gpio_dm[1] *2822:mprj_io_dm[16] 24.7654 -*END - -*D_NET *2090 0.0150681 -*CONN -*I *2822:mprj_io_dm[17] I *D chip_io -*I *2800:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[17] 0.000456864 -2 *2800:pad_gpio_dm[2] 0.00052225 -3 *2090:14 0.00135816 -4 *2090:13 0.00142355 -5 *2822:mprj_io_dm[17] *2822:mprj_io_holdover[5] 0 -6 *2090:13 *2215:13 0.00114271 -7 *2090:13 *2253:8 0 -8 *2090:13 *2329:13 0 -9 *2090:14 *2329:14 0 -10 *2090:14 *2481:14 0.0042323 -11 *2822:mprj_io_analog_sel[5] *2822:mprj_io_dm[17] 0 -12 *2822:mprj_io_dm[16] *2090:13 3.64393e-05 -13 *115:64 *2090:14 0.000820912 -14 *1987:14 *2090:14 0.00432568 -15 *2063:14 *2090:14 0.000389486 -16 *2088:14 *2090:14 0.000359719 -*RES -1 *2800:pad_gpio_dm[2] *2090:13 27.6257 -2 *2090:13 *2090:14 86.1282 -3 *2090:14 *2822:mprj_io_dm[17] 20.9664 -*END - -*D_NET *2091 0.0192726 -*CONN -*I *2822:mprj_io_dm[18] I *D chip_io -*I *2801:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[18] 0.000475407 -2 *2801:pad_gpio_dm[0] 0.000568437 -3 *2091:14 0.00139624 -4 *2091:13 0.00148927 -5 *2091:13 *2092:13 4.2387e-05 -6 *2091:13 *2094:15 0.000569791 -7 *2091:13 *2216:13 0.000320859 -8 *2091:13 *2368:8 0.00017136 -9 *2091:14 *2092:14 0.00641295 -10 *2091:14 *2330:16 0.00588844 -11 *2822:mprj_io_analog_pol[6] *2822:mprj_io_dm[18] 0.00073905 -12 *115:64 *2091:14 0.000946932 -13 *630:47 *2822:mprj_io_dm[18] 0.000198495 -14 *1988:14 *2091:14 0 -15 *2026:13 *2091:13 0 -16 *2026:14 *2091:14 0 -17 *2064:13 *2091:13 5.29561e-05 -*RES -1 *2801:pad_gpio_dm[0] *2091:13 29.0108 -2 *2091:13 *2091:14 102.323 -3 *2091:14 *2822:mprj_io_dm[18] 25.8518 -*END - -*D_NET *2092 0.0205548 -*CONN -*I *2822:mprj_io_dm[19] I *D chip_io -*I *2801:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[19] 0.000573743 -2 *2801:pad_gpio_dm[1] 0.000737131 -3 *2092:14 0.0015794 -4 *2092:13 0.00174279 -5 *2092:13 *2094:15 4.10643e-05 -6 *2092:13 *2216:13 0.000119662 -7 *2092:13 *2368:8 0.000124349 -8 *2092:14 *2292:11 0.00709015 -9 *2092:14 *2330:16 9.80712e-05 -10 *2822:mprj_io_analog_en[6] *2822:mprj_io_dm[19] 0.00070508 -11 *116:49 *2092:14 0.00097527 -12 *630:47 *2822:mprj_io_dm[19] 0.000312733 -13 *673:14 *2092:14 0 -14 *1988:14 *2092:14 0 -15 *2091:13 *2092:13 4.2387e-05 -16 *2091:14 *2092:14 0.00641295 -*RES -1 *2801:pad_gpio_dm[1] *2092:13 28.7349 -2 *2092:13 *2092:14 113.12 -3 *2092:14 *2822:mprj_io_dm[19] 30.1436 -*END - -*D_NET *2093 0.0154372 -*CONN -*I *2822:mprj_io_dm[1] I *D chip_io -*I *2781:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[1] 0.000573341 -2 *2781:pad_gpio_dm[1] 0.000792788 -3 *2093:14 0.00336108 -4 *2093:13 0.00358053 -5 *2093:13 *2104:15 0.000100878 -6 *2093:13 *2182:13 0.000119662 -7 *2093:13 *2334:8 0.000124349 -8 *2093:14 *2296:14 8.53782e-05 -9 *1727:20 *2093:14 0 -10 *1743:8 *2093:14 0 -11 *1954:14 *2093:14 0 -12 *2068:13 *2093:13 3.59146e-05 -13 *2068:14 *2093:14 0.00666325 -*RES -1 *2781:pad_gpio_dm[1] *2093:13 29.366 -2 *2093:13 *2093:14 120.594 -3 *2093:14 *2822:mprj_io_dm[1] 24.57 -*END - -*D_NET *2094 0.0119556 -*CONN -*I *2822:mprj_io_dm[20] I *D chip_io -*I *2801:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[20] 0.000216514 -2 *2801:pad_gpio_dm[2] 0.000452441 -3 *2094:16 0.00121137 -4 *2094:15 0.0014473 -5 *2822:mprj_io_dm[20] *2822:mprj_io_holdover[6] 0 -6 *2094:15 *2216:13 0.000396074 -7 *2094:16 *2216:14 0.00468585 -8 *2094:16 *2406:14 0.000539577 -9 *2822:mprj_io_analog_sel[6] *2822:mprj_io_dm[20] 0.00019711 -10 *114:54 *2094:16 0.00159904 -11 *630:47 *2094:16 9.80857e-05 -12 *2064:13 *2094:15 0.000501425 -13 *2091:13 *2094:15 0.000569791 -14 *2092:13 *2094:15 4.10643e-05 -*RES -1 *2801:pad_gpio_dm[2] *2094:15 27.488 -2 *2094:15 *2094:16 78.6536 -3 *2094:16 *2822:mprj_io_dm[20] 15.1417 -*END - -*D_NET *2095 0.0650555 -*CONN -*I *2822:mprj_io_dm[21] I *D chip_io -*I *2802:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[21] 0.000496543 -2 *2802:pad_gpio_dm[0] 0.00059092 -3 *2095:8 0.00442998 -4 *2095:7 0.00452436 -5 *2822:mprj_io_dm[21] *2590:9 0.000197125 -6 *2095:7 *2096:13 2.97005e-05 -7 *2095:7 *2097:7 0 -8 *2095:8 *2096:14 0.0253664 -9 *2095:8 *2097:8 0.00215227 -10 *2095:8 *2098:14 0.000337806 -11 *2095:8 *2256:10 0.00149605 -12 *2095:8 *2293:11 0 -13 *2095:8 *2331:14 0.0251799 -14 *2822:mprj_io_analog_en[7] *2822:mprj_io_dm[21] 0 -15 *1989:14 *2095:8 0.000254382 -16 *2027:13 *2095:7 0 -*RES -1 *2802:pad_gpio_dm[0] *2095:7 5.34423 -2 *2095:7 *2095:8 428.919 -3 *2095:8 *2822:mprj_io_dm[21] 21.2423 -*END - -*D_NET *2096 0.0563252 -*CONN -*I *2822:mprj_io_dm[22] I *D chip_io -*I *2802:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[22] 0.0005278 -2 *2802:pad_gpio_dm[1] 0.000650619 -3 *2096:14 0.00471337 -4 *2096:13 0.00483619 -5 *2822:mprj_io_dm[22] *2590:9 0.000197125 -6 *2096:13 *2802:pad_gpio_in 0.000151484 -7 *2096:13 *2097:7 2.50257e-05 -8 *2096:14 *2098:14 0.00919182 -9 *2096:14 *2256:10 0.00138915 -10 *116:56 *2096:14 0.000216508 -11 *655:51 *2096:13 0.000569872 -12 *656:40 *2096:13 2.14855e-05 -13 *674:10 *2096:13 0.000565196 -14 *1989:14 *2096:14 0.00787341 -15 *2095:7 *2096:13 2.97005e-05 -16 *2095:8 *2096:14 0.0253664 -*RES -1 *2802:pad_gpio_dm[1] *2096:13 35.5784 -2 *2096:13 *2096:14 405.872 -3 *2096:14 *2822:mprj_io_dm[22] 21.7969 -*END - -*D_NET *2097 0.060357 -*CONN -*I *2822:mprj_io_dm[23] I *D chip_io -*I *2802:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[23] 0.00045977 -2 *2802:pad_gpio_dm[2] 0.00040573 -3 *2097:8 0.00849712 -4 *2097:7 0.00844308 -5 *2822:mprj_io_dm[23] *2822:mprj_io_holdover[7] 5.28744e-05 -6 *2097:7 *2217:7 0.000213021 -7 *2097:8 *2100:10 0.012599 -8 *2097:8 *2217:8 0.00879573 -9 *2097:8 *2256:10 0.000156378 -10 *2097:8 *2293:11 0.00363493 -11 *2097:8 *2331:14 0.00204779 -12 *2097:8 *2408:10 0.0117626 -13 *2097:8 *2446:14 0.000907986 -14 *116:56 *2097:8 0.000203694 -15 *676:14 *2822:mprj_io_dm[23] 0 -16 *2065:10 *2097:8 0 -17 *2095:7 *2097:7 0 -18 *2095:8 *2097:8 0.00215227 -19 *2096:13 *2097:7 2.50257e-05 -*RES -1 *2802:pad_gpio_dm[2] *2097:7 1.93138 -2 *2097:7 *2097:8 62.2318 -3 *2097:8 *2822:mprj_io_dm[23] 6.34495 -*END - -*D_NET *2098 0.059174 -*CONN -*I *2822:mprj_io_dm[24] I *D chip_io -*I *2786:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[24] 0.000561392 -2 *2786:pad_gpio_dm[0] 0.000679228 -3 *2098:14 0.0072269 -4 *2098:13 0.00734474 -5 *2822:mprj_io_dm[24] *2601:13 0 -6 *2098:13 *2099:13 3.74656e-05 -7 *2098:14 *2099:14 0 -8 *2098:14 *2101:14 0.000174244 -9 *2098:14 *2102:14 0.00967654 -10 *2098:14 *2219:8 0.00151696 -11 *2098:14 *2256:10 0.00249125 -12 *2098:14 *2295:11 8.92567e-05 -13 *2098:14 *2331:14 0.000191764 -14 *2098:14 *2333:14 0.00853051 -15 *2098:14 *2407:14 0.00116717 -16 *2822:mprj_io_analog_en[8] *2822:mprj_io_dm[24] 0 -17 *2822:mprj_io_analog_pol[8] *2822:mprj_io_dm[24] 0 -18 *116:65 *2098:13 0.000113149 -19 *632:85 *2098:13 0.000124334 -20 *658:63 *2098:14 0 -21 *1989:14 *2098:14 0.00968668 -22 *2066:7 *2098:13 3.27908e-05 -23 *2095:8 *2098:14 0.000337806 -24 *2096:14 *2098:14 0.00919182 -*RES -1 *2786:pad_gpio_dm[0] *2098:13 28.6585 -2 *2098:13 *2098:14 435.355 -3 *2098:14 *2822:mprj_io_dm[24] 21.521 -*END - -*D_NET *2099 0.0539005 -*CONN -*I *2822:mprj_io_dm[25] I *D chip_io -*I *2786:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[25] 0.000438374 -2 *2786:pad_gpio_dm[1] 0.000638953 -3 *2099:14 0.0067667 -4 *2099:13 0.00696728 -5 *2822:mprj_io_dm[25] *2601:10 6.84044e-05 -6 *2099:13 *2786:pad_gpio_in 0 -7 *2099:13 *2100:9 1.95874e-05 -8 *2099:14 *2293:11 5.03106e-05 -9 *2099:14 *2294:11 0.000223569 -10 *2099:14 *2295:11 0.00388261 -11 *2099:14 *2331:14 0.00475842 -12 *2099:14 *2332:14 0.0251298 -13 *2099:14 *2484:10 0.00379048 -14 *2822:mprj_io_analog_en[8] *2822:mprj_io_dm[25] 0 -15 *116:65 *2099:13 0.00055861 -16 *632:85 *2099:13 0.000569872 -17 *657:55 *2099:13 0 -18 *2098:13 *2099:13 3.74656e-05 -19 *2098:14 *2099:14 0 -*RES -1 *2786:pad_gpio_dm[1] *2099:13 33.36 -2 *2099:13 *2099:14 413.347 -3 *2099:14 *2822:mprj_io_dm[25] 19.5785 -*END - -*D_NET *2100 0.0704481 -*CONN -*I *2822:mprj_io_dm[26] I *D chip_io -*I *2786:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[26] 0.000382708 -2 *2786:pad_gpio_dm[2] 0.000632493 -3 *2100:10 0.00629504 -4 *2100:9 0.00654483 -5 *2822:mprj_io_dm[26] *2822:mprj_io_out[8] 0 -6 *2100:9 *2218:9 8.5409e-05 -7 *2100:9 *2256:9 0 -8 *2100:10 *2103:23 0.000372041 -9 *2100:10 *2217:8 0.0127574 -10 *2100:10 *2369:8 0.000793233 -11 *2100:10 *2370:10 0.000537626 -12 *2100:10 *2371:8 0.0117224 -13 *2100:10 *2408:10 0.00906398 -14 *2100:10 *2409:30 0.000371692 -15 *2100:10 *2445:14 0.00100672 -16 *2100:10 *2446:14 0.00155249 -17 *2100:10 *2446:18 0.0011266 -18 *2100:10 *2601:13 0.000149334 -19 *2822:mprj_io_analog_pol[8] *2100:10 0.000181563 -20 *676:14 *2100:10 0.000730859 -21 *1990:14 *2100:10 0.0011913 -22 *1990:29 *2100:10 0.000404445 -23 *2028:14 *2100:10 0.0019273 -24 *2097:8 *2100:10 0.012599 -25 *2099:13 *2100:9 1.95874e-05 -*RES -1 *2786:pad_gpio_dm[2] *2100:9 1.99789 -2 *2100:9 *2100:10 63.0319 -3 *2100:10 *2822:mprj_io_dm[26] 6.26846 -*END - -*D_NET *2101 0.0542607 -*CONN -*I *2822:mprj_io_dm[27] I *D chip_io -*I *2788:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[27] 0.000595318 -2 *2788:pad_gpio_dm[0] 0.00078582 -3 *2101:14 0.00861861 -4 *2101:13 0.00880911 -5 *2822:mprj_io_dm[27] *2611:11 0 -6 *2101:13 *2102:13 3.84497e-05 -7 *2101:14 *2102:14 0.0260467 -8 *2101:14 *2105:16 0 -9 *2101:14 *2106:14 6.8162e-05 -10 *2101:14 *2107:16 0.00467584 -11 *2101:14 *2219:8 0.00265457 -12 *2101:14 *2221:8 0.00141657 -13 *2101:14 *2333:18 0.000377318 -14 *2822:mprj_io_analog_pol[9] *2822:mprj_io_dm[27] 0 -15 *658:63 *2101:14 0 -16 *658:78 *2101:14 0 -17 *676:20 *2101:13 0 -18 *2098:14 *2101:14 0.000174244 -*RES -1 *2788:pad_gpio_dm[0] *2101:13 29.7677 -2 *2101:13 *2101:14 435.771 -3 *2101:14 *2822:mprj_io_dm[27] 22.4532 -*END - -*D_NET *2102 0.0610469 -*CONN -*I *2822:mprj_io_dm[28] I *D chip_io -*I *2788:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[28] 0.000595815 -2 *2788:pad_gpio_dm[1] 0.000890447 -3 *2102:14 0.00476629 -4 *2102:13 0.00506093 -5 *2822:mprj_io_dm[28] *2611:11 9.2668e-05 -6 *2102:13 *2103:7 4.31122e-05 -7 *2102:13 *2103:8 0 -8 *2102:14 *2219:8 0.00280511 -9 *2102:14 *2221:8 0.00112683 -10 *2102:14 *2333:14 0.00605755 -11 *2102:14 *2333:18 0.00384641 -12 *676:20 *2102:13 0 -13 *2098:14 *2102:14 0.00967654 -14 *2101:13 *2102:13 3.84497e-05 -15 *2101:14 *2102:14 0.0260467 -*RES -1 *2788:pad_gpio_dm[1] *2102:13 32.5351 -2 *2102:13 *2102:14 417.499 -3 *2102:14 *2822:mprj_io_dm[28] 23.1199 -*END - -*D_NET *2103 0.050615 -*CONN -*I *2822:mprj_io_dm[29] I *D chip_io -*I *2788:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[29] 0.000315713 -2 *2788:pad_gpio_dm[2] 0.000138455 -3 *2103:36 0.00101872 -4 *2103:35 0.000915566 -5 *2103:30 0.00675933 -6 *2103:29 0.00685864 -7 *2103:24 0.0017837 -8 *2103:23 0.00275814 -9 *2103:13 0.0015077 -10 *2103:8 0.00319774 -11 *2103:7 0.0031148 -12 *2103:7 *2219:7 7.83101e-05 -13 *2103:8 *2788:pad_gpio_in 0 -14 *2103:8 *2788:user_gpio_oeb 0 -15 *2103:8 *2788:user_gpio_out 0 -16 *2103:8 *2218:10 0.000350273 -17 *2103:8 *2333:13 0.000217538 -18 *2103:23 *2409:16 0 -19 *2103:24 *2822:mprj_io_slow_sel[8] 3.26582e-06 -20 *2103:24 *2295:11 0 -21 *2103:24 *2332:14 0.00250532 -22 *2103:24 *2408:10 0.000625335 -23 *2103:24 *2409:30 0.00566139 -24 *2103:30 *2789:pad_gpio_in 0 -25 *2103:30 *2789:user_gpio_oeb 0 -26 *2103:30 *2789:user_gpio_out 0 -27 *2103:30 *2105:15 0 -28 *2103:30 *2106:13 0 -29 *2103:30 *2107:15 0 -30 *2103:30 *2257:8 0.00100707 -31 *2103:30 *2335:8 0.00149129 -32 *2103:30 *2411:13 0 -33 *2103:30 *2620:10 0 -34 *2103:35 *2295:10 0.000131809 -35 *2103:36 *2259:11 7.14054e-05 -36 *2103:36 *2297:8 0.000524071 -37 *2103:36 *2611:11 0.000473956 -38 *2788:resetn_out *2103:8 4.89469e-06 -39 *2788:serial_clock *2103:8 0 -40 *2788:serial_data_in *2103:8 0 -41 *2788:serial_load_out *2103:8 0 -42 *2789:resetn *2103:30 0 -43 *2789:serial_data_in *2103:30 3.93117e-06 -44 *2822:mprj_io_analog_sel[9] *2822:mprj_io_dm[29] 0 -45 *2822:mprj_io_analog_sel[9] *2103:36 0 -46 *617:43 *2103:35 3.77235e-05 -47 *661:13 *2103:30 3.93117e-06 -48 *676:20 *2103:8 0 -49 *677:13 *2103:8 0 -50 *1990:14 *2103:8 0 -51 *1990:19 *2103:13 5.04829e-06 -52 *1990:29 *2103:23 9.83988e-05 -53 *2028:14 *2103:23 0.00248835 -54 *2029:14 *2103:36 0.00243006 -55 *2067:18 *2103:36 0.00361799 -56 *2100:10 *2103:23 0.000372041 -57 *2102:13 *2103:7 4.31122e-05 -58 *2102:13 *2103:8 0 -*RES -1 *2788:pad_gpio_dm[2] *2103:7 3.89091 -2 *2103:7 *2103:8 83.4291 -3 *2103:8 *2103:13 14.7148 -4 *2103:13 *2103:23 47.7424 -5 *2103:23 *2103:24 91.3188 -6 *2103:24 *2103:29 16.9332 -7 *2103:29 *2103:30 179.975 -8 *2103:30 *2103:35 15.824 -9 *2103:35 *2103:36 61.6283 -10 *2103:36 *2822:mprj_io_dm[29] 17.3601 -*END - -*D_NET *2104 0.0142034 -*CONN -*I *2822:mprj_io_dm[2] I *D chip_io -*I *2781:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[2] 0.000258828 -2 *2781:pad_gpio_dm[2] 0.000484265 -3 *2104:16 0.00110656 -4 *2104:15 0.00133199 -5 *2104:15 *2182:13 2.77992e-05 -6 *2104:15 *2220:13 0.00038152 -7 *2104:16 *2182:14 0.00473797 -8 *2104:16 *2372:14 0.00456074 -9 *2822:mprj_io_analog_sel[0] *2822:mprj_io_dm[2] 0 -10 *1954:14 *2104:16 0 -11 *1992:13 *2104:15 0 -12 *2030:13 *2104:15 0.00047711 -13 *2030:14 *2822:mprj_io_dm[2] 4.76719e-05 -14 *2030:14 *2104:16 0 -15 *2068:13 *2104:15 0.000688043 -16 *2093:13 *2104:15 0.000100878 -*RES -1 *2781:pad_gpio_dm[2] *2104:15 28.0191 -2 *2104:15 *2104:16 80.7299 -3 *2104:16 *2822:mprj_io_dm[2] 15.975 -*END - -*D_NET *2105 0.0648672 -*CONN -*I *2822:mprj_io_dm[30] I *D chip_io -*I *2789:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[30] 0.000631975 -2 *2789:pad_gpio_dm[0] 0.00078436 -3 *2105:16 0.00540023 -4 *2105:15 0.00555261 -5 *2822:mprj_io_dm[30] *2108:14 0 -6 *2105:15 *2106:13 0.000259011 -7 *2105:16 *2106:14 0.0263461 -8 *2105:16 *2107:16 0.000377318 -9 *2105:16 *2221:8 0.00352809 -10 *2822:mprj_io_analog_en[10] *2822:mprj_io_dm[30] 0.000195214 -11 *617:32 *2105:16 0 -12 *617:38 *2105:16 0 -13 *641:57 *2105:16 0.0170978 -14 *658:78 *2105:16 0.0011271 -15 *661:14 *2105:16 0.000242012 -16 *662:16 *2105:16 0.00300243 -17 *677:14 *2105:16 0.000323024 -18 *2101:14 *2105:16 0 -19 *2103:30 *2105:15 0 -*RES -1 *2789:pad_gpio_dm[0] *2105:15 31.4443 -2 *2105:15 *2105:16 436.186 -3 *2105:16 *2822:mprj_io_dm[30] 24.988 -*END - -*D_NET *2106 0.0662433 -*CONN -*I *2822:mprj_io_dm[31] I *D chip_io -*I *2789:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[31] 0.000641796 -2 *2789:pad_gpio_dm[1] 0.000751813 -3 *2106:14 0.00412459 -4 *2106:13 0.0042346 -5 *2822:mprj_io_dm[31] *2108:14 0 -6 *2822:mprj_io_dm[31] *2612:13 8.62584e-05 -7 *2106:13 *2107:15 3.95327e-06 -8 *2106:14 *2107:16 0.0261341 -9 *2106:14 *2221:8 0.003593 -10 *2101:14 *2106:14 6.8162e-05 -11 *2103:30 *2106:13 0 -12 *2105:15 *2106:13 0.000259011 -13 *2105:16 *2106:14 0.0263461 -*RES -1 *2789:pad_gpio_dm[1] *2106:13 29.7705 -2 *2106:13 *2106:14 422.067 -3 *2106:14 *2822:mprj_io_dm[31] 25.1246 -*END - -*D_NET *2107 0.0566601 -*CONN -*I *2822:mprj_io_dm[32] I *D chip_io -*I *2789:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[32] 0.000608603 -2 *2789:pad_gpio_dm[2] 0.000832191 -3 *2107:16 0.00767554 -4 *2107:15 0.00789913 -5 *2822:mprj_io_dm[32] *2108:14 0 -6 *2107:15 *2183:7 1.38992e-05 -7 *2107:16 *2109:14 0.00372941 -8 *2107:16 *2221:8 0.00415239 -9 *2107:16 *2333:18 0 -10 *2107:16 *2409:36 0 -11 *2107:16 *2411:14 0 -12 *662:16 *2107:16 0.000557717 -13 *2101:14 *2107:16 0.00467584 -14 *2103:30 *2107:15 0 -15 *2105:16 *2107:16 0.000377318 -16 *2106:13 *2107:15 3.95327e-06 -17 *2106:14 *2107:16 0.0261341 -*RES -1 *2789:pad_gpio_dm[2] *2107:15 30.335 -2 *2107:15 *2107:16 457.364 -3 *2107:16 *2822:mprj_io_dm[32] 23.7395 -*END - -*D_NET *2108 0.0538154 -*CONN -*I *2822:mprj_io_dm[33] I *D chip_io -*I *2790:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[33] 0.000512459 -2 *2790:pad_gpio_dm[0] 0.000216715 -3 *2108:26 0.00339969 -4 *2108:25 0.00299823 -5 *2108:20 0.00164055 -6 *2108:19 0.00163446 -7 *2108:14 0.00275604 -8 *2108:13 0.00276212 -9 *2108:8 0.0027632 -10 *2108:7 0.00286892 -11 *2822:mprj_io_dm[33] *2112:14 0.000977286 -12 *2822:mprj_io_dm[33] *2223:8 0.000131375 -13 *2822:mprj_io_dm[33] *2613:10 0 -14 *2822:mprj_io_dm[33] *2613:13 0.00080439 -15 *2108:7 *2109:13 3.53471e-05 -16 *2108:7 *2110:7 0 -17 *2108:8 *2790:pad_gpio_in 0 -18 *2108:8 *2109:13 0 -19 *2108:8 *2298:8 0.00571264 -20 *2108:8 *2298:14 0 -21 *2108:8 *2373:8 0.00169793 -22 *2108:13 *2298:13 0.000428132 -23 *2108:14 *2822:mprj_io_holdover[10] 0 -24 *2108:14 *2822:mprj_io_ib_mode_sel[10] 0 -25 *2108:14 *2822:mprj_io_inp_dis[10] 0 -26 *2108:14 *2822:mprj_io_oeb[10] 0 -27 *2108:14 *2822:mprj_io_out[10] 0 -28 *2108:14 *2822:mprj_io_slow_sel[10] 0 -29 *2108:14 *2822:mprj_io_vtrip_sel[10] 0 -30 *2108:14 *2184:8 0.000306064 -31 *2108:14 *2259:10 0 -32 *2108:14 *2298:14 0.00730381 -33 *2108:14 *2412:14 0 -34 *2108:14 *2612:13 0 -35 *2108:19 *2298:19 0.000436825 -36 *2108:20 *2112:14 0 -37 *2108:20 *2298:20 0.00414288 -38 *2108:20 *2298:26 0 -39 *2108:20 *2336:8 0.000983629 -40 *2108:25 *2298:25 0.000428132 -41 *2108:26 *2822:mprj_io_slow_sel[11] 0 -42 *2108:26 *2112:14 1.23804e-05 -43 *2108:26 *2223:8 0.000978762 -44 *2108:26 *2260:10 0 -45 *2108:26 *2298:26 0.00788338 -46 *2108:26 *2613:10 0 -47 *2822:mprj_io_analog_en[10] *2108:14 0 -48 *2822:mprj_io_dm[30] *2108:14 0 -49 *2822:mprj_io_dm[31] *2108:14 0 -50 *2822:mprj_io_dm[32] *2108:14 0 -51 *2822:mprj_io_analog_en[11] *2822:mprj_io_dm[33] 0 -*RES -1 *2790:pad_gpio_dm[0] *2108:7 4.04389 -2 *2108:7 *2108:8 107.514 -3 *2108:8 *2108:13 13.6056 -4 *2108:13 *2108:14 118.103 -5 *2108:14 *2108:19 13.6056 -6 *2108:19 *2108:20 67.4418 -7 *2108:20 *2108:25 13.6056 -8 *2108:25 *2108:26 127.861 -9 *2108:26 *2822:mprj_io_dm[33] 33.2812 -*END - -*D_NET *2109 0.05227 -*CONN -*I *2822:mprj_io_dm[34] I *D chip_io -*I *2790:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[34] 0.000668779 -2 *2790:pad_gpio_dm[1] 0.00098628 -3 *2109:18 0.00365097 -4 *2109:16 0.00302773 -5 *2109:14 0.00339538 -6 *2109:13 0.00433612 -7 *2822:mprj_io_dm[34] *2613:10 6.40706e-05 -8 *2822:mprj_io_dm[34] *2613:13 0 -9 *2109:13 *2790:pad_gpio_in 0 -10 *2109:13 *2110:7 3.53471e-05 -11 *2109:14 *2221:8 0.00187091 -12 *2109:14 *2260:11 0.0136767 -13 *2109:14 *2411:14 0 -14 *2109:18 *2260:11 0.00125996 -15 *2109:18 *2374:8 0.00049341 -16 *642:67 *2109:13 0 -17 *662:16 *2109:14 0 -18 *662:16 *2109:18 0 -19 *663:14 *2109:18 0.00680578 -20 *1956:20 *2109:18 0.00823385 -21 *2107:16 *2109:14 0.00372941 -22 *2108:7 *2109:13 3.53471e-05 -23 *2108:8 *2109:13 0 -*RES -1 *2790:pad_gpio_dm[1] *2109:13 36.6876 -2 *2109:13 *2109:14 221.879 -3 *2109:14 *2109:16 1.29461 -4 *2109:16 *2109:18 191.224 -5 *2109:18 *2822:mprj_io_dm[34] 24.0154 -*END - -*D_NET *2110 0.0751131 -*CONN -*I *2822:mprj_io_dm[35] I *D chip_io -*I *2790:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[35] 0.000649993 -2 *2790:pad_gpio_dm[2] 0.000601543 -3 *2110:8 0.00384263 -4 *2110:7 0.00379418 -5 *2822:mprj_io_dm[35] *2822:mprj_io_holdover[11] 9.94353e-05 -6 *2822:mprj_io_dm[35] *2261:11 0 -7 *2110:7 *2184:7 0.000239941 -8 *2110:8 *2111:14 0.000145 -9 *2110:8 *2184:8 0.0315903 -10 *2110:8 *2221:8 0.000273133 -11 *2110:8 *2297:8 7.3119e-05 -12 *2110:8 *2374:8 0.030929 -13 *2110:8 *2375:14 0.00157802 -14 *2110:8 *2411:14 0.00126139 -15 *2108:7 *2110:7 0 -16 *2109:13 *2110:7 3.53471e-05 -*RES -1 *2790:pad_gpio_dm[2] *2110:7 2.23734 -2 *2110:7 *2110:8 63.1462 -3 *2110:8 *2822:mprj_io_dm[35] 7.06617 -*END - -*D_NET *2111 0.0668761 -*CONN -*I *2822:mprj_io_dm[36] I *D chip_io -*I *2791:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[36] 0.00039683 -2 *2791:pad_gpio_dm[0] 0.000527719 -3 *2111:18 0.000812614 -4 *2111:16 0.000438759 -5 *2111:14 0.00349758 -6 *2111:13 0.00400232 -7 *2822:mprj_io_dm[36] *2614:11 0 -8 *2111:13 *2112:13 2.89493e-05 -9 *2111:14 *2112:14 0.000510603 -10 *2111:14 *2184:8 0.00154636 -11 *2111:14 *2299:14 0.0223762 -12 *2111:14 *2451:8 0.00190382 -13 *2111:16 *2299:16 3.3344e-06 -14 *2111:18 *2299:14 4.55455e-05 -15 *2111:18 *2299:18 0.00305737 -16 *2111:18 *2451:8 0.000466682 -17 *2822:mprj_io_analog_en[12] *2822:mprj_io_dm[36] 0 -18 *2822:mprj_io_analog_pol[12] *2822:mprj_io_dm[36] 0 -19 *1957:17 *2111:13 0.000124334 -20 *1995:19 *2111:13 0 -21 *2033:13 *2111:13 2.72062e-05 -22 *2033:14 *2111:14 0.0237725 -23 *2033:16 *2111:16 6.99044e-06 -24 *2033:18 *2111:14 2.01595e-05 -25 *2033:18 *2111:18 0.00316515 -26 *2110:8 *2111:14 0.000145 -*RES -1 *2791:pad_gpio_dm[0] *2111:13 24.7762 -2 *2111:13 *2111:14 383.656 -3 *2111:14 *2111:16 0.732798 -4 *2111:16 *2111:18 50.6241 -5 *2111:18 *2822:mprj_io_dm[36] 18.1934 -*END - -*D_NET *2112 0.0632547 -*CONN -*I *2822:mprj_io_dm[37] I *D chip_io -*I *2791:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[37] 0.000117348 -2 *2791:pad_gpio_dm[1] 0.00059378 -3 *2112:21 0.000692043 -4 *2112:14 0.00415395 -5 *2112:13 0.00417303 -6 *2822:mprj_io_dm[37] *2614:11 0 -7 *2112:13 *2113:7 2.72062e-05 -8 *2112:14 *2298:26 0.00790942 -9 *2112:14 *2299:14 0.0224742 -10 *2112:14 *2299:18 2.01595e-05 -11 *2112:14 *2413:24 0.0126028 -12 *2112:14 *2451:8 0.00334208 -13 *2112:14 *2613:13 0.000745097 -14 *2112:21 *2822:mprj_io_slow_sel[12] 0.00150997 -15 *2112:21 *2117:24 0.000163403 -16 *2112:21 *2299:16 6.67835e-06 -17 *2112:21 *2299:18 0.00225862 -18 *2112:21 *2300:25 5.04829e-06 -19 *2112:21 *2413:24 2.01595e-05 -20 *2112:21 *2451:8 0.000242207 -21 *2112:21 *2614:11 6.37283e-05 -22 *2822:mprj_io_dm[33] *2112:14 0.000977286 -23 *1957:17 *2112:13 0.000343222 -24 *1957:30 *2112:21 0.000261327 -25 *1995:19 *2112:13 0 -26 *2108:20 *2112:14 0 -27 *2108:26 *2112:14 1.23804e-05 -28 *2111:13 *2112:13 2.89493e-05 -29 *2111:14 *2112:14 0.000510603 -*RES -1 *2791:pad_gpio_dm[1] *2112:13 27.4043 -2 *2112:13 *2112:14 379.919 -3 *2112:14 *2112:21 47.0379 -4 *2112:21 *2822:mprj_io_dm[37] 7.69988 -*END - -*D_NET *2113 0.0580955 -*CONN -*I *2822:mprj_io_dm[38] I *D chip_io -*I *2791:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[38] 0.000181779 -2 *2791:pad_gpio_dm[2] 0.000349322 -3 *2113:8 0.00642339 -4 *2113:7 0.00659093 -5 *2822:mprj_io_dm[38] *2822:mprj_io_holdover[12] 1.50849e-05 -6 *2822:mprj_io_dm[38] *2117:26 0 -7 *2113:7 *2185:7 0.000115656 -8 *2113:8 *2184:8 0 -9 *2113:8 *2185:8 0.0315406 -10 *2113:8 *2224:8 0.00010127 -11 *2113:8 *2336:8 0.00449696 -12 *2113:8 *2376:16 0.00478798 -13 *2113:8 *2452:8 0.00207529 -14 *1957:23 *2113:8 0 -15 *1957:24 *2113:8 0.00139004 -16 *1958:13 *2113:8 0 -17 *1995:25 *2113:8 0 -18 *2034:10 *2113:8 0 -19 *2112:13 *2113:7 2.72062e-05 -*RES -1 *2791:pad_gpio_dm[2] *2113:7 1.31946 -2 *2113:7 *2113:8 63.1462 -3 *2113:8 *2822:mprj_io_dm[38] 4.97902 -*END - -*D_NET *2114 0.100501 -*CONN -*I *2822:mprj_io_dm[39] I *D chip_io -*I *2792:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[39] 0.000516763 -2 *2792:pad_gpio_dm[0] 0.000598902 -3 *2114:14 0.00670761 -4 *2114:13 0.00678974 -5 *2822:mprj_io_dm[39] *2615:13 0 -6 *2114:13 *2116:13 0.00126187 -7 *2114:14 *2116:14 0.043209 -8 *2114:14 *2262:11 0.000456949 -9 *2114:14 *2300:32 0.000500906 -10 *2114:14 *2375:14 0 -11 *2114:14 *2414:14 0.0404201 -12 *2822:mprj_io_analog_pol[13] *2822:mprj_io_dm[39] 0 -13 *1957:24 *2114:13 0 -14 *2034:9 *2114:13 3.91372e-05 -*RES -1 *2792:pad_gpio_dm[0] *2114:13 29.9042 -2 *2114:13 *2114:14 702.778 -3 *2114:14 *2822:mprj_io_dm[39] 21.3439 -*END - -*D_NET *2115 0.0178731 -*CONN -*I *2822:mprj_io_dm[3] I *D chip_io -*I *2782:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[3] 0.000508553 -2 *2782:pad_gpio_dm[0] 0.000780416 -3 *2115:14 0.00136846 -4 *2115:13 0.00164033 -5 *2115:13 *2126:13 3.26002e-05 -6 *2115:13 *2137:13 0.000119662 -7 *2115:13 *2345:8 0.000130139 -8 *2115:14 *2126:14 0.00658511 -9 *2115:14 *2307:14 0.000123457 -10 *2822:mprj_io_analog_en[1] *2822:mprj_io_dm[3] 0 -11 *1965:14 *2115:14 0.00632107 -12 *2003:13 *2115:13 0 -13 *2041:13 *2115:13 0.000263266 -*RES -1 *2782:pad_gpio_dm[0] *2115:13 31.7811 -2 *2115:13 *2115:14 105.23 -3 *2115:14 *2822:mprj_io_dm[3] 22.4165 -*END - -*D_NET *2116 0.0825549 -*CONN -*I *2822:mprj_io_dm[40] I *D chip_io -*I *2792:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[40] 0.000578761 -2 *2792:pad_gpio_dm[1] 0.0005339 -3 *2116:14 0.0131511 -4 *2116:13 0.0131063 -5 *2822:mprj_io_dm[40] *2615:13 6.6461e-05 -6 *2116:13 *2117:7 2.83019e-05 -7 *2116:14 *2186:14 0.000499342 -8 *2116:14 *2375:14 0 -9 *1957:24 *2116:13 0 -10 *1995:26 *2116:14 0.0101199 -11 *2114:13 *2116:13 0.00126187 -12 *2114:14 *2116:14 0.043209 -*RES -1 *2792:pad_gpio_dm[1] *2116:13 27.0739 -2 *2116:13 *2116:14 690.735 -3 *2116:14 *2822:mprj_io_dm[40] 23.1199 -*END - -*D_NET *2117 0.0797433 -*CONN -*I *2822:mprj_io_dm[41] I *D chip_io -*I *2792:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[41] 0.000274175 -2 *2792:pad_gpio_dm[2] 0.00020641 -3 *2117:38 0.00313782 -4 *2117:37 0.00306451 -5 *2117:32 0.009079 -6 *2117:31 0.00900171 -7 *2117:26 0.00196784 -8 *2117:24 0.00231752 -9 *2117:21 0.00121399 -10 *2117:8 0.0023066 -11 *2117:7 0.00177228 -12 *2822:mprj_io_dm[41] *2186:32 0 -13 *2117:7 *2186:7 6.55821e-05 -14 *2117:8 *2186:8 0.00348979 -15 *2117:8 *2224:8 0.000129642 -16 *2117:8 *2261:11 0 -17 *2117:8 *2452:8 0.000900175 -18 *2117:21 *2822:mprj_io_slow_sel[12] 0 -19 *2117:21 *2186:13 0 -20 *2117:21 *2261:10 0.000182272 -21 *2117:21 *2614:11 5.04829e-06 -22 *2117:24 *2299:18 1.87125e-05 -23 *2117:24 *2300:26 0.00195329 -24 *2117:24 *2451:8 0.000322219 -25 *2117:24 *2614:11 0.00069262 -26 *2117:26 *2822:mprj_io_holdover[12] 0 -27 *2117:26 *2822:mprj_io_ib_mode_sel[12] 0 -28 *2117:26 *2822:mprj_io_oeb[12] 0 -29 *2117:26 *2822:mprj_io_out[12] 0 -30 *2117:26 *2822:mprj_io_vtrip_sel[12] 0 -31 *2117:26 *2186:20 0.00515684 -32 *2117:26 *2300:26 8.35615e-06 -33 *2117:31 *2186:25 0.000479213 -34 *2117:32 *2186:20 0 -35 *2117:32 *2186:26 0.0108046 -36 *2117:32 *2338:16 0.00694687 -37 *2117:38 *2822:mprj_io_slow_sel[13] 0 -38 *2117:38 *2186:32 0.00402966 -39 *2117:38 *2262:10 0 -40 *2117:38 *2615:13 0.000814965 -41 *2822:mprj_io_analog_sel[12] *2117:26 0 -42 *2822:mprj_io_dm[38] *2117:26 0 -43 *1957:24 *2117:8 0.00733039 -44 *1957:30 *2117:21 0.00122621 -45 *1957:30 *2117:24 0.00065321 -46 *1958:14 *2117:38 0 -47 *2112:21 *2117:24 0.000163403 -48 *2116:13 *2117:7 2.83019e-05 -*RES -1 *2792:pad_gpio_dm[2] *2117:7 3.9674 -2 *2117:7 *2117:8 119.971 -3 *2117:8 *2117:21 46.3445 -4 *2117:21 *2117:24 36.1392 -5 *2117:24 *2117:26 83.4291 -6 *2117:26 *2117:31 14.1602 -7 *2117:31 *2117:32 354.381 -8 *2117:32 *2117:37 14.1602 -9 *2117:37 *2117:38 112.704 -10 *2117:38 *2822:mprj_io_dm[41] 16.2509 -*END - -*D_NET *2118 0.0196489 -*CONN -*I *2822:mprj_io_dm[42] I *D chip_io -*I *2793:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[42] 0.000409573 -2 *2793:pad_gpio_dm[0] 0.000597025 -3 *2118:16 0.00253226 -4 *2118:15 0.00271971 -5 *2822:mprj_io_dm[42] *2616:11 0 -6 *2118:15 *2119:13 0.000218656 -7 *2118:15 *2120:15 0 -8 *2118:16 *2120:16 0.00321629 -9 *2118:16 *2187:14 0 -10 *2822:mprj_io_analog_pol[14] *2822:mprj_io_dm[42] 0 -11 *1959:13 *2118:15 7.22422e-05 -12 *1997:15 *2118:15 0 -13 *1997:16 *2118:16 0.00968941 -14 *2035:13 *2118:15 0.000193706 -15 *2035:14 *2118:16 0 -*RES -1 *2793:pad_gpio_dm[0] *2118:15 28.4634 -2 *2118:15 *2118:16 155.891 -3 *2118:16 *2822:mprj_io_dm[42] 18.3328 -*END - -*D_NET *2119 0.023003 -*CONN -*I *2822:mprj_io_dm[43] I *D chip_io -*I *2793:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[43] 0.000390063 -2 *2793:pad_gpio_dm[1] 0.000384372 -3 *2119:14 0.00157653 -4 *2119:13 0.00157083 -5 *2822:mprj_io_dm[43] *2616:11 6.68703e-05 -6 *2119:13 *2120:15 0.0010937 -7 *2119:13 *2187:13 4.15201e-05 -8 *2119:13 *2225:13 0 -9 *1959:14 *2119:14 0.00868887 -10 *1997:16 *2119:14 0.00869605 -11 *2035:13 *2119:13 0.000275528 -12 *2118:15 *2119:13 0.000218656 -*RES -1 *2793:pad_gpio_dm[1] *2119:13 28.7894 -2 *2119:13 *2119:14 139.696 -3 *2119:14 *2822:mprj_io_dm[43] 18.4693 -*END - -*D_NET *2120 0.0219781 -*CONN -*I *2822:mprj_io_dm[44] I *D chip_io -*I *2793:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[44] 0.000716383 -2 *2793:pad_gpio_dm[2] 0.000361591 -3 *2120:16 0.00331136 -4 *2120:15 0.00295657 -5 *2822:mprj_io_dm[44] *2339:20 0 -6 *2822:mprj_io_dm[44] *2377:18 0.000542165 -7 *2120:15 *2187:13 0.00114892 -8 *2120:15 *2225:13 0 -9 *2120:16 *2187:14 0.000325649 -10 *2120:16 *2301:16 0 -11 *2120:16 *2339:17 0.00117561 -12 *2120:16 *2339:20 0 -13 *2120:16 *2377:14 0.00591285 -14 *2120:16 *2377:18 0.00121704 -15 *2822:mprj_io_analog_sel[14] *2822:mprj_io_dm[44] 0 -16 *621:48 *2822:mprj_io_dm[44] 0 -17 *1997:16 *2120:16 0 -18 *2118:15 *2120:15 0 -19 *2118:16 *2120:16 0.00321629 -20 *2119:13 *2120:15 0.0010937 -*RES -1 *2793:pad_gpio_dm[2] *2120:15 28.4662 -2 *2120:15 *2120:16 169.264 -3 *2120:16 *2822:mprj_io_dm[44] 30.7809 -*END - -*D_NET *2121 0.0593176 -*CONN -*I *2822:mprj_io_dm[45] I *D chip_io -*I *2794:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[45] 0.000811781 -2 *2794:pad_gpio_dm[0] 0.000365008 -3 *2121:29 0.00970979 -4 *2121:26 0.00957229 -5 *2121:23 0.00178907 -6 *2121:20 0.00210741 -7 *2121:15 0.00261934 -8 *2121:13 0.00199174 -9 *2121:13 *2794:pad_gpio_in 0.000967899 -10 *2121:13 *2123:13 0.0013203 -11 *2121:13 *2226:18 5.00728e-05 -12 *2121:15 *2123:13 1.67988e-05 -13 *2121:15 *2226:18 0.00033295 -14 *2121:15 *2340:11 0.00786121 -15 *2121:20 *2226:24 0.00132531 -16 *2121:26 *2122:22 0 -17 *2121:26 *2618:25 0 -18 *2121:29 *2822:mprj_io_holdover[15] 0.00595881 -19 *2121:29 *2188:25 0.012233 -20 *1960:16 *2121:13 0.000284848 -*RES -1 *2794:pad_gpio_dm[0] *2121:13 28.0676 -2 *2121:13 *2121:15 82.8047 -3 *2121:15 *2121:20 44.4674 -4 *2121:20 *2121:23 34.0628 -5 *2121:23 *2121:26 20.7727 -6 *2121:26 *2121:29 48.0907 -7 *2121:29 *2822:mprj_io_dm[45] 8.10942 -*END - -*D_NET *2122 0.109901 -*CONN -*I *2822:mprj_io_dm[46] I *D chip_io -*I *2794:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[46] 0.000443151 -2 *2794:pad_gpio_dm[1] 7.61808e-05 -3 *2122:23 0.00285698 -4 *2122:22 0.00318813 -5 *2122:17 0.00265986 -6 *2122:16 0.00254724 -7 *2122:11 0.00187745 -8 *2122:10 0.00129195 -9 *2122:11 *2302:25 0 -10 *2122:11 *2618:20 0 -11 *2122:17 *2123:17 0.0078707 -12 *2122:23 *2264:10 0.000156978 -13 *2122:23 *2416:27 0.00153413 -14 *645:49 *2122:16 0 -15 *1960:22 *2122:16 0.000810613 -16 *1960:29 *2122:23 0.00464178 -17 *1998:23 *2122:23 0.0389726 -18 *2036:11 *2122:11 0.00418391 -19 *2036:23 *2122:23 0.0367894 -20 *2121:26 *2122:22 0 -*RES -1 *2794:pad_gpio_dm[1] *2122:10 8.38708 -2 *2122:10 *2122:11 51.1923 -3 *2122:11 *2122:16 29.9336 -4 *2122:16 *2122:17 83.3593 -5 *2122:17 *2122:22 28.5948 -6 *2122:22 *2122:23 50.9923 -7 *2122:23 *2822:mprj_io_dm[46] 15.5577 -*END - -*D_NET *2123 0.0599748 -*CONN -*I *2822:mprj_io_dm[47] I *D chip_io -*I *2794:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[47] 0.00107788 -2 *2794:pad_gpio_dm[2] 0.00037371 -3 *2123:32 0.00161847 -4 *2123:27 0.00656058 -5 *2123:25 0.00707989 -6 *2123:17 0.00510503 -7 *2123:16 0.00404513 -8 *2123:14 0.00134188 -9 *2123:13 0.00171559 -10 *2822:mprj_io_dm[47] *2822:mprj_io_holdover[15] 0.000422603 -11 *2822:mprj_io_dm[47] *2454:40 0.00117592 -12 *2123:13 *2188:13 0.00252466 -13 *2123:13 *2340:11 0.0013863 -14 *2123:14 *2188:16 0.00114261 -15 *2123:17 *2302:31 0.00502492 -16 *2123:17 *2454:17 0.00328568 -17 *2123:27 *2454:27 0.00584957 -18 *2123:32 *2822:mprj_io_ib_mode_sel[15] 0.000740664 -19 *2123:32 *2454:40 0.000274483 -20 *2794:serial_clock *2123:14 2.33103e-06 -21 *2794:serial_clock_out *2123:14 1.91391e-05 -22 *2036:17 *2123:17 0 -23 *2121:13 *2123:13 0.0013203 -24 *2121:15 *2123:13 1.67988e-05 -25 *2122:17 *2123:17 0.0078707 -*RES -1 *2794:pad_gpio_dm[2] *2123:13 41.9717 -2 *2123:13 *2123:14 45.8487 -3 *2123:14 *2123:16 4.5 -4 *2123:16 *2123:17 192.616 -5 *2123:17 *2123:25 37.9064 -6 *2123:25 *2123:27 194.557 -7 *2123:27 *2123:32 30.3488 -8 *2123:32 *2822:mprj_io_dm[47] 38.7116 -*END - -*D_NET *2124 0.0315605 -*CONN -*I *2822:mprj_io_dm[48] I *D chip_io -*I *2795:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[48] 0.00149377 -2 *2795:pad_gpio_dm[0] 0.000108733 -3 *2124:14 0.0028763 -4 *2124:13 0.00138253 -5 *2124:11 0.00330988 -6 *2124:10 0.00341861 -7 *2822:mprj_io_dm[48] *2822:mprj_io_dm[50] 2.95956e-05 -8 *2822:mprj_io_dm[48] *2822:mprj_io_holdover[16] 0.000224106 -9 *2822:mprj_io_dm[48] *2125:23 0.00041583 -10 *2822:mprj_io_dm[48] *2227:31 0 -11 *2822:mprj_io_dm[48] *2303:23 0.000173095 -12 *2822:mprj_io_dm[48] *2379:25 0.00264066 -13 *2124:11 *2341:11 0 -14 *2124:11 *2417:11 0 -15 *2822:mprj_io_analog_en[16] *2822:mprj_io_dm[48] 6.10203e-05 -16 *2822:mprj_io_analog_en[16] *2124:14 0 -17 *2822:mprj_io_analog_pol[16] *2822:mprj_io_dm[48] 0.00615143 -18 *2037:13 *2124:11 0.00442408 -19 *2037:15 *2124:11 0.00147771 -20 *2037:18 *2124:14 0.00337314 -*RES -1 *2795:pad_gpio_dm[0] *2124:10 8.46357 -2 *2124:10 *2124:11 128.282 -3 *2124:11 *2124:13 4.5 -4 *2124:13 *2124:14 58.0987 -5 *2124:14 *2822:mprj_io_dm[48] 17.2783 -*END - -*D_NET *2125 0.0326845 -*CONN -*I *2822:mprj_io_dm[49] I *D chip_io -*I *2795:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[49] 4.82071e-05 -2 *2795:pad_gpio_dm[1] 0.000116705 -3 *2125:23 0.00227836 -4 *2125:22 0.00266979 -5 *2125:17 0.00219389 -6 *2125:16 0.00274027 -7 *2125:13 0.00189742 -8 *2125:10 0.00102812 -9 *2125:16 *2795:user_gpio_oeb 0 -10 *2125:16 *2626:13 8.13812e-06 -11 *2125:22 *2822:mprj_io_holdover[16] 7.0814e-05 -12 *2125:22 *2822:mprj_io_slow_sel[16] 0.00026412 -13 *2125:23 *2303:23 0.000531263 -14 *2125:23 *2379:25 0.000601327 -15 *2822:mprj_io_dm[48] *2125:23 0.00041583 -16 *666:17 *2125:23 0 -17 *1961:11 *2125:13 0.00404392 -18 *1961:14 *2125:16 0.0025195 -19 *1961:17 *2125:17 0.00717162 -20 *2037:13 *2125:13 7.92757e-06 -21 *2037:21 *2125:23 0.00407729 -*RES -1 *2795:pad_gpio_dm[1] *2125:10 8.46357 -2 *2125:10 *2125:13 47.3733 -3 *2125:13 *2125:16 45.781 -4 *2125:16 *2125:17 76.1495 -5 *2125:17 *2125:22 22.8743 -6 *2125:22 *2125:23 83.3593 -7 *2125:23 *2822:mprj_io_dm[49] 5.52592 -*END - -*D_NET *2126 0.0194177 -*CONN -*I *2822:mprj_io_dm[4] I *D chip_io -*I *2782:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[4] 0.000561516 -2 *2782:pad_gpio_dm[1] 0.000781576 -3 *2126:14 0.00164513 -4 *2126:13 0.0018652 -5 *2126:13 *2137:13 0.000146868 -6 *2126:13 *2345:8 5.88867e-05 -7 *2126:14 *2307:14 0.000103851 -8 *660:16 *2126:14 0.00752277 -9 *1965:14 *2126:14 0.000114237 -10 *2115:13 *2126:13 3.26002e-05 -11 *2115:14 *2126:14 0.00658511 -*RES -1 *2782:pad_gpio_dm[1] *2126:13 29.8442 -2 *2126:13 *2126:14 120.179 -3 *2126:14 *2822:mprj_io_dm[4] 24.2413 -*END - -*D_NET *2127 0.0215269 -*CONN -*I *2822:mprj_io_dm[50] I *D chip_io -*I *2795:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[50] 0.00249781 -2 *2795:pad_gpio_dm[2] 0.001192 -3 *2127:26 0.00335082 -4 *2127:17 0.00236039 -5 *2127:16 0.00150738 -6 *2127:14 0.001192 -7 *2822:mprj_io_dm[50] *2822:mprj_io_oeb[16] 0.000163504 -8 *2822:mprj_io_dm[50] *2227:31 0.00600799 -9 *2822:mprj_io_dm[50] *2379:25 0 -10 *2127:14 *2379:11 0.000842694 -11 *2127:17 *2379:11 0.000921648 -12 *2127:26 *2189:19 6.34651e-06 -13 *2127:26 *2227:31 0.00145474 -14 *2127:26 *2455:16 0 -15 *2822:mprj_io_dm[48] *2822:mprj_io_dm[50] 2.95956e-05 -*RES -1 *2795:pad_gpio_dm[2] *2127:14 49.6257 -2 *2127:14 *2127:16 4.5 -3 *2127:16 *2127:17 51.7469 -4 *2127:17 *2127:26 39.4646 -5 *2127:26 *2822:mprj_io_dm[50] 16.6764 -*END - -*D_NET *2128 0.0614888 -*CONN -*I *2822:mprj_io_dm[51] I *D chip_io -*I *2796:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[51] 0.00544402 -2 *2796:pad_gpio_dm[0] 6.45019e-05 -3 *2128:22 0.00667172 -4 *2128:14 0.00308611 -5 *2128:13 0.0018584 -6 *2128:11 0.00253392 -7 *2128:10 0.00259842 -8 *2822:mprj_io_dm[51] *2822:mprj_io_dm[52] 0.00102747 -9 *2822:mprj_io_dm[51] *2418:23 0.00124966 -10 *2128:22 *2592:26 0.00025458 -11 *2822:mprj_io_analog_en[17] *2822:mprj_io_dm[51] 0 -12 *2822:mprj_io_analog_pol[17] *2822:mprj_io_dm[51] 0 -13 *2822:mprj_io_analog_sel[17] *2822:mprj_io_dm[51] 0.0211369 -14 *107:17 *2822:mprj_io_dm[51] 0.00462219 -15 *623:17 *2822:mprj_io_dm[51] 0.00246876 -16 *647:17 *2822:mprj_io_dm[51] 0.00108889 -17 *1962:16 *2128:14 0 -18 *2000:11 *2128:11 0.00466304 -19 *2000:16 *2128:14 0 -20 *2000:23 *2822:mprj_io_dm[51] 0.00272025 -*RES -1 *2796:pad_gpio_dm[0] *2128:10 8.38708 -2 *2128:10 *2128:11 109.98 -3 *2128:11 *2128:13 4.5 -4 *2128:13 *2128:14 50.0013 -5 *2128:14 *2128:22 48.6447 -6 *2128:22 *2822:mprj_io_dm[51] 45.6617 -*END - -*D_NET *2129 0.0671255 -*CONN -*I *2822:mprj_io_dm[52] I *D chip_io -*I *2796:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[52] 0.0028001 -2 *2796:pad_gpio_dm[1] 7.96089e-05 -3 *2129:20 0.00454391 -4 *2129:19 0.0026577 -5 *2129:16 0.00156866 -6 *2129:11 0.00223084 -7 *2129:10 0.00165568 -8 *2822:mprj_io_dm[52] *2266:8 0.000231712 -9 *2822:mprj_io_dm[52] *2418:23 0.000112833 -10 *2129:11 *2130:11 0.000325617 -11 *2129:11 *2266:14 0.021278 -12 *2129:11 *2304:11 0.0210698 -13 *2129:16 *2418:19 9.60216e-05 -14 *2129:20 *2418:20 0 -15 *2822:mprj_io_analog_sel[17] *2822:mprj_io_dm[52] 0.00719546 -16 *2822:mprj_io_dm[51] *2822:mprj_io_dm[52] 0.00102747 -17 *1962:23 *2822:mprj_io_dm[52] 0.000252038 -*RES -1 *2796:pad_gpio_dm[1] *2129:10 8.46357 -2 *2129:10 *2129:11 232.548 -3 *2129:11 *2129:16 27.4421 -4 *2129:16 *2129:19 29.0714 -5 *2129:19 *2129:20 48.5479 -6 *2129:20 *2822:mprj_io_dm[52] 29.6238 -*END - -*D_NET *2130 0.0673675 -*CONN -*I *2822:mprj_io_dm[53] I *D chip_io -*I *2796:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[53] 0.00132078 -2 *2796:pad_gpio_dm[2] 9.76718e-05 -3 *2130:14 0.00194202 -4 *2130:13 0.000621241 -5 *2130:11 0.00165724 -6 *2130:10 0.00175491 -7 *2822:mprj_io_dm[53] *2822:mprj_io_holdover[17] 0.00413263 -8 *2130:11 *2190:11 0.0257068 -9 *2130:11 *2266:14 0.0251864 -10 *2130:11 *2304:11 7.92757e-06 -11 *2130:14 *2190:14 0.00149404 -12 *2130:14 *2266:11 0.00312023 -13 *2129:11 *2130:11 0.000325617 -*RES -1 *2796:pad_gpio_dm[2] *2130:10 8.46357 -2 *2130:10 *2130:11 271.925 -3 *2130:11 *2130:13 4.5 -4 *2130:13 *2130:14 50.2089 -5 *2130:14 *2822:mprj_io_dm[53] 14.8408 -*END - -*D_NET *2131 0.039443 -*CONN -*I *2822:mprj_io_dm[54] I *D chip_io -*I *2787:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[54] 0.000401001 -2 *2787:pad_gpio_dm[0] 0.000110215 -3 *2131:17 0.0022017 -4 *2131:16 0.0018007 -5 *2131:14 0.00262476 -6 *2131:13 0.00262476 -7 *2131:11 0.000988816 -8 *2131:10 0.00109903 -9 *2131:14 *2132:14 0 -10 *2131:14 *2305:20 0 -11 *2131:17 *2822:mprj_io_slow_sel[18] 0.000912412 -12 *2131:17 *2267:8 0.00829448 -13 *2131:17 *2593:8 0.000220531 -14 *2822:mprj_io_analog_pol[18] *2822:mprj_io_dm[54] 0 -15 *1963:11 *2131:11 0.0124922 -16 *2001:11 *2131:11 0.00567235 -*RES -1 *2787:pad_gpio_dm[0] *2131:10 8.46357 -2 *2131:10 *2131:11 130.501 -3 *2131:11 *2131:13 4.5 -4 *2131:13 *2131:14 71.5944 -5 *2131:14 *2131:16 4.5 -6 *2131:16 *2131:17 86.687 -7 *2131:17 *2822:mprj_io_dm[54] 15.1744 -*END - -*D_NET *2132 0.0378397 -*CONN -*I *2822:mprj_io_dm[55] I *D chip_io -*I *2787:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[55] 0.00127784 -2 *2787:pad_gpio_dm[1] 5.96217e-05 -3 *2132:14 0.00372584 -4 *2132:13 0.00244799 -5 *2132:11 0.00255801 -6 *2132:10 0.00261764 -7 *2822:mprj_io_dm[55] *2822:mprj_io_inp_dis[18] 8.6868e-05 -8 *2822:mprj_io_dm[55] *2822:mprj_io_slow_sel[18] 0.0101604 -9 *2132:11 *2343:11 0.00166374 -10 *2132:11 *2381:11 0.00872157 -11 *2132:11 *2457:13 3.99086e-06 -12 *2822:mprj_io_analog_pol[18] *2822:mprj_io_dm[55] 0.00345878 -13 *2001:14 *2132:14 0 -14 *2039:11 *2132:11 0.00105741 -15 *2131:14 *2132:14 0 -*RES -1 *2787:pad_gpio_dm[1] *2132:10 8.38708 -2 *2132:10 *2132:11 133.274 -3 *2132:11 *2132:13 4.5 -4 *2132:13 *2132:14 67.6495 -5 *2132:14 *2822:mprj_io_dm[55] 28.7824 -*END - -*D_NET *2133 0.027961 -*CONN -*I *2822:mprj_io_dm[56] I *D chip_io -*I *2787:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[56] 0.000920033 -2 *2787:pad_gpio_dm[2] 0.000573181 -3 *2133:17 0.00492499 -4 *2133:16 0.00453539 -5 *2133:13 0.00110362 -6 *2822:mprj_io_dm[56] *2822:mprj_io_holdover[18] 0.00572056 -7 *2822:mprj_io_dm[56] *2822:mprj_io_inp_dis[18] 0 -8 *2822:mprj_io_dm[56] *2822:mprj_io_out[18] 0.00452716 -9 *2133:13 *2191:13 0.0017603 -10 *2133:16 *2191:16 0.00126963 -11 *2133:17 *2191:17 0 -12 *2133:17 *2419:19 0.0010796 -13 *2822:mprj_io_analog_sel[18] *2822:mprj_io_dm[56] 0 -14 *109:13 *2133:17 0 -15 *649:17 *2133:17 0 -16 *1963:17 *2822:mprj_io_dm[56] 0.00076256 -17 *2001:14 *2822:mprj_io_dm[56] 0 -18 *2039:14 *2822:mprj_io_dm[56] 0.000784009 -*RES -1 *2787:pad_gpio_dm[2] *2133:13 34.1308 -2 *2133:13 *2133:16 25.4336 -3 *2133:16 *2133:17 115.526 -4 *2133:17 *2822:mprj_io_dm[56] 37.5322 -*END - -*D_NET *2134 0.013852 -*CONN -*I *2822:mprj_io_dm[57] I *D chip_io -*I *2803:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[57] 0.00011723 -2 *2803:pad_gpio_dm[0] 0.00022989 -3 *2134:11 0.00120065 -4 *2134:10 0.00131331 -5 *2134:10 *2135:10 0 -6 *2134:10 *2192:12 3.84497e-05 -7 *2134:11 *2803:pad_gpio_in 0.00046344 -8 *2134:11 *2822:mprj_io_inp_dis[19] 0.000123675 -9 *2134:11 *2135:11 0.00741038 -10 *2134:11 *2192:13 7.67829e-05 -11 *2134:11 *2230:11 0.000115059 -12 *2134:11 *2420:17 0.000801041 -13 *1964:11 *2134:11 0.00147912 -14 *2002:11 *2134:11 0.000482966 -15 *2040:10 *2134:10 0 -*RES -1 *2803:pad_gpio_dm[0] *2134:10 10.9551 -2 *2134:10 *2134:11 88.9054 -3 *2134:11 *2822:mprj_io_dm[57] 6.64954 -*END - -*D_NET *2135 0.0173835 -*CONN -*I *2822:mprj_io_dm[58] I *D chip_io -*I *2803:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[58] 0.000109972 -2 *2803:pad_gpio_dm[1] 0.000253081 -3 *2135:11 0.000724472 -4 *2135:10 0.000867581 -5 *2135:10 *2192:12 3.84497e-05 -6 *2135:11 *2803:pad_gpio_in 0.00061298 -7 *2135:11 *2822:mprj_io_inp_dis[19] 0.000259836 -8 *2135:11 *2230:11 1.66869e-05 -9 *2002:11 *2135:11 0.0069984 -10 *2040:11 *2135:11 9.16621e-05 -11 *2134:10 *2135:10 0 -12 *2134:11 *2135:11 0.00741038 -*RES -1 *2803:pad_gpio_dm[1] *2135:10 11.3703 -2 *2135:10 *2135:11 80.5863 -3 *2135:11 *2822:mprj_io_dm[58] 6.64954 -*END - -*D_NET *2136 0.0142062 -*CONN -*I *2822:mprj_io_dm[59] I *D chip_io -*I *2803:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[59] 5.89269e-05 -2 *2803:pad_gpio_dm[2] 0.0009723 -3 *2136:17 0.00255818 -4 *2136:16 0.00277392 -5 *2136:11 0.00124696 -6 *2136:11 *2192:12 3.25789e-05 -7 *2136:16 *2192:12 9.21574e-05 -8 *2136:17 *2822:mprj_io_inp_dis[19] 0.000690927 -9 *2136:17 *2594:12 0.000838616 -10 *2822:mprj_io_analog_sel[19] *2136:17 0 -11 *616:17 *2136:11 0.00384779 -12 *1715:23 *2136:16 6.11345e-05 -13 *2040:11 *2136:17 0.00103266 -*RES -1 *2803:pad_gpio_dm[2] *2136:11 49.1184 -2 *2136:11 *2136:16 15.3998 -3 *2136:16 *2136:17 81.1409 -4 *2136:17 *2822:mprj_io_dm[59] 6.03888 -*END - -*D_NET *2137 0.0131266 -*CONN -*I *2822:mprj_io_dm[5] I *D chip_io -*I *2782:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[5] 0.000273539 -2 *2782:pad_gpio_dm[2] 0.000609837 -3 *2137:14 0.00108336 -4 *2137:13 0.00141966 -5 *2822:mprj_io_dm[5] *2822:mprj_io_holdover[1] 0 -6 *2137:13 *2193:13 2.72062e-05 -7 *2137:13 *2345:8 6.19102e-05 -8 *2137:14 *2822:mprj_io_holdover[1] 0 -9 *2137:14 *2822:mprj_io_out[1] 0 -10 *2137:14 *2193:14 0.000122025 -11 *2137:14 *2383:14 0.00452452 -12 *2041:14 *2822:mprj_io_dm[5] 0 -13 *2041:14 *2137:14 0.00473797 -14 *2115:13 *2137:13 0.000119662 -15 *2126:13 *2137:13 0.000146868 -*RES -1 *2782:pad_gpio_dm[2] *2137:13 27.5408 -2 *2137:13 *2137:14 80.3147 -3 *2137:14 *2822:mprj_io_dm[5] 16.2509 -*END - -*D_NET *2138 0.0224608 -*CONN -*I *2822:mprj_io_dm[60] I *D chip_io -*I *2810:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[60] 0.00101372 -2 *2810:pad_gpio_dm[0] 0.000367729 -3 *2138:13 0.00138145 -4 *2822:mprj_io_dm[60] *2822:mprj_io_dm[61] 0.0050664 -5 *2822:mprj_io_dm[60] *2822:mprj_io_dm[62] 0.000924723 -6 *2822:mprj_io_dm[60] *2822:mprj_io_holdover[20] 0 -7 *2822:mprj_io_dm[60] *2822:mprj_io_out[20] 0.00445996 -8 *2138:13 *2140:13 0.00245491 -9 *2138:13 *2194:13 0.000308997 -10 *2822:mprj_io_analog_en[20] *2822:mprj_io_dm[60] 0.000440786 -11 *2822:mprj_io_analog_sel[20] *2822:mprj_io_dm[60] 0.00161724 -12 *1966:11 *2138:13 0.00207671 -13 *2004:13 *2138:13 0.000129801 -14 *2004:16 *2822:mprj_io_dm[60] 0.000652311 -15 *2004:17 *2822:mprj_io_dm[60] 0.00156603 -*RES -1 *2810:pad_gpio_dm[0] *2138:13 41.9717 -2 *2138:13 *2822:mprj_io_dm[60] 39.6858 -*END - -*D_NET *2139 0.0205348 -*CONN -*I *2822:mprj_io_dm[61] I *D chip_io -*I *2810:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[61] 0.00125031 -2 *2810:pad_gpio_dm[1] 0.000363779 -3 *2139:13 0.00161409 -4 *2822:mprj_io_dm[61] *2822:mprj_io_holdover[20] 0 -5 *2822:mprj_io_dm[61] *2308:23 0.000290034 -6 *2139:13 *2194:13 0.00282021 -7 *2139:13 *2384:11 0.00324731 -8 *2822:mprj_io_analog_sel[20] *2822:mprj_io_dm[61] 0.00505985 -9 *2822:mprj_io_dm[60] *2822:mprj_io_dm[61] 0.0050664 -10 *1966:11 *2139:13 0.000185642 -11 *2004:16 *2822:mprj_io_dm[61] 0.000637148 -*RES -1 *2810:pad_gpio_dm[1] *2139:13 46.9632 -2 *2139:13 *2822:mprj_io_dm[61] 39.236 -*END - -*D_NET *2140 0.020636 -*CONN -*I *2822:mprj_io_dm[62] I *D chip_io -*I *2810:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[62] 0.00233245 -2 *2810:pad_gpio_dm[2] 0.000380806 -3 *2140:13 0.00271326 -4 *2822:mprj_io_dm[62] *2822:mprj_io_holdover[20] 0.000620506 -5 *2822:mprj_io_dm[62] *2822:mprj_io_ib_mode_sel[20] 0 -6 *2822:mprj_io_dm[62] *2822:mprj_io_out[20] 0.00740116 -7 *2822:mprj_io_dm[62] *2594:12 0.00122569 -8 *2140:13 *2194:13 0.000123118 -9 *2140:13 *2232:13 0.000410521 -10 *2822:mprj_io_analog_sel[20] *2822:mprj_io_dm[62] 2.30558e-05 -11 *2822:mprj_io_dm[60] *2822:mprj_io_dm[62] 0.000924723 -12 *2004:13 *2140:13 0.00202584 -13 *2138:13 *2140:13 0.00245491 -*RES -1 *2810:pad_gpio_dm[2] *2140:13 43.6356 -2 *2140:13 *2822:mprj_io_dm[62] 45.0881 -*END - -*D_NET *2141 0.0216295 -*CONN -*I *2822:mprj_io_dm[63] I *D chip_io -*I *2811:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[63] 0.000380283 -2 *2811:pad_gpio_dm[0] 0.000137133 -3 *2141:16 0.000679083 -4 *2141:11 0.000658448 -5 *2141:10 0.00049678 -6 *2822:mprj_io_dm[63] *2822:mprj_io_slow_sel[21] 0.00018518 -7 *2822:mprj_io_dm[63] *2233:17 0.00388656 -8 *2141:11 *2142:11 0.00460228 -9 *2141:11 *2233:11 0.00298598 -10 *2141:16 *2142:16 0.00149271 -11 *2141:16 *2347:14 0.00153611 -12 *1967:13 *2141:11 0.000710825 -13 *2043:17 *2822:mprj_io_dm[63] 0.00387815 -*RES -1 *2811:pad_gpio_dm[0] *2141:10 8.46357 -2 *2141:10 *2141:11 48.4193 -3 *2141:11 *2141:16 34.5014 -4 *2141:16 *2822:mprj_io_dm[63] 47.5853 -*END - -*D_NET *2142 0.0203724 -*CONN -*I *2822:mprj_io_dm[64] I *D chip_io -*I *2811:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[64] 0.000305331 -2 *2811:pad_gpio_dm[1] 0.00011904 -3 *2142:16 0.000601427 -4 *2142:11 0.000636339 -5 *2142:10 0.000459283 -6 *2822:mprj_io_dm[64] *2822:mprj_io_slow_sel[21] 0.00019281 -7 *2822:mprj_io_dm[64] *2143:23 0.00266734 -8 *2142:10 *2670:11 2.33103e-06 -9 *2142:11 *2233:11 9.65932e-05 -10 *2142:11 *2271:16 0.00486783 -11 *2142:16 *2143:22 3.809e-06 -12 *2142:16 *2271:15 3.8079e-05 -13 *2142:16 *2347:14 9.22013e-06 -14 *2822:mprj_io_analog_en[21] *2822:mprj_io_dm[64] 4.82966e-05 -15 *1967:13 *2142:11 7.92757e-06 -16 *1967:16 *2142:16 0.00139121 -17 *2005:17 *2822:mprj_io_dm[64] 6.11359e-06 -18 *2043:17 *2822:mprj_io_dm[64] 0.00282441 -19 *2141:11 *2142:11 0.00460228 -20 *2141:16 *2142:16 0.00149271 -*RES -1 *2811:pad_gpio_dm[1] *2142:10 8.46357 -2 *2142:10 *2142:11 51.1923 -3 *2142:11 *2142:16 34.0861 -4 *2142:16 *2822:mprj_io_dm[64] 35.9314 -*END - -*D_NET *2143 0.0191221 -*CONN -*I *2822:mprj_io_dm[65] I *D chip_io -*I *2811:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[65] 0.000103501 -2 *2811:pad_gpio_dm[2] 0.000425604 -3 *2143:23 0.000657941 -4 *2143:22 0.00168488 -5 *2143:16 0.00176633 -6 *2143:13 0.00106149 -7 *2143:13 *2195:11 0.0003256 -8 *2143:13 *2670:11 8.11463e-06 -9 *2143:22 *2271:15 0.000209895 -10 *2143:23 *2822:mprj_io_holdover[21] 0.000944219 -11 *2143:23 *2822:mprj_io_slow_sel[21] 0.000208728 -12 *2143:23 *2195:17 7.02172e-06 -13 *2143:23 *2233:17 2.01874e-05 -14 *2822:mprj_io_analog_en[21] *2143:23 0.00329817 -15 *2822:mprj_io_dm[64] *2143:23 0.00266734 -16 *627:59 *2143:22 0.000680923 -17 *1967:16 *2143:22 5.1493e-06 -18 *2005:13 *2143:13 0.00154787 -19 *2005:17 *2143:23 0.000197808 -20 *2043:13 *2143:13 0.00176451 -21 *2043:17 *2143:23 0.00153303 -22 *2142:16 *2143:22 3.809e-06 -*RES -1 *2811:pad_gpio_dm[2] *2143:13 39.1222 -2 *2143:13 *2143:16 22.1116 -3 *2143:16 *2143:22 43.3015 -4 *2143:22 *2143:23 64.5028 -5 *2143:23 *2822:mprj_io_dm[65] 6.93045 -*END - -*D_NET *2144 0.019202 -*CONN -*I *2822:mprj_io_dm[66] I *D chip_io -*I *2812:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[66] 0.00013254 -2 *2812:pad_gpio_dm[0] 0.000905607 -3 *2144:19 0.000721136 -4 *2144:16 0.000588596 -5 *2144:14 0.00096775 -6 *2144:13 0.00096775 -7 *2144:11 0.000905607 -8 *2144:11 *2812:pad_gpio_in 0.00197273 -9 *2144:11 *2145:13 0.000120974 -10 *2144:11 *2146:11 0.000351195 -11 *2144:14 *2146:14 0.000149406 -12 *2144:19 *2822:mprj_io_dm[67] 0.000974402 -13 *2144:19 *2822:mprj_io_inp_dis[22] 0.000377487 -14 *2144:19 *2146:17 6.66759e-05 -15 *2144:19 *2272:18 0.00048572 -16 *2822:mprj_io_analog_en[22] *2822:mprj_io_dm[66] 0 -17 *2822:mprj_io_analog_pol[22] *2822:mprj_io_dm[66] 0 -18 *2822:mprj_io_analog_pol[22] *2144:19 0 -19 *691:20 *2144:14 0.00140801 -20 *1968:19 *2144:11 0.00139576 -21 *1968:23 *2144:19 0.000348618 -22 *2006:19 *2144:11 9.16621e-05 -23 *2006:20 *2144:14 0.00159318 -24 *2006:23 *2144:19 0.00457626 -25 *2044:13 *2144:11 0.000100974 -*RES -1 *2812:pad_gpio_dm[0] *2144:11 49.1184 -2 *2144:11 *2144:13 4.5 -3 *2144:13 *2144:14 55.3995 -4 *2144:14 *2144:16 4.5 -5 *2144:16 *2144:19 48.998 -6 *2144:19 *2822:mprj_io_dm[66] 7.06479 -*END - -*D_NET *2145 0.0186198 -*CONN -*I *2822:mprj_io_dm[67] I *D chip_io -*I *2812:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[67] 0.000365191 -2 *2812:pad_gpio_dm[1] 0.000368494 -3 *2145:22 0.000648485 -4 *2145:19 0.00104347 -5 *2145:16 0.00168839 -6 *2145:13 0.00129671 -7 *2822:mprj_io_dm[67] *2822:mprj_io_inp_dis[22] 0.000246529 -8 *2822:mprj_io_dm[67] *2272:18 0.000489932 -9 *2145:13 *2812:pad_gpio_in 4.64885e-05 -10 *2145:16 *2272:21 0.000713307 -11 *2145:19 *2272:18 0.000165394 -12 *2145:19 *2310:11 0.000171907 -13 *2145:19 *2424:17 0.00244996 -14 *2145:22 *2822:mprj_io_inp_dis[22] 0.00025714 -15 *2145:22 *2822:mprj_io_out[22] 0.000721482 -16 *2145:22 *2272:18 0.00122468 -17 *1968:23 *2822:mprj_io_dm[67] 0.00266714 -18 *2006:19 *2145:13 0.00133965 -19 *2006:19 *2145:16 1.91391e-05 -20 *2044:13 *2145:13 0.00160098 -21 *2144:11 *2145:13 0.000120974 -22 *2144:19 *2822:mprj_io_dm[67] 0.000974402 -*RES -1 *2812:pad_gpio_dm[1] *2145:13 34.6854 -2 *2145:13 *2145:16 38.7217 -3 *2145:16 *2145:19 35.7266 -4 *2145:19 *2145:22 25.4336 -5 *2145:22 *2822:mprj_io_dm[67] 34.5485 -*END - -*D_NET *2146 0.0262801 -*CONN -*I *2822:mprj_io_dm[68] I *D chip_io -*I *2812:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[68] 0.000100185 -2 *2812:pad_gpio_dm[2] 0.000155557 -3 *2146:17 0.00204338 -4 *2146:16 0.00194319 -5 *2146:14 0.000643858 -6 *2146:13 0.000643858 -7 *2146:11 0.000510506 -8 *2146:10 0.000666063 -9 *2146:11 *2812:pad_gpio_in 2.20702e-05 -10 *2146:11 *2196:11 0.00451543 -11 *2146:11 *2234:17 0.00021242 -12 *2146:14 *2196:14 7.75847e-05 -13 *2146:17 *2822:mprj_io_inp_dis[22] 0.00116161 -14 *2146:17 *2822:mprj_io_out[22] 0.000216982 -15 *2812:mgmt_gpio_in *2146:11 0.000432613 -16 *2822:mprj_io_analog_pol[22] *2146:17 1.84293e-05 -17 *2822:mprj_io_analog_sel[22] *2146:17 0.000199184 -18 *652:19 *2146:17 0 -19 *691:20 *2146:14 0.00302733 -20 *1719:40 *2146:11 0.00144587 -21 *1968:19 *2146:11 0.000135445 -22 *1968:20 *2146:14 0.00344465 -23 *1968:23 *2146:17 0.00407963 -24 *2006:23 *2146:17 1.70293e-05 -25 *2144:11 *2146:11 0.000351195 -26 *2144:14 *2146:14 0.000149406 -27 *2144:19 *2146:17 6.66759e-05 -*RES -1 *2812:pad_gpio_dm[2] *2146:10 8.46357 -2 *2146:10 *2146:11 47.3101 -3 *2146:11 *2146:13 4.5 -4 *2146:13 *2146:14 58.7215 -5 *2146:14 *2146:16 4.5 -6 *2146:16 *2146:17 72.2673 -7 *2146:17 *2822:mprj_io_dm[68] 7.21135 -*END - -*D_NET *2147 0.0186957 -*CONN -*I *2822:mprj_io_dm[69] I *D chip_io -*I *2813:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[69] 0.000507328 -2 *2813:pad_gpio_dm[0] 0.0001655 -3 *2147:23 0.00114111 -4 *2147:11 0.00105382 -5 *2147:10 0.000585546 -6 *2822:mprj_io_dm[69] *2822:mprj_io_dm[70] 0.000111473 -7 *2822:mprj_io_dm[69] *2822:mprj_io_slow_sel[23] 0.000861684 -8 *2822:mprj_io_dm[69] *2235:17 0.000965932 -9 *2822:mprj_io_dm[69] *2597:16 0.00122931 -10 *2147:11 *2197:11 1.65872e-05 -11 *2147:11 *2235:11 0.00446007 -12 *2147:11 *2273:20 0.00475514 -13 *2147:23 *2822:mprj_io_slow_sel[23] 8.92437e-05 -14 *2147:23 *2273:19 0.00178514 -15 *2147:23 *2597:16 0.000602629 -16 *2822:mprj_io_analog_en[23] *2822:mprj_io_dm[69] 0.000361169 -17 *1969:11 *2147:11 3.99086e-06 -*RES -1 *2813:pad_gpio_dm[0] *2147:10 8.46357 -2 *2147:10 *2147:11 50.6377 -3 *2147:11 *2147:23 40.177 -4 *2147:23 *2822:mprj_io_dm[69] 38.4379 -*END - -*D_NET *2148 0.0164768 -*CONN -*I *2822:mprj_io_dm[6] I *D chip_io -*I *2797:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[6] 0.000514153 -2 *2797:pad_gpio_dm[0] 0.000724072 -3 *2148:14 0.00176663 -4 *2148:13 0.00197654 -5 *2148:13 *2159:7 3.84497e-05 -6 *2148:13 *2242:13 0 -7 *2148:14 *2170:14 0.00502384 -8 *2148:14 *2280:11 7.14054e-05 -9 *2148:14 *2318:14 0.00038079 -10 *2822:mprj_io_analog_en[2] *2822:mprj_io_dm[6] 0 -11 *2822:mprj_io_analog_pol[2] *2822:mprj_io_dm[6] 0 -12 *110:52 *2822:mprj_io_dm[6] 0 -13 *626:66 *2148:14 0 -14 *669:30 *2148:13 4.05974e-05 -15 *2014:14 *2148:14 0.00574954 -16 *2052:13 *2148:13 3.84497e-05 -17 *2052:14 *2148:14 0.000152316 -*RES -1 *2797:pad_gpio_dm[0] *2148:13 28.1039 -2 *2148:13 *2148:14 107.721 -3 *2148:14 *2822:mprj_io_dm[6] 20.9664 -*END - -*D_NET *2149 0.0188057 -*CONN -*I *2822:mprj_io_dm[70] I *D chip_io -*I *2813:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[70] 0.00117188 -2 *2813:pad_gpio_dm[1] 0.000404649 -3 *2149:13 0.00157653 -4 *2822:mprj_io_dm[70] *2822:mprj_io_dm[71] 0.00478448 -5 *2822:mprj_io_dm[70] *2822:mprj_io_inp_dis[23] 0.00318645 -6 *2822:mprj_io_dm[70] *2597:16 0.000158211 -7 *2149:13 *2150:11 0.000422194 -8 *2822:mprj_io_analog_pol[23] *2822:mprj_io_dm[70] 0.00138132 -9 *2822:mprj_io_analog_sel[23] *2822:mprj_io_dm[70] 0.000664392 -10 *2822:mprj_io_dm[69] *2822:mprj_io_dm[70] 0.000111473 -11 *1969:11 *2149:13 0.00229558 -12 *2007:13 *2149:13 0.00255691 -13 *2045:13 *2149:13 9.16621e-05 -*RES -1 *2813:pad_gpio_dm[1] *2149:13 46.8867 -2 *2149:13 *2822:mprj_io_dm[70] 37.4406 -*END - -*D_NET *2150 0.0213396 -*CONN -*I *2822:mprj_io_dm[71] I *D chip_io -*I *2813:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[71] 0.00290477 -2 *2813:pad_gpio_dm[2] 0.000425353 -3 *2150:11 0.00333012 -4 *2822:mprj_io_dm[71] *2822:mprj_io_holdover[23] 0.000497771 -5 *2822:mprj_io_dm[71] *2822:mprj_io_inp_dis[23] 0.00149862 -6 *2822:mprj_io_dm[71] *2235:17 0.000238969 -7 *2150:11 *2197:11 0.00366572 -8 *2822:mprj_io_analog_en[23] *2822:mprj_io_dm[71] 0.000439196 -9 *2822:mprj_io_analog_pol[23] *2822:mprj_io_dm[71] 0.000628643 -10 *2822:mprj_io_dm[70] *2822:mprj_io_dm[71] 0.00478448 -11 *1969:11 *2150:11 0.0025038 -12 *2149:13 *2150:11 0.000422194 -*RES -1 *2813:pad_gpio_dm[2] *2150:11 46.9 -2 *2150:11 *2822:mprj_io_dm[71] 46.487 -*END - -*D_NET *2151 0.0226681 -*CONN -*I *2822:mprj_io_dm[72] I *D chip_io -*I *2814:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[72] 0.000507424 -2 *2814:pad_gpio_dm[0] 0.000595962 -3 *2151:8 0.0037624 -4 *2151:7 0.00385094 -5 *2151:7 *2152:7 0.000255769 -6 *2151:8 *2426:14 0.00163891 -7 *2822:mprj_io_analog_en[24] *2822:mprj_io_dm[72] 0 -8 *2822:mprj_io_analog_pol[24] *2822:mprj_io_dm[72] 0 -9 *642:52 *2151:8 0.00173861 -10 *1970:8 *2151:8 0 -11 *2008:8 *2151:8 0.000578973 -12 *2046:7 *2151:7 0.000296461 -13 *2046:8 *2151:8 0.00944265 -*RES -1 *2814:pad_gpio_dm[0] *2151:7 5.42072 -2 *2151:7 *2151:8 173.954 -3 *2151:8 *2822:mprj_io_dm[72] 21.8375 -*END - -*D_NET *2152 0.0272644 -*CONN -*I *2822:mprj_io_dm[73] I *D chip_io -*I *2814:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[73] 0.000383975 -2 *2814:pad_gpio_dm[1] 0.000411553 -3 *2152:8 0.00258902 -4 *2152:7 0.0026166 -5 *2152:8 *2153:24 0.00035377 -6 *2152:8 *2312:11 0 -7 *2152:8 *2426:14 0 -8 *106:55 *2152:8 0.00179571 -9 *617:20 *2152:8 0.00799157 -10 *634:18 *2152:8 9.22013e-06 -11 *1970:8 *2152:8 0.0108573 -12 *2151:7 *2152:7 0.000255769 -*RES -1 *2814:pad_gpio_dm[1] *2152:7 4.88529 -2 *2152:7 *2152:8 181.429 -3 *2152:8 *2822:mprj_io_dm[73] 19.2254 -*END - -*D_NET *2153 0.0404142 -*CONN -*I *2822:mprj_io_dm[74] I *D chip_io -*I *2814:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[74] 0.000283945 -2 *2814:pad_gpio_dm[2] 1.65112e-05 -3 *2153:24 0.000776977 -4 *2153:23 0.000493032 -5 *2153:21 0.00283207 -6 *2153:20 0.0043522 -7 *2153:17 0.00382887 -8 *2153:8 0.00343769 -9 *2153:7 0.00114546 -10 *2822:mprj_io_dm[74] *2822:mprj_io_holdover[24] 0 -11 *2822:mprj_io_dm[74] *2312:11 0 -12 *2153:8 *2198:5 0.00296952 -13 *2153:17 *2198:8 0.00166983 -14 *2153:20 *2198:11 0 -15 *2153:21 *2198:14 0.0127148 -16 *2153:24 *2198:17 0.0028686 -17 *2153:24 *2312:11 0.000109332 -18 *2822:mprj_io_analog_sel[24] *2822:mprj_io_dm[74] 0 -19 *106:55 *2153:24 0.000472618 -20 *629:29 *2153:21 2.41483e-05 -21 *634:18 *2153:24 0.00206477 -22 *2152:8 *2153:24 0.00035377 -*RES -1 *2814:pad_gpio_dm[2] *2153:7 3.43197 -2 *2153:7 *2153:8 50.0013 -3 *2153:8 *2153:17 16.1791 -4 *2153:17 *2153:20 47.2343 -5 *2153:20 *2153:21 133.828 -6 *2153:21 *2153:23 4.5 -7 *2153:23 *2153:24 49.586 -8 *2153:24 *2822:mprj_io_dm[74] 16.1144 -*END - -*D_NET *2154 0.0151269 -*CONN -*I *2822:mprj_io_dm[75] I *D chip_io -*I *2815:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[75] 0.000375114 -2 *2815:pad_gpio_dm[0] 0.000556287 -3 *2154:14 0.000995216 -4 *2154:13 0.00117639 -5 *2822:mprj_io_dm[75] *2156:23 7.44489e-05 -6 *2154:13 *2155:7 6.72383e-05 -7 *2154:13 *2237:8 7.7278e-05 -8 *2154:13 *2275:11 0.00124358 -9 *2154:14 *2199:8 0.0043072 -10 *2154:14 *2427:8 0.0029315 -11 *2822:mprj_io_analog_en[25] *2154:13 0.000120046 -12 *2822:mprj_io_analog_pol[25] *2822:mprj_io_dm[75] 0 -13 *115:36 *2822:mprj_io_dm[75] 5.04829e-06 -14 *634:14 *2154:14 1.87125e-05 -15 *655:16 *2154:14 0.00133223 -16 *694:19 *2154:14 0.000142034 -17 *1722:30 *2154:13 1.88152e-05 -18 *2047:13 *2154:13 0.0016858 -*RES -1 *2815:pad_gpio_dm[0] *2154:13 39.9492 -2 *2154:13 *2154:14 69.5181 -3 *2154:14 *2822:mprj_io_dm[75] 18.33 -*END - -*D_NET *2155 0.0139028 -*CONN -*I *2822:mprj_io_dm[76] I *D chip_io -*I *2815:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[76] 0.000516711 -2 *2815:pad_gpio_dm[1] 0.000562199 -3 *2155:8 0.00135189 -4 *2155:7 0.00139737 -5 *2155:7 *2156:7 0.000157084 -6 *2155:7 *2199:7 0 -7 *2155:8 *2313:8 0.000658013 -8 *2155:8 *2465:19 0 -9 *2155:8 *2465:20 0.000564554 -10 *106:43 *2822:mprj_io_dm[76] 0 -11 *618:34 *2155:8 0.000628012 -12 *632:43 *2155:8 2.60879e-06 -13 *655:8 *2155:8 0.00435768 -14 *694:8 *2155:8 4.31485e-06 -15 *2047:14 *2155:8 0.00363508 -16 *2154:13 *2155:7 6.72383e-05 -*RES -1 *2815:pad_gpio_dm[1] *2155:7 5.03827 -2 *2155:7 *2155:8 80.9375 -3 *2155:8 *2822:mprj_io_dm[76] 20.3713 -*END - -*D_NET *2156 0.0143994 -*CONN -*I *2822:mprj_io_dm[77] I *D chip_io -*I *2815:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[77] 0.000114778 -2 *2815:pad_gpio_dm[2] 0.000229189 -3 *2156:23 0.00164667 -4 *2156:8 0.00271441 -5 *2156:7 0.00141171 -6 *2156:7 *2199:7 0.000157084 -7 *2156:8 *2822:mprj_io_slow_sel[25] 0 -8 *2156:8 *2237:8 0 -9 *2156:8 *2275:10 0 -10 *2156:8 *2275:11 0 -11 *2156:8 *2465:8 0.00119865 -12 *2156:23 *2822:mprj_io_holdover[25] 0 -13 *2156:23 *2822:mprj_io_inp_dis[25] 5.65975e-05 -14 *2156:23 *2351:29 0.000182281 -15 *2156:23 *2389:19 0 -16 *2822:mprj_io_analog_en[25] *2156:23 0.000565394 -17 *2822:mprj_io_analog_pol[25] *2156:23 6.55232e-05 -18 *2822:mprj_io_analog_sel[25] *2156:23 5.65975e-05 -19 *2822:mprj_io_dm[75] *2156:23 7.44489e-05 -20 *106:43 *2822:mprj_io_dm[77] 0 -21 *106:43 *2156:8 0.00457625 -22 *106:43 *2156:23 0.000747119 -23 *617:14 *2156:23 0.000144315 -24 *618:34 *2156:23 0 -25 *634:14 *2156:23 0.000144315 -26 *694:19 *2156:8 0.000150388 -27 *694:19 *2156:23 6.55666e-06 -28 *2155:7 *2156:7 0.000157084 -*RES -1 *2815:pad_gpio_dm[2] *2156:7 4.34986 -2 *2156:7 *2156:8 74.0859 -3 *2156:8 *2156:23 45.7488 -4 *2156:23 *2822:mprj_io_dm[77] 7.69988 -*END - -*D_NET *2157 0.0157145 -*CONN -*I *2822:mprj_io_dm[78] I *D chip_io -*I *2816:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[78] 0.000379002 -2 *2816:pad_gpio_dm[0] 0.000387316 -3 *2157:8 0.00114747 -4 *2157:7 0.00115578 -5 *2822:mprj_io_dm[78] *2238:33 0.000150501 -6 *2157:7 *2158:7 0.000221385 -7 *2157:7 *2160:7 0 -8 *2157:8 *2314:12 0.0056292 -9 *2822:mprj_io_analog_pol[26] *2822:mprj_io_dm[78] 0 -10 *617:14 *2822:mprj_io_dm[78] 0 -11 *618:34 *2157:8 0.000758778 -12 *632:20 *2157:8 0.0056292 -13 *1972:19 *2822:mprj_io_dm[78] 0 -14 *2048:7 *2157:7 0.000255845 -*RES -1 *2816:pad_gpio_dm[0] *2157:7 4.96178 -2 *2157:7 *2157:8 90.4883 -3 *2157:8 *2822:mprj_io_dm[78] 18.748 -*END - -*D_NET *2158 0.0117433 -*CONN -*I *2822:mprj_io_dm[79] I *D chip_io -*I *2816:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[79] 0.000380794 -2 *2816:pad_gpio_dm[1] 0.000314875 -3 *2158:8 0.00142921 -4 *2158:7 0.00136329 -5 *2822:mprj_io_dm[79] *2238:33 0 -6 *2158:7 *2160:7 0.000221385 -7 *116:21 *2822:mprj_io_dm[79] 5.04829e-06 -8 *632:20 *2158:8 0.00121298 -9 *634:14 *2158:8 0.00151271 -10 *656:13 *2158:8 0.00071916 -11 *1972:19 *2158:8 0.00186869 -12 *2010:16 *2158:8 0.0024938 -13 *2157:7 *2158:7 0.000221385 -*RES -1 *2816:pad_gpio_dm[1] *2158:7 4.73231 -2 *2158:7 *2158:8 80.9375 -3 *2158:8 *2822:mprj_io_dm[79] 17.9147 -*END - -*D_NET *2159 0.0173514 -*CONN -*I *2822:mprj_io_dm[7] I *D chip_io -*I *2797:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[7] 0.000283885 -2 *2797:pad_gpio_dm[1] 0.000253781 -3 *2159:14 0.000924186 -4 *2159:13 0.000805882 -5 *2159:8 0.00104164 -6 *2159:7 0.00112984 -7 *2159:7 *2170:13 6.79757e-05 -8 *2159:13 *2822:mprj_io_oeb[2] 0 -9 *110:52 *2159:8 0 -10 *110:52 *2159:14 0.00386532 -11 *626:56 *2159:8 0.00355242 -12 *626:65 *2159:13 0 -13 *626:71 *2822:mprj_io_dm[7] 5.20546e-06 -14 *626:72 *2822:mprj_io_dm[7] 0 -15 *626:72 *2159:14 0.000107729 -16 *650:56 *2159:14 0.00401861 -17 *669:19 *2822:mprj_io_dm[7] 5.04829e-06 -18 *1976:16 *2159:8 0.00121192 -19 *1976:19 *2159:13 3.94907e-05 -20 *2148:13 *2159:7 3.84497e-05 -*RES -1 *2797:pad_gpio_dm[1] *2159:7 4.12039 -2 *2159:7 *2159:8 57.2682 -3 *2159:8 *2159:13 13.051 -4 *2159:13 *2159:14 65.3656 -5 *2159:14 *2822:mprj_io_dm[7] 16.2509 -*END - -*D_NET *2160 0.0214577 -*CONN -*I *2822:mprj_io_dm[80] I *D chip_io -*I *2816:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[80] 0.000121473 -2 *2816:pad_gpio_dm[2] 0.000647983 -3 *2160:17 0.000766516 -4 *2160:8 0.00153246 -5 *2160:7 0.0015354 -6 *2160:7 *2200:7 0.000305625 -7 *2160:8 *2200:20 0.00181127 -8 *2160:8 *2390:20 0.000426657 -9 *2160:8 *2466:8 0.00345925 -10 *2822:mprj_io_analog_pol[26] *2160:17 5.04829e-06 -11 *2822:mprj_io_analog_sel[26] *2822:mprj_io_dm[80] 3.31882e-05 -12 *2822:mprj_io_analog_sel[26] *2160:17 0.000572468 -13 *116:22 *2160:17 0.00135645 -14 *617:14 *2822:mprj_io_dm[80] 0 -15 *632:20 *2160:17 0.00135285 -16 *633:29 *2160:8 0.00617883 -17 *642:52 *2160:8 0.000932536 -18 *656:13 *2160:17 0.000198288 -19 *2157:7 *2160:7 0 -20 *2158:7 *2160:7 0.000221385 -*RES -1 *2816:pad_gpio_dm[2] *2160:7 5.49721 -2 *2160:7 *2160:8 99.2086 -3 *2160:8 *2160:17 46.6938 -4 *2160:17 *2822:mprj_io_dm[80] 7.91362 -*END - -*D_NET *2161 0.0161708 -*CONN -*I *2822:mprj_io_dm[81] I *D chip_io -*I *2817:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[81] 0.000601436 -2 *2817:pad_gpio_dm[0] 0.000620535 -3 *2161:8 0.00140072 -4 *2161:7 0.00141982 -5 *2822:mprj_io_dm[81] *2353:16 0 -6 *2161:7 *2162:12 4.46752e-05 -7 *2161:7 *2201:7 0 -8 *2161:8 *2201:8 0.00434859 -9 *2161:8 *2201:12 1.3813e-05 -10 *2161:8 *2315:16 0.00120738 -11 *2161:8 *2391:22 9.71323e-06 -12 *2161:8 *2391:26 0.000583014 -13 *2822:mprj_io_analog_en[27] *2822:mprj_io_dm[81] 0 -14 *2822:mprj_io_analog_pol[27] *2822:mprj_io_dm[81] 0 -15 *618:34 *2161:8 0.000722303 -16 *657:8 *2161:8 0.00494693 -17 *1973:7 *2161:7 0 -18 *1973:8 *2161:8 1.1246e-05 -19 *2049:7 *2161:7 0.000240602 -*RES -1 *2817:pad_gpio_dm[0] *2161:7 5.26774 -2 *2161:7 *2161:8 90.0731 -3 *2161:8 *2822:mprj_io_dm[81] 21.7969 -*END - -*D_NET *2162 0.0119846 -*CONN -*I *2822:mprj_io_dm[82] I *D chip_io -*I *2817:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[82] 0.000117368 -2 *2817:pad_gpio_dm[1] 0.00108394 -3 *2162:19 0.000715597 -4 *2162:15 0.0010021 -5 *2162:12 0.00148781 -6 *2822:mprj_io_dm[82] *2353:16 0 -7 *2162:12 *2163:12 0.00208406 -8 *2162:12 *2277:11 0 -9 *2162:15 *2602:14 3.30464e-05 -10 *2817:mgmt_gpio_out *2162:12 0.000153257 -11 *106:37 *2162:19 0.000138868 -12 *117:21 *2162:19 0.000224424 -13 *634:14 *2162:19 0.00244485 -14 *657:19 *2162:19 5.04829e-06 -15 *1724:37 *2162:12 4.75721e-06 -16 *2011:8 *2162:19 0.00244485 -17 *2161:7 *2162:12 4.46752e-05 -*RES -1 *2817:pad_gpio_dm[1] *2162:12 48.4508 -2 *2162:12 *2162:15 13.5424 -3 *2162:15 *2162:19 49.2802 -4 *2162:19 *2822:mprj_io_dm[82] 7.69988 -*END - -*D_NET *2163 0.0182256 -*CONN -*I *2822:mprj_io_dm[83] I *D chip_io -*I *2817:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[83] 0.000537175 -2 *2817:pad_gpio_dm[2] 0.00093002 -3 *2163:24 0.000976467 -4 *2163:21 0.000897207 -5 *2163:15 0.00107203 -6 *2163:12 0.00154413 -7 *2822:mprj_io_dm[83] *2822:mprj_io_oeb[27] 0.000307827 -8 *2163:12 *2201:7 2.44479e-06 -9 *2163:12 *2277:11 0 -10 *2163:21 *2822:mprj_io_slow_sel[27] 5.04829e-06 -11 *2163:21 *2201:8 0.00227526 -12 *2163:21 *2353:8 0.00227526 -13 *2822:mprj_io_analog_sel[27] *2822:mprj_io_dm[83] 6.8952e-05 -14 *106:37 *2163:24 0.000218373 -15 *117:21 *2163:24 0.00014097 -16 *117:22 *2163:24 0.000459831 -17 *618:34 *2163:21 0.000349924 -18 *2011:8 *2163:24 0.00156278 -19 *2049:8 *2163:24 0.0025178 -20 *2162:12 *2163:12 0.00208406 -*RES -1 *2817:pad_gpio_dm[2] *2163:12 43.8831 -2 *2163:12 *2163:15 17.4247 -3 *2163:15 *2163:21 48.1004 -4 *2163:21 *2163:24 45.3657 -5 *2163:24 *2822:mprj_io_dm[83] 21.2068 -*END - -*D_NET *2164 0.0155337 -*CONN -*I *2822:mprj_io_dm[84] I *D chip_io -*I *2818:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[84] 0.000426138 -2 *2818:pad_gpio_dm[0] 0.000475335 -3 *2164:8 0.00121708 -4 *2164:7 0.00126628 -5 *2822:mprj_io_dm[84] *2240:20 0 -6 *2164:7 *2165:7 0.000259424 -7 *2164:8 *2354:13 0.000203102 -8 *2822:mprj_io_analog_pol[28] *2822:mprj_io_dm[84] 0 -9 *618:34 *2164:8 0.000783986 -10 *634:74 *2164:8 0.00566301 -11 *658:35 *2822:mprj_io_dm[84] 5.04829e-06 -12 *2012:13 *2164:7 0 -13 *2012:14 *2164:8 0.00523434 -*RES -1 *2818:pad_gpio_dm[0] *2164:7 4.96178 -2 *2164:7 *2164:8 90.9036 -3 *2164:8 *2822:mprj_io_dm[84] 18.5465 -*END - -*D_NET *2165 0.0137436 -*CONN -*I *2822:mprj_io_dm[85] I *D chip_io -*I *2818:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[85] 0.00069941 -2 *2818:pad_gpio_dm[1] 0.000680387 -3 *2165:20 0.0010399 -4 *2165:8 0.00154928 -5 *2165:7 0.00188918 -6 *2822:mprj_io_dm[85] *2240:20 0 -7 *2822:mprj_io_dm[85] *2468:23 5.04829e-06 -8 *2165:7 *2166:7 0.000310758 -9 *2165:8 *2202:8 0.00349112 -10 *2165:20 *2166:8 0.000132291 -11 *2165:20 *2166:18 2.68153e-05 -12 *2165:20 *2166:22 0.000332717 -13 *2165:20 *2202:8 1.3813e-05 -14 *2165:20 *2202:23 0.000155125 -15 *2165:20 *2202:26 0.00130436 -16 *2165:20 *2468:23 0.000137072 -17 *2165:20 *2603:20 0.000996199 -18 *102:49 *2165:8 0.000555378 -19 *642:52 *2165:20 0.000150051 -20 *658:30 *2165:20 1.5254e-05 -21 *2164:7 *2165:7 0.000259424 -*RES -1 *2818:pad_gpio_dm[1] *2165:7 5.5737 -2 *2165:7 *2165:8 55.8148 -3 *2165:8 *2165:20 40.9755 -4 *2165:20 *2822:mprj_io_dm[85] 19.1501 -*END - -*D_NET *2166 0.0191377 -*CONN -*I *2822:mprj_io_dm[86] I *D chip_io -*I *2818:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[86] 0.000661643 -2 *2818:pad_gpio_dm[2] 0.000552173 -3 *2166:22 0.00154255 -4 *2166:18 0.00113771 -5 *2166:8 0.000930492 -6 *2166:7 0.00122586 -7 *2822:mprj_io_dm[86] *2822:mprj_io_ib_mode_sel[28] 1.90694e-05 -8 *2166:7 *2202:7 0.000310758 -9 *2166:8 *2202:8 0.0036787 -10 *2166:8 *2202:23 1.5254e-05 -11 *2166:8 *2354:14 0.000612147 -12 *2166:18 *2202:23 5.67421e-05 -13 *2166:18 *2468:23 0.00101349 -14 *2166:18 *2603:20 0.000949098 -15 *2166:22 *2202:26 1.87125e-05 -16 *2166:22 *2468:23 0.00018059 -17 *2166:22 *2468:24 0.00232164 -18 *2822:mprj_io_analog_sel[28] *2822:mprj_io_dm[86] 0 -19 *102:49 *2166:18 0.000154008 -20 *102:49 *2166:22 0.000372229 -21 *642:52 *2166:8 0.000563374 -22 *658:30 *2166:8 0.00174204 -23 *679:10 *2166:8 0.000276864 -24 *2165:7 *2166:7 0.000310758 -25 *2165:20 *2166:8 0.000132291 -26 *2165:20 *2166:18 2.68153e-05 -27 *2165:20 *2166:22 0.000332717 -*RES -1 *2818:pad_gpio_dm[2] *2166:7 5.42072 -2 *2166:7 *2166:8 61.8359 -3 *2166:8 *2166:18 28.3023 -4 *2166:18 *2166:22 47.6496 -5 *2166:22 *2822:mprj_io_dm[86] 19.5154 -*END - -*D_NET *2167 0.0156198 -*CONN -*I *2822:mprj_io_dm[87] I *D chip_io -*I *2804:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[87] 0.000420491 -2 *2804:pad_gpio_dm[0] 0.000429183 -3 *2167:10 0.00118253 -4 *2167:9 0.00119122 -5 *2167:9 *2168:9 0.00021846 -6 *2167:9 *2169:13 0 -7 *2167:10 *2317:10 0.0055771 -8 *2822:mprj_io_analog_en[29] *2822:mprj_io_dm[87] 0 -9 *2822:mprj_io_analog_pol[29] *2822:mprj_io_dm[87] 0 -10 *617:70 *2167:10 0.0055771 -11 *618:34 *2167:10 0.000751575 -12 *641:12 *2822:mprj_io_dm[87] 0 -13 *2051:9 *2167:9 0.000272112 -*RES -1 *2804:pad_gpio_dm[0] *2167:9 5.18127 -2 *2167:9 *2167:10 89.6578 -3 *2167:10 *2822:mprj_io_dm[87] 18.748 -*END - -*D_NET *2168 0.0136816 -*CONN -*I *2822:mprj_io_dm[88] I *D chip_io -*I *2804:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[88] 0.000320114 -2 *2804:pad_gpio_dm[1] 0.000299111 -3 *2168:10 0.0010307 -4 *2168:9 0.00100969 -5 *2168:9 *2169:13 0.00021846 -6 *2168:10 *2203:34 0.0028222 -7 *2168:10 *2393:10 1.87269e-05 -8 *2168:10 *2469:10 0.00210041 -9 *106:37 *2168:10 0.000681211 -10 *641:12 *2168:10 0.0049625 -11 *1975:18 *2822:mprj_io_dm[88] 0 -12 *2167:9 *2168:9 0.00021846 -*RES -1 *2804:pad_gpio_dm[1] *2168:9 4.79882 -2 *2168:9 *2168:10 80.107 -3 *2168:10 *2822:mprj_io_dm[88] 16.8055 -*END - -*D_NET *2169 0.0196935 -*CONN -*I *2822:mprj_io_dm[89] I *D chip_io -*I *2804:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[89] 0.000583669 -2 *2804:pad_gpio_dm[2] 0.000984314 -3 *2169:16 0.00146335 -4 *2169:15 0.00087968 -5 *2169:13 0.000984314 -6 *2822:mprj_io_dm[89] *2822:mprj_io_holdover[29] 9.4338e-05 -7 *2169:13 *2317:10 0.00224617 -8 *2169:13 *2355:10 0.00224259 -9 *2169:13 *2469:15 0.000111708 -10 *2169:16 *2431:10 0.00221237 -11 *2169:16 *2469:16 0.00147037 -12 *2822:mprj_io_analog_sel[29] *2822:mprj_io_dm[89] 0 -13 *101:19 *2169:13 7.98171e-06 -14 *618:34 *2169:13 0.000267961 -15 *642:52 *2169:16 0.000813097 -16 *680:8 *2169:16 6.68049e-05 -17 *2051:10 *2169:16 0.0050463 -18 *2167:9 *2169:13 0 -19 *2168:9 *2169:13 0.00021846 -*RES -1 *2804:pad_gpio_dm[2] *2169:13 48.3118 -2 *2169:13 *2169:15 4.5 -3 *2169:15 *2169:16 84.0519 -4 *2169:16 *2822:mprj_io_dm[89] 23.4607 -*END - -*D_NET *2170 0.0149207 -*CONN -*I *2822:mprj_io_dm[8] I *D chip_io -*I *2797:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[8] 0.000519561 -2 *2797:pad_gpio_dm[2] 0.000461129 -3 *2170:14 0.00126858 -4 *2170:13 0.00121015 -5 *2822:mprj_io_dm[8] *2822:mprj_io_holdover[2] 3.35033e-05 -6 *2170:13 *2204:13 0.00109691 -7 *2170:14 *2318:14 0.000107108 -8 *2170:14 *2470:14 0.000296254 -9 *110:52 *2822:mprj_io_dm[8] 0 -10 *669:30 *2170:13 0.000124349 -11 *2052:14 *2170:14 0.0047113 -12 *2148:14 *2170:14 0.00502384 -13 *2159:7 *2170:13 6.79757e-05 -*RES -1 *2797:pad_gpio_dm[2] *2170:13 26.593 -2 *2170:13 *2170:14 85.2977 -3 *2170:14 *2822:mprj_io_dm[8] 21.2423 -*END - -*D_NET *2171 0.0152372 -*CONN -*I *2822:mprj_io_dm[90] I *D chip_io -*I *2805:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[90] 0.000390918 -2 *2805:pad_gpio_dm[0] 0.00039217 -3 *2171:8 0.00117175 -4 *2171:7 0.001173 -5 *2822:mprj_io_dm[90] *2357:20 0 -6 *2171:7 *2173:13 0 -7 *2171:8 *2243:8 0.00348199 -8 *2171:8 *2319:16 0.00207198 -9 *2171:8 *2357:19 0.000106488 -10 *106:37 *2171:8 0.000599928 -11 *618:8 *2171:8 1.75318e-05 -12 *681:8 *2171:8 0.00559271 -13 *1977:17 *2822:mprj_io_dm[90] 0 -14 *2053:7 *2171:7 0.000238736 -*RES -1 *2805:pad_gpio_dm[0] *2171:7 4.8088 -2 *2171:7 *2171:8 90.0731 -3 *2171:8 *2822:mprj_io_dm[90] 18.4693 -*END - -*D_NET *2172 0.0103111 -*CONN -*I *2822:mprj_io_dm[91] I *D chip_io -*I *2805:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[91] 0.000254771 -2 *2805:pad_gpio_dm[1] 0.001291 -3 *2172:16 0.00105426 -4 *2172:15 0.00173315 -5 *2172:12 0.00222467 -6 *2822:mprj_io_dm[91] *2357:20 0 -7 *2172:16 *2822:mprj_io_slow_sel[30] 0.000592128 -8 *2172:16 *2281:10 0 -9 *2172:16 *2357:20 0.000666233 -10 *2172:16 *2433:8 9.92046e-06 -11 *2172:16 *2605:11 0 -12 *102:26 *2172:16 1.2366e-05 -13 *106:37 *2172:16 0.000361035 -14 *642:8 *2172:16 0.000541641 -15 *1977:8 *2172:16 0.00156989 -*RES -1 *2805:pad_gpio_dm[1] *2172:12 41.8068 -2 *2172:12 *2172:15 29.626 -3 *2172:15 *2172:16 46.264 -4 *2172:16 *2822:mprj_io_dm[91] 15.6963 -*END - -*D_NET *2173 0.0189155 -*CONN -*I *2822:mprj_io_dm[92] I *D chip_io -*I *2805:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[92] 0.000477699 -2 *2805:pad_gpio_dm[2] 0.000570573 -3 *2173:14 0.00156702 -4 *2173:13 0.0016599 -5 *2822:mprj_io_dm[92] *2822:mprj_io_holdover[30] 0 -6 *2822:mprj_io_dm[92] *2357:20 0 -7 *2173:13 *2205:7 0.000238736 -8 *2173:13 *2243:8 0.000275937 -9 *2173:14 *2243:14 1.87269e-05 -10 *2173:14 *2395:8 0.00358909 -11 *2173:14 *2471:13 0.000308333 -12 *2822:mprj_io_analog_sel[30] *2822:mprj_io_dm[92] 0 -13 *618:8 *2173:14 0.000660824 -14 *642:14 *2173:14 0.00366078 -15 *681:8 *2173:13 0.00027952 -16 *2015:8 *2173:14 0.00560835 -17 *2171:7 *2173:13 0 -*RES -1 *2805:pad_gpio_dm[2] *2173:13 20.7271 -2 *2173:13 *2173:14 117.272 -3 *2173:14 *2822:mprj_io_dm[92] 20.6877 -*END - -*D_NET *2174 0.0140254 -*CONN -*I *2822:mprj_io_dm[93] I *D chip_io -*I *2806:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[93] 0.000598323 -2 *2806:pad_gpio_dm[0] 0.000800228 -3 *2174:16 0.00112202 -4 *2174:15 0.00117802 -5 *2174:12 0.00145456 -6 *2822:mprj_io_dm[93] *2358:12 0 -7 *2174:12 *2175:7 1.66948e-05 -8 *2174:12 *2282:11 0.00104981 -9 *2174:16 *2320:8 0.00342877 -10 *2806:mgmt_gpio_out *2174:12 0.000470189 -11 *2822:mprj_io_analog_pol[31] *2822:mprj_io_dm[93] 0 -12 *643:14 *2174:16 0.00225543 -13 *682:8 *2174:16 0.000545415 -14 *1729:37 *2174:12 0.000171753 -15 *1978:15 *2174:12 0.000119662 -16 *2016:15 *2174:12 0.000119662 -17 *2054:15 *2174:12 0.000128928 -18 *2054:16 *2174:16 0.000565939 -*RES -1 *2806:pad_gpio_dm[0] *2174:12 43.4312 -2 *2174:12 *2174:15 18.5339 -3 *2174:15 *2174:16 54.9843 -4 *2174:16 *2822:mprj_io_dm[93] 22.215 -*END - -*D_NET *2175 0.0134503 -*CONN -*I *2822:mprj_io_dm[94] I *D chip_io -*I *2806:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[94] 0.000328427 -2 *2806:pad_gpio_dm[1] 0.000341621 -3 *2175:8 0.00106601 -4 *2175:7 0.0010792 -5 *2175:7 *2176:7 0.000201512 -6 *2175:8 *2206:8 0.00503523 -7 *2175:8 *2434:8 0.000375899 -8 *106:37 *2175:8 0.000758727 -9 *1978:16 *2822:mprj_io_dm[94] 0 -10 *1978:16 *2175:8 0.004247 -11 *2174:12 *2175:7 1.66948e-05 -*RES -1 *2806:pad_gpio_dm[1] *2175:7 4.57933 -2 *2175:7 *2175:8 80.9375 -3 *2175:8 *2822:mprj_io_dm[94] 17.3601 -*END - -*D_NET *2176 0.0191632 -*CONN -*I *2822:mprj_io_dm[95] I *D chip_io -*I *2806:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[95] 0.000402428 -2 *2806:pad_gpio_dm[2] 0.0003564 -3 *2176:14 0.000911359 -4 *2176:8 0.0012197 -5 *2176:7 0.00106717 -6 *2822:mprj_io_dm[95] *2822:mprj_io_holdover[31] 0 -7 *2822:mprj_io_dm[95] *2720:14 0 -8 *2176:7 *2206:7 0.000216767 -9 *2176:8 *2358:8 0.00523415 -10 *2176:8 *2358:12 4.46284e-06 -11 *2176:8 *2396:8 0.00524718 -12 *2176:14 *2206:13 1.51284e-05 -13 *2176:14 *2244:8 0.00106884 -14 *2176:14 *2396:12 0.00222169 -15 *2822:mprj_io_analog_sel[31] *2822:mprj_io_dm[95] 0 -16 *106:37 *2176:8 0.000695486 -17 *106:37 *2176:14 0.000300942 -18 *2175:7 *2176:7 0.000201512 -*RES -1 *2806:pad_gpio_dm[2] *2176:7 4.8088 -2 *2176:7 *2176:8 84.2596 -3 *2176:8 *2176:14 46.2812 -4 *2176:14 *2822:mprj_io_dm[95] 18.4693 -*END - -*D_NET *2177 0.0142729 -*CONN -*I *2822:mprj_io_dm[96] I *D chip_io -*I *2807:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[96] 8.59507e-05 -2 *2807:pad_gpio_dm[0] 0.00110312 -3 *2177:21 0.000645972 -4 *2177:16 0.000744333 -5 *2177:11 0.00128743 -6 *2822:mprj_io_dm[96] *2473:14 0 -7 *2177:11 *2822:mprj_io_dm[97] 5.76949e-05 -8 *2177:11 *2822:mprj_io_inp_dis[32] 0.000442086 -9 *2177:11 *2283:11 0.00214384 -10 *2177:21 *2473:14 0.00236357 -11 *2807:mgmt_gpio_out *2177:11 0.000469124 -12 *2822:mprj_io_analog_en[32] *2177:21 0 -13 *2822:mprj_io_analog_pol[32] *2177:21 0 -14 *106:31 *2177:16 0.00105223 -15 *619:20 *2177:16 0.000162347 -16 *619:20 *2177:21 0.000361797 -17 *1730:35 *2177:11 1.66771e-05 -18 *1979:8 *2177:16 1.69327e-05 -19 *1979:8 *2177:21 0.00214069 -20 *2017:8 *2177:16 0.001109 -21 *2017:8 *2177:21 1.23804e-05 -22 *2055:7 *2177:11 5.76949e-05 -*RES -1 *2807:pad_gpio_dm[0] *2177:11 49.9065 -2 *2177:11 *2177:16 23.052 -3 *2177:16 *2177:21 47.6874 -4 *2177:21 *2822:mprj_io_dm[96] 6.86938 -*END - -*D_NET *2178 0.0106131 -*CONN -*I *2822:mprj_io_dm[97] I *D chip_io -*I *2807:pad_gpio_dm[1] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[97] 0.00197134 -2 *2807:pad_gpio_dm[1] 0.00197134 -3 *2822:mprj_io_dm[97] *2822:mprj_io_inp_dis[32] 0.00559312 -4 *2822:mprj_io_dm[97] *2179:7 6.85536e-05 -5 *2822:mprj_io_dm[97] *2473:8 0.000411609 -6 *619:20 *2822:mprj_io_dm[97] 0.000539459 -7 *1979:8 *2822:mprj_io_dm[97] 0 -8 *2177:11 *2822:mprj_io_dm[97] 5.76949e-05 -*RES -1 *2807:pad_gpio_dm[1] *2822:mprj_io_dm[97] 18.9385 -*END - -*D_NET *2179 0.0165361 -*CONN -*I *2822:mprj_io_dm[98] I *D chip_io -*I *2807:pad_gpio_dm[2] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[98] 0.000527248 -2 *2807:pad_gpio_dm[2] 0.000550507 -3 *2179:14 0.0011111 -4 *2179:13 0.000619096 -5 *2179:8 0.000910772 -6 *2179:7 0.00142603 -7 *2822:mprj_io_dm[98] *2822:mprj_io_holdover[32] 0 -8 *2822:mprj_io_dm[98] *2473:14 0 -9 *2179:7 *2207:7 0.000284267 -10 *2179:7 *2245:7 0 -11 *2179:8 *2207:8 0.000654547 -12 *2179:8 *2359:8 0.00451993 -13 *2179:13 *2397:17 0 -14 *2179:14 *2245:8 0.00141179 -15 *2179:14 *2359:8 0.000189464 -16 *2179:14 *2359:14 0.0029156 -17 *2822:mprj_io_dm[97] *2179:7 6.85536e-05 -18 *104:20 *2179:8 0.00125836 -19 *104:20 *2179:14 8.88511e-05 -20 *2055:7 *2179:7 0 -*RES -1 *2807:pad_gpio_dm[2] *2179:7 5.34423 -2 *2179:7 *2179:8 72.2172 -3 *2179:8 *2179:13 9.98864 -4 *2179:13 *2179:14 49.586 -5 *2179:14 *2822:mprj_io_dm[98] 21.2951 -*END - -*D_NET *2180 0.0147092 -*CONN -*I *2822:mprj_io_dm[99] I *D chip_io -*I *2808:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[99] 0.00041201 -2 *2808:pad_gpio_dm[0] 0.000546568 -3 *2180:8 0.00128382 -4 *2180:7 0.00141838 -5 *2822:mprj_io_dm[99] *2398:16 0 -6 *2180:8 *2246:8 0.00560012 -7 *2180:8 *2322:14 0.0033063 -8 *2180:8 *2398:14 0.000680844 -9 *2180:8 *2398:16 1.72954e-05 -10 *2822:mprj_io_analog_en[33] *2822:mprj_io_dm[99] 0 -11 *2822:mprj_io_analog_pol[33] *2822:mprj_io_dm[99] 0 -12 *105:16 *2180:8 0.00084977 -13 *2018:16 *2180:8 0.000396366 -14 *2056:13 *2180:7 7.42816e-06 -15 *2069:7 *2180:7 0.000190282 -*RES -1 *2808:pad_gpio_dm[0] *2180:7 4.88529 -2 *2180:7 *2180:8 89.6578 -3 *2180:8 *2822:mprj_io_dm[99] 19.0239 -*END - -*D_NET *2181 0.0179485 -*CONN -*I *2822:mprj_io_dm[9] I *D chip_io -*I *2798:pad_gpio_dm[0] O *D gpio_control_block -*CAP -1 *2822:mprj_io_dm[9] 0.0005774 -2 *2798:pad_gpio_dm[0] 0.00069235 -3 *2181:14 0.00147798 -4 *2181:13 0.00159293 -5 *2181:13 *2213:15 0.000642796 -6 *2181:14 *2327:16 0.000537421 -7 *2822:mprj_io_analog_en[3] *2822:mprj_io_dm[9] 0 -8 *2822:mprj_io_analog_pol[3] *2822:mprj_io_dm[9] 0 -9 *111:30 *2181:13 0.000145708 -10 *111:36 *2822:mprj_io_dm[9] 0 -11 *1985:16 *2181:14 0.00552889 -12 *2023:13 *2181:13 0 -13 *2061:15 *2181:13 1.67063e-05 -14 *2079:13 *2181:13 7.24012e-05 -15 *2079:14 *2181:14 0.00664737 -16 *2084:13 *2181:13 1.65872e-05 -*RES -1 *2798:pad_gpio_dm[0] *2181:13 27.2377 -2 *2181:13 *2181:14 106.06 -3 *2181:14 *2822:mprj_io_dm[9] 23.0843 -*END - -*D_NET *2182 0.0113286 -*CONN -*I *2822:mprj_io_holdover[0] I *D chip_io -*I *2781:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2822:mprj_io_holdover[0] 0.000249934 -2 *2781:pad_gpio_holdover 0.000446943 -3 *2182:14 0.00197456 -4 *2182:13 0.00217157 -5 *2822:mprj_io_holdover[0] *2822:mprj_io_out[0] 0 -6 *2182:13 *2220:13 0.000600169 -7 *2182:13 *2334:8 0.000164682 -8 *2182:14 *2372:14 2.04806e-05 -9 *2030:14 *2822:mprj_io_holdover[0] 5.65975e-05 -10 *2030:14 *2182:14 0 -11 *2068:13 *2182:13 0.000758246 -12 *2093:13 *2182:13 0.000119662 -13 *2104:15 *2182:13 2.77992e-05 -14 *2104:16 *2182:14 0.00473797 -*RES -1 *2781:pad_gpio_holdover *2182:13 29.5542 -2 *2182:13 *2182:14 76.9926 -3 *2182:14 *2822:mprj_io_holdover[0] 15.8357 -*END - -*D_NET *2183 0.0647755 -*CONN -*I *2822:mprj_io_holdover[10] I *D chip_io -*I *2789:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2822:mprj_io_holdover[10] 0.000424661 -2 *2789:pad_gpio_holdover 0.000520691 -3 *2183:8 0.00569588 -4 *2183:7 0.00579191 -5 *2822:mprj_io_holdover[10] *2822:mprj_io_out[10] 5.51377e-06 -6 *2183:7 *2221:7 0.000195185 -7 *2183:8 *2184:8 0.000737943 -8 *2183:8 *2219:8 0 -9 *2183:8 *2297:8 0.0297098 -10 *2183:8 *2298:14 0.000822667 -11 *2183:8 *2371:8 0.0142737 -12 *2183:8 *2449:16 0.00547643 -13 *2029:14 *2183:8 0.00110709 -14 *2107:15 *2183:7 1.38992e-05 -15 *2108:14 *2822:mprj_io_holdover[10] 0 -*RES -1 *2789:pad_gpio_holdover *2183:7 1.7784 -2 *2183:7 *2183:8 63.2605 -3 *2183:8 *2822:mprj_io_holdover[10] 7.09897 -*END - -*D_NET *2184 0.0732146 -*CONN -*I *2822:mprj_io_holdover[11] I *D chip_io -*I *2790:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2822:mprj_io_holdover[11] 0.000612444 -2 *2790:pad_gpio_holdover 0.000557754 -3 *2184:8 0.00552221 -4 *2184:7 0.00546752 -5 *2822:mprj_io_holdover[11] *2822:mprj_io_out[11] 0 -6 *2822:mprj_io_holdover[11] *2261:11 0 -7 *2184:7 *2222:9 1.83645e-05 -8 *2184:7 *2298:7 0 -9 *2184:8 *2185:8 1.69979e-05 -10 *2184:8 *2223:8 0.000491838 -11 *2184:8 *2259:11 0.00110291 -12 *2184:8 *2297:8 0.0112341 -13 *2184:8 *2298:14 0.000264661 -14 *2184:8 *2335:8 0 -15 *2184:8 *2336:8 0 -16 *2184:8 *2374:8 8.21151e-05 -17 *2184:8 *2449:16 0 -18 *2184:8 *2451:8 0.0114553 -19 *2822:mprj_io_dm[35] *2822:mprj_io_holdover[11] 9.94353e-05 -20 *2033:14 *2184:8 0.00186827 -21 *2108:14 *2184:8 0.000306064 -22 *2110:7 *2184:7 0.000239941 -23 *2110:8 *2184:8 0.0315903 -24 *2111:14 *2184:8 0.00154636 -25 *2113:8 *2184:8 0 -26 *2183:8 *2184:8 0.000737943 -*RES -1 *2790:pad_gpio_holdover *2184:7 2.08436 -2 *2184:7 *2184:8 63.1462 -3 *2184:8 *2822:mprj_io_holdover[11] 8.15894 -*END - -*D_NET *2185 0.0709905 -*CONN -*I *2822:mprj_io_holdover[12] I *D chip_io -*I *2791:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2822:mprj_io_holdover[12] 0.000212388 -2 *2791:pad_gpio_holdover 0.000435241 -3 *2185:8 0.00347919 -4 *2185:7 0.00370204 -5 *2185:7 *2223:7 0.000130636 -6 *2185:8 *2223:8 0.000319464 -7 *2185:8 *2337:8 0.0309228 -8 *2185:8 *2376:16 0.000100472 -9 *2822:mprj_io_dm[38] *2822:mprj_io_holdover[12] 1.50849e-05 -10 *2113:7 *2185:7 0.000115656 -11 *2113:8 *2185:8 0.0315406 -12 *2117:26 *2822:mprj_io_holdover[12] 0 -13 *2184:8 *2185:8 1.69979e-05 -*RES -1 *2791:pad_gpio_holdover *2185:7 1.47244 -2 *2185:7 *2185:8 63.2605 -3 *2185:8 *2822:mprj_io_holdover[12] 5.88601 -*END - -*D_NET *2186 0.0744538 -*CONN -*I *2822:mprj_io_holdover[13] I *D chip_io -*I *2792:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2822:mprj_io_holdover[13] 0.000265732 -2 *2792:pad_gpio_holdover 0.000122143 -3 *2186:32 0.00189551 -4 *2186:31 0.00190275 -5 *2186:26 0.0108171 -6 *2186:25 0.0107429 -7 *2186:20 0.00188848 -8 *2186:19 0.00199368 -9 *2186:14 0.00127046 -10 *2186:13 0.00157933 -11 *2186:8 0.00344806 -12 *2186:7 0.00295739 -13 *2186:7 *2224:7 4.26995e-05 -14 *2186:8 *2792:pad_gpio_in 0.00018279 -15 *2186:8 *2792:user_gpio_oeb 0 -16 *2186:8 *2414:13 0.000300949 -17 *2186:8 *2452:8 0.000830183 -18 *2186:13 *2261:10 7.7236e-05 -19 *2186:14 *2300:20 0.00159593 -20 *2186:19 *2614:14 8.09757e-05 -21 *2186:20 *2223:8 0.000249698 -22 *2186:20 *2300:26 0.00043274 -23 *2186:20 *2300:32 0 -24 *2186:26 *2452:8 0.00443624 -25 *2186:32 *2300:32 0 -26 *2792:serial_clock *2186:8 0 -27 *2792:serial_data_in *2186:8 7.08723e-06 -28 *2822:mprj_io_analog_sel[12] *2186:19 5.98635e-05 -29 *2822:mprj_io_dm[41] *2186:32 0 -30 *619:53 *2186:8 8.00339e-05 -31 *620:74 *2186:8 0 -32 *1957:30 *2186:13 0.000114314 -33 *1958:14 *2186:32 0 -34 *1995:26 *2186:14 0.00255457 -35 *1996:14 *2186:20 0 -36 *1996:14 *2186:32 0 -37 *2116:14 *2186:14 0.000499342 -38 *2117:7 *2186:7 6.55821e-05 -39 *2117:8 *2186:8 0.00348979 -40 *2117:21 *2186:13 0 -41 *2117:26 *2186:20 0.00515684 -42 *2117:31 *2186:25 0.000479213 -43 *2117:32 *2186:20 0 -44 *2117:32 *2186:26 0.0108046 -45 *2117:38 *2186:32 0.00402966 -*RES -1 *2792:pad_gpio_holdover *2186:7 3.81442 -2 *2186:7 *2186:8 117.064 -3 *2186:8 *2186:13 23.0339 -4 *2186:13 *2186:14 57.4758 -5 *2186:14 *2186:19 16.3786 -6 *2186:19 *2186:20 83.2214 -7 *2186:20 *2186:25 15.824 -8 *2186:25 *2186:26 401.72 -9 *2186:26 *2186:31 15.824 -10 *2186:31 *2186:32 69.9334 -11 *2186:32 *2822:mprj_io_holdover[13] 15.975 -*END - -*D_NET *2187 0.0283828 -*CONN -*I *2822:mprj_io_holdover[14] I *D chip_io -*I *2793:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2822:mprj_io_holdover[14] 0.000505911 -2 *2793:pad_gpio_holdover 0.000332068 -3 *2187:14 0.00213606 -4 *2187:13 0.00196222 -5 *2822:mprj_io_holdover[14] *2822:mprj_io_out[14] 0 -6 *2187:13 *2225:13 3.27908e-05 -7 *2187:14 *2225:14 0.00025386 -8 *2187:14 *2377:14 3.77665e-05 -9 *2187:14 *2377:18 0.00454199 -10 *2187:14 *2453:14 0.00582676 -11 *621:48 *2822:mprj_io_holdover[14] 0 -12 *2035:13 *2187:13 0.000320777 -13 *2035:14 *2187:14 0.0109165 -14 *2118:16 *2187:14 0 -15 *2119:13 *2187:13 4.15201e-05 -16 *2120:15 *2187:13 0.00114892 -17 *2120:16 *2187:14 0.000325649 -*RES -1 *2793:pad_gpio_holdover *2187:13 26.9346 -2 *2187:13 *2187:14 183.297 -3 *2187:14 *2822:mprj_io_holdover[14] 21.6604 -*END - -*D_NET *2188 0.0605054 -*CONN -*I *2822:mprj_io_holdover[15] I *D chip_io -*I *2794:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2822:mprj_io_holdover[15] 0.00608989 -2 *2794:pad_gpio_holdover 0.000473794 -3 *2188:25 0.00947838 -4 *2188:17 0.0050024 -5 *2188:16 0.00273616 -6 *2188:13 0.00159604 -7 *2822:mprj_io_holdover[15] *2822:mprj_io_ib_mode_sel[15] 0.00035991 -8 *2822:mprj_io_holdover[15] *2822:mprj_io_oeb[15] 0.00191294 -9 *2822:mprj_io_holdover[15] *2378:33 0 -10 *2188:13 *2302:24 0.000220183 -11 *2188:13 *2340:11 4.0752e-05 -12 *2188:13 *2378:13 0.0016943 -13 *2188:17 *2226:25 0 -14 *2188:25 *2340:20 0.000184368 -15 *2794:serial_clock_out *2188:16 1.5714e-05 -16 *2794:serial_data_in *2188:16 7.20173e-06 -17 *2822:mprj_io_dm[47] *2822:mprj_io_holdover[15] 0.000422603 -18 *666:13 *2188:16 0 -19 *1960:19 *2188:13 0 -20 *1960:28 *2188:25 0.000750031 -21 *1998:17 *2188:17 0.00712075 -22 *1998:22 *2188:25 0.00026104 -23 *2036:17 *2188:17 0.000279912 -24 *2121:29 *2822:mprj_io_holdover[15] 0.00595881 -25 *2121:29 *2188:25 0.012233 -26 *2123:13 *2188:13 0.00252466 -27 *2123:14 *2188:16 0.00114261 -*RES -1 *2794:pad_gpio_holdover *2188:13 40.8625 -2 *2188:13 *2188:16 44.5352 -3 *2188:16 *2188:17 75.5949 -4 *2188:17 *2188:25 38.8585 -5 *2188:25 *2822:mprj_io_holdover[15] 35.8323 -*END - -*D_NET *2189 0.026512 -*CONN -*I *2822:mprj_io_holdover[16] I *D chip_io -*I *2795:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2822:mprj_io_holdover[16] 0.0013191 -2 *2795:pad_gpio_holdover 8.99935e-05 -3 *2189:19 0.00268501 -4 *2189:11 0.00256618 -5 *2189:10 0.00129027 -6 *2822:mprj_io_holdover[16] *2822:mprj_io_slow_sel[16] 0.00132538 -7 *2822:mprj_io_holdover[16] *2822:mprj_io_vtrip_sel[16] 0 -8 *2822:mprj_io_holdover[16] *2227:31 0 -9 *2189:11 *2227:11 0 -10 *2189:11 *2455:11 0.00562843 -11 *2189:19 *2227:31 0.000228518 -12 *2189:19 *2455:16 0 -13 *2795:resetn *2189:11 0.000647089 -14 *2795:serial_load *2189:11 0.00376548 -15 *2822:mprj_io_analog_en[16] *2822:mprj_io_holdover[16] 0.00336298 -16 *2822:mprj_io_analog_pol[16] *2822:mprj_io_holdover[16] 0.00330232 -17 *2822:mprj_io_dm[48] *2822:mprj_io_holdover[16] 0.000224106 -18 *1999:13 *2189:11 0 -19 *2125:22 *2822:mprj_io_holdover[16] 7.0814e-05 -20 *2127:26 *2189:19 6.34651e-06 -*RES -1 *2795:pad_gpio_holdover *2189:10 8.46357 -2 *2189:10 *2189:11 79.4771 -3 *2189:11 *2189:19 46.7873 -4 *2189:19 *2822:mprj_io_holdover[16] 43.0482 -*END - -*D_NET *2190 0.0491164 -*CONN -*I *2822:mprj_io_holdover[17] I *D chip_io -*I *2796:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2822:mprj_io_holdover[17] 0.0011431 -2 *2796:pad_gpio_holdover 9.87279e-05 -3 *2190:14 0.0024582 -4 *2190:13 0.0013151 -5 *2190:11 0.0053885 -6 *2190:10 0.00548723 -7 *2190:11 *2304:11 1.40978e-05 -8 *2190:11 *2418:19 0.00186007 -9 *2190:14 *2266:11 1.78514e-05 -10 *2822:mprj_io_dm[53] *2822:mprj_io_holdover[17] 0.00413263 -11 *2130:11 *2190:11 0.0257068 -12 *2130:14 *2190:14 0.00149404 -*RES -1 *2796:pad_gpio_holdover *2190:10 8.46357 -2 *2190:10 *2190:11 270.261 -3 *2190:11 *2190:13 4.5 -4 *2190:13 *2190:14 50.6241 -5 *2190:14 *2822:mprj_io_holdover[17] 14.4248 -*END - -*D_NET *2191 0.0233281 -*CONN -*I *2822:mprj_io_holdover[18] I *D chip_io -*I *2787:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2822:mprj_io_holdover[18] 0.00131962 -2 *2787:pad_gpio_holdover 0.000530705 -3 *2191:17 0.0051846 -4 *2191:16 0.00442604 -5 *2191:13 0.00109176 -6 *2822:mprj_io_holdover[18] *2822:mprj_io_vtrip_sel[18] 0.000135081 -7 *2191:17 *2305:20 0 -8 *2191:17 *2419:19 0.000430816 -9 *2787:resetn *2191:16 7.86825e-06 -10 *2787:serial_clock *2191:16 0 -11 *2822:mprj_io_analog_sel[18] *2822:mprj_io_holdover[18] 0.000593433 -12 *2822:mprj_io_dm[56] *2822:mprj_io_holdover[18] 0.00572056 -13 *649:17 *2191:17 0.000857657 -14 *2133:13 *2191:13 0.0017603 -15 *2133:16 *2191:16 0.00126963 -16 *2133:17 *2191:17 0 -*RES -1 *2787:pad_gpio_holdover *2191:13 31.9889 -2 *2191:13 *2191:16 27.5099 -3 *2191:16 *2191:17 115.526 -4 *2191:17 *2822:mprj_io_holdover[18] 33.5983 -*END - -*D_NET *2192 0.0250749 -*CONN -*I *2822:mprj_io_holdover[19] I *D chip_io -*I *2803:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2822:mprj_io_holdover[19] 0.000152405 -2 *2803:pad_gpio_holdover 0.000894717 -3 *2192:13 0.00108056 -4 *2192:12 0.00182287 -5 *2192:12 *2803:pad_gpio_in 0.00477386 -6 *2192:13 *2803:pad_gpio_in 0.000139878 -7 *2803:mgmt_gpio_out *2192:12 0.000849006 -8 *2822:mprj_io_analog_sel[19] *2192:13 0.000119341 -9 *640:68 *2192:13 0.00801827 -10 *1715:23 *2192:12 5.60269e-05 -11 *1715:26 *2192:12 0.00205915 -12 *1964:10 *2192:12 4.31122e-05 -13 *1964:11 *2192:13 0.00472561 -14 *2002:10 *2192:12 2.31947e-05 -15 *2002:11 *2192:13 0 -16 *2040:10 *2192:12 3.84497e-05 -17 *2040:11 *2192:13 0 -18 *2134:10 *2192:12 3.84497e-05 -19 *2134:11 *2192:13 7.67829e-05 -20 *2135:10 *2192:12 3.84497e-05 -21 *2136:11 *2192:12 3.25789e-05 -22 *2136:16 *2192:12 9.21574e-05 -*RES -1 *2803:pad_gpio_holdover *2192:12 15.9117 -2 *2192:12 *2192:13 83.9139 -3 *2192:13 *2822:mprj_io_holdover[19] 8.05343 -*END - -*D_NET *2193 0.0109331 -*CONN -*I *2822:mprj_io_holdover[1] I *D chip_io -*I *2782:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2822:mprj_io_holdover[1] 0.000287074 -2 *2782:pad_gpio_holdover 0.000300174 -3 *2193:14 0.00228741 -4 *2193:13 0.00230051 -5 *2193:13 *2231:15 0.000473912 -6 *2193:13 *2307:13 0.000343439 -7 *2193:13 *2345:8 7.08723e-06 -8 *2193:14 *2383:14 0.000197433 -9 *2193:14 *2459:14 0 -10 *2822:mprj_io_dm[5] *2822:mprj_io_holdover[1] 0 -11 *2003:14 *2193:14 0 -12 *2041:14 *2193:14 0.00458679 -13 *2137:13 *2193:13 2.72062e-05 -14 *2137:14 *2822:mprj_io_holdover[1] 0 -15 *2137:14 *2193:14 0.000122025 -*RES -1 *2782:pad_gpio_holdover *2193:13 21.5279 -2 *2193:13 *2193:14 87.3739 -3 *2193:14 *2822:mprj_io_holdover[1] 15.8762 -*END - -*D_NET *2194 0.0254626 -*CONN -*I *2822:mprj_io_holdover[20] I *D chip_io -*I *2810:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2822:mprj_io_holdover[20] 0.00297976 -2 *2810:pad_gpio_holdover 0.000434261 -3 *2194:13 0.00341402 -4 *2822:mprj_io_holdover[20] *2822:mprj_io_out[20] 0.000326173 -5 *2194:13 *2232:13 1.81331e-05 -6 *2194:13 *2384:11 0.000212404 -7 *2822:mprj_io_analog_sel[20] *2822:mprj_io_holdover[20] 0.00932532 -8 *2822:mprj_io_dm[60] *2822:mprj_io_holdover[20] 0 -9 *2822:mprj_io_dm[61] *2822:mprj_io_holdover[20] 0 -10 *2822:mprj_io_dm[62] *2822:mprj_io_holdover[20] 0.000620506 -11 *110:17 *2822:mprj_io_holdover[20] 0.00120936 -12 *626:23 *2822:mprj_io_holdover[20] 0.000860423 -13 *650:17 *2822:mprj_io_holdover[20] 0.000943385 -14 *689:17 *2822:mprj_io_holdover[20] 0 -15 *1966:11 *2194:13 0.00186651 -16 *2138:13 *2194:13 0.000308997 -17 *2139:13 *2194:13 0.00282021 -18 *2140:13 *2194:13 0.000123118 -*RES -1 *2810:pad_gpio_holdover *2194:13 47.5178 -2 *2194:13 *2822:mprj_io_holdover[20] 43.8576 -*END - -*D_NET *2195 0.0223381 -*CONN -*I *2822:mprj_io_holdover[21] I *D chip_io -*I *2811:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2822:mprj_io_holdover[21] 0.000590452 -2 *2811:pad_gpio_holdover 0.000447933 -3 *2195:17 0.0011705 -4 *2195:16 0.000788844 -5 *2195:11 0.000656727 -6 *2822:mprj_io_holdover[21] *2233:17 0 -7 *2195:11 *2233:11 0.000639528 -8 *2195:11 *2670:11 0 -9 *2195:16 *2233:16 0.00131513 -10 *2195:17 *2822:mprj_io_slow_sel[21] 0.000338953 -11 *111:63 *2822:mprj_io_holdover[21] 0.00045966 -12 *111:63 *2195:17 0.00266316 -13 *1967:13 *2195:11 0.00218937 -14 *2005:17 *2195:17 0.00590191 -15 *2043:13 *2195:11 0.00261002 -16 *2043:16 *2195:16 0.00128909 -17 *2143:13 *2195:11 0.0003256 -18 *2143:23 *2822:mprj_io_holdover[21] 0.000944219 -19 *2143:23 *2195:17 7.02172e-06 -*RES -1 *2811:pad_gpio_holdover *2195:11 46.3454 -2 *2195:11 *2195:16 30.3488 -3 *2195:16 *2195:17 62.839 -4 *2195:17 *2822:mprj_io_holdover[21] 33.5996 -*END - -*D_NET *2196 0.0358999 -*CONN -*I *2822:mprj_io_holdover[22] I *D chip_io -*I *2812:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2822:mprj_io_holdover[22] 0.000763677 -2 *2812:pad_gpio_holdover 0.000136456 -3 *2196:14 0.0013883 -4 *2196:13 0.000624621 -5 *2196:11 0.000332333 -6 *2196:10 0.00046879 -7 *2822:mprj_io_holdover[22] *2822:mprj_io_inp_dis[22] 2.56868e-05 -8 *2822:mprj_io_holdover[22] *2822:mprj_io_out[22] 0.0077888 -9 *2822:mprj_io_holdover[22] *2822:mprj_io_slow_sel[22] 0 -10 *2196:11 *2671:14 6.49003e-05 -11 *2196:14 *2671:11 0.00375062 -12 *2822:mprj_io_analog_sel[22] *2822:mprj_io_holdover[22] 0.00760146 -13 *691:20 *2196:14 5.66868e-06 -14 *691:23 *2196:11 0.00467476 -15 *1719:40 *2196:11 9.65932e-05 -16 *1968:19 *2196:11 0.000134886 -17 *1968:20 *2196:14 0.00344934 -18 *2146:11 *2196:11 0.00451543 -19 *2146:14 *2196:14 7.75847e-05 -*RES -1 *2812:pad_gpio_holdover *2196:10 8.46357 -2 *2196:10 *2196:11 50.6377 -3 *2196:11 *2196:13 4.5 -4 *2196:13 *2196:14 61.0054 -5 *2196:14 *2822:mprj_io_holdover[22] 18.3356 -*END - -*D_NET *2197 0.0289049 -*CONN -*I *2822:mprj_io_holdover[23] I *D chip_io -*I *2813:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2822:mprj_io_holdover[23] 0.00114492 -2 *2813:pad_gpio_holdover 0.000133182 -3 *2197:11 0.00157974 -4 *2197:10 0.000567996 -5 *2822:mprj_io_holdover[23] *2822:mprj_io_inp_dis[23] 0.000179807 -6 *2822:mprj_io_holdover[23] *2822:mprj_io_out[23] 0 -7 *2822:mprj_io_holdover[23] *2235:16 0.00146246 -8 *2822:mprj_io_holdover[23] *2235:17 0.000282082 -9 *2822:mprj_io_holdover[23] *2311:14 6.90884e-05 -10 *2822:mprj_io_holdover[23] *2672:11 4.2657e-05 -11 *2197:11 *2235:11 0.00519936 -12 *2822:mprj_io_analog_pol[23] *2822:mprj_io_holdover[23] 0.00500575 -13 *2822:mprj_io_analog_sel[23] *2822:mprj_io_holdover[23] 0.00718351 -14 *2822:mprj_io_dm[71] *2822:mprj_io_holdover[23] 0.000497771 -15 *1969:11 *2197:11 0.00057348 -16 *1969:16 *2822:mprj_io_holdover[23] 0.00130076 -17 *2147:11 *2197:11 1.65872e-05 -18 *2150:11 *2197:11 0.00366572 -*RES -1 *2813:pad_gpio_holdover *2197:10 8.46357 -2 *2197:10 *2197:11 55.0746 -3 *2197:11 *2822:mprj_io_holdover[23] 48.0092 -*END - -*D_NET *2198 0.0402489 -*CONN -*I *2822:mprj_io_holdover[24] I *D chip_io -*I *2814:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2822:mprj_io_holdover[24] 0.00026934 -2 *2814:pad_gpio_holdover 2.68958e-05 -3 *2198:30 2.68958e-05 -4 *2198:17 0.000886373 -5 *2198:16 0.000617033 -6 *2198:14 0.00285972 -7 *2198:13 0.00285972 -8 *2198:11 0.00153304 -9 *2198:8 0.00366969 -10 *2198:7 0.00213666 -11 *2198:5 0.00104674 -12 *2198:4 0.00104674 -13 *2822:mprj_io_holdover[24] *2312:11 0 -14 *2198:17 *2822:mprj_io_vtrip_sel[24] 2.04806e-05 -15 *2198:17 *2312:11 0.00180072 -16 *2822:mprj_io_dm[74] *2822:mprj_io_holdover[24] 0 -17 *106:55 *2198:17 0.000569049 -18 *629:29 *2198:14 0.000649254 -19 *634:18 *2198:17 7.77309e-06 -20 *2153:8 *2198:5 0.00296952 -21 *2153:17 *2198:8 0.00166983 -22 *2153:20 *2198:11 0 -23 *2153:21 *2198:14 0.0127148 -24 *2153:24 *2198:17 0.0028686 -*RES -1 *2814:pad_gpio_holdover *2198:4 3.36879 -2 *2198:4 *2198:5 47.7174 -3 *2198:5 *2198:7 4.5 -4 *2198:7 *2198:8 59.5114 -5 *2198:8 *2198:11 47.442 -6 *2198:11 *2198:13 4.5 -7 *2198:13 *2198:14 137.156 -8 *2198:14 *2198:16 4.5 -9 *2198:16 *2198:17 46.264 -10 *2198:17 *2822:mprj_io_holdover[24] 15.6991 -11 *2814:pad_gpio_holdover *2198:30 0.0631875 -*END - -*D_NET *2199 0.0196434 -*CONN -*I *2822:mprj_io_holdover[25] I *D chip_io -*I *2815:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2822:mprj_io_holdover[25] 0.000411431 -2 *2815:pad_gpio_holdover 0.000428582 -3 *2199:8 0.0017461 -4 *2199:7 0.00176325 -5 *2822:mprj_io_holdover[25] *2822:mprj_io_out[25] 0 -6 *2199:7 *2237:7 9.21018e-05 -7 *2199:7 *2313:7 0 -8 *2199:8 *2237:22 0.000204856 -9 *2199:8 *2313:8 0.00649477 -10 *2199:8 *2389:22 1.2819e-05 -11 *2199:8 *2427:8 0.000809988 -12 *106:43 *2822:mprj_io_holdover[25] 0 -13 *115:39 *2199:8 0.00186361 -14 *618:34 *2199:8 0.000737698 -15 *632:42 *2822:mprj_io_holdover[25] 5.04829e-06 -16 *655:16 *2199:8 1.87125e-05 -17 *694:19 *2199:8 0.000125376 -18 *2047:14 *2199:8 0.000464736 -19 *2154:14 *2199:8 0.0043072 -20 *2155:7 *2199:7 0 -21 *2156:7 *2199:7 0.000157084 -22 *2156:23 *2822:mprj_io_holdover[25] 0 -*RES -1 *2815:pad_gpio_holdover *2199:7 4.8088 -2 *2199:7 *2199:8 127.861 -3 *2199:8 *2822:mprj_io_holdover[25] 18.4693 -*END - -*D_NET *2200 0.0218208 -*CONN -*I *2822:mprj_io_holdover[26] I *D chip_io -*I *2816:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2822:mprj_io_holdover[26] 0.000622131 -2 *2816:pad_gpio_holdover 0.000598557 -3 *2200:26 0.00100559 -4 *2200:20 0.000768211 -5 *2200:8 0.00101482 -6 *2200:7 0.00122862 -7 *2822:mprj_io_holdover[26] *2238:34 0.00139552 -8 *2822:mprj_io_holdover[26] *2390:25 0 -9 *2822:mprj_io_holdover[26] *2466:14 9.71323e-06 -10 *2200:7 *2314:10 0 -11 *2200:8 *2314:12 0.000199406 -12 *2200:8 *2352:12 0.00356075 -13 *2200:20 *2390:20 0.0016184 -14 *2200:20 *2466:8 5.56367e-05 -15 *2200:20 *2466:13 7.13972e-05 -16 *2200:26 *2238:34 8.35615e-06 -17 *2200:26 *2466:14 0.00118714 -18 *102:49 *2200:20 0.000261028 -19 *116:16 *2200:8 0.00150763 -20 *116:21 *2200:26 5.04829e-06 -21 *617:14 *2822:mprj_io_holdover[26] 0 -22 *618:34 *2822:mprj_io_holdover[26] 0.000198288 -23 *618:34 *2200:8 0.000546645 -24 *618:34 *2200:26 0.000192019 -25 *695:16 *2822:mprj_io_holdover[26] 0.00135645 -26 *695:22 *2200:26 0.00115167 -27 *2048:8 *2200:8 0.000693224 -28 *2048:13 *2200:20 0.000247443 -29 *2048:14 *2200:20 0.00020018 -30 *2160:7 *2200:7 0.000305625 -31 *2160:8 *2200:20 0.00181127 -*RES -1 *2816:pad_gpio_holdover *2200:7 5.26774 -2 *2200:7 *2200:8 57.2682 -3 *2200:8 *2200:20 47.83 -4 *2200:20 *2200:26 27.8014 -5 *2200:26 *2822:mprj_io_holdover[26] 41.2743 -*END - -*D_NET *2201 0.0197608 -*CONN -*I *2822:mprj_io_holdover[27] I *D chip_io -*I *2817:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2822:mprj_io_holdover[27] 0.000476639 -2 *2817:pad_gpio_holdover 0.000613319 -3 *2201:12 0.00104945 -4 *2201:10 0.000599147 -5 *2201:8 0.000874781 -6 *2201:7 0.00146177 -7 *2822:mprj_io_holdover[27] *2822:mprj_io_oeb[27] 0 -8 *2822:mprj_io_holdover[27] *2822:mprj_io_out[27] 0 -9 *2201:7 *2239:7 0.000103407 -10 *2201:7 *2315:7 0 -11 *2201:8 *2353:8 0.00120977 -12 *2201:12 *2315:16 0.00170077 -13 *2201:12 *2353:8 0.000150395 -14 *2201:12 *2391:26 0.000504163 -15 *2201:12 *2467:14 0.00302552 -16 *618:34 *2201:8 0.000624018 -17 *618:34 *2201:12 0.00048845 -18 *633:14 *2201:12 1.87125e-05 -19 *657:8 *2201:8 0.00022036 -20 *2161:7 *2201:7 0 -21 *2161:8 *2201:8 0.00434859 -22 *2161:8 *2201:12 1.3813e-05 -23 *2163:12 *2201:7 2.44479e-06 -24 *2163:21 *2201:8 0.00227526 -*RES -1 *2817:pad_gpio_holdover *2201:7 5.19125 -2 *2201:7 *2201:8 77.4079 -3 *2201:8 *2201:10 0.732798 -4 *2201:10 *2201:12 51.8699 -5 *2201:12 *2822:mprj_io_holdover[27] 19.9966 -*END - -*D_NET *2202 0.0214698 -*CONN -*I *2822:mprj_io_holdover[28] I *D chip_io -*I *2818:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2822:mprj_io_holdover[28] 0.000752628 -2 *2818:pad_gpio_holdover 0.000672954 -3 *2202:26 0.00121434 -4 *2202:23 0.000689101 -5 *2202:8 0.000835858 -6 *2202:7 0.00128143 -7 *2822:mprj_io_holdover[28] *2822:mprj_io_ib_mode_sel[28] 0 -8 *2822:mprj_io_holdover[28] *2822:mprj_io_out[28] 0 -9 *2822:mprj_io_holdover[28] *2354:14 0.00103547 -10 *2822:mprj_io_holdover[28] *2468:24 0.00049525 -11 *2202:7 *2240:7 0.000103407 -12 *2202:23 *2468:23 2.66942e-05 -13 *2202:23 *2603:20 3.31882e-05 -14 *2202:26 *2354:14 0.000390916 -15 *2202:26 *2468:24 0.00160796 -16 *618:34 *2822:mprj_io_holdover[28] 0.000157035 -17 *642:52 *2202:8 0.000527202 -18 *642:52 *2202:26 0.000469038 -19 *658:30 *2202:26 0.00213275 -20 *679:10 *2202:8 0 -21 *2165:8 *2202:8 0.00349112 -22 *2165:20 *2202:8 1.3813e-05 -23 *2165:20 *2202:23 0.000155125 -24 *2165:20 *2202:26 0.00130436 -25 *2166:7 *2202:7 0.000310758 -26 *2166:8 *2202:8 0.0036787 -27 *2166:8 *2202:23 1.5254e-05 -28 *2166:18 *2202:23 5.67421e-05 -29 *2166:22 *2202:26 1.87125e-05 -*RES -1 *2818:pad_gpio_holdover *2202:7 5.49721 -2 *2202:7 *2202:8 61.4207 -3 *2202:8 *2202:23 16.5133 -4 *2202:23 *2202:26 47.9616 -5 *2202:26 *2822:mprj_io_holdover[28] 37.9907 -*END - -*D_NET *2203 0.0703172 -*CONN -*I *2822:mprj_io_holdover[29] I *D chip_io -*I *2804:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2822:mprj_io_holdover[29] 0.000789569 -2 *2804:pad_gpio_holdover 0.00216452 -3 *2203:34 0.00152075 -4 *2203:33 0.000731185 -5 *2203:31 0.00478528 -6 *2203:30 0.00478528 -7 *2203:28 0.00135657 -8 *2203:25 0.00303313 -9 *2203:19 0.00371239 -10 *2203:18 0.00203583 -11 *2203:16 0.00216452 -12 *2822:mprj_io_holdover[29] *2822:mprj_io_out[29] 0 -13 *2203:16 *2241:12 0.00144801 -14 *2203:16 *2241:20 0.000223845 -15 *2203:19 *2241:23 0.00940777 -16 *2203:28 *2241:34 0.00104898 -17 *2203:31 *2241:35 0.000719534 -18 *2203:31 *2469:15 5.04829e-06 -19 *2203:34 *2241:38 0.00445805 -20 *2203:34 *2393:10 8.62625e-06 -21 *2822:mprj_io_analog_sel[29] *2822:mprj_io_holdover[29] 0.000171064 -22 *2822:mprj_io_dm[89] *2822:mprj_io_holdover[29] 9.4338e-05 -23 *101:16 *2203:25 0.00115683 -24 *101:19 *2203:31 0.0200544 -25 *106:37 *2822:mprj_io_holdover[29] 0.000149447 -26 *106:37 *2203:34 0.000652281 -27 *641:12 *2822:mprj_io_holdover[29] 0 -28 *641:12 *2203:34 0.000805424 -29 *2013:10 *2203:34 1.23455e-05 -30 *2168:10 *2203:34 0.0028222 -*RES -1 *2804:pad_gpio_holdover *2203:16 48.3064 -2 *2203:16 *2203:18 4.5 -3 *2203:18 *2203:19 98.3336 -4 *2203:19 *2203:25 48.6094 -5 *2203:25 *2203:28 48.1794 -6 *2203:28 *2203:30 4.5 -7 *2203:30 *2203:31 227.002 -8 *2203:31 *2203:33 4.5 -9 *2203:33 *2203:34 72.4249 -10 *2203:34 *2822:mprj_io_holdover[29] 32.0721 -*END - -*D_NET *2204 0.0149036 -*CONN -*I *2822:mprj_io_holdover[2] I *D chip_io -*I *2797:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2822:mprj_io_holdover[2] 0.000386604 -2 *2797:pad_gpio_holdover 0.000305287 -3 *2204:14 0.00114865 -4 *2204:13 0.00106733 -5 *2204:13 *2242:13 0.00115951 -6 *2204:14 *2394:14 0.00482627 -7 *2204:14 *2470:14 0.00412813 -8 *2822:mprj_io_dm[8] *2822:mprj_io_holdover[2] 3.35033e-05 -9 *110:52 *2822:mprj_io_holdover[2] 0 -10 *626:66 *2204:14 0.000161551 -11 *669:30 *2204:13 8.21013e-05 -12 *2052:14 *2204:14 0.000507721 -13 *2170:13 *2204:13 0.00109691 -*RES -1 *2797:pad_gpio_holdover *2204:13 28.3141 -2 *2204:13 *2204:14 82.8062 -3 *2204:14 *2822:mprj_io_holdover[2] 17.9175 -*END - -*D_NET *2205 0.0206212 -*CONN -*I *2822:mprj_io_holdover[30] I *D chip_io -*I *2805:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2822:mprj_io_holdover[30] 0.000611006 -2 *2805:pad_gpio_holdover 0.000529918 -3 *2205:12 0.00113229 -4 *2205:10 0.000547057 -5 *2205:8 0.000612236 -6 *2205:7 0.00111638 -7 *2822:mprj_io_holdover[30] *2822:mprj_io_out[30] 0 -8 *2822:mprj_io_holdover[30] *2357:20 0 -9 *2205:7 *2243:7 0.000254003 -10 *2205:8 *2357:8 0.00393734 -11 *2205:8 *2471:14 1.69327e-05 -12 *2205:12 *2395:14 0.00395237 -13 *2205:12 *2471:14 0.00399504 -14 *2822:mprj_io_dm[92] *2822:mprj_io_holdover[30] 0 -15 *102:14 *2205:8 0.000296518 -16 *2053:8 *2205:8 0.00336901 -17 *2053:8 *2205:12 1.23804e-05 -18 *2173:13 *2205:7 0.000238736 -*RES -1 *2805:pad_gpio_holdover *2205:7 5.42072 -2 *2205:7 *2205:8 64.1198 -3 *2205:8 *2205:10 0.732798 -4 *2205:10 *2205:12 63.9122 -5 *2205:12 *2822:mprj_io_holdover[30] 23.4607 -*END - -*D_NET *2206 0.0206722 -*CONN -*I *2822:mprj_io_holdover[31] I *D chip_io -*I *2806:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2822:mprj_io_holdover[31] 0.00034657 -2 *2806:pad_gpio_holdover 0.000280946 -3 *2206:16 0.000715995 -4 *2206:13 0.000421154 -5 *2206:8 0.00082316 -6 *2206:7 0.00105238 -7 *2822:mprj_io_holdover[31] *2720:14 0 -8 *2206:7 *2244:7 0.000216767 -9 *2206:8 *2358:8 0.0053436 -10 *2206:8 *2434:8 9.22975e-05 -11 *2206:16 *2358:12 0.00250311 -12 *2206:16 *2396:8 4.46284e-06 -13 *2206:16 *2396:12 0.00249009 -14 *2822:mprj_io_dm[95] *2822:mprj_io_holdover[31] 0 -15 *106:37 *2206:8 0.000747953 -16 *106:37 *2206:16 0.000366644 -17 *2175:8 *2206:8 0.00503523 -18 *2176:7 *2206:7 0.000216767 -19 *2176:14 *2206:13 1.51284e-05 -*RES -1 *2806:pad_gpio_holdover *2206:7 4.65582 -2 *2206:7 *2206:8 85.9206 -3 *2206:8 *2206:13 10.3986 -4 *2206:13 *2206:16 44.9505 -5 *2206:16 *2822:mprj_io_holdover[31] 13.0494 -*END - -*D_NET *2207 0.0178948 -*CONN -*I *2822:mprj_io_holdover[32] I *D chip_io -*I *2807:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2822:mprj_io_holdover[32] 0.000470631 -2 *2807:pad_gpio_holdover 0.00038104 -3 *2207:8 0.00191219 -4 *2207:7 0.0018226 -5 *2822:mprj_io_holdover[32] *2822:mprj_io_out[32] 0 -6 *2822:mprj_io_holdover[32] *2473:14 0 -7 *2207:7 *2245:7 0.000284267 -8 *2207:8 *2359:8 0 -9 *2207:8 *2397:8 0.00463374 -10 *2207:8 *2397:18 0.000151461 -11 *2822:mprj_io_dm[98] *2822:mprj_io_holdover[32] 0 -12 *104:20 *2207:8 0.00590582 -13 *2055:8 *2207:8 0.00139421 -14 *2179:7 *2207:7 0.000284267 -15 *2179:8 *2207:8 0.000654547 -*RES -1 *2807:pad_gpio_holdover *2207:7 5.11476 -2 *2207:7 *2207:8 127.861 -3 *2207:8 *2822:mprj_io_holdover[32] 20.6877 -*END - -*D_NET *2208 0.0199268 -*CONN -*I *2822:mprj_io_holdover[33] I *D chip_io -*I *2808:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2822:mprj_io_holdover[33] 0.000520517 -2 *2808:pad_gpio_holdover 0.000573797 -3 *2208:8 0.00220573 -4 *2208:7 0.00225901 -5 *2822:mprj_io_holdover[33] *2822:mprj_io_out[33] 0 -6 *2822:mprj_io_holdover[33] *2398:16 0 -7 *2208:7 *2246:7 0.00022558 -8 *2822:mprj_io_dm[101] *2822:mprj_io_holdover[33] 0 -9 *645:15 *2208:8 0.000981074 -10 *684:16 *2208:8 0.000177375 -11 *2056:16 *2208:8 0.00515557 -12 *2070:7 *2208:7 0.000253659 -13 *2070:8 *2208:8 0.00757453 -*RES -1 *2808:pad_gpio_holdover *2208:7 5.26774 -2 *2208:7 *2208:8 127.446 -3 *2208:8 *2822:mprj_io_holdover[33] 21.7969 -*END - -*D_NET *2209 0.0172379 -*CONN -*I *2822:mprj_io_holdover[34] I *D chip_io -*I *2809:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2822:mprj_io_holdover[34] 0.00201151 -2 *2809:pad_gpio_holdover 0.00201151 -3 *2822:mprj_io_holdover[34] *2822:mprj_io_ib_mode_sel[34] 0.00889863 -4 *619:20 *2822:mprj_io_holdover[34] 0.00414029 -5 *644:65 *2822:mprj_io_holdover[34] 0.000174474 -6 *2072:7 *2822:mprj_io_holdover[34] 0 -7 *2073:12 *2822:mprj_io_holdover[34] 1.48814e-06 -*RES -1 *2809:pad_gpio_holdover *2822:mprj_io_holdover[34] 26.0122 -*END - -*D_NET *2210 0.0189187 -*CONN -*I *2822:mprj_io_holdover[35] I *D chip_io -*I *2783:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2822:mprj_io_holdover[35] 0.000382166 -2 *2783:pad_gpio_holdover 0.000541901 -3 *2210:8 0.00209757 -4 *2210:7 0.00225731 -5 *2822:mprj_io_holdover[35] *2248:8 0 -6 *2210:7 *2783:pad_gpio_in 0 -7 *2210:7 *2248:7 0.000124846 -8 *2210:8 *2248:8 0 -9 *2210:8 *2286:11 9.97028e-05 -10 *2210:8 *2324:8 0.000288403 -11 *1745:13 *2210:8 0.00060588 -12 *2020:14 *2210:8 0.000439023 -13 *2058:8 *2210:8 0.00686655 -14 *2075:7 *2210:7 0 -15 *2075:8 *2210:8 0.00506634 -16 *2076:7 *2210:7 0.000148988 -17 *2076:8 *2210:8 0 -*RES -1 *2783:pad_gpio_holdover *2210:7 4.96178 -2 *2210:7 *2210:8 128.692 -3 *2210:8 *2822:mprj_io_holdover[35] 18.748 -*END - -*D_NET *2211 0.0180001 -*CONN -*I *2822:mprj_io_holdover[36] I *D chip_io -*I *2784:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2822:mprj_io_holdover[36] 0.00051147 -2 *2784:pad_gpio_holdover 0.000858842 -3 *2211:14 0.00180206 -4 *2211:13 0.00214943 -5 *2211:13 *2249:7 0.000254639 -6 *2211:13 *2439:8 0.00196064 -7 *2211:14 *2249:8 0.00292261 -8 *2211:14 *2439:8 0.00272426 -9 *2822:mprj_io_dm[110] *2822:mprj_io_holdover[36] 0 -10 *687:16 *2211:13 0.00196521 -11 *687:16 *2211:14 5.78792e-05 -12 *1745:13 *2211:13 0.000257706 -13 *1745:13 *2211:14 0.000799976 -14 *2080:15 *2211:13 0.000229787 -15 *2080:16 *2211:14 0.00150556 -*RES -1 *2784:pad_gpio_holdover *2211:13 43.7853 -2 *2211:13 *2211:14 95.8866 -3 *2211:14 *2822:mprj_io_holdover[36] 21.7969 -*END - -*D_NET *2212 0.0220534 -*CONN -*I *2822:mprj_io_holdover[37] I *D chip_io -*I *2785:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2822:mprj_io_holdover[37] 0.00119917 -2 *2785:pad_gpio_holdover 0.00125504 -3 *2212:16 0.00245421 -4 *2822:mprj_io_holdover[37] *2822:mprj_io_out[37] 0.00600066 -5 *2822:mprj_io_holdover[37] *2250:29 0.00481446 -6 *2822:mprj_io_holdover[37] *2326:27 3.21888e-05 -7 *2822:mprj_io_holdover[37] *2364:21 0.00163116 -8 *2822:mprj_io_holdover[37] *2402:21 5.56719e-05 -9 *2212:16 *2785:pad_gpio_in 0.000460277 -10 *2212:16 *2288:19 0.00110392 -11 *2212:16 *2326:27 0 -12 *2212:16 *2364:21 0 -13 *2822:mprj_io_analog_sel[37] *2822:mprj_io_holdover[37] 6.96236e-05 -14 *2822:mprj_io_dm[113] *2822:mprj_io_holdover[37] 0.00116396 -15 *2083:15 *2822:mprj_io_holdover[37] 0.00181309 -16 *2083:15 *2212:16 0 -*RES -1 *2785:pad_gpio_holdover *2212:16 47.7471 -2 *2212:16 *2822:mprj_io_holdover[37] 20.8171 -*END - -*D_NET *2213 0.0145919 -*CONN -*I *2822:mprj_io_holdover[3] I *D chip_io -*I *2798:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2822:mprj_io_holdover[3] 0.000343708 -2 *2798:pad_gpio_holdover 0.000505843 -3 *2213:16 0.000982044 -4 *2213:15 0.00114418 -5 *2822:mprj_io_holdover[3] *2822:mprj_io_out[3] 0 -6 *2213:15 *2251:13 0.000586594 -7 *2213:15 *2327:15 0 -8 *2213:16 *2403:14 1.2693e-05 -9 *2822:mprj_io_analog_sel[3] *2822:mprj_io_holdover[3] 5.65975e-05 -10 *670:14 *2213:16 0.00471338 -11 *2061:15 *2213:15 3.53886e-05 -12 *2084:13 *2213:15 0.000872703 -13 *2084:14 *2213:16 0.00469601 -14 *2181:13 *2213:15 0.000642796 -*RES -1 *2798:pad_gpio_holdover *2213:15 32.3372 -2 *2213:15 *2213:16 75.7469 -3 *2213:16 *2822:mprj_io_holdover[3] 17.4995 -*END - -*D_NET *2214 0.00698594 -*CONN -*I *2822:mprj_io_holdover[4] I *D chip_io -*I *2799:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2822:mprj_io_holdover[4] 0.00345219 -2 *2799:pad_gpio_holdover 0.00345219 -3 *2822:mprj_io_holdover[4] *2799:pad_gpio_in 0 -4 *2822:mprj_io_holdover[4] *2252:15 4.31122e-05 -5 *654:43 *2822:mprj_io_holdover[4] 0 -6 *2087:13 *2822:mprj_io_holdover[4] 3.84497e-05 -*RES -1 *2799:pad_gpio_holdover *2822:mprj_io_holdover[4] 18.7174 -*END - -*D_NET *2215 0.014769 -*CONN -*I *2822:mprj_io_holdover[5] I *D chip_io -*I *2800:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2822:mprj_io_holdover[5] 0.000341614 -2 *2800:pad_gpio_holdover 0.000498753 -3 *2215:14 0.00116829 -4 *2215:13 0.00132543 -5 *2215:13 *2253:7 2.72062e-05 -6 *2215:13 *2253:8 0 -7 *2215:13 *2329:13 0.000124349 -8 *2215:14 *2367:14 0.000505697 -9 *2215:14 *2405:14 0.00481609 -10 *2822:mprj_io_dm[17] *2822:mprj_io_holdover[5] 0 -11 *630:29 *2215:14 0.000737797 -12 *2063:14 *2215:14 0.0040811 -13 *2090:13 *2215:13 0.00114271 -*RES -1 *2800:pad_gpio_holdover *2215:13 28.7922 -2 *2215:13 *2215:14 82.3909 -3 *2215:14 *2822:mprj_io_holdover[5] 18.0946 -*END - -*D_NET *2216 0.013287 -*CONN -*I *2822:mprj_io_holdover[6] I *D chip_io -*I *2801:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2822:mprj_io_holdover[6] 0.000184106 -2 *2801:pad_gpio_holdover 0.00045364 -3 *2216:14 0.000866439 -4 *2216:13 0.00113597 -5 *2822:mprj_io_holdover[6] *2822:mprj_io_out[6] 0 -6 *2216:13 *2254:7 3.84497e-05 -7 *2216:13 *2368:8 0.000164682 -8 *2216:14 *2406:14 0 -9 *2822:mprj_io_analog_sel[6] *2822:mprj_io_holdover[6] 0.000171064 -10 *2822:mprj_io_dm[20] *2822:mprj_io_holdover[6] 0 -11 *630:47 *2216:14 0.00475023 -12 *2091:13 *2216:13 0.000320859 -13 *2092:13 *2216:13 0.000119662 -14 *2094:15 *2216:13 0.000396074 -15 *2094:16 *2216:14 0.00468585 -*RES -1 *2801:pad_gpio_holdover *2216:13 28.3685 -2 *2216:13 *2216:14 76.5774 -3 *2216:14 *2822:mprj_io_holdover[6] 14.1718 -*END - -*D_NET *2217 0.0642379 -*CONN -*I *2822:mprj_io_holdover[7] I *D chip_io -*I *2802:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2822:mprj_io_holdover[7] 0.000334771 -2 *2802:pad_gpio_holdover 0.000312382 -3 *2217:8 0.00459478 -4 *2217:7 0.0045724 -5 *2217:7 *2255:7 6.42836e-05 -6 *2217:8 *2255:8 0 -7 *2217:8 *2369:8 0.0304397 -8 *2217:8 *2445:14 0.00210046 -9 *2822:mprj_io_dm[23] *2822:mprj_io_holdover[7] 5.28744e-05 -10 *676:14 *2822:mprj_io_holdover[7] 0 -11 *2065:10 *2217:8 0 -12 *2097:7 *2217:7 0.000213021 -13 *2097:8 *2217:8 0.00879573 -14 *2100:10 *2217:8 0.0127574 -*RES -1 *2802:pad_gpio_holdover *2217:7 1.62542 -2 *2217:7 *2217:8 62.2318 -3 *2217:8 *2822:mprj_io_holdover[7] 7.28475 -*END - -*D_NET *2218 0.0693292 -*CONN -*I *2822:mprj_io_holdover[8] I *D chip_io -*I *2786:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2822:mprj_io_holdover[8] 7.66425e-05 -2 *2786:pad_gpio_holdover 0.000301462 -3 *2218:10 0.00389577 -4 *2218:9 0.00412059 -5 *2218:9 *2256:9 7.51118e-05 -6 *2218:10 *2255:8 0.0139675 -7 *2218:10 *2257:8 0.0127669 -8 *2218:10 *2370:10 0.0309327 -9 *2218:10 *2483:8 0.000383951 -10 *2066:8 *2218:10 0.00237293 -11 *2100:9 *2218:9 8.5409e-05 -12 *2103:8 *2218:10 0.000350273 -*RES -1 *2786:pad_gpio_holdover *2218:9 1.23299 -2 *2218:9 *2218:10 63.3748 -3 *2218:10 *2822:mprj_io_holdover[8] 4.74955 -*END - -*D_NET *2219 0.0676653 -*CONN -*I *2822:mprj_io_holdover[9] I *D chip_io -*I *2788:pad_gpio_holdover O *D gpio_control_block -*CAP -1 *2822:mprj_io_holdover[9] 0.000744838 -2 *2788:pad_gpio_holdover 0.00100496 -3 *2219:8 0.0111717 -4 *2219:7 0.0114318 -5 *2219:7 *2257:7 7.35121e-05 -6 *2219:8 *2221:8 0.0126209 -7 *2219:8 *2256:10 0.0142599 -8 *2219:8 *2295:11 0.00180025 -9 *2219:8 *2297:8 0.00112255 -10 *2219:8 *2333:14 0.00301834 -11 *2219:8 *2333:18 0.000823061 -12 *2219:8 *2371:8 0 -13 *2219:8 *2409:36 0.000651876 -14 *2219:8 *2447:14 0.00164082 -15 *2789:serial_clock *2219:8 0.000245749 -16 *2098:14 *2219:8 0.00151696 -17 *2101:14 *2219:8 0.00265457 -18 *2102:14 *2219:8 0.00280511 -19 *2103:7 *2219:7 7.83101e-05 -20 *2183:8 *2219:8 0 -*RES -1 *2788:pad_gpio_holdover *2219:7 2.5433 -2 *2219:7 *2219:8 63.4891 -3 *2219:8 *2822:mprj_io_holdover[9] 6.95688 -*END - -*D_NET *2220 0.0088974 -*CONN -*I *2822:mprj_io_ib_mode_sel[0] I *D chip_io -*I *2781:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_ib_mode_sel[0] 0.00015218 -2 *2781:pad_gpio_ib_mode_sel 0.000497165 -3 *2220:14 0.00139693 -4 *2220:13 0.00174191 -5 *2220:13 *2781:pad_gpio_in 2.72062e-05 -6 *2220:13 *2334:8 5.82461e-05 -7 *2220:14 *2822:mprj_io_oeb[0] 0 -8 *2220:14 *2334:8 0 -9 *1992:13 *2220:13 0 -10 *2030:13 *2220:13 0.000463343 -11 *2030:14 *2822:mprj_io_ib_mode_sel[0] 6.94365e-05 -12 *2030:14 *2220:14 0.00350152 -13 *2068:13 *2220:13 7.77309e-06 -14 *2104:15 *2220:13 0.00038152 -15 *2182:13 *2220:13 0.000600169 -*RES -1 *2781:pad_gpio_ib_mode_sel *2220:13 31.4119 -2 *2220:13 *2220:14 56.23 -3 *2220:14 *2822:mprj_io_ib_mode_sel[0] 13.6794 -*END - -*D_NET *2221 0.0682738 -*CONN -*I *2822:mprj_io_ib_mode_sel[10] I *D chip_io -*I *2789:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_ib_mode_sel[10] 0.000673779 -2 *2789:pad_gpio_ib_mode_sel 0.00085079 -3 *2221:8 0.0131311 -4 *2221:7 0.0133081 -5 *2822:mprj_io_ib_mode_sel[10] *2822:mprj_io_vtrip_sel[10] 1.74716e-05 -6 *2221:7 *2789:pad_gpio_in 2.11673e-05 -7 *2221:7 *2297:7 0 -8 *2221:8 *2297:8 0 -9 *2221:8 *2374:8 0.00637302 -10 *641:57 *2221:8 0.00229056 -11 *661:14 *2221:8 0.00220944 -12 *662:16 *2221:8 0.000621346 -13 *2101:14 *2221:8 0.00141657 -14 *2102:14 *2221:8 0.00112683 -15 *2105:16 *2221:8 0.00352809 -16 *2106:14 *2221:8 0.003593 -17 *2107:16 *2221:8 0.00415239 -18 *2108:14 *2822:mprj_io_ib_mode_sel[10] 0 -19 *2109:14 *2221:8 0.00187091 -20 *2110:8 *2221:8 0.000273133 -21 *2183:7 *2221:7 0.000195185 -22 *2219:8 *2221:8 0.0126209 -*RES -1 *2789:pad_gpio_ib_mode_sel *2221:7 2.69628 -2 *2221:7 *2221:8 65.5466 -3 *2221:8 *2822:mprj_io_ib_mode_sel[10] 7.52511 -*END - -*D_NET *2222 0.0698155 -*CONN -*I *2822:mprj_io_ib_mode_sel[11] I *D chip_io -*I *2790:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_ib_mode_sel[11] 0.000180666 -2 *2790:pad_gpio_ib_mode_sel 0.000102973 -3 *2222:10 0.00441101 -4 *2222:9 0.00433332 -5 *2822:mprj_io_ib_mode_sel[11] *2822:mprj_io_oeb[11] 1.13978e-06 -6 *2222:9 *2790:pad_gpio_in 3.76849e-05 -7 *2222:10 *2335:8 0.000189249 -8 *2222:10 *2336:8 0.000319464 -9 *2222:10 *2450:8 0.0315897 -10 *1957:23 *2222:10 0.0133474 -11 *2031:8 *2222:10 0.0119137 -12 *2032:8 *2222:10 0.00337096 -13 *2184:7 *2222:9 1.83645e-05 -*RES -1 *2790:pad_gpio_ib_mode_sel *2222:9 0.717515 -2 *2222:9 *2222:10 65.5466 -3 *2222:10 *2822:mprj_io_ib_mode_sel[11] 5.39427 -*END - -*D_NET *2223 0.0817613 -*CONN -*I *2822:mprj_io_ib_mode_sel[12] I *D chip_io -*I *2791:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_ib_mode_sel[12] 0.000308162 -2 *2791:pad_gpio_ib_mode_sel 0.000546641 -3 *2223:8 0.00452526 -4 *2223:7 0.00476373 -5 *2822:mprj_io_ib_mode_sel[12] *2822:mprj_io_oeb[12] 8.2204e-05 -6 *2822:mprj_io_ib_mode_sel[12] *2822:mprj_io_vtrip_sel[12] 6.76169e-05 -7 *2223:7 *2299:13 0 -8 *2223:8 *2261:11 0.00188116 -9 *2223:8 *2298:26 0.00119492 -10 *2223:8 *2337:8 0.0321853 -11 *2223:8 *2413:24 0.00193727 -12 *2223:8 *2451:8 0.0316276 -13 *2223:8 *2613:13 0.00014132 -14 *2822:mprj_io_dm[33] *2223:8 0.000131375 -15 *1957:30 *2223:8 0.000198292 -16 *2108:26 *2223:8 0.000978762 -17 *2117:26 *2822:mprj_io_ib_mode_sel[12] 0 -18 *2184:8 *2223:8 0.000491838 -19 *2185:7 *2223:7 0.000130636 -20 *2185:8 *2223:8 0.000319464 -21 *2186:20 *2223:8 0.000249698 -*RES -1 *2791:pad_gpio_ib_mode_sel *2223:7 1.7784 -2 *2223:7 *2223:8 65.4323 -3 *2223:8 *2822:mprj_io_ib_mode_sel[12] 6.40571 -*END - -*D_NET *2224 0.11246 -*CONN -*I *2822:mprj_io_ib_mode_sel[13] I *D chip_io -*I *2792:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_ib_mode_sel[13] 0.000129082 -2 *2792:pad_gpio_ib_mode_sel 0.000111283 -3 *2224:8 0.00576759 -4 *2224:7 0.0057498 -5 *2822:mprj_io_ib_mode_sel[13] *2822:mprj_io_vtrip_sel[13] 4.327e-07 -6 *2224:7 *2792:pad_gpio_in 2.11673e-05 -7 *2224:8 *2338:16 0.000136859 -8 *2224:8 *2452:8 0.0504025 -9 *2034:10 *2224:8 0.0498681 -10 *2113:8 *2224:8 0.00010127 -11 *2117:8 *2224:8 0.000129642 -12 *2186:7 *2224:7 4.26995e-05 -*RES -1 *2792:pad_gpio_ib_mode_sel *2224:7 0.707538 -2 *2224:7 *2224:8 102.581 -3 *2224:8 *2822:mprj_io_ib_mode_sel[13] 5.65654 -*END - -*D_NET *2225 0.0259089 -*CONN -*I *2822:mprj_io_ib_mode_sel[14] I *D chip_io -*I *2793:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_ib_mode_sel[14] 0.000514199 -2 *2793:pad_gpio_ib_mode_sel 0.000760925 -3 *2225:14 0.00391315 -4 *2225:13 0.00415988 -5 *2822:mprj_io_ib_mode_sel[14] *2822:mprj_io_oeb[14] 0 -6 *2225:13 *2793:pad_gpio_in 3.84497e-05 -7 *2225:14 *2377:18 8.03311e-05 -8 *2225:14 *2453:20 0.00546812 -9 *621:48 *2822:mprj_io_ib_mode_sel[14] 0 -10 *2035:14 *2225:14 0.0106872 -11 *2119:13 *2225:13 0 -12 *2120:15 *2225:13 0 -13 *2187:13 *2225:13 3.27908e-05 -14 *2187:14 *2225:14 0.00025386 -*RES -1 *2793:pad_gpio_ib_mode_sel *2225:13 29.7677 -2 *2225:13 *2225:14 198.246 -3 *2225:14 *2822:mprj_io_ib_mode_sel[14] 22.215 -*END - -*D_NET *2226 0.0599271 -*CONN -*I *2822:mprj_io_ib_mode_sel[15] I *D chip_io -*I *2794:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_ib_mode_sel[15] 0.000496106 -2 *2794:pad_gpio_ib_mode_sel 0.00110649 -3 *2226:25 0.00785836 -4 *2226:24 0.00798558 -5 *2226:19 0.00333595 -6 *2226:18 0.00381911 -7 *2822:mprj_io_ib_mode_sel[15] *2822:mprj_io_oeb[15] 0.00058534 -8 *2822:mprj_io_ib_mode_sel[15] *2378:33 0 -9 *2822:mprj_io_ib_mode_sel[15] *2454:40 0.000722032 -10 *2226:18 *2794:pad_gpio_in 9.22833e-06 -11 *2226:18 *2302:24 4.97307e-06 -12 *2226:19 *2416:11 0 -13 *2226:24 *2302:30 0.000410435 -14 *2226:25 *2340:21 0.0300745 -15 *2226:25 *2454:17 6.08489e-05 -16 *2822:mprj_io_holdover[15] *2822:mprj_io_ib_mode_sel[15] 0.00035991 -17 *1960:16 *2226:18 0.00064924 -18 *2121:13 *2226:18 5.00728e-05 -19 *2121:15 *2226:18 0.00033295 -20 *2121:20 *2226:24 0.00132531 -21 *2123:32 *2822:mprj_io_ib_mode_sel[15] 0.000740664 -22 *2188:17 *2226:25 0 -*RES -1 *2794:pad_gpio_ib_mode_sel *2226:18 49.8042 -2 *2226:18 *2226:19 74.4857 -3 *2226:19 *2226:24 38.6539 -4 *2226:24 *2226:25 336.813 -5 *2226:25 *2822:mprj_io_ib_mode_sel[15] 27.8146 -*END - -*D_NET *2227 0.0192527 -*CONN -*I *2822:mprj_io_ib_mode_sel[16] I *D chip_io -*I *2795:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_ib_mode_sel[16] 0.000149462 -2 *2795:pad_gpio_ib_mode_sel 7.96089e-05 -3 *2227:31 0.00236479 -4 *2227:11 0.0050369 -5 *2227:10 0.00290119 -6 *2227:10 *2795:pad_gpio_in 0 -7 *2227:11 *2455:11 0 -8 *2227:31 *2822:mprj_io_oeb[16] 6.48684e-05 -9 *2227:31 *2379:25 0.000255616 -10 *2227:31 *2455:16 0 -11 *2822:mprj_io_dm[48] *2227:31 0 -12 *2822:mprj_io_dm[50] *2227:31 0.00600799 -13 *2822:mprj_io_holdover[16] *2227:31 0 -14 *666:17 *2227:31 0.000709007 -15 *2127:26 *2227:31 0.00145474 -16 *2189:11 *2227:11 0 -17 *2189:19 *2227:31 0.000228518 -*RES -1 *2795:pad_gpio_ib_mode_sel *2227:10 8.46357 -2 *2227:10 *2227:11 77.8133 -3 *2227:11 *2227:31 48.0203 -4 *2227:31 *2822:mprj_io_ib_mode_sel[16] 5.13971 -*END - -*D_NET *2228 0.0438303 -*CONN -*I *2822:mprj_io_ib_mode_sel[17] I *D chip_io -*I *2796:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_ib_mode_sel[17] 0.000167188 -2 *2796:pad_gpio_ib_mode_sel 7.96089e-05 -3 *2228:17 0.000508319 -4 *2228:11 0.00634512 -5 *2228:10 0.0060836 -6 *2822:mprj_io_ib_mode_sel[17] *2822:mprj_io_vtrip_sel[17] 0 -7 *2228:11 *2342:11 0.025645 -8 *2228:17 *2822:mprj_io_oeb[17] 0.00215451 -9 *2228:17 *2822:mprj_io_out[17] 0.000217335 -10 *2228:17 *2822:mprj_io_vtrip_sel[17] 0.000851014 -11 *2228:17 *2380:22 0.0017786 -*RES -1 *2796:pad_gpio_ib_mode_sel *2228:10 8.46357 -2 *2228:10 *2228:11 278.58 -3 *2228:11 *2228:17 46.8658 -4 *2228:17 *2822:mprj_io_ib_mode_sel[17] 7.06479 -*END - -*D_NET *2229 0.016515 -*CONN -*I *2822:mprj_io_ib_mode_sel[18] I *D chip_io -*I *2787:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_ib_mode_sel[18] 0.000182974 -2 *2787:pad_gpio_ib_mode_sel 0.000556471 -3 *2229:27 0.000629414 -4 *2229:15 0.00505453 -5 *2229:13 0.00516456 -6 *2229:13 *2787:pad_gpio_in 0 -7 *2229:13 *2305:11 0.000477091 -8 *2229:15 *2305:11 0 -9 *2229:15 *2343:17 0.000638365 -10 *2229:15 *2628:11 0 -11 *2229:27 *2822:mprj_io_vtrip_sel[18] 0.000156579 -12 *2229:27 *2343:30 0.00207363 -13 *2229:27 *2457:22 0.000806469 -14 *2787:resetn *2229:15 0 -15 *1963:14 *2229:27 1.52448e-05 -16 *1963:17 *2229:27 0.000759667 -*RES -1 *2787:pad_gpio_ib_mode_sel *2229:13 26.1706 -2 *2229:13 *2229:15 132.996 -3 *2229:15 *2229:27 49.9147 -4 *2229:27 *2822:mprj_io_ib_mode_sel[18] 2.71135 -*END - -*D_NET *2230 0.0239142 -*CONN -*I *2822:mprj_io_ib_mode_sel[19] I *D chip_io -*I *2803:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_ib_mode_sel[19] 0.000357645 -2 *2803:pad_gpio_ib_mode_sel 0.000634732 -3 *2230:17 0.00271963 -4 *2230:16 0.00290697 -5 *2230:11 0.00117972 -6 *2822:mprj_io_ib_mode_sel[19] *2822:mprj_io_oeb[19] 0 -7 *2822:mprj_io_ib_mode_sel[19] *2822:mprj_io_vtrip_sel[19] 0 -8 *2230:11 *2803:pad_gpio_in 3.25789e-05 -9 *2230:17 *2344:17 0.000644459 -10 *2230:17 *2382:13 0.00912711 -11 *2230:17 *2593:8 0.000379349 -12 *640:68 *2230:11 0.00362675 -13 *1715:26 *2230:11 0.000156463 -14 *1964:11 *2230:11 0.00201704 -15 *2134:11 *2230:11 0.000115059 -16 *2135:11 *2230:11 1.66869e-05 -*RES -1 *2803:pad_gpio_ib_mode_sel *2230:11 47.7305 -2 *2230:11 *2230:16 22.0438 -3 *2230:16 *2230:17 110.535 -4 *2230:17 *2822:mprj_io_ib_mode_sel[19] 14.3439 -*END - -*D_NET *2231 0.00640054 -*CONN -*I *2822:mprj_io_ib_mode_sel[1] I *D chip_io -*I *2782:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_ib_mode_sel[1] 0.000137392 -2 *2782:pad_gpio_ib_mode_sel 0.000334717 -3 *2231:16 0.00256038 -4 *2231:15 0.0027577 -5 *2822:mprj_io_ib_mode_sel[1] *2822:mprj_io_vtrip_sel[1] 0 -6 *2822:mprj_io_ib_mode_sel[1] *2383:14 0 -7 *2231:15 *2782:pad_gpio_in 2.45091e-05 -8 *2231:15 *2307:13 9.83032e-05 -9 *2231:15 *2345:8 1.36313e-05 -10 *2231:15 *2383:13 0 -11 *2231:16 *2822:mprj_io_oeb[1] 0 -12 *2231:16 *2345:8 0 -13 *2231:16 *2383:14 0 -14 *2193:13 *2231:15 0.000473912 -*RES -1 *2782:pad_gpio_ib_mode_sel *2231:15 21.6039 -2 *2231:15 *2231:16 67.0266 -3 *2231:16 *2822:mprj_io_ib_mode_sel[1] 12.6474 -*END - -*D_NET *2232 0.0254398 -*CONN -*I *2822:mprj_io_ib_mode_sel[20] I *D chip_io -*I *2810:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_ib_mode_sel[20] 0.00308861 -2 *2810:pad_gpio_ib_mode_sel 0.000531918 -3 *2232:16 0.00414432 -4 *2232:13 0.00158764 -5 *2822:mprj_io_ib_mode_sel[20] *2822:mprj_io_oeb[20] 0 -6 *2822:mprj_io_ib_mode_sel[20] *2822:mprj_io_vtrip_sel[20] 0 -7 *2822:mprj_io_ib_mode_sel[20] *2460:15 0.0128959 -8 *2822:mprj_io_ib_mode_sel[20] *2594:12 0 -9 *2232:13 *2384:11 0 -10 *2232:16 *2460:15 0.000618701 -11 *2822:mprj_io_dm[62] *2822:mprj_io_ib_mode_sel[20] 0 -12 *2004:13 *2232:13 0.000967899 -13 *2042:13 *2232:13 0.00117612 -14 *2140:13 *2232:13 0.000410521 -15 *2194:13 *2232:13 1.81331e-05 -*RES -1 *2810:pad_gpio_ib_mode_sel *2232:13 37.5349 -2 *2232:13 *2232:16 35.7218 -3 *2232:16 *2822:mprj_io_ib_mode_sel[20] 31.6049 -*END - -*D_NET *2233 0.0269206 -*CONN -*I *2822:mprj_io_ib_mode_sel[21] I *D chip_io -*I *2811:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_ib_mode_sel[21] 0.000111211 -2 *2811:pad_gpio_ib_mode_sel 0.000467041 -3 *2233:17 0.00144746 -4 *2233:16 0.00199515 -5 *2233:11 0.00112595 -6 *2822:mprj_io_ib_mode_sel[21] *2822:mprj_io_oeb[21] 0 -7 *2822:mprj_io_ib_mode_sel[21] *2822:mprj_io_vtrip_sel[21] 8.03562e-06 -8 *2233:11 *2271:16 0.000187575 -9 *2233:17 *2822:mprj_io_slow_sel[21] 0.000427598 -10 *2233:17 *2347:17 4.9452e-05 -11 *2233:17 *2385:17 0.00755944 -12 *2233:17 *2461:17 0.000493488 -13 *2822:mprj_io_dm[63] *2233:17 0.00388656 -14 *2822:mprj_io_holdover[21] *2233:17 0 -15 *111:63 *2233:17 0 -16 *1967:13 *2233:11 0.00223826 -17 *2043:16 *2233:16 5.8218e-05 -18 *2043:17 *2233:17 0.00180769 -19 *2141:11 *2233:11 0.00298598 -20 *2142:11 *2233:11 9.65932e-05 -21 *2143:23 *2233:17 2.01874e-05 -22 *2195:11 *2233:11 0.000639528 -23 *2195:16 *2233:16 0.00131513 -*RES -1 *2811:pad_gpio_ib_mode_sel *2233:11 49.5965 -2 *2233:11 *2233:16 34.5014 -3 *2233:16 *2233:17 106.098 -4 *2233:17 *2822:mprj_io_ib_mode_sel[21] 7.3457 -*END - -*D_NET *2234 0.0296657 -*CONN -*I *2822:mprj_io_ib_mode_sel[22] I *D chip_io -*I *2812:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_ib_mode_sel[22] 0.000363235 -2 *2812:pad_gpio_ib_mode_sel 0.000698513 -3 *2234:23 0.00270338 -4 *2234:22 0.00234015 -5 *2234:20 0.00193432 -6 *2234:19 0.00193432 -7 *2234:17 0.000698513 -8 *2822:mprj_io_ib_mode_sel[22] *2822:mprj_io_oeb[22] 0 -9 *2822:mprj_io_ib_mode_sel[22] *2822:mprj_io_vtrip_sel[22] 0 -10 *2234:17 *2812:pad_gpio_in 0.00282021 -11 *2234:17 *2272:21 4.69495e-06 -12 *2234:23 *2348:17 0.000169038 -13 *2234:23 *2462:17 0.0103019 -14 *2234:23 *2596:10 0.000572046 -15 *2812:mgmt_gpio_in *2234:17 0.000441022 -16 *1719:40 *2234:17 0.00190766 -17 *1968:19 *2234:17 0.000815957 -18 *2006:19 *2234:17 1.98294e-05 -19 *2044:14 *2234:20 0.00172852 -20 *2146:11 *2234:17 0.00021242 -*RES -1 *2812:pad_gpio_ib_mode_sel *2234:17 47.7333 -2 *2234:17 *2234:19 3.36879 -3 *2234:19 *2234:20 68.48 -4 *2234:20 *2234:22 4.5 -5 *2234:22 *2234:23 111.644 -6 *2234:23 *2822:mprj_io_ib_mode_sel[22] 14.7592 -*END - -*D_NET *2235 0.024527 -*CONN -*I *2822:mprj_io_ib_mode_sel[23] I *D chip_io -*I *2813:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_ib_mode_sel[23] 8.04252e-05 -2 *2813:pad_gpio_ib_mode_sel 0.000113883 -3 *2235:17 0.00280273 -4 *2235:16 0.00296172 -5 *2235:11 0.000646271 -6 *2235:10 0.00052074 -7 *2235:11 *2273:20 0.000422194 -8 *2235:16 *2311:14 8.92568e-06 -9 *2235:16 *2672:11 0.00148341 -10 *2235:17 *2822:mprj_io_inp_dis[23] 0.00121675 -11 *2235:17 *2822:mprj_io_slow_sel[23] 0.00165605 -12 *2822:mprj_io_analog_en[23] *2235:17 5.04054e-06 -13 *2822:mprj_io_dm[69] *2235:17 0.000965932 -14 *2822:mprj_io_dm[71] *2235:17 0.000238969 -15 *2822:mprj_io_holdover[23] *2235:16 0.00146246 -16 *2822:mprj_io_holdover[23] *2235:17 0.000282082 -17 *2147:11 *2235:11 0.00446007 -18 *2197:11 *2235:11 0.00519936 -*RES -1 *2813:pad_gpio_ib_mode_sel *2235:10 8.46357 -2 *2235:10 *2235:11 56.7384 -3 *2235:11 *2235:16 33.2556 -4 *2235:16 *2235:17 90.5692 -5 *2235:17 *2822:mprj_io_ib_mode_sel[23] 6.64954 -*END - -*D_NET *2236 0.0201236 -*CONN -*I *2822:mprj_io_ib_mode_sel[24] I *D chip_io -*I *2814:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_ib_mode_sel[24] 0.000516638 -2 *2814:pad_gpio_ib_mode_sel 1.65112e-05 -3 *2236:24 1.65112e-05 -4 *2236:8 0.00176514 -5 *2236:7 0.0012485 -6 *2236:5 0.00168354 -7 *2236:4 0.00168354 -8 *2822:mprj_io_ib_mode_sel[24] *2822:mprj_io_oeb[24] 0 -9 *2822:mprj_io_ib_mode_sel[24] *2822:mprj_io_out[24] 0 -10 *2236:5 *2274:17 0.00308271 -11 *2236:5 *2312:5 0 -12 *2236:5 *2388:5 0.00293711 -13 *2236:5 *2464:8 4.46284e-06 -14 *2236:8 *2274:16 0.00154897 -15 *2236:8 *2464:11 0.00561991 -16 *114:5 *2236:5 0 -*RES -1 *2814:pad_gpio_ib_mode_sel *2236:4 3.36879 -2 *2236:4 *2236:5 104.192 -3 *2236:5 *2236:7 4.5 -4 *2236:7 *2236:8 58.9568 -5 *2236:8 *2822:mprj_io_ib_mode_sel[24] 26.9311 -6 *2814:pad_gpio_ib_mode_sel *2236:24 0.0631875 -*END - -*D_NET *2237 0.0205852 -*CONN -*I *2822:mprj_io_ib_mode_sel[25] I *D chip_io -*I *2815:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_ib_mode_sel[25] 0.000440218 -2 *2815:pad_gpio_ib_mode_sel 0.000162657 -3 *2237:22 0.00106515 -4 *2237:14 0.00140459 -5 *2237:13 0.00126288 -6 *2237:8 0.0015637 -7 *2237:7 0.00124314 -8 *2822:mprj_io_ib_mode_sel[25] *2822:mprj_io_oeb[25] 0 -9 *2237:7 *2815:pad_gpio_in 5.76421e-05 -10 *2237:7 *2313:7 0 -11 *2237:8 *2275:11 0.000715147 -12 *2237:8 *2465:8 0.00185328 -13 *2237:13 *2465:19 0.000290497 -14 *2237:22 *2389:22 0.000649613 -15 *2237:22 *2465:20 0.000337806 -16 *2822:mprj_io_analog_en[25] *2237:8 0.00042524 -17 *2822:mprj_io_analog_sel[25] *2237:22 5.04829e-06 -18 *106:43 *2822:mprj_io_ib_mode_sel[25] 0 -19 *115:32 *2237:13 7.98171e-06 -20 *115:36 *2237:14 0.00229051 -21 *115:39 *2237:22 1.2819e-05 -22 *632:37 *2237:22 0.00055967 -23 *632:55 *2237:8 0.000258117 -24 *633:29 *2237:14 0.00373116 -25 *642:52 *2237:14 0.000539902 -26 *694:8 *2237:22 9.35819e-05 -27 *2009:28 *2237:14 0.000175149 -28 *2047:13 *2237:8 0.00106542 -29 *2154:13 *2237:8 7.7278e-05 -30 *2156:8 *2237:8 0 -31 *2199:7 *2237:7 9.21018e-05 -32 *2199:8 *2237:22 0.000204856 -*RES -1 *2815:pad_gpio_ib_mode_sel *2237:7 3.9674 -2 *2237:7 *2237:8 63.9122 -3 *2237:8 *2237:13 20.2609 -4 *2237:13 *2237:14 59.9673 -5 *2237:14 *2237:22 43.8829 -6 *2237:22 *2822:mprj_io_ib_mode_sel[25] 14.3874 -*END - -*D_NET *2238 0.0223846 -*CONN -*I *2822:mprj_io_ib_mode_sel[26] I *D chip_io -*I *2816:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_ib_mode_sel[26] 0.000453417 -2 *2816:pad_gpio_ib_mode_sel 0.000856614 -3 *2238:34 0.000939418 -4 *2238:33 0.00135934 -5 *2238:27 0.00173589 -6 *2238:15 0.00143968 -7 *2238:12 0.00143375 -8 *2822:mprj_io_ib_mode_sel[26] *2822:mprj_io_oeb[26] 0 -9 *2822:mprj_io_ib_mode_sel[26] *2822:mprj_io_vtrip_sel[26] 0 -10 *2238:27 *2390:12 0.00174387 -11 *2238:27 *2428:8 0.000579483 -12 *2238:27 *2600:19 0.000358893 -13 *2238:33 *2822:mprj_io_slow_sel[26] 0 -14 *2238:33 *2600:19 0 -15 *2238:34 *2314:12 0.000441245 -16 *2822:mprj_io_analog_en[26] *2238:33 0 -17 *2822:mprj_io_analog_pol[26] *2238:33 1.44113e-05 -18 *2822:mprj_io_dm[78] *2238:33 0.000150501 -19 *2822:mprj_io_dm[79] *2238:33 0 -20 *2822:mprj_io_holdover[26] *2238:34 0.00139552 -21 *106:37 *2238:27 0.000229988 -22 *106:37 *2238:33 0.000248171 -23 *116:10 *2238:12 0.00218088 -24 *116:15 *2238:15 0.00226329 -25 *617:14 *2822:mprj_io_ib_mode_sel[26] 0 -26 *617:14 *2238:33 0.000788158 -27 *618:34 *2238:34 0.000341794 -28 *632:20 *2238:34 0.00291625 -29 *695:16 *2238:34 0.000505697 -30 *2200:26 *2238:34 8.35615e-06 -*RES -1 *2816:pad_gpio_ib_mode_sel *2238:12 43.3547 -2 *2238:12 *2238:15 29.0714 -3 *2238:15 *2238:27 37.71 -4 *2238:27 *2238:33 40.1451 -5 *2238:33 *2238:34 47.0945 -6 *2238:34 *2822:mprj_io_ib_mode_sel[26] 19.9938 -*END - -*D_NET *2239 0.0224601 -*CONN -*I *2822:mprj_io_ib_mode_sel[27] I *D chip_io -*I *2817:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_ib_mode_sel[27] 0.000568663 -2 *2817:pad_gpio_ib_mode_sel 0.000131939 -3 *2239:20 0.00110107 -4 *2239:19 0.000532403 -5 *2239:17 0.00125021 -6 *2239:8 0.00217612 -7 *2239:7 0.00105786 -8 *2822:mprj_io_ib_mode_sel[27] *2822:mprj_io_oeb[27] 4.76719e-05 -9 *2822:mprj_io_ib_mode_sel[27] *2822:mprj_io_vtrip_sel[27] 0 -10 *2239:7 *2817:pad_gpio_in 6.89476e-05 -11 *2239:7 *2315:7 0 -12 *2239:8 *2391:8 0.00132724 -13 *2239:17 *2391:19 0.000837016 -14 *2239:17 *2467:13 5.04829e-06 -15 *2239:20 *2391:22 0.000440483 -16 *2239:20 *2391:26 9.71323e-06 -17 *2822:mprj_io_analog_en[27] *2239:20 3.66465e-05 -18 *102:49 *2239:17 0.000167137 -19 *102:49 *2239:20 0.000666715 -20 *117:8 *2239:8 0.0036339 -21 *117:21 *2239:8 0.000369038 -22 *634:13 *2822:mprj_io_ib_mode_sel[27] 1.82679e-05 -23 *657:20 *2239:20 0.00359789 -24 *696:8 *2239:17 0.000167891 -25 *696:8 *2239:20 0.00414486 -26 *2201:7 *2239:7 0.000103407 -*RES -1 *2817:pad_gpio_ib_mode_sel *2239:7 3.9674 -2 *2239:7 *2239:8 64.7427 -3 *2239:8 *2239:17 48.0845 -4 *2239:17 *2239:19 4.5 -5 *2239:19 *2239:20 66.1961 -6 *2239:20 *2822:mprj_io_ib_mode_sel[27] 23.1848 -*END - -*D_NET *2240 0.0157514 -*CONN -*I *2822:mprj_io_ib_mode_sel[28] I *D chip_io -*I *2818:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_ib_mode_sel[28] 0.000933384 -2 *2818:pad_gpio_ib_mode_sel 0.000131939 -3 *2240:20 0.00198509 -4 *2240:19 0.00171921 -5 *2240:8 0.00215817 -6 *2240:7 0.0016226 -7 *2822:mprj_io_ib_mode_sel[28] *2822:mprj_io_out[28] 0 -8 *2822:mprj_io_ib_mode_sel[28] *2822:mprj_io_vtrip_sel[28] 0 -9 *2240:7 *2818:pad_gpio_in 6.89476e-05 -10 *2240:7 *2392:7 0 -11 *2240:8 *2278:11 0.000165125 -12 *2240:8 *2316:8 0.00165977 -13 *2240:8 *2430:8 0 -14 *2240:20 *2822:mprj_io_inp_dis[28] 0 -15 *2240:20 *2822:mprj_io_slow_sel[28] 0 -16 *2240:20 *2603:20 0 -17 *2822:mprj_io_analog_en[28] *2240:20 0 -18 *2822:mprj_io_analog_pol[28] *2240:20 0 -19 *2822:mprj_io_analog_sel[28] *2822:mprj_io_ib_mode_sel[28] 1.53125e-05 -20 *2822:mprj_io_analog_sel[28] *2240:20 0 -21 *2822:mprj_io_dm[84] *2240:20 0 -22 *2822:mprj_io_dm[85] *2240:20 0 -23 *2822:mprj_io_dm[86] *2822:mprj_io_ib_mode_sel[28] 1.90694e-05 -24 *2822:mprj_io_holdover[28] *2822:mprj_io_ib_mode_sel[28] 0 -25 *106:37 *2822:mprj_io_ib_mode_sel[28] 0.00021943 -26 *106:37 *2240:19 0.000458838 -27 *106:37 *2240:20 0.000589698 -28 *617:14 *2822:mprj_io_ib_mode_sel[28] 0.000494687 -29 *617:14 *2240:20 0.00287101 -30 *2050:37 *2240:8 0.000535672 -31 *2202:7 *2240:7 0.000103407 -*RES -1 *2818:pad_gpio_ib_mode_sel *2240:7 3.9674 -2 *2240:7 *2240:8 64.1198 -3 *2240:8 *2240:19 10.0431 -4 *2240:19 *2240:20 46.4716 -5 *2240:20 *2822:mprj_io_ib_mode_sel[28] 49.1207 -*END - -*D_NET *2241 0.0675276 -*CONN -*I *2822:mprj_io_ib_mode_sel[29] I *D chip_io -*I *2804:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_ib_mode_sel[29] 0.000355692 -2 *2804:pad_gpio_ib_mode_sel 0.000683789 -3 *2241:38 0.00157356 -4 *2241:37 0.00121787 -5 *2241:35 0.00476873 -6 *2241:34 0.00525599 -7 *2241:23 0.00318784 -8 *2241:22 0.00270059 -9 *2241:20 0.00152329 -10 *2241:12 0.00220708 -11 *2822:mprj_io_ib_mode_sel[29] *2822:mprj_io_vtrip_sel[29] 0 -12 *101:19 *2241:35 0.0201052 -13 *106:37 *2241:38 0.000905377 -14 *617:70 *2241:38 0.00134141 -15 *641:12 *2822:mprj_io_ib_mode_sel[29] 0 -16 *641:12 *2241:38 0.000682126 -17 *2013:10 *2241:38 0.00371287 -18 *2203:16 *2241:12 0.00144801 -19 *2203:16 *2241:20 0.000223845 -20 *2203:19 *2241:23 0.00940777 -21 *2203:28 *2241:34 0.00104898 -22 *2203:31 *2241:35 0.000719534 -23 *2203:34 *2241:38 0.00445805 -*RES -1 *2804:pad_gpio_ib_mode_sel *2241:12 33.8605 -2 *2241:12 *2241:20 47.3752 -3 *2241:20 *2241:22 4.5 -4 *2241:22 *2241:23 116.081 -5 *2241:23 *2241:34 46.0568 -6 *2241:34 *2241:35 227.002 -7 *2241:35 *2241:37 4.5 -8 *2241:37 *2241:38 104.399 -9 *2241:38 *2822:mprj_io_ib_mode_sel[29] 18.1284 -*END - -*D_NET *2242 0.0107809 -*CONN -*I *2822:mprj_io_ib_mode_sel[2] I *D chip_io -*I *2797:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_ib_mode_sel[2] 0.000279179 -2 *2797:pad_gpio_ib_mode_sel 0.000526069 -3 *2242:14 0.00102575 -4 *2242:13 0.00127264 -5 *2822:mprj_io_ib_mode_sel[2] *2822:mprj_io_oeb[2] 0 -6 *2822:mprj_io_ib_mode_sel[2] *2822:mprj_io_vtrip_sel[2] 0 -7 *2242:13 *2797:pad_gpio_in 0.000162799 -8 *2242:14 *2356:14 0.00373744 -9 *2242:14 *2394:14 2.01595e-05 -10 *110:52 *2822:mprj_io_ib_mode_sel[2] 0 -11 *626:65 *2822:mprj_io_ib_mode_sel[2] 6.1557e-05 -12 *626:66 *2242:14 8.88627e-05 -13 *650:56 *2242:14 0.00176662 -14 *669:29 *2822:mprj_io_ib_mode_sel[2] 0.000464099 -15 *669:30 *2242:13 1.09128e-05 -16 *1976:20 *2242:14 0.000205332 -17 *2148:13 *2242:13 0 -18 *2204:13 *2242:13 0.00115951 -*RES -1 *2797:pad_gpio_ib_mode_sel *2242:13 30.0352 -2 *2242:13 *2242:14 62.0436 -3 *2242:14 *2822:mprj_io_ib_mode_sel[2] 18.0929 -*END - -*D_NET *2243 0.0230821 -*CONN -*I *2822:mprj_io_ib_mode_sel[30] I *D chip_io -*I *2805:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_ib_mode_sel[30] 0.000532475 -2 *2805:pad_gpio_ib_mode_sel 0.000383308 -3 *2243:14 0.00149606 -4 *2243:13 0.00112056 -5 *2243:8 0.000764918 -6 *2243:7 0.000991246 -7 *2822:mprj_io_ib_mode_sel[30] *2822:mprj_io_vtrip_sel[30] 0 -8 *2822:mprj_io_ib_mode_sel[30] *2357:20 0 -9 *2243:7 *2805:pad_gpio_in 6.89476e-05 -10 *2243:7 *2319:7 0 -11 *2243:7 *2395:7 0 -12 *2243:13 *2319:13 5.04829e-06 -13 *2243:13 *2395:13 1.03403e-05 -14 *2243:14 *2395:8 7.90605e-05 -15 *2243:14 *2395:14 0.000332901 -16 *2243:14 *2471:14 0 -17 *106:37 *2243:8 0.000417908 -18 *618:8 *2243:8 0.00409073 -19 *642:13 *2243:13 5.20754e-06 -20 *642:14 *2243:14 0.00505202 -21 *681:8 *2243:8 0.000146685 -22 *2053:8 *2243:14 0.00355398 -23 *2171:8 *2243:8 0.00348199 -24 *2173:13 *2243:8 0.000275937 -25 *2173:14 *2243:14 1.87269e-05 -26 *2205:7 *2243:7 0.000254003 -*RES -1 *2805:pad_gpio_ib_mode_sel *2243:7 4.88529 -2 *2243:7 *2243:8 65.9885 -3 *2243:8 *2243:13 11.9418 -4 *2243:13 *2243:14 83.2214 -5 *2243:14 *2822:mprj_io_ib_mode_sel[30] 21.7969 -*END - -*D_NET *2244 0.0211219 -*CONN -*I *2822:mprj_io_ib_mode_sel[31] I *D chip_io -*I *2806:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_ib_mode_sel[31] 0.000297169 -2 *2806:pad_gpio_ib_mode_sel 0.000456954 -3 *2244:8 0.00222203 -4 *2244:7 0.00238182 -5 *2822:mprj_io_ib_mode_sel[31] *2822:mprj_io_vtrip_sel[31] 0.000720481 -6 *2822:mprj_io_ib_mode_sel[31] *2720:14 0 -7 *2244:7 *2806:pad_gpio_in 5.02212e-05 -8 *2244:7 *2320:7 0 -9 *2244:8 *2358:12 0 -10 *2244:8 *2396:8 0.0055988 -11 *2244:8 *2396:12 0.000192552 -12 *2244:8 *2472:8 0.0013191 -13 *682:8 *2244:8 0.0012353 -14 *2016:16 *2244:8 0.00536189 -15 *2176:14 *2244:8 0.00106884 -16 *2206:7 *2244:7 0.000216767 -*RES -1 *2806:pad_gpio_ib_mode_sel *2244:7 4.96178 -2 *2244:7 *2244:8 148.624 -3 *2244:8 *2822:mprj_io_ib_mode_sel[31] 19.5785 -*END - -*D_NET *2245 0.0218611 -*CONN -*I *2822:mprj_io_ib_mode_sel[32] I *D chip_io -*I *2807:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_ib_mode_sel[32] 0.000534056 -2 *2807:pad_gpio_ib_mode_sel 0.000581745 -3 *2245:8 0.00200901 -4 *2245:7 0.0020567 -5 *2822:mprj_io_ib_mode_sel[32] *2822:mprj_io_oeb[32] 0 -6 *2822:mprj_io_ib_mode_sel[32] *2822:mprj_io_vtrip_sel[32] 0 -7 *2245:7 *2807:pad_gpio_in 6.86894e-05 -8 *2245:7 *2397:7 0 -9 *2245:8 *2359:8 0.00505555 -10 *2245:8 *2359:14 0.000477386 -11 *683:8 *2245:8 0.00938187 -12 *2179:7 *2245:7 0 -13 *2179:14 *2245:8 0.00141179 -14 *2207:7 *2245:7 0.000284267 -*RES -1 *2807:pad_gpio_ib_mode_sel *2245:7 5.49721 -2 *2245:7 *2245:8 149.869 -3 *2245:8 *2822:mprj_io_ib_mode_sel[32] 22.4532 -*END - -*D_NET *2246 0.0226747 -*CONN -*I *2822:mprj_io_ib_mode_sel[33] I *D chip_io -*I *2808:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_ib_mode_sel[33] 0.000399917 -2 *2808:pad_gpio_ib_mode_sel 0.000544754 -3 *2246:8 0.00231023 -4 *2246:7 0.00245507 -5 *2822:mprj_io_ib_mode_sel[33] *2822:mprj_io_vtrip_sel[33] 0 -6 *2246:7 *2322:7 0 -7 *2246:8 *2322:14 0.000236262 -8 *2246:8 *2398:14 0.000192 -9 *2246:8 *2398:16 0 -10 *2246:8 *2474:8 0.00905951 -11 *645:15 *2246:8 0.00155459 -12 *684:16 *2246:8 9.66387e-05 -13 *2180:8 *2246:8 0.00560012 -14 *2208:7 *2246:7 0.00022558 -*RES -1 *2808:pad_gpio_ib_mode_sel *2246:7 4.96178 -2 *2246:7 *2246:8 148.624 -3 *2246:8 *2822:mprj_io_ib_mode_sel[33] 19.5785 -*END - -*D_NET *2247 0.0225623 -*CONN -*I *2822:mprj_io_ib_mode_sel[34] I *D chip_io -*I *2809:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_ib_mode_sel[34] 0.00171666 -2 *2809:pad_gpio_ib_mode_sel 0.00171666 -3 *2822:mprj_io_ib_mode_sel[34] *2809:pad_gpio_in 4.99467e-05 -4 *2822:mprj_io_ib_mode_sel[34] *2822:mprj_io_oeb[34] 0.000480199 -5 *2822:mprj_io_ib_mode_sel[34] *2822:mprj_io_out[34] 0.00926698 -6 *2822:mprj_io_holdover[34] *2822:mprj_io_ib_mode_sel[34] 0.00889863 -7 *619:20 *2822:mprj_io_ib_mode_sel[34] 0.000433199 -*RES -1 *2809:pad_gpio_ib_mode_sel *2822:mprj_io_ib_mode_sel[34] 28.0492 -*END - -*D_NET *2248 0.0170771 -*CONN -*I *2822:mprj_io_ib_mode_sel[35] I *D chip_io -*I *2783:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_ib_mode_sel[35] 0.000238032 -2 *2783:pad_gpio_ib_mode_sel 0.000383671 -3 *2248:8 0.0035731 -4 *2248:7 0.00371874 -5 *2248:7 *2783:pad_gpio_in 0.000124846 -6 *2248:8 *2822:mprj_io_inp_dis[35] 0 -7 *2248:8 *2822:mprj_io_out[35] 0 -8 *2248:8 *2822:mprj_io_vtrip_sel[35] 0 -9 *2248:8 *2438:8 9.22975e-05 -10 *2822:mprj_io_analog_pol[35] *2248:8 0 -11 *2822:mprj_io_analog_sel[35] *2248:8 0 -12 *2822:mprj_io_dm[105] *2248:8 0 -13 *2822:mprj_io_dm[107] *2248:8 0 -14 *2822:mprj_io_holdover[35] *2248:8 0 -15 *619:20 *2248:8 0.000694257 -16 *1733:8 *2248:8 0.000569924 -17 *1982:13 *2248:8 0 -18 *2074:23 *2248:8 0.00395541 -19 *2076:8 *2248:8 0.003602 -20 *2210:7 *2248:7 0.000124846 -21 *2210:8 *2248:8 0 -*RES -1 *2783:pad_gpio_ib_mode_sel *2248:7 4.50284 -2 *2248:7 *2248:8 149.869 -3 *2248:8 *2822:mprj_io_ib_mode_sel[35] 15.1945 -*END - -*D_NET *2249 0.0142588 -*CONN -*I *2822:mprj_io_ib_mode_sel[36] I *D chip_io -*I *2784:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_ib_mode_sel[36] 0.000499078 -2 *2784:pad_gpio_ib_mode_sel 0.000663601 -3 *2249:8 0.00452181 -4 *2249:7 0.00468633 -5 *2249:7 *2325:10 0 -6 *2249:8 *2439:8 0.000710699 -7 *687:16 *2249:8 0 -8 *2211:13 *2249:7 0.000254639 -9 *2211:14 *2249:8 0.00292261 -*RES -1 *2784:pad_gpio_ib_mode_sel *2249:7 5.42072 -2 *2249:7 *2249:8 149.454 -3 *2249:8 *2822:mprj_io_ib_mode_sel[36] 22.0634 -*END - -*D_NET *2250 0.0243327 -*CONN -*I *2822:mprj_io_ib_mode_sel[37] I *D chip_io -*I *2785:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_ib_mode_sel[37] 0.000125292 -2 *2785:pad_gpio_ib_mode_sel 0.000512831 -3 *2250:29 0.00235905 -4 *2250:15 0.00274659 -5 *2250:15 *2785:pad_gpio_in 0.000703474 -6 *2250:15 *2326:15 0.000562443 -7 *2250:15 *2402:15 0.000282893 -8 *2250:29 *2822:mprj_io_oeb[37] 0.00274303 -9 *2250:29 *2822:mprj_io_out[37] 7.29341e-05 -10 *2250:29 *2288:19 0.000318594 -11 *2250:29 *2326:27 0.00699212 -12 *2250:29 *2364:21 0.000882482 -13 *2822:mprj_io_analog_sel[37] *2250:29 4.44899e-05 -14 *2822:mprj_io_dm[113] *2250:29 0.00117204 -15 *2822:mprj_io_holdover[37] *2250:29 0.00481446 -16 *2083:15 *2250:15 0 -*RES -1 *2785:pad_gpio_ib_mode_sel *2250:15 30.5679 -2 *2250:15 *2250:29 49.3907 -3 *2250:29 *2822:mprj_io_ib_mode_sel[37] 6.7763 -*END - -*D_NET *2251 0.00756949 -*CONN -*I *2822:mprj_io_ib_mode_sel[3] I *D chip_io -*I *2798:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_ib_mode_sel[3] 0.000194065 -2 *2798:pad_gpio_ib_mode_sel 0.000446321 -3 *2251:14 0.00162052 -4 *2251:13 0.00187277 -5 *2251:13 *2798:pad_gpio_in 3.84497e-05 -6 *2251:13 *2365:8 0.000167862 -7 *2251:14 *2822:mprj_io_oeb[3] 0 -8 *2251:14 *2365:8 0 -9 *111:30 *2251:14 0 -10 *2061:15 *2251:13 0.000484359 -11 *2061:16 *2822:mprj_io_ib_mode_sel[3] 0 -12 *2061:16 *2251:14 0.00166883 -13 *2084:13 *2251:13 0.000489728 -14 *2213:15 *2251:13 0.000586594 -*RES -1 *2798:pad_gpio_ib_mode_sel *2251:13 31.8271 -2 *2251:13 *2251:14 55.3995 -3 *2251:14 *2822:mprj_io_ib_mode_sel[3] 14.0325 -*END - -*D_NET *2252 0.00681345 -*CONN -*I *2822:mprj_io_ib_mode_sel[4] I *D chip_io -*I *2799:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_ib_mode_sel[4] 0.00139264 -2 *2799:pad_gpio_ib_mode_sel 0.000266525 -3 *2252:18 0.00258962 -4 *2252:15 0.00146351 -5 *2822:mprj_io_ib_mode_sel[4] *2822:mprj_io_oeb[4] 0 -6 *2822:mprj_io_ib_mode_sel[4] *2822:mprj_io_vtrip_sel[4] 0 -7 *2822:mprj_io_ib_mode_sel[4] *2366:8 0 -8 *2252:15 *2799:pad_gpio_in 0.000131094 -9 *2252:15 *2328:15 0.000651906 -10 *2252:15 *2366:8 8.62321e-06 -11 *2252:18 *2366:8 0 -12 *2822:mprj_io_holdover[4] *2252:15 4.31122e-05 -13 *654:43 *2252:18 0.000266423 -14 *671:14 *2822:mprj_io_ib_mode_sel[4] 0 -15 *671:14 *2252:18 0 -*RES -1 *2799:pad_gpio_ib_mode_sel *2252:15 21.7433 -2 *2252:15 *2252:18 32.6706 -3 *2252:18 *2822:mprj_io_ib_mode_sel[4] 48.1331 -*END - -*D_NET *2253 0.00659928 -*CONN -*I *2822:mprj_io_ib_mode_sel[5] I *D chip_io -*I *2800:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_ib_mode_sel[5] 3.05493e-05 -2 *2800:pad_gpio_ib_mode_sel 0.000314108 -3 *2253:8 0.00263861 -4 *2253:7 0.00292217 -5 *2253:7 *2800:pad_gpio_in 3.59644e-05 -6 *2253:8 *2822:mprj_io_oeb[5] 8.1645e-05 -7 *2253:8 *2329:13 0 -8 *2822:mprj_io_dm[16] *2253:8 0.00054903 -9 *1738:29 *2253:8 0 -10 *1987:13 *2253:8 0 -11 *2025:13 *2253:8 0 -12 *2063:13 *2253:8 0 -13 *2088:13 *2253:8 0 -14 *2090:13 *2253:8 0 -15 *2215:13 *2253:7 2.72062e-05 -16 *2215:13 *2253:8 0 -*RES -1 *2800:pad_gpio_ib_mode_sel *2253:7 4.19688 -2 *2253:7 *2253:8 72.8401 -3 *2253:8 *2822:mprj_io_ib_mode_sel[5] 0.647305 -*END - -*D_NET *2254 0.00810803 -*CONN -*I *2822:mprj_io_ib_mode_sel[6] I *D chip_io -*I *2801:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_ib_mode_sel[6] 2.27669e-05 -2 *2801:pad_gpio_ib_mode_sel 0.000277932 -3 *2254:8 0.00171005 -4 *2254:7 0.00196521 -5 *2822:mprj_io_ib_mode_sel[6] *2822:mprj_io_vtrip_sel[6] 0 -6 *2254:7 *2801:pad_gpio_in 3.84497e-05 -7 *2254:8 *2822:mprj_io_oeb[6] 0 -8 *2254:8 *2368:8 0 -9 *630:47 *2254:8 0.000387981 -10 *673:20 *2254:8 0 -11 *2064:14 *2254:8 0.0036672 -12 *2216:13 *2254:7 3.84497e-05 -*RES -1 *2801:pad_gpio_ib_mode_sel *2254:7 4.19688 -2 *2254:7 *2254:8 72.4249 -3 *2254:8 *2822:mprj_io_ib_mode_sel[6] 0.647305 -*END - -*D_NET *2255 0.0624451 -*CONN -*I *2822:mprj_io_ib_mode_sel[7] I *D chip_io -*I *2802:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_ib_mode_sel[7] 0.00011699 -2 *2802:pad_gpio_ib_mode_sel 0.00017755 -3 *2255:8 0.00785361 -4 *2255:7 0.00791417 -5 *2255:7 *2802:pad_gpio_in 2.50257e-05 -6 *2255:7 *2407:13 0 -7 *2255:8 *2369:8 3.15058e-05 -8 *2255:8 *2483:8 0.00551226 -9 *2822:mprj_io_analog_sel[7] *2255:8 0 -10 *116:64 *2255:8 0.000191158 -11 *116:65 *2255:8 0.000550669 -12 *632:87 *2255:8 0.0017491 -13 *656:50 *2255:8 0.000613356 -14 *656:54 *2255:8 0.00215736 -15 *656:58 *2255:8 0.00143367 -16 *676:8 *2255:8 0.00123884 -17 *676:14 *2822:mprj_io_ib_mode_sel[7] 0 -18 *1990:13 *2255:8 0 -19 *2028:13 *2255:8 8.49896e-06 -20 *2065:10 *2255:8 0.00410399 -21 *2066:8 *2255:8 0.0147356 -22 *2217:7 *2255:7 6.42836e-05 -23 *2217:8 *2255:8 0 -24 *2218:10 *2255:8 0.0139675 -*RES -1 *2802:pad_gpio_ib_mode_sel *2255:7 0.860519 -2 *2255:7 *2255:8 64.6321 -3 *2255:8 *2822:mprj_io_ib_mode_sel[7] 5.58005 -*END - -*D_NET *2256 0.0782925 -*CONN -*I *2822:mprj_io_ib_mode_sel[8] I *D chip_io -*I *2786:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_ib_mode_sel[8] 0.000557089 -2 *2786:pad_gpio_ib_mode_sel 0.00105514 -3 *2256:10 0.00773716 -4 *2256:9 0.00823521 -5 *2822:mprj_io_ib_mode_sel[8] *2822:mprj_io_oeb[8] 1.93857e-05 -6 *2822:mprj_io_ib_mode_sel[8] *2822:mprj_io_vtrip_sel[8] 0 -7 *2822:mprj_io_ib_mode_sel[8] *2409:30 0 -8 *2256:9 *2786:pad_gpio_in 8.53327e-05 -9 *2256:9 *2408:9 0 -10 *2256:10 *2295:11 0.00122857 -11 *2256:10 *2331:14 0.00150167 -12 *2256:10 *2371:8 0 -13 *2256:10 *2407:14 0.00159945 -14 *2256:10 *2408:10 0.000246345 -15 *2256:10 *2447:14 0.00187245 -16 *2256:10 *2484:10 0.0316658 -17 *1989:14 *2256:10 0.00132849 -18 *2027:14 *2256:10 0.00129258 -19 *2095:8 *2256:10 0.00149605 -20 *2096:14 *2256:10 0.00138915 -21 *2097:8 *2256:10 0.000156378 -22 *2098:14 *2256:10 0.00249125 -23 *2100:9 *2256:9 0 -24 *2218:9 *2256:9 7.51118e-05 -25 *2219:8 *2256:10 0.0142599 -*RES -1 *2786:pad_gpio_ib_mode_sel *2256:9 2.60982 -2 *2256:9 *2256:10 65.5466 -3 *2256:10 *2822:mprj_io_ib_mode_sel[8] 6.18712 -*END - -*D_NET *2257 0.0609783 -*CONN -*I *2822:mprj_io_ib_mode_sel[9] I *D chip_io -*I *2788:pad_gpio_ib_mode_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_ib_mode_sel[9] 0.000119705 -2 *2788:pad_gpio_ib_mode_sel 0.000132807 -3 *2257:8 0.00630518 -4 *2257:7 0.00631828 -5 *2257:7 *2788:pad_gpio_in 4.31122e-05 -6 *2257:7 *2333:13 0 -7 *2257:8 *2335:8 0.0131722 -8 *2257:8 *2370:10 0.000610432 -9 *2257:8 *2373:8 5.95141e-05 -10 *2257:8 *2485:8 0.00052442 -11 *2788:serial_clock_out *2257:8 0.00358235 -12 *2031:8 *2257:8 0.0041127 -13 *2066:8 *2257:8 0.0121501 -14 *2103:30 *2257:8 0.00100707 -15 *2218:10 *2257:8 0.0127669 -16 *2219:7 *2257:7 7.35121e-05 -*RES -1 *2788:pad_gpio_ib_mode_sel *2257:7 0.860519 -2 *2257:7 *2257:8 65.6609 -3 *2257:8 *2822:mprj_io_ib_mode_sel[9] 5.58005 -*END - -*D_NET *2258 0.0185331 -*CONN -*I *2781:pad_gpio_in I *D gpio_control_block -*I *2822:mprj_io_in[0] O *D chip_io -*CAP -1 *2781:pad_gpio_in 0.00418242 -2 *2822:mprj_io_in[0] 0.00418242 -3 *2781:pad_gpio_in *2822:mprj_io_slow_sel[0] 0.00995524 -4 *2781:pad_gpio_in *2296:13 3.64393e-05 -5 *2781:pad_gpio_in *2334:8 0.000149352 -6 *2220:13 *2781:pad_gpio_in 2.72062e-05 -*RES -1 *2822:mprj_io_in[0] *2781:pad_gpio_in 28.6198 -*END - -*D_NET *2259 0.0519115 -*CONN -*I *2789:pad_gpio_in I *D gpio_control_block -*I *2822:mprj_io_in[10] O *D chip_io -*CAP -1 *2789:pad_gpio_in 0.000659046 -2 *2822:mprj_io_in[10] 0.000422841 -3 *2259:11 0.00708464 -4 *2259:10 0.00684844 -5 *2789:pad_gpio_in *2297:7 1.94242e-05 -6 *2259:11 *2295:11 0.000351142 -7 *2259:11 *2297:8 0.00226274 -8 *2259:11 *2298:14 0 -9 *2259:11 *2411:14 0.0227727 -10 *2789:resetn *2789:pad_gpio_in 0.000984522 -11 *1991:14 *2259:11 0.00851289 -12 *2067:18 *2259:11 0.000797637 -13 *2103:30 *2789:pad_gpio_in 0 -14 *2103:36 *2259:11 7.14054e-05 -15 *2108:14 *2259:10 0 -16 *2184:8 *2259:11 0.00110291 -17 *2221:7 *2789:pad_gpio_in 2.11673e-05 -*RES -1 *2822:mprj_io_in[10] *2259:10 19.5785 -2 *2259:10 *2259:11 383.034 -3 *2259:11 *2789:pad_gpio_in 30.3139 -*END - -*D_NET *2260 0.0548934 -*CONN -*I *2790:pad_gpio_in I *D gpio_control_block -*I *2822:mprj_io_in[11] O *D chip_io -*CAP -1 *2790:pad_gpio_in 0.000599369 -2 *2822:mprj_io_in[11] 0.000574291 -3 *2260:11 0.00471518 -4 *2260:10 0.0046901 -5 *2790:pad_gpio_in *2298:7 1.94242e-05 -6 *2260:11 *2261:23 0.000840599 -7 *2260:11 *2374:8 0.00195857 -8 *2260:11 *2411:14 0 -9 *2260:11 *2412:14 0.022371 -10 *2260:11 *2412:23 0.000892705 -11 *642:67 *2790:pad_gpio_in 0.000326366 -12 *1956:20 *2260:11 0.00291275 -13 *1994:14 *2260:11 1.87269e-05 -14 *2108:8 *2790:pad_gpio_in 0 -15 *2108:26 *2260:10 0 -16 *2109:13 *2790:pad_gpio_in 0 -17 *2109:14 *2260:11 0.0136767 -18 *2109:18 *2260:11 0.00125996 -19 *2222:9 *2790:pad_gpio_in 3.76849e-05 -*RES -1 *2822:mprj_io_in[11] *2260:10 21.2451 -2 *2260:10 *2260:11 389.678 -3 *2260:11 *2790:pad_gpio_in 28.6585 -*END - -*D_NET *2261 0.0442985 -*CONN -*I *2791:pad_gpio_in I *D gpio_control_block -*I *2822:mprj_io_in[12] O *D chip_io -*CAP -1 *2791:pad_gpio_in 1.65112e-05 -2 *2822:mprj_io_in[12] 0.000246122 -3 *2261:31 1.65112e-05 -4 *2261:27 0.00361644 -5 *2261:26 0.00485784 -6 *2261:23 0.00151217 -7 *2261:19 0.00121956 -8 *2261:16 0.00118759 -9 *2261:11 0.00506114 -10 *2261:10 0.00506846 -11 *2261:11 *2822:mprj_io_oeb[11] 0 -12 *2261:11 *2822:mprj_io_out[11] 0 -13 *2261:11 *2822:mprj_io_slow_sel[12] 0.000280366 -14 *2261:11 *2413:24 0.012587 -15 *2261:16 *2613:14 0.000212959 -16 *2261:19 *2374:8 0.000411271 -17 *2261:19 *2375:14 0 -18 *2261:19 *2413:20 0.000609265 -19 *2261:23 *2374:8 0.000267301 -20 *2261:23 *2412:23 0.000288466 -21 *2261:26 *2412:23 5.04829e-06 -22 *2822:mprj_io_analog_pol[11] *2261:19 0.00145279 -23 *2822:mprj_io_dm[35] *2261:11 0 -24 *2822:mprj_io_holdover[11] *2261:11 0 -25 *663:14 *2261:19 0 -26 *1956:20 *2261:19 0.000472836 -27 *1956:20 *2261:23 7.2193e-05 -28 *1957:24 *2261:11 0 -29 *1957:30 *2261:10 0 -30 *1957:30 *2261:11 0.000184383 -31 *1994:14 *2261:19 2.01595e-05 -32 *1994:14 *2261:23 0.0016509 -33 *2117:8 *2261:11 0 -34 *2117:21 *2261:10 0.000182272 -35 *2186:13 *2261:10 7.7236e-05 -36 *2223:8 *2261:11 0.00188116 -37 *2260:11 *2261:23 0.000840599 -*RES -1 *2822:mprj_io_in[12] *2261:10 17.2208 -2 *2261:10 *2261:11 214.856 -3 *2261:11 *2261:16 15.2694 -4 *2261:16 *2261:19 45.9586 -5 *2261:19 *2261:23 31.0395 -6 *2261:23 *2261:26 35.7266 -7 *2261:26 *2261:27 102.531 -8 *2261:27 *2791:pad_gpio_in 3.36879 -9 *2791:pad_gpio_in *2261:31 0.0631875 -*END - -*D_NET *2262 0.0924413 -*CONN -*I *2792:pad_gpio_in I *D gpio_control_block -*I *2822:mprj_io_in[13] O *D chip_io -*CAP -1 *2792:pad_gpio_in 0.000759244 -2 *2822:mprj_io_in[13] 0.000533127 -3 *2262:11 0.00639768 -4 *2262:10 0.00617156 -5 *2262:11 *2300:26 0 -6 *2262:11 *2300:32 0.0297777 -7 *2262:11 *2375:14 0.00182968 -8 *2262:11 *2375:20 0.00540288 -9 *2262:11 *2414:14 0.0397132 -10 *2792:resetn *2792:pad_gpio_in 7.77309e-06 -11 *2792:serial_clock *2792:pad_gpio_in 2.95757e-05 -12 *103:41 *2792:pad_gpio_in 0.0011537 -13 *644:98 *2792:pad_gpio_in 4.3116e-06 -14 *2114:14 *2262:11 0.000456949 -15 *2117:38 *2262:10 0 -16 *2186:8 *2792:pad_gpio_in 0.00018279 -17 *2224:7 *2792:pad_gpio_in 2.11673e-05 -*RES -1 *2822:mprj_io_in[13] *2262:10 21.7969 -2 *2262:10 *2262:11 649.21 -3 *2262:11 *2792:pad_gpio_in 35.0238 -*END - -*D_NET *2263 0.0142549 -*CONN -*I *2793:pad_gpio_in I *D gpio_control_block -*I *2822:mprj_io_in[14] O *D chip_io -*CAP -1 *2793:pad_gpio_in 0.000541306 -2 *2822:mprj_io_in[14] 0.000217664 -3 *2263:11 0.00290615 -4 *2263:10 0.00258251 -5 *2793:pad_gpio_in *2301:15 0.000390319 -6 *2793:pad_gpio_in *2377:13 0.000307694 -7 *2263:10 *2415:14 0 -8 *2263:11 *2301:16 9.2346e-06 -9 *2263:11 *2339:8 0 -10 *2263:11 *2415:14 0.0062567 -11 *2793:serial_clock_out *2263:11 0.000802641 -12 *621:48 *2263:10 0 -13 *621:55 *2263:10 0.00013999 -14 *621:56 *2263:11 0 -15 *1959:14 *2263:11 6.22044e-05 -16 *2225:13 *2793:pad_gpio_in 3.84497e-05 -*RES -1 *2822:mprj_io_in[14] *2263:10 15.6963 -2 *2263:10 *2263:11 104.399 -3 *2263:11 *2793:pad_gpio_in 29.4778 -*END - -*D_NET *2264 0.065162 -*CONN -*I *2794:pad_gpio_in I *D gpio_control_block -*I *2822:mprj_io_in[15] O *D chip_io -*CAP -1 *2794:pad_gpio_in 0.000406973 -2 *2822:mprj_io_in[15] 0.00047002 -3 *2264:13 0.00285099 -4 *2264:12 0.00244402 -5 *2264:10 0.0152316 -6 *2264:9 0.0157017 -7 *106:80 *2264:10 0.00663748 -8 *622:56 *2264:10 0.000387123 -9 *1960:16 *2794:pad_gpio_in 0.00165184 -10 *1960:29 *2264:10 0.00707268 -11 *1998:13 *2264:13 0 -12 *1998:23 *2264:10 0.0108171 -13 *2036:23 *2264:10 0.000356404 -14 *2121:13 *2794:pad_gpio_in 0.000967899 -15 *2122:23 *2264:10 0.000156978 -16 *2226:18 *2794:pad_gpio_in 9.22833e-06 -*RES -1 *2822:mprj_io_in[15] *2264:9 17.727 -2 *2264:9 *2264:10 537.025 -3 *2264:10 *2264:12 4.5 -4 *2264:12 *2264:13 68.6876 -5 *2264:13 *2794:pad_gpio_in 31.9889 -*END - -*D_NET *2265 0.0367013 -*CONN -*I *2795:pad_gpio_in I *D gpio_control_block -*I *2822:mprj_io_in[16] O *D chip_io -*CAP -1 *2795:pad_gpio_in 0.000769458 -2 *2822:mprj_io_in[16] 0.000394846 -3 *2265:13 0.00198228 -4 *2265:8 0.00645034 -5 *2265:7 0.00563236 -6 *2265:8 *2822:mprj_io_vtrip_sel[16] 0.00066375 -7 *2265:13 *2591:13 2.87136e-06 -8 *106:62 *2265:8 0.000691706 -9 *106:80 *2265:8 0.0156464 -10 *623:17 *2265:8 1.55995e-05 -11 *646:38 *2265:8 1.65872e-05 -12 *647:17 *2265:8 0.00298926 -13 *1999:13 *2795:pad_gpio_in 0.00144585 -14 *2227:10 *2795:pad_gpio_in 0 -*RES -1 *2822:mprj_io_in[16] *2265:7 15.5897 -2 *2265:7 *2265:8 241.421 -3 *2265:8 *2265:13 42.8064 -4 *2265:13 *2795:pad_gpio_in 33.5895 -*END - -*D_NET *2266 0.0770817 -*CONN -*I *2796:pad_gpio_in I *D gpio_control_block -*I *2822:mprj_io_in[17] O *D chip_io -*CAP -1 *2796:pad_gpio_in 0.000111942 -2 *2822:mprj_io_in[17] 0.00035103 -3 *2266:14 0.00172718 -4 *2266:13 0.00161524 -5 *2266:11 0.00174226 -6 *2266:10 0.00174226 -7 *2266:8 0.00135381 -8 *2266:7 0.00170484 -9 *2266:8 *2418:23 0.000660025 -10 *2266:8 *2591:10 0.00012426 -11 *2266:14 *2304:11 0.00175678 -12 *2822:mprj_io_analog_sel[17] *2266:8 0.000685257 -13 *2822:mprj_io_dm[52] *2266:8 0.000231712 -14 *647:17 *2266:8 7.25324e-05 -15 *1962:23 *2266:8 0.00716213 -16 *2000:23 *2266:8 0.00643795 -17 *2129:11 *2266:14 0.021278 -18 *2130:11 *2266:14 0.0251864 -19 *2130:14 *2266:11 0.00312023 -20 *2190:14 *2266:11 1.78514e-05 -*RES -1 *2822:mprj_io_in[17] *2266:7 14.3439 -2 *2266:7 *2266:8 104.434 -3 *2266:8 *2266:10 4.5 -4 *2266:10 *2266:11 67.0266 -5 *2266:11 *2266:13 4.5 -6 *2266:13 *2266:14 263.605 -7 *2266:14 *2796:pad_gpio_in 8.46357 -*END - -*D_NET *2267 0.0441892 -*CONN -*I *2787:pad_gpio_in I *D gpio_control_block -*I *2822:mprj_io_in[18] O *D chip_io -*CAP -1 *2787:pad_gpio_in 0.000792628 -2 *2822:mprj_io_in[18] 0.000394846 -3 *2267:13 0.00200665 -4 *2267:8 0.00643006 -5 *2267:7 0.00561089 -6 *2267:8 *2822:mprj_io_slow_sel[18] 0.0016167 -7 *2267:8 *2593:8 0.00119449 -8 *108:17 *2267:8 7.92757e-06 -9 *624:17 *2267:8 0.0175583 -10 *648:17 *2267:8 0.000282235 -11 *2131:17 *2267:8 0.00829448 -12 *2229:13 *2787:pad_gpio_in 0 -*RES -1 *2822:mprj_io_in[18] *2267:7 15.5897 -2 *2267:7 *2267:8 260.278 -3 *2267:8 *2267:13 42.8064 -4 *2267:13 *2787:pad_gpio_in 28.0435 -*END - -*D_NET *2268 0.0169151 -*CONN -*I *2803:pad_gpio_in I *D gpio_control_block -*I *2822:mprj_io_in[19] O *D chip_io -*CAP -1 *2803:pad_gpio_in 0.00140704 -2 *2822:mprj_io_in[19] 0.00140704 -3 *2803:pad_gpio_in *2822:mprj_io_inp_dis[19] 0.00765305 -4 *100:17 *2803:pad_gpio_in 0.000139301 -5 *640:68 *2803:pad_gpio_in 0.000139301 -6 *1964:11 *2803:pad_gpio_in 0.00014667 -7 *2134:11 *2803:pad_gpio_in 0.00046344 -8 *2135:11 *2803:pad_gpio_in 0.00061298 -9 *2192:12 *2803:pad_gpio_in 0.00477386 -10 *2192:13 *2803:pad_gpio_in 0.000139878 -11 *2230:11 *2803:pad_gpio_in 3.25789e-05 -*RES -1 *2822:mprj_io_in[19] *2803:pad_gpio_in 15.1899 -*END - -*D_NET *2269 0.0176432 -*CONN -*I *2782:pad_gpio_in I *D gpio_control_block -*I *2822:mprj_io_in[1] O *D chip_io -*CAP -1 *2782:pad_gpio_in 0.00383027 -2 *2822:mprj_io_in[1] 0.00383027 -3 *2782:pad_gpio_in *2822:mprj_io_slow_sel[1] 0.00992454 -4 *2782:pad_gpio_in *2307:13 3.36517e-05 -5 *2231:15 *2782:pad_gpio_in 2.45091e-05 -*RES -1 *2822:mprj_io_in[1] *2782:pad_gpio_in 27.2361 -*END - -*D_NET *2270 0.0170227 -*CONN -*I *2810:pad_gpio_in I *D gpio_control_block -*I *2822:mprj_io_in[20] O *D chip_io -*CAP -1 *2810:pad_gpio_in 9.87386e-05 -2 *2822:mprj_io_in[20] 0.000807088 -3 *2270:20 0.000488827 -4 *2270:19 0.00119718 -5 *2270:19 *2822:mprj_io_slow_sel[20] 0.000538827 -6 *2270:19 *2308:22 0.00124122 -7 *2270:19 *2308:23 0.000543039 -8 *2270:19 *2422:16 0.000211344 -9 *2270:20 *2308:11 0.00599599 -10 *2270:20 *2308:22 0 -11 *2270:20 *2384:11 0.00590044 -*RES -1 *2822:mprj_io_in[20] *2270:19 46.0963 -2 *2270:19 *2270:20 62.839 -3 *2270:20 *2810:pad_gpio_in 8.38708 -*END - -*D_NET *2271 0.0131234 -*CONN -*I *2811:pad_gpio_in I *D gpio_control_block -*I *2822:mprj_io_in[21] O *D chip_io -*CAP -1 *2811:pad_gpio_in 7.53734e-05 -2 *2822:mprj_io_in[21] 0.000578948 -3 *2271:16 0.00162774 -4 *2271:15 0.00213131 -5 *2811:pad_gpio_in *2423:14 0 -6 *2271:15 *2309:16 0.000956727 -7 *2271:15 *2347:14 0 -8 *2822:mprj_io_analog_en[21] *2271:15 0.000540793 -9 *1967:13 *2271:16 3.99086e-06 -10 *1967:16 *2271:15 0.00137272 -11 *2005:17 *2271:15 0.000532369 -12 *2142:11 *2271:16 0.00486783 -13 *2142:16 *2271:15 3.8079e-05 -14 *2143:22 *2271:15 0.000209895 -15 *2233:11 *2271:16 0.000187575 -*RES -1 *2822:mprj_io_in[21] *2271:15 45.9009 -2 *2271:15 *2271:16 64.5028 -3 *2271:16 *2811:pad_gpio_in 8.38708 -*END - -*D_NET *2272 0.0160686 -*CONN -*I *2812:pad_gpio_in I *D gpio_control_block -*I *2822:mprj_io_in[22] O *D chip_io -*CAP -1 *2812:pad_gpio_in 0.000500203 -2 *2822:mprj_io_in[22] 0.00224674 -3 *2272:21 0.00152923 -4 *2272:18 0.00327576 -5 *2272:18 *2310:11 0.000112976 -6 *2272:18 *2424:17 0.000330788 -7 *2822:mprj_io_dm[67] *2272:18 0.000489932 -8 *1719:40 *2812:pad_gpio_in 9.16621e-05 -9 *1968:19 *2272:21 2.02035e-05 -10 *2006:19 *2272:21 1.57662e-05 -11 *2044:14 *2272:21 0 -12 *2144:11 *2812:pad_gpio_in 0.00197273 -13 *2144:19 *2272:18 0.00048572 -14 *2145:13 *2812:pad_gpio_in 4.64885e-05 -15 *2145:16 *2272:21 0.000713307 -16 *2145:19 *2272:18 0.000165394 -17 *2145:22 *2272:18 0.00122468 -18 *2146:11 *2812:pad_gpio_in 2.20702e-05 -19 *2234:17 *2812:pad_gpio_in 0.00282021 -20 *2234:17 *2272:21 4.69495e-06 -*RES -1 *2822:mprj_io_in[22] *2272:18 47.9537 -2 *2272:18 *2272:21 40.5903 -3 *2272:21 *2812:pad_gpio_in 41.354 -*END - -*D_NET *2273 0.0169498 -*CONN -*I *2813:pad_gpio_in I *D gpio_control_block -*I *2822:mprj_io_in[23] O *D chip_io -*CAP -1 *2813:pad_gpio_in 8.74429e-05 -2 *2822:mprj_io_in[23] 0.000515985 -3 *2273:20 0.000581619 -4 *2273:19 0.00101016 -5 *2273:19 *2822:mprj_io_slow_sel[23] 0.000441022 -6 *2273:19 *2597:16 1.92172e-05 -7 *2273:19 *2597:25 0.00124966 -8 *2273:19 *2710:11 0.000198881 -9 *2273:20 *2425:11 0.00588332 -10 *2147:11 *2273:20 0.00475514 -11 *2147:23 *2273:19 0.00178514 -12 *2235:11 *2273:20 0.000422194 -*RES -1 *2822:mprj_io_in[23] *2273:19 45.9764 -2 *2273:19 *2273:20 62.839 -3 *2273:20 *2813:pad_gpio_in 8.38708 -*END - -*D_NET *2274 0.0274006 -*CONN -*I *2814:pad_gpio_in I *D gpio_control_block -*I *2822:mprj_io_in[24] O *D chip_io -*CAP -1 *2814:pad_gpio_in 2.68684e-05 -2 *2822:mprj_io_in[24] 0.0059431 -3 *2274:21 2.68684e-05 -4 *2274:17 0.00129907 -5 *2274:16 0.00724217 -6 *2274:16 *2312:8 0.000592564 -7 *2274:16 *2350:8 0.000643125 -8 *2274:16 *2388:8 0.000566003 -9 *2274:16 *2464:11 0.000712027 -10 *2274:16 *2598:11 0 -11 *2274:17 *2350:5 0.000147428 -12 *2274:17 *2464:8 0.00556971 -13 *106:55 *2274:16 0 -14 *2236:5 *2274:17 0.00308271 -15 *2236:8 *2274:16 0.00154897 -*RES -1 *2822:mprj_io_in[24] *2274:16 31.6963 -2 *2274:16 *2274:17 101.493 -3 *2274:17 *2814:pad_gpio_in 3.36879 -4 *2814:pad_gpio_in *2274:21 0.0631875 -*END - -*D_NET *2275 0.00830685 -*CONN -*I *2815:pad_gpio_in I *D gpio_control_block -*I *2822:mprj_io_in[25] O *D chip_io -*CAP -1 *2815:pad_gpio_in 9.02153e-05 -2 *2822:mprj_io_in[25] 0.000173705 -3 *2275:11 0.00110674 -4 *2275:10 0.00119023 -5 *2815:pad_gpio_in *2313:7 5.76421e-05 -6 *2275:11 *2351:16 0.000432584 -7 *2275:11 *2351:29 0.00212897 -8 *2815:mgmt_gpio_out *2275:11 0.000253467 -9 *2822:mprj_io_analog_en[25] *2275:11 0.000117561 -10 *632:55 *2275:11 0.000717295 -11 *1722:33 *2275:11 5.39635e-06 -12 *2009:13 *2275:11 1.66771e-05 -13 *2154:13 *2275:11 0.00124358 -14 *2156:8 *2275:10 0 -15 *2156:8 *2275:11 0 -16 *2237:7 *2815:pad_gpio_in 5.76421e-05 -17 *2237:8 *2275:11 0.000715147 -*RES -1 *2822:mprj_io_in[25] *2275:10 13.7878 -2 *2275:10 *2275:11 67.2342 -3 *2275:11 *2815:pad_gpio_in 3.73793 -*END - -*D_NET *2276 0.00924885 -*CONN -*I *2816:pad_gpio_in I *D gpio_control_block -*I *2822:mprj_io_in[26] O *D chip_io -*CAP -1 *2816:pad_gpio_in 7.62032e-05 -2 *2822:mprj_io_in[26] 0.000182862 -3 *2276:17 0.00139735 -4 *2276:11 0.00187635 -5 *2276:10 0.000738059 -6 *2276:10 *2428:8 0 -7 *2276:11 *2390:12 0.000107318 -8 *2276:11 *2600:19 0.00133366 -9 *116:10 *2276:17 0.000429165 -10 *695:28 *2276:11 0.00260727 -11 *2010:12 *2276:11 0.000500617 -*RES -1 *2822:mprj_io_in[26] *2276:10 14.0084 -2 *2276:10 *2276:11 45.8487 -3 *2276:11 *2276:17 49.9998 -4 *2276:17 *2816:pad_gpio_in 3.59493 -*END - -*D_NET *2277 0.00648193 -*CONN -*I *2817:pad_gpio_in I *D gpio_control_block -*I *2822:mprj_io_in[27] O *D chip_io -*CAP -1 *2817:pad_gpio_in 5.94977e-05 -2 *2822:mprj_io_in[27] 0.000181661 -3 *2277:11 0.00175404 -4 *2277:10 0.0018762 -5 *2817:pad_gpio_in *2315:7 6.89476e-05 -6 *2277:10 *2391:8 0 -7 *2277:10 *2602:11 0 -8 *2817:mgmt_gpio_out *2277:11 0.000469124 -9 *117:8 *2277:11 0.00180392 -10 *117:21 *2277:11 0.000182929 -11 *1724:37 *2277:11 1.66771e-05 -12 *2162:12 *2277:11 0 -13 *2163:12 *2277:11 0 -14 *2239:7 *2817:pad_gpio_in 6.89476e-05 -*RES -1 *2822:mprj_io_in[27] *2277:10 14.0084 -2 *2277:10 *2277:11 67.2342 -3 *2277:11 *2817:pad_gpio_in 3.73793 -*END - -*D_NET *2278 0.00797894 -*CONN -*I *2818:pad_gpio_in I *D gpio_control_block -*I *2822:mprj_io_in[28] O *D chip_io -*CAP -1 *2818:pad_gpio_in 5.94977e-05 -2 *2822:mprj_io_in[28] 0.000167046 -3 *2278:11 0.0014947 -4 *2278:10 0.00160225 -5 *2818:pad_gpio_in *2316:7 6.89476e-05 -6 *2278:10 *2430:8 0 -7 *2278:11 *2316:8 0.0036273 -8 *2818:mgmt_gpio_out *2278:11 0.000469124 -9 *1725:33 *2278:11 1.66771e-05 -10 *1974:13 *2278:11 0.000119662 -11 *2012:13 *2278:11 0.000119662 -12 *2050:37 *2278:11 0 -13 *2240:7 *2818:pad_gpio_in 6.89476e-05 -14 *2240:8 *2278:11 0.000165125 -*RES -1 *2822:mprj_io_in[28] *2278:10 13.5985 -2 *2278:10 *2278:11 67.2342 -3 *2278:11 *2818:pad_gpio_in 3.73793 -*END - -*D_NET *2279 0.00557147 -*CONN -*I *2804:pad_gpio_in I *D gpio_control_block -*I *2822:mprj_io_in[29] O *D chip_io -*CAP -1 *2804:pad_gpio_in 5.67007e-05 -2 *2822:mprj_io_in[29] 0.00018167 -3 *2279:11 0.00246112 -4 *2279:10 0.00258609 -5 *2804:pad_gpio_in *2317:9 0.000128167 -6 *2804:mgmt_gpio_out *2279:11 0.00015485 -7 *1726:33 *2279:11 2.87136e-06 -8 *1975:18 *2279:10 0 -9 *1975:18 *2279:11 0 -*RES -1 *2822:mprj_io_in[29] *2279:10 14.003 -2 *2279:10 *2279:11 67.2342 -3 *2279:11 *2804:pad_gpio_in 3.66144 -*END - -*D_NET *2280 0.0226108 -*CONN -*I *2797:pad_gpio_in I *D gpio_control_block -*I *2822:mprj_io_in[2] O *D chip_io -*CAP -1 *2797:pad_gpio_in 0.000770285 -2 *2822:mprj_io_in[2] 0.000579712 -3 *2280:11 0.00259372 -4 *2280:10 0.00240314 -5 *2797:pad_gpio_in *2318:13 4.80459e-05 -6 *2797:pad_gpio_in *2394:13 0.000119662 -7 *2280:11 *2318:14 0.00685214 -8 *2280:11 *2432:14 0.00872746 -9 *626:66 *2280:11 0 -10 *626:72 *2280:10 0 -11 *669:20 *2280:11 0 -12 *669:30 *2797:pad_gpio_in 0.000130139 -13 *1737:20 *2280:11 0 -14 *2014:14 *2280:11 0.000152316 -15 *2148:14 *2280:11 7.14054e-05 -16 *2242:13 *2797:pad_gpio_in 0.000162799 -*RES -1 *2822:mprj_io_in[2] *2280:10 23.4607 -2 *2280:10 *2280:11 150.492 -3 *2280:11 *2797:pad_gpio_in 31.7811 -*END - -*D_NET *2281 0.00554681 -*CONN -*I *2805:pad_gpio_in I *D gpio_control_block -*I *2822:mprj_io_in[30] O *D chip_io -*CAP -1 *2805:pad_gpio_in 5.94977e-05 -2 *2822:mprj_io_in[30] 0.000181661 -3 *2281:11 0.00252279 -4 *2281:10 0.00264496 -5 *2805:pad_gpio_in *2319:7 6.89476e-05 -6 *2805:mgmt_gpio_out *2281:11 0 -7 *642:8 *2281:10 0 -8 *642:8 *2281:11 0 -9 *2172:16 *2281:10 0 -10 *2243:7 *2805:pad_gpio_in 6.89476e-05 -*RES -1 *2822:mprj_io_in[30] *2281:10 14.0084 -2 *2281:10 *2281:11 67.2342 -3 *2281:11 *2805:pad_gpio_in 3.73793 -*END - -*D_NET *2282 0.00655993 -*CONN -*I *2806:pad_gpio_in I *D gpio_control_block -*I *2822:mprj_io_in[31] O *D chip_io -*CAP -1 *2806:pad_gpio_in 6.60922e-05 -2 *2822:mprj_io_in[31] 0.000181661 -3 *2282:11 0.00214739 -4 *2282:10 0.00226296 -5 *2806:pad_gpio_in *2320:7 5.02212e-05 -6 *2282:10 *2434:8 0 -7 *2282:11 *2434:8 0 -8 *643:13 *2282:11 0.00075158 -9 *2174:12 *2282:11 0.00104981 -10 *2244:7 *2806:pad_gpio_in 5.02212e-05 -*RES -1 *2822:mprj_io_in[31] *2282:10 14.0084 -2 *2282:10 *2282:11 66.819 -3 *2282:11 *2806:pad_gpio_in 3.66144 -*END - -*D_NET *2283 0.00805527 -*CONN -*I *2807:pad_gpio_in I *D gpio_control_block -*I *2822:mprj_io_in[32] O *D chip_io -*CAP -1 *2807:pad_gpio_in 0.000109633 -2 *2822:mprj_io_in[32] 0.000181661 -3 *2283:11 0.00147083 -4 *2283:10 0.00154285 -5 *2807:pad_gpio_in *2822:mprj_io_inp_dis[32] 5.56976e-05 -6 *2807:pad_gpio_in *2397:7 0 -7 *2283:11 *2822:mprj_io_inp_dis[32] 0.00056713 -8 *2283:11 *2473:8 0.00191494 -9 *1979:8 *2283:10 0 -10 *1979:8 *2283:11 0 -11 *2177:11 *2283:11 0.00214384 -12 *2245:7 *2807:pad_gpio_in 6.86894e-05 -*RES -1 *2822:mprj_io_in[32] *2283:10 14.0084 -2 *2283:10 *2283:11 67.2342 -3 *2283:11 *2807:pad_gpio_in 3.81442 -*END - -*D_NET *2284 0.00757223 -*CONN -*I *2808:pad_gpio_in I *D gpio_control_block -*I *2822:mprj_io_in[33] O *D chip_io -*CAP -1 *2808:pad_gpio_in 0.00130527 -2 *2822:mprj_io_in[33] 0.00136118 -3 *2284:16 0.00216307 -4 *2284:11 0.00221897 -5 *2284:11 *2436:8 0 -6 *1980:15 *2284:11 0 -7 *2018:15 *2284:16 0.000523745 -8 *2056:13 *2284:11 0 -*RES -1 *2822:mprj_io_in[33] *2284:11 46.4538 -2 *2284:11 *2284:16 34.126 -3 *2284:16 *2808:pad_gpio_in 38.4395 -*END - -*D_NET *2285 0.00652984 -*CONN -*I *2809:pad_gpio_in I *D gpio_control_block -*I *2822:mprj_io_in[34] O *D chip_io -*CAP -1 *2809:pad_gpio_in 0.00011086 -2 *2822:mprj_io_in[34] 0.000167046 -3 *2285:11 0.00249074 -4 *2285:10 0.00254693 -5 *2809:pad_gpio_in *2323:7 4.99467e-05 -6 *2285:10 *2822:mprj_io_slow_sel[34] 0 -7 *2285:11 *2822:mprj_io_oeb[34] 0.00062857 -8 *2285:11 *2822:mprj_io_slow_sel[34] 0 -9 *2809:mgmt_gpio_out *2285:11 0.000469124 -10 *2822:mprj_io_ib_mode_sel[34] *2809:pad_gpio_in 4.99467e-05 -11 *1732:37 *2285:11 1.66771e-05 -12 *2073:12 *2285:11 0 -*RES -1 *2822:mprj_io_in[34] *2285:10 13.5985 -2 *2285:10 *2285:11 67.6495 -3 *2285:11 *2809:pad_gpio_in 3.73793 -*END - -*D_NET *2286 0.0107509 -*CONN -*I *2783:pad_gpio_in I *D gpio_control_block -*I *2822:mprj_io_in[35] O *D chip_io -*CAP -1 *2783:pad_gpio_in 0.000583174 -2 *2822:mprj_io_in[35] 0.00056901 -3 *2286:11 0.00173261 -4 *2286:10 0.00171845 -5 *2783:pad_gpio_in *2324:7 0.000175947 -6 *2286:11 *2324:8 0 -7 *1745:13 *2286:11 0.000325321 -8 *1982:14 *2286:11 0.00242582 -9 *2020:14 *2286:11 0.00239977 -10 *2074:23 *2286:10 0 -11 *2075:8 *2286:11 0.000596244 -12 *2210:7 *2783:pad_gpio_in 0 -13 *2210:8 *2286:11 9.97028e-05 -14 *2248:7 *2783:pad_gpio_in 0.000124846 -*RES -1 *2822:mprj_io_in[35] *2286:10 21.4805 -2 *2286:10 *2286:11 68.48 -3 *2286:11 *2783:pad_gpio_in 5.19125 -*END - -*D_NET *2287 0.0635539 -*CONN -*I *2784:pad_gpio_in I *D gpio_control_block -*I *2822:mprj_io_in[36] O *D chip_io -*CAP -1 *2784:pad_gpio_in 0.00128444 -2 *2822:mprj_io_in[36] 0.000985815 -3 *2287:28 0.00205913 -4 *2287:25 0.00236217 -5 *2287:17 0.00246073 -6 *2287:16 0.000873253 -7 *2287:14 0.00787269 -8 *2287:13 0.0088585 -9 *2784:gpio_defaults[0] *2287:25 1.7767e-06 -10 *2784:resetn_out *2784:pad_gpio_in 0.000144202 -11 *2784:serial_clock_out *2784:pad_gpio_in 0.00124379 -12 *108:45 *2287:13 0.000455138 -13 *108:77 *2287:17 0.00272784 -14 *108:88 *2287:25 0.000831757 -15 *108:88 *2287:28 0.0143405 -16 *648:40 *2287:17 0.00275499 -17 *648:51 *2287:25 1.72594e-05 -18 *648:51 *2287:28 0.0142799 -19 *1983:16 *2287:13 0 -*RES -1 *2822:mprj_io_in[36] *2287:13 41.103 -2 *2287:13 *2287:14 220.346 -3 *2287:14 *2287:16 4.5 -4 *2287:16 *2287:17 58.5139 -5 *2287:17 *2287:25 42.7496 -6 *2287:25 *2287:28 21.5468 -7 *2287:28 *2784:pad_gpio_in 46.2347 -*END - -*D_NET *2288 0.00881169 -*CONN -*I *2785:pad_gpio_in I *D gpio_control_block -*I *2822:mprj_io_in[37] O *D chip_io -*CAP -1 *2785:pad_gpio_in 0.000662486 -2 *2822:mprj_io_in[37] 0.00102888 -3 *2288:19 0.00187477 -4 *2288:13 0.00224116 -5 *2785:pad_gpio_in *2326:15 7.29433e-05 -6 *2288:13 *2326:27 0.000186963 -7 *2022:13 *2288:13 0.000158225 -8 *2022:14 *2288:13 0 -9 *2083:15 *2785:pad_gpio_in 0 -10 *2083:15 *2288:19 0 -11 *2212:16 *2785:pad_gpio_in 0.000460277 -12 *2212:16 *2288:19 0.00110392 -13 *2250:15 *2785:pad_gpio_in 0.000703474 -14 *2250:29 *2288:19 0.000318594 -*RES -1 *2822:mprj_io_in[37] *2288:13 43.1792 -2 *2288:13 *2288:19 43.1937 -3 *2288:19 *2785:pad_gpio_in 27.1331 -*END - -*D_NET *2289 0.0168928 -*CONN -*I *2798:pad_gpio_in I *D gpio_control_block -*I *2822:mprj_io_in[3] O *D chip_io -*CAP -1 *2798:pad_gpio_in 0.000605391 -2 *2822:mprj_io_in[3] 0.000410045 -3 *2289:15 0.00195416 -4 *2289:13 0.0013943 -5 *2289:11 0.00150391 -6 *2289:10 0.00186842 -7 *2798:pad_gpio_in *2327:15 4.69062e-05 -8 *2798:pad_gpio_in *2365:8 5.88867e-05 -9 *2798:pad_gpio_in *2403:13 0 -10 *2289:11 *2441:16 0 -11 *2289:15 *2327:16 0.00065101 -12 *2289:15 *2403:14 0.000317325 -13 *2289:15 *2479:14 0.00466997 -14 *111:36 *2289:10 0 -15 *670:14 *2289:11 0.00112293 -16 *670:14 *2289:15 0 -17 *1985:16 *2289:11 0 -18 *2023:14 *2289:11 0 -19 *2023:14 *2289:15 0.00207258 -20 *2079:14 *2289:11 0 -21 *2084:14 *2289:15 0.000178513 -22 *2251:13 *2798:pad_gpio_in 3.84497e-05 -*RES -1 *2822:mprj_io_in[3] *2289:10 19.0239 -2 *2289:10 *2289:11 53.6775 -3 *2289:11 *2289:13 1.29461 -4 *2289:13 *2289:15 99.0621 -5 *2289:15 *2798:pad_gpio_in 26.44 -*END - -*D_NET *2290 0.0213337 -*CONN -*I *2799:pad_gpio_in I *D gpio_control_block -*I *2822:mprj_io_in[4] O *D chip_io -*CAP -1 *2799:pad_gpio_in 0.000556102 -2 *2822:mprj_io_in[4] 0.000578378 -3 *2290:11 0.0029119 -4 *2290:10 0.00293417 -5 *2799:pad_gpio_in *2328:15 0.00119931 -6 *2799:pad_gpio_in *2366:8 0.000117446 -7 *2799:pad_gpio_in *2404:13 0.000171478 -8 *2290:11 *2442:14 0.00872745 -9 *2822:mprj_io_holdover[4] *2799:pad_gpio_in 0 -10 *116:49 *2290:11 0.00151573 -11 *671:14 *2290:10 0 -12 *1737:20 *2290:11 0.00249068 -13 *1738:20 *2290:11 0 -14 *2252:15 *2799:pad_gpio_in 0.000131094 -*RES -1 *2822:mprj_io_in[4] *2290:10 24.1924 -2 *2290:10 *2290:11 150.492 -3 *2290:11 *2799:pad_gpio_in 31.9204 -*END - -*D_NET *2291 0.022965 -*CONN -*I *2800:pad_gpio_in I *D gpio_control_block -*I *2822:mprj_io_in[5] O *D chip_io -*CAP -1 *2800:pad_gpio_in 0.00216655 -2 *2822:mprj_io_in[5] 0.00216655 -3 *2800:pad_gpio_in *2822:mprj_io_slow_sel[5] 0.0100761 -4 *2800:pad_gpio_in *2329:13 3.26002e-05 -5 *2822:mprj_io_dm[16] *2800:pad_gpio_in 0.00848729 -6 *654:43 *2800:pad_gpio_in 0 -7 *2253:7 *2800:pad_gpio_in 3.59644e-05 -*RES -1 *2822:mprj_io_in[5] *2800:pad_gpio_in 29.336 -*END - -*D_NET *2292 0.024095 -*CONN -*I *2801:pad_gpio_in I *D gpio_control_block -*I *2822:mprj_io_in[6] O *D chip_io -*CAP -1 *2801:pad_gpio_in 0.000833537 -2 *2822:mprj_io_in[6] 0.000538663 -3 *2292:11 0.00261151 -4 *2292:10 0.00231664 -5 *2801:pad_gpio_in *2330:15 4.69062e-05 -6 *2801:pad_gpio_in *2368:8 0.00012693 -7 *2801:pad_gpio_in *2406:13 0 -8 *2292:11 *2330:16 0.000157405 -9 *2292:11 *2444:16 0.00870142 -10 *116:49 *2292:11 0.00143627 -11 *630:35 *2292:10 0.00019711 -12 *1739:20 *2292:11 0 -13 *2092:14 *2292:11 0.00709015 -14 *2254:7 *2801:pad_gpio_in 3.84497e-05 -*RES -1 *2822:mprj_io_in[6] *2292:10 23.4607 -2 *2292:10 *2292:11 150.077 -3 *2292:11 *2801:pad_gpio_in 32.2592 -*END - -*D_NET *2293 0.043297 -*CONN -*I *2802:pad_gpio_in I *D gpio_control_block -*I *2822:mprj_io_in[7] O *D chip_io -*CAP -1 *2802:pad_gpio_in 0.000315143 -2 *2822:mprj_io_in[7] 0.000264914 -3 *2293:11 0.00856267 -4 *2293:10 0.00851245 -5 *2802:pad_gpio_in *2331:13 2.50257e-05 -6 *2293:10 *2822:mprj_io_slow_sel[7] 0.000533277 -7 *2293:11 *2294:11 0.00700938 -8 *2293:11 *2331:14 0 -9 *2293:11 *2445:14 0.00746047 -10 *2293:11 *2446:14 0.00596718 -11 *655:51 *2802:pad_gpio_in 7.22422e-05 -12 *656:40 *2802:pad_gpio_in 0.000644917 -13 *674:10 *2802:pad_gpio_in 6.7566e-05 -14 *2095:8 *2293:11 0 -15 *2096:13 *2802:pad_gpio_in 0.000151484 -16 *2097:8 *2293:11 0.00363493 -17 *2099:14 *2293:11 5.03106e-05 -18 *2255:7 *2802:pad_gpio_in 2.50257e-05 -*RES -1 *2822:mprj_io_in[7] *2293:10 17.4995 -2 *2293:10 *2293:11 382.203 -3 *2293:11 *2802:pad_gpio_in 23.3911 -*END - -*D_NET *2294 0.0572721 -*CONN -*I *2786:pad_gpio_in I *D gpio_control_block -*I *2822:mprj_io_in[8] O *D chip_io -*CAP -1 *2786:pad_gpio_in 0.000341923 -2 *2822:mprj_io_in[8] 0.000369168 -3 *2294:11 0.00422445 -4 *2294:10 0.00425169 -5 *2786:pad_gpio_in *2332:13 3.74656e-05 -6 *2294:10 *2409:29 3.58458e-05 -7 *2294:11 *2822:mprj_io_slow_sel[8] 1.55666e-05 -8 *2294:11 *2332:14 0.0238015 -9 *2294:11 *2408:10 0.00356628 -10 *2294:11 *2446:14 0.0047478 -11 *2294:11 *2446:18 0.00741064 -12 *2822:mprj_io_analog_en[8] *2294:10 0 -13 *116:65 *2786:pad_gpio_in 0.000113149 -14 *632:85 *2786:pad_gpio_in 0.000124334 -15 *657:55 *2786:pad_gpio_in 0.000914023 -16 *2099:13 *2786:pad_gpio_in 0 -17 *2099:14 *2294:11 0.000223569 -18 *2256:9 *2786:pad_gpio_in 8.53327e-05 -19 *2293:11 *2294:11 0.00700938 -*RES -1 *2822:mprj_io_in[8] *2294:10 17.7782 -2 *2294:10 *2294:11 389.678 -3 *2294:11 *2786:pad_gpio_in 24.7762 -*END - -*D_NET *2295 0.0490555 -*CONN -*I *2788:pad_gpio_in I *D gpio_control_block -*I *2822:mprj_io_in[9] O *D chip_io -*CAP -1 *2788:pad_gpio_in 0.000855667 -2 *2822:mprj_io_in[9] 0.000478488 -3 *2295:11 0.00676263 -4 *2295:10 0.00638545 -5 *2788:pad_gpio_in *2333:13 0.000467559 -6 *2295:10 *2409:35 0 -7 *2295:11 *2332:14 0.000423832 -8 *2295:11 *2333:14 9.2346e-06 -9 *2295:11 *2409:30 0 -10 *2295:11 *2411:14 0.00269924 -11 *2295:11 *2447:14 0.0234466 -12 *1991:14 *2295:11 0 -13 *2029:14 *2295:10 0 -14 *2098:14 *2295:11 8.92567e-05 -15 *2099:14 *2295:11 0.00388261 -16 *2103:8 *2788:pad_gpio_in 0 -17 *2103:24 *2295:11 0 -18 *2103:35 *2295:10 0.000131809 -19 *2219:8 *2295:11 0.00180025 -20 *2256:10 *2295:11 0.00122857 -21 *2257:7 *2788:pad_gpio_in 4.31122e-05 -22 *2259:11 *2295:11 0.000351142 -*RES -1 *2822:mprj_io_in[9] *2295:10 21.2423 -2 *2295:10 *2295:11 380.957 -3 *2295:11 *2788:pad_gpio_in 34.4692 -*END - -*D_NET *2296 0.018177 -*CONN -*I *2822:mprj_io_inp_dis[0] I *D chip_io -*I *2781:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_inp_dis[0] 0.000575117 -2 *2781:pad_gpio_inenb 0.00052832 -3 *2296:14 0.0019865 -4 *2296:13 0.0019397 -5 *2296:13 *2334:7 0 -6 *2296:13 *2334:8 3.35007e-05 -7 *2296:13 *2372:13 0.000896908 -8 *2296:13 *2448:13 0 -9 *2296:14 *2448:14 0 -10 *2781:pad_gpio_in *2296:13 3.64393e-05 -11 *2822:mprj_io_analog_pol[0] *2822:mprj_io_inp_dis[0] 0 -12 *1727:20 *2296:14 0 -13 *1992:14 *2296:14 0.00590433 -14 *2068:14 *2296:14 0.00619082 -15 *2093:14 *2296:14 8.53782e-05 -*RES -1 *2781:pad_gpio_inenb *2296:13 27.9044 -2 *2296:13 *2296:14 115.196 -3 *2296:14 *2822:mprj_io_inp_dis[0] 23.876 -*END - -*D_NET *2297 0.0665759 -*CONN -*I *2822:mprj_io_inp_dis[10] I *D chip_io -*I *2789:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_inp_dis[10] 0.000403275 -2 *2789:pad_gpio_inenb 0.000557755 -3 *2297:8 0.00669243 -4 *2297:7 0.00684691 -5 *2297:7 *2373:7 0.000114501 -6 *2297:8 *2409:30 0.000910521 -7 *2297:8 *2411:14 0.0022083 -8 *2297:8 *2612:13 0.000154747 -9 *2789:pad_gpio_in *2297:7 1.94242e-05 -10 *2789:serial_clock *2297:8 0.00080632 -11 *2822:mprj_io_analog_en[10] *2822:mprj_io_inp_dis[10] 0.000182819 -12 *1991:14 *2297:8 0.00124056 -13 *2067:13 *2297:7 5.71289e-05 -14 *2067:14 *2297:8 0.000867592 -15 *2067:18 *2297:8 0.00058725 -16 *2103:36 *2297:8 0.000524071 -17 *2108:14 *2822:mprj_io_inp_dis[10] 0 -18 *2110:8 *2297:8 7.3119e-05 -19 *2183:8 *2297:8 0.0297098 -20 *2184:8 *2297:8 0.0112341 -21 *2219:8 *2297:8 0.00112255 -22 *2221:7 *2297:7 0 -23 *2221:8 *2297:8 0 -24 *2259:11 *2297:8 0.00226274 -*RES -1 *2789:pad_gpio_inenb *2297:7 1.93138 -2 *2297:7 *2297:8 59.1456 -3 *2297:8 *2822:mprj_io_inp_dis[10] 6.76021 -*END - -*D_NET *2298 0.0587053 -*CONN -*I *2822:mprj_io_inp_dis[11] I *D chip_io -*I *2790:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_inp_dis[11] 0.000493696 -2 *2790:pad_gpio_inenb 0.000225379 -3 *2298:34 0.00101653 -4 *2298:26 0.00166522 -5 *2298:25 0.00130814 -6 *2298:20 0.00170861 -7 *2298:19 0.00170253 -8 *2298:14 0.00251511 -9 *2298:13 0.00252118 -10 *2298:8 0.0022396 -11 *2298:7 0.00229921 -12 *2822:mprj_io_inp_dis[11] *2613:13 0 -13 *2298:7 *2374:7 8.96945e-05 -14 *2298:8 *2790:user_gpio_oeb 0 -15 *2298:8 *2790:user_gpio_out 0 -16 *2298:8 *2335:8 0.000566708 -17 *2298:8 *2412:13 0 -18 *2298:14 *2411:14 0 -19 *2298:14 *2412:14 0 -20 *2298:14 *2612:13 0.00065422 -21 *2298:20 *2336:8 0.000419524 -22 *2298:34 *2374:8 0.000231187 -23 *2298:34 *2375:14 0.000719774 -24 *2298:34 *2413:20 0.00150615 -25 *2790:pad_gpio_in *2298:7 1.94242e-05 -26 *2790:serial_clock *2298:8 0 -27 *2790:serial_clock_out *2298:8 0 -28 *2790:serial_data_in *2298:8 0 -29 *2790:serial_load *2298:8 0 -30 *2790:serial_load_out *2298:8 0 -31 *2791:mgmt_gpio_out *2298:20 0 -32 *2822:mprj_io_analog_en[10] *2298:14 0.000275937 -33 *2822:mprj_io_analog_pol[11] *2822:mprj_io_inp_dis[11] 0 -34 *1957:17 *2298:20 0 -35 *2108:8 *2298:8 0.00571264 -36 *2108:8 *2298:14 0 -37 *2108:13 *2298:13 0.000428132 -38 *2108:14 *2298:14 0.00730381 -39 *2108:19 *2298:19 0.000436825 -40 *2108:20 *2298:20 0.00414288 -41 *2108:20 *2298:26 0 -42 *2108:25 *2298:25 0.000428132 -43 *2108:26 *2298:26 0.00788338 -44 *2112:14 *2298:26 0.00790942 -45 *2183:8 *2298:14 0.000822667 -46 *2184:7 *2298:7 0 -47 *2184:8 *2298:14 0.000264661 -48 *2223:8 *2298:26 0.00119492 -49 *2259:11 *2298:14 0 -*RES -1 *2790:pad_gpio_inenb *2298:7 3.9674 -2 *2298:7 *2298:8 92.1493 -3 *2298:8 *2298:13 14.7148 -4 *2298:13 *2298:14 118.103 -5 *2298:14 *2298:19 14.7148 -6 *2298:19 *2298:20 67.4418 -7 *2298:20 *2298:25 14.7148 -8 *2298:25 *2298:26 127.653 -9 *2298:26 *2298:34 41.8066 -10 *2298:34 *2822:mprj_io_inp_dis[11] 15.7725 -*END - -*D_NET *2299 0.0666056 -*CONN -*I *2822:mprj_io_inp_dis[12] I *D chip_io -*I *2791:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_inp_dis[12] 0.000385991 -2 *2791:pad_gpio_inenb 0.000635038 -3 *2299:18 0.00088291 -4 *2299:16 0.000520597 -5 *2299:14 0.0031739 -6 *2299:13 0.00378526 -7 *2822:mprj_io_inp_dis[12] *2614:11 0 -8 *2299:13 *2375:13 0.000268171 -9 *2299:13 *2413:13 0.000966624 -10 *2299:13 *2451:7 0.00010238 -11 *2299:14 *2451:8 0.00355165 -12 *2299:18 *2300:26 0.0011394 -13 *2299:18 *2451:8 0.00054924 -14 *2791:resetn *2299:13 0 -15 *2822:mprj_io_analog_pol[12] *2822:mprj_io_inp_dis[12] 0 -16 *1957:17 *2299:13 0.000172732 -17 *1995:19 *2299:13 0 -18 *2033:18 *2299:18 0.000210876 -19 *2111:14 *2299:14 0.0223762 -20 *2111:16 *2299:16 3.3344e-06 -21 *2111:18 *2299:14 4.55455e-05 -22 *2111:18 *2299:18 0.00305737 -23 *2112:14 *2299:14 0.0224742 -24 *2112:14 *2299:18 2.01595e-05 -25 *2112:21 *2299:16 6.67835e-06 -26 *2112:21 *2299:18 0.00225862 -27 *2117:24 *2299:18 1.87125e-05 -28 *2223:7 *2299:13 0 -*RES -1 *2791:pad_gpio_inenb *2299:13 32.5267 -2 *2299:13 *2299:14 362.063 -3 *2299:14 *2299:16 0.732798 -4 *2299:16 *2299:18 56.0224 -5 *2299:18 *2822:mprj_io_inp_dis[12] 18.1934 -*END - -*D_NET *2300 0.0830556 -*CONN -*I *2822:mprj_io_inp_dis[13] I *D chip_io -*I *2792:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_inp_dis[13] 0.000411543 -2 *2792:pad_gpio_inenb 5.90925e-05 -3 *2300:32 0.00702367 -4 *2300:31 0.00669619 -5 *2300:26 0.000816688 -6 *2300:25 0.00110389 -7 *2300:20 0.00139123 -8 *2300:15 0.00246789 -9 *2300:10 0.00318932 -10 *2300:9 0.00180049 -11 *2822:mprj_io_inp_dis[13] *2615:13 0 -12 *2300:10 *2792:user_gpio_out 0.000250342 -13 *2300:10 *2338:10 0.00522935 -14 *2300:10 *2376:10 7.61581e-05 -15 *2300:26 *2375:20 0 -16 *2300:26 *2451:8 0.000465593 -17 *2300:31 *2822:mprj_io_out[12] 0.000117045 -18 *2300:32 *2414:14 0.000339239 -19 *2792:serial_clock_out *2300:10 0.000277119 -20 *617:32 *2300:20 0 -21 *1995:26 *2300:20 0.00125814 -22 *1996:14 *2300:32 0.0143604 -23 *2033:18 *2300:26 0.000308866 -24 *2112:21 *2300:25 5.04829e-06 -25 *2114:14 *2300:32 0.000500906 -26 *2117:24 *2300:26 0.00195329 -27 *2117:26 *2300:26 8.35615e-06 -28 *2186:14 *2300:20 0.00159593 -29 *2186:20 *2300:26 0.00043274 -30 *2186:20 *2300:32 0 -31 *2186:32 *2300:32 0 -32 *2262:11 *2300:26 0 -33 *2262:11 *2300:32 0.0297777 -34 *2299:18 *2300:26 0.0011394 -*RES -1 *2792:pad_gpio_inenb *2300:9 3.59493 -2 *2300:9 *2300:10 88.4121 -3 *2300:10 *2300:15 44.1088 -4 *2300:15 *2300:20 46.7525 -5 *2300:20 *2300:25 15.824 -6 *2300:25 *2300:26 46.6792 -7 *2300:26 *2300:31 11.9418 -8 *2300:31 *2300:32 517.575 -9 *2300:32 *2822:mprj_io_inp_dis[13] 19.0267 -*END - -*D_NET *2301 0.0246885 -*CONN -*I *2822:mprj_io_inp_dis[14] I *D chip_io -*I *2793:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_inp_dis[14] 0.000316974 -2 *2793:pad_gpio_inenb 0.000443696 -3 *2301:16 0.00162529 -4 *2301:15 0.00175201 -5 *2822:mprj_io_inp_dis[14] *2616:11 0 -6 *2301:15 *2377:13 5.34447e-05 -7 *2301:15 *2415:13 0.000901284 -8 *2301:15 *2453:13 0.000742567 -9 *2301:16 *2339:20 0.00183248 -10 *2301:16 *2415:14 0.0069713 -11 *2793:pad_gpio_in *2301:15 0.000390319 -12 *2793:resetn *2301:15 3.58208e-05 -13 *2793:serial_clock_out *2301:16 0.00126082 -14 *2822:mprj_io_analog_pol[14] *2822:mprj_io_inp_dis[14] 0 -15 *621:48 *2301:16 1.87125e-05 -16 *1959:14 *2301:16 0.0081817 -17 *1997:16 *2301:16 0.000152805 -18 *2120:16 *2301:16 0 -19 *2263:11 *2301:16 9.2346e-06 -*RES -1 *2793:pad_gpio_inenb *2301:15 31.228 -2 *2301:15 *2301:16 142.602 -3 *2301:16 *2822:mprj_io_inp_dis[14] 16.3903 -*END - -*D_NET *2302 0.0977439 -*CONN -*I *2822:mprj_io_inp_dis[15] I *D chip_io -*I *2794:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_inp_dis[15] 0.000346504 -2 *2794:pad_gpio_inenb 0.00104529 -3 *2302:39 0.0027027 -4 *2302:36 0.00306187 -5 *2302:31 0.00104538 -6 *2302:30 0.00088142 -7 *2302:25 0.00370729 -8 *2302:24 0.00421086 -9 *2302:30 *2454:16 0.000304684 -10 *2302:31 *2454:17 0.00502072 -11 *2302:36 *2378:30 0 -12 *2302:39 *2378:33 0.0332655 -13 *2302:39 *2416:27 0.0359284 -14 *2822:mprj_io_analog_pol[15] *2822:mprj_io_inp_dis[15] 0 -15 *2036:11 *2302:25 0.00022875 -16 *2036:23 *2302:39 0.000333928 -17 *2122:11 *2302:25 0 -18 *2123:17 *2302:31 0.00502492 -19 *2188:13 *2302:24 0.000220183 -20 *2226:18 *2302:24 4.97307e-06 -21 *2226:24 *2302:30 0.000410435 -*RES -1 *2794:pad_gpio_inenb *2302:24 44.3507 -2 *2302:24 *2302:25 89.46 -3 *2302:25 *2302:30 31.5946 -4 *2302:30 *2302:31 52.8561 -5 *2302:31 *2302:36 26.9337 -6 *2302:36 *2302:39 49.6952 -7 *2302:39 *2822:mprj_io_inp_dis[15] 9.69736 -*END - -*D_NET *2303 0.0258581 -*CONN -*I *2822:mprj_io_inp_dis[16] I *D chip_io -*I *2795:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_inp_dis[16] 0.000177246 -2 *2795:pad_gpio_inenb 0.000797022 -3 *2303:23 0.00112851 -4 *2303:22 0.00118563 -5 *2303:17 0.00251409 -6 *2303:16 0.00307674 -7 *2303:17 *2417:23 0 -8 *2303:22 *2822:mprj_io_oeb[16] 2.83209e-05 -9 *2303:22 *2379:25 0.000248461 -10 *2303:23 *2379:25 0.000162716 -11 *2822:mprj_io_analog_pol[16] *2303:22 0.000705674 -12 *2822:mprj_io_dm[48] *2303:23 0.000173095 -13 *666:17 *2303:23 0.000318426 -14 *1999:13 *2303:16 0.00207894 -15 *1999:16 *2303:16 0.000178932 -16 *1999:17 *2303:17 0.00895146 -17 *2037:21 *2303:23 0.00360156 -18 *2125:23 *2303:23 0.000531263 -*RES -1 *2795:pad_gpio_inenb *2303:16 48.1532 -2 *2303:16 *2303:17 97.2244 -3 *2303:17 *2303:22 20.798 -4 *2303:22 *2303:23 50.0831 -5 *2303:23 *2822:mprj_io_inp_dis[16] 7.62661 -*END - -*D_NET *2304 0.0617653 -*CONN -*I *2822:mprj_io_inp_dis[17] I *D chip_io -*I *2796:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_inp_dis[17] 0.000683468 -2 *2796:pad_gpio_inenb 0.000125403 -3 *2304:23 0.00111742 -4 *2304:18 0.00182796 -5 *2304:16 0.00153782 -6 *2304:11 0.00235656 -7 *2304:10 0.00233815 -8 *2304:11 *2380:11 0.0240309 -9 *2304:18 *2822:mprj_io_oeb[17] 0.00175763 -10 *2304:18 *2380:22 0.000173913 -11 *2304:23 *2822:mprj_io_out[17] 0.000177707 -12 *2304:23 *2822:mprj_io_vtrip_sel[17] 0.00118453 -13 *667:17 *2822:mprj_io_inp_dis[17] 0.00036236 -14 *667:17 *2304:23 0.00124287 -15 *2129:11 *2304:11 0.0210698 -16 *2130:11 *2304:11 7.92757e-06 -17 *2190:11 *2304:11 1.40978e-05 -18 *2266:14 *2304:11 0.00175678 -*RES -1 *2796:pad_gpio_inenb *2304:10 8.46357 -2 *2304:10 *2304:11 274.143 -3 *2304:11 *2304:16 8.51818 -4 *2304:16 *2304:18 51.8699 -5 *2304:18 *2304:23 34.2195 -6 *2304:23 *2822:mprj_io_inp_dis[17] 27.4766 -*END - -*D_NET *2305 0.0296378 -*CONN -*I *2822:mprj_io_inp_dis[18] I *D chip_io -*I *2787:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_inp_dis[18] 0.00212454 -2 *2787:pad_gpio_inenb 7.83498e-05 -3 *2305:20 0.0037258 -4 *2305:16 0.00233061 -5 *2305:11 0.00242694 -6 *2305:10 0.00177594 -7 *2822:mprj_io_inp_dis[18] *2822:mprj_io_out[18] 0 -8 *2822:mprj_io_inp_dis[18] *2822:mprj_io_slow_sel[18] 0.000202241 -9 *2305:11 *2343:17 0.00415013 -10 *2305:11 *2457:17 0.00410122 -11 *2822:mprj_io_analog_pol[18] *2822:mprj_io_inp_dis[18] 0.00779649 -12 *2822:mprj_io_analog_sel[18] *2822:mprj_io_inp_dis[18] 0 -13 *2822:mprj_io_dm[55] *2822:mprj_io_inp_dis[18] 8.6868e-05 -14 *2822:mprj_io_dm[56] *2822:mprj_io_inp_dis[18] 0 -15 *668:25 *2305:20 0.000361565 -16 *2131:14 *2305:20 0 -17 *2191:17 *2305:20 0 -18 *2229:13 *2305:11 0.000477091 -19 *2229:15 *2305:11 0 -*RES -1 *2787:pad_gpio_inenb *2305:10 8.38708 -2 *2305:10 *2305:11 86.687 -3 *2305:11 *2305:16 28.6878 -4 *2305:16 *2305:20 48.1363 -5 *2305:20 *2822:mprj_io_inp_dis[18] 25.1435 -*END - -*D_NET *2306 0.0206944 -*CONN -*I *2822:mprj_io_inp_dis[19] I *D chip_io -*I *2803:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_inp_dis[19] 0.00347747 -2 *2803:pad_gpio_inenb 0.00347747 -3 *2822:mprj_io_inp_dis[19] *2382:12 0.00207135 -4 *2822:mprj_io_inp_dis[19] *2594:12 8.92601e-06 -5 *2803:pad_gpio_in *2822:mprj_io_inp_dis[19] 0.00765305 -6 *100:17 *2822:mprj_io_inp_dis[19] 0.000275217 -7 *640:68 *2822:mprj_io_inp_dis[19] 0.000288582 -8 *2002:11 *2822:mprj_io_inp_dis[19] 0.000960687 -9 *2040:11 *2822:mprj_io_inp_dis[19] 0.00140724 -10 *2134:11 *2822:mprj_io_inp_dis[19] 0.000123675 -11 *2135:11 *2822:mprj_io_inp_dis[19] 0.000259836 -12 *2136:17 *2822:mprj_io_inp_dis[19] 0.000690927 -*RES -1 *2803:pad_gpio_inenb *2822:mprj_io_inp_dis[19] 22.0804 -*END - -*D_NET *2307 0.0176386 -*CONN -*I *2822:mprj_io_inp_dis[1] I *D chip_io -*I *2782:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_inp_dis[1] 0.000505736 -2 *2782:pad_gpio_inenb 0.000596649 -3 *2307:14 0.001774 -4 *2307:13 0.00186491 -5 *2307:13 *2345:7 0 -6 *2307:13 *2345:8 0.000193604 -7 *2307:13 *2383:13 0.000353731 -8 *2307:14 *2459:14 0 -9 *2782:pad_gpio_in *2307:13 3.36517e-05 -10 *2822:mprj_io_analog_pol[1] *2822:mprj_io_inp_dis[1] 0 -11 *660:16 *2307:14 0 -12 *1965:14 *2307:14 0.00580014 -13 *2003:14 *2307:14 0.00584716 -14 *2115:14 *2307:14 0.000123457 -15 *2126:14 *2307:14 0.000103851 -16 *2193:13 *2307:13 0.000343439 -17 *2231:15 *2307:13 9.83032e-05 -*RES -1 *2782:pad_gpio_inenb *2307:13 32.7481 -2 *2307:13 *2307:14 109.798 -3 *2307:14 *2822:mprj_io_inp_dis[1] 22.3515 -*END - -*D_NET *2308 0.0235657 -*CONN -*I *2822:mprj_io_inp_dis[20] I *D chip_io -*I *2810:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_inp_dis[20] 0.000118931 -2 *2810:pad_gpio_inenb 0.00012153 -3 *2308:23 0.000726114 -4 *2308:22 0.00104743 -5 *2308:11 0.000850515 -6 *2308:10 0.000531796 -7 *2308:11 *2384:11 9.65932e-05 -8 *2308:11 *2422:11 0.00616177 -9 *2308:22 *2822:mprj_io_out[20] 1.37385e-05 -10 *2308:22 *2422:16 0.00122398 -11 *2308:23 *2822:mprj_io_slow_sel[20] 0.000446342 -12 *2822:mprj_io_analog_en[20] *2308:23 0.00330199 -13 *2822:mprj_io_dm[61] *2308:23 0.000290034 -14 *110:17 *2308:23 0.000521541 -15 *2004:17 *2308:23 0.000333162 -16 *2270:19 *2308:22 0.00124122 -17 *2270:19 *2308:23 0.000543039 -18 *2270:20 *2308:11 0.00599599 -19 *2270:20 *2308:22 0 -*RES -1 *2810:pad_gpio_inenb *2308:10 8.46357 -2 *2308:10 *2308:11 65.612 -3 *2308:11 *2308:22 35.0938 -4 *2308:22 *2308:23 45.6463 -5 *2308:23 *2822:mprj_io_inp_dis[20] 6.93045 -*END - -*D_NET *2309 0.0211419 -*CONN -*I *2822:mprj_io_inp_dis[21] I *D chip_io -*I *2811:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_inp_dis[21] 0.0015051 -2 *2811:pad_gpio_inenb 0.000131794 -3 *2309:16 0.00292551 -4 *2309:13 0.00142041 -5 *2309:11 0.00139178 -6 *2309:10 0.00152357 -7 *2309:11 *2385:11 0.00566446 -8 *2309:11 *2423:13 0.000224395 -9 *2309:16 *2347:14 0 -10 *2811:mgmt_gpio_in *2309:11 0.00048572 -11 *690:19 *2822:mprj_io_inp_dis[21] 0.00491246 -12 *2271:15 *2309:16 0.000956727 -*RES -1 *2811:pad_gpio_inenb *2309:10 8.46357 -2 *2309:10 *2309:11 65.0574 -3 *2309:11 *2309:13 4.5 -4 *2309:13 *2309:16 49.4251 -5 *2309:16 *2822:mprj_io_inp_dis[21] 13.8536 -*END - -*D_NET *2310 0.0185586 -*CONN -*I *2822:mprj_io_inp_dis[22] I *D chip_io -*I *2812:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_inp_dis[22] 0.00206252 -2 *2812:pad_gpio_inenb 8.24748e-05 -3 *2310:11 0.00441188 -4 *2310:10 0.00243183 -5 *2822:mprj_io_inp_dis[22] *2822:mprj_io_out[22] 0.00583825 -6 *2822:mprj_io_inp_dis[22] *2822:mprj_io_slow_sel[22] 6.98716e-05 -7 *2822:mprj_io_inp_dis[22] *2671:11 0 -8 *2310:10 *2709:5 2.01653e-05 -9 *2310:11 *2424:17 0 -10 *2822:mprj_io_dm[67] *2822:mprj_io_inp_dis[22] 0.000246529 -11 *2822:mprj_io_holdover[22] *2822:mprj_io_inp_dis[22] 2.56868e-05 -12 *628:17 *2822:mprj_io_inp_dis[22] 0.000273267 -13 *652:19 *2822:mprj_io_inp_dis[22] 0.000273267 -14 *1968:23 *2822:mprj_io_inp_dis[22] 0.000354817 -15 *2006:23 *2822:mprj_io_inp_dis[22] 0.000386906 -16 *2144:19 *2822:mprj_io_inp_dis[22] 0.000377487 -17 *2145:19 *2310:11 0.000171907 -18 *2145:22 *2822:mprj_io_inp_dis[22] 0.00025714 -19 *2146:17 *2822:mprj_io_inp_dis[22] 0.00116161 -20 *2272:18 *2310:11 0.000112976 -*RES -1 *2812:pad_gpio_inenb *2310:10 8.46357 -2 *2310:10 *2310:11 63.9482 -3 *2310:11 *2822:mprj_io_inp_dis[22] 44.0934 -*END - -*D_NET *2311 0.0246844 -*CONN -*I *2822:mprj_io_inp_dis[23] I *D chip_io -*I *2813:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_inp_dis[23] 0.000455141 -2 *2813:pad_gpio_inenb 0.000112464 -3 *2311:14 0.00151887 -4 *2311:13 0.00106373 -5 *2311:11 0.00183968 -6 *2311:10 0.00195215 -7 *2822:mprj_io_inp_dis[23] *2822:mprj_io_slow_sel[23] 0.000113345 -8 *2311:11 *2672:14 0 -9 *2311:14 *2425:16 0.00153161 -10 *2311:14 *2672:11 0 -11 *2311:14 *2710:11 0.000922312 -12 *2813:mgmt_gpio_in *2311:11 0.00049413 -13 *2822:mprj_io_analog_pol[23] *2822:mprj_io_inp_dis[23] 0.00488447 -14 *2822:mprj_io_dm[70] *2822:mprj_io_inp_dis[23] 0.00318645 -15 *2822:mprj_io_dm[71] *2822:mprj_io_inp_dis[23] 0.00149862 -16 *2822:mprj_io_holdover[23] *2822:mprj_io_inp_dis[23] 0.000179807 -17 *2822:mprj_io_holdover[23] *2311:14 6.90884e-05 -18 *1720:44 *2311:11 0.00233251 -19 *1969:16 *2311:14 0.00130436 -20 *2235:16 *2311:14 8.92568e-06 -21 *2235:17 *2822:mprj_io_inp_dis[23] 0.00121675 -*RES -1 *2813:pad_gpio_inenb *2311:10 8.46357 -2 *2311:10 *2311:11 63.3936 -3 *2311:11 *2311:13 4.5 -4 *2311:13 *2311:14 55.6072 -5 *2311:14 *2822:mprj_io_inp_dis[23] 14.6512 -*END - -*D_NET *2312 0.0271119 -*CONN -*I *2822:mprj_io_inp_dis[24] I *D chip_io -*I *2814:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_inp_dis[24] 0.000259918 -2 *2814:pad_gpio_inenb 1.65112e-05 -3 *2312:24 1.65112e-05 -4 *2312:11 0.00147746 -5 *2312:10 0.00121755 -6 *2312:8 0.000445884 -7 *2312:7 0.000445884 -8 *2312:5 0.00259661 -9 *2312:4 0.00259661 -10 *2312:5 *2388:5 0.00295828 -11 *2312:8 *2350:8 0.00567947 -12 *2312:8 *2388:8 0.00573034 -13 *2312:8 *2464:11 0.00011581 -14 *2312:11 *2822:mprj_io_out[24] 0 -15 *2312:11 *2822:mprj_io_vtrip_sel[24] 0.000784924 -16 *2822:mprj_io_analog_sel[24] *2312:11 0 -17 *2822:mprj_io_dm[74] *2312:11 0 -18 *2822:mprj_io_holdover[24] *2312:11 0 -19 *106:55 *2312:11 0.000267546 -20 *114:5 *2312:5 0 -21 *2152:8 *2312:11 0 -22 *2153:24 *2312:11 0.000109332 -23 *2198:17 *2312:11 0.00180072 -24 *2236:5 *2312:5 0 -25 *2274:16 *2312:8 0.000592564 -*RES -1 *2814:pad_gpio_inenb *2312:4 3.36879 -2 *2312:4 *2312:5 100.454 -3 *2312:5 *2312:7 4.5 -4 *2312:7 *2312:8 62.839 -5 *2312:8 *2312:10 4.5 -6 *2312:10 *2312:11 50.8318 -7 *2312:11 *2822:mprj_io_inp_dis[24] 15.8357 -8 *2814:pad_gpio_inenb *2312:24 0.0631875 -*END - -*D_NET *2313 0.0175581 -*CONN -*I *2822:mprj_io_inp_dis[25] I *D chip_io -*I *2815:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_inp_dis[25] 0.000417709 -2 *2815:pad_gpio_inenb 0.000427691 -3 *2313:8 0.00160866 -4 *2313:7 0.00161865 -5 *2313:7 *2389:7 0.000252564 -6 *2313:8 *2427:8 0.000153257 -7 *2815:pad_gpio_in *2313:7 5.76421e-05 -8 *2822:mprj_io_analog_pol[25] *2822:mprj_io_inp_dis[25] 0 -9 *618:34 *2313:8 0.00060884 -10 *655:8 *2313:8 0.000260365 -11 *694:19 *2313:8 0.000125376 -12 *2047:14 *2313:8 0.00481795 -13 *2155:8 *2313:8 0.000658013 -14 *2156:23 *2822:mprj_io_inp_dis[25] 5.65975e-05 -15 *2199:7 *2313:7 0 -16 *2199:8 *2313:8 0.00649477 -17 *2237:7 *2313:7 0 -*RES -1 *2815:pad_gpio_inenb *2313:7 4.88529 -2 *2313:7 *2313:8 112.497 -3 *2313:8 *2822:mprj_io_inp_dis[25] 18.6087 -*END - -*D_NET *2314 0.0179691 -*CONN -*I *2822:mprj_io_inp_dis[26] I *D chip_io -*I *2816:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_inp_dis[26] 0.000434434 -2 *2816:pad_gpio_inenb 0.000698068 -3 *2314:12 0.00146987 -4 *2314:10 0.00173351 -5 *2314:10 *2352:10 0 -6 *2314:10 *2390:10 0.000109066 -7 *2314:12 *2352:10 3.67528e-06 -8 *2314:12 *2352:12 0 -9 *116:16 *2314:12 3.14199e-05 -10 *617:14 *2822:mprj_io_inp_dis[26] 0 -11 *618:34 *2314:12 0.000859237 -12 *632:18 *2314:10 0.000191469 -13 *632:20 *2314:10 1.07248e-05 -14 *632:20 *2314:12 0.000253189 -15 *695:22 *2314:12 0.00298359 -16 *2048:8 *2314:12 0.00292096 -17 *2157:8 *2314:12 0.0056292 -18 *2200:7 *2314:10 0 -19 *2200:8 *2314:12 0.000199406 -20 *2238:34 *2314:12 0.000441245 -*RES -1 *2816:pad_gpio_inenb *2314:10 12.2898 -2 *2314:10 *2314:12 106.903 -3 *2314:12 *2822:mprj_io_inp_dis[26] 18.6859 -*END - -*D_NET *2315 0.0192688 -*CONN -*I *2822:mprj_io_inp_dis[27] I *D chip_io -*I *2817:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_inp_dis[27] 0.000544062 -2 *2817:pad_gpio_inenb 0.000716133 -3 *2315:16 0.0009645 -4 *2315:8 0.00121844 -5 *2315:7 0.00151414 -6 *2822:mprj_io_inp_dis[27] *2353:16 0 -7 *2315:7 *2353:7 0 -8 *2315:7 *2391:7 0.000154831 -9 *2315:8 *2467:8 0.0053295 -10 *2315:16 *2391:19 5.04829e-06 -11 *2315:16 *2391:26 0.000236262 -12 *2817:pad_gpio_in *2315:7 6.89476e-05 -13 *2822:mprj_io_analog_pol[27] *2822:mprj_io_inp_dis[27] 0 -14 *618:34 *2315:16 0.000198292 -15 *642:52 *2315:8 0.000810191 -16 *657:8 *2315:8 0.000677308 -17 *1973:8 *2315:8 0.00392301 -18 *2161:8 *2315:16 0.00120738 -19 *2201:7 *2315:7 0 -20 *2201:12 *2315:16 0.00170077 -21 *2239:7 *2315:7 0 -*RES -1 *2817:pad_gpio_inenb *2315:7 5.49721 -2 *2315:7 *2315:8 85.5053 -3 *2315:8 *2315:16 43.4648 -4 *2315:16 *2822:mprj_io_inp_dis[27] 16.3271 -*END - -*D_NET *2316 0.0160995 -*CONN -*I *2822:mprj_io_inp_dis[28] I *D chip_io -*I *2818:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_inp_dis[28] 0.000367404 -2 *2818:pad_gpio_inenb 8.0625e-05 -3 *2316:14 0.000863654 -4 *2316:13 0.000823357 -5 *2316:8 0.00121801 -6 *2316:7 0.000971529 -7 *2316:7 *2392:7 8.42027e-05 -8 *2316:8 *2430:8 0 -9 *2316:14 *2392:8 0.00317671 -10 *2818:pad_gpio_in *2316:7 6.89476e-05 -11 *2822:mprj_io_analog_pol[28] *2822:mprj_io_inp_dis[28] 0 -12 *2822:mprj_io_analog_sel[28] *2316:14 9.06192e-05 -13 *106:37 *2316:14 0.000347195 -14 *658:36 *2316:14 1.2819e-05 -15 *1974:14 *2316:14 0.00248871 -16 *2012:14 *2316:14 0.000218663 -17 *2240:8 *2316:8 0.00165977 -18 *2240:20 *2822:mprj_io_inp_dis[28] 0 -19 *2278:11 *2316:8 0.0036273 -*RES -1 *2818:pad_gpio_inenb *2316:7 3.81442 -2 *2316:7 *2316:8 61.4207 -3 *2316:8 *2316:13 15.824 -4 *2316:13 *2316:14 51.247 -5 *2316:14 *2822:mprj_io_inp_dis[28] 17.4995 -*END - -*D_NET *2317 0.0180955 -*CONN -*I *2822:mprj_io_inp_dis[29] I *D chip_io -*I *2804:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_inp_dis[29] 0.000423023 -2 *2804:pad_gpio_inenb 0.000474347 -3 *2317:10 0.00150859 -4 *2317:9 0.00155991 -5 *2317:9 *2355:9 0 -6 *2317:9 *2393:9 0.000248958 -7 *2317:10 *2355:10 0.000323032 -8 *2317:10 *2393:16 0.0040775 -9 *2804:pad_gpio_in *2317:9 0.000128167 -10 *617:70 *2317:10 0.000676981 -11 *618:34 *2317:10 0.00085167 -12 *641:12 *2822:mprj_io_inp_dis[29] 0 -13 *2167:10 *2317:10 0.0055771 -14 *2169:13 *2317:10 0.00224617 -*RES -1 *2804:pad_gpio_inenb *2317:9 5.25776 -2 *2317:9 *2317:10 112.497 -3 *2317:10 *2822:mprj_io_inp_dis[29] 19.0267 -*END - -*D_NET *2318 0.0180477 -*CONN -*I *2822:mprj_io_inp_dis[2] I *D chip_io -*I *2797:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_inp_dis[2] 0.00060588 -2 *2797:pad_gpio_inenb 0.000688656 -3 *2318:14 0.00173367 -4 *2318:13 0.00181644 -5 *2318:13 *2394:13 0.000158111 -6 *2318:14 *2432:14 0.000152316 -7 *2318:14 *2470:14 0 -8 *2797:pad_gpio_in *2318:13 4.80459e-05 -9 *110:52 *2822:mprj_io_inp_dis[2] 0 -10 *669:30 *2318:13 5.88867e-05 -11 *2014:14 *2318:14 0.00544567 -12 *2148:14 *2318:14 0.00038079 -13 *2170:14 *2318:14 0.000107108 -14 *2280:11 *2318:14 0.00685214 -*RES -1 *2797:pad_gpio_inenb *2318:13 28.7349 -2 *2318:13 *2318:14 114.365 -3 *2318:14 *2822:mprj_io_inp_dis[2] 22.9061 -*END - -*D_NET *2319 0.0185261 -*CONN -*I *2822:mprj_io_inp_dis[30] I *D chip_io -*I *2805:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_inp_dis[30] 0.00039606 -2 *2805:pad_gpio_inenb 0.000303195 -3 *2319:16 0.000790163 -4 *2319:13 0.000483792 -5 *2319:8 0.0007336 -6 *2319:7 0.000947106 -7 *2822:mprj_io_inp_dis[30] *2357:20 0 -8 *2319:7 *2395:7 0.00022519 -9 *2319:8 *2433:8 0.00443954 -10 *2319:16 *2357:19 0.000101362 -11 *2805:pad_gpio_in *2319:7 6.89476e-05 -12 *2822:mprj_io_analog_pol[30] *2822:mprj_io_inp_dis[30] 0 -13 *106:37 *2319:8 0.000453982 -14 *106:37 *2319:16 0.00024819 -15 *618:8 *2319:16 0.00256177 -16 *642:13 *2319:13 2.37827e-05 -17 *681:8 *2319:8 0.00443596 -18 *681:8 *2319:16 0.000231356 -19 *1977:17 *2319:13 5.04829e-06 -20 *2171:8 *2319:16 0.00207198 -21 *2243:7 *2319:7 0 -22 *2243:13 *2319:13 5.04829e-06 -*RES -1 *2805:pad_gpio_inenb *2319:7 4.65582 -2 *2319:7 *2319:8 71.802 -3 *2319:8 *2319:13 10.8326 -4 *2319:13 *2319:16 45.781 -5 *2319:16 *2822:mprj_io_inp_dis[30] 14.1087 -*END - -*D_NET *2320 0.0139026 -*CONN -*I *2822:mprj_io_inp_dis[31] I *D chip_io -*I *2806:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_inp_dis[31] 0.000569121 -2 *2806:pad_gpio_inenb 0.000623017 -3 *2320:8 0.00365204 -4 *2320:7 0.00370594 -5 *2822:mprj_io_inp_dis[31] *2358:12 0 -6 *2320:7 *2396:7 0.000258993 -7 *2320:8 *2472:8 0 -8 *2806:pad_gpio_in *2320:7 5.02212e-05 -9 *643:14 *2320:8 0.000236262 -10 *682:8 *2320:8 0.00107933 -11 *2054:16 *2320:8 0.000298872 -12 *2174:16 *2320:8 0.00342877 -13 *2244:7 *2320:7 0 -*RES -1 *2806:pad_gpio_inenb *2320:7 5.49721 -2 *2320:7 *2320:8 113.742 -3 *2320:8 *2822:mprj_io_inp_dis[31] 21.7997 -*END - -*D_NET *2321 0.0145457 -*CONN -*I *2822:mprj_io_inp_dis[32] I *D chip_io -*I *2807:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_inp_dis[32] 0.00241909 -2 *2807:pad_gpio_inenb 0.00241909 -3 *2822:mprj_io_inp_dis[32] *2397:7 5.56976e-05 -4 *2807:pad_gpio_in *2822:mprj_io_inp_dis[32] 5.56976e-05 -5 *2822:mprj_io_dm[97] *2822:mprj_io_inp_dis[32] 0.00559312 -6 *103:18 *2822:mprj_io_inp_dis[32] 0.00145794 -7 *106:25 *2822:mprj_io_inp_dis[32] 0.00153588 -8 *619:20 *2822:mprj_io_inp_dis[32] 0 -9 *2177:11 *2822:mprj_io_inp_dis[32] 0.000442086 -10 *2283:11 *2822:mprj_io_inp_dis[32] 0.00056713 -*RES -1 *2807:pad_gpio_inenb *2822:mprj_io_inp_dis[32] 22.4879 -*END - -*D_NET *2322 0.0180183 -*CONN -*I *2822:mprj_io_inp_dis[33] I *D chip_io -*I *2808:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_inp_dis[33] 0.000355283 -2 *2808:pad_gpio_inenb 0.000327304 -3 *2322:14 0.000900668 -4 *2322:13 0.000678093 -5 *2322:8 0.000680391 -6 *2322:7 0.000874987 -7 *2822:mprj_io_inp_dis[33] *2398:16 0 -8 *2322:7 *2398:14 0.000184888 -9 *2322:8 *2398:14 0.000276281 -10 *2322:8 *2436:8 0.0031947 -11 *2322:14 *2398:16 0.000122025 -12 *2822:mprj_io_analog_pol[33] *2822:mprj_io_inp_dis[33] 0 -13 *105:16 *2322:14 0.00053288 -14 *619:20 *2322:8 0.000366804 -15 *1980:15 *2322:13 5.04829e-06 -16 *2018:16 *2322:14 0.00353563 -17 *2069:8 *2322:8 0.00244079 -18 *2180:8 *2322:14 0.0033063 -19 *2246:7 *2322:7 0 -20 *2246:8 *2322:14 0.000236262 -*RES -1 *2808:pad_gpio_inenb *2322:7 4.50284 -2 *2322:7 *2322:8 51.8699 -3 *2322:8 *2322:13 11.3872 -4 *2322:13 *2322:14 60.7978 -5 *2322:14 *2822:mprj_io_inp_dis[33] 18.0541 -*END - -*D_NET *2323 0.0152145 -*CONN -*I *2822:mprj_io_inp_dis[34] I *D chip_io -*I *2809:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_inp_dis[34] 0.000611758 -2 *2809:pad_gpio_inenb 0.000511167 -3 *2323:8 0.00226466 -4 *2323:7 0.00216407 -5 *2822:mprj_io_inp_dis[34] *2609:14 1.15879e-05 -6 *2323:7 *2822:mprj_io_out[34] 6.29293e-05 -7 *2809:pad_gpio_in *2323:7 4.99467e-05 -8 *619:20 *2822:mprj_io_inp_dis[34] 0.000133972 -9 *619:20 *2323:8 0.000882822 -10 *1981:8 *2323:8 0.00451635 -11 *2019:8 *2822:mprj_io_inp_dis[34] 0.000904991 -12 *2019:8 *2323:8 4.61488e-05 -13 *2057:8 *2323:8 0 -14 *2057:14 *2822:mprj_io_inp_dis[34] 0.00121098 -15 *2071:8 *2323:8 0.00170063 -16 *2072:8 *2323:8 0 -17 *2073:16 *2822:mprj_io_inp_dis[34] 0.000142505 -*RES -1 *2809:pad_gpio_inenb *2323:7 4.96178 -2 *2323:7 *2323:8 94.6409 -3 *2323:8 *2822:mprj_io_inp_dis[34] 46.7741 -*END - -*D_NET *2324 0.0175379 -*CONN -*I *2822:mprj_io_inp_dis[35] I *D chip_io -*I *2783:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_inp_dis[35] 0.000373204 -2 *2783:pad_gpio_inenb 0.000475346 -3 *2324:8 0.00164266 -4 *2324:7 0.00174481 -5 *2324:7 *2822:mprj_io_out[35] 7.73422e-05 -6 *2324:7 *2438:7 0 -7 *2324:8 *2438:8 0.0050847 -8 *2783:pad_gpio_in *2324:7 0.000175947 -9 *2822:mprj_io_analog_pol[35] *2822:mprj_io_inp_dis[35] 0 -10 *1745:13 *2324:8 0.000847761 -11 *2058:8 *2324:8 0.00592113 -12 *2076:8 *2324:8 0.000906641 -13 *2210:8 *2324:8 0.000288403 -14 *2248:8 *2822:mprj_io_inp_dis[35] 0 -15 *2286:11 *2324:8 0 -*RES -1 *2783:pad_gpio_inenb *2324:7 4.8088 -2 *2324:7 *2324:8 112.912 -3 *2324:8 *2822:mprj_io_inp_dis[35] 18.1934 -*END - -*D_NET *2325 0.0169417 -*CONN -*I *2822:mprj_io_inp_dis[36] I *D chip_io -*I *2784:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_inp_dis[36] 0.000342103 -2 *2784:pad_gpio_inenb 0.00122308 -3 *2325:12 0.000939814 -4 *2325:10 0.0018208 -5 *2325:10 *2401:15 0.000179179 -6 *2325:10 *2439:7 0 -7 *2325:10 *2477:8 0.00114918 -8 *2325:10 *2477:16 6.34651e-06 -9 *2325:12 *2401:18 0.00456844 -10 *2325:12 *2477:16 0.00462522 -11 *2822:mprj_io_analog_pol[36] *2822:mprj_io_inp_dis[36] 0 -12 *687:16 *2325:10 0.000201576 -13 *1745:13 *2325:10 0.000287339 -14 *1745:13 *2325:12 0.000704155 -15 *2059:16 *2822:mprj_io_inp_dis[36] 0 -16 *2059:16 *2325:12 1.23518e-05 -17 *2077:10 *2325:10 9.71323e-06 -18 *2077:12 *2325:10 4.22808e-06 -19 *2077:12 *2325:12 3.80436e-07 -20 *2080:15 *2325:10 0.000867775 -21 *2249:7 *2325:10 0 -*RES -1 *2784:pad_gpio_inenb *2325:10 45.5768 -2 *2325:10 *2325:12 73.8783 -3 *2325:12 *2822:mprj_io_inp_dis[36] 17.3629 -*END - -*D_NET *2326 0.0197951 -*CONN -*I *2822:mprj_io_inp_dis[37] I *D chip_io -*I *2785:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_inp_dis[37] 7.23806e-05 -2 *2785:pad_gpio_inenb 0.000558376 -3 *2326:27 0.00140121 -4 *2326:15 0.0018872 -5 *2326:15 *2364:15 0.000221251 -6 *2326:15 *2402:15 0.00091755 -7 *2326:27 *2822:mprj_io_oeb[37] 0.0046136 -8 *2326:27 *2364:21 0.00138858 -9 *2326:27 *2402:21 0.000868866 -10 *2785:pad_gpio_in *2326:15 7.29433e-05 -11 *2822:mprj_io_dm[113] *2326:27 1.93857e-05 -12 *2822:mprj_io_holdover[37] *2326:27 3.21888e-05 -13 *2212:16 *2326:27 0 -14 *2250:15 *2326:15 0.000562443 -15 *2250:29 *2326:27 0.00699212 -16 *2288:13 *2326:27 0.000186963 -*RES -1 *2785:pad_gpio_inenb *2326:15 34.7232 -2 *2326:15 *2326:27 49.9192 -3 *2326:27 *2822:mprj_io_inp_dis[37] 1.7465 -*END - -*D_NET *2327 0.0174009 -*CONN -*I *2822:mprj_io_inp_dis[3] I *D chip_io -*I *2798:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_inp_dis[3] 0.000544083 -2 *2798:pad_gpio_inenb 0.000411764 -3 *2327:16 0.00191256 -4 *2327:15 0.00178025 -5 *2327:15 *2403:13 0.00105174 -6 *2327:15 *2441:15 0.000311875 -7 *2327:16 *2441:16 0 -8 *2327:16 *2479:14 0 -9 *2798:pad_gpio_in *2327:15 4.69062e-05 -10 *2822:mprj_io_analog_pol[3] *2822:mprj_io_inp_dis[3] 0 -11 *111:36 *2822:mprj_io_inp_dis[3] 0 -12 *1985:16 *2327:16 0.00506005 -13 *2023:14 *2327:16 0.00503402 -14 *2079:14 *2327:16 5.92227e-05 -15 *2181:14 *2327:16 0.000537421 -16 *2213:15 *2327:15 0 -17 *2289:15 *2327:16 0.00065101 -*RES -1 *2798:pad_gpio_inenb *2327:15 27.4992 -2 *2327:15 *2327:16 114.365 -3 *2327:16 *2822:mprj_io_inp_dis[3] 22.1522 -*END - -*D_NET *2328 0.0197006 -*CONN -*I *2822:mprj_io_inp_dis[4] I *D chip_io -*I *2799:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_inp_dis[4] 0.000480886 -2 *2799:pad_gpio_inenb 0.000519416 -3 *2328:16 0.00157935 -4 *2328:15 0.00161788 -5 *2328:15 *2404:13 0.000237476 -6 *2328:16 *2442:14 0 -7 *2328:16 *2480:14 0.000339969 -8 *2799:pad_gpio_in *2328:15 0.00119931 -9 *2822:mprj_io_analog_pol[4] *2822:mprj_io_inp_dis[4] 0 -10 *655:45 *2328:16 0.00110168 -11 *671:14 *2822:mprj_io_inp_dis[4] 0 -12 *2024:16 *2328:16 0.00581031 -13 *2085:16 *2328:16 0.00601358 -14 *2086:16 *2328:16 0.000148843 -15 *2252:15 *2328:15 0.000651906 -*RES -1 *2799:pad_gpio_inenb *2328:15 32.3428 -2 *2328:15 *2328:16 109.382 -3 *2328:16 *2822:mprj_io_inp_dis[4] 21.2423 -*END - -*D_NET *2329 0.0193722 -*CONN -*I *2822:mprj_io_inp_dis[5] I *D chip_io -*I *2800:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_inp_dis[5] 0.000593202 -2 *2800:pad_gpio_inenb 0.000971434 -3 *2329:14 0.00155557 -4 *2329:13 0.00193381 -5 *2329:13 *2405:13 0.000443893 -6 *2329:14 *2481:14 0 -7 *2800:pad_gpio_in *2329:13 3.26002e-05 -8 *2822:mprj_io_analog_pol[5] *2822:mprj_io_inp_dis[5] 0 -9 *116:49 *2329:14 0.000951114 -10 *672:14 *2329:14 0.00669946 -11 *2025:14 *2329:14 0.0059145 -12 *2088:14 *2329:14 0.000152316 -13 *2090:13 *2329:13 0 -14 *2090:14 *2329:14 0 -15 *2215:13 *2329:13 0.000124349 -16 *2253:8 *2329:13 0 -*RES -1 *2800:pad_gpio_inenb *2329:13 37.3187 -2 *2329:13 *2329:14 106.891 -3 *2329:14 *2822:mprj_io_inp_dis[5] 24.0154 -*END - -*D_NET *2330 0.0162447 -*CONN -*I *2822:mprj_io_inp_dis[6] I *D chip_io -*I *2801:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_inp_dis[6] 0.000630771 -2 *2801:pad_gpio_inenb 0.000383531 -3 *2330:16 0.00236107 -4 *2330:15 0.00211383 -5 *2330:15 *2406:13 0.000815431 -6 *2330:15 *2444:15 0.000547133 -7 *2330:16 *2444:16 0 -8 *2801:pad_gpio_in *2330:15 4.69062e-05 -9 *115:64 *2330:16 0.00105443 -10 *630:47 *2822:mprj_io_inp_dis[6] 0.000223881 -11 *654:59 *2330:16 0 -12 *2026:14 *2330:16 0.00192378 -13 *2091:14 *2330:16 0.00588844 -14 *2092:14 *2330:16 9.80712e-05 -15 *2292:11 *2330:16 0.000157405 -*RES -1 *2801:pad_gpio_inenb *2330:15 27.0839 -2 *2330:15 *2330:16 110.628 -3 *2330:16 *2822:mprj_io_inp_dis[6] 26.1277 -*END - -*D_NET *2331 0.0523568 -*CONN -*I *2822:mprj_io_inp_dis[7] I *D chip_io -*I *2802:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_inp_dis[7] 0.000429625 -2 *2802:pad_gpio_inenb 0.000726882 -3 *2331:14 0.00787395 -4 *2331:13 0.00817121 -5 *2822:mprj_io_inp_dis[7] *2590:9 9.29408e-05 -6 *2331:13 *2407:13 2.97005e-05 -7 *2802:pad_gpio_in *2331:13 2.50257e-05 -8 *2822:mprj_io_analog_pol[7] *2822:mprj_io_inp_dis[7] 0 -9 *655:51 *2331:13 0.000666293 -10 *674:10 *2331:13 0.000661617 -11 *2095:8 *2331:14 0.0251799 -12 *2097:8 *2331:14 0.00204779 -13 *2098:14 *2331:14 0.000191764 -14 *2099:14 *2331:14 0.00475842 -15 *2256:10 *2331:14 0.00150167 -16 *2293:11 *2331:14 0 -*RES -1 *2802:pad_gpio_inenb *2331:13 36.1302 -2 *2331:13 *2331:14 412.101 -3 *2331:14 *2822:mprj_io_inp_dis[7] 19.0267 -*END - -*D_NET *2332 0.0663099 -*CONN -*I *2822:mprj_io_inp_dis[8] I *D chip_io -*I *2786:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_inp_dis[8] 0.000379439 -2 *2786:pad_gpio_inenb 0.000616024 -3 *2332:14 0.00405744 -4 *2332:13 0.00429402 -5 *2822:mprj_io_inp_dis[8] *2601:13 0 -6 *2332:13 *2408:9 1.95874e-05 -7 *2332:14 *2822:mprj_io_slow_sel[8] 1.86389e-05 -8 *2332:14 *2408:10 0.00419746 -9 *2786:pad_gpio_in *2332:13 3.74656e-05 -10 *2822:mprj_io_analog_pol[8] *2822:mprj_io_inp_dis[8] 0 -11 *116:65 *2332:13 0.000409033 -12 *632:85 *2332:13 0.000420325 -13 *2099:14 *2332:14 0.0251298 -14 *2103:24 *2332:14 0.00250532 -15 *2294:11 *2332:14 0.0238015 -16 *2295:11 *2332:14 0.000423832 -*RES -1 *2786:pad_gpio_inenb *2332:13 30.3139 -2 *2332:13 *2332:14 423.313 -3 *2332:14 *2822:mprj_io_inp_dis[8] 17.9175 -*END - -*D_NET *2333 0.0610225 -*CONN -*I *2822:mprj_io_inp_dis[9] I *D chip_io -*I *2788:pad_gpio_inenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_inp_dis[9] 0.000509821 -2 *2788:pad_gpio_inenb 0.000659371 -3 *2333:18 0.00145605 -4 *2333:16 0.000972559 -5 *2333:14 0.00356875 -6 *2333:13 0.00420179 -7 *2822:mprj_io_inp_dis[9] *2611:11 0 -8 *2333:13 *2371:7 0 -9 *2333:13 *2447:13 0.00131775 -10 *2333:14 *2447:14 0.0210303 -11 *2333:18 *2409:36 0.00286202 -12 *2333:18 *2447:14 0.00108664 -13 *2788:pad_gpio_in *2333:13 0.000467559 -14 *2788:serial_clock *2333:13 9.95542e-06 -15 *2098:14 *2333:14 0.00853051 -16 *2101:14 *2333:18 0.000377318 -17 *2102:14 *2333:14 0.00605755 -18 *2102:14 *2333:18 0.00384641 -19 *2103:8 *2333:13 0.000217538 -20 *2107:16 *2333:18 0 -21 *2219:8 *2333:14 0.00301834 -22 *2219:8 *2333:18 0.000823061 -23 *2257:7 *2333:13 0 -24 *2295:11 *2333:14 9.2346e-06 -*RES -1 *2788:pad_gpio_inenb *2333:13 36.7613 -2 *2333:13 *2333:14 337.857 -3 *2333:14 *2333:16 0.732798 -4 *2333:16 *2333:18 81.8902 -5 *2333:18 *2822:mprj_io_inp_dis[9] 20.6905 -*END - -*D_NET *2334 0.00698947 -*CONN -*I *2822:mprj_io_oeb[0] I *D chip_io -*I *2781:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_oeb[0] 0.000152441 -2 *2781:pad_gpio_outenb 0.000151378 -3 *2334:8 0.00266244 -4 *2334:7 0.00266138 -5 *2334:7 *2822:mprj_io_slow_sel[0] 0.000130362 -6 *2334:7 *2372:13 2.72062e-05 -7 *2334:8 *2822:mprj_io_slow_sel[0] 0.000324106 -8 *2334:8 *2372:13 5.78657e-05 -9 *2334:8 *2448:13 3.61259e-05 -10 *2781:mgmt_gpio_oeb *2334:8 4.89469e-06 -11 *2781:mgmt_gpio_out *2334:8 4.89469e-06 -12 *2781:pad_gpio_in *2334:8 0.000149352 -13 *1705:13 *2334:8 4.89469e-06 -14 *1954:13 *2334:8 4.05974e-05 -15 *1992:13 *2334:8 4.05974e-05 -16 *2030:13 *2334:8 1.48603e-05 -17 *2030:14 *2334:8 0 -18 *2068:13 *2334:8 0.000145299 -19 *2093:13 *2334:8 0.000124349 -20 *2182:13 *2334:8 0.000164682 -21 *2220:13 *2334:8 5.82461e-05 -22 *2220:14 *2822:mprj_io_oeb[0] 0 -23 *2220:14 *2334:8 0 -24 *2296:13 *2334:7 0 -25 *2296:13 *2334:8 3.35007e-05 -*RES -1 *2781:pad_gpio_outenb *2334:7 3.81442 -2 *2334:7 *2334:8 75.124 -3 *2334:8 *2822:mprj_io_oeb[0] 13.1832 -*END - -*D_NET *2335 0.071651 -*CONN -*I *2822:mprj_io_oeb[10] I *D chip_io -*I *2789:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_oeb[10] 9.4438e-05 -2 *2789:pad_gpio_outenb 0.000167771 -3 *2335:8 0.00490289 -4 *2335:7 0.00497623 -5 *2335:7 *2411:13 1.04136e-05 -6 *2335:8 *2336:8 0.0135917 -7 *2335:8 *2373:8 0.0310832 -8 *2335:8 *2449:16 0.000374189 -9 *2788:serial_clock_out *2335:7 0.000366744 -10 *2031:8 *2335:8 0.000503185 -11 *2067:13 *2335:7 0.000160787 -12 *2103:30 *2335:8 0.00149129 -13 *2108:14 *2822:mprj_io_oeb[10] 0 -14 *2184:8 *2335:8 0 -15 *2222:10 *2335:8 0.000189249 -16 *2257:8 *2335:8 0.0131722 -17 *2298:8 *2335:8 0.000566708 -*RES -1 *2789:pad_gpio_outenb *2335:7 1.0135 -2 *2335:7 *2335:8 64.8607 -3 *2335:8 *2822:mprj_io_oeb[10] 4.93885 -*END - -*D_NET *2336 0.0631662 -*CONN -*I *2822:mprj_io_oeb[11] I *D chip_io -*I *2790:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_oeb[11] 0.000145701 -2 *2790:pad_gpio_outenb 0.000140952 -3 *2336:8 0.0056453 -4 *2336:7 0.00564055 -5 *2336:7 *2374:7 7.32097e-05 -6 *2336:7 *2412:13 2.11673e-05 -7 *2336:7 *2450:7 0 -8 *2336:8 *2450:8 0.0316396 -9 *2822:mprj_io_ib_mode_sel[11] *2822:mprj_io_oeb[11] 1.13978e-06 -10 *1957:23 *2336:8 4.7332e-05 -11 *2108:20 *2336:8 0.000983629 -12 *2113:8 *2336:8 0.00449696 -13 *2184:8 *2336:8 0 -14 *2222:10 *2336:8 0.000319464 -15 *2261:11 *2822:mprj_io_oeb[11] 0 -16 *2298:20 *2336:8 0.000419524 -17 *2335:8 *2336:8 0.0135917 -*RES -1 *2790:pad_gpio_outenb *2336:7 0.860519 -2 *2336:7 *2336:8 64.6321 -3 *2336:8 *2822:mprj_io_oeb[11] 6.41056 -*END - -*D_NET *2337 0.0721813 -*CONN -*I *2822:mprj_io_oeb[12] I *D chip_io -*I *2791:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_oeb[12] 0.000293662 -2 *2791:pad_gpio_outenb 0.000572548 -3 *2337:8 0.0036834 -4 *2337:7 0.00396229 -5 *2337:7 *2375:13 2.65334e-05 -6 *2337:7 *2413:13 2.48182e-05 -7 *2337:7 *2451:7 0 -8 *2337:8 *2376:16 0.000427678 -9 *2822:mprj_io_ib_mode_sel[12] *2822:mprj_io_oeb[12] 8.2204e-05 -10 *2117:26 *2822:mprj_io_oeb[12] 0 -11 *2185:8 *2337:8 0.0309228 -12 *2223:8 *2337:8 0.0321853 -*RES -1 *2791:pad_gpio_outenb *2337:7 1.62542 -2 *2337:7 *2337:8 64.4035 -3 *2337:8 *2822:mprj_io_oeb[12] 7.7 -*END - -*D_NET *2338 0.120853 -*CONN -*I *2822:mprj_io_oeb[13] I *D chip_io -*I *2792:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_oeb[13] 0.000178126 -2 *2792:pad_gpio_outenb 6.87765e-05 -3 *2338:16 0.00483705 -4 *2338:15 0.00531067 -5 *2338:10 0.00168356 -6 *2338:9 0.00110059 -7 *2338:9 *2376:9 8.10367e-06 -8 *2338:10 *2376:10 0.0062003 -9 *2338:15 *2376:15 0.00246961 -10 *2338:16 *2376:16 0.0429026 -11 *2338:16 *2452:8 0.0437807 -12 *2117:32 *2338:16 0.00694687 -13 *2224:8 *2338:16 0.000136859 -14 *2300:10 *2338:10 0.00522935 -*RES -1 *2792:pad_gpio_outenb *2338:9 3.59493 -2 *2338:9 *2338:10 99.8315 -3 *2338:10 *2338:15 6.96965 -4 *2338:15 *2338:16 87.7215 -5 *2338:16 *2822:mprj_io_oeb[13] 7.24106 -*END - -*D_NET *2339 0.0248534 -*CONN -*I *2822:mprj_io_oeb[14] I *D chip_io -*I *2793:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_oeb[14] 0.000297026 -2 *2793:pad_gpio_outenb 0.000132052 -3 *2339:20 0.00158512 -4 *2339:19 0.00128809 -5 *2339:17 0.000938738 -6 *2339:8 0.00349185 -7 *2339:7 0.00268516 -8 *2339:7 *2377:13 4.2387e-05 -9 *2339:7 *2415:13 3.27908e-05 -10 *2339:8 *2793:user_gpio_oeb 0 -11 *2339:8 *2793:user_gpio_out 0 -12 *2339:8 *2377:13 0.000112544 -13 *2339:8 *2415:13 1.79429e-05 -14 *2339:8 *2453:13 7.08723e-06 -15 *2339:17 *2822:mprj_io_slow_sel[14] 5.04829e-06 -16 *2339:17 *2377:18 0.00118279 -17 *2339:20 *2377:18 0 -18 *2793:serial_clock_out *2339:20 0.000750362 -19 *2822:mprj_io_dm[44] *2339:20 0 -20 *2822:mprj_io_ib_mode_sel[14] *2822:mprj_io_oeb[14] 0 -21 *621:48 *2822:mprj_io_oeb[14] 0 -22 *621:48 *2339:20 0.0046048 -23 *621:56 *2339:8 0.00467156 -24 *665:12 *2339:8 0 -25 *2120:16 *2339:17 0.00117561 -26 *2120:16 *2339:20 0 -27 *2263:11 *2339:8 0 -28 *2301:16 *2339:20 0.00183248 -*RES -1 *2793:pad_gpio_outenb *2339:7 3.81442 -2 *2339:7 *2339:8 99.6239 -3 *2339:8 *2339:17 48.7784 -4 *2339:17 *2339:19 4.5 -5 *2339:19 *2339:20 74.5011 -6 *2339:20 *2822:mprj_io_oeb[14] 16.4646 -*END - -*D_NET *2340 0.0674716 -*CONN -*I *2822:mprj_io_oeb[15] I *D chip_io -*I *2794:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_oeb[15] 0.000991507 -2 *2794:pad_gpio_outenb 8.57798e-05 -3 *2340:21 0.00594023 -4 *2340:20 0.00603141 -5 *2340:17 0.00116684 -6 *2340:11 0.000921846 -7 *2340:10 0.000923472 -8 *2822:mprj_io_oeb[15] *2378:33 0 -9 *2340:11 *2794:user_gpio_out 0.000462196 -10 *2340:11 *2378:13 0.000191364 -11 *2340:11 *2378:15 0.00286591 -12 *2340:11 *2378:21 7.02172e-06 -13 *2340:17 *2378:21 0.000208834 -14 *2340:20 *2378:24 0.000343501 -15 *2340:20 *2416:20 0.000670707 -16 *2340:21 *2378:27 0.00193843 -17 *2340:21 *2416:21 4.62974e-05 -18 *2340:21 *2454:17 0.001525 -19 *2340:21 *2454:21 0.000870756 -20 *2822:mprj_io_holdover[15] *2822:mprj_io_oeb[15] 0.00191294 -21 *2822:mprj_io_ib_mode_sel[15] *2822:mprj_io_oeb[15] 0.00058534 -22 *1960:16 *2340:10 0 -23 *1960:28 *2340:20 2.82537e-05 -24 *1998:22 *2340:20 0.000206816 -25 *2121:15 *2340:11 0.00786121 -26 *2123:13 *2340:11 0.0013863 -27 *2188:13 *2340:11 4.0752e-05 -28 *2188:25 *2340:20 0.000184368 -29 *2226:25 *2340:21 0.0300745 -*RES -1 *2794:pad_gpio_outenb *2340:10 8.38708 -2 *2340:10 *2340:11 90.0146 -3 *2340:11 *2340:17 7.73117 -4 *2340:17 *2340:20 47.0267 -5 *2340:20 *2340:21 314.629 -6 *2340:21 *2822:mprj_io_oeb[15] 27.7162 -*END - -*D_NET *2341 0.0178917 -*CONN -*I *2822:mprj_io_oeb[16] I *D chip_io -*I *2795:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_oeb[16] 0.00139854 -2 *2795:pad_gpio_outenb 8.99696e-05 -3 *2341:22 0.00213901 -4 *2341:19 0.00182081 -5 *2341:11 0.00283182 -6 *2341:10 0.00184145 -7 *2822:mprj_io_oeb[16] *2379:25 0.00148998 -8 *2822:mprj_io_oeb[16] *2417:23 0 -9 *2341:11 *2417:11 0.00318508 -10 *2341:19 *2379:11 0.000908333 -11 *2341:22 *2379:16 0.00193004 -12 *2822:mprj_io_dm[50] *2822:mprj_io_oeb[16] 0.000163504 -13 *2124:11 *2341:11 0 -14 *2227:31 *2822:mprj_io_oeb[16] 6.48684e-05 -15 *2303:22 *2822:mprj_io_oeb[16] 2.83209e-05 -*RES -1 *2795:pad_gpio_outenb *2341:10 8.46357 -2 *2341:10 *2341:11 75.5949 -3 *2341:11 *2341:19 46.6615 -4 *2341:19 *2341:22 36.2302 -5 *2341:22 *2822:mprj_io_oeb[16] 49.4252 -*END - -*D_NET *2342 0.0425796 -*CONN -*I *2822:mprj_io_oeb[17] I *D chip_io -*I *2796:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_oeb[17] 0.000537251 -2 *2796:pad_gpio_outenb 0.000101934 -3 *2342:11 0.0062051 -4 *2342:10 0.00576979 -5 *2822:mprj_io_oeb[17] *2822:mprj_io_out[17] 0.000387915 -6 *2822:mprj_io_oeb[17] *2380:22 2.04661e-05 -7 *2228:11 *2342:11 0.025645 -8 *2228:17 *2822:mprj_io_oeb[17] 0.00215451 -9 *2304:18 *2822:mprj_io_oeb[17] 0.00175763 -*RES -1 *2796:pad_gpio_outenb *2342:10 8.46357 -2 *2342:10 *2342:11 269.152 -3 *2342:11 *2822:mprj_io_oeb[17] 49.9163 -*END - -*D_NET *2343 0.0226149 -*CONN -*I *2822:mprj_io_oeb[18] I *D chip_io -*I *2787:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_oeb[18] 0.000138422 -2 *2787:pad_gpio_outenb 0.000541907 -3 *2343:30 0.000923529 -4 *2343:17 0.00280016 -5 *2343:16 0.00262661 -6 *2343:11 0.00115346 -7 *2343:11 *2419:13 5.24855e-05 -8 *2343:11 *2457:13 0.0014464 -9 *2343:16 *2457:16 0.00154384 -10 *2343:17 *2457:17 0.0024103 -11 *2343:30 *2822:mprj_io_vtrip_sel[18] 0.000379505 -12 *2343:30 *2457:22 7.24173e-05 -13 *2132:11 *2343:11 0.00166374 -14 *2229:15 *2343:17 0.000638365 -15 *2229:27 *2343:30 0.00207363 -16 *2305:11 *2343:17 0.00415013 -*RES -1 *2787:pad_gpio_outenb *2343:11 46.9 -2 *2343:11 *2343:16 35.3319 -3 *2343:16 *2343:17 100.552 -4 *2343:17 *2343:30 49.0491 -5 *2343:30 *2822:mprj_io_oeb[18] 1.77093 -*END - -*D_NET *2344 0.0441358 -*CONN -*I *2822:mprj_io_oeb[19] I *D chip_io -*I *2803:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_oeb[19] 0.000389256 -2 *2803:pad_gpio_outenb 0.000466786 -3 *2344:17 0.00247392 -4 *2344:16 0.00285818 -5 *2344:13 0.0012403 -6 *2344:13 *2420:10 3.955e-05 -7 *2344:13 *2420:11 5.04829e-06 -8 *2344:13 *2458:13 0.000434669 -9 *2344:16 *2458:16 1.55462e-05 -10 *2344:17 *2382:13 0.0117271 -11 *2344:17 *2458:17 0.0176774 -12 *2344:17 *2593:8 0.00163831 -13 *2803:serial_data_in *2344:16 7.14746e-05 -14 *2822:mprj_io_ib_mode_sel[19] *2822:mprj_io_oeb[19] 0 -15 *100:14 *2344:13 0.00122052 -16 *616:16 *2344:13 0.000266832 -17 *640:13 *2344:13 0.000647089 -18 *640:14 *2344:16 0.00118284 -19 *640:16 *2344:16 0.000886497 -20 *688:23 *2344:13 0.000250024 -21 *2230:17 *2344:17 0.000644459 -*RES -1 *2803:pad_gpio_outenb *2344:13 40.8625 -2 *2344:13 *2344:16 38.7217 -3 *2344:16 *2344:17 192.616 -4 *2344:17 *2822:mprj_io_oeb[19] 15.1744 -*END - -*D_NET *2345 0.00664488 -*CONN -*I *2822:mprj_io_oeb[1] I *D chip_io -*I *2782:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_oeb[1] 0.000152441 -2 *2782:pad_gpio_outenb 0.000134546 -3 *2345:8 0.00264745 -4 *2345:7 0.00262956 -5 *2345:7 *2822:mprj_io_slow_sel[1] 5.57145e-05 -6 *2345:7 *2383:13 3.84497e-05 -7 *2345:8 *2822:mprj_io_slow_sel[1] 0.000352252 -8 *2345:8 *2383:13 5.35541e-05 -9 *2345:8 *2383:14 0 -10 *2782:mgmt_gpio_oeb *2345:8 4.89469e-06 -11 *2782:mgmt_gpio_out *2345:8 4.89469e-06 -12 *1716:13 *2345:8 4.89469e-06 -13 *1965:13 *2345:8 1.32509e-05 -14 *2003:13 *2345:8 7.93673e-05 -15 *2041:13 *2345:8 8.35615e-06 -16 *2115:13 *2345:8 0.000130139 -17 *2126:13 *2345:8 5.88867e-05 -18 *2137:13 *2345:8 6.19102e-05 -19 *2193:13 *2345:8 7.08723e-06 -20 *2231:15 *2345:8 1.36313e-05 -21 *2231:16 *2822:mprj_io_oeb[1] 0 -22 *2231:16 *2345:8 0 -23 *2307:13 *2345:7 0 -24 *2307:13 *2345:8 0.000193604 -*RES -1 *2782:pad_gpio_outenb *2345:7 3.81442 -2 *2345:7 *2345:8 74.7088 -3 *2345:8 *2822:mprj_io_oeb[1] 13.1832 -*END - -*D_NET *2346 0.0211317 -*CONN -*I *2822:mprj_io_oeb[20] I *D chip_io -*I *2810:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_oeb[20] 0.00047002 -2 *2810:pad_gpio_outenb 0.000871504 -3 *2346:17 0.00707531 -4 *2346:16 0.00783344 -5 *2346:13 0.00209965 -6 *2346:17 *2460:15 0.00278181 -7 *2822:mprj_io_ib_mode_sel[20] *2822:mprj_io_oeb[20] 0 -*RES -1 *2810:pad_gpio_outenb *2346:13 35.24 -2 *2346:13 *2346:16 38.3064 -3 *2346:16 *2346:17 184.852 -4 *2346:17 *2822:mprj_io_oeb[20] 17.727 -*END - -*D_NET *2347 0.0279859 -*CONN -*I *2822:mprj_io_oeb[21] I *D chip_io -*I *2811:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_oeb[21] 0.000148706 -2 *2811:pad_gpio_outenb 0.00010807 -3 *2347:17 0.00216351 -4 *2347:16 0.00201481 -5 *2347:14 0.00203571 -6 *2347:13 0.00203571 -7 *2347:11 0.00151089 -8 *2347:10 0.00161896 -9 *2347:11 *2461:11 0.00638486 -10 *2347:14 *2385:14 0 -11 *2347:14 *2461:14 0 -12 *2347:17 *2461:17 0.00836992 -13 *2822:mprj_io_ib_mode_sel[21] *2822:mprj_io_oeb[21] 0 -14 *690:20 *2347:14 0 -15 *690:23 *2347:11 0 -16 *2141:16 *2347:14 0.00153611 -17 *2142:16 *2347:14 9.22013e-06 -18 *2233:17 *2347:17 4.9452e-05 -19 *2271:15 *2347:14 0 -20 *2309:16 *2347:14 0 -*RES -1 *2811:pad_gpio_outenb *2347:10 8.46357 -2 *2347:10 *2347:11 70.0488 -3 *2347:11 *2347:13 4.5 -4 *2347:13 *2347:14 64.1198 -5 *2347:14 *2347:16 4.5 -6 *2347:16 *2347:17 93.3422 -7 *2347:17 *2822:mprj_io_oeb[21] 8.59146 -*END - -*D_NET *2348 0.0388234 -*CONN -*I *2822:mprj_io_oeb[22] I *D chip_io -*I *2812:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_oeb[22] 0.000394846 -2 *2812:pad_gpio_outenb 0.000441386 -3 *2348:17 0.0044652 -4 *2348:16 0.0046311 -5 *2348:13 0.00100213 -6 *2348:13 *2424:16 0.00032497 -7 *2348:13 *2424:17 9.08435e-05 -8 *2348:13 *2462:13 0.00206604 -9 *2348:16 *2424:16 7.77309e-06 -10 *2348:16 *2462:16 0.00210347 -11 *2348:17 *2462:17 0.0175754 -12 *2348:17 *2596:10 0.00338415 -13 *2822:mprj_io_ib_mode_sel[22] *2822:mprj_io_oeb[22] 0 -14 *112:14 *2348:13 0.000193186 -15 *112:14 *2348:16 2.82537e-05 -16 *628:16 *2348:16 0.00117052 -17 *652:13 *2348:13 1.65872e-05 -18 *652:17 *2348:16 4.3116e-06 -19 *652:40 *2348:16 5.1493e-06 -20 *690:13 *2348:13 0.000749011 -21 *2234:23 *2348:17 0.000169038 -*RES -1 *2812:pad_gpio_outenb *2348:13 40.3079 -2 *2348:13 *2348:16 39.5522 -3 *2348:16 *2348:17 192.062 -4 *2348:17 *2822:mprj_io_oeb[22] 15.5897 -*END - -*D_NET *2349 0.0223873 -*CONN -*I *2822:mprj_io_oeb[23] I *D chip_io -*I *2813:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_oeb[23] 0.000394846 -2 *2813:pad_gpio_outenb 0.000809985 -3 *2349:17 0.00704283 -4 *2349:16 0.00784664 -5 *2349:13 0.00200864 -6 *2349:13 *2425:10 4.88957e-06 -7 *2349:13 *2597:26 0 -8 *2349:17 *2463:15 0.00427942 -*RES -1 *2813:pad_gpio_outenb *2349:13 33.5762 -2 *2349:13 *2349:16 38.3064 -3 *2349:16 *2349:17 185.406 -4 *2349:17 *2822:mprj_io_oeb[23] 15.5897 -*END - -*D_NET *2350 0.0243198 -*CONN -*I *2822:mprj_io_oeb[24] I *D chip_io -*I *2814:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_oeb[24] 0.000254727 -2 *2814:pad_gpio_outenb 1.65112e-05 -3 *2350:22 1.65112e-05 -4 *2350:8 0.000712516 -5 *2350:7 0.000457789 -6 *2350:5 0.00208995 -7 *2350:4 0.00208995 -8 *2822:mprj_io_oeb[24] *2822:mprj_io_out[24] 0.000515039 -9 *2350:5 *2388:5 0 -10 *2350:5 *2464:8 0.00561345 -11 *2350:8 *2388:8 4.0752e-05 -12 *2350:8 *2464:11 0.00604254 -13 *2822:mprj_io_ib_mode_sel[24] *2822:mprj_io_oeb[24] 0 -14 *2274:16 *2350:8 0.000643125 -15 *2274:17 *2350:5 0.000147428 -16 *2312:8 *2350:8 0.00567947 -*RES -1 *2814:pad_gpio_outenb *2350:4 3.36879 -2 *2350:4 *2350:5 95.0561 -3 *2350:5 *2350:7 4.5 -4 *2350:7 *2350:8 63.9482 -5 *2350:8 *2822:mprj_io_oeb[24] 15.2477 -6 *2814:pad_gpio_outenb *2350:22 0.0631875 -*END - -*D_NET *2351 0.0246937 -*CONN -*I *2822:mprj_io_oeb[25] I *D chip_io -*I *2815:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_oeb[25] 0.000546032 -2 *2815:pad_gpio_outenb 0.000826419 -3 *2351:30 0.00124395 -4 *2351:29 0.00223739 -5 *2351:16 0.00236589 -6 *2351:16 *2389:7 3.27908e-05 -7 *2351:16 *2427:7 3.74656e-05 -8 *2815:mgmt_gpio_out *2351:16 0.000517844 -9 *2816:serial_load *2351:29 0 -10 *2822:mprj_io_analog_en[25] *2351:29 0.00139831 -11 *2822:mprj_io_ib_mode_sel[25] *2822:mprj_io_oeb[25] 0 -12 *106:43 *2822:mprj_io_oeb[25] 0 -13 *618:34 *2351:30 0.00069937 -14 *632:43 *2351:30 0.00319881 -15 *632:55 *2351:16 9.64979e-05 -16 *633:29 *2351:30 0.00460529 -17 *694:8 *2351:30 0.000680517 -18 *694:19 *2351:29 0.000551692 -19 *694:20 *2351:16 0.0021964 -20 *694:20 *2351:29 0.000687142 -21 *1722:33 *2351:16 2.02035e-05 -22 *2009:13 *2351:16 7.86825e-06 -23 *2156:23 *2351:29 0.000182281 -24 *2275:11 *2351:16 0.000432584 -25 *2275:11 *2351:29 0.00212897 -*RES -1 *2815:pad_gpio_outenb *2351:16 45.151 -2 *2351:16 *2351:29 45.7173 -3 *2351:29 *2351:30 73.8783 -4 *2351:30 *2822:mprj_io_oeb[25] 21.521 -*END - -*D_NET *2352 0.026063 -*CONN -*I *2822:mprj_io_oeb[26] I *D chip_io -*I *2816:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_oeb[26] 0.000554162 -2 *2816:pad_gpio_outenb 0.00088865 -3 *2352:12 0.0018705 -4 *2352:10 0.00220499 -5 *2352:10 *2390:10 0.000109066 -6 *2352:10 *2428:7 0.000154831 -7 *2352:10 *2466:7 0 -8 *2352:12 *2466:14 0.00529152 -9 *2822:mprj_io_ib_mode_sel[26] *2822:mprj_io_oeb[26] 0 -10 *116:16 *2352:12 2.01595e-05 -11 *617:14 *2822:mprj_io_oeb[26] 0 -12 *618:34 *2352:10 0.000109836 -13 *618:34 *2352:12 0.00139992 -14 *632:18 *2352:10 0 -15 *633:29 *2352:10 0.000343785 -16 *633:29 *2352:12 0.00940401 -17 *695:16 *2352:12 0.000147136 -18 *2200:8 *2352:12 0.00356075 -19 *2314:10 *2352:10 0 -20 *2314:12 *2352:10 3.67528e-06 -21 *2314:12 *2352:12 0 -*RES -1 *2816:pad_gpio_outenb *2352:10 17.5787 -2 *2352:10 *2352:12 150.92 -3 *2352:12 *2822:mprj_io_oeb[26] 22.3515 -*END - -*D_NET *2353 0.0204689 -*CONN -*I *2822:mprj_io_oeb[27] I *D chip_io -*I *2817:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_oeb[27] 0.0013294 -2 *2817:pad_gpio_outenb 0.000405555 -3 *2353:16 0.00234694 -4 *2353:13 0.00131362 -5 *2353:8 0.00143375 -6 *2353:7 0.00154323 -7 *2822:mprj_io_oeb[27] *2822:mprj_io_out[27] 0 -8 *2822:mprj_io_oeb[27] *2822:mprj_io_vtrip_sel[27] 3.38896e-05 -9 *2353:7 *2391:7 0.000154831 -10 *2353:7 *2429:7 0.000253605 -11 *2353:7 *2467:7 0 -12 *2353:13 *2822:mprj_io_slow_sel[27] 0 -13 *2353:13 *2467:13 5.04829e-06 -14 *2353:13 *2602:11 5.04829e-06 -15 *2353:16 *2602:11 0 -16 *2822:mprj_io_analog_en[27] *2353:16 0 -17 *2822:mprj_io_analog_pol[27] *2353:16 0 -18 *2822:mprj_io_dm[81] *2353:16 0 -19 *2822:mprj_io_dm[82] *2353:16 0 -20 *2822:mprj_io_dm[83] *2822:mprj_io_oeb[27] 0.000307827 -21 *2822:mprj_io_holdover[27] *2822:mprj_io_oeb[27] 0 -22 *2822:mprj_io_ib_mode_sel[27] *2822:mprj_io_oeb[27] 4.76719e-05 -23 *2822:mprj_io_inp_dis[27] *2353:16 0 -24 *106:37 *2822:mprj_io_oeb[27] 0.00028481 -25 *106:37 *2353:16 0.000328811 -26 *117:21 *2353:8 0.000122969 -27 *617:14 *2822:mprj_io_oeb[27] 0 -28 *617:14 *2353:16 0.000749487 -29 *618:34 *2353:8 0.00042583 -30 *633:14 *2353:8 0.00570502 -31 *634:8 *2822:mprj_io_oeb[27] 3.61346e-05 -32 *657:8 *2353:8 0 -33 *2163:21 *2353:8 0.00227526 -34 *2201:8 *2353:8 0.00120977 -35 *2201:12 *2353:8 0.000150395 -36 *2315:7 *2353:7 0 -*RES -1 *2817:pad_gpio_outenb *2353:7 5.03827 -2 *2353:7 *2353:8 92.1493 -3 *2353:8 *2353:13 14.7148 -4 *2353:13 *2353:16 36.8231 -5 *2353:16 *2822:mprj_io_oeb[27] 49.4316 -*END - -*D_NET *2354 0.0241134 -*CONN -*I *2822:mprj_io_oeb[28] I *D chip_io -*I *2818:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_oeb[28] 0.000503289 -2 *2818:pad_gpio_outenb 0.0010338 -3 *2354:14 0.0019228 -4 *2354:13 0.0024533 -5 *2354:13 *2392:7 0.000226649 -6 *2354:13 *2392:8 0.000571901 -7 *2354:13 *2430:7 0.000202772 -8 *2354:13 *2468:10 0.000139389 -9 *2354:13 *2468:12 0 -10 *2354:14 *2468:24 0.000316724 -11 *2822:mprj_io_holdover[28] *2354:14 0.00103547 -12 *617:14 *2822:mprj_io_oeb[28] 0 -13 *618:34 *2354:14 0.0012857 -14 *634:73 *2354:13 0.000536397 -15 *634:74 *2354:13 0.000217049 -16 *658:30 *2354:14 0.00392124 -17 *679:10 *2354:14 0.00842275 -18 *1974:13 *2354:13 5.04829e-06 -19 *2012:13 *2354:13 5.20546e-06 -20 *2012:14 *2354:13 0.000107729 -21 *2164:8 *2354:13 0.000203102 -22 *2166:8 *2354:14 0.000612147 -23 *2202:26 *2354:14 0.000390916 -*RES -1 *2818:pad_gpio_outenb *2354:13 45.921 -2 *2354:13 *2354:14 135.128 -3 *2354:14 *2822:mprj_io_oeb[28] 20.9664 -*END - -*D_NET *2355 0.0241492 -*CONN -*I *2822:mprj_io_oeb[29] I *D chip_io -*I *2804:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_oeb[29] 0.000434456 -2 *2804:pad_gpio_outenb 0.000437571 -3 *2355:10 0.00248967 -4 *2355:9 0.00249279 -5 *2355:9 *2393:9 0.000248958 -6 *2355:9 *2431:9 0.000331383 -7 *2355:10 *2393:16 0.00565615 -8 *2355:10 *2431:10 0.00154331 -9 *2355:10 *2469:16 0.00641149 -10 *617:70 *2355:10 0 -11 *618:34 *2355:10 0.00153785 -12 *641:12 *2822:mprj_io_oeb[29] 0 -13 *680:8 *2355:10 0 -14 *2169:13 *2355:10 0.00224259 -15 *2317:9 *2355:9 0 -16 *2317:10 *2355:10 0.000323032 -*RES -1 *2804:pad_gpio_outenb *2355:9 5.41074 -2 *2355:9 *2355:10 162.742 -3 *2355:10 *2822:mprj_io_oeb[29] 19.9966 -*END - -*D_NET *2356 0.0128743 -*CONN -*I *2822:mprj_io_oeb[2] I *D chip_io -*I *2797:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_oeb[2] 0.000244907 -2 *2797:pad_gpio_outenb 0.00034887 -3 *2356:14 0.00103875 -4 *2356:13 0.00114271 -5 *2356:13 *2394:13 3.84497e-05 -6 *2356:13 *2432:13 0.000973675 -7 *2356:13 *2470:13 6.11359e-06 -8 *2356:14 *2394:14 0.00424308 -9 *2356:14 *2470:14 0.000173913 -10 *2822:mprj_io_ib_mode_sel[2] *2822:mprj_io_oeb[2] 0 -11 *110:52 *2822:mprj_io_oeb[2] 0 -12 *626:65 *2822:mprj_io_oeb[2] 0.000510748 -13 *650:56 *2356:14 0.000330754 -14 *669:30 *2356:13 4.3116e-06 -15 *1976:19 *2822:mprj_io_oeb[2] 8.05684e-05 -16 *2159:13 *2822:mprj_io_oeb[2] 0 -17 *2242:14 *2356:14 0.00373744 -*RES -1 *2797:pad_gpio_outenb *2356:13 23.9457 -2 *2356:13 *2356:14 76.5774 -3 *2356:14 *2822:mprj_io_oeb[2] 16.7972 -*END - -*D_NET *2357 0.0242688 -*CONN -*I *2822:mprj_io_oeb[30] I *D chip_io -*I *2805:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_oeb[30] 0.000251147 -2 *2805:pad_gpio_outenb 0.00047251 -3 *2357:20 0.00182231 -4 *2357:19 0.00248412 -5 *2357:8 0.0019693 -6 *2357:7 0.00152886 -7 *2357:7 *2395:7 0.000315036 -8 *2357:7 *2433:7 0.000215594 -9 *2357:7 *2471:13 0 -10 *2357:8 *2471:13 0 -11 *2357:8 *2471:14 0.00320897 -12 *2357:20 *2822:mprj_io_out[30] 0 -13 *2357:20 *2822:mprj_io_vtrip_sel[30] 0 -14 *2822:mprj_io_analog_en[30] *2357:20 0 -15 *2822:mprj_io_analog_pol[30] *2357:20 0 -16 *2822:mprj_io_analog_sel[30] *2357:20 0 -17 *2822:mprj_io_dm[90] *2357:20 0 -18 *2822:mprj_io_dm[91] *2357:20 0 -19 *2822:mprj_io_dm[92] *2357:20 0 -20 *2822:mprj_io_holdover[30] *2357:20 0 -21 *2822:mprj_io_ib_mode_sel[30] *2357:20 0 -22 *2822:mprj_io_inp_dis[30] *2357:20 0 -23 *102:13 *2357:7 7.70397e-05 -24 *102:14 *2357:8 0.000217246 -25 *102:26 *2822:mprj_io_oeb[30] 0 -26 *102:26 *2357:20 0.00469995 -27 *106:37 *2357:19 0.00088793 -28 *106:37 *2357:20 0.000882463 -29 *618:8 *2357:19 0.000102523 -30 *681:8 *2357:19 0.000116513 -31 *1977:17 *2357:19 0.000103329 -32 *2015:8 *2357:19 0.000102523 -33 *2171:8 *2357:19 0.000106488 -34 *2172:16 *2357:20 0.000666233 -35 *2205:8 *2357:8 0.00393734 -36 *2319:16 *2357:19 0.000101362 -*RES -1 *2805:pad_gpio_outenb *2357:7 5.49721 -2 *2357:7 *2357:8 75.7469 -3 *2357:8 *2357:19 10.35 -4 *2357:19 *2357:20 75.9545 -5 *2357:20 *2822:mprj_io_oeb[30] 15.5597 -*END - -*D_NET *2358 0.0238049 -*CONN -*I *2822:mprj_io_oeb[31] I *D chip_io -*I *2806:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_oeb[31] 0.000317031 -2 *2806:pad_gpio_outenb 0.000290408 -3 *2358:12 0.00141265 -4 *2358:10 0.00114479 -5 *2358:8 0.0011796 -6 *2358:7 0.00142084 -7 *2822:mprj_io_oeb[31] *2822:mprj_io_vtrip_sel[31] 0 -8 *2822:mprj_io_oeb[31] *2720:14 0 -9 *2358:7 *2396:7 0.000234129 -10 *2358:7 *2434:7 0.000187259 -11 *2358:7 *2472:7 0 -12 *2358:8 *2396:8 0.000356446 -13 *2358:8 *2434:8 0 -14 *2358:8 *2472:8 0 -15 *2358:10 *2396:12 6.99044e-06 -16 *2358:12 *2396:8 0 -17 *2358:12 *2396:12 0.000147411 -18 *2358:12 *2720:14 0.00231718 -19 *2822:mprj_io_analog_en[31] *2358:12 0 -20 *2822:mprj_io_analog_pol[31] *2358:12 0 -21 *2822:mprj_io_dm[93] *2358:12 0 -22 *2822:mprj_io_inp_dis[31] *2358:12 0 -23 *106:37 *2358:8 0.000717354 -24 *106:37 *2358:12 0.000516155 -25 *643:14 *2358:12 0 -26 *1978:16 *2358:8 8.35615e-06 -27 *1978:16 *2358:12 0.000462947 -28 *2176:8 *2358:8 0.00523415 -29 *2176:8 *2358:12 4.46284e-06 -30 *2206:8 *2358:8 0.0053436 -31 *2206:16 *2358:12 0.00250311 -32 *2244:8 *2358:12 0 -*RES -1 *2806:pad_gpio_outenb *2358:7 4.73231 -2 *2358:7 *2358:8 99.001 -3 *2358:8 *2358:10 1.29461 -4 *2358:10 *2358:12 63.4969 -5 *2358:12 *2822:mprj_io_oeb[31] 17.0437 -*END - -*D_NET *2359 0.0241028 -*CONN -*I *2822:mprj_io_oeb[32] I *D chip_io -*I *2807:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_oeb[32] 0.000454901 -2 *2807:pad_gpio_outenb 0.000419515 -3 *2359:14 0.00121807 -4 *2359:13 0.00080952 -5 *2359:8 0.00105226 -6 *2359:7 0.00142542 -7 *2359:7 *2397:7 0.000295831 -8 *2359:7 *2435:7 0.000270967 -9 *2359:8 *2397:8 0 -10 *2359:8 *2435:8 0 -11 *2359:13 *2607:8 0.000111722 -12 *2822:mprj_io_ib_mode_sel[32] *2822:mprj_io_oeb[32] 0 -13 *104:20 *2359:8 1.41761e-05 -14 *104:20 *2359:14 0.00457599 -15 *683:8 *2359:8 0.000296518 -16 *683:8 *2359:14 0 -17 *2179:8 *2359:8 0.00451993 -18 *2179:14 *2359:8 0.000189464 -19 *2179:14 *2359:14 0.0029156 -20 *2207:8 *2359:8 0 -21 *2245:8 *2359:8 0.00505555 -22 *2245:8 *2359:14 0.000477386 -*RES -1 *2807:pad_gpio_outenb *2359:7 5.42072 -2 *2359:7 *2359:8 90.9036 -3 *2359:8 *2359:13 10.278 -4 *2359:13 *2359:14 73.2554 -5 *2359:14 *2822:mprj_io_oeb[32] 20.5512 -*END - -*D_NET *2360 0.019538 -*CONN -*I *2822:mprj_io_oeb[33] I *D chip_io -*I *2808:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_oeb[33] 0.00417531 -2 *2808:pad_gpio_outenb 0.00417531 -3 *2822:mprj_io_oeb[33] *2398:14 3.91372e-05 -4 *2822:mprj_io_oeb[33] *2436:7 1.11316e-05 -5 *106:25 *2822:mprj_io_oeb[33] 0.0111372 -6 *619:20 *2822:mprj_io_oeb[33] 0 -7 *645:15 *2822:mprj_io_oeb[33] 0 -*RES -1 *2808:pad_gpio_outenb *2822:mprj_io_oeb[33] 29.5443 -*END - -*D_NET *2361 0.0240489 -*CONN -*I *2822:mprj_io_oeb[34] I *D chip_io -*I *2809:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_oeb[34] 0.00236409 -2 *2809:pad_gpio_outenb 0.00236409 -3 *2822:mprj_io_oeb[34] *2822:mprj_io_out[34] 0.00978824 -4 *2822:mprj_io_oeb[34] *2475:27 0.00616256 -5 *2822:mprj_io_ib_mode_sel[34] *2822:mprj_io_oeb[34] 0.000480199 -6 *106:13 *2822:mprj_io_oeb[34] 0.00226118 -7 *619:20 *2822:mprj_io_oeb[34] 0 -8 *2285:11 *2822:mprj_io_oeb[34] 0.00062857 -*RES -1 *2809:pad_gpio_outenb *2822:mprj_io_oeb[34] 30.4026 -*END - -*D_NET *2362 0.0249726 -*CONN -*I *2822:mprj_io_oeb[35] I *D chip_io -*I *2783:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_oeb[35] 0.00191624 -2 *2783:pad_gpio_outenb 0.00191624 -3 *2822:mprj_io_oeb[35] *2822:mprj_io_out[35] 0.00979066 -4 *2822:mprj_io_oeb[35] *2822:mprj_io_vtrip_sel[35] 0.0108903 -5 *2822:mprj_io_oeb[35] *2438:7 7.79093e-05 -6 *2784:serial_clock *2822:mprj_io_oeb[35] 0.000237331 -7 *619:20 *2822:mprj_io_oeb[35] 2.27235e-05 -8 *1733:8 *2822:mprj_io_oeb[35] 0.00012125 -*RES -1 *2783:pad_gpio_outenb *2822:mprj_io_oeb[35] 30.2933 -*END - -*D_NET *2363 0.0179905 -*CONN -*I *2822:mprj_io_oeb[36] I *D chip_io -*I *2784:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_oeb[36] 0.00399487 -2 *2784:pad_gpio_outenb 0.00399487 -3 *2822:mprj_io_oeb[36] *2401:15 4.79986e-05 -4 *2822:mprj_io_oeb[36] *2439:7 1.78739e-05 -5 *2785:serial_load *2822:mprj_io_oeb[36] 0.000522863 -6 *108:38 *2822:mprj_io_oeb[36] 0.000573789 -7 *108:45 *2822:mprj_io_oeb[36] 0.00883824 -*RES -1 *2784:pad_gpio_outenb *2822:mprj_io_oeb[36] 28.8281 -*END - -*D_NET *2364 0.0244378 -*CONN -*I *2822:mprj_io_oeb[37] I *D chip_io -*I *2785:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_oeb[37] 0.00169221 -2 *2785:pad_gpio_outenb 0.000669548 -3 *2364:21 0.0026831 -4 *2364:15 0.00166043 -5 *2364:15 *2402:15 0.00133011 -6 *2364:21 *2402:21 0 -7 *2822:mprj_io_dm[113] *2822:mprj_io_oeb[37] 0.00461676 -8 *2822:mprj_io_holdover[37] *2364:21 0.00163116 -9 *2083:15 *2364:15 0.000305566 -10 *2212:16 *2364:21 0 -11 *2250:29 *2822:mprj_io_oeb[37] 0.00274303 -12 *2250:29 *2364:21 0.000882482 -13 *2326:15 *2364:15 0.000221251 -14 *2326:27 *2822:mprj_io_oeb[37] 0.0046136 -15 *2326:27 *2364:21 0.00138858 -*RES -1 *2785:pad_gpio_outenb *2364:15 39.4275 -2 *2364:15 *2364:21 36.9296 -3 *2364:21 *2822:mprj_io_oeb[37] 20.9365 -*END - -*D_NET *2365 0.0087859 -*CONN -*I *2822:mprj_io_oeb[3] I *D chip_io -*I *2798:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_oeb[3] 0.00102689 -2 *2798:pad_gpio_outenb 0.000120183 -3 *2365:8 0.00184285 -4 *2365:7 0.000936135 -5 *2365:7 *2403:13 3.27908e-05 -6 *2365:7 *2441:15 4.10643e-05 -7 *2365:8 *2403:13 0.000117105 -8 *2365:8 *2441:15 8.35615e-06 -9 *2365:8 *2479:13 5.39868e-05 -10 *2797:resetn_out *2822:mprj_io_oeb[3] 0.000223621 -11 *2797:resetn_out *2365:8 0.00015856 -12 *2798:pad_gpio_in *2365:8 5.88867e-05 -13 *2798:resetn *2365:7 0 -14 *627:28 *2365:8 0.00303528 -15 *2023:13 *2365:8 0.000467916 -16 *2084:13 *2365:8 0.000494416 -17 *2251:13 *2365:8 0.000167862 -18 *2251:14 *2822:mprj_io_oeb[3] 0 -19 *2251:14 *2365:8 0 -*RES -1 *2798:pad_gpio_outenb *2365:7 3.73793 -2 *2365:7 *2365:8 50.8318 -3 *2365:8 *2822:mprj_io_oeb[3] 37.9587 -*END - -*D_NET *2366 0.00618963 -*CONN -*I *2822:mprj_io_oeb[4] I *D chip_io -*I *2799:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_oeb[4] 0.000152441 -2 *2799:pad_gpio_outenb 0.00012904 -3 *2366:8 0.00265515 -4 *2366:7 0.00263175 -5 *2366:7 *2404:13 3.84497e-05 -6 *2366:7 *2442:13 3.84497e-05 -7 *2366:8 *2404:13 0.000107108 -8 *2366:8 *2442:13 3.61259e-05 -9 *2799:pad_gpio_in *2366:8 0.000117446 -10 *2822:mprj_io_ib_mode_sel[4] *2822:mprj_io_oeb[4] 0 -11 *2822:mprj_io_ib_mode_sel[4] *2366:8 0 -12 *1986:13 *2366:8 2.08076e-05 -13 *2062:13 *2366:8 0.000111131 -14 *2085:15 *2366:8 0 -15 *2087:13 *2366:8 0.000143105 -16 *2252:15 *2366:8 8.62321e-06 -17 *2252:18 *2366:8 0 -*RES -1 *2799:pad_gpio_outenb *2366:7 3.81442 -2 *2366:7 *2366:8 74.7088 -3 *2366:8 *2822:mprj_io_oeb[4] 13.1832 -*END - -*D_NET *2367 0.0126306 -*CONN -*I *2822:mprj_io_oeb[5] I *D chip_io -*I *2800:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_oeb[5] 0.000459854 -2 *2800:pad_gpio_outenb 0.000466602 -3 *2367:14 0.00133937 -4 *2367:13 0.00134612 -5 *2367:13 *2822:mprj_io_slow_sel[5] 2.45091e-05 -6 *2367:13 *2405:13 2.72062e-05 -7 *2367:13 *2481:13 0.000305015 -8 *2367:14 *2405:14 0.000106833 -9 *2367:14 *2481:14 0.00469456 -10 *655:45 *2367:14 0.000666555 -11 *2063:14 *2367:14 0.00260666 -12 *2215:14 *2367:14 0.000505697 -13 *2253:8 *2822:mprj_io_oeb[5] 8.1645e-05 -*RES -1 *2800:pad_gpio_outenb *2367:13 25.7489 -2 *2367:13 *2367:14 75.3316 -3 *2367:14 *2822:mprj_io_oeb[5] 20.8271 -*END - -*D_NET *2368 0.00825775 -*CONN -*I *2822:mprj_io_oeb[6] I *D chip_io -*I *2801:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_oeb[6] 0.00105554 -2 *2801:pad_gpio_outenb 0.000110452 -3 *2368:8 0.00187047 -4 *2368:7 0.000925385 -5 *2368:7 *2406:13 3.27908e-05 -6 *2368:7 *2444:15 4.10643e-05 -7 *2368:8 *2406:13 0.000112526 -8 *2368:8 *2444:15 8.35615e-06 -9 *2368:8 *2482:13 5.39868e-05 -10 *2801:pad_gpio_in *2368:8 0.00012693 -11 *114:60 *2368:8 0.00299366 -12 *673:20 *2368:8 7.77309e-06 -13 *1988:13 *2368:8 0.000383985 -14 *2026:13 *2368:8 5.88867e-05 -15 *2064:13 *2368:8 1.55462e-05 -16 *2091:13 *2368:8 0.00017136 -17 *2092:13 *2368:8 0.000124349 -18 *2216:13 *2368:8 0.000164682 -19 *2254:8 *2822:mprj_io_oeb[6] 0 -20 *2254:8 *2368:8 0 -*RES -1 *2801:pad_gpio_outenb *2368:7 3.73793 -2 *2368:7 *2368:8 50.0013 -3 *2368:8 *2822:mprj_io_oeb[6] 38.7892 -*END - -*D_NET *2369 0.0708056 -*CONN -*I *2822:mprj_io_oeb[7] I *D chip_io -*I *2802:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_oeb[7] 0.000243899 -2 *2802:pad_gpio_outenb 0.000436908 -3 *2369:8 0.00357636 -4 *2369:7 0.00376937 -5 *2369:7 *2407:13 4.88928e-05 -6 *2369:7 *2445:11 4.42181e-05 -7 *2369:7 *2483:7 0 -8 *2369:8 *2370:10 0.000292074 -9 *2369:8 *2483:8 0.0311294 -10 *676:14 *2822:mprj_io_oeb[7] 0 -11 *2100:10 *2369:8 0.000793233 -12 *2217:8 *2369:8 0.0304397 -13 *2255:8 *2369:8 3.15058e-05 -*RES -1 *2802:pad_gpio_outenb *2369:7 1.47244 -2 *2369:7 *2369:8 63.832 -3 *2369:8 *2822:mprj_io_oeb[7] 5.54725 -*END - -*D_NET *2370 0.0642813 -*CONN -*I *2822:mprj_io_oeb[8] I *D chip_io -*I *2786:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_oeb[8] 0.000161737 -2 *2786:pad_gpio_outenb 0.000410094 -3 *2370:10 0.00557907 -4 *2370:9 0.00582743 -5 *2822:mprj_io_oeb[8] *2409:30 0 -6 *2370:9 *2408:9 0.000100389 -7 *2370:9 *2446:13 8.53327e-05 -8 *2370:9 *2484:9 0 -9 *2370:10 *2371:8 0.000101678 -10 *2370:10 *2409:16 0.00013224 -11 *2370:10 *2483:8 0.0127686 -12 *2370:10 *2485:8 0.0061574 -13 *2822:mprj_io_ib_mode_sel[8] *2822:mprj_io_oeb[8] 1.93857e-05 -14 *676:20 *2370:10 0.000565151 -15 *2100:10 *2370:10 0.000537626 -16 *2218:10 *2370:10 0.0309327 -17 *2257:8 *2370:10 0.000610432 -18 *2369:8 *2370:10 0.000292074 -*RES -1 *2786:pad_gpio_outenb *2370:9 1.38597 -2 *2370:9 *2370:10 64.4035 -3 *2370:10 *2822:mprj_io_oeb[8] 7.24106 -*END - -*D_NET *2371 0.0710975 -*CONN -*I *2822:mprj_io_oeb[9] I *D chip_io -*I *2788:pad_gpio_outenb O *D gpio_control_block -*CAP -1 *2822:mprj_io_oeb[9] 0.000290362 -2 *2788:pad_gpio_outenb 0.000555515 -3 *2371:8 0.00512718 -4 *2371:7 0.00539233 -5 *2371:7 *2447:13 3.84497e-05 -6 *2371:7 *2485:7 0 -7 *2371:8 *2408:10 0.000156733 -8 *2371:8 *2409:30 0.000563054 -9 *2371:8 *2449:16 0.000237331 -10 *2371:8 *2484:10 7.13061e-05 -11 *2371:8 *2485:8 0.0316131 -12 *1991:14 *2371:8 0.000447726 -13 *2029:14 *2371:8 0.000506598 -14 *2100:10 *2371:8 0.0117224 -15 *2183:8 *2371:8 0.0142737 -16 *2219:8 *2371:8 0 -17 *2256:10 *2371:8 0 -18 *2333:13 *2371:7 0 -19 *2370:10 *2371:8 0.000101678 -*RES -1 *2788:pad_gpio_outenb *2371:7 1.62542 -2 *2371:7 *2371:8 64.8607 -3 *2371:8 *2822:mprj_io_oeb[9] 6.03899 -*END - -*D_NET *2372 0.0141629 -*CONN -*I *2822:mprj_io_out[0] I *D chip_io -*I *2781:pad_gpio_out O *D gpio_control_block -*CAP -1 *2822:mprj_io_out[0] 0.000301571 -2 *2781:pad_gpio_out 0.000414665 -3 *2372:14 0.00142724 -4 *2372:13 0.00154033 -5 *2372:14 *2448:14 0.00486822 -6 *2822:mprj_io_holdover[0] *2822:mprj_io_out[0] 0 -7 *1954:14 *2372:14 0 -8 *2030:14 *2822:mprj_io_out[0] 4.76719e-05 -9 *2104:16 *2372:14 0.00456074 -10 *2182:14 *2372:14 2.04806e-05 -11 *2296:13 *2372:13 0.000896908 -12 *2334:7 *2372:13 2.72062e-05 -13 *2334:8 *2372:13 5.78657e-05 -*RES -1 *2781:pad_gpio_out *2372:13 25.7433 -2 *2372:13 *2372:14 89.4502 -3 *2372:14 *2822:mprj_io_out[0] 16.5296 -*END - -*D_NET *2373 0.0699112 -*CONN -*I *2822:mprj_io_out[10] I *D chip_io -*I *2789:pad_gpio_out O *D gpio_control_block -*CAP -1 *2822:mprj_io_out[10] 0.000136239 -2 *2789:pad_gpio_out 0.000169406 -3 *2373:8 0.00427167 -4 *2373:7 0.00430484 -5 *2373:8 *2449:16 0.0241566 -6 *2373:8 *2485:8 0.00333865 -7 *2822:mprj_io_holdover[10] *2822:mprj_io_out[10] 5.51377e-06 -8 *2067:13 *2373:7 0.000573079 -9 *2108:8 *2373:8 0.00169793 -10 *2108:14 *2822:mprj_io_out[10] 0 -11 *2257:8 *2373:8 5.95141e-05 -12 *2297:7 *2373:7 0.000114501 -13 *2335:8 *2373:8 0.0310832 -*RES -1 *2789:pad_gpio_out *2373:7 1.16648 -2 *2373:7 *2373:8 62.3461 -3 *2373:8 *2822:mprj_io_out[10] 6.59986 -*END - -*D_NET *2374 0.067363 -*CONN -*I *2822:mprj_io_out[11] I *D chip_io -*I *2790:pad_gpio_out O *D gpio_control_block -*CAP -1 *2822:mprj_io_out[11] 0.000713823 -2 *2790:pad_gpio_out 0.000823544 -3 *2374:8 0.00833454 -4 *2374:7 0.00844426 -5 *2374:8 *2412:14 0.00351205 -6 *2374:8 *2412:23 0.000130189 -7 *2374:8 *2413:14 0.00112765 -8 *2374:8 *2413:20 0.000415774 -9 *2374:8 *2451:8 0.000102033 -10 *2822:mprj_io_analog_pol[11] *2374:8 0.000225478 -11 *2822:mprj_io_holdover[11] *2822:mprj_io_out[11] 0 -12 *1956:14 *2374:8 0.000632098 -13 *1956:20 *2374:8 0.000136527 -14 *1994:14 *2374:8 0.00185622 -15 *2109:18 *2374:8 0.00049341 -16 *2110:8 *2374:8 0.030929 -17 *2184:8 *2374:8 8.21151e-05 -18 *2221:8 *2374:8 0.00637302 -19 *2260:11 *2374:8 0.00195857 -20 *2261:11 *2822:mprj_io_out[11] 0 -21 *2261:19 *2374:8 0.000411271 -22 *2261:23 *2374:8 0.000267301 -23 *2298:7 *2374:7 8.96945e-05 -24 *2298:34 *2374:8 0.000231187 -25 *2336:7 *2374:7 7.32097e-05 -*RES -1 *2790:pad_gpio_out *2374:7 2.39032 -2 *2374:7 *2374:8 62.4604 -3 *2374:8 *2822:mprj_io_out[11] 6.8039 -*END - -*D_NET *2375 0.0547364 -*CONN -*I *2822:mprj_io_out[12] I *D chip_io -*I *2791:pad_gpio_out O *D gpio_control_block -*CAP -1 *2822:mprj_io_out[12] 0.000377061 -2 *2791:pad_gpio_out 0.000675973 -3 *2375:20 0.00152643 -4 *2375:19 0.00120988 -5 *2375:14 0.00575785 -6 *2375:13 0.0063733 -7 *2375:13 *2413:13 0 -8 *2375:14 *2413:14 0.00354425 -9 *2375:14 *2413:20 0.000381335 -10 *663:14 *2375:14 0 -11 *1957:17 *2375:13 0.000167452 -12 *1994:14 *2375:14 6.24797e-05 -13 *1995:26 *2375:14 0 -14 *2033:14 *2375:14 0.0224889 -15 *2033:14 *2375:20 8.36973e-06 -16 *2033:18 *2375:20 0.00222102 -17 *2110:8 *2375:14 0.00157802 -18 *2114:14 *2375:14 0 -19 *2116:14 *2375:14 0 -20 *2117:26 *2822:mprj_io_out[12] 0 -21 *2261:19 *2375:14 0 -22 *2262:11 *2375:14 0.00182968 -23 *2262:11 *2375:20 0.00540288 -24 *2298:34 *2375:14 0.000719774 -25 *2299:13 *2375:13 0.000268171 -26 *2300:26 *2375:20 0 -27 *2300:31 *2822:mprj_io_out[12] 0.000117045 -28 *2337:7 *2375:13 2.65334e-05 -*RES -1 *2791:pad_gpio_out *2375:13 27.8988 -2 *2375:13 *2375:14 362.271 -3 *2375:14 *2375:19 10.278 -4 *2375:19 *2375:20 86.5434 -5 *2375:20 *2822:mprj_io_out[12] 19.0267 -*END - -*D_NET *2376 0.0875347 -*CONN -*I *2822:mprj_io_out[13] I *D chip_io -*I *2792:pad_gpio_out O *D gpio_control_block -*CAP -1 *2822:mprj_io_out[13] 0.000148952 -2 *2792:pad_gpio_out 7.58925e-05 -3 *2376:16 0.0122531 -4 *2376:15 0.0128432 -5 *2376:10 0.00294271 -6 *2376:9 0.00227952 -7 *2376:16 *2452:8 1.83756e-05 -8 *2113:8 *2376:16 0.00478798 -9 *2185:8 *2376:16 0.000100472 -10 *2300:10 *2376:10 7.61581e-05 -11 *2337:8 *2376:16 0.000427678 -12 *2338:9 *2376:9 8.10367e-06 -13 *2338:10 *2376:10 0.0062003 -14 *2338:15 *2376:15 0.00246961 -15 *2338:16 *2376:16 0.0429026 -*RES -1 *2792:pad_gpio_out *2376:9 3.59493 -2 *2376:9 *2376:10 101.493 -3 *2376:10 *2376:15 7.19912 -4 *2376:15 *2376:16 85.3212 -5 *2376:16 *2822:mprj_io_out[13] 6.41056 -*END - -*D_NET *2377 0.0259374 -*CONN -*I *2822:mprj_io_out[14] I *D chip_io -*I *2793:pad_gpio_out O *D gpio_control_block -*CAP -1 *2822:mprj_io_out[14] 0.000444048 -2 *2793:pad_gpio_out 0.000577494 -3 *2377:18 0.00133698 -4 *2377:16 0.000918709 -5 *2377:14 0.000840068 -6 *2377:13 0.00139179 -7 *2377:13 *2415:13 9.82882e-05 -8 *2377:13 *2453:13 0.000475888 -9 *2377:14 *2453:14 0.00582318 -10 *2793:pad_gpio_in *2377:13 0.000307694 -11 *2822:mprj_io_dm[44] *2377:18 0.000542165 -12 *2822:mprj_io_holdover[14] *2822:mprj_io_out[14] 0 -13 *621:48 *2822:mprj_io_out[14] 0 -14 *2120:16 *2377:14 0.00591285 -15 *2120:16 *2377:18 0.00121704 -16 *2187:14 *2377:14 3.77665e-05 -17 *2187:14 *2377:18 0.00454199 -18 *2225:14 *2377:18 8.03311e-05 -19 *2301:15 *2377:13 5.34447e-05 -20 *2339:7 *2377:13 4.2387e-05 -21 *2339:8 *2377:13 0.000112544 -22 *2339:17 *2377:18 0.00118279 -23 *2339:20 *2377:18 0 -*RES -1 *2793:pad_gpio_out *2377:13 31.6389 -2 *2377:13 *2377:14 95.0561 -3 *2377:14 *2377:16 0.732798 -4 *2377:16 *2377:18 76.3698 -5 *2377:18 *2822:mprj_io_out[14] 20.3497 -*END - -*D_NET *2378 0.069985 -*CONN -*I *2822:mprj_io_out[15] I *D chip_io -*I *2794:pad_gpio_out O *D gpio_control_block -*CAP -1 *2822:mprj_io_out[15] 0.000341963 -2 *2794:pad_gpio_out 0.000756453 -3 *2378:33 0.00666314 -4 *2378:30 0.00689686 -5 *2378:27 0.000947202 -6 *2378:24 0.00141118 -7 *2378:21 0.00119618 -8 *2378:15 0.00167274 -9 *2378:13 0.00227269 -10 *2378:15 *2794:user_gpio_out 0.000940035 -11 *2378:24 *2416:20 0.00165373 -12 *2378:27 *2416:21 0.00430076 -13 *2378:30 *2416:26 0.00037804 -14 *2822:mprj_io_holdover[15] *2378:33 0 -15 *2822:mprj_io_ib_mode_sel[15] *2378:33 0 -16 *2822:mprj_io_oeb[15] *2378:33 0 -17 *1960:16 *2378:13 3.91685e-05 -18 *1960:19 *2378:13 0 -19 *1960:19 *2378:15 0 -20 *2188:13 *2378:13 0.0016943 -21 *2302:36 *2378:30 0 -22 *2302:39 *2378:33 0.0332655 -23 *2340:11 *2378:13 0.000191364 -24 *2340:11 *2378:15 0.00286591 -25 *2340:11 *2378:21 7.02172e-06 -26 *2340:17 *2378:21 0.000208834 -27 *2340:20 *2378:24 0.000343501 -28 *2340:21 *2378:27 0.00193843 -*RES -1 *2794:pad_gpio_out *2378:13 35.1381 -2 *2378:13 *2378:15 66.1666 -3 *2378:15 *2378:21 9.53966 -4 *2378:21 *2378:24 47.442 -5 *2378:24 *2378:27 49.5917 -6 *2378:27 *2378:30 22.849 -7 *2378:30 *2378:33 45.3353 -8 *2378:33 *2822:mprj_io_out[15] 8.86686 -*END - -*D_NET *2379 0.0202746 -*CONN -*I *2822:mprj_io_out[16] I *D chip_io -*I *2795:pad_gpio_out O *D gpio_control_block -*CAP -1 *2822:mprj_io_out[16] 0.000114788 -2 *2795:pad_gpio_out 8.14743e-05 -3 *2379:25 0.00158667 -4 *2379:16 0.0019927 -5 *2379:11 0.00293809 -6 *2379:10 0.00249875 -7 *2379:16 *2417:16 0.000349832 -8 *2379:16 *2417:23 0.000325509 -9 *2822:mprj_io_analog_pol[16] *2379:25 3.46002e-05 -10 *2822:mprj_io_dm[48] *2379:25 0.00264066 -11 *2822:mprj_io_dm[50] *2379:25 0 -12 *2822:mprj_io_oeb[16] *2379:25 0.00148998 -13 *666:17 *2379:25 0.000174143 -14 *2037:21 *2379:25 0.0001766 -15 *2125:23 *2379:25 0.000601327 -16 *2127:14 *2379:11 0.000842694 -17 *2127:17 *2379:11 0.000921648 -18 *2227:31 *2379:25 0.000255616 -19 *2303:22 *2379:25 0.000248461 -20 *2303:23 *2379:25 0.000162716 -21 *2341:19 *2379:11 0.000908333 -22 *2341:22 *2379:16 0.00193004 -*RES -1 *2795:pad_gpio_out *2379:10 8.38708 -2 *2379:10 *2379:11 87.7962 -3 *2379:11 *2379:16 41.5607 -4 *2379:16 *2379:25 49.9162 -5 *2379:25 *2822:mprj_io_out[16] 1.77093 -*END - -*D_NET *2380 0.0588451 -*CONN -*I *2822:mprj_io_out[17] I *D chip_io -*I *2796:pad_gpio_out O *D gpio_control_block -*CAP -1 *2822:mprj_io_out[17] 0.000756646 -2 *2796:pad_gpio_out 0.000126281 -3 *2380:22 0.00141702 -4 *2380:17 0.00210797 -5 *2380:11 0.00325712 -6 *2380:10 0.0019358 -7 *2822:mprj_io_out[17] *2822:mprj_io_vtrip_sel[17] 0.000123131 -8 *2380:11 *2418:11 0.0204325 -9 *2380:11 *2456:11 0.000668608 -10 *2380:22 *2822:mprj_io_vtrip_sel[17] 0.00123313 -11 *2822:mprj_io_oeb[17] *2822:mprj_io_out[17] 0.000387915 -12 *2822:mprj_io_oeb[17] *2380:22 2.04661e-05 -13 *2228:17 *2822:mprj_io_out[17] 0.000217335 -14 *2228:17 *2380:22 0.0017786 -15 *2304:11 *2380:11 0.0240309 -16 *2304:18 *2380:22 0.000173913 -17 *2304:23 *2822:mprj_io_out[17] 0.000177707 -*RES -1 *2796:pad_gpio_out *2380:10 8.46357 -2 *2380:10 *2380:11 251.404 -3 *2380:11 *2380:17 47.4373 -4 *2380:17 *2380:22 47.3742 -5 *2380:22 *2822:mprj_io_out[17] 31.2281 -*END - -*D_NET *2381 0.0274587 -*CONN -*I *2822:mprj_io_out[18] I *D chip_io -*I *2787:pad_gpio_out O *D gpio_control_block -*CAP -1 *2822:mprj_io_out[18] 0.00217273 -2 *2787:pad_gpio_out 0.000119738 -3 *2381:14 0.00431234 -4 *2381:13 0.00213961 -5 *2381:11 0.000694004 -6 *2381:10 0.000813742 -7 *2822:mprj_io_analog_sel[18] *2822:mprj_io_out[18] 0 -8 *2822:mprj_io_dm[56] *2822:mprj_io_out[18] 0.00452716 -9 *2822:mprj_io_inp_dis[18] *2822:mprj_io_out[18] 0 -10 *2039:11 *2381:11 0.00395782 -11 *2132:11 *2381:11 0.00872157 -*RES -1 *2787:pad_gpio_out *2381:10 8.46357 -2 *2381:10 *2381:11 91.1238 -3 *2381:11 *2381:13 4.5 -4 *2381:13 *2381:14 58.9292 -5 *2381:14 *2822:mprj_io_out[18] 18.7316 -*END - -*D_NET *2382 0.0286051 -*CONN -*I *2822:mprj_io_out[19] I *D chip_io -*I *2803:pad_gpio_out O *D gpio_control_block -*CAP -1 *2822:mprj_io_out[19] 0.000381482 -2 *2803:pad_gpio_out 0.000951807 -3 *2382:13 0.00150651 -4 *2382:12 0.00207684 -5 *2382:13 *2593:8 0.000762828 -6 *2822:mprj_io_inp_dis[19] *2382:12 0.00207135 -7 *2230:17 *2382:13 0.00912711 -8 *2344:17 *2382:13 0.0117271 -*RES -1 *2803:pad_gpio_out *2382:12 20.8516 -2 *2382:12 *2382:13 122.736 -3 *2382:13 *2822:mprj_io_out[19] 14.7592 -*END - -*D_NET *2383 0.0107324 -*CONN -*I *2822:mprj_io_out[1] I *D chip_io -*I *2782:pad_gpio_out O *D gpio_control_block -*CAP -1 *2822:mprj_io_out[1] 0.000273539 -2 *2782:pad_gpio_out 0.000504449 -3 *2383:14 0.0022779 -4 *2383:13 0.00250881 -5 *2383:14 *2822:mprj_io_vtrip_sel[1] 0 -6 *2383:14 *2459:14 0 -7 *2822:mprj_io_ib_mode_sel[1] *2383:14 0 -8 *2137:14 *2822:mprj_io_out[1] 0 -9 *2137:14 *2383:14 0.00452452 -10 *2193:14 *2383:14 0.000197433 -11 *2231:15 *2383:13 0 -12 *2231:16 *2383:14 0 -13 *2307:13 *2383:13 0.000353731 -14 *2345:7 *2383:13 3.84497e-05 -15 *2345:8 *2383:13 5.35541e-05 -16 *2345:8 *2383:14 0 -*RES -1 *2782:pad_gpio_out *2383:13 26.9862 -2 *2383:13 *2383:14 85.2977 -3 *2383:14 *2822:mprj_io_out[1] 16.2509 -*END - -*D_NET *2384 0.0292281 -*CONN -*I *2822:mprj_io_out[20] I *D chip_io -*I *2810:pad_gpio_out O *D gpio_control_block -*CAP -1 *2822:mprj_io_out[20] 0.00131747 -2 *2810:pad_gpio_out 7.95428e-05 -3 *2384:11 0.0020433 -4 *2384:10 0.000805376 -5 *2822:mprj_io_out[20] *2422:16 0.00143235 -6 *2384:11 *2422:11 6.66012e-05 -7 *2822:mprj_io_analog_sel[20] *2822:mprj_io_out[20] 0.000976097 -8 *2822:mprj_io_dm[60] *2822:mprj_io_out[20] 0.00445996 -9 *2822:mprj_io_dm[62] *2822:mprj_io_out[20] 0.00740116 -10 *2822:mprj_io_holdover[20] *2822:mprj_io_out[20] 0.000326173 -11 *689:20 *2822:mprj_io_out[20] 0.000460161 -12 *1966:11 *2384:11 0.000389428 -13 *1966:16 *2822:mprj_io_out[20] 0 -14 *2139:13 *2384:11 0.00324731 -15 *2194:13 *2384:11 0.000212404 -16 *2232:13 *2384:11 0 -17 *2270:20 *2384:11 0.00590044 -18 *2308:11 *2384:11 9.65932e-05 -19 *2308:22 *2822:mprj_io_out[20] 1.37385e-05 -*RES -1 *2810:pad_gpio_out *2384:10 8.38708 -2 *2384:10 *2384:11 66.7212 -3 *2384:11 *2822:mprj_io_out[20] 48.5131 -*END - -*D_NET *2385 0.0330029 -*CONN -*I *2822:mprj_io_out[21] I *D chip_io -*I *2811:pad_gpio_out O *D gpio_control_block -*CAP -1 *2822:mprj_io_out[21] 5.11528e-05 -2 *2811:pad_gpio_out 8.74015e-05 -3 *2385:17 0.000510153 -4 *2385:16 0.000459001 -5 *2385:14 0.000719621 -6 *2385:13 0.000719621 -7 *2385:11 0.00105832 -8 *2385:10 0.00114572 -9 *2385:11 *2423:13 0.000120742 -10 *2385:11 *2670:14 0.000577063 -11 *2385:14 *2461:14 0.00357605 -12 *2385:17 *2461:17 0.00757108 -13 *690:20 *2385:14 0.00293683 -14 *690:23 *2385:11 0 -15 *1718:40 *2385:11 0.000246253 -16 *2233:17 *2385:17 0.00755944 -17 *2309:11 *2385:11 0.00566446 -18 *2347:14 *2385:14 0 -*RES -1 *2811:pad_gpio_out *2385:10 8.38708 -2 *2385:10 *2385:11 62.2844 -3 *2385:11 *2385:13 4.5 -4 *2385:13 *2385:14 58.3063 -5 *2385:14 *2385:16 4.5 -6 *2385:16 *2385:17 79.1998 -7 *2385:17 *2822:mprj_io_out[21] 5.62362 -*END - -*D_NET *2386 0.0229093 -*CONN -*I *2822:mprj_io_out[22] I *D chip_io -*I *2812:pad_gpio_out O *D gpio_control_block -*CAP -1 *2822:mprj_io_out[22] 0.00124543 -2 *2812:pad_gpio_out 0.000395099 -3 *2386:11 0.00377678 -4 *2386:10 0.00292645 -5 *2386:10 *2709:5 0 -6 *2822:mprj_io_holdover[22] *2822:mprj_io_out[22] 0.0077888 -7 *2822:mprj_io_inp_dis[22] *2822:mprj_io_out[22] 0.00583825 -8 *2145:22 *2822:mprj_io_out[22] 0.000721482 -9 *2146:17 *2822:mprj_io_out[22] 0.000216982 -*RES -1 *2812:pad_gpio_out *2386:10 16.7686 -2 *2386:10 *2386:11 66.7212 -3 *2386:11 *2822:mprj_io_out[22] 37.1605 -*END - -*D_NET *2387 0.0203118 -*CONN -*I *2822:mprj_io_out[23] I *D chip_io -*I *2813:pad_gpio_out O *D gpio_control_block -*CAP -1 *2822:mprj_io_out[23] 0.000358962 -2 *2813:pad_gpio_out 0.00411355 -3 *2387:15 0.00447251 -4 *2387:15 *2463:15 0.0113668 -5 *2822:mprj_io_analog_sel[23] *2387:15 0 -6 *2822:mprj_io_holdover[23] *2822:mprj_io_out[23] 0 -*RES -1 *2813:pad_gpio_out *2387:15 41.2706 -2 *2387:15 *2822:mprj_io_out[23] 10.0515 -*END - -*D_NET *2388 0.0204217 -*CONN -*I *2822:mprj_io_out[24] I *D chip_io -*I *2814:pad_gpio_out O *D gpio_control_block -*CAP -1 *2822:mprj_io_out[24] 0.000922992 -2 *2814:pad_gpio_out 2.68684e-05 -3 *2388:30 2.68684e-05 -4 *2388:8 0.00222361 -5 *2388:7 0.00130061 -6 *2388:5 0.00153944 -7 *2388:4 0.00153944 -8 *2822:mprj_io_out[24] *2822:mprj_io_vtrip_sel[24] 9.43525e-05 -9 *2388:5 *2464:8 0 -10 *2822:mprj_io_ib_mode_sel[24] *2822:mprj_io_out[24] 0 -11 *2822:mprj_io_oeb[24] *2822:mprj_io_out[24] 0.000515039 -12 *2236:5 *2388:5 0.00293711 -13 *2274:16 *2388:8 0.000566003 -14 *2312:5 *2388:5 0.00295828 -15 *2312:8 *2388:8 0.00573034 -16 *2312:11 *2822:mprj_io_out[24] 0 -17 *2350:5 *2388:5 0 -18 *2350:8 *2388:8 4.0752e-05 -*RES -1 *2814:pad_gpio_out *2388:4 3.36879 -2 *2388:4 *2388:5 98.3781 -3 *2388:5 *2388:7 4.5 -4 *2388:7 *2388:8 61.1752 -5 *2388:8 *2822:mprj_io_out[24] 43.566 -6 *2814:pad_gpio_out *2388:30 0.0631875 -*END - -*D_NET *2389 0.0238073 -*CONN -*I *2822:mprj_io_out[25] I *D chip_io -*I *2815:pad_gpio_out O *D gpio_control_block -*CAP -1 *2822:mprj_io_out[25] 0.000480926 -2 *2815:pad_gpio_out 0.000518159 -3 *2389:22 0.000876225 -4 *2389:19 0.00119044 -5 *2389:8 0.00159745 -6 *2389:7 0.00132046 -7 *2389:7 *2427:7 0 -8 *2389:22 *2465:20 0.00280812 -9 *2822:mprj_io_holdover[25] *2822:mprj_io_out[25] 0 -10 *106:43 *2822:mprj_io_out[25] 0 -11 *618:34 *2389:8 0.000844821 -12 *618:34 *2389:19 0.000789744 -13 *618:34 *2389:22 0.00040747 -14 *632:42 *2822:mprj_io_out[25] 0 -15 *632:49 *2389:8 0.00423556 -16 *633:29 *2389:8 0.000355675 -17 *655:8 *2389:8 0.00527649 -18 *694:19 *2389:19 3.8226e-05 -19 *2047:14 *2389:22 0.00211973 -20 *2156:23 *2389:19 0 -21 *2199:8 *2389:22 1.2819e-05 -22 *2237:22 *2389:22 0.000649613 -23 *2313:7 *2389:7 0.000252564 -24 *2351:16 *2389:7 3.27908e-05 -*RES -1 *2815:pad_gpio_out *2389:7 5.19125 -2 *2389:7 *2389:8 84.8824 -3 *2389:8 *2389:19 9.39428 -4 *2389:19 *2389:22 49.7258 -5 *2389:22 *2822:mprj_io_out[25] 15.2179 -*END - -*D_NET *2390 0.0212011 -*CONN -*I *2822:mprj_io_out[26] I *D chip_io -*I *2816:pad_gpio_out O *D gpio_control_block -*CAP -1 *2822:mprj_io_out[26] 5.8947e-05 -2 *2816:pad_gpio_out 0.000297467 -3 *2390:25 0.000970883 -4 *2390:20 0.0013397 -5 *2390:17 0.00108919 -6 *2390:12 0.00174211 -7 *2390:10 0.00137815 -8 *2390:10 *2428:8 0.000183438 -9 *2390:12 *2428:8 0 -10 *2822:mprj_io_analog_sel[26] *2390:20 0 -11 *2822:mprj_io_analog_sel[26] *2390:25 0.00103596 -12 *2822:mprj_io_holdover[26] *2390:25 0 -13 *102:49 *2390:20 0.000387524 -14 *617:14 *2822:mprj_io_out[26] 0 -15 *633:29 *2390:20 8.35615e-06 -16 *633:29 *2390:25 0.00189039 -17 *642:52 *2390:25 0.000157966 -18 *695:27 *2390:17 0 -19 *695:28 *2390:10 0.000259512 -20 *695:28 *2390:12 0.00363712 -21 *2048:14 *2390:20 0.00254661 -22 *2048:14 *2390:25 0.000103433 -23 *2160:8 *2390:20 0.000426657 -24 *2200:20 *2390:20 0.0016184 -25 *2238:27 *2390:12 0.00174387 -26 *2276:11 *2390:12 0.000107318 -27 *2314:10 *2390:10 0.000109066 -28 *2352:10 *2390:10 0.000109066 -*RES -1 *2816:pad_gpio_out *2390:10 13.7869 -2 *2390:10 *2390:12 62.8863 -3 *2390:12 *2390:17 21.9247 -4 *2390:17 *2390:20 41.9527 -5 *2390:20 *2390:25 46.0376 -6 *2390:25 *2822:mprj_io_out[26] 6.03888 -*END - -*D_NET *2391 0.0180151 -*CONN -*I *2822:mprj_io_out[27] I *D chip_io -*I *2817:pad_gpio_out O *D gpio_control_block -*CAP -1 *2822:mprj_io_out[27] 0.000526378 -2 *2817:pad_gpio_out 0.000133019 -3 *2391:26 0.00124387 -4 *2391:22 0.000866069 -5 *2391:19 0.000971067 -6 *2391:8 0.00275493 -7 *2391:7 0.00206546 -8 *2391:8 *2602:11 0.00126049 -9 *2391:19 *2467:13 5.20546e-06 -10 *2391:22 *2467:8 0.000107729 -11 *2391:26 *2467:14 0 -12 *2822:mprj_io_analog_en[27] *2391:26 0.000340658 -13 *2822:mprj_io_holdover[27] *2822:mprj_io_out[27] 0 -14 *2822:mprj_io_oeb[27] *2822:mprj_io_out[27] 0 -15 *102:49 *2391:19 0.000122623 -16 *106:37 *2391:8 0.000403321 -17 *117:8 *2391:8 0 -18 *617:14 *2391:8 0 -19 *618:34 *2391:26 0.000368084 -20 *657:20 *2391:26 0.00117555 -21 *696:8 *2391:19 0.000837016 -22 *696:8 *2391:22 1.87125e-05 -23 *1973:8 *2391:22 0.000552609 -24 *2161:8 *2391:22 9.71323e-06 -25 *2161:8 *2391:26 0.000583014 -26 *2201:12 *2391:26 0.000504163 -27 *2239:8 *2391:8 0.00132724 -28 *2239:17 *2391:19 0.000837016 -29 *2239:20 *2391:22 0.000440483 -30 *2239:20 *2391:26 9.71323e-06 -31 *2277:10 *2391:8 0 -32 *2315:7 *2391:7 0.000154831 -33 *2315:16 *2391:19 5.04829e-06 -34 *2315:16 *2391:26 0.000236262 -35 *2353:7 *2391:7 0.000154831 -*RES -1 *2817:pad_gpio_out *2391:7 4.19688 -2 *2391:7 *2391:8 75.5393 -3 *2391:8 *2391:19 44.5525 -4 *2391:19 *2391:22 10.8088 -5 *2391:22 *2391:26 49.3106 -6 *2391:26 *2822:mprj_io_out[27] 16.7451 -*END - -*D_NET *2392 0.0201336 -*CONN -*I *2822:mprj_io_out[28] I *D chip_io -*I *2818:pad_gpio_out O *D gpio_control_block -*CAP -1 *2822:mprj_io_out[28] 0.000740305 -2 *2818:pad_gpio_out 0.000323676 -3 *2392:8 0.00207399 -4 *2392:7 0.00165736 -5 *2822:mprj_io_analog_sel[28] *2822:mprj_io_out[28] 0.000519635 -6 *2822:mprj_io_analog_sel[28] *2392:8 0.000149592 -7 *2822:mprj_io_holdover[28] *2822:mprj_io_out[28] 0 -8 *2822:mprj_io_ib_mode_sel[28] *2822:mprj_io_out[28] 0 -9 *106:37 *2392:8 0.000803612 -10 *118:10 *2822:mprj_io_out[28] 0.000399574 -11 *118:10 *2392:8 0.00756693 -12 *634:73 *2392:8 0.000383704 -13 *658:36 *2822:mprj_io_out[28] 0.000327995 -14 *1974:14 *2392:8 0.00111496 -15 *2012:14 *2392:8 1.28326e-05 -16 *2240:7 *2392:7 0 -17 *2316:7 *2392:7 8.42027e-05 -18 *2316:14 *2392:8 0.00317671 -19 *2354:13 *2392:7 0.000226649 -20 *2354:13 *2392:8 0.000571901 -*RES -1 *2818:pad_gpio_out *2392:7 4.65582 -2 *2392:7 *2392:8 122.182 -3 *2392:8 *2822:mprj_io_out[28] 38.9022 -*END - -*D_NET *2393 0.0221501 -*CONN -*I *2822:mprj_io_out[29] I *D chip_io -*I *2804:pad_gpio_out O *D gpio_control_block -*CAP -1 *2822:mprj_io_out[29] 0.000461355 -2 *2804:pad_gpio_out 0.000307472 -3 *2393:16 0.00134139 -4 *2393:15 0.00095824 -5 *2393:10 0.00063182 -6 *2393:9 0.000861084 -7 *2393:10 *2469:10 0.00301896 -8 *2393:15 *2469:15 8.79845e-05 -9 *2822:mprj_io_holdover[29] *2822:mprj_io_out[29] 0 -10 *101:19 *2393:15 0.000251655 -11 *106:37 *2393:10 0.000233006 -12 *617:70 *2393:10 0.000644849 -13 *617:70 *2393:16 0.000530665 -14 *618:34 *2393:16 0.000864449 -15 *641:12 *2822:mprj_io_out[29] 0 -16 *2013:10 *2393:10 0.0016983 -17 *2168:10 *2393:10 1.87269e-05 -18 *2203:34 *2393:10 8.62625e-06 -19 *2317:9 *2393:9 0.000248958 -20 *2317:10 *2393:16 0.0040775 -21 *2355:9 *2393:9 0.000248958 -22 *2355:10 *2393:16 0.00565615 -*RES -1 *2804:pad_gpio_out *2393:9 4.9518 -2 *2393:9 *2393:10 49.7936 -3 *2393:10 *2393:15 11.9418 -4 *2393:15 *2393:16 90.696 -5 *2393:16 *2822:mprj_io_out[29] 20.4507 -*END - -*D_NET *2394 0.0145715 -*CONN -*I *2822:mprj_io_out[2] I *D chip_io -*I *2797:pad_gpio_out O *D gpio_control_block -*CAP -1 *2822:mprj_io_out[2] 0.000472245 -2 *2797:pad_gpio_out 0.000697202 -3 *2394:14 0.0012301 -4 *2394:13 0.00145506 -5 *2394:14 *2470:14 0.000231356 -6 *2797:pad_gpio_in *2394:13 0.000119662 -7 *110:52 *2822:mprj_io_out[2] 0 -8 *626:66 *2394:14 0.00101785 -9 *669:30 *2394:13 6.19102e-05 -10 *2204:14 *2394:14 0.00482627 -11 *2242:14 *2394:14 2.01595e-05 -12 *2318:13 *2394:13 0.000158111 -13 *2356:13 *2394:13 3.84497e-05 -14 *2356:14 *2394:14 0.00424308 -*RES -1 *2797:pad_gpio_out *2394:13 30.3139 -2 *2394:13 *2394:14 85.2977 -3 *2394:14 *2822:mprj_io_out[2] 19.8045 -*END - -*D_NET *2395 0.0218132 -*CONN -*I *2822:mprj_io_out[30] I *D chip_io -*I *2805:pad_gpio_out O *D gpio_control_block -*CAP -1 *2822:mprj_io_out[30] 0.000588648 -2 *2805:pad_gpio_out 0.000398917 -3 *2395:14 0.00120104 -4 *2395:13 0.000703742 -5 *2395:8 0.000830416 -6 *2395:7 0.00113798 -7 *2395:8 *2471:13 0.00049962 -8 *2395:14 *2471:14 0.000109332 -9 *2822:mprj_io_holdover[30] *2822:mprj_io_out[30] 0 -10 *102:14 *2395:8 0.00413468 -11 *102:25 *2395:13 1.19726e-05 -12 *642:13 *2395:13 5.04829e-06 -13 *2053:8 *2395:8 0.000204269 -14 *2053:8 *2395:14 0.00348354 -15 *2173:14 *2395:8 0.00358909 -16 *2205:12 *2395:14 0.00395237 -17 *2243:7 *2395:7 0 -18 *2243:13 *2395:13 1.03403e-05 -19 *2243:14 *2395:8 7.90605e-05 -20 *2243:14 *2395:14 0.000332901 -21 *2319:7 *2395:7 0.00022519 -22 *2357:7 *2395:7 0.000315036 -23 *2357:20 *2822:mprj_io_out[30] 0 -*RES -1 *2805:pad_gpio_out *2395:7 5.19125 -2 *2395:7 *2395:8 74.7088 -3 *2395:8 *2395:13 10.8326 -4 *2395:13 *2395:14 67.0266 -5 *2395:14 *2822:mprj_io_out[30] 23.0455 -*END - -*D_NET *2396 0.0221007 -*CONN -*I *2822:mprj_io_out[31] I *D chip_io -*I *2806:pad_gpio_out O *D gpio_control_block -*CAP -1 *2822:mprj_io_out[31] 0.000309616 -2 *2806:pad_gpio_out 0.000316855 -3 *2396:12 0.000835405 -4 *2396:8 0.00151765 -5 *2396:7 0.00130872 -6 *2822:mprj_io_out[31] *2720:14 0 -7 *2396:7 *2472:7 0 -8 *2396:8 *2472:8 0 -9 *106:37 *2396:8 0.000742502 -10 *106:37 *2396:12 0.000311184 -11 *2176:8 *2396:8 0.00524718 -12 *2176:14 *2396:12 0.00222169 -13 *2206:16 *2396:8 4.46284e-06 -14 *2206:16 *2396:12 0.00249009 -15 *2244:8 *2396:8 0.0055988 -16 *2244:8 *2396:12 0.000192552 -17 *2320:7 *2396:7 0.000258993 -18 *2358:7 *2396:7 0.000234129 -19 *2358:8 *2396:8 0.000356446 -20 *2358:10 *2396:12 6.99044e-06 -21 *2358:12 *2396:8 0 -22 *2358:12 *2396:12 0.000147411 -*RES -1 *2806:pad_gpio_out *2396:7 4.88529 -2 *2396:7 *2396:8 97.34 -3 *2396:8 *2396:12 46.5205 -4 *2396:12 *2822:mprj_io_out[31] 16.4919 -*END - -*D_NET *2397 0.0218895 -*CONN -*I *2822:mprj_io_out[32] I *D chip_io -*I *2807:pad_gpio_out O *D gpio_control_block -*CAP -1 *2822:mprj_io_out[32] 0.000385248 -2 *2807:pad_gpio_out 0.000409045 -3 *2397:18 0.000954623 -4 *2397:17 0.000668528 -5 *2397:8 0.00102854 -6 *2397:7 0.00133843 -7 *2822:mprj_io_out[32] *2473:14 0 -8 *2397:8 *2435:8 0.000576806 -9 *2397:17 *2822:mprj_io_slow_sel[32] 3.31998e-05 -10 *2807:pad_gpio_in *2397:7 0 -11 *2822:mprj_io_holdover[32] *2822:mprj_io_out[32] 0 -12 *2822:mprj_io_inp_dis[32] *2397:7 5.56976e-05 -13 *104:20 *2397:18 0 -14 *619:20 *2397:8 0.000597982 -15 *619:20 *2397:18 0.000381043 -16 *620:23 *2397:18 0.00352547 -17 *2055:8 *2397:8 0.0040164 -18 *2055:8 *2397:18 0.00283747 -19 *2179:13 *2397:17 0 -20 *2207:8 *2397:8 0.00463374 -21 *2207:8 *2397:18 0.000151461 -22 *2245:7 *2397:7 0 -23 *2359:7 *2397:7 0.000295831 -24 *2359:8 *2397:8 0 -*RES -1 *2807:pad_gpio_out *2397:7 5.03827 -2 *2397:7 *2397:8 84.2596 -3 *2397:8 *2397:17 11.4354 -4 *2397:17 *2397:18 56.6453 -5 *2397:18 *2822:mprj_io_out[32] 18.6087 -*END - -*D_NET *2398 0.0183478 -*CONN -*I *2822:mprj_io_out[33] I *D chip_io -*I *2808:pad_gpio_out O *D gpio_control_block -*CAP -1 *2822:mprj_io_out[33] 0.000306592 -2 *2808:pad_gpio_out 0.00121919 -3 *2398:16 0.00210839 -4 *2398:14 0.00302099 -5 *2398:14 *2436:7 0 -6 *2398:14 *2436:8 4.61488e-05 -7 *2398:14 *2474:8 0 -8 *2822:mprj_io_analog_en[33] *2398:16 0 -9 *2822:mprj_io_analog_pol[33] *2398:16 0 -10 *2822:mprj_io_analog_sel[33] *2398:16 0 -11 *2822:mprj_io_dm[101] *2398:16 0 -12 *2822:mprj_io_dm[99] *2398:16 0 -13 *2822:mprj_io_holdover[33] *2822:mprj_io_out[33] 0 -14 *2822:mprj_io_holdover[33] *2398:16 0 -15 *2822:mprj_io_inp_dis[33] *2398:16 0 -16 *2822:mprj_io_oeb[33] *2398:14 3.91372e-05 -17 *621:15 *2398:14 0.000210571 -18 *621:15 *2398:16 0.00109454 -19 *1980:16 *2398:16 0.000236262 -20 *2018:16 *2398:16 0.00435894 -21 *2069:8 *2398:14 0.000743661 -22 *2069:8 *2398:16 0.00349 -23 *2180:8 *2398:14 0.000680844 -24 *2180:8 *2398:16 1.72954e-05 -25 *2246:8 *2398:14 0.000192 -26 *2246:8 *2398:16 0 -27 *2322:7 *2398:14 0.000184888 -28 *2322:8 *2398:14 0.000276281 -29 *2322:14 *2398:16 0.000122025 -*RES -1 *2808:pad_gpio_out *2398:14 46.3276 -2 *2398:14 *2398:16 101.7 -3 *2398:16 *2822:mprj_io_out[33] 17.0842 -*END - -*D_NET *2399 0.0226607 -*CONN -*I *2822:mprj_io_out[34] I *D chip_io -*I *2809:pad_gpio_out O *D gpio_control_block -*CAP -1 *2822:mprj_io_out[34] 0.00174561 -2 *2809:pad_gpio_out 0.00174561 -3 *2822:mprj_io_ib_mode_sel[34] *2822:mprj_io_out[34] 0.00926698 -4 *2822:mprj_io_oeb[34] *2822:mprj_io_out[34] 0.00978824 -5 *103:23 *2822:mprj_io_out[34] 6.88661e-06 -6 *619:20 *2822:mprj_io_out[34] 4.44764e-05 -7 *2323:7 *2822:mprj_io_out[34] 6.29293e-05 -*RES -1 *2809:pad_gpio_out *2822:mprj_io_out[34] 26.8675 -*END - -*D_NET *2400 0.0188438 -*CONN -*I *2822:mprj_io_out[35] I *D chip_io -*I *2783:pad_gpio_out O *D gpio_control_block -*CAP -1 *2822:mprj_io_out[35] 0.00231875 -2 *2783:pad_gpio_out 0.00231875 -3 *2822:mprj_io_out[35] *2822:mprj_io_vtrip_sel[35] 7.82743e-05 -4 *2822:mprj_io_oeb[35] *2822:mprj_io_out[35] 0.00979066 -5 *619:20 *2822:mprj_io_out[35] 0.0033237 -6 *1733:8 *2822:mprj_io_out[35] 0.000810937 -7 *2076:8 *2822:mprj_io_out[35] 0.000125376 -8 *2248:8 *2822:mprj_io_out[35] 0 -9 *2324:7 *2822:mprj_io_out[35] 7.73422e-05 -*RES -1 *2783:pad_gpio_out *2822:mprj_io_out[35] 26.9112 -*END - -*D_NET *2401 0.0215434 -*CONN -*I *2822:mprj_io_out[36] I *D chip_io -*I *2784:pad_gpio_out O *D gpio_control_block -*CAP -1 *2822:mprj_io_out[36] 0.000300007 -2 *2784:pad_gpio_out 0.00145717 -3 *2401:18 0.0013786 -4 *2401:17 0.0010786 -5 *2401:15 0.00145717 -6 *2401:15 *2439:7 0 -7 *2401:15 *2477:8 0.000492533 -8 *2401:18 *2477:16 0.000784944 -9 *2822:mprj_io_oeb[36] *2401:15 4.79986e-05 -10 *108:38 *2401:15 0 -11 *1733:8 *2401:15 0.000213714 -12 *1745:13 *2401:18 0.00111963 -13 *2059:16 *2401:18 0.00542288 -14 *2077:10 *2401:15 0.00144469 -15 *2078:10 *2401:15 0.00159788 -16 *2325:10 *2401:15 0.000179179 -17 *2325:12 *2401:18 0.00456844 -*RES -1 *2784:pad_gpio_out *2401:15 49.5322 -2 *2401:15 *2401:17 3.36879 -3 *2401:17 *2401:18 99.2086 -4 *2401:18 *2822:mprj_io_out[36] 16.8083 -*END - -*D_NET *2402 0.0224945 -*CONN -*I *2822:mprj_io_out[37] I *D chip_io -*I *2785:pad_gpio_out O *D gpio_control_block -*CAP -1 *2822:mprj_io_out[37] 0.00110301 -2 *2785:pad_gpio_out 0.000581428 -3 *2402:21 0.00267863 -4 *2402:15 0.00215705 -5 *2822:mprj_io_analog_sel[37] *2822:mprj_io_out[37] 0.00548335 -6 *2822:mprj_io_holdover[37] *2822:mprj_io_out[37] 0.00600066 -7 *2822:mprj_io_holdover[37] *2402:21 5.56719e-05 -8 *2022:14 *2822:mprj_io_out[37] 0.000456787 -9 *2083:15 *2402:15 2.5386e-05 -10 *2083:15 *2402:21 0.000480206 -11 *2250:15 *2402:15 0.000282893 -12 *2250:29 *2822:mprj_io_out[37] 7.29341e-05 -13 *2326:15 *2402:15 0.00091755 -14 *2326:27 *2402:21 0.000868866 -15 *2364:15 *2402:15 0.00133011 -16 *2364:21 *2402:21 0 -*RES -1 *2785:pad_gpio_out *2402:15 40.5395 -2 *2402:15 *2402:21 39.5741 -3 *2402:21 *2822:mprj_io_out[37] 17.9268 -*END - -*D_NET *2403 0.0148195 -*CONN -*I *2822:mprj_io_out[3] I *D chip_io -*I *2798:pad_gpio_out O *D gpio_control_block -*CAP -1 *2822:mprj_io_out[3] 0.000468018 -2 *2798:pad_gpio_out 0.000429004 -3 *2403:14 0.00132403 -4 *2403:13 0.00128502 -5 *2403:13 *2441:15 0.000171753 -6 *2403:14 *2479:14 0.00482118 -7 *2798:pad_gpio_in *2403:13 0 -8 *2822:mprj_io_analog_sel[3] *2822:mprj_io_out[3] 0 -9 *2822:mprj_io_holdover[3] *2822:mprj_io_out[3] 0 -10 *670:14 *2403:14 0.000322117 -11 *2061:16 *2822:mprj_io_out[3] 0 -12 *2084:14 *2403:14 0.00446669 -13 *2213:16 *2403:14 1.2693e-05 -14 *2289:15 *2403:14 0.000317325 -15 *2327:15 *2403:13 0.00105174 -16 *2365:7 *2403:13 3.27908e-05 -17 *2365:8 *2403:13 0.000117105 -*RES -1 *2798:pad_gpio_out *2403:13 27.8223 -2 *2403:13 *2403:14 87.7892 -3 *2403:14 *2822:mprj_io_out[3] 19.5785 -*END - -*D_NET *2404 0.011995 -*CONN -*I *2822:mprj_io_out[4] I *D chip_io -*I *2799:pad_gpio_out O *D gpio_control_block -*CAP -1 *2822:mprj_io_out[4] 0.000370524 -2 *2799:pad_gpio_out 0.000558536 -3 *2404:14 0.00169382 -4 *2404:13 0.00188184 -5 *2404:14 *2480:14 0.00158375 -6 *2799:pad_gpio_in *2404:13 0.000171478 -7 *654:43 *2404:14 0.000788003 -8 *671:14 *2822:mprj_io_out[4] 0 -9 *671:14 *2404:14 0.000250698 -10 *2024:16 *2404:14 0 -11 *2062:14 *2404:14 0.00411224 -12 *2087:14 *2404:14 0.00020105 -13 *2328:15 *2404:13 0.000237476 -14 *2366:7 *2404:13 3.84497e-05 -15 *2366:8 *2404:13 0.000107108 -*RES -1 *2799:pad_gpio_out *2404:13 29.2047 -2 *2404:13 *2404:14 85.2977 -3 *2404:14 *2822:mprj_io_out[4] 17.9147 -*END - -*D_NET *2405 0.0123291 -*CONN -*I *2822:mprj_io_out[5] I *D chip_io -*I *2800:pad_gpio_out O *D gpio_control_block -*CAP -1 *2822:mprj_io_out[5] 0.00039668 -2 *2800:pad_gpio_out 0.000772615 -3 *2405:14 0.00227794 -4 *2405:13 0.00265387 -5 *114:42 *2405:14 0.000834009 -6 *2215:14 *2405:14 0.00481609 -7 *2329:13 *2405:13 0.000443893 -8 *2367:13 *2405:13 2.72062e-05 -9 *2367:14 *2405:14 0.000106833 -*RES -1 *2800:pad_gpio_out *2405:13 32.8054 -2 *2405:13 *2405:14 82.8062 -3 *2405:14 *2822:mprj_io_out[5] 19.5785 -*END - -*D_NET *2406 0.0127124 -*CONN -*I *2822:mprj_io_out[6] I *D chip_io -*I *2801:pad_gpio_out O *D gpio_control_block -*CAP -1 *2822:mprj_io_out[6] 0.000317366 -2 *2801:pad_gpio_out 0.000370033 -3 *2406:14 0.00157157 -4 *2406:13 0.00162423 -5 *2406:13 *2444:15 0.000171753 -6 *2406:14 *2482:14 0.00127793 -7 *2801:pad_gpio_in *2406:13 0 -8 *2822:mprj_io_analog_sel[6] *2822:mprj_io_out[6] 0.000223156 -9 *2822:mprj_io_holdover[6] *2822:mprj_io_out[6] 0 -10 *114:54 *2406:14 0.00283599 -11 *630:47 *2406:14 0 -12 *654:58 *2822:mprj_io_out[6] 5.04829e-06 -13 *673:14 *2406:14 0.00281501 -14 *2094:16 *2406:14 0.000539577 -15 *2216:14 *2406:14 0 -16 *2330:15 *2406:13 0.000815431 -17 *2368:7 *2406:13 3.27908e-05 -18 *2368:8 *2406:13 0.000112526 -*RES -1 *2801:pad_gpio_out *2406:13 25.1887 -2 *2406:13 *2406:14 87.7892 -3 *2406:14 *2822:mprj_io_out[6] 17.2208 -*END - -*D_NET *2407 0.065427 -*CONN -*I *2822:mprj_io_out[7] I *D chip_io -*I *2802:pad_gpio_out O *D gpio_control_block -*CAP -1 *2822:mprj_io_out[7] 0.000571056 -2 *2802:pad_gpio_out 0.000765777 -3 *2407:14 0.00466774 -4 *2407:13 0.00486246 -5 *2802:serial_clock *2407:13 0.000272847 -6 *116:56 *2407:14 0.000127234 -7 *655:51 *2407:13 0.000268171 -8 *658:59 *2407:14 0 -9 *658:63 *2407:14 0 -10 *676:14 *2822:mprj_io_out[7] 0 -11 *1989:14 *2407:14 0.0252628 -12 *2027:14 *2407:14 0.0257837 -13 *2098:14 *2407:14 0.00116717 -14 *2255:7 *2407:13 0 -15 *2256:10 *2407:14 0.00159945 -16 *2331:13 *2407:13 2.97005e-05 -17 *2369:7 *2407:13 4.88928e-05 -*RES -1 *2802:pad_gpio_out *2407:13 31.303 -2 *2407:13 *2407:14 442.415 -3 *2407:14 *2822:mprj_io_out[7] 22.3543 -*END - -*D_NET *2408 0.0717394 -*CONN -*I *2822:mprj_io_out[8] I *D chip_io -*I *2786:pad_gpio_out O *D gpio_control_block -*CAP -1 *2822:mprj_io_out[8] 0.000470029 -2 *2786:pad_gpio_out 0.000848811 -3 *2408:10 0.0047015 -4 *2408:9 0.00508028 -5 *2822:mprj_io_out[8] *2409:30 0 -6 *2408:9 *2484:9 0 -7 *2408:10 *2484:10 0.0309001 -8 *2822:mprj_io_dm[26] *2822:mprj_io_out[8] 0 -9 *2097:8 *2408:10 0.0117626 -10 *2100:10 *2408:10 0.00906398 -11 *2103:24 *2408:10 0.000625335 -12 *2256:9 *2408:9 0 -13 *2256:10 *2408:10 0.000246345 -14 *2294:11 *2408:10 0.00356628 -15 *2332:13 *2408:9 1.95874e-05 -16 *2332:14 *2408:10 0.00419746 -17 *2370:9 *2408:9 0.000100389 -18 *2371:8 *2408:10 0.000156733 -*RES -1 *2786:pad_gpio_out *2408:9 2.30385 -2 *2408:9 *2408:10 62.3461 -3 *2408:10 *2822:mprj_io_out[8] 6.49793 -*END - -*D_NET *2409 0.0564954 -*CONN -*I *2822:mprj_io_out[9] I *D chip_io -*I *2788:pad_gpio_out O *D gpio_control_block -*CAP -1 *2822:mprj_io_out[9] 0.000489918 -2 *2788:pad_gpio_out 8.86388e-05 -3 *2409:36 0.00220592 -4 *2409:35 0.0018589 -5 *2409:30 0.0035134 -6 *2409:29 0.00385916 -7 *2409:16 0.00184565 -8 *2409:10 0.00452628 -9 *2409:9 0.00325793 -10 *2409:30 *2822:mprj_io_slow_sel[8] 0.000551497 -11 *2409:30 *2822:mprj_io_vtrip_sel[8] 0 -12 *2409:30 *2601:13 0.000600886 -13 *2409:36 *2411:14 0 -14 *2409:36 *2447:14 0.000892705 -15 *2788:serial_load *2409:10 0.000822903 -16 *2822:mprj_io_analog_pol[8] *2409:30 0.00118565 -17 *2822:mprj_io_ib_mode_sel[8] *2409:30 0 -18 *2822:mprj_io_oeb[8] *2409:30 0 -19 *2822:mprj_io_out[8] *2409:30 0 -20 *617:43 *2409:35 0.000351426 -21 *1991:14 *2409:30 0.0105349 -22 *2028:14 *2409:30 0.00025498 -23 *2029:14 *2409:30 0.00196153 -24 *2067:14 *2409:30 0.00650446 -25 *2100:10 *2409:30 0.000371692 -26 *2103:23 *2409:16 0 -27 *2103:24 *2409:30 0.00566139 -28 *2107:16 *2409:36 0 -29 *2219:8 *2409:36 0.000651876 -30 *2294:10 *2409:29 3.58458e-05 -31 *2295:10 *2409:35 0 -32 *2295:11 *2409:30 0 -33 *2297:8 *2409:30 0.000910521 -34 *2333:18 *2409:36 0.00286202 -35 *2370:10 *2409:16 0.00013224 -36 *2371:8 *2409:30 0.000563054 -*RES -1 *2788:pad_gpio_out *2409:9 3.708 -2 *2409:9 *2409:10 94.6409 -3 *2409:10 *2409:16 46.3365 -4 *2409:16 *2409:29 20.8878 -5 *2409:29 *2409:30 269.254 -6 *2409:30 *2409:35 13.051 -7 *2409:35 *2409:36 71.1791 -8 *2409:36 *2822:mprj_io_out[9] 21.3817 -*END - -*D_NET *2410 0.0185881 -*CONN -*I *2822:mprj_io_slow_sel[0] I *D chip_io -*I *2781:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_slow_sel[0] 0.00408371 -2 *2781:pad_gpio_slow_sel 0.00408371 -3 *2822:mprj_io_slow_sel[0] *2448:13 1.09344e-05 -4 *2781:pad_gpio_in *2822:mprj_io_slow_sel[0] 0.00995524 -5 *2781:resetn *2822:mprj_io_slow_sel[0] 0 -6 *2334:7 *2822:mprj_io_slow_sel[0] 0.000130362 -7 *2334:8 *2822:mprj_io_slow_sel[0] 0.000324106 -*RES -1 *2781:pad_gpio_slow_sel *2822:mprj_io_slow_sel[0] 28.8539 -*END - -*D_NET *2411 0.0479279 -*CONN -*I *2822:mprj_io_slow_sel[10] I *D chip_io -*I *2789:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_slow_sel[10] 0.000422841 -2 *2789:pad_gpio_slow_sel 0.000890193 -3 *2411:14 0.00791108 -4 *2411:13 0.00837844 -5 *2411:14 *2412:14 0 -6 *2411:14 *2447:14 0.000237736 -7 *2788:serial_clock_out *2411:13 1.93857e-05 -8 *2789:resetn *2411:13 0.000104529 -9 *2789:resetn_out *2411:13 0 -10 *2789:serial_load *2411:13 0.00101164 -11 *2103:30 *2411:13 0 -12 *2107:16 *2411:14 0 -13 *2108:14 *2822:mprj_io_slow_sel[10] 0 -14 *2109:14 *2411:14 0 -15 *2110:8 *2411:14 0.00126139 -16 *2259:11 *2411:14 0.0227727 -17 *2260:11 *2411:14 0 -18 *2295:11 *2411:14 0.00269924 -19 *2297:8 *2411:14 0.0022083 -20 *2298:14 *2411:14 0 -21 *2335:7 *2411:13 1.04136e-05 -22 *2409:36 *2411:14 0 -*RES -1 *2789:pad_gpio_slow_sel *2411:13 37.9278 -2 *2411:13 *2411:14 377.22 -3 *2411:14 *2822:mprj_io_slow_sel[10] 19.5785 -*END - -*D_NET *2412 0.0542838 -*CONN -*I *2822:mprj_io_slow_sel[11] I *D chip_io -*I *2790:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_slow_sel[11] 0.000117348 -2 *2790:pad_gpio_slow_sel 0.000790601 -3 *2412:23 0.000927436 -4 *2412:14 0.00646367 -5 *2412:13 0.00644418 -6 *2412:13 *2450:7 1.94242e-05 -7 *2412:14 *2612:13 0 -8 *2790:resetn *2412:13 8.10016e-06 -9 *2790:serial_clock *2412:13 0.000528818 -10 *1956:20 *2412:23 0.00149535 -11 *1994:14 *2412:14 0.0102683 -12 *2108:14 *2412:14 0 -13 *2108:26 *2822:mprj_io_slow_sel[11] 0 -14 *2260:11 *2412:14 0.022371 -15 *2260:11 *2412:23 0.000892705 -16 *2261:23 *2412:23 0.000288466 -17 *2261:26 *2412:23 5.04829e-06 -18 *2298:8 *2412:13 0 -19 *2298:14 *2412:14 0 -20 *2336:7 *2412:13 2.11673e-05 -21 *2374:8 *2412:14 0.00351205 -22 *2374:8 *2412:23 0.000130189 -23 *2411:14 *2412:14 0 -*RES -1 *2790:pad_gpio_slow_sel *2412:13 34.7479 -2 *2412:13 *2412:14 358.949 -3 *2412:14 *2412:23 49.3246 -4 *2412:23 *2822:mprj_io_slow_sel[11] 7.69988 -*END - -*D_NET *2413 0.0579335 -*CONN -*I *2822:mprj_io_slow_sel[12] I *D chip_io -*I *2791:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_slow_sel[12] 0.000597096 -2 *2791:pad_gpio_slow_sel 0.000573131 -3 *2413:24 0.00237702 -4 *2413:23 0.00193996 -5 *2413:20 0.000693042 -6 *2413:14 0.00198946 -7 *2413:13 0.00202959 -8 *2822:mprj_io_slow_sel[12] *2451:8 0.000140156 -9 *2413:13 *2451:7 2.65334e-05 -10 *2413:23 *2613:14 0.000395985 -11 *2413:24 *2613:13 2.95757e-05 -12 *2791:resetn *2413:13 0 -13 *2822:mprj_io_analog_pol[11] *2413:14 2.01595e-05 -14 *2822:mprj_io_analog_pol[11] *2413:20 0.0013971 -15 *1957:30 *2822:mprj_io_slow_sel[12] 0.000913655 -16 *1994:14 *2413:14 0.00729757 -17 *2112:14 *2413:24 0.0126028 -18 *2112:21 *2822:mprj_io_slow_sel[12] 0.00150997 -19 *2112:21 *2413:24 2.01595e-05 -20 *2117:21 *2822:mprj_io_slow_sel[12] 0 -21 *2223:8 *2413:24 0.00193727 -22 *2261:11 *2822:mprj_io_slow_sel[12] 0.000280366 -23 *2261:11 *2413:24 0.012587 -24 *2261:19 *2413:20 0.000609265 -25 *2298:34 *2413:20 0.00150615 -26 *2299:13 *2413:13 0.000966624 -27 *2337:7 *2413:13 2.48182e-05 -28 *2374:8 *2413:14 0.00112765 -29 *2374:8 *2413:20 0.000415774 -30 *2375:13 *2413:13 0 -31 *2375:14 *2413:14 0.00354425 -32 *2375:14 *2413:20 0.000381335 -*RES -1 *2791:pad_gpio_slow_sel *2413:13 26.9318 -2 *2413:13 *2413:14 118.31 -3 *2413:14 *2413:20 47.5519 -4 *2413:20 *2413:23 9.10562 -5 *2413:23 *2413:24 203.852 -6 *2413:24 *2822:mprj_io_slow_sel[12] 42.1409 -*END - -*D_NET *2414 0.0955392 -*CONN -*I *2822:mprj_io_slow_sel[13] I *D chip_io -*I *2792:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_slow_sel[13] 0.000539113 -2 *2792:pad_gpio_slow_sel 0.000839291 -3 *2414:14 0.0056932 -4 *2414:13 0.00599338 -5 *2414:13 *2452:7 1.69592e-05 -6 *2792:serial_clock *2414:13 0.000519205 -7 *2792:serial_data_in *2414:13 0.00114584 -8 *619:53 *2414:13 1.88014e-05 -9 *2114:14 *2414:14 0.0404201 -10 *2117:38 *2822:mprj_io_slow_sel[13] 0 -11 *2186:8 *2414:13 0.000300949 -12 *2262:11 *2414:14 0.0397132 -13 *2300:32 *2414:14 0.000339239 -*RES -1 *2792:pad_gpio_slow_sel *2414:13 40.5614 -2 *2414:13 *2414:14 645.473 -3 *2414:14 *2822:mprj_io_slow_sel[13] 21.9862 -*END - -*D_NET *2415 0.0196329 -*CONN -*I *2822:mprj_io_slow_sel[14] I *D chip_io -*I *2793:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_slow_sel[14] 0.000287672 -2 *2793:pad_gpio_slow_sel 0.000199207 -3 *2415:14 0.00124251 -4 *2415:13 0.00115404 -5 *2415:13 *2453:13 4.85049e-05 -6 *2793:resetn *2415:13 0.000738355 -7 *2793:serial_clock_out *2415:14 0.00102098 -8 *621:48 *2822:mprj_io_slow_sel[14] 0 -9 *621:48 *2415:14 0.000658277 -10 *2263:10 *2415:14 0 -11 *2263:11 *2415:14 0.0062567 -12 *2301:15 *2415:13 0.000901284 -13 *2301:16 *2415:14 0.0069713 -14 *2339:7 *2415:13 3.27908e-05 -15 *2339:8 *2415:13 1.79429e-05 -16 *2339:17 *2822:mprj_io_slow_sel[14] 5.04829e-06 -17 *2377:13 *2415:13 9.82882e-05 -*RES -1 *2793:pad_gpio_slow_sel *2415:13 23.8036 -2 *2415:13 *2415:14 111.874 -3 *2415:14 *2822:mprj_io_slow_sel[14] 16.2509 -*END - -*D_NET *2416 0.0995012 -*CONN -*I *2822:mprj_io_slow_sel[15] I *D chip_io -*I *2794:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_slow_sel[15] 0.000240114 -2 *2794:pad_gpio_slow_sel 9.70442e-05 -3 *2416:27 0.00296518 -4 *2416:26 0.00335609 -5 *2416:21 0.000942924 -6 *2416:20 0.000793124 -7 *2416:11 0.00362538 -8 *2416:10 0.0032412 -9 *2822:mprj_io_slow_sel[15] *2617:9 0.000526968 -10 *2416:21 *2454:17 0.00450675 -11 *1998:13 *2416:11 0.000113289 -12 *1998:22 *2416:20 0.000283455 -13 *2036:23 *2416:27 0.0342976 -14 *2122:23 *2416:27 0.00153413 -15 *2226:19 *2416:11 0 -16 *2302:39 *2416:27 0.0359284 -17 *2340:20 *2416:20 0.000670707 -18 *2340:21 *2416:21 4.62974e-05 -19 *2378:24 *2416:20 0.00165373 -20 *2378:27 *2416:21 0.00430076 -21 *2378:30 *2416:26 0.00037804 -*RES -1 *2794:pad_gpio_slow_sel *2416:10 8.46357 -2 *2416:10 *2416:11 87.2416 -3 *2416:11 *2416:20 39.6829 -4 *2416:20 *2416:21 47.3101 -5 *2416:21 *2416:26 28.5948 -6 *2416:26 *2416:27 49.4625 -7 *2416:27 *2822:mprj_io_slow_sel[15] 13.3348 -*END - -*D_NET *2417 0.0266889 -*CONN -*I *2822:mprj_io_slow_sel[16] I *D chip_io -*I *2795:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_slow_sel[16] 0.00275487 -2 *2795:pad_gpio_slow_sel 0.000100202 -3 *2417:23 0.00373565 -4 *2417:16 0.00227365 -5 *2417:11 0.0032498 -6 *2417:10 0.00205714 -7 *2822:mprj_io_slow_sel[16] *2822:mprj_io_vtrip_sel[16] 0 -8 *2822:mprj_io_analog_en[16] *2822:mprj_io_slow_sel[16] 0.00706763 -9 *2822:mprj_io_holdover[16] *2822:mprj_io_slow_sel[16] 0.00132538 -10 *2822:mprj_io_oeb[16] *2417:23 0 -11 *2124:11 *2417:11 0 -12 *2125:22 *2822:mprj_io_slow_sel[16] 0.00026412 -13 *2303:17 *2417:23 0 -14 *2341:11 *2417:11 0.00318508 -15 *2379:16 *2417:16 0.000349832 -16 *2379:16 *2417:23 0.000325509 -*RES -1 *2795:pad_gpio_slow_sel *2417:10 8.46357 -2 *2417:10 *2417:11 81.1409 -3 *2417:11 *2417:16 44.2543 -4 *2417:16 *2417:23 37.3729 -5 *2417:23 *2822:mprj_io_slow_sel[16] 37.1543 -*END - -*D_NET *2418 0.0653111 -*CONN -*I *2822:mprj_io_slow_sel[17] I *D chip_io -*I *2796:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_slow_sel[17] 0.00041021 -2 *2796:pad_gpio_slow_sel 9.32521e-05 -3 *2418:23 0.0016164 -4 *2418:22 0.00120619 -5 *2418:20 0.00243354 -6 *2418:19 0.00298712 -7 *2418:11 0.00181133 -8 *2418:10 0.00135101 -9 *2822:mprj_io_slow_sel[17] *2591:10 0 -10 *2418:11 *2456:11 0.020167 -11 *2418:20 *2456:20 0 -12 *2822:mprj_io_dm[51] *2418:23 0.00124966 -13 *2822:mprj_io_dm[52] *2418:23 0.000112833 -14 *647:17 *2418:23 0.0049819 -15 *2000:23 *2418:23 0.00384201 -16 *2129:16 *2418:19 9.60216e-05 -17 *2129:20 *2418:20 0 -18 *2190:11 *2418:19 0.00186007 -19 *2266:8 *2418:23 0.000660025 -20 *2380:11 *2418:11 0.0204325 -*RES -1 *2796:pad_gpio_slow_sel *2418:10 8.38708 -2 *2418:10 *2418:11 213.691 -3 *2418:11 *2418:19 36.1577 -4 *2418:19 *2418:20 67.0266 -5 *2418:20 *2418:22 4.5 -6 *2418:22 *2418:23 114.417 -7 *2418:23 *2822:mprj_io_slow_sel[17] 15.5897 -*END - -*D_NET *2419 0.04427 -*CONN -*I *2822:mprj_io_slow_sel[18] I *D chip_io -*I *2787:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_slow_sel[18] 0.00376242 -2 *2787:pad_gpio_slow_sel 0.000725306 -3 *2419:22 0.00438331 -4 *2419:19 0.00113727 -5 *2419:16 0.00197713 -6 *2419:13 0.00218606 -7 *2822:mprj_io_slow_sel[18] *2593:8 0.00771618 -8 *2419:13 *2457:13 0.00245489 -9 *2419:16 *2787:user_gpio_oeb 4.69495e-06 -10 *2822:mprj_io_dm[55] *2822:mprj_io_slow_sel[18] 0.0101604 -11 *2822:mprj_io_inp_dis[18] *2822:mprj_io_slow_sel[18] 0.000202241 -12 *108:17 *2822:mprj_io_slow_sel[18] 0.00179517 -13 *624:17 *2822:mprj_io_slow_sel[18] 0.00100538 -14 *625:14 *2419:22 0.00172634 -15 *648:17 *2822:mprj_io_slow_sel[18] 0.000941171 -16 *2131:17 *2822:mprj_io_slow_sel[18] 0.000912412 -17 *2133:17 *2419:19 0.0010796 -18 *2191:17 *2419:19 0.000430816 -19 *2267:8 *2822:mprj_io_slow_sel[18] 0.0016167 -20 *2343:11 *2419:13 5.24855e-05 -*RES -1 *2787:pad_gpio_slow_sel *2419:13 40.8625 -2 *2419:13 *2419:16 43.2894 -3 *2419:16 *2419:19 30.7352 -4 *2419:19 *2419:22 31.154 -5 *2419:22 *2822:mprj_io_slow_sel[18] 35.9583 -*END - -*D_NET *2420 0.0145576 -*CONN -*I *2822:mprj_io_slow_sel[19] I *D chip_io -*I *2803:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_slow_sel[19] 9.8972e-05 -2 *2803:pad_gpio_slow_sel 8.88211e-05 -3 *2420:17 0.00101962 -4 *2420:11 0.00248938 -5 *2420:10 0.00165755 -6 *688:22 *2420:17 0 -7 *688:23 *2420:11 0.00659728 -8 *1964:11 *2420:17 0.0017603 -9 *2134:11 *2420:17 0.000801041 -10 *2344:13 *2420:10 3.955e-05 -11 *2344:13 *2420:11 5.04829e-06 -*RES -1 *2803:pad_gpio_slow_sel *2420:10 8.46357 -2 *2420:10 *2420:11 72.8219 -3 *2420:11 *2420:17 47.9891 -4 *2420:17 *2822:mprj_io_slow_sel[19] 5.94117 -*END - -*D_NET *2421 0.0187849 -*CONN -*I *2822:mprj_io_slow_sel[1] I *D chip_io -*I *2782:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_slow_sel[1] 0.00420465 -2 *2782:pad_gpio_slow_sel 0.00420465 -3 *2822:mprj_io_slow_sel[1] *2459:13 4.31122e-05 -4 *2782:pad_gpio_in *2822:mprj_io_slow_sel[1] 0.00992454 -5 *2345:7 *2822:mprj_io_slow_sel[1] 5.57145e-05 -6 *2345:8 *2822:mprj_io_slow_sel[1] 0.000352252 -*RES -1 *2782:pad_gpio_slow_sel *2822:mprj_io_slow_sel[1] 28.8065 -*END - -*D_NET *2422 0.0158094 -*CONN -*I *2822:mprj_io_slow_sel[20] I *D chip_io -*I *2810:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_slow_sel[20] 0.000407459 -2 *2810:pad_gpio_slow_sel 6.99551e-05 -3 *2422:16 0.000704467 -4 *2422:11 0.00190768 -5 *2422:10 0.00168063 -6 *2822:mprj_io_analog_en[20] *2822:mprj_io_slow_sel[20] 1.41291e-05 -7 *2822:mprj_io_analog_sel[20] *2822:mprj_io_slow_sel[20] 0.000217791 -8 *2822:mprj_io_out[20] *2422:16 0.00143235 -9 *110:17 *2822:mprj_io_slow_sel[20] 0.000711745 -10 *689:20 *2422:16 1.42919e-05 -11 *2270:19 *2822:mprj_io_slow_sel[20] 0.000538827 -12 *2270:19 *2422:16 0.000211344 -13 *2308:11 *2422:11 0.00616177 -14 *2308:22 *2422:16 0.00122398 -15 *2308:23 *2822:mprj_io_slow_sel[20] 0.000446342 -16 *2384:11 *2422:11 6.66012e-05 -*RES -1 *2810:pad_gpio_slow_sel *2422:10 8.38708 -2 *2422:10 *2422:11 72.2673 -3 *2422:11 *2422:16 33.6709 -4 *2422:16 *2822:mprj_io_slow_sel[20] 24.7313 -*END - -*D_NET *2423 0.0165524 -*CONN -*I *2822:mprj_io_slow_sel[21] I *D chip_io -*I *2811:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_slow_sel[21] 0.00356791 -2 *2811:pad_gpio_slow_sel 0.000372687 -3 *2423:14 0.00554183 -4 *2423:13 0.0023466 -5 *2423:13 *2670:14 8.68633e-05 -6 *2811:pad_gpio_in *2423:14 0 -7 *2822:mprj_io_analog_en[21] *2822:mprj_io_slow_sel[21] 0.000158904 -8 *2822:mprj_io_dm[63] *2822:mprj_io_slow_sel[21] 0.00018518 -9 *2822:mprj_io_dm[64] *2822:mprj_io_slow_sel[21] 0.00019281 -10 *111:63 *2822:mprj_io_slow_sel[21] 0.000958047 -11 *627:59 *2822:mprj_io_slow_sel[21] 0.000515281 -12 *651:56 *2822:mprj_io_slow_sel[21] 0.000596249 -13 *690:19 *2822:mprj_io_slow_sel[21] 0 -14 *2005:17 *2822:mprj_io_slow_sel[21] 0.000375267 -15 *2043:17 *2822:mprj_io_slow_sel[21] 0.000334328 -16 *2143:23 *2822:mprj_io_slow_sel[21] 0.000208728 -17 *2195:17 *2822:mprj_io_slow_sel[21] 0.000338953 -18 *2233:17 *2822:mprj_io_slow_sel[21] 0.000427598 -19 *2309:11 *2423:13 0.000224395 -20 *2385:11 *2423:13 0.000120742 -*RES -1 *2811:pad_gpio_slow_sel *2423:13 23.1152 -2 *2423:13 *2423:14 54.7766 -3 *2423:14 *2822:mprj_io_slow_sel[21] 19.7009 -*END - -*D_NET *2424 0.0166941 -*CONN -*I *2822:mprj_io_slow_sel[22] I *D chip_io -*I *2812:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_slow_sel[22] 0.00164226 -2 *2812:pad_gpio_slow_sel 0.00079188 -3 *2424:17 0.00440199 -4 *2424:16 0.00355161 -5 *2822:mprj_io_slow_sel[22] *2671:11 0.000483368 -6 *2424:16 *2462:16 7.14746e-05 -7 *2424:17 *2462:13 0.000127162 -8 *2822:mprj_io_holdover[22] *2822:mprj_io_slow_sel[22] 0 -9 *2822:mprj_io_inp_dis[22] *2822:mprj_io_slow_sel[22] 6.98716e-05 -10 *112:14 *2424:16 0.0010699 -11 *112:17 *2822:mprj_io_slow_sel[22] 0.000169253 -12 *628:16 *2424:16 2.33103e-06 -13 *628:16 *2424:17 0.000171273 -14 *628:17 *2822:mprj_io_slow_sel[22] 0.000158444 -15 *652:13 *2424:17 0.000627856 -16 *652:19 *2822:mprj_io_slow_sel[22] 0.000151106 -17 *691:19 *2822:mprj_io_slow_sel[22] 0 -18 *2145:19 *2424:17 0.00244996 -19 *2272:18 *2424:17 0.000330788 -20 *2310:11 *2424:17 0 -21 *2348:13 *2424:16 0.00032497 -22 *2348:13 *2424:17 9.08435e-05 -23 *2348:16 *2424:16 7.77309e-06 -*RES -1 *2812:pad_gpio_slow_sel *2424:16 44.4816 -2 *2424:16 *2424:17 93.3422 -3 *2424:17 *2822:mprj_io_slow_sel[22] 32.7948 -*END - -*D_NET *2425 0.0211756 -*CONN -*I *2822:mprj_io_slow_sel[23] I *D chip_io -*I *2813:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_slow_sel[23] 0.000298653 -2 *2813:pad_gpio_slow_sel 9.65282e-05 -3 *2425:16 0.00055017 -4 *2425:11 0.000878867 -5 *2425:10 0.000723878 -6 *2822:mprj_io_slow_sel[23] *2597:16 1.41291e-05 -7 *2425:11 *2597:26 0.00648686 -8 *2425:16 *2710:11 0.00153522 -9 *2822:mprj_io_analog_en[23] *2822:mprj_io_slow_sel[23] 1.01044e-05 -10 *2822:mprj_io_dm[69] *2822:mprj_io_slow_sel[23] 0.000861684 -11 *2822:mprj_io_inp_dis[23] *2822:mprj_io_slow_sel[23] 0.000113345 -12 *2147:23 *2822:mprj_io_slow_sel[23] 8.92437e-05 -13 *2235:17 *2822:mprj_io_slow_sel[23] 0.00165605 -14 *2273:19 *2822:mprj_io_slow_sel[23] 0.000441022 -15 *2273:20 *2425:11 0.00588332 -16 *2311:14 *2425:16 0.00153161 -17 *2349:13 *2425:10 4.88957e-06 -*RES -1 *2813:pad_gpio_slow_sel *2425:10 8.46357 -2 *2425:10 *2425:11 71.1581 -3 *2425:11 *2425:16 34.0861 -4 *2425:16 *2822:mprj_io_slow_sel[23] 24.5729 -*END - -*D_NET *2426 0.020142 -*CONN -*I *2822:mprj_io_slow_sel[24] I *D chip_io -*I *2814:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_slow_sel[24] 0.000540216 -2 *2814:pad_gpio_slow_sel 0.000201523 -3 *2426:14 0.00341308 -4 *2426:13 0.00301565 -5 *2426:8 0.00189099 -6 *2426:7 0.00194974 -7 *2822:mprj_io_slow_sel[24] *2598:11 0.000130215 -8 *2814:resetn *2426:7 0 -9 *2814:serial_data_in *2426:8 0 -10 *102:49 *2426:14 0.00107026 -11 *113:53 *2426:13 0.0010712 -12 *113:54 *2426:8 0.000110764 -13 *634:14 *2426:8 0 -14 *634:18 *2426:8 0 -15 *653:42 *2426:8 0.00404251 -16 *692:8 *2426:8 0 -17 *692:11 *2426:13 0.00106699 -18 *1970:8 *2426:14 0 -19 *2151:8 *2426:14 0.00163891 -20 *2152:8 *2426:14 0 -*RES -1 *2814:pad_gpio_slow_sel *2426:7 4.04389 -2 *2426:7 *2426:8 74.2935 -3 *2426:8 *2426:13 20.8155 -4 *2426:13 *2426:14 99.8315 -5 *2426:14 *2822:mprj_io_slow_sel[24] 24.1047 -*END - -*D_NET *2427 0.0133341 -*CONN -*I *2822:mprj_io_slow_sel[25] I *D chip_io -*I *2815:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_slow_sel[25] 0.000376933 -2 *2815:pad_gpio_slow_sel 0.00036337 -3 *2427:8 0.00142788 -4 *2427:7 0.00141431 -5 *2427:7 *2465:7 0.00013393 -6 *2815:resetn *2427:7 0 -7 *106:43 *2822:mprj_io_slow_sel[25] 0 -8 *634:14 *2427:8 0.00554363 -9 *655:8 *2427:8 0 -10 *655:15 *2822:mprj_io_slow_sel[25] 5.04829e-06 -11 *694:19 *2427:8 0.000136754 -12 *2154:14 *2427:8 0.0029315 -13 *2156:8 *2822:mprj_io_slow_sel[25] 0 -14 *2199:8 *2427:8 0.000809988 -15 *2313:8 *2427:8 0.000153257 -16 *2351:16 *2427:7 3.74656e-05 -17 *2389:7 *2427:7 0 -*RES -1 *2815:pad_gpio_slow_sel *2427:7 4.65582 -2 *2427:7 *2427:8 89.6578 -3 *2427:8 *2822:mprj_io_slow_sel[25] 17.5738 -*END - -*D_NET *2428 0.00806427 -*CONN -*I *2822:mprj_io_slow_sel[26] I *D chip_io -*I *2816:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_slow_sel[26] 0.0003499 -2 *2816:pad_gpio_slow_sel 0.000133019 -3 *2428:8 0.00256437 -4 *2428:7 0.00234749 -5 *2428:7 *2466:7 0.000154831 -6 *106:43 *2428:8 0.00149068 -7 *617:14 *2822:mprj_io_slow_sel[26] 0 -8 *617:14 *2428:8 0 -9 *656:13 *2428:8 0.000106221 -10 *695:28 *2428:8 0 -11 *2238:27 *2428:8 0.000579483 -12 *2238:33 *2822:mprj_io_slow_sel[26] 0 -13 *2276:10 *2428:8 0 -14 *2352:10 *2428:7 0.000154831 -15 *2390:10 *2428:8 0.000183438 -16 *2390:12 *2428:8 0 -*RES -1 *2816:pad_gpio_slow_sel *2428:7 4.19688 -2 *2428:7 *2428:8 85.9206 -3 *2428:8 *2822:mprj_io_slow_sel[26] 27.2517 -*END - -*D_NET *2429 0.0137647 -*CONN -*I *2822:mprj_io_slow_sel[27] I *D chip_io -*I *2817:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_slow_sel[27] 0.000397446 -2 *2817:pad_gpio_slow_sel 0.000278478 -3 *2429:8 0.00147505 -4 *2429:7 0.00135608 -5 *2822:mprj_io_slow_sel[27] *2602:11 0 -6 *2429:7 *2467:7 0.000253605 -7 *106:37 *2429:8 0.000390047 -8 *117:21 *2429:8 0.00012841 -9 *633:14 *2429:8 0.000721007 -10 *634:14 *2429:8 0.000544663 -11 *2011:8 *2429:8 0.00390251 -12 *2049:8 *2429:8 0.00405879 -13 *2163:21 *2822:mprj_io_slow_sel[27] 5.04829e-06 -14 *2353:7 *2429:7 0.000253605 -15 *2353:13 *2822:mprj_io_slow_sel[27] 0 -*RES -1 *2817:pad_gpio_slow_sel *2429:7 4.8088 -2 *2429:7 *2429:8 90.4883 -3 *2429:8 *2822:mprj_io_slow_sel[27] 18.0541 -*END - -*D_NET *2430 0.0117 -*CONN -*I *2822:mprj_io_slow_sel[28] I *D chip_io -*I *2818:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_slow_sel[28] 0.000261232 -2 *2818:pad_gpio_slow_sel 0.000163057 -3 *2430:8 0.00208795 -4 *2430:7 0.00198978 -5 *2430:7 *2468:10 0.000202772 -6 *106:37 *2430:8 0.000676297 -7 *617:14 *2822:mprj_io_slow_sel[28] 0 -8 *617:14 *2430:8 0.00556434 -9 *2050:37 *2430:8 0.000551798 -10 *2240:8 *2430:8 0 -11 *2240:20 *2822:mprj_io_slow_sel[28] 0 -12 *2278:10 *2430:8 0 -13 *2316:8 *2430:8 0 -14 *2354:13 *2430:7 0.000202772 -*RES -1 *2818:pad_gpio_slow_sel *2430:7 4.42635 -2 *2430:7 *2430:8 90.0731 -3 *2430:8 *2822:mprj_io_slow_sel[28] 15.8357 -*END - -*D_NET *2431 0.0133021 -*CONN -*I *2822:mprj_io_slow_sel[29] I *D chip_io -*I *2804:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_slow_sel[29] 0.000629147 -2 *2804:pad_gpio_slow_sel 0.000545667 -3 *2431:10 0.00203343 -4 *2431:9 0.00194995 -5 *2822:mprj_io_slow_sel[29] *2604:10 0 -6 *2431:9 *2469:9 0.000178321 -7 *2431:10 *2469:16 0.00218633 -8 *2804:resetn *2431:9 0 -9 *617:70 *2431:10 0 -10 *618:34 *2431:10 0.000833927 -11 *680:8 *2431:10 0 -12 *1975:18 *2822:mprj_io_slow_sel[29] 0 -13 *2051:10 *2431:10 0.000858234 -14 *2169:16 *2431:10 0.00221237 -15 *2355:9 *2431:9 0.000331383 -16 *2355:10 *2431:10 0.00154331 -*RES -1 *2804:pad_gpio_slow_sel *2431:9 5.56372 -2 *2431:9 *2431:10 88.8273 -3 *2431:10 *2822:mprj_io_slow_sel[29] 22.3515 -*END - -*D_NET *2432 0.0198092 -*CONN -*I *2822:mprj_io_slow_sel[2] I *D chip_io -*I *2797:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_slow_sel[2] 0.00061097 -2 *2797:pad_gpio_slow_sel 0.000502502 -3 *2432:14 0.00260819 -4 *2432:13 0.00249972 -5 *2432:13 *2470:13 0.000412166 -6 *2432:14 *2470:14 0 -7 *626:72 *2822:mprj_io_slow_sel[2] 0 -8 *669:30 *2432:13 9.20603e-05 -9 *1737:20 *2432:14 0.00323017 -10 *2280:11 *2432:14 0.00872746 -11 *2318:14 *2432:14 0.000152316 -12 *2356:13 *2432:13 0.000973675 -*RES -1 *2797:pad_gpio_slow_sel *2432:13 31.0899 -2 *2432:13 *2432:14 150.492 -3 *2432:14 *2822:mprj_io_slow_sel[2] 24.0154 -*END - -*D_NET *2433 0.013553 -*CONN -*I *2822:mprj_io_slow_sel[30] I *D chip_io -*I *2805:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_slow_sel[30] 0.000406362 -2 *2805:pad_gpio_slow_sel 0.000216632 -3 *2433:8 0.00160212 -4 *2433:7 0.00141239 -5 *2433:7 *2471:13 0.000215594 -6 *102:26 *2822:mprj_io_slow_sel[30] 0.000554108 -7 *106:37 *2433:8 0.000474935 -8 *642:8 *2433:8 0 -9 *681:8 *2433:8 0.000222857 -10 *1977:8 *2433:8 0.00313591 -11 *1977:17 *2822:mprj_io_slow_sel[30] 1.3813e-05 -12 *1977:17 *2433:8 4.10997e-05 -13 *2172:16 *2822:mprj_io_slow_sel[30] 0.000592128 -14 *2172:16 *2433:8 9.92046e-06 -15 *2319:8 *2433:8 0.00443954 -16 *2357:7 *2433:7 0.000215594 -*RES -1 *2805:pad_gpio_slow_sel *2433:7 4.57933 -2 *2433:7 *2433:8 80.7299 -3 *2433:8 *2822:mprj_io_slow_sel[30] 26.4979 -*END - -*D_NET *2434 0.0106777 -*CONN -*I *2822:mprj_io_slow_sel[31] I *D chip_io -*I *2806:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_slow_sel[31] 0.000274544 -2 *2806:pad_gpio_slow_sel 0.000198188 -3 *2434:8 0.00260047 -4 *2434:7 0.00252411 -5 *2434:7 *2472:7 0.000187259 -6 *2434:8 *2472:8 0 -7 *106:37 *2434:8 0.000688832 -8 *1978:16 *2822:mprj_io_slow_sel[31] 0 -9 *1978:16 *2434:8 0.00354884 -10 *2175:8 *2434:8 0.000375899 -11 *2206:8 *2434:8 9.22975e-05 -12 *2282:10 *2434:8 0 -13 *2282:11 *2434:8 0 -14 *2358:7 *2434:7 0.000187259 -15 *2358:8 *2434:8 0 -*RES -1 *2806:pad_gpio_slow_sel *2434:7 4.42635 -2 *2434:7 *2434:8 89.6578 -3 *2434:8 *2822:mprj_io_slow_sel[31] 16.2509 -*END - -*D_NET *2435 0.0126907 -*CONN -*I *2822:mprj_io_slow_sel[32] I *D chip_io -*I *2807:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_slow_sel[32] 0.000460809 -2 *2807:pad_gpio_slow_sel 0.00034939 -3 *2435:8 0.0019017 -4 *2435:7 0.00179028 -5 *2822:mprj_io_slow_sel[32] *2607:8 0 -6 *2435:7 *2473:7 0.000109066 -7 *106:31 *2435:8 0 -8 *619:20 *2435:8 0.000535294 -9 *620:23 *2435:8 0.00151421 -10 *683:8 *2435:8 0 -11 *1979:8 *2822:mprj_io_slow_sel[32] 0 -12 *2017:8 *2435:8 0.00113257 -13 *2055:8 *2435:8 0.0040164 -14 *2359:7 *2435:7 0.000270967 -15 *2359:8 *2435:8 0 -16 *2397:8 *2435:8 0.000576806 -17 *2397:17 *2822:mprj_io_slow_sel[32] 3.31998e-05 -*RES -1 *2807:pad_gpio_slow_sel *2435:7 4.88529 -2 *2435:7 *2435:8 89.2426 -3 *2435:8 *2822:mprj_io_slow_sel[32] 20.1814 -*END - -*D_NET *2436 0.011268 -*CONN -*I *2822:mprj_io_slow_sel[33] I *D chip_io -*I *2808:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_slow_sel[33] 0.000254771 -2 *2808:pad_gpio_slow_sel 0.000256363 -3 *2436:8 0.00238561 -4 *2436:7 0.00238721 -5 *2436:7 *2474:7 0.000195024 -6 *2436:8 *2474:8 0 -7 *2808:mgmt_gpio_out *2436:8 0 -8 *2822:mprj_io_oeb[33] *2436:7 1.11316e-05 -9 *619:20 *2436:8 0.000665473 -10 *1980:16 *2822:mprj_io_slow_sel[33] 0 -11 *1980:16 *2436:8 0.00185281 -12 *2069:8 *2436:8 1.87269e-05 -13 *2284:11 *2436:8 0 -14 *2322:8 *2436:8 0.0031947 -15 *2398:14 *2436:7 0 -16 *2398:14 *2436:8 4.61488e-05 -*RES -1 *2808:pad_gpio_slow_sel *2436:7 4.42635 -2 *2436:7 *2436:8 89.6578 -3 *2436:8 *2822:mprj_io_slow_sel[33] 15.6963 -*END - -*D_NET *2437 0.012953 -*CONN -*I *2822:mprj_io_slow_sel[34] I *D chip_io -*I *2809:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_slow_sel[34] 0.000621084 -2 *2809:pad_gpio_slow_sel 7.66982e-05 -3 *2437:19 0.00254228 -4 *2437:18 0.00257559 -5 *2437:10 0.00222868 -6 *2437:9 0.00165099 -7 *2437:9 *2475:9 2.56241e-05 -8 *2437:10 *2475:10 0.00191063 -9 *2437:18 *2475:27 0.000258157 -10 *2822:mprj_io_dm[103] *2822:mprj_io_slow_sel[34] 0 -11 *619:20 *2822:mprj_io_slow_sel[34] 0.000148104 -12 *2072:8 *2822:mprj_io_slow_sel[34] 0.000915154 -13 *2285:10 *2822:mprj_io_slow_sel[34] 0 -14 *2285:11 *2822:mprj_io_slow_sel[34] 0 -*RES -1 *2809:pad_gpio_slow_sel *2437:9 3.59493 -2 *2437:9 *2437:10 62.6664 -3 *2437:10 *2437:18 33.6437 -4 *2437:18 *2437:19 52.8561 -5 *2437:19 *2822:mprj_io_slow_sel[34] 36.0103 -*END - -*D_NET *2438 0.0141683 -*CONN -*I *2822:mprj_io_slow_sel[35] I *D chip_io -*I *2783:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_slow_sel[35] 0.000384145 -2 *2783:pad_gpio_slow_sel 0.000427956 -3 *2438:8 0.00149815 -4 *2438:7 0.00154196 -5 *2822:mprj_io_slow_sel[35] *2610:8 0 -6 *2438:7 *2822:mprj_io_vtrip_sel[35] 0.000117991 -7 *2822:mprj_io_oeb[35] *2438:7 7.79093e-05 -8 *647:31 *2438:7 0 -9 *1733:8 *2438:8 0.000475529 -10 *2074:23 *2822:mprj_io_slow_sel[35] 0 -11 *2076:8 *2438:8 0.0044677 -12 *2248:8 *2438:8 9.22975e-05 -13 *2324:7 *2438:7 0 -14 *2324:8 *2438:8 0.0050847 -*RES -1 *2783:pad_gpio_slow_sel *2438:7 4.73231 -2 *2438:7 *2438:8 89.6578 -3 *2438:8 *2822:mprj_io_slow_sel[35] 18.33 -*END - -*D_NET *2439 0.0139828 -*CONN -*I *2822:mprj_io_slow_sel[36] I *D chip_io -*I *2784:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_slow_sel[36] 0.000555598 -2 *2784:pad_gpio_slow_sel 0.000551568 -3 *2439:8 0.00173328 -4 *2439:7 0.00172925 -5 *2439:7 *2477:7 0.000225243 -6 *2822:mprj_io_oeb[36] *2439:7 1.78739e-05 -7 *687:16 *2439:8 0.000444707 -8 *1745:13 *2439:8 0.000639764 -9 *1983:16 *2822:mprj_io_slow_sel[36] 0 -10 *2080:16 *2439:8 0.00268988 -11 *2211:13 *2439:8 0.00196064 -12 *2211:14 *2439:8 0.00272426 -13 *2249:8 *2439:8 0.000710699 -14 *2325:10 *2439:7 0 -15 *2401:15 *2439:7 0 -*RES -1 *2784:pad_gpio_slow_sel *2439:7 5.19125 -2 *2439:7 *2439:8 90.0731 -3 *2439:8 *2822:mprj_io_slow_sel[36] 20.8271 -*END - -*D_NET *2440 0.019008 -*CONN -*I *2822:mprj_io_slow_sel[37] I *D chip_io -*I *2785:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_slow_sel[37] 0.000276192 -2 *2785:pad_gpio_slow_sel 0.00103789 -3 *2440:16 0.0010571 -4 *2440:15 0.000780909 -5 *2440:13 0.00130497 -6 *2440:12 0.00130497 -7 *2440:10 0.00103789 -8 *2440:10 *2478:10 0.00262992 -9 *2440:13 *2478:13 0.00558543 -10 *2822:mprj_io_analog_sel[37] *2440:16 0.000429068 -11 *1984:8 *2440:16 0.00294164 -12 *2022:13 *2440:16 0 -13 *2022:14 *2822:mprj_io_slow_sel[37] 0 -14 *2022:14 *2440:16 0.000621972 -*RES -1 *2785:pad_gpio_slow_sel *2440:10 45.6118 -2 *2440:10 *2440:12 4.5 -3 *2440:12 *2440:13 58.9568 -4 *2440:13 *2440:15 4.5 -5 *2440:15 *2440:16 47.0945 -6 *2440:16 *2822:mprj_io_slow_sel[37] 15.8357 -*END - -*D_NET *2441 0.0184991 -*CONN -*I *2822:mprj_io_slow_sel[3] I *D chip_io -*I *2798:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_slow_sel[3] 0.000868354 -2 *2798:pad_gpio_slow_sel 0.000815305 -3 *2441:16 0.00290922 -4 *2441:15 0.00285618 -5 *2441:15 *2479:13 0.000237476 -6 *2441:16 *2479:14 0 -7 *111:36 *2822:mprj_io_slow_sel[3] 0 -8 *1737:20 *2441:16 0.00295499 -9 *2079:14 *2441:16 0.00732456 -10 *2289:11 *2441:16 0 -11 *2327:15 *2441:15 0.000311875 -12 *2327:16 *2441:16 0 -13 *2365:7 *2441:15 4.10643e-05 -14 *2365:8 *2441:15 8.35615e-06 -15 *2403:13 *2441:15 0.000171753 -*RES -1 *2798:pad_gpio_slow_sel *2441:15 35.9464 -2 *2441:15 *2441:16 138.035 -3 *2441:16 *2822:mprj_io_slow_sel[3] 31.6681 -*END - -*D_NET *2442 0.0233974 -*CONN -*I *2822:mprj_io_slow_sel[4] I *D chip_io -*I *2799:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_slow_sel[4] 0.000571501 -2 *2799:pad_gpio_slow_sel 0.000798628 -3 *2442:14 0.00232984 -4 *2442:13 0.00255696 -5 *2442:13 *2480:13 3.84497e-05 -6 *2442:14 *2480:14 0 -7 *116:49 *2442:14 0.00127315 -8 *671:14 *2822:mprj_io_slow_sel[4] 0 -9 *1738:20 *2442:14 0 -10 *1986:14 *2442:14 0.00659528 -11 *2086:16 *2442:14 0.000431563 -12 *2290:11 *2442:14 0.00872745 -13 *2328:16 *2442:14 0 -14 *2366:7 *2442:13 3.84497e-05 -15 *2366:8 *2442:13 3.61259e-05 -*RES -1 *2799:pad_gpio_slow_sel *2442:13 32.2592 -2 *2442:13 *2442:14 148.831 -3 *2442:14 *2822:mprj_io_slow_sel[4] 24.0154 -*END - -*D_NET *2443 0.0183102 -*CONN -*I *2822:mprj_io_slow_sel[5] I *D chip_io -*I *2800:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_slow_sel[5] 0.0038408 -2 *2800:pad_gpio_slow_sel 0.0038408 -3 *2822:mprj_io_slow_sel[5] *2481:13 3.36517e-05 -4 *2800:mgmt_gpio_out *2822:mprj_io_slow_sel[5] 0.000494432 -5 *2800:pad_gpio_in *2822:mprj_io_slow_sel[5] 0.0100761 -6 *654:43 *2822:mprj_io_slow_sel[5] 0 -7 *2367:13 *2822:mprj_io_slow_sel[5] 2.45091e-05 -*RES -1 *2800:pad_gpio_slow_sel *2822:mprj_io_slow_sel[5] 27.4227 -*END - -*D_NET *2444 0.0204389 -*CONN -*I *2822:mprj_io_slow_sel[6] I *D chip_io -*I *2801:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_slow_sel[6] 0.000559715 -2 *2801:pad_gpio_slow_sel 0.000767918 -3 *2444:16 0.00378579 -4 *2444:15 0.00399399 -5 *2444:15 *2482:13 0.000237476 -6 *116:49 *2444:16 0.00139863 -7 *630:46 *2822:mprj_io_slow_sel[6] 0.000225624 -8 *654:59 *2444:16 0 -9 *658:59 *2444:16 0 -10 *1739:20 *2444:16 0 -11 *2292:11 *2444:16 0.00870142 -12 *2330:15 *2444:15 0.000547133 -13 *2330:16 *2444:16 0 -14 *2368:7 *2444:15 4.10643e-05 -15 *2368:8 *2444:15 8.35615e-06 -16 *2406:13 *2444:15 0.000171753 -*RES -1 *2801:pad_gpio_slow_sel *2444:15 35.9464 -2 *2444:15 *2444:16 145.509 -3 *2444:16 *2822:mprj_io_slow_sel[6] 24.0154 -*END - -*D_NET *2445 0.0407391 -*CONN -*I *2822:mprj_io_slow_sel[7] I *D chip_io -*I *2802:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_slow_sel[7] 0.000621011 -2 *2802:pad_gpio_slow_sel 0.000849498 -3 *2445:14 0.00868288 -4 *2445:13 0.00806187 -5 *2445:11 0.000849498 -6 *2822:mprj_io_slow_sel[7] *2483:8 0.000107678 -7 *2445:11 *2802:user_gpio_oeb 0.000114186 -8 *2445:11 *2483:7 4.88928e-05 -9 *2445:11 *2654:10 1.75925e-05 -10 *2445:11 *2730:20 7.61581e-05 -11 *2445:14 *2446:14 0.00594115 -12 *2802:serial_clock *2445:11 0.000605451 -13 *2802:serial_load *2445:11 0.00070788 -14 *2802:serial_load_out *2445:11 9.04626e-05 -15 *116:64 *2445:11 0.00119834 -16 *655:51 *2445:11 0.000305756 -17 *656:50 *2445:11 4.69341e-05 -18 *656:50 *2445:14 0 -19 *674:10 *2445:11 6.34306e-05 -20 *675:15 *2445:11 0 -21 *676:14 *2822:mprj_io_slow_sel[7] 0 -22 *676:14 *2445:14 0.00120524 -23 *2100:10 *2445:14 0.00100672 -24 *2217:8 *2445:14 0.00210046 -25 *2293:10 *2822:mprj_io_slow_sel[7] 0.000533277 -26 *2293:11 *2445:14 0.00746047 -27 *2369:7 *2445:11 4.42181e-05 -*RES -1 *2802:pad_gpio_slow_sel *2445:11 47.5544 -2 *2445:11 *2445:13 4.5 -3 *2445:13 *2445:14 341.093 -4 *2445:14 *2822:mprj_io_slow_sel[7] 28.1955 -*END - -*D_NET *2446 0.052401 -*CONN -*I *2822:mprj_io_slow_sel[8] I *D chip_io -*I *2786:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_slow_sel[8] 0.000485207 -2 *2786:pad_gpio_slow_sel 0.000451675 -3 *2446:18 0.00179367 -4 *2446:16 0.00133479 -5 *2446:14 0.00305364 -6 *2446:13 0.00347898 -7 *2446:13 *2484:9 2.35723e-05 -8 *2446:13 *2655:13 0.000204555 -9 *2822:mprj_io_analog_en[8] *2822:mprj_io_slow_sel[8] 0 -10 *116:65 *2446:13 0.000524765 -11 *632:85 *2446:13 0.000543803 -12 *676:14 *2446:14 0.00297358 -13 *676:20 *2446:14 0 -14 *1990:29 *2446:18 0.00254513 -15 *2028:14 *2822:mprj_io_slow_sel[8] 0.000658455 -16 *2028:14 *2446:14 0.0036695 -17 *2028:14 *2446:18 0.0023315 -18 *2097:8 *2446:14 0.000907986 -19 *2100:10 *2446:14 0.00155249 -20 *2100:10 *2446:18 0.0011266 -21 *2103:24 *2822:mprj_io_slow_sel[8] 3.26582e-06 -22 *2293:11 *2446:14 0.00596718 -23 *2294:11 *2822:mprj_io_slow_sel[8] 1.55666e-05 -24 *2294:11 *2446:14 0.0047478 -25 *2294:11 *2446:18 0.00741064 -26 *2332:14 *2822:mprj_io_slow_sel[8] 1.86389e-05 -27 *2370:9 *2446:13 8.53327e-05 -28 *2409:30 *2822:mprj_io_slow_sel[8] 0.000551497 -29 *2445:14 *2446:14 0.00594115 -*RES -1 *2786:pad_gpio_slow_sel *2446:13 30.7263 -2 *2446:13 *2446:14 252.999 -3 *2446:14 *2446:16 0.732798 -4 *2446:16 *2446:18 119.202 -5 *2446:18 *2822:mprj_io_slow_sel[8] 28.8528 -*END - -*D_NET *2447 0.0607727 -*CONN -*I *2822:mprj_io_slow_sel[9] I *D chip_io -*I *2788:pad_gpio_slow_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_slow_sel[9] 0.00053476 -2 *2788:pad_gpio_slow_sel 0.000398977 -3 *2447:14 0.00395115 -4 *2447:13 0.00381537 -5 *2447:13 *2485:7 3.84497e-05 -6 *2788:serial_clock *2447:13 0.000470508 -7 *2029:14 *2822:mprj_io_slow_sel[9] 0 -8 *2219:8 *2447:14 0.00164082 -9 *2256:10 *2447:14 0.00187245 -10 *2295:11 *2447:14 0.0234466 -11 *2333:13 *2447:13 0.00131775 -12 *2333:14 *2447:14 0.0210303 -13 *2333:18 *2447:14 0.00108664 -14 *2371:7 *2447:13 3.84497e-05 -15 *2409:36 *2447:14 0.000892705 -16 *2411:14 *2447:14 0.000237736 -*RES -1 *2788:pad_gpio_slow_sel *2447:13 29.6255 -2 *2447:13 *2447:14 387.601 -3 *2447:14 *2822:mprj_io_slow_sel[9] 21.4316 -*END - -*D_NET *2448 0.0108521 -*CONN -*I *2822:mprj_io_vtrip_sel[0] I *D chip_io -*I *2781:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_vtrip_sel[0] 0.000332829 -2 *2781:pad_gpio_vtrip_sel 0.000704628 -3 *2448:14 0.00223519 -4 *2448:13 0.00260699 -5 *2781:resetn *2448:13 9.52305e-06 -6 *2781:resetn_out *2448:13 0 -7 *2822:mprj_io_slow_sel[0] *2448:13 1.09344e-05 -8 *1954:14 *2448:14 0 -9 *1992:14 *2448:14 0 -10 *2030:14 *2822:mprj_io_vtrip_sel[0] 4.76719e-05 -11 *2296:13 *2448:13 0 -12 *2296:14 *2448:14 0 -13 *2334:8 *2448:13 3.61259e-05 -14 *2372:14 *2448:14 0.00486822 -*RES -1 *2781:pad_gpio_vtrip_sel *2448:13 29.2047 -2 *2448:13 *2448:14 82.8062 -3 *2448:14 *2822:mprj_io_vtrip_sel[0] 17.0842 -*END - -*D_NET *2449 0.056939 -*CONN -*I *2822:mprj_io_vtrip_sel[10] I *D chip_io -*I *2789:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_vtrip_sel[10] 0.000159506 -2 *2789:pad_gpio_vtrip_sel 6.94771e-05 -3 *2449:16 0.00433814 -4 *2449:15 0.00533396 -5 *2449:10 0.00343198 -6 *2449:9 0.00234613 -7 *2449:16 *2485:8 0.00598739 -8 *2822:mprj_io_ib_mode_sel[10] *2822:mprj_io_vtrip_sel[10] 1.74716e-05 -9 *101:64 *2449:10 0.00428701 -10 *617:43 *2449:15 0.000376602 -11 *617:44 *2449:10 0.000346749 -12 *2108:14 *2822:mprj_io_vtrip_sel[10] 0 -13 *2183:8 *2449:16 0.00547643 -14 *2184:8 *2449:16 0 -15 *2335:8 *2449:16 0.000374189 -16 *2371:8 *2449:16 0.000237331 -17 *2373:8 *2449:16 0.0241566 -*RES -1 *2789:pad_gpio_vtrip_sel *2449:9 3.59493 -2 *2449:9 *2449:10 94.8485 -3 *2449:10 *2449:15 7.19912 -4 *2449:15 *2449:16 49.7726 -5 *2449:16 *2822:mprj_io_vtrip_sel[10] 6.36202 -*END - -*D_NET *2450 0.0708567 -*CONN -*I *2822:mprj_io_vtrip_sel[11] I *D chip_io -*I *2790:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_vtrip_sel[11] 0.000168155 -2 *2790:pad_gpio_vtrip_sel 0.000141511 -3 *2450:8 0.00366054 -4 *2450:7 0.0036339 -5 *2790:resetn *2450:7 3.95327e-06 -6 *2222:10 *2450:8 0.0315897 -7 *2336:7 *2450:7 0 -8 *2336:8 *2450:8 0.0316396 -9 *2412:13 *2450:7 1.94242e-05 -*RES -1 *2790:pad_gpio_vtrip_sel *2450:7 0.707538 -2 *2450:7 *2450:8 62.9176 -3 *2450:8 *2822:mprj_io_vtrip_sel[11] 6.0718 -*END - -*D_NET *2451 0.0739524 -*CONN -*I *2822:mprj_io_vtrip_sel[12] I *D chip_io -*I *2791:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_vtrip_sel[12] 0.000377349 -2 *2791:pad_gpio_vtrip_sel 0.000781103 -3 *2451:8 0.00780725 -4 *2451:7 0.00821101 -5 *2791:resetn *2451:7 1.94242e-05 -6 *2822:mprj_io_ib_mode_sel[12] *2822:mprj_io_vtrip_sel[12] 6.76169e-05 -7 *2822:mprj_io_slow_sel[12] *2451:8 0.000140156 -8 *2033:14 *2451:8 0.00179373 -9 *2033:18 *2451:8 0.000597423 -10 *2111:14 *2451:8 0.00190382 -11 *2111:18 *2451:8 0.000466682 -12 *2112:14 *2451:8 0.00334208 -13 *2112:21 *2451:8 0.000242207 -14 *2117:24 *2451:8 0.000322219 -15 *2117:26 *2822:mprj_io_vtrip_sel[12] 0 -16 *2184:8 *2451:8 0.0114553 -17 *2223:8 *2451:8 0.0316276 -18 *2299:13 *2451:7 0.00010238 -19 *2299:14 *2451:8 0.00355165 -20 *2299:18 *2451:8 0.00054924 -21 *2300:26 *2451:8 0.000465593 -22 *2337:7 *2451:7 0 -23 *2374:8 *2451:8 0.000102033 -24 *2413:13 *2451:7 2.65334e-05 -*RES -1 *2791:pad_gpio_vtrip_sel *2451:7 1.93138 -2 *2451:7 *2451:8 62.9176 -3 *2451:8 *2822:mprj_io_vtrip_sel[12] 5.9297 -*END - -*D_NET *2452 0.114041 -*CONN -*I *2822:mprj_io_vtrip_sel[13] I *D chip_io -*I *2792:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_vtrip_sel[13] 0.000134088 -2 *2792:pad_gpio_vtrip_sel 0.000254977 -3 *2452:8 0.00551498 -4 *2452:7 0.00563587 -5 *2792:resetn *2452:7 4.02086e-05 -6 *2822:mprj_io_ib_mode_sel[13] *2822:mprj_io_vtrip_sel[13] 4.327e-07 -7 *2113:8 *2452:8 0.00207529 -8 *2117:8 *2452:8 0.000900175 -9 *2186:8 *2452:8 0.000830183 -10 *2186:26 *2452:8 0.00443624 -11 *2224:8 *2452:8 0.0504025 -12 *2338:16 *2452:8 0.0437807 -13 *2376:16 *2452:8 1.83756e-05 -14 *2414:13 *2452:7 1.69592e-05 -*RES -1 *2792:pad_gpio_vtrip_sel *2452:7 0.860519 -2 *2452:7 *2452:8 99.9521 -3 *2452:8 *2822:mprj_io_vtrip_sel[13] 5.99531 -*END - -*D_NET *2453 0.026157 -*CONN -*I *2822:mprj_io_vtrip_sel[14] I *D chip_io -*I *2793:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_vtrip_sel[14] 0.000588211 -2 *2793:pad_gpio_vtrip_sel 0.000404595 -3 *2453:20 0.00248117 -4 *2453:19 0.0020275 -5 *2453:14 0.000928644 -6 *2453:13 0.00119869 -7 *2793:resetn *2453:13 0.000136032 -8 *2793:resetn_out *2453:13 0 -9 *621:48 *2822:mprj_io_vtrip_sel[14] 0 -10 *2187:14 *2453:14 0.00582676 -11 *2225:14 *2453:20 0.00546812 -12 *2301:15 *2453:13 0.000742567 -13 *2339:8 *2453:13 7.08723e-06 -14 *2377:13 *2453:13 0.000475888 -15 *2377:14 *2453:14 0.00582318 -16 *2415:13 *2453:13 4.85049e-05 -*RES -1 *2793:pad_gpio_vtrip_sel *2453:13 25.9647 -2 *2453:13 *2453:14 93.6027 -3 *2453:14 *2453:19 11.3872 -4 *2453:19 *2453:20 87.3739 -5 *2453:20 *2822:mprj_io_vtrip_sel[14] 23.9776 -*END - -*D_NET *2454 0.0555771 -*CONN -*I *2822:mprj_io_vtrip_sel[15] I *D chip_io -*I *2794:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_vtrip_sel[15] 0.00011629 -2 *2794:pad_gpio_vtrip_sel 8.14983e-05 -3 *2454:40 0.000957017 -4 *2454:27 0.00214337 -5 *2454:26 0.00172627 -6 *2454:21 0.00480347 -7 *2454:19 0.00440048 -8 *2454:17 0.00214041 -9 *2454:16 0.0025542 -10 *2454:11 0.00144732 -11 *2454:10 0.0010944 -12 *2454:11 *2618:20 0.00697281 -13 *2454:11 *2663:17 0 -14 *2794:resetn *2454:11 1.30651e-05 -15 *2794:resetn_out *2454:11 6.66147e-05 -16 *2794:serial_clock *2454:11 0.00337439 -17 *2794:serial_data_in *2454:11 8.90486e-05 -18 *2822:mprj_io_dm[47] *2454:40 0.00117592 -19 *2822:mprj_io_ib_mode_sel[15] *2454:40 0.000722032 -20 *2036:22 *2454:16 0 -21 *2123:17 *2454:17 0.00328568 -22 *2123:27 *2454:27 0.00584957 -23 *2123:32 *2454:40 0.000274483 -24 *2226:25 *2454:17 6.08489e-05 -25 *2302:30 *2454:16 0.000304684 -26 *2302:31 *2454:17 0.00502072 -27 *2340:21 *2454:17 0.001525 -28 *2340:21 *2454:21 0.000870756 -29 *2416:21 *2454:17 0.00450675 -*RES -1 *2794:pad_gpio_vtrip_sel *2454:10 8.38708 -2 *2454:10 *2454:11 73.3765 -3 *2454:11 *2454:16 24.1201 -4 *2454:16 *2454:17 145.198 -5 *2454:17 *2454:19 0.578717 -6 *2454:19 *2454:21 133.551 -7 *2454:21 *2454:26 20.3828 -8 *2454:26 *2454:27 61.7298 -9 *2454:27 *2454:40 48.0861 -10 *2454:40 *2822:mprj_io_vtrip_sel[15] 2.05183 -*END - -*D_NET *2455 0.0177492 -*CONN -*I *2822:mprj_io_vtrip_sel[16] I *D chip_io -*I *2795:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_vtrip_sel[16] 0.00274266 -2 *2795:pad_gpio_vtrip_sel 9.17012e-05 -3 *2455:16 0.00407752 -4 *2455:11 0.00257766 -5 *2455:10 0.0013345 -6 *2822:mprj_io_holdover[16] *2822:mprj_io_vtrip_sel[16] 0 -7 *2822:mprj_io_slow_sel[16] *2822:mprj_io_vtrip_sel[16] 0 -8 *106:80 *2822:mprj_io_vtrip_sel[16] 0.000633011 -9 *622:43 *2455:16 0 -10 *2127:26 *2455:16 0 -11 *2189:11 *2455:11 0.00562843 -12 *2189:19 *2455:16 0 -13 *2227:11 *2455:11 0 -14 *2227:31 *2455:16 0 -15 *2265:8 *2822:mprj_io_vtrip_sel[16] 0.00066375 -*RES -1 *2795:pad_gpio_vtrip_sel *2455:10 8.46357 -2 *2455:10 *2455:11 58.9568 -3 *2455:11 *2455:16 44.3743 -4 *2455:16 *2822:mprj_io_vtrip_sel[16] 21.5891 -*END - -*D_NET *2456 0.0410822 -*CONN -*I *2822:mprj_io_vtrip_sel[17] I *D chip_io -*I *2796:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_vtrip_sel[17] 0.000787882 -2 *2796:pad_gpio_vtrip_sel 0.000125912 -3 *2456:23 0.00213164 -4 *2456:20 0.00275055 -5 *2456:11 0.00615289 -6 *2456:10 0.00487201 -7 *2822:mprj_io_ib_mode_sel[17] *2822:mprj_io_vtrip_sel[17] 0 -8 *2822:mprj_io_out[17] *2822:mprj_io_vtrip_sel[17] 0.000123131 -9 *667:17 *2822:mprj_io_vtrip_sel[17] 3.38808e-05 -10 *1962:11 *2456:11 0 -11 *2228:17 *2822:mprj_io_vtrip_sel[17] 0.000851014 -12 *2304:23 *2822:mprj_io_vtrip_sel[17] 0.00118453 -13 *2380:11 *2456:11 0.000668608 -14 *2380:22 *2822:mprj_io_vtrip_sel[17] 0.00123313 -15 *2418:11 *2456:11 0.020167 -16 *2418:20 *2456:20 0 -*RES -1 *2796:pad_gpio_vtrip_sel *2456:10 8.46357 -2 *2456:10 *2456:11 226.447 -3 *2456:11 *2456:20 46.617 -4 *2456:20 *2456:23 40.1634 -5 *2456:23 *2822:mprj_io_vtrip_sel[17] 46.2733 -*END - -*D_NET *2457 0.0239682 -*CONN -*I *2822:mprj_io_vtrip_sel[18] I *D chip_io -*I *2787:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_vtrip_sel[18] 0.000524907 -2 *2787:pad_gpio_vtrip_sel 0.000541006 -3 *2457:22 0.000912866 -4 *2457:17 0.00263978 -5 *2457:16 0.0027889 -6 *2457:13 0.00107809 -7 *2822:mprj_io_holdover[18] *2822:mprj_io_vtrip_sel[18] 0.000135081 -8 *1963:14 *2457:22 0.0018307 -9 *1963:17 *2822:mprj_io_vtrip_sel[18] 0.000141291 -10 *2132:11 *2457:13 3.99086e-06 -11 *2229:27 *2822:mprj_io_vtrip_sel[18] 0.000156579 -12 *2229:27 *2457:22 0.000806469 -13 *2305:11 *2457:17 0.00410122 -14 *2343:11 *2457:13 0.0014464 -15 *2343:16 *2457:16 0.00154384 -16 *2343:17 *2457:17 0.0024103 -17 *2343:30 *2822:mprj_io_vtrip_sel[18] 0.000379505 -18 *2343:30 *2457:22 7.24173e-05 -19 *2419:13 *2457:13 0.00245489 -*RES -1 *2787:pad_gpio_vtrip_sel *2457:13 46.9632 -2 *2457:13 *2457:16 29.1709 -3 *2457:16 *2457:17 99.4428 -4 *2457:17 *2457:22 38.6539 -5 *2457:22 *2822:mprj_io_vtrip_sel[18] 21.1228 -*END - -*D_NET *2458 0.0372597 -*CONN -*I *2822:mprj_io_vtrip_sel[19] I *D chip_io -*I *2803:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_vtrip_sel[19] 0.000417504 -2 *2803:pad_gpio_vtrip_sel 0.000388857 -3 *2458:17 0.00431488 -4 *2458:16 0.0044636 -5 *2458:13 0.000955082 -6 *2458:13 *2629:19 5.0715e-05 -7 *2458:17 *2593:8 0.00328964 -8 *2803:resetn *2458:13 0.000165504 -9 *2803:serial_data_in *2458:13 0.000437088 -10 *2803:serial_data_in *2458:16 8.62321e-06 -11 *2803:serial_load *2458:13 7.68538e-06 -12 *2822:mprj_io_ib_mode_sel[19] *2822:mprj_io_vtrip_sel[19] 0 -13 *100:14 *2458:13 0.00132899 -14 *100:14 *2458:16 2.82537e-05 -15 *616:16 *2458:16 0.00117561 -16 *640:14 *2458:16 0.00119147 -17 *640:16 *2458:16 0.00090859 -18 *2344:13 *2458:13 0.000434669 -19 *2344:16 *2458:16 1.55462e-05 -20 *2344:17 *2458:17 0.0176774 -*RES -1 *2803:pad_gpio_vtrip_sel *2458:13 36.9038 -2 *2458:13 *2458:16 39.9674 -3 *2458:16 *2458:17 185.961 -4 *2458:17 *2822:mprj_io_vtrip_sel[19] 15.5897 -*END - -*D_NET *2459 0.00854778 -*CONN -*I *2822:mprj_io_vtrip_sel[1] I *D chip_io -*I *2782:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_vtrip_sel[1] 0.000437032 -2 *2782:pad_gpio_vtrip_sel 0.000508105 -3 *2459:14 0.00312259 -4 *2459:13 0.00319366 -5 *2782:resetn *2459:13 3.84497e-05 -6 *2822:mprj_io_ib_mode_sel[1] *2822:mprj_io_vtrip_sel[1] 0 -7 *2822:mprj_io_slow_sel[1] *2459:13 4.31122e-05 -8 *660:16 *2459:14 0 -9 *2003:14 *2459:14 0.00120483 -10 *2193:14 *2459:14 0 -11 *2307:14 *2459:14 0 -12 *2383:14 *2822:mprj_io_vtrip_sel[1] 0 -13 *2383:14 *2459:14 0 -*RES -1 *2782:pad_gpio_vtrip_sel *2459:13 25.1943 -2 *2459:13 *2459:14 89.035 -3 *2459:14 *2822:mprj_io_vtrip_sel[1] 19.442 -*END - -*D_NET *2460 0.0271081 -*CONN -*I *2822:mprj_io_vtrip_sel[20] I *D chip_io -*I *2810:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_vtrip_sel[20] 0.000443164 -2 *2810:pad_gpio_vtrip_sel 0.00411678 -3 *2460:15 0.00455995 -4 *2460:15 *2594:12 0.000549095 -5 *2822:mprj_io_ib_mode_sel[20] *2822:mprj_io_vtrip_sel[20] 0 -6 *2822:mprj_io_ib_mode_sel[20] *2460:15 0.0128959 -7 *110:17 *2460:15 0.000761769 -8 *650:17 *2460:15 0.000380884 -9 *2232:16 *2460:15 0.000618701 -10 *2346:17 *2460:15 0.00278181 -*RES -1 *2810:pad_gpio_vtrip_sel *2460:15 43.4888 -2 *2460:15 *2822:mprj_io_vtrip_sel[20] 12.1889 -*END - -*D_NET *2461 0.0340207 -*CONN -*I *2822:mprj_io_vtrip_sel[21] I *D chip_io -*I *2811:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_vtrip_sel[21] 6.21252e-05 -2 *2811:pad_gpio_vtrip_sel 8.99662e-05 -3 *2461:17 0.000667904 -4 *2461:16 0.000605779 -5 *2461:14 0.00152734 -6 *2461:13 0.00152734 -7 *2461:11 0.00126241 -8 *2461:10 0.00135238 -9 *2822:mprj_io_ib_mode_sel[21] *2822:mprj_io_vtrip_sel[21] 8.03562e-06 -10 *690:20 *2461:14 7.26852e-05 -11 *690:23 *2461:11 0.000449363 -12 *2233:17 *2461:17 0.000493488 -13 *2347:11 *2461:11 0.00638486 -14 *2347:14 *2461:14 0 -15 *2347:17 *2461:17 0.00836992 -16 *2385:14 *2461:14 0.00357605 -17 *2385:17 *2461:17 0.00757108 -*RES -1 *2811:pad_gpio_vtrip_sel *2461:10 8.46357 -2 *2461:10 *2461:11 68.9396 -3 *2461:11 *2461:13 4.5 -4 *2461:13 *2461:14 63.2893 -5 *2461:14 *2461:16 4.5 -6 *2461:16 *2461:17 90.8465 -7 *2461:17 *2822:mprj_io_vtrip_sel[21] 6.03888 -*END - -*D_NET *2462 0.0432743 -*CONN -*I *2822:mprj_io_vtrip_sel[22] I *D chip_io -*I *2812:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_vtrip_sel[22] 0.000387072 -2 *2812:pad_gpio_vtrip_sel 0.00027115 -3 *2462:17 0.00258066 -4 *2462:16 0.00277677 -5 *2462:13 0.000854335 -6 *2462:17 *2596:10 0.00168557 -7 *2822:mprj_io_ib_mode_sel[22] *2822:mprj_io_vtrip_sel[22] 0 -8 *652:13 *2462:13 0.00159452 -9 *652:40 *2462:16 0.000878734 -10 *2234:23 *2462:17 0.0103019 -11 *2348:13 *2462:13 0.00206604 -12 *2348:16 *2462:16 0.00210347 -13 *2348:17 *2462:17 0.0175754 -14 *2424:16 *2462:16 7.14746e-05 -15 *2424:17 *2462:13 0.000127162 -*RES -1 *2812:pad_gpio_vtrip_sel *2462:13 34.7619 -2 *2462:13 *2462:16 38.7217 -3 *2462:16 *2462:17 183.743 -4 *2462:17 *2822:mprj_io_vtrip_sel[22] 15.1744 -*END - -*D_NET *2463 0.0261123 -*CONN -*I *2822:mprj_io_vtrip_sel[23] I *D chip_io -*I *2813:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_vtrip_sel[23] 0.000389768 -2 *2813:pad_gpio_vtrip_sel 0.0048433 -3 *2463:15 0.00523307 -4 *2349:17 *2463:15 0.00427942 -5 *2387:15 *2463:15 0.0113668 -*RES -1 *2813:pad_gpio_vtrip_sel *2463:15 44.6253 -2 *2463:15 *2822:mprj_io_vtrip_sel[23] 10.8821 -*END - -*D_NET *2464 0.0282355 -*CONN -*I *2822:mprj_io_vtrip_sel[24] I *D chip_io -*I *2814:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_vtrip_sel[24] 0.000553621 -2 *2814:pad_gpio_vtrip_sel 2.68958e-05 -3 *2464:11 0.00107881 -4 *2464:10 0.00052519 -5 *2464:8 0.000723185 -6 *2464:7 0.000750081 -7 *2822:mprj_io_out[24] *2822:mprj_io_vtrip_sel[24] 9.43525e-05 -8 *2198:17 *2822:mprj_io_vtrip_sel[24] 2.04806e-05 -9 *2236:5 *2464:8 4.46284e-06 -10 *2236:8 *2464:11 0.00561991 -11 *2274:16 *2464:11 0.000712027 -12 *2274:17 *2464:8 0.00556971 -13 *2312:8 *2464:11 0.00011581 -14 *2312:11 *2822:mprj_io_vtrip_sel[24] 0.000784924 -15 *2350:5 *2464:8 0.00561345 -16 *2350:8 *2464:11 0.00604254 -17 *2388:5 *2464:8 0 -*RES -1 *2814:pad_gpio_vtrip_sel *2464:7 3.43197 -2 *2464:7 *2464:8 89.6578 -3 *2464:8 *2464:10 4.5 -4 *2464:10 *2464:11 66.1666 -5 *2464:11 *2822:mprj_io_vtrip_sel[24] 33.6554 -*END - -*D_NET *2465 0.0214476 -*CONN -*I *2822:mprj_io_vtrip_sel[25] I *D chip_io -*I *2815:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_vtrip_sel[25] 0.000500673 -2 *2815:pad_gpio_vtrip_sel 0.000138664 -3 *2465:20 0.00113117 -4 *2465:19 0.00130057 -5 *2465:8 0.00241398 -6 *2465:7 0.00188257 -7 *2815:resetn *2465:7 0.00013393 -8 *106:43 *2822:mprj_io_vtrip_sel[25] 0 -9 *106:43 *2465:8 0 -10 *115:32 *2465:19 5.04829e-06 -11 *618:34 *2465:19 0.000155987 -12 *618:34 *2465:20 0.000533139 -13 *632:43 *2465:19 2.77564e-05 -14 *632:49 *2465:19 0.000907035 -15 *632:55 *2465:8 0 -16 *633:29 *2465:19 0.00101426 -17 *655:15 *2465:19 2.41274e-06 -18 *694:8 *2465:20 0.00410122 -19 *2047:14 *2465:20 1.2366e-05 -20 *2155:8 *2465:19 0 -21 *2155:8 *2465:20 0.000564554 -22 *2156:8 *2465:8 0.00119865 -23 *2237:8 *2465:8 0.00185328 -24 *2237:13 *2465:19 0.000290497 -25 *2237:22 *2465:20 0.000337806 -26 *2389:22 *2465:20 0.00280812 -27 *2427:7 *2465:7 0.00013393 -*RES -1 *2815:pad_gpio_vtrip_sel *2465:7 4.12039 -2 *2465:7 *2465:8 78.0308 -3 *2465:8 *2465:19 45.2409 -4 *2465:19 *2465:20 66.1961 -5 *2465:20 *2822:mprj_io_vtrip_sel[25] 20.2725 -*END - -*D_NET *2466 0.0232637 -*CONN -*I *2822:mprj_io_vtrip_sel[26] I *D chip_io -*I *2816:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_vtrip_sel[26] 0.000516017 -2 *2816:pad_gpio_vtrip_sel 0.000658755 -3 *2466:14 0.00135595 -4 *2466:13 0.0009485 -5 *2466:8 0.00183028 -6 *2466:7 0.00238047 -7 *2816:resetn *2466:7 0.000237365 -8 *2822:mprj_io_holdover[26] *2466:14 9.71323e-06 -9 *2822:mprj_io_ib_mode_sel[26] *2822:mprj_io_vtrip_sel[26] 0 -10 *102:49 *2466:8 0.000741493 -11 *116:16 *2466:14 0.00179923 -12 *617:14 *2822:mprj_io_vtrip_sel[26] 0 -13 *618:34 *2466:14 0.000854921 -14 *633:29 *2466:8 0.00060115 -15 *695:16 *2466:14 0.00108356 -16 *695:22 *2466:14 1.87125e-05 -17 *2048:14 *2466:8 7.77309e-06 -18 *2160:8 *2466:8 0.00345925 -19 *2200:20 *2466:8 5.56367e-05 -20 *2200:20 *2466:13 7.13972e-05 -21 *2200:26 *2466:14 0.00118714 -22 *2352:10 *2466:7 0 -23 *2352:12 *2466:14 0.00529152 -24 *2428:7 *2466:7 0.000154831 -*RES -1 *2816:pad_gpio_vtrip_sel *2466:7 5.5737 -2 *2466:7 *2466:8 75.5393 -3 *2466:8 *2466:13 11.3872 -4 *2466:13 *2466:14 84.8824 -5 *2466:14 *2822:mprj_io_vtrip_sel[26] 20.9664 -*END - -*D_NET *2467 0.0264753 -*CONN -*I *2822:mprj_io_vtrip_sel[27] I *D chip_io -*I *2817:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_vtrip_sel[27] 0.00040347 -2 *2817:pad_gpio_vtrip_sel 0.000597024 -3 *2467:14 0.00116214 -4 *2467:13 0.000980799 -5 *2467:8 0.0010986 -6 *2467:7 0.00147349 -7 *2817:resetn *2467:7 0.000273534 -8 *2822:mprj_io_ib_mode_sel[27] *2822:mprj_io_vtrip_sel[27] 0 -9 *2822:mprj_io_oeb[27] *2822:mprj_io_vtrip_sel[27] 3.38896e-05 -10 *102:49 *2467:8 0.000981609 -11 *618:34 *2467:14 0.000451211 -12 *633:14 *2467:14 0.00395661 -13 *657:7 *2467:7 0 -14 *657:8 *2467:8 0.000206811 -15 *657:20 *2467:14 0 -16 *696:8 *2467:8 0.00610579 -17 *1973:8 *2467:8 1.87125e-05 -18 *2201:12 *2467:14 0.00302552 -19 *2239:17 *2467:13 5.04829e-06 -20 *2315:8 *2467:8 0.0053295 -21 *2353:7 *2467:7 0 -22 *2353:13 *2467:13 5.04829e-06 -23 *2391:19 *2467:13 5.20546e-06 -24 *2391:22 *2467:8 0.000107729 -25 *2391:26 *2467:14 0 -26 *2429:7 *2467:7 0.000253605 -*RES -1 *2817:pad_gpio_vtrip_sel *2467:7 5.5737 -2 *2467:7 *2467:8 97.9629 -3 *2467:8 *2467:13 13.051 -4 *2467:13 *2467:14 63.7046 -5 *2467:14 *2822:mprj_io_vtrip_sel[27] 18.6614 -*END - -*D_NET *2468 0.0239566 -*CONN -*I *2822:mprj_io_vtrip_sel[28] I *D chip_io -*I *2818:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_vtrip_sel[28] 0.000572216 -2 *2818:pad_gpio_vtrip_sel 0.000721089 -3 *2468:24 0.00143886 -4 *2468:23 0.00177034 -5 *2468:12 0.00151281 -6 *2468:10 0.00133021 -7 *2468:23 *2603:20 8.90415e-05 -8 *2818:resetn *2468:10 2.11882e-05 -9 *2822:mprj_io_dm[85] *2468:23 5.04829e-06 -10 *2822:mprj_io_holdover[28] *2468:24 0.00049525 -11 *2822:mprj_io_ib_mode_sel[28] *2822:mprj_io_vtrip_sel[28] 0 -12 *102:49 *2468:23 0.000228554 -13 *618:34 *2468:10 0.000100246 -14 *618:34 *2468:12 0.000537097 -15 *634:73 *2468:10 0 -16 *634:74 *2468:12 0.00408386 -17 *642:52 *2468:24 0.00054386 -18 *679:10 *2468:10 0.000346771 -19 *679:10 *2468:12 0.00420874 -20 *2050:37 *2468:23 5.04829e-06 -21 *2165:20 *2468:23 0.000137072 -22 *2166:18 *2468:23 0.00101349 -23 *2166:22 *2468:23 0.00018059 -24 *2166:22 *2468:24 0.00232164 -25 *2202:23 *2468:23 2.66942e-05 -26 *2202:26 *2468:24 0.00160796 -27 *2354:13 *2468:10 0.000139389 -28 *2354:13 *2468:12 0 -29 *2354:14 *2468:24 0.000316724 -30 *2430:7 *2468:10 0.000202772 -*RES -1 *2818:pad_gpio_vtrip_sel *2468:10 17.447 -2 *2468:10 *2468:12 67.7716 -3 *2468:12 *2468:23 49.5243 -4 *2468:23 *2468:24 57.0605 -5 *2468:24 *2822:mprj_io_vtrip_sel[28] 22.215 -*END - -*D_NET *2469 0.0237413 -*CONN -*I *2822:mprj_io_vtrip_sel[29] I *D chip_io -*I *2804:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_vtrip_sel[29] 0.000506384 -2 *2804:pad_gpio_vtrip_sel 0.000300343 -3 *2469:16 0.00178647 -4 *2469:15 0.00146666 -5 *2469:10 0.000887146 -6 *2469:9 0.00100092 -7 *2804:resetn *2469:9 0.00011515 -8 *2822:mprj_io_ib_mode_sel[29] *2822:mprj_io_vtrip_sel[29] 0 -9 *101:19 *2469:15 2.35405e-05 -10 *106:37 *2469:10 0.000237222 -11 *617:70 *2469:10 0.000151697 -12 *618:34 *2469:16 0.00103476 -13 *641:10 *2469:10 1.59078e-05 -14 *641:12 *2822:mprj_io_vtrip_sel[29] 0 -15 *641:12 *2469:10 0.000644508 -16 *680:8 *2469:16 0 -17 *2168:10 *2469:10 0.00210041 -18 *2169:13 *2469:15 0.000111708 -19 *2169:16 *2469:16 0.00147037 -20 *2203:31 *2469:15 5.04829e-06 -21 *2355:10 *2469:16 0.00641149 -22 *2393:10 *2469:10 0.00301896 -23 *2393:15 *2469:15 8.79845e-05 -24 *2431:9 *2469:9 0.000178321 -25 *2431:10 *2469:16 0.00218633 -*RES -1 *2804:pad_gpio_vtrip_sel *2469:9 4.76224 -2 *2469:9 *2469:10 57.2682 -3 *2469:10 *2469:15 13.6056 -4 *2469:15 *2469:16 102.738 -5 *2469:16 *2822:mprj_io_vtrip_sel[29] 21.3817 -*END - -*D_NET *2470 0.0132059 -*CONN -*I *2822:mprj_io_vtrip_sel[2] I *D chip_io -*I *2797:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_vtrip_sel[2] 0.00052013 -2 *2797:pad_gpio_vtrip_sel 0.000562612 -3 *2470:14 0.00160079 -4 *2470:13 0.00164327 -5 *2797:resetn *2470:13 3.84497e-05 -6 *2822:mprj_io_ib_mode_sel[2] *2822:mprj_io_vtrip_sel[2] 0 -7 *110:52 *2822:mprj_io_vtrip_sel[2] 0 -8 *650:56 *2470:14 0 -9 *669:29 *2822:mprj_io_vtrip_sel[2] 0 -10 *669:30 *2470:13 5.35541e-05 -11 *1737:20 *2470:14 0 -12 *2052:14 *2470:14 0.00353922 -13 *2170:14 *2470:14 0.000296254 -14 *2204:14 *2470:14 0.00412813 -15 *2318:14 *2470:14 0 -16 *2356:13 *2470:13 6.11359e-06 -17 *2356:14 *2470:14 0.000173913 -18 *2394:14 *2470:14 0.000231356 -19 *2432:13 *2470:13 0.000412166 -20 *2432:14 *2470:14 0 -*RES -1 *2797:pad_gpio_vtrip_sel *2470:13 28.9316 -2 *2470:13 *2470:14 84.0519 -3 *2470:14 *2822:mprj_io_vtrip_sel[2] 20.5128 -*END - -*D_NET *2471 0.0202225 -*CONN -*I *2822:mprj_io_vtrip_sel[30] I *D chip_io -*I *2805:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_vtrip_sel[30] 0.000602716 -2 *2805:pad_gpio_vtrip_sel 0.00111409 -3 *2471:14 0.00338841 -4 *2471:13 0.00389978 -5 *2471:14 *2605:11 0.000413964 -6 *2822:mprj_io_ib_mode_sel[30] *2822:mprj_io_vtrip_sel[30] 0 -7 *102:14 *2471:13 1.49176e-05 -8 *102:25 *2471:14 0.000628648 -9 *618:8 *2471:13 0.00180614 -10 *642:5 *2471:13 0 -11 *642:51 *2471:13 0 -12 *2173:14 *2471:13 0.000308333 -13 *2205:8 *2471:14 1.69327e-05 -14 *2205:12 *2471:14 0.00399504 -15 *2243:14 *2471:14 0 -16 *2357:7 *2471:13 0 -17 *2357:8 *2471:13 0 -18 *2357:8 *2471:14 0.00320897 -19 *2357:20 *2822:mprj_io_vtrip_sel[30] 0 -20 *2395:8 *2471:13 0.00049962 -21 *2395:14 *2471:14 0.000109332 -22 *2433:7 *2471:13 0.000215594 -*RES -1 *2805:pad_gpio_vtrip_sel *2471:13 47.5356 -2 *2471:13 *2471:14 131.806 -3 *2471:14 *2822:mprj_io_vtrip_sel[30] 23.3242 -*END - -*D_NET *2472 0.0207177 -*CONN -*I *2822:mprj_io_vtrip_sel[31] I *D chip_io -*I *2806:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_vtrip_sel[31] 0.000314034 -2 *2806:pad_gpio_vtrip_sel 0.000496204 -3 *2472:8 0.00318761 -4 *2472:7 0.00336978 -5 *2822:mprj_io_vtrip_sel[31] *2720:14 0 -6 *2472:8 *2606:13 0.00129808 -7 *2822:mprj_io_ib_mode_sel[31] *2822:mprj_io_vtrip_sel[31] 0.000720481 -8 *2822:mprj_io_oeb[31] *2822:mprj_io_vtrip_sel[31] 0 -9 *643:13 *2472:7 0 -10 *643:14 *2472:8 0.000794755 -11 *682:8 *2472:8 0.00139111 -12 *2016:16 *2472:8 0.0019648 -13 *2054:16 *2472:8 0.00567444 -14 *2244:8 *2472:8 0.0013191 -15 *2320:8 *2472:8 0 -16 *2358:7 *2472:7 0 -17 *2358:8 *2472:8 0 -18 *2396:7 *2472:7 0 -19 *2396:8 *2472:8 0 -20 *2434:7 *2472:7 0.000187259 -21 *2434:8 *2472:8 0 -*RES -1 *2806:pad_gpio_vtrip_sel *2472:7 5.19125 -2 *2472:7 *2472:8 163.988 -3 *2472:8 *2822:mprj_io_vtrip_sel[31] 19.7207 -*END - -*D_NET *2473 0.0182718 -*CONN -*I *2822:mprj_io_vtrip_sel[32] I *D chip_io -*I *2807:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_vtrip_sel[32] 0.000267822 -2 *2807:pad_gpio_vtrip_sel 0.000123352 -3 *2473:14 0.00174556 -4 *2473:13 0.00170028 -5 *2473:8 0.00246144 -6 *2473:7 0.00236225 -7 *2807:resetn *2473:7 5.27207e-05 -8 *2822:mprj_io_analog_pol[32] *2473:14 0 -9 *2822:mprj_io_analog_sel[32] *2473:14 0 -10 *2822:mprj_io_dm[96] *2473:14 0 -11 *2822:mprj_io_dm[97] *2473:8 0.000411609 -12 *2822:mprj_io_dm[98] *2473:14 0 -13 *2822:mprj_io_holdover[32] *2473:14 0 -14 *2822:mprj_io_ib_mode_sel[32] *2822:mprj_io_vtrip_sel[32] 0 -15 *2822:mprj_io_out[32] *2473:14 0 -16 *106:31 *2473:8 0 -17 *619:20 *2473:14 0.00090309 -18 *620:23 *2473:14 0.00123208 -19 *644:21 *2473:8 0 -20 *1979:8 *2473:8 0 -21 *2017:8 *2473:14 0.00262403 -22 *2177:21 *2473:14 0.00236357 -23 *2283:11 *2473:8 0.00191494 -24 *2435:7 *2473:7 0.000109066 -*RES -1 *2807:pad_gpio_vtrip_sel *2473:7 3.9674 -2 *2473:7 *2473:8 78.0308 -3 *2473:8 *2473:13 14.1602 -4 *2473:13 *2473:14 83.2214 -5 *2473:14 *2822:mprj_io_vtrip_sel[32] 16.1144 -*END - -*D_NET *2474 0.0257193 -*CONN -*I *2822:mprj_io_vtrip_sel[33] I *D chip_io -*I *2808:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_vtrip_sel[33] 0.00042547 -2 *2808:pad_gpio_vtrip_sel 0.000499686 -3 *2474:8 0.0019623 -4 *2474:7 0.00203652 -5 *2822:mprj_io_ib_mode_sel[33] *2822:mprj_io_vtrip_sel[33] 0 -6 *105:36 *2474:7 1.498e-05 -7 *645:8 *2474:7 0 -8 *645:15 *2474:8 0.00149094 -9 *645:36 *2474:7 3.76933e-05 -10 *684:16 *2474:8 0.00999716 -11 *2246:8 *2474:8 0.00905951 -12 *2398:14 *2474:8 0 -13 *2436:7 *2474:7 0.000195024 -14 *2436:8 *2474:8 0 -*RES -1 *2808:pad_gpio_vtrip_sel *2474:7 5.03827 -2 *2474:7 *2474:8 160.251 -3 *2474:8 *2822:mprj_io_vtrip_sel[33] 19.8572 -*END - -*D_NET *2475 0.0240544 -*CONN -*I *2822:mprj_io_vtrip_sel[34] I *D chip_io -*I *2809:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_vtrip_sel[34] 0.000110687 -2 *2809:pad_gpio_vtrip_sel 9.37441e-05 -3 *2475:27 0.00303456 -4 *2475:10 0.00455898 -5 *2475:9 0.00172885 -6 *2822:mprj_io_oeb[34] *2475:27 0.00616256 -7 *106:13 *2475:27 0.00617065 -8 *2437:9 *2475:9 2.56241e-05 -9 *2437:10 *2475:10 0.00191063 -10 *2437:18 *2475:27 0.000258157 -*RES -1 *2809:pad_gpio_vtrip_sel *2475:9 3.708 -2 *2475:9 *2475:10 64.3275 -3 *2475:10 *2475:27 47.5725 -4 *2475:27 *2822:mprj_io_vtrip_sel[34] 2.99226 -*END - -*D_NET *2476 0.0265786 -*CONN -*I *2822:mprj_io_vtrip_sel[35] I *D chip_io -*I *2783:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_vtrip_sel[35] 0.0021464 -2 *2783:pad_gpio_vtrip_sel 0.0021464 -3 *2783:resetn *2822:mprj_io_vtrip_sel[35] 5.00688e-05 -4 *2784:serial_clock *2822:mprj_io_vtrip_sel[35] 0.0109761 -5 *2822:mprj_io_oeb[35] *2822:mprj_io_vtrip_sel[35] 0.0108903 -6 *2822:mprj_io_out[35] *2822:mprj_io_vtrip_sel[35] 7.82743e-05 -7 *619:20 *2822:mprj_io_vtrip_sel[35] 0.000173176 -8 *647:31 *2822:mprj_io_vtrip_sel[35] 0 -9 *2248:8 *2822:mprj_io_vtrip_sel[35] 0 -10 *2438:7 *2822:mprj_io_vtrip_sel[35] 0.000117991 -*RES -1 *2783:pad_gpio_vtrip_sel *2822:mprj_io_vtrip_sel[35] 30.1315 -*END - -*D_NET *2477 0.0228707 -*CONN -*I *2822:mprj_io_vtrip_sel[36] I *D chip_io -*I *2784:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_vtrip_sel[36] 0.000404436 -2 *2784:pad_gpio_vtrip_sel 0.000316142 -3 *2477:16 0.00153054 -4 *2477:15 0.00123916 -5 *2477:8 0.00107059 -6 *2477:7 0.00127367 -7 *2784:resetn *2477:7 1.74395e-05 -8 *2784:serial_clock *2477:7 0 -9 *108:38 *2477:8 0 -10 *648:32 *2477:8 0 -11 *687:16 *2477:8 0 -12 *687:16 *2477:16 0.00689408 -13 *1733:8 *2477:8 0.000276022 -14 *1745:13 *2477:16 0.00100413 -15 *2059:15 *2477:15 2.16355e-05 -16 *2077:10 *2477:8 0.00150672 -17 *2080:15 *2477:15 3.26421e-05 -18 *2325:10 *2477:8 0.00114918 -19 *2325:10 *2477:16 6.34651e-06 -20 *2325:12 *2477:16 0.00462522 -21 *2401:15 *2477:8 0.000492533 -22 *2401:18 *2477:16 0.000784944 -23 *2439:7 *2477:7 0.000225243 -*RES -1 *2784:pad_gpio_vtrip_sel *2477:7 4.65582 -2 *2477:7 *2477:8 49.3784 -3 *2477:8 *2477:15 11.9659 -4 *2477:15 *2477:16 110.213 -5 *2477:16 *2822:mprj_io_vtrip_sel[36] 19.7179 -*END - -*D_NET *2478 0.0229799 -*CONN -*I *2822:mprj_io_vtrip_sel[37] I *D chip_io -*I *2785:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_vtrip_sel[37] 0.000537902 -2 *2785:pad_gpio_vtrip_sel 0.00112004 -3 *2478:20 0.00366392 -4 *2478:18 0.0040731 -5 *2478:13 0.0025983 -6 *2478:12 0.00165121 -7 *2478:10 0.00112004 -8 *2785:resetn_out *2478:10 0 -9 *2440:10 *2478:10 0.00262992 -10 *2440:13 *2478:13 0.00558543 -*RES -1 *2785:pad_gpio_vtrip_sel *2478:10 48.5186 -2 *2478:10 *2478:12 4.5 -3 *2478:12 *2478:13 67.2758 -4 *2478:13 *2478:18 31.357 -5 *2478:18 *2478:20 88.8273 -6 *2478:20 *2822:mprj_io_vtrip_sel[37] 23.5624 -*END - -*D_NET *2479 0.0139162 -*CONN -*I *2822:mprj_io_vtrip_sel[3] I *D chip_io -*I *2798:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_vtrip_sel[3] 0.000446548 -2 *2798:pad_gpio_vtrip_sel 0.000664456 -3 *2479:14 0.00132956 -4 *2479:13 0.00154747 -5 *2798:resetn *2479:13 3.84497e-05 -6 *627:28 *2479:13 0.000107108 -7 *670:14 *2479:14 0 -8 *2061:16 *2822:mprj_io_vtrip_sel[3] 0 -9 *2289:15 *2479:14 0.00466997 -10 *2327:16 *2479:14 0 -11 *2365:8 *2479:13 5.39868e-05 -12 *2403:14 *2479:14 0.00482118 -13 *2441:15 *2479:13 0.000237476 -14 *2441:16 *2479:14 0 -*RES -1 *2798:pad_gpio_vtrip_sel *2479:13 30.8685 -2 *2479:13 *2479:14 82.3909 -3 *2479:14 *2822:mprj_io_vtrip_sel[3] 18.748 -*END - -*D_NET *2480 0.0100087 -*CONN -*I *2822:mprj_io_vtrip_sel[4] I *D chip_io -*I *2799:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_vtrip_sel[4] 0.00039878 -2 *2799:pad_gpio_vtrip_sel 0.000493 -3 *2480:14 0.00222955 -4 *2480:13 0.00232377 -5 *2799:resetn *2480:13 3.84497e-05 -6 *2822:mprj_io_ib_mode_sel[4] *2822:mprj_io_vtrip_sel[4] 0 -7 *630:29 *2480:14 0.000852576 -8 *671:14 *2822:mprj_io_vtrip_sel[4] 0 -9 *671:14 *2480:14 0 -10 *2024:16 *2480:14 0.00171041 -11 *2328:16 *2480:14 0.000339969 -12 *2404:14 *2480:14 0.00158375 -13 *2442:13 *2480:13 3.84497e-05 -14 *2442:14 *2480:14 0 -*RES -1 *2799:pad_gpio_vtrip_sel *2480:13 24.2244 -2 *2480:13 *2480:14 89.4502 -3 *2480:14 *2822:mprj_io_vtrip_sel[4] 18.3328 -*END - -*D_NET *2481 0.0144578 -*CONN -*I *2822:mprj_io_vtrip_sel[5] I *D chip_io -*I *2800:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_vtrip_sel[5] 0.000467032 -2 *2800:pad_gpio_vtrip_sel 0.000602162 -3 *2481:14 0.00146386 -4 *2481:13 0.00159899 -5 *2800:resetn *2481:13 3.84497e-05 -6 *2822:mprj_io_slow_sel[5] *2481:13 3.36517e-05 -7 *655:45 *2481:14 0.000758727 -8 *672:14 *2481:14 0 -9 *2063:14 *2481:14 0.000263095 -10 *2090:14 *2481:14 0.0042323 -11 *2329:14 *2481:14 0 -12 *2367:13 *2481:13 0.000305015 -13 *2367:14 *2481:14 0.00469456 -*RES -1 *2800:pad_gpio_vtrip_sel *2481:13 30.0408 -2 *2481:13 *2481:14 85.2977 -3 *2481:14 *2822:mprj_io_vtrip_sel[5] 20.5512 -*END - -*D_NET *2482 0.0135388 -*CONN -*I *2822:mprj_io_vtrip_sel[6] I *D chip_io -*I *2801:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_vtrip_sel[6] 0.000382509 -2 *2801:pad_gpio_vtrip_sel 0.000604492 -3 *2482:14 0.00129503 -4 *2482:13 0.00151701 -5 *2801:resetn *2482:13 3.84497e-05 -6 *2822:mprj_io_ib_mode_sel[6] *2822:mprj_io_vtrip_sel[6] 0 -7 *114:60 *2482:13 0.000107108 -8 *630:47 *2482:14 0 -9 *654:59 *2482:14 0.00504902 -10 *655:45 *2482:14 0.000642242 -11 *673:14 *2482:14 0.00211687 -12 *2064:14 *2822:mprj_io_vtrip_sel[6] 0.000216644 -13 *2368:8 *2482:13 5.39868e-05 -14 *2406:14 *2482:14 0.00127793 -15 *2444:15 *2482:13 0.000237476 -*RES -1 *2801:pad_gpio_vtrip_sel *2482:13 29.2047 -2 *2482:13 *2482:14 81.1452 -3 *2482:14 *2822:mprj_io_vtrip_sel[6] 18.3189 -*END - -*D_NET *2483 0.0604202 -*CONN -*I *2822:mprj_io_vtrip_sel[7] I *D chip_io -*I *2802:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_vtrip_sel[7] 0.000233881 -2 *2802:pad_gpio_vtrip_sel 0.000369967 -3 *2483:8 0.00484738 -4 *2483:7 0.00498347 -5 *2802:resetn *2483:7 3.46219e-05 -6 *2802:resetn_out *2483:7 0 -7 *2822:mprj_io_slow_sel[7] *2483:8 0.000107678 -8 *676:14 *2822:mprj_io_vtrip_sel[7] 0 -9 *2218:10 *2483:8 0.000383951 -10 *2255:8 *2483:8 0.00551226 -11 *2369:7 *2483:7 0 -12 *2369:8 *2483:8 0.0311294 -13 *2370:10 *2483:8 0.0127686 -14 *2445:11 *2483:7 4.88928e-05 -*RES -1 *2802:pad_gpio_vtrip_sel *2483:7 1.31946 -2 *2483:7 *2483:8 61.8888 -3 *2483:8 *2822:mprj_io_vtrip_sel[7] 6.64003 -*END - -*D_NET *2484 0.0758696 -*CONN -*I *2822:mprj_io_vtrip_sel[8] I *D chip_io -*I *2786:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_vtrip_sel[8] 0.000527766 -2 *2786:pad_gpio_vtrip_sel 0.000931387 -3 *2484:10 0.00370088 -4 *2484:9 0.0041045 -5 *2786:resetn *2484:9 0.000153859 -6 *2786:serial_clock *2484:9 0 -7 *2822:mprj_io_ib_mode_sel[8] *2822:mprj_io_vtrip_sel[8] 0 -8 *2099:14 *2484:10 0.00379048 -9 *2256:10 *2484:10 0.0316658 -10 *2370:9 *2484:9 0 -11 *2371:8 *2484:10 7.13061e-05 -12 *2408:9 *2484:9 0 -13 *2408:10 *2484:10 0.0309001 -14 *2409:30 *2822:mprj_io_vtrip_sel[8] 0 -15 *2446:13 *2484:9 2.35723e-05 -*RES -1 *2786:pad_gpio_vtrip_sel *2484:9 2.45684 -2 *2484:9 *2484:10 62.8033 -3 *2484:10 *2822:mprj_io_vtrip_sel[8] 7.06617 -*END - -*D_NET *2485 0.060106 -*CONN -*I *2822:mprj_io_vtrip_sel[9] I *D chip_io -*I *2788:pad_gpio_vtrip_sel O *D gpio_control_block -*CAP -1 *2822:mprj_io_vtrip_sel[9] 0.000226625 -2 *2788:pad_gpio_vtrip_sel 0.000542802 -3 *2485:8 0.00566128 -4 *2485:7 0.00597746 -5 *2788:resetn *2485:7 3.84497e-05 -6 *2788:resetn_out *2485:7 0 -7 *2257:8 *2485:8 0.00052442 -8 *2370:10 *2485:8 0.0061574 -9 *2371:7 *2485:7 0 -10 *2371:8 *2485:8 0.0316131 -11 *2373:8 *2485:8 0.00333865 -12 *2447:13 *2485:7 3.84497e-05 -13 *2449:16 *2485:8 0.00598739 -*RES -1 *2788:pad_gpio_vtrip_sel *2485:7 1.47244 -2 *2485:7 *2485:8 63.1462 -3 *2485:8 *2822:mprj_io_vtrip_sel[9] 5.47076 -*END - -*D_NET *2486 0.162174 -*CONN -*I *2821:wb_rst_i I *D user_project_wrapper -*I *2820:user_reset O *D mgmt_protect -*CAP -1 *2821:wb_rst_i 0.0012847 -2 *2820:user_reset 0.00231486 -3 *2486:10 0.00677431 -4 *2486:9 0.00548961 -5 *2486:7 0.00231486 -6 *2486:10 *2496:8 0.00465344 -7 *2820:mprj_ack_i_user *2486:7 0 -8 *1161:7 *2486:7 1.75682e-05 -9 *1795:19 *2486:10 0.000160617 -10 *1803:10 *2486:10 1.15389e-05 -11 *1821:7 *2486:7 0 -12 *1821:10 *2486:10 0.0717354 -13 *1824:14 *2486:10 0.000223253 -14 *1857:8 *2486:10 0.0671939 -*RES -1 *2820:user_reset *2486:7 48.2425 -2 *2486:7 *2486:9 4.5 -3 *2486:9 *2486:10 756.648 -4 *2486:10 *2821:wb_rst_i 40.5048 -*END - -*D_NET *2487 0.311502 -*CONN -*I *2819:wb_sel_i[0] I *D housekeeping -*I *2820:mprj_sel_o_core[0] I *D mgmt_protect -*I *2826:mprj_sel_o[0] O *D mgmt_core_wrapper -*CAP -1 *2819:wb_sel_i[0] 0.00123357 -2 *2820:mprj_sel_o_core[0] 0.00132883 -3 *2826:mprj_sel_o[0] 0.000568107 -4 *2487:33 0.00692729 -5 *2487:31 0.00617198 -6 *2487:16 0.0228302 -7 *2487:15 0.0217059 -8 *2487:10 0.00182035 -9 *2487:9 0.0016158 -10 *2487:7 0.00252668 -11 *2487:5 0.00261652 -12 *2819:wb_sel_i[0] *2819:wb_sel_i[1] 0 -13 *2487:33 *2488:27 0.0822282 -14 *2487:33 *2499:27 0.0841401 -15 *2820:mprj_adr_o_core[1] *2820:mprj_sel_o_core[0] 0 -16 *2820:mprj_dat_o_core[0] *2820:mprj_sel_o_core[0] 0 -17 *807:14 *2487:10 5.04829e-06 -18 *1319:7 *2487:15 0 -19 *1435:16 *2487:16 0.000417432 -20 *1437:16 *2487:16 0.000519812 -21 *1440:16 *2487:16 0.000383767 -22 *1444:16 *2487:16 0.00142648 -23 *1759:24 *2487:16 0 -24 *1760:24 *2487:10 0.000702377 -25 *1768:7 *2487:7 0 -26 *1768:7 *2487:31 0 -27 *1768:23 *2487:7 0 -28 *1771:30 *2487:16 0.00134263 -29 *1775:30 *2487:16 0.00154408 -30 *1777:30 *2487:16 0.0019425 -31 *1779:28 *2487:10 0.000991103 -32 *1889:9 *2487:7 0 -33 *1889:27 *2487:7 0 -34 *1889:27 *2487:31 0 -35 *1900:10 *2487:16 0.066513 -36 *1913:32 *2819:wb_sel_i[0] 0 -*RES -1 *2826:mprj_sel_o[0] *2487:5 2.05183 -2 *2487:5 *2487:7 53.9461 -3 *2487:7 *2487:9 4.5 -4 *2487:9 *2487:10 55.6292 -5 *2487:10 *2487:15 13.2304 -6 *2487:15 *2487:16 85.6424 -7 *2487:16 *2820:mprj_sel_o_core[0] 31.6914 -8 *2826:mprj_sel_o[0] *2487:31 16.2983 -9 *2487:31 *2487:33 883.098 -10 *2487:33 *2819:wb_sel_i[0] 38.4652 -*END - -*D_NET *2488 0.338708 -*CONN -*I *2819:wb_sel_i[1] I *D housekeeping -*I *2820:mprj_sel_o_core[1] I *D mgmt_protect -*I *2826:mprj_sel_o[1] O *D mgmt_core_wrapper -*CAP -1 *2819:wb_sel_i[1] 0.00125058 -2 *2820:mprj_sel_o_core[1] 0.00245331 -3 *2826:mprj_sel_o[1] 0.00218342 -4 *2488:27 0.0078912 -5 *2488:25 0.00705855 -6 *2488:12 0.00245331 -7 *2488:10 0.00555626 -8 *2488:9 0.00732176 -9 *2819:wb_sel_i[1] *2489:13 0 -10 *2488:27 *2499:27 0.000137345 -11 *2819:wb_sel_i[0] *2819:wb_sel_i[1] 0 -12 *2820:mprj_adr_o_core[2] *2820:mprj_sel_o_core[1] 0 -13 *2820:mprj_dat_o_core[1] *2820:mprj_sel_o_core[1] 0 -14 *901:11 *2820:mprj_sel_o_core[1] 0 -15 *1036:15 *2820:mprj_sel_o_core[1] 0 -16 *1541:12 *2488:10 0.000294091 -17 *1758:16 *2488:10 0 -18 *1779:11 *2488:25 0 -19 *1840:8 *2488:10 0.00010238 -20 *1889:10 *2488:10 0.0716646 -21 *1889:27 *2488:25 0.000277007 -22 *1889:29 *2488:27 0.0737779 -23 *1894:27 *2488:27 0 -24 *1896:28 *2488:27 0 -25 *1898:27 *2488:27 0 -26 *1900:7 *2488:9 0 -27 *1900:31 *2488:9 5.22654e-06 -28 *1900:31 *2488:25 0 -29 *1900:35 *2488:27 0.000137345 -30 *1902:31 *2488:27 0 -31 *1911:16 *2488:10 0.0736658 -32 *1914:29 *2488:27 0.000128915 -33 *1916:29 *2488:27 8.8758e-05 -34 *1918:27 *2488:27 3.18408e-05 -35 *1920:29 *2488:27 0 -36 *2487:33 *2488:27 0.0822282 -*RES -1 *2826:mprj_sel_o[1] *2488:9 42.1338 -2 *2488:9 *2488:10 94.8977 -3 *2488:10 *2488:12 3.36879 -4 *2488:12 *2820:mprj_sel_o_core[1] 50.7463 -5 *2826:mprj_sel_o[1] *2488:25 15.8831 -6 *2488:25 *2488:27 866.46 -7 *2488:27 *2819:wb_sel_i[1] 38.8804 -*END - -*D_NET *2489 0.333664 -*CONN -*I *2820:mprj_sel_o_core[2] I *D mgmt_protect -*I *2819:wb_sel_i[2] I *D housekeeping -*I *2826:mprj_sel_o[2] O *D mgmt_core_wrapper -*CAP -1 *2820:mprj_sel_o_core[2] 0.000755999 -2 *2819:wb_sel_i[2] 1.28869e-05 -3 *2826:mprj_sel_o[2] 0.000958579 -4 *2489:24 0.0235396 -5 *2489:23 0.0227836 -6 *2489:21 0.00245929 -7 *2489:13 0.00248729 -8 *2489:12 0.0024744 -9 *2489:10 0.0295711 -10 *2489:9 0.0295711 -11 *2489:7 0.00341786 -12 *2489:10 *2490:10 0.08959 -13 *2489:13 *2490:13 0 -14 *2819:wb_sel_i[1] *2489:13 0 -15 *2820:mprj_adr_o_core[3] *2820:mprj_sel_o_core[2] 0 -16 *2820:mprj_dat_o_core[2] *2820:mprj_sel_o_core[2] 0 -17 *1316:10 *2489:24 0.00310762 -18 *1319:10 *2489:24 0.00368628 -19 *1446:10 *2489:24 0.0036047 -20 *1745:10 *2489:10 0 -21 *1755:8 *2489:24 0.00399976 -22 *1757:24 *2489:24 0.00474266 -23 *1763:24 *2489:24 0.00578719 -24 *1770:10 *2489:10 0.00579562 -25 *1774:25 *2489:10 0.0032924 -26 *1778:27 *2489:10 0.00307189 -27 *1787:30 *2489:24 0.00367126 -28 *1788:10 *2489:10 0.000224455 -29 *1826:14 *2489:10 0.000709571 -30 *1828:14 *2489:10 0.000459555 -31 *1829:8 *2489:24 0.00469432 -32 *1830:16 *2489:10 0.000632809 -33 *1890:25 *2489:10 0.00393918 -34 *1911:9 *2489:7 0 -35 *1911:9 *2489:21 0 -36 *1911:25 *2489:10 0.00110192 -37 *1916:10 *2489:24 0.0735209 -*RES -1 *2826:mprj_sel_o[2] *2489:7 23.8159 -2 *2489:7 *2489:9 3.36879 -3 *2489:9 *2489:10 117.462 -4 *2489:10 *2489:12 3.36879 -5 *2489:12 *2489:13 65.7808 -6 *2489:13 *2819:wb_sel_i[2] 0.366399 -7 *2489:7 *2489:21 49.1707 -8 *2489:21 *2489:23 3.36879 -9 *2489:23 *2489:24 96.504 -10 *2489:24 *2820:mprj_sel_o_core[2] 20.0644 -*END - -*D_NET *2490 0.387115 -*CONN -*I *2820:mprj_sel_o_core[3] I *D mgmt_protect -*I *2819:wb_sel_i[3] I *D housekeeping -*I *2826:mprj_sel_o[3] O *D mgmt_core_wrapper -*CAP -1 *2820:mprj_sel_o_core[3] 0.00257966 -2 *2819:wb_sel_i[3] 1.28869e-05 -3 *2826:mprj_sel_o[3] 0.00101485 -4 *2490:26 0.00257966 -5 *2490:24 0.00943179 -6 *2490:23 0.0101142 -7 *2490:13 0.00251681 -8 *2490:12 0.00250392 -9 *2490:10 0.00941988 -10 *2490:9 0.00941988 -11 *2490:7 0.00169721 -12 *2490:13 *2819:wb_we_i 0 -13 *2820:mprj_adr_o_core[4] *2820:mprj_sel_o_core[3] 0 -14 *2820:mprj_dat_o_core[3] *2820:mprj_sel_o_core[3] 0 -15 *1158:12 *2490:24 0.00181411 -16 *1290:12 *2490:24 5.96957e-05 -17 *1758:16 *2490:24 0 -18 *1780:25 *2490:10 0.00307189 -19 *1782:24 *2490:23 0 -20 *1783:7 *2490:7 0 -21 *1783:7 *2490:23 0 -22 *1832:8 *2490:24 0.00386655 -23 *1851:5 *2820:mprj_sel_o_core[3] 0 -24 *1891:10 *2490:10 0.000104965 -25 *1892:10 *2490:10 0 -26 *1893:10 *2490:10 0.003693 -27 *1898:10 *2490:24 0.00441048 -28 *1911:16 *2490:24 0.0733768 -29 *1911:25 *2490:10 0.0798902 -30 *1917:10 *2490:10 0.000499585 -31 *1917:24 *2490:24 0.075337 -32 *1919:29 *2490:10 0.000110017 -33 *2489:10 *2490:10 0.08959 -34 *2489:13 *2490:13 0 -*RES -1 *2826:mprj_sel_o[3] *2490:7 24.6464 -2 *2490:7 *2490:9 3.36879 -3 *2490:9 *2490:10 114.479 -4 *2490:10 *2490:12 3.36879 -5 *2490:12 *2490:13 66.6113 -6 *2490:13 *2819:wb_sel_i[3] 0.366399 -7 *2490:7 *2490:23 15.9973 -8 *2490:23 *2490:24 98.7222 -9 *2490:24 *2490:26 3.36879 -10 *2490:26 *2820:mprj_sel_o_core[3] 52.4073 -*END - -*D_NET *2491 0.185946 -*CONN -*I *2821:wbs_sel_i[0] I *D user_project_wrapper -*I *2820:mprj_sel_o_user[0] O *D mgmt_protect -*CAP -1 *2821:wbs_sel_i[0] 0.00129463 -2 *2820:mprj_sel_o_user[0] 0.00223407 -3 *2491:8 0.0111969 -4 *2491:7 0.0121363 -5 *2491:8 *2496:8 0.0770795 -6 *2821:la_oenb[1] *2491:7 0 -7 *1200:5 *2491:7 0 -8 *1800:7 *2491:7 0 -9 *1857:8 *2491:8 0.0043898 -10 *1858:8 *2491:8 0.000588182 -11 *1882:8 *2491:8 0.00312813 -12 *1921:7 *2491:7 0 -13 *1943:10 *2491:8 0.0738982 -*RES -1 *2820:mprj_sel_o_user[0] *2491:7 49.9625 -2 *2491:7 *2491:8 99.7166 -3 *2491:8 *2821:wbs_sel_i[0] 39.166 -*END - -*D_NET *2492 0.157192 -*CONN -*I *2821:wbs_sel_i[1] I *D user_project_wrapper -*I *2820:mprj_sel_o_user[1] O *D mgmt_protect -*CAP -1 *2821:wbs_sel_i[1] 0.00188112 -2 *2820:mprj_sel_o_user[1] 0.00131449 -3 *2492:10 0.00188112 -4 *2492:8 0.00419239 -5 *2492:7 0.00550688 -6 *1584:14 *2492:8 0.000589689 -7 *1811:7 *2492:7 0 -8 *1811:8 *2492:8 0.0666042 -9 *1816:8 *2492:8 0.00029642 -10 *1868:8 *2492:8 0.00028978 -11 *1879:8 *2492:8 0.00849869 -12 *1921:8 *2492:8 0.0659734 -13 *1932:7 *2492:7 0 -14 *1932:8 *2492:8 0.000163504 -*RES -1 *2820:mprj_sel_o_user[1] *2492:7 35.5218 -2 *2492:7 *2492:8 704.515 -3 *2492:8 *2492:10 4.5 -4 *2492:10 *2821:wbs_sel_i[1] 51.3691 -*END - -*D_NET *2493 0.175626 -*CONN -*I *2821:wbs_sel_i[2] I *D user_project_wrapper -*I *2820:mprj_sel_o_user[2] O *D mgmt_protect -*CAP -1 *2821:wbs_sel_i[2] 0.00122767 -2 *2820:mprj_sel_o_user[2] 0.0022745 -3 *2493:8 0.0109066 -4 *2493:7 0.00967898 -5 *2493:5 0.0022745 -6 *2821:la_oenb[2] *2493:5 0 -7 *1211:7 *2493:5 0 -8 *1814:7 *2493:5 0 -9 *1815:8 *2493:8 0.0707989 -10 *1824:14 *2493:8 0.00107117 -11 *1858:8 *2493:8 9.84894e-05 -12 *1923:14 *2493:8 0.00174065 -13 *1925:8 *2493:8 0.00142649 -14 *1943:7 *2493:5 0 -15 *1943:10 *2493:8 0.0741277 -*RES -1 *2820:mprj_sel_o_user[2] *2493:5 48.2547 -2 *2493:5 *2493:7 3.36879 -3 *2493:7 *2493:8 94.8212 -4 *2493:8 *2821:wbs_sel_i[2] 37.505 -*END - -*D_NET *2494 0.175319 -*CONN -*I *2821:wbs_sel_i[3] I *D user_project_wrapper -*I *2820:mprj_sel_o_user[3] O *D mgmt_protect -*CAP -1 *2821:wbs_sel_i[3] 0.00189103 -2 *2820:mprj_sel_o_user[3] 0.00133384 -3 *2494:10 0.00189103 -4 *2494:8 0.00534174 -5 *2494:7 0.00667558 -6 *1794:8 *2494:8 0.000307989 -7 *1814:8 *2494:8 0.0721395 -8 *1815:5 *2494:7 0 -9 *1816:8 *2494:8 0.00769526 -10 *1859:8 *2494:8 0.000129528 -11 *1879:8 *2494:8 0.0712418 -12 *1883:8 *2494:8 0.00633355 -13 *1884:13 *2494:7 0 -14 *1946:7 *2494:7 0 -15 *1948:8 *2494:8 0.000338389 -*RES -1 *2820:mprj_sel_o_user[3] *2494:7 35.0134 -2 *2494:7 *2494:8 92.3735 -3 *2494:8 *2494:10 3.36879 -4 *2494:10 *2821:wbs_sel_i[3] 50.7463 -*END - -*D_NET *2495 0.140595 -*CONN -*I *2820:mprj_stb_o_core I *D mgmt_protect -*I *2826:mprj_stb_o O *D mgmt_core_wrapper -*CAP -1 *2820:mprj_stb_o_core 0.00289153 -2 *2826:mprj_stb_o 0.00108945 -3 *2495:12 0.00289153 -4 *2495:10 0.00493651 -5 *2495:9 0.00602596 -6 *2820:mprj_stb_o_core *2820:mprj_we_o_core 0 -7 *2495:10 *2499:10 0.000979131 -8 *2820:mprj_cyc_o_core *2820:mprj_stb_o_core 0 -9 *900:11 *2820:mprj_stb_o_core 0 -10 *1412:9 *2820:mprj_stb_o_core 0.000317095 -11 *1419:10 *2495:10 0 -12 *1447:10 *2495:10 0.0602301 -13 *1540:10 *2495:10 0.000187844 -14 *1768:24 *2495:10 0.0609205 -15 *1823:9 *2495:9 0 -16 *1953:9 *2495:9 0 -17 *1953:10 *2495:10 0.000125334 -*RES -1 *2826:mprj_stb_o *2495:9 31.8456 -2 *2495:9 *2495:10 656.819 -3 *2495:10 *2495:12 4.5 -4 *2495:12 *2820:mprj_stb_o_core 62.1657 -*END - -*D_NET *2496 0.178934 -*CONN -*I *2821:wbs_stb_i I *D user_project_wrapper -*I *2820:mprj_stb_o_user O *D mgmt_protect -*CAP -1 *2821:wbs_stb_i 0.00132892 -2 *2820:mprj_stb_o_user 0.00220876 -3 *2496:8 0.016586 -4 *2496:7 0.0174659 -5 *2496:7 *2500:7 0 -6 *2821:la_data_in[1] *2496:7 0 -7 *2821:la_oenb[0] *2496:7 0 -8 *1821:10 *2496:8 0.00807309 -9 *1824:7 *2496:7 0 -10 *1858:8 *2496:8 0.0515382 -11 *2486:10 *2496:8 0.00465344 -12 *2491:8 *2496:8 0.0770795 -*RES -1 *2820:mprj_stb_o_user *2496:7 49.132 -2 *2496:7 *2496:8 102.776 -3 *2496:8 *2821:wbs_stb_i 39.9965 -*END - -*D_NET *2497 0.232811 -*CONN -*I *2819:usr1_vcc_pwrgood I *D housekeeping -*I *2820:user1_vcc_powergood O *D mgmt_protect -*CAP -1 *2819:usr1_vcc_pwrgood 0.00154855 -2 *2820:user1_vcc_powergood 0.00138374 -3 *2497:11 0.0164176 -4 *2497:10 0.014869 -5 *2497:8 0.00353187 -6 *2497:7 0.00491561 -7 *2497:8 *2498:8 0.0051303 -8 *2497:11 *2498:11 0.0159373 -9 *2819:usr2_vcc_pwrgood *2819:usr1_vcc_pwrgood 0 -10 *2819:wb_cyc_i *2819:usr1_vcc_pwrgood 0 -11 *1299:7 *2497:8 0.000476259 -12 *1753:16 *2497:8 0.00035801 -13 *1753:17 *2497:11 0.127174 -14 *1754:12 *2497:8 0 -15 *1754:15 *2497:11 1.41291e-05 -16 *1908:25 *2497:11 0.00130401 -17 *1910:25 *2497:11 0.0396861 -18 *1912:25 *2497:11 6.44576e-05 -*RES -1 *2820:user1_vcc_powergood *2497:7 8.48033 -2 *2497:7 *2497:8 101.285 -3 *2497:8 *2497:10 4.5 -4 *2497:10 *2497:11 1333.44 -5 *2497:11 *2819:usr1_vcc_pwrgood 46.7702 -*END - -*D_NET *2498 0.147213 -*CONN -*I *2819:usr1_vdd_pwrgood I *D housekeeping -*I *2820:user1_vdd_powergood O *D mgmt_protect -*CAP -1 *2819:usr1_vdd_pwrgood 0.00160355 -2 *2820:user1_vdd_powergood 0.00135118 -3 *2498:11 0.0369406 -4 *2498:10 0.035337 -5 *2498:8 0.0037638 -6 *2498:7 0.00511498 -7 *2819:usr2_vcc_pwrgood *2819:usr1_vdd_pwrgood 0 -8 *2819:usr2_vdd_pwrgood *2819:usr1_vdd_pwrgood 0 -9 *1042:9 *2498:8 0 -10 *1752:14 *2498:11 0 -11 *1753:8 *2498:8 0.00158402 -12 *1753:17 *2498:11 1.59204e-05 -13 *1754:12 *2498:8 0 -14 *1754:15 *2498:11 0 -15 *1908:25 *2498:11 0.0019677 -16 *1912:25 *2498:11 0.0384668 -17 *2497:8 *2498:8 0.0051303 -18 *2497:11 *2498:11 0.0159373 -*RES -1 *2820:user1_vdd_powergood *2498:7 8.40384 -2 *2498:7 *2498:8 118.725 -3 *2498:8 *2498:10 4.5 -4 *2498:10 *2498:11 1339.54 -5 *2498:11 *2819:usr1_vdd_pwrgood 48.4312 -*END - -*D_NET *2499 0.352546 -*CONN -*I *2819:wb_we_i I *D housekeeping -*I *2820:mprj_we_o_core I *D mgmt_protect -*I *2826:mprj_we_o O *D mgmt_core_wrapper -*CAP -1 *2819:wb_we_i 0.00121214 -2 *2820:mprj_we_o_core 0.00236879 -3 *2826:mprj_we_o 0.00165984 -4 *2499:27 0.00724081 -5 *2499:25 0.0065616 -6 *2499:12 0.00236879 -7 *2499:10 0.0313058 -8 *2499:9 0.0324327 -9 *2819:wb_cyc_i *2819:wb_we_i 0 -10 *2820:mprj_adr_o_core[0] *2820:mprj_we_o_core 0 -11 *2820:mprj_stb_o_core *2820:mprj_we_o_core 0 -12 *781:14 *2499:10 0.00012309 -13 *900:11 *2820:mprj_we_o_core 0.00202518 -14 *1757:7 *2499:9 0 -15 *1757:7 *2499:25 0 -16 *1757:21 *2499:9 0 -17 *1768:24 *2499:10 0.00159642 -18 *1782:10 *2499:10 0.00389853 -19 *1784:10 *2499:10 0.00349348 -20 *1823:10 *2499:10 0.0702954 -21 *1823:27 *2499:25 0 -22 *1823:29 *2499:27 0.0870883 -23 *1825:7 *2820:mprj_we_o_core 0 -24 *1836:8 *2499:10 0.00262727 -25 *1847:8 *2499:10 0.00295609 -26 *1849:10 *2499:10 0.000763528 -27 *1851:14 *2499:10 0.00336691 -28 *1853:8 *2499:10 0.00390505 -29 *2487:33 *2499:27 0.0841401 -30 *2488:27 *2499:27 0.000137345 -31 *2490:13 *2819:wb_we_i 0 -32 *2495:10 *2499:10 0.000979131 -*RES -1 *2826:mprj_we_o *2499:9 31.3128 -2 *2499:9 *2499:10 91.9146 -3 *2499:10 *2499:12 3.36879 -4 *2499:12 *2820:mprj_we_o_core 59.8818 -5 *2826:mprj_we_o *2499:25 17.8319 -6 *2499:25 *2499:27 914.156 -7 *2499:27 *2819:wb_we_i 38.0499 -*END - -*D_NET *2500 0.123724 -*CONN -*I *2821:wbs_we_i I *D user_project_wrapper -*I *2820:mprj_we_o_user O *D mgmt_protect -*CAP -1 *2821:wbs_we_i 0.00189961 -2 *2820:mprj_we_o_user 0.0012578 -3 *2500:10 0.00189961 -4 *2500:8 0.012692 -5 *2500:7 0.0139498 -6 *2820:mprj_dat_i_user[0] *2500:7 0 -7 *1545:14 *2500:8 0.000638598 -8 *1789:7 *2500:7 0 -9 *1800:8 *2500:8 0.0140843 -10 *1802:8 *2500:8 0.0107019 -11 *1868:8 *2500:8 0.0659502 -12 *1921:8 *2500:8 0.000650002 -13 *2496:7 *2500:7 0 -*RES -1 *2820:mprj_we_o_user *2500:7 34.276 -2 *2500:7 *2500:8 740.565 -3 *2500:8 *2500:10 4.5 -4 *2500:10 *2821:wbs_we_i 52.6149 -*END - -*D_NET *2501 0.000295041 -*CONN -*I *2789:mgmt_gpio_oeb I *D gpio_control_block -*I *2789:one O *D gpio_control_block -*CAP -1 *2789:mgmt_gpio_oeb 0.00014752 -2 *2789:one 0.00014752 -*RES -1 *2789:one *2789:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2502 0.000177278 -*CONN -*I *2790:mgmt_gpio_oeb I *D gpio_control_block -*I *2790:one O *D gpio_control_block -*CAP -1 *2790:mgmt_gpio_oeb 8.86388e-05 -2 *2790:one 8.86388e-05 -*RES -1 *2790:one *2790:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2503 0.000177278 -*CONN -*I *2791:mgmt_gpio_oeb I *D gpio_control_block -*I *2791:one O *D gpio_control_block -*CAP -1 *2791:mgmt_gpio_oeb 8.86388e-05 -2 *2791:one 8.86388e-05 -*RES -1 *2791:one *2791:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2504 0.000295041 -*CONN -*I *2792:mgmt_gpio_oeb I *D gpio_control_block -*I *2792:one O *D gpio_control_block -*CAP -1 *2792:mgmt_gpio_oeb 0.00014752 -2 *2792:one 0.00014752 -*RES -1 *2792:one *2792:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2505 0.000177278 -*CONN -*I *2793:mgmt_gpio_oeb I *D gpio_control_block -*I *2793:one O *D gpio_control_block -*CAP -1 *2793:mgmt_gpio_oeb 8.86388e-05 -2 *2793:one 8.86388e-05 -*RES -1 *2793:one *2793:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2506 0.000278639 -*CONN -*I *2794:mgmt_gpio_oeb I *D gpio_control_block -*I *2794:one O *D gpio_control_block -*CAP -1 *2794:mgmt_gpio_oeb 0.00013932 -2 *2794:one 0.00013932 -*RES -1 *2794:one *2794:mgmt_gpio_oeb 0.382451 -*END - -*D_NET *2507 0.000222911 -*CONN -*I *2795:mgmt_gpio_oeb I *D gpio_control_block -*I *2795:one O *D gpio_control_block -*CAP -1 *2795:mgmt_gpio_oeb 0.000111456 -2 *2795:one 0.000111456 -*RES -1 *2795:one *2795:mgmt_gpio_oeb 0.305961 -*END - -*D_NET *2508 0.000278639 -*CONN -*I *2796:mgmt_gpio_oeb I *D gpio_control_block -*I *2796:one O *D gpio_control_block -*CAP -1 *2796:mgmt_gpio_oeb 0.00013932 -2 *2796:one 0.00013932 -*RES -1 *2796:one *2796:mgmt_gpio_oeb 0.382451 -*END - -*D_NET *2509 0.000333163 -*CONN -*I *2787:mgmt_gpio_oeb I *D gpio_control_block -*I *2787:one O *D gpio_control_block -*CAP -1 *2787:mgmt_gpio_oeb 0.000166582 -2 *2787:one 0.000166582 -*RES -1 *2787:one *2787:mgmt_gpio_oeb 0.382451 -*END - -*D_NET *2510 0.000177278 -*CONN -*I *2797:mgmt_gpio_oeb I *D gpio_control_block -*I *2797:one O *D gpio_control_block -*CAP -1 *2797:mgmt_gpio_oeb 8.86388e-05 -2 *2797:one 8.86388e-05 -*RES -1 *2797:one *2797:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2511 0.000177278 -*CONN -*I *2798:mgmt_gpio_oeb I *D gpio_control_block -*I *2798:one O *D gpio_control_block -*CAP -1 *2798:mgmt_gpio_oeb 8.86388e-05 -2 *2798:one 8.86388e-05 -*RES -1 *2798:one *2798:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2512 0.000177278 -*CONN -*I *2799:mgmt_gpio_oeb I *D gpio_control_block -*I *2799:one O *D gpio_control_block -*CAP -1 *2799:mgmt_gpio_oeb 8.86388e-05 -2 *2799:one 8.86388e-05 -*RES -1 *2799:one *2799:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2513 0.000177278 -*CONN -*I *2800:mgmt_gpio_oeb I *D gpio_control_block -*I *2800:one O *D gpio_control_block -*CAP -1 *2800:mgmt_gpio_oeb 8.86388e-05 -2 *2800:one 8.86388e-05 -*RES -1 *2800:one *2800:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2514 0.000177278 -*CONN -*I *2801:mgmt_gpio_oeb I *D gpio_control_block -*I *2801:one O *D gpio_control_block -*CAP -1 *2801:mgmt_gpio_oeb 8.86388e-05 -2 *2801:one 8.86388e-05 -*RES -1 *2801:one *2801:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2515 0.000177278 -*CONN -*I *2802:mgmt_gpio_oeb I *D gpio_control_block -*I *2802:one O *D gpio_control_block -*CAP -1 *2802:mgmt_gpio_oeb 8.86388e-05 -2 *2802:one 8.86388e-05 -*RES -1 *2802:one *2802:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2516 0.000177278 -*CONN -*I *2786:mgmt_gpio_oeb I *D gpio_control_block -*I *2786:one O *D gpio_control_block -*CAP -1 *2786:mgmt_gpio_oeb 8.86388e-05 -2 *2786:one 8.86388e-05 -*RES -1 *2786:one *2786:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2517 0.000177278 -*CONN -*I *2788:mgmt_gpio_oeb I *D gpio_control_block -*I *2788:one O *D gpio_control_block -*CAP -1 *2788:mgmt_gpio_oeb 8.86388e-05 -2 *2788:one 8.86388e-05 -*RES -1 *2788:one *2788:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2518 0.000199872 -*CONN -*I *2803:mgmt_gpio_oeb I *D gpio_control_block -*I *2803:one O *D gpio_control_block -*CAP -1 *2803:mgmt_gpio_oeb 9.99359e-05 -2 *2803:one 9.99359e-05 -*RES -1 *2803:one *2803:mgmt_gpio_oeb 0.382451 -*END - -*D_NET *2519 0.000280564 -*CONN -*I *2804:mgmt_gpio_oeb I *D gpio_control_block -*I *2804:one O *D gpio_control_block -*CAP -1 *2804:mgmt_gpio_oeb 0.000140282 -2 *2804:one 0.000140282 -*RES -1 *2804:one *2804:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2520 0.000280564 -*CONN -*I *2805:mgmt_gpio_oeb I *D gpio_control_block -*I *2805:one O *D gpio_control_block -*CAP -1 *2805:mgmt_gpio_oeb 0.000140282 -2 *2805:one 0.000140282 -*RES -1 *2805:one *2805:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2521 0.000177278 -*CONN -*I *2806:mgmt_gpio_oeb I *D gpio_control_block -*I *2806:one O *D gpio_control_block -*CAP -1 *2806:mgmt_gpio_oeb 8.86388e-05 -2 *2806:one 8.86388e-05 -*RES -1 *2806:one *2806:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2522 0.000177278 -*CONN -*I *2807:mgmt_gpio_oeb I *D gpio_control_block -*I *2807:one O *D gpio_control_block -*CAP -1 *2807:mgmt_gpio_oeb 8.86388e-05 -2 *2807:one 8.86388e-05 -*RES -1 *2807:one *2807:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2523 0.000243672 -*CONN -*I *2808:mgmt_gpio_oeb I *D gpio_control_block -*I *2808:one O *D gpio_control_block -*CAP -1 *2808:mgmt_gpio_oeb 0.000121836 -2 *2808:one 0.000121836 -*RES -1 *2808:one *2808:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2524 0.000177278 -*CONN -*I *2809:mgmt_gpio_oeb I *D gpio_control_block -*I *2809:one O *D gpio_control_block -*CAP -1 *2809:mgmt_gpio_oeb 8.86388e-05 -2 *2809:one 8.86388e-05 -*RES -1 *2809:one *2809:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2525 0.000222911 -*CONN -*I *2810:mgmt_gpio_oeb I *D gpio_control_block -*I *2810:one O *D gpio_control_block -*CAP -1 *2810:mgmt_gpio_oeb 0.000111456 -2 *2810:one 0.000111456 -*RES -1 *2810:one *2810:mgmt_gpio_oeb 0.305961 -*END - -*D_NET *2526 0.000318023 -*CONN -*I *2811:mgmt_gpio_oeb I *D gpio_control_block -*I *2811:one O *D gpio_control_block -*CAP -1 *2811:mgmt_gpio_oeb 0.000159011 -2 *2811:one 0.000159011 -*RES -1 *2811:one *2811:mgmt_gpio_oeb 0.382451 -*END - -*D_NET *2527 0.000525758 -*CONN -*I *2812:mgmt_gpio_oeb I *D gpio_control_block -*I *2812:one O *D gpio_control_block -*CAP -1 *2812:mgmt_gpio_oeb 0.000262879 -2 *2812:one 0.000262879 -*RES -1 *2812:one *2812:mgmt_gpio_oeb 0.382451 -*END - -*D_NET *2528 0.000254418 -*CONN -*I *2813:mgmt_gpio_oeb I *D gpio_control_block -*I *2813:one O *D gpio_control_block -*CAP -1 *2813:mgmt_gpio_oeb 0.000127209 -2 *2813:one 0.000127209 -*RES -1 *2813:one *2813:mgmt_gpio_oeb 0.305961 -*END - -*D_NET *2529 0.000211169 -*CONN -*I *2814:mgmt_gpio_oeb I *D gpio_control_block -*I *2814:one O *D gpio_control_block -*CAP -1 *2814:mgmt_gpio_oeb 0.000105584 -2 *2814:one 9.25494e-05 -3 *2529:8 1.30351e-05 -*RES -1 *2814:one *2814:mgmt_gpio_oeb 0.354183 -2 *2814:mgmt_gpio_oeb *2529:8 0.0498849 -*END - -*D_NET *2530 0.000177278 -*CONN -*I *2815:mgmt_gpio_oeb I *D gpio_control_block -*I *2815:one O *D gpio_control_block -*CAP -1 *2815:mgmt_gpio_oeb 8.86388e-05 -2 *2815:one 8.86388e-05 -*RES -1 *2815:one *2815:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2531 0.000177278 -*CONN -*I *2816:mgmt_gpio_oeb I *D gpio_control_block -*I *2816:one O *D gpio_control_block -*CAP -1 *2816:mgmt_gpio_oeb 8.86388e-05 -2 *2816:one 8.86388e-05 -*RES -1 *2816:one *2816:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2532 0.000177278 -*CONN -*I *2817:mgmt_gpio_oeb I *D gpio_control_block -*I *2817:one O *D gpio_control_block -*CAP -1 *2817:mgmt_gpio_oeb 8.86388e-05 -2 *2817:one 8.86388e-05 -*RES -1 *2817:one *2817:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2533 0.000177278 -*CONN -*I *2818:mgmt_gpio_oeb I *D gpio_control_block -*I *2818:one O *D gpio_control_block -*CAP -1 *2818:mgmt_gpio_oeb 8.86388e-05 -2 *2818:one 8.86388e-05 -*RES -1 *2818:one *2818:mgmt_gpio_oeb 0.339217 -*END - -*D_NET *2534 0.519816 -*CONN -*I *2742:pll_clk I *D caravel_clocking -*I *2823:clockp[1] O *D digital_pll -*CAP -1 *2742:pll_clk 0.00117109 -2 *2823:clockp[1] 0.00131123 -3 *2534:19 0.00156774 -4 *2534:14 0.00489732 -5 *2534:13 0.00450067 -6 *2534:11 0.0136907 -7 *2534:10 0.0136907 -8 *2534:8 0.00603917 -9 *2534:7 0.0073504 -10 *2534:7 *2823:div[0] 0 -11 *2534:7 *2535:7 0 -12 *2534:8 *2535:8 0.00707118 -13 *2534:8 *2557:7 0 -14 *2534:11 *2535:11 0.228468 -15 *2534:11 *2540:29 0.230059 -*RES -1 *2823:clockp[1] *2534:7 8.32734 -2 *2534:7 *2534:8 237.488 -3 *2534:8 *2534:10 4.5 -4 *2534:10 *2534:11 2404.38 -5 *2534:11 *2534:13 4.5 -6 *2534:13 *2534:14 127.238 -7 *2534:14 *2534:19 19.7063 -8 *2534:19 *2742:pll_clk 33.1591 -*END - -*D_NET *2535 0.515082 -*CONN -*I *2742:pll_clk90 I *D caravel_clocking -*I *2823:clockp[0] O *D digital_pll -*CAP -1 *2742:pll_clk90 0.00127332 -2 *2823:clockp[0] 0.00126607 -3 *2535:19 0.00165021 -4 *2535:14 0.00479675 -5 *2535:13 0.00441987 -6 *2535:11 0.0138438 -7 *2535:10 0.0138438 -8 *2535:8 0.00583665 -9 *2535:7 0.00710273 -10 *2535:11 *2540:29 4.82966e-05 -11 *2535:11 *2545:16 0.225411 -12 *2535:11 *2546:16 1.41291e-05 -13 *2535:11 *2556:10 1.65872e-05 -14 *2535:11 *2557:10 2.01874e-05 -15 *2534:7 *2535:7 0 -16 *2534:8 *2535:8 0.00707118 -17 *2534:11 *2535:11 0.228468 -*RES -1 *2823:clockp[0] *2535:7 8.17437 -2 *2535:7 *2535:8 232.089 -3 *2535:8 *2535:10 4.5 -4 *2535:10 *2535:11 2388.85 -5 *2535:11 *2535:13 4.5 -6 *2535:13 *2535:14 124.747 -7 *2535:14 *2535:19 19.1517 -8 *2535:19 *2742:pll_clk90 36.0659 -*END - -*D_NET *2536 0.333659 -*CONN -*I *2822:por I *D chip_io -*I *2824:por_l I *D simple_por -*CAP -1 *2822:por 0.00230804 -2 *2824:por_l 0.00142101 -3 *2536:13 0.0746559 -4 *2536:12 0.0737688 -5 *2536:13 *2540:28 0.000405492 -6 *2822:flash_csb_core *2536:13 0.00258574 -7 *67:12 *2822:por 0.00157356 -8 *67:12 *2536:13 0.0018772 -9 *67:28 *2536:13 0.00178738 -10 *78:22 *2536:13 0.168242 -11 *91:16 *2536:13 0.00503418 -*RES -1 *2824:por_l *2536:12 44.5847 -2 *2536:12 *2536:13 377.644 -3 *2536:13 *2822:por 16.5814 -*END - -*D_NET *2537 0.95 -*CONN -*I *2822:porb_h I *D chip_io -*I *2824:porb_h I *D simple_por -*CAP -1 *2822:porb_h 0.00120428 -2 *2824:porb_h 0.00113663 -3 *2537:15 0.0544476 -4 *2537:14 0.0532433 -5 *2537:12 0.0962782 -6 *2537:11 0.0974148 -7 *2537:11 *2538:7 0 -8 *2537:12 *2679:14 0.0644934 -9 *2537:12 *2697:14 0.0506382 -10 *2537:12 *2729:14 0.0509139 -11 *2537:15 *2594:16 0.00345977 -12 *2537:15 *2617:13 0 -13 *2537:15 *2625:17 0.0333972 -14 *2537:15 *2626:17 0.0261215 -15 *2537:15 *2627:17 0.0333441 -16 *2537:15 *2628:17 0.034028 -17 *2537:15 *2629:23 0.0267523 -18 *2537:15 *2702:8 4.82966e-05 -19 *2537:15 *2704:8 4.82966e-05 -20 *2819:mgmt_gpio_in[10] *2537:12 0.00130366 -21 *2819:mgmt_gpio_in[11] *2537:12 0.00130366 -22 *2819:mgmt_gpio_in[12] *2537:12 0.00130366 -23 *2819:mgmt_gpio_in[13] *2537:12 0.00130366 -24 *2819:mgmt_gpio_in[14] *2537:12 0.00130366 -25 *2819:mgmt_gpio_in[2] *2537:12 0.00130366 -26 *2819:mgmt_gpio_in[3] *2537:12 0.00130366 -27 *2819:mgmt_gpio_in[4] *2537:12 0.00130366 -28 *2819:mgmt_gpio_in[6] *2537:12 0.00130366 -29 *2819:mgmt_gpio_in[7] *2537:12 0.00125157 -30 *2819:mgmt_gpio_in[8] *2537:12 0.00130366 -31 *2819:mgmt_gpio_in[9] *2537:12 0.00130366 -32 *100:44 *2537:12 0.000303562 -33 *616:44 *2537:12 1.29348e-05 -34 *640:22 *2537:12 0.307122 -35 *1752:7 *2537:12 0 -*RES -1 *2824:porb_h *2537:11 7.74037 -2 *2537:11 *2537:12 5746.22 -3 *2537:12 *2537:14 4.5 -4 *2537:14 *2537:15 2188.63 -5 *2537:15 *2822:porb_h 41.6095 -*END - -*D_NET *2538 0.0543964 -*CONN -*I *2819:porb I *D housekeeping -*I *2824:porb_l I *D simple_por -*CAP -1 *2819:porb 0.000618272 -2 *2824:porb_l 0.000835986 -3 *2538:15 0.0038629 -4 *2538:13 0.00338218 -5 *2538:11 0.00592503 -6 *2538:10 0.00578748 -7 *2538:8 0.0102854 -8 *2538:7 0.0111214 -9 *2538:11 *2823:ext_trim[20] 0 -10 *2538:11 *2571:15 0.000170642 -11 *2538:11 *2573:12 0 -12 *2538:11 *2575:12 0 -13 *2538:15 *2823:ext_trim[10] 7.0656e-05 -14 *2538:15 *2823:ext_trim[11] 6.77202e-05 -15 *2538:15 *2547:10 1.40978e-05 -16 *2538:15 *2552:12 0 -17 *2538:15 *2554:12 0.00397302 -18 *2819:mask_rev_in[10] *2538:11 0 -19 *2819:mask_rev_in[11] *2538:11 0.000689459 -20 *2819:mask_rev_in[3] *2538:11 0.000738355 -21 *2819:mask_rev_in[8] *2538:11 0.000585477 -22 *73:9 *2819:porb 0.00163502 -23 *1676:16 *2538:11 0 -24 *1679:17 *2538:11 0 -25 *1680:16 *2538:11 0.000300864 -26 *1688:16 *2538:11 0.000470585 -27 *1699:13 *2538:11 0.0038618 -28 *1702:10 *2538:11 0 -29 *2537:11 *2538:7 0 -*RES -1 *2824:porb_l *2538:7 6.56807 -2 *2538:7 *2538:8 291.886 -3 *2538:8 *2538:10 4.5 -4 *2538:10 *2538:11 200.103 -5 *2538:11 *2538:13 3.85811 -6 *2538:13 *2538:15 104.157 -7 *2538:15 *2819:porb 32.1143 -*END - -*D_NET *2539 0.0325729 -*CONN -*I *2819:qspi_enabled I *D housekeeping -*I *2826:qspi_enabled O *D mgmt_core_wrapper -*CAP -1 *2819:qspi_enabled 0.00162046 -2 *2826:qspi_enabled 0.00100616 -3 *2539:11 0.00417897 -4 *2539:10 0.00255851 -5 *2539:8 0.00111438 -6 *2539:7 0.00212054 -7 *2819:qspi_enabled *2819:uart_enabled 0.000177702 -8 *2819:qspi_enabled *2541:10 4.15236e-05 -9 *2539:8 *2541:14 0.000347885 -10 *2539:8 *2542:8 0.00429371 -11 *2539:11 *2541:11 0.0109471 -12 *70:8 *2539:8 0 -13 *731:8 *2539:8 0.00416589 -*RES -1 *2826:qspi_enabled *2539:7 6.95052 -2 *2539:7 *2539:8 86.3358 -3 *2539:8 *2539:10 4.5 -4 *2539:10 *2539:11 117.19 -5 *2539:11 *2819:qspi_enabled 20.3089 -*END - -*D_NET *2540 0.404809 -*CONN -*I *2825:A I *D xres_buf -*I *2823:resetb I *D digital_pll -*I *2742:resetb I *D caravel_clocking -*I *2822:resetb_core_h O *D chip_io -*CAP -1 *2825:A 0.0012633 -2 *2823:resetb 1.28869e-05 -3 *2742:resetb 3.26469e-05 -4 *2822:resetb_core_h 0.000352794 -5 *2540:32 0.00814954 -6 *2540:31 0.00813665 -7 *2540:29 0.0539814 -8 *2540:28 0.0549779 -9 *2540:15 0.00522446 -10 *2540:14 0.00519181 -11 *2540:12 0.0150409 -12 *2540:11 0.0140443 -13 *2540:9 0.00161609 -14 *65:10 *2540:29 0 -15 *67:12 *2540:12 0.00251539 -16 *637:25 *2540:29 0.00282245 -17 *639:16 *2540:29 0.000933841 -18 *2534:11 *2540:29 0.230059 -19 *2535:11 *2540:29 4.82966e-05 -20 *2536:13 *2540:28 0.000405492 -*RES -1 *2822:resetb_core_h *2540:9 10.0515 -2 *2540:9 *2540:11 4.5 -3 *2540:11 *2540:12 393.383 -4 *2540:12 *2540:14 4.5 -5 *2540:14 *2540:15 146.963 -6 *2540:15 *2742:resetb 0.928211 -7 *2540:12 *2540:28 36.2198 -8 *2540:28 *2540:29 2545.24 -9 *2540:29 *2540:31 4.5 -10 *2540:31 *2540:32 230.428 -11 *2540:32 *2823:resetb 0.366399 -12 *2540:9 *2825:A 35.3941 -*END - -*D_NET *2541 0.0427649 -*CONN -*I *2826:ser_rx I *D mgmt_core_wrapper -*I *2819:ser_rx O *D housekeeping -*CAP -1 *2826:ser_rx 0.00105652 -2 *2819:ser_rx 0.00147563 -3 *2541:14 0.0026217 -4 *2541:13 0.00156517 -5 *2541:11 0.000741695 -6 *2541:10 0.00221732 -7 *2541:10 *2819:ser_tx 0 -8 *2541:10 *2819:uart_enabled 2.01653e-05 -9 *2541:11 *2589:11 0.0107943 -10 *2541:14 *2542:8 0.000508826 -11 *2541:14 *2543:8 0.00550143 -12 *2541:14 *2589:8 0.00248898 -13 *2819:qspi_enabled *2541:10 4.15236e-05 -14 *69:8 *2541:14 0.00243661 -15 *731:8 *2541:14 0 -16 *2539:8 *2541:14 0.000347885 -17 *2539:11 *2541:11 0.0109471 -*RES -1 *2819:ser_rx *2541:10 14.1566 -2 *2541:10 *2541:11 114.972 -3 *2541:11 *2541:13 4.5 -4 *2541:13 *2541:14 123.293 -5 *2541:14 *2826:ser_rx 7.17999 -*END - -*D_NET *2542 0.0337388 -*CONN -*I *2819:ser_tx I *D housekeeping -*I *2826:ser_tx O *D mgmt_core_wrapper -*CAP -1 *2819:ser_tx 0.0018226 -2 *2826:ser_tx 0.000981023 -3 *2542:11 0.00443921 -4 *2542:10 0.00261661 -5 *2542:8 0.00129137 -6 *2542:7 0.00227239 -7 *2819:ser_tx *2585:10 0.000332717 -8 *2542:8 *2543:8 0.00492933 -9 *2542:11 *2585:11 0.010251 -10 *70:8 *2542:8 0 -11 *2539:8 *2542:8 0.00429371 -12 *2541:10 *2819:ser_tx 0 -13 *2541:14 *2542:8 0.000508826 -*RES -1 *2826:ser_tx *2542:7 7.02701 -2 *2542:7 *2542:8 96.7171 -3 *2542:8 *2542:10 4.5 -4 *2542:10 *2542:11 115.526 -5 *2542:11 *2819:ser_tx 25.0297 -*END - -*D_NET *2543 0.0358226 -*CONN -*I *2819:spi_csb I *D housekeeping -*I *2826:spi_csb O *D mgmt_core_wrapper -*CAP -1 *2819:spi_csb 0.00191249 -2 *2826:spi_csb 0.0010387 -3 *2543:11 0.00452477 -4 *2543:10 0.00261228 -5 *2543:8 0.00142815 -6 *2543:7 0.00246685 -7 *2819:spi_csb *2819:spi_sck 0.000198188 -8 *2819:spi_csb *2819:spi_sdo 0.000197799 -9 *2819:spi_csb *2585:10 0 -10 *2543:11 *2586:11 0.0104548 -11 *69:8 *2543:8 0.000557824 -12 *759:8 *2543:8 0 -13 *760:8 *2543:8 0 -14 *763:8 *2543:8 0 -15 *2541:14 *2543:8 0.00550143 -16 *2542:8 *2543:8 0.00492933 -*RES -1 *2826:spi_csb *2543:7 7.1035 -2 *2543:7 *2543:8 107.929 -3 *2543:8 *2543:10 4.5 -4 *2543:10 *2543:11 116.081 -5 *2543:11 *2819:spi_csb 29.4445 -*END - -*D_NET *2544 0.0360207 -*CONN -*I *2819:spi_enabled I *D housekeeping -*I *2826:spi_enabled O *D mgmt_core_wrapper -*CAP -1 *2819:spi_enabled 0.00147116 -2 *2826:spi_enabled 0.00142205 -3 *2544:11 0.00372907 -4 *2544:10 0.00225791 -5 *2544:8 0.00144086 -6 *2544:7 0.00286292 -7 *2819:spi_enabled *2819:uart_enabled 0 -8 *2544:8 *2585:14 0.00544085 -9 *2544:8 *2586:8 0.000486635 -10 *2544:8 *2587:8 0.00338167 -11 *2819:wb_stb_i *2819:spi_enabled 0.000119662 -12 *698:10 *2819:spi_enabled 0.000124349 -13 *698:11 *2544:11 0.0103041 -14 *710:14 *2544:8 0.000458898 -15 *724:14 *2544:8 0.000705592 -16 *726:14 *2544:8 0.00179079 -17 *731:11 *2544:11 2.41483e-05 -*RES -1 *2826:spi_enabled *2544:7 8.09787 -2 *2544:7 *2544:8 122.048 -3 *2544:8 *2544:10 4.5 -4 *2544:10 *2544:11 108.316 -5 *2544:11 *2819:spi_enabled 15.4024 -*END - -*D_NET *2545 0.553896 -*CONN -*I *2742:sel2[0] I *D caravel_clocking -*I *2819:pll90_sel[0] O *D housekeeping -*CAP -1 *2742:sel2[0] 0.00110095 -2 *2819:pll90_sel[0] 0.0011033 -3 *2545:19 0.00265832 -4 *2545:18 0.00155737 -5 *2545:16 0.01314 -6 *2545:15 0.01314 -7 *2545:13 0.00263936 -8 *2545:12 0.00374266 -9 *2545:12 *2546:9 0 -10 *2545:12 *2547:10 0.000324151 -11 *2545:12 *2548:12 4.0752e-05 -12 *2545:12 *2549:12 0.000426168 -13 *2545:12 *2549:13 2.95757e-05 -14 *2545:12 *2557:7 0 -15 *2545:13 *2546:13 0.0190683 -16 *2545:13 *2547:13 0.000356249 -17 *2545:13 *2556:7 0.0198713 -18 *2545:13 *2557:7 5.66868e-06 -19 *2545:16 *2546:16 1.92172e-05 -20 *2545:16 *2556:10 0.225358 -21 *2545:19 *2556:13 0.0119539 -22 *2545:19 *2557:13 0.0119503 -23 *2535:11 *2545:16 0.225411 -*RES -1 *2819:pll90_sel[0] *2545:12 43.0275 -2 *2545:12 *2545:13 318.254 -3 *2545:13 *2545:15 4.5 -4 *2545:15 *2545:16 2355.57 -5 *2545:16 *2545:18 4.5 -6 *2545:18 *2545:19 191.395 -7 *2545:19 *2742:sel2[0] 7.48595 -*END - -*D_NET *2546 0.551722 -*CONN -*I *2742:sel2[1] I *D caravel_clocking -*I *2819:pll90_sel[1] O *D housekeeping -*CAP -1 *2742:sel2[1] 0.00117647 -2 *2819:pll90_sel[1] 0.00144795 -3 *2546:19 0.00279886 -4 *2546:18 0.00162238 -5 *2546:16 0.0131376 -6 *2546:15 0.0131376 -7 *2546:13 0.00242525 -8 *2546:12 0.00278044 -9 *2546:9 0.00180314 -10 *2546:9 *2547:10 0 -11 *2546:9 *2549:13 0 -12 *2546:9 *2551:15 0.000211913 -13 *2546:9 *2553:13 0.000142505 -14 *2546:9 *2554:13 0 -15 *2546:12 *2551:15 0 -16 *2546:13 *2547:13 0.0190228 -17 *2546:13 *2557:7 7.77309e-06 -18 *2546:16 *2556:10 0.22526 -19 *2546:16 *2557:10 0.225354 -20 *2546:19 *2547:19 0.0108617 -21 *2546:19 *2557:13 0.0114305 -22 *2535:11 *2546:16 1.41291e-05 -23 *2545:12 *2546:9 0 -24 *2545:13 *2546:13 0.0190683 -25 *2545:16 *2546:16 1.92172e-05 -*RES -1 *2819:pll90_sel[1] *2546:9 46.7947 -2 *2546:9 *2546:12 12.4332 -3 *2546:12 *2546:13 304.551 -4 *2546:13 *2546:15 4.5 -5 *2546:15 *2546:16 2355.02 -6 *2546:16 *2546:18 4.5 -7 *2546:18 *2546:19 183.09 -8 *2546:19 *2742:sel2[1] 7.63893 -*END - -*D_NET *2547 0.550799 -*CONN -*I *2742:sel2[2] I *D caravel_clocking -*I *2819:pll90_sel[2] O *D housekeeping -*CAP -1 *2742:sel2[2] 0.00119862 -2 *2819:pll90_sel[2] 0.00122106 -3 *2547:19 0.00276705 -4 *2547:18 0.00156843 -5 *2547:16 0.0134326 -6 *2547:15 0.0134326 -7 *2547:13 0.00258505 -8 *2547:12 0.00258505 -9 *2547:10 0.00122106 -10 *2547:10 *2548:12 0.000990989 -11 *2547:10 *2549:12 1.92172e-05 -12 *2547:10 *2552:12 2.15348e-05 -13 *2547:10 *2554:12 0.000143044 -14 *2547:10 *2554:13 3.63743e-05 -15 *2547:10 *2558:7 0 -16 *2547:13 *2557:7 0.0197737 -17 *2547:16 *2555:16 0.223518 -18 *2547:16 *2557:10 0.225358 -19 *2547:19 *2555:19 0 -20 *2547:19 *2557:13 9.22013e-06 -21 *73:19 *2547:19 0.0103383 -22 *2538:15 *2547:10 1.40978e-05 -23 *2545:12 *2547:10 0.000324151 -24 *2545:13 *2547:13 0.000356249 -25 *2546:9 *2547:10 0 -26 *2546:13 *2547:13 0.0190228 -27 *2546:19 *2547:19 0.0108617 -*RES -1 *2819:pll90_sel[2] *2547:10 45.598 -2 *2547:10 *2547:12 4.5 -3 *2547:12 *2547:13 316.178 -4 *2547:13 *2547:15 4.5 -5 *2547:15 *2547:16 2355.02 -6 *2547:16 *2547:18 4.5 -7 *2547:18 *2547:19 174.785 -8 *2547:19 *2742:sel2[2] 7.71542 -*END - -*D_NET *2548 0.015447 -*CONN -*I *2823:dco I *D digital_pll -*I *2819:pll_dco_ena O *D housekeeping -*CAP -1 *2823:dco 0.00148563 -2 *2819:pll_dco_ena 0.00108247 -3 *2548:12 0.00220463 -4 *2548:9 0.00180147 -5 *2823:dco *2823:enable 0 -6 *2823:dco *2823:ext_trim[0] 0 -7 *2823:dco *2550:13 0.00217729 -8 *2823:dco *2552:13 0.000147411 -9 *2823:dco *2554:13 0.00251039 -10 *2548:9 *2549:9 0 -11 *2548:9 *2554:9 0 -12 *2548:12 *2549:12 0.000289837 -13 *2548:12 *2552:12 0.00218667 -14 *2548:12 *2554:12 6.66892e-05 -15 *2548:12 *2555:12 0.000462767 -16 *2545:12 *2548:12 4.0752e-05 -17 *2547:10 *2548:12 0.000990989 -*RES -1 *2819:pll_dco_ena *2548:9 34.7523 -2 *2548:9 *2548:12 43.491 -3 *2548:12 *2823:dco 47.8053 -*END - -*D_NET *2549 0.0153753 -*CONN -*I *2823:div[0] I *D digital_pll -*I *2819:pll_div[0] O *D housekeeping -*CAP -1 *2823:div[0] 0.0013512 -2 *2819:pll_div[0] 0.00103627 -3 *2549:13 0.00408834 -4 *2549:12 0.0030602 -5 *2549:9 0.00135933 -6 *2823:div[0] *2823:div[1] 0 -7 *2549:9 *2550:9 0 -8 *2549:12 *2554:12 0.00266465 -9 *2549:12 *2555:12 0.00105055 -10 *2549:13 *2823:div[2] 0 -11 *2549:13 *2553:13 0 -12 *2549:13 *2557:7 0 -13 *2534:7 *2823:div[0] 0 -14 *2545:12 *2549:12 0.000426168 -15 *2545:12 *2549:13 2.95757e-05 -16 *2546:9 *2549:13 0 -17 *2547:10 *2549:12 1.92172e-05 -18 *2548:9 *2549:9 0 -19 *2548:12 *2549:12 0.000289837 -*RES -1 *2819:pll_div[0] *2549:9 33.5066 -2 *2549:9 *2549:12 32.9536 -3 *2549:12 *2549:13 76.3698 -4 *2549:13 *2823:div[0] 8.48033 -*END - -*D_NET *2550 0.0150781 -*CONN -*I *2823:div[1] I *D digital_pll -*I *2819:pll_div[1] O *D housekeeping -*CAP -1 *2823:div[1] 0.00108101 -2 *2819:pll_div[1] 0.00122299 -3 *2550:13 0.00212224 -4 *2550:12 0.00221948 -5 *2550:9 0.00240124 -6 *2823:div[1] *2823:div[2] 0 -7 *2550:9 *2551:9 0 -8 *2550:9 *2555:13 0.000187258 -9 *2550:12 *2551:15 0 -10 *2550:12 *2553:12 0.000241148 -11 *2550:13 *2823:div[2] 0 -12 *2550:13 *2552:13 0.00325261 -13 *2550:13 *2554:13 0.000172814 -14 *2823:dco *2550:13 0.00217729 -15 *2823:div[0] *2823:div[1] 0 -16 *2549:9 *2550:9 0 -*RES -1 *2819:pll_div[1] *2550:9 40.1506 -2 *2550:9 *2550:12 36.2812 -3 *2550:12 *2550:13 63.9122 -4 *2550:13 *2823:div[1] 7.48595 -*END - -*D_NET *2551 0.0121013 -*CONN -*I *2823:div[2] I *D digital_pll -*I *2819:pll_div[2] O *D housekeeping -*CAP -1 *2823:div[2] 0.00228855 -2 *2819:pll_div[2] 0.00141306 -3 *2551:15 0.00358849 -4 *2551:9 0.002713 -5 *2823:div[2] *2823:div[3] 0 -6 *2823:div[2] *2553:13 0.00076192 -7 *2823:div[2] *2554:13 0 -8 *2551:9 *2552:9 0 -9 *2551:9 *2555:13 0 -10 *2551:15 *2553:13 0.00112432 -11 *2551:15 *2554:13 0 -12 *2823:div[1] *2823:div[2] 0 -13 *2546:9 *2551:15 0.000211913 -14 *2546:12 *2551:15 0 -15 *2549:13 *2823:div[2] 0 -16 *2550:9 *2551:9 0 -17 *2550:12 *2551:15 0 -18 *2550:13 *2823:div[2] 0 -*RES -1 *2819:pll_div[2] *2551:9 43.4726 -2 *2551:9 *2551:15 46.7584 -3 *2551:15 *2823:div[2] 44.9056 -*END - -*D_NET *2552 0.0137813 -*CONN -*I *2823:div[3] I *D digital_pll -*I *2819:pll_div[3] O *D housekeeping -*CAP -1 *2823:div[3] 0.00106102 -2 *2819:pll_div[3] 0.00111516 -3 *2552:13 0.00234902 -4 *2552:12 0.00191037 -5 *2552:9 0.00173753 -6 *2823:div[3] *2823:div[4] 0 -7 *2552:9 *2553:9 0 -8 *2552:12 *2553:12 0 -9 *2552:13 *2558:7 0 -10 *2823:dco *2552:13 0.000147411 -11 *2823:div[2] *2823:div[3] 0 -12 *2538:15 *2552:12 0 -13 *2547:10 *2552:12 2.15348e-05 -14 *2548:12 *2552:12 0.00218667 -15 *2550:13 *2552:13 0.00325261 -16 *2551:9 *2552:9 0 -*RES -1 *2819:pll_div[3] *2552:9 35.1676 -2 *2552:9 *2552:12 29.0714 -3 *2552:12 *2552:13 57.2682 -4 *2552:13 *2823:div[3] 7.40946 -*END - -*D_NET *2553 0.0116701 -*CONN -*I *2823:div[4] I *D digital_pll -*I *2819:pll_div[4] O *D housekeeping -*CAP -1 *2823:div[4] 0.00130373 -2 *2819:pll_div[4] 0.000867282 -3 *2553:13 0.00247514 -4 *2553:12 0.00168018 -5 *2553:9 0.00137605 -6 *2823:div[4] *2823:enable 0 -7 *2553:9 *2555:12 0.00169786 -8 *2823:div[2] *2553:13 0.00076192 -9 *2823:div[3] *2823:div[4] 0 -10 *2546:9 *2553:13 0.000142505 -11 *2549:13 *2553:13 0 -12 *2550:12 *2553:12 0.000241148 -13 *2551:15 *2553:13 0.00112432 -14 *2552:9 *2553:9 0 -15 *2552:12 *2553:12 0 -*RES -1 *2819:pll_div[4] *2553:9 38.4896 -2 *2553:9 *2553:12 19.6431 -3 *2553:12 *2553:13 48.1326 -4 *2553:13 *2823:div[4] 8.17437 -*END - -*D_NET *2554 0.0170327 -*CONN -*I *2823:enable I *D digital_pll -*I *2819:pll_ena O *D housekeeping -*CAP -1 *2823:enable 0.0011461 -2 *2819:pll_ena 0.00101724 -3 *2554:13 0.00224172 -4 *2554:12 0.00156951 -5 *2554:9 0.00149113 -6 *2823:dco *2823:enable 0 -7 *2823:dco *2554:13 0.00251039 -8 *2823:div[2] *2554:13 0 -9 *2823:div[4] *2823:enable 0 -10 *2538:15 *2554:12 0.00397302 -11 *2546:9 *2554:13 0 -12 *2547:10 *2554:12 0.000143044 -13 *2547:10 *2554:13 3.63743e-05 -14 *2548:9 *2554:9 0 -15 *2548:12 *2554:12 6.66892e-05 -16 *2549:12 *2554:12 0.00266465 -17 *2550:13 *2554:13 0.000172814 -18 *2551:15 *2554:13 0 -*RES -1 *2819:pll_ena *2554:9 33.0913 -2 *2554:9 *2554:12 46.8187 -3 *2554:12 *2554:13 47.7174 -4 *2554:13 *2823:enable 7.63893 -*END - -*D_NET *2555 0.523611 -*CONN -*I *2742:sel[0] I *D caravel_clocking -*I *2819:pll_sel[0] O *D housekeeping -*CAP -1 *2742:sel[0] 0.00104624 -2 *2819:pll_sel[0] 0.000813631 -3 *2555:19 0.00602678 -4 *2555:18 0.00498054 -5 *2555:16 0.013587 -6 *2555:15 0.013587 -7 *2555:13 0.0111057 -8 *2555:12 0.0119193 -9 *2555:16 *2556:10 1.40978e-05 -10 *2555:16 *2557:10 4.0752e-05 -11 *2555:19 *2556:13 0.0131104 -12 *2555:19 *2557:13 1.78514e-05 -13 *73:16 *2555:16 0.220445 -14 *73:19 *2555:19 0 -15 *2547:16 *2555:16 0.223518 -16 *2547:19 *2555:19 0 -17 *2548:12 *2555:12 0.000462767 -18 *2549:12 *2555:12 0.00105055 -19 *2550:9 *2555:13 0.000187258 -20 *2551:9 *2555:13 0 -21 *2553:9 *2555:12 0.00169786 -*RES -1 *2819:pll_sel[0] *2555:12 49.6827 -2 *2555:12 *2555:13 316.178 -3 *2555:13 *2555:15 4.5 -4 *2555:15 *2555:16 2338.38 -5 *2555:16 *2555:18 4.5 -6 *2555:18 *2555:19 220.878 -7 *2555:19 *2742:sel[0] 7.33297 -*END - -*D_NET *2556 0.543955 -*CONN -*I *2742:sel[1] I *D caravel_clocking -*I *2819:pll_sel[1] O *D housekeeping -*CAP -1 *2742:sel[1] 0.00106839 -2 *2819:pll_sel[1] 3.26469e-05 -3 *2556:13 0.00295191 -4 *2556:12 0.00188352 -5 *2556:10 0.0131614 -6 *2556:9 0.0131614 -7 *2556:7 0.00789113 -8 *2556:5 0.00792378 -9 *2556:7 *2557:7 0 -10 *2556:10 *2557:10 1.65872e-05 -11 *2556:13 *2557:13 0.000280352 -12 *2535:11 *2556:10 1.65872e-05 -13 *2545:13 *2556:7 0.0198713 -14 *2545:16 *2556:10 0.225358 -15 *2545:19 *2556:13 0.0119539 -16 *2546:16 *2556:10 0.22526 -17 *2555:16 *2556:10 1.40978e-05 -18 *2555:19 *2556:13 0.0131104 -*RES -1 *2819:pll_sel[1] *2556:5 0.928211 -2 *2556:5 *2556:7 345.038 -3 *2556:7 *2556:9 4.5 -4 *2556:9 *2556:10 2355.57 -5 *2556:10 *2556:12 4.5 -6 *2556:12 *2556:13 210.081 -7 *2556:13 *2742:sel[1] 7.40946 -*END - -*D_NET *2557 0.542611 -*CONN -*I *2742:sel[2] I *D caravel_clocking -*I *2819:pll_sel[2] O *D housekeeping -*CAP -1 *2742:sel[2] 0.00113132 -2 *2819:pll_sel[2] 3.26469e-05 -3 *2557:13 0.00307929 -4 *2557:12 0.00194797 -5 *2557:10 0.013181 -6 *2557:9 0.013181 -7 *2557:7 0.0078804 -8 *2557:5 0.00791305 -9 *2534:8 *2557:7 0 -10 *2535:11 *2557:10 2.01874e-05 -11 *2545:12 *2557:7 0 -12 *2545:13 *2557:7 5.66868e-06 -13 *2545:19 *2557:13 0.0119503 -14 *2546:13 *2557:7 7.77309e-06 -15 *2546:16 *2557:10 0.225354 -16 *2546:19 *2557:13 0.0114305 -17 *2547:13 *2557:7 0.0197737 -18 *2547:16 *2557:10 0.225358 -19 *2547:19 *2557:13 9.22013e-06 -20 *2549:13 *2557:7 0 -21 *2555:16 *2557:10 4.0752e-05 -22 *2555:19 *2557:13 1.78514e-05 -23 *2556:7 *2557:7 0 -24 *2556:10 *2557:10 1.65872e-05 -25 *2556:13 *2557:13 0.000280352 -*RES -1 *2819:pll_sel[2] *2557:5 0.928211 -2 *2557:5 *2557:7 344.207 -3 *2557:7 *2557:9 4.5 -4 *2557:9 *2557:10 2356.68 -5 *2557:10 *2557:12 4.5 -6 *2557:12 *2557:13 201.776 -7 *2557:13 *2742:sel[2] 7.56244 -*END - -*D_NET *2558 0.00670585 -*CONN -*I *2823:ext_trim[0] I *D digital_pll -*I *2819:pll_trim[0] O *D housekeeping -*CAP -1 *2823:ext_trim[0] 0.000981075 -2 *2819:pll_trim[0] 0.000111687 -3 *2558:7 0.00319793 -4 *2558:5 0.00232855 -5 *2823:ext_trim[0] *2823:ext_trim[1] 0 -6 *2558:7 *2823:ext_trim[1] 8.66112e-05 -7 *2558:7 *2569:7 0 -8 *2823:dco *2823:ext_trim[0] 0 -9 *2547:10 *2558:7 0 -10 *2552:13 *2558:7 0 -*RES -1 *2819:pll_trim[0] *2558:5 3.17546 -2 *2558:5 *2558:7 63.2893 -3 *2558:7 *2823:ext_trim[0] 7.1035 -*END - -*D_NET *2559 0.00252339 -*CONN -*I *2823:ext_trim[10] I *D digital_pll -*I *2819:pll_trim[10] O *D housekeeping -*CAP -1 *2823:ext_trim[10] 0.00118167 -2 *2819:pll_trim[10] 0.00118167 -3 *2823:ext_trim[10] *2823:ext_trim[11] 8.82488e-05 -4 *2823:ext_trim[10] *2823:ext_trim[12] 1.14973e-06 -5 *2823:ext_trim[10] *2823:ext_trim[9] 0 -6 *2538:15 *2823:ext_trim[10] 7.0656e-05 -*RES -1 *2819:pll_trim[10] *2823:ext_trim[10] 43.0461 -*END - -*D_NET *2560 0.00288471 -*CONN -*I *2823:ext_trim[11] I *D digital_pll -*I *2819:pll_trim[11] O *D housekeeping -*CAP -1 *2823:ext_trim[11] 0.00129071 -2 *2819:pll_trim[11] 0.00129071 -3 *2823:ext_trim[11] *2823:ext_trim[12] 0.000147308 -4 *2823:ext_trim[10] *2823:ext_trim[11] 8.82488e-05 -5 *2538:15 *2823:ext_trim[11] 6.77202e-05 -*RES -1 *2819:pll_trim[11] *2823:ext_trim[11] 46.5699 -*END - -*D_NET *2561 0.00295386 -*CONN -*I *2823:ext_trim[12] I *D digital_pll -*I *2819:pll_trim[12] O *D housekeeping -*CAP -1 *2823:ext_trim[12] 0.0014027 -2 *2819:pll_trim[12] 0.0014027 -3 *2823:ext_trim[12] *2823:ext_trim[13] 0 -4 *2823:ext_trim[12] *2562:12 0 -5 *2823:ext_trim[12] *2563:12 0 -6 *2823:ext_trim[10] *2823:ext_trim[12] 1.14973e-06 -7 *2823:ext_trim[11] *2823:ext_trim[12] 0.000147308 -*RES -1 *2819:pll_trim[12] *2823:ext_trim[12] 49.1467 -*END - -*D_NET *2562 0.00383686 -*CONN -*I *2823:ext_trim[13] I *D digital_pll -*I *2819:pll_trim[13] O *D housekeeping -*CAP -1 *2823:ext_trim[13] 0.00025048 -2 *2819:pll_trim[13] 0.00120066 -3 *2562:12 0.00145114 -4 *2823:ext_trim[13] *2565:9 8.99995e-05 -5 *2823:ext_trim[13] *2566:9 0 -6 *2562:12 *2563:12 0.000844584 -7 *2823:ext_trim[12] *2823:ext_trim[13] 0 -8 *2823:ext_trim[12] *2562:12 0 -*RES -1 *2819:pll_trim[13] *2562:12 45.6723 -2 *2562:12 *2823:ext_trim[13] 6.99822 -*END - -*D_NET *2563 0.00481883 -*CONN -*I *2823:ext_trim[14] I *D digital_pll -*I *2819:pll_trim[14] O *D housekeeping -*CAP -1 *2823:ext_trim[14] 0.000277005 -2 *2819:pll_trim[14] 0.00112575 -3 *2563:12 0.00140276 -4 *2563:12 *2823:ext_trim[18] 6.50586e-05 -5 *2563:12 *2564:10 0.00110368 -6 *2823:ext_trim[12] *2563:12 0 -7 *2562:12 *2563:12 0.000844584 -*RES -1 *2819:pll_trim[14] *2563:12 48.306 -2 *2563:12 *2823:ext_trim[14] 6.58297 -*END - -*D_NET *2564 0.00556456 -*CONN -*I *2823:ext_trim[15] I *D digital_pll -*I *2819:pll_trim[15] O *D housekeeping -*CAP -1 *2823:ext_trim[15] 0.000189893 -2 *2819:pll_trim[15] 0.00114825 -3 *2564:10 0.00133814 -4 *2823:ext_trim[15] *2570:9 0.000244386 -5 *2823:ext_trim[15] *2571:10 0 -6 *2564:10 *2823:ext_trim[16] 0 -7 *2564:10 *2823:ext_trim[17] 0.001057 -8 *2564:10 *2823:ext_trim[18] 0.000159075 -9 *2564:10 *2823:ext_trim[19] 0.000324137 -10 *2564:10 *2565:9 0 -11 *2563:12 *2564:10 0.00110368 -*RES -1 *2819:pll_trim[15] *2564:10 47.5489 -2 *2564:10 *2823:ext_trim[15] 10.6677 -*END - -*D_NET *2565 0.00394872 -*CONN -*I *2823:ext_trim[16] I *D digital_pll -*I *2819:pll_trim[16] O *D housekeeping -*CAP -1 *2823:ext_trim[16] 0.000882902 -2 *2819:pll_trim[16] 0.000926816 -3 *2565:9 0.00180972 -4 *2823:ext_trim[16] *2823:ext_trim[17] 0.000116221 -5 *2823:ext_trim[16] *2823:ext_trim[20] 5.07452e-05 -6 *2823:ext_trim[16] *2572:9 5.8078e-05 -7 *2823:ext_trim[16] *2572:12 1.42457e-05 -8 *2823:ext_trim[16] *2573:9 0 -9 *2565:9 *2566:9 0 -10 *2823:ext_trim[13] *2565:9 8.99995e-05 -11 *2564:10 *2823:ext_trim[16] 0 -12 *2564:10 *2565:9 0 -*RES -1 *2819:pll_trim[16] *2565:9 31.0151 -2 *2565:9 *2823:ext_trim[16] 29.7783 -*END - -*D_NET *2566 0.00525062 -*CONN -*I *2823:ext_trim[17] I *D digital_pll -*I *2819:pll_trim[17] O *D housekeeping -*CAP -1 *2823:ext_trim[17] 0.000793316 -2 *2819:pll_trim[17] 0.000879026 -3 *2566:9 0.00167234 -4 *2823:ext_trim[17] *2823:ext_trim[19] 0.000573529 -5 *2823:ext_trim[17] *2572:12 0 -6 *2823:ext_trim[17] *2573:9 6.85803e-05 -7 *2823:ext_trim[17] *2573:12 0 -8 *2823:ext_trim[17] *2574:9 9.06048e-05 -9 *2566:9 *2567:9 0 -10 *2823:ext_trim[13] *2566:9 0 -11 *2823:ext_trim[16] *2823:ext_trim[17] 0.000116221 -12 *2564:10 *2823:ext_trim[17] 0.001057 -13 *2565:9 *2566:9 0 -*RES -1 *2819:pll_trim[17] *2566:9 28.9388 -2 *2566:9 *2823:ext_trim[17] 35.3784 -*END - -*D_NET *2567 0.00628987 -*CONN -*I *2823:ext_trim[18] I *D digital_pll -*I *2819:pll_trim[18] O *D housekeeping -*CAP -1 *2823:ext_trim[18] 0.000962972 -2 *2819:pll_trim[18] 0.000798383 -3 *2567:9 0.00176135 -4 *2823:ext_trim[18] *2823:ext_trim[19] 0.00225269 -5 *2823:ext_trim[18] *2575:9 0.000290332 -6 *2567:9 *2568:9 0 -7 *72:12 *2823:ext_trim[18] 0 -8 *2563:12 *2823:ext_trim[18] 6.50586e-05 -9 *2564:10 *2823:ext_trim[18] 0.000159075 -10 *2566:9 *2567:9 0 -*RES -1 *2819:pll_trim[18] *2567:9 27.1312 -2 *2567:9 *2823:ext_trim[18] 39.9518 -*END - -*D_NET *2568 0.00685926 -*CONN -*I *2823:ext_trim[19] I *D digital_pll -*I *2819:pll_trim[19] O *D housekeeping -*CAP -1 *2823:ext_trim[19] 0.000835791 -2 *2819:pll_trim[19] 0.00084198 -3 *2568:9 0.00167777 -4 *2823:ext_trim[19] *2573:12 0 -5 *2823:ext_trim[19] *2574:12 0 -6 *2568:9 *2570:9 0 -7 *2819:wb_clk_i *2823:ext_trim[19] 0.000269383 -8 *2823:ext_trim[17] *2823:ext_trim[19] 0.000573529 -9 *2823:ext_trim[18] *2823:ext_trim[19] 0.00225269 -10 *66:17 *2823:ext_trim[19] 8.39733e-05 -11 *2564:10 *2823:ext_trim[19] 0.000324137 -12 *2567:9 *2568:9 0 -*RES -1 *2819:pll_trim[19] *2568:9 28.1083 -2 *2568:9 *2823:ext_trim[19] 42.2951 -*END - -*D_NET *2569 0.00651777 -*CONN -*I *2823:ext_trim[1] I *D digital_pll -*I *2819:pll_trim[1] O *D housekeeping -*CAP -1 *2823:ext_trim[1] 0.00121172 -2 *2819:pll_trim[1] 7.21667e-05 -3 *2569:7 0.00314341 -4 *2569:5 0.00200385 -5 *2823:ext_trim[1] *2823:ext_trim[2] 0 -6 *2823:ext_trim[0] *2823:ext_trim[1] 0 -7 *2558:7 *2823:ext_trim[1] 8.66112e-05 -8 *2558:7 *2569:7 0 -*RES -1 *2819:pll_trim[1] *2569:5 2.05183 -2 *2569:5 *2569:7 54.8133 -3 *2569:7 *2823:ext_trim[1] 13.8877 -*END - -*D_NET *2570 0.006474 -*CONN -*I *2823:ext_trim[20] I *D digital_pll -*I *2819:pll_trim[20] O *D housekeeping -*CAP -1 *2823:ext_trim[20] 0.00148437 -2 *2819:pll_trim[20] 0.000949418 -3 *2570:9 0.00243379 -4 *2823:ext_trim[20] *2571:15 0.000343399 -5 *2823:ext_trim[20] *2572:12 0.000967885 -6 *2570:9 *2571:10 0 -7 *2823:ext_trim[15] *2570:9 0.000244386 -8 *2823:ext_trim[16] *2823:ext_trim[20] 5.07452e-05 -9 *2538:11 *2823:ext_trim[20] 0 -10 *2568:9 *2570:9 0 -*RES -1 *2819:pll_trim[20] *2570:9 31.9677 -2 *2570:9 *2823:ext_trim[20] 29.2656 -*END - -*D_NET *2571 0.00748457 -*CONN -*I *2823:ext_trim[21] I *D digital_pll -*I *2819:pll_trim[21] O *D housekeeping -*CAP -1 *2823:ext_trim[21] 0.000231222 -2 *2819:pll_trim[21] 0.00109759 -3 *2571:15 0.00101405 -4 *2571:10 0.00188042 -5 *2571:10 *2572:9 0 -6 *2571:15 *2572:12 3.73029e-05 -7 *2571:15 *2575:12 0.000113033 -8 *2819:wb_clk_i *2571:15 0.000266632 -9 *2823:ext_trim[15] *2571:10 0 -10 *2823:ext_trim[20] *2571:15 0.000343399 -11 *66:17 *2571:15 0.00108295 -12 *72:12 *2571:15 0.000169038 -13 *72:13 *2571:15 0.00107828 -14 *2538:11 *2571:15 0.000170642 -15 *2570:9 *2571:10 0 -*RES -1 *2819:pll_trim[21] *2571:10 34.5006 -2 *2571:10 *2571:15 48.6937 -3 *2571:15 *2823:ext_trim[21] 3.93415 -*END - -*D_NET *2572 0.015534 -*CONN -*I *2823:ext_trim[22] I *D digital_pll -*I *2819:pll_trim[22] O *D housekeeping -*CAP -1 *2823:ext_trim[22] 0.00150944 -2 *2819:pll_trim[22] 0.000984201 -3 *2572:12 0.00189769 -4 *2572:9 0.00137245 -5 *2823:ext_trim[22] *2574:13 7.77309e-06 -6 *2823:ext_trim[22] *2575:13 0.00228719 -7 *2572:9 *2573:9 0 -8 *2572:12 *2573:12 0.00357169 -9 *2572:12 *2575:12 0.00282603 -10 *2823:ext_trim[16] *2572:9 5.8078e-05 -11 *2823:ext_trim[16] *2572:12 1.42457e-05 -12 *2823:ext_trim[17] *2572:12 0 -13 *2823:ext_trim[20] *2572:12 0.000967885 -14 *2571:10 *2572:9 0 -15 *2571:15 *2572:12 3.73029e-05 -*RES -1 *2819:pll_trim[22] *2572:9 32.1143 -2 *2572:9 *2572:12 46.8187 -3 *2572:12 *2823:ext_trim[22] 43.1392 -*END - -*D_NET *2573 0.0164595 -*CONN -*I *2823:ext_trim[23] I *D digital_pll -*I *2819:pll_trim[23] O *D housekeeping -*CAP -1 *2823:ext_trim[23] 0.00126153 -2 *2819:pll_trim[23] 0.000973942 -3 *2573:15 0.00204851 -4 *2573:12 0.00121482 -5 *2573:9 0.00140178 -6 *2823:ext_trim[23] *2574:13 0.00152744 -7 *2573:9 *2574:9 0 -8 *2573:12 *2574:12 0.00339945 -9 *2573:12 *2575:12 1.65872e-05 -10 *2573:15 *2574:13 0.000763239 -11 *2819:mask_rev_in[4] *2573:15 0.000211913 -12 *2819:mask_rev_in[5] *2573:15 0 -13 *2823:ext_trim[16] *2573:9 0 -14 *2823:ext_trim[17] *2573:9 6.85803e-05 -15 *2823:ext_trim[17] *2573:12 0 -16 *2823:ext_trim[19] *2573:12 0 -17 *1703:11 *2573:15 0 -18 *2538:11 *2573:12 0 -19 *2572:9 *2573:9 0 -20 *2572:12 *2573:12 0.00357169 -*RES -1 *2819:pll_trim[23] *2573:9 32.2608 -2 *2573:9 *2573:12 44.6003 -3 *2573:12 *2573:15 30.0081 -4 *2573:15 *2823:ext_trim[23] 30.4592 -*END - -*D_NET *2574 0.0169712 -*CONN -*I *2823:ext_trim[24] I *D digital_pll -*I *2819:pll_trim[24] O *D housekeeping -*CAP -1 *2823:ext_trim[24] 0.000688632 -2 *2819:pll_trim[24] 0.000911277 -3 *2574:13 0.00160707 -4 *2574:12 0.00177233 -5 *2574:9 0.00176517 -6 *2574:9 *2575:9 0 -7 *2574:13 *2575:13 0.00420175 -8 *2819:mask_rev_in[4] *2574:13 0.000236445 -9 *2823:ext_trim[17] *2574:9 9.06048e-05 -10 *2823:ext_trim[19] *2574:12 0 -11 *2823:ext_trim[22] *2574:13 7.77309e-06 -12 *2823:ext_trim[23] *2574:13 0.00152744 -13 *2573:9 *2574:9 0 -14 *2573:12 *2574:12 0.00339945 -15 *2573:15 *2574:13 0.000763239 -*RES -1 *2819:pll_trim[24] *2574:9 30.722 -2 *2574:9 *2574:12 40.718 -3 *2574:12 *2574:13 68.8952 -4 *2574:13 *2823:ext_trim[24] 5.95615 -*END - -*D_NET *2575 0.0179254 -*CONN -*I *2823:ext_trim[25] I *D digital_pll -*I *2819:pll_trim[25] O *D housekeeping -*CAP -1 *2823:ext_trim[25] 0.000666486 -2 *2819:pll_trim[25] 0.000962254 -3 *2575:13 0.00220798 -4 *2575:12 0.00211774 -5 *2575:9 0.0015385 -6 *2819:wb_clk_i *2575:12 1.65872e-05 -7 *2823:ext_trim[18] *2575:9 0.000290332 -8 *2823:ext_trim[22] *2575:13 0.00228719 -9 *72:12 *2575:9 0 -10 *72:12 *2575:12 0.000680863 -11 *2538:11 *2575:12 0 -12 *2571:15 *2575:12 0.000113033 -13 *2572:12 *2575:12 0.00282603 -14 *2573:12 *2575:12 1.65872e-05 -15 *2574:9 *2575:9 0 -16 *2574:13 *2575:13 0.00420175 -*RES -1 *2819:pll_trim[25] *2575:9 32.5295 -2 *2575:9 *2575:12 35.172 -3 *2575:12 *2575:13 82.5985 -4 *2575:13 *2823:ext_trim[25] 5.87966 -*END - -*D_NET *2576 0.00484567 -*CONN -*I *2823:ext_trim[2] I *D digital_pll -*I *2819:pll_trim[2] O *D housekeeping -*CAP -1 *2823:ext_trim[2] 0.000521369 -2 *2819:pll_trim[2] 7.21667e-05 -3 *2576:7 0.00235067 -4 *2576:5 0.00190146 -5 *2823:ext_trim[2] *2823:ext_trim[3] 0 -6 *2576:7 *2577:7 0 -7 *2823:ext_trim[1] *2823:ext_trim[2] 0 -*RES -1 *2819:pll_trim[2] *2576:5 2.05183 -2 *2576:5 *2576:7 51.6623 -3 *2576:7 *2823:ext_trim[2] 5.34423 -*END - -*D_NET *2577 0.00415193 -*CONN -*I *2823:ext_trim[3] I *D digital_pll -*I *2819:pll_trim[3] O *D housekeeping -*CAP -1 *2823:ext_trim[3] 0.000401446 -2 *2819:pll_trim[3] 0.00167452 -3 *2577:7 0.00207596 -4 *2823:ext_trim[3] *2823:ext_trim[4] 0 -5 *2577:7 *2823:ext_trim[4] 0 -6 *2823:ext_trim[2] *2823:ext_trim[3] 0 -7 *2576:7 *2577:7 0 -*RES -1 *2819:pll_trim[3] *2577:7 47.3387 -2 *2577:7 *2823:ext_trim[3] 4.88529 -*END - -*D_NET *2578 0.00342273 -*CONN -*I *2823:ext_trim[4] I *D digital_pll -*I *2819:pll_trim[4] O *D housekeeping -*CAP -1 *2823:ext_trim[4] 0.00171137 -2 *2819:pll_trim[4] 0.00171137 -3 *2823:ext_trim[4] *2823:ext_trim[5] 0 -4 *2823:ext_trim[3] *2823:ext_trim[4] 0 -5 *2577:7 *2823:ext_trim[4] 0 -*RES -1 *2819:pll_trim[4] *2823:ext_trim[4] 46.2839 -*END - -*D_NET *2579 0.00273397 -*CONN -*I *2823:ext_trim[5] I *D digital_pll -*I *2819:pll_trim[5] O *D housekeeping -*CAP -1 *2823:ext_trim[5] 0.00136698 -2 *2819:pll_trim[5] 0.00136698 -3 *2823:ext_trim[5] *2823:ext_trim[6] 0 -4 *2823:ext_trim[5] *2823:ext_trim[7] 0 -5 *2823:ext_trim[4] *2823:ext_trim[5] 0 -*RES -1 *2819:pll_trim[5] *2823:ext_trim[5] 39.9349 -*END - -*D_NET *2580 0.00211614 -*CONN -*I *2823:ext_trim[6] I *D digital_pll -*I *2819:pll_trim[6] O *D housekeeping -*CAP -1 *2823:ext_trim[6] 0.00105807 -2 *2819:pll_trim[6] 0.00105807 -3 *2823:ext_trim[6] *2823:ext_trim[7] 0 -4 *2823:ext_trim[5] *2823:ext_trim[6] 0 -*RES -1 *2819:pll_trim[6] *2823:ext_trim[6] 29.3867 -*END - -*D_NET *2581 0.00193193 -*CONN -*I *2823:ext_trim[7] I *D digital_pll -*I *2819:pll_trim[7] O *D housekeeping -*CAP -1 *2823:ext_trim[7] 0.000965966 -2 *2819:pll_trim[7] 0.000965966 -3 *2823:ext_trim[7] *2823:ext_trim[8] 0 -4 *2823:ext_trim[5] *2823:ext_trim[7] 0 -5 *2823:ext_trim[6] *2823:ext_trim[7] 0 -*RES -1 *2819:pll_trim[7] *2823:ext_trim[7] 27.2112 -*END - -*D_NET *2582 0.00198073 -*CONN -*I *2823:ext_trim[8] I *D digital_pll -*I *2819:pll_trim[8] O *D housekeeping -*CAP -1 *2823:ext_trim[8] 0.000990365 -2 *2819:pll_trim[8] 0.000990365 -3 *2823:ext_trim[8] *2823:ext_trim[9] 0 -4 *2823:ext_trim[7] *2823:ext_trim[8] 0 -*RES -1 *2819:pll_trim[8] *2823:ext_trim[8] 27.773 -*END - -*D_NET *2583 0.00226197 -*CONN -*I *2823:ext_trim[9] I *D digital_pll -*I *2819:pll_trim[9] O *D housekeeping -*CAP -1 *2823:ext_trim[9] 0.00113099 -2 *2819:pll_trim[9] 0.00113099 -3 *2823:ext_trim[10] *2823:ext_trim[9] 0 -4 *2823:ext_trim[8] *2823:ext_trim[9] 0 -*RES -1 *2819:pll_trim[9] *2823:ext_trim[9] 31.1439 -*END - -*D_NET *2584 0.0333772 -*CONN -*I *2819:spi_sck I *D housekeeping -*I *2826:spi_sck O *D mgmt_core_wrapper -*CAP -1 *2819:spi_sck 0.00172325 -2 *2826:spi_sck 0.00161257 -3 *2584:11 0.00391612 -4 *2584:10 0.00219287 -5 *2584:8 0.00279246 -6 *2584:7 0.00440503 -7 *2819:spi_sck *2819:spi_sdo 0.000176441 -8 *2584:8 *2585:14 0.00101626 -9 *2584:11 *2586:11 0.0101555 -10 *2819:spi_csb *2819:spi_sck 0.000198188 -11 *774:14 *2584:8 0.00518855 -*RES -1 *2826:spi_sck *2584:7 8.32734 -2 *2584:7 *2584:8 117.895 -3 *2584:8 *2584:10 4.5 -4 *2584:10 *2584:11 106.098 -5 *2584:11 *2819:spi_sck 22.9534 -*END - -*D_NET *2585 0.0355382 -*CONN -*I *2826:spi_sdi I *D mgmt_core_wrapper -*I *2819:spi_sdi O *D housekeeping -*CAP -1 *2826:spi_sdi 0.0014442 -2 *2819:spi_sdi 0.00157398 -3 *2585:14 0.00280043 -4 *2585:13 0.00135623 -5 *2585:11 0.0022595 -6 *2585:10 0.00383348 -7 *2585:14 *2587:8 0.000814879 -8 *2819:ser_tx *2585:10 0.000332717 -9 *2819:spi_csb *2585:10 0 -10 *724:14 *2585:14 0.000417162 -11 *774:14 *2585:14 0.00399753 -12 *2542:11 *2585:11 0.010251 -13 *2544:8 *2585:14 0.00544085 -14 *2584:8 *2585:14 0.00101626 -*RES -1 *2819:spi_sdi *2585:10 18.7244 -2 *2585:10 *2585:11 107.762 -3 *2585:11 *2585:13 4.5 -4 *2585:13 *2585:14 115.403 -5 *2585:14 *2826:spi_sdi 8.17437 -*END - -*D_NET *2586 0.0452601 -*CONN -*I *2819:spi_sdo I *D housekeeping -*I *2826:spi_sdo O *D mgmt_core_wrapper -*CAP -1 *2819:spi_sdo 0.00150039 -2 *2826:spi_sdo 0.0014094 -3 *2586:11 0.00219035 -4 *2586:10 0.000689965 -5 *2586:8 0.00130305 -6 *2586:7 0.00271246 -7 *2819:spi_sdo *2819:spi_sdoenb 0 -8 *2586:8 *2587:8 0.00681155 -9 *2586:8 *2588:8 0.00570324 -10 *2819:spi_csb *2819:spi_sdo 0.000197799 -11 *2819:spi_sck *2819:spi_sdo 0.000176441 -12 *699:14 *2586:8 0 -13 *710:14 *2586:8 0.000943882 -14 *776:14 *2586:8 0.000524714 -15 *2543:11 *2586:11 0.0104548 -16 *2544:8 *2586:8 0.000486635 -17 *2584:11 *2586:11 0.0101555 -*RES -1 *2826:spi_sdo *2586:7 7.94489 -2 *2586:7 *2586:8 126.615 -3 *2586:8 *2586:10 4.5 -4 *2586:10 *2586:11 109.426 -5 *2586:11 *2819:spi_sdo 16.6482 -*END - -*D_NET *2587 0.0369415 -*CONN -*I *2819:spi_sdoenb I *D housekeeping -*I *2826:spi_sdoenb O *D mgmt_core_wrapper -*CAP -1 *2819:spi_sdoenb 0.00185938 -2 *2826:spi_sdoenb 0.00151768 -3 *2587:11 0.00418425 -4 *2587:10 0.00232487 -5 *2587:8 0.00146151 -6 *2587:7 0.00297918 -7 *2587:8 *2588:8 0.000256386 -8 *2819:spi_sdo *2819:spi_sdoenb 0 -9 *774:14 *2587:8 0.000746169 -10 *775:10 *2819:spi_sdoenb 6.75696e-05 -11 *775:11 *2587:11 1.92172e-05 -12 *776:10 *2819:spi_sdoenb 0.000172802 -13 *776:11 *2587:11 0.0103443 -14 *2544:8 *2587:8 0.00338167 -15 *2585:14 *2587:8 0.000814879 -16 *2586:8 *2587:8 0.00681155 -*RES -1 *2826:spi_sdoenb *2587:7 8.02138 -2 *2587:7 *2587:8 119.556 -3 *2587:8 *2587:10 4.5 -4 *2587:10 *2587:11 109.426 -5 *2587:11 *2819:spi_sdoenb 26.953 -*END - -*D_NET *2588 0.0395755 -*CONN -*I *2819:trap I *D housekeeping -*I *2826:trap O *D mgmt_core_wrapper -*CAP -1 *2819:trap 0.00160255 -2 *2826:trap 0.00141453 -3 *2588:11 0.00400637 -4 *2588:10 0.00240382 -5 *2588:8 0.00182034 -6 *2588:7 0.00323487 -7 *2819:debug_out *2819:trap 0 -8 *68:14 *2588:8 0.000271132 -9 *747:8 *2588:8 0.000279531 -10 *749:8 *2588:8 0 -11 *774:10 *2819:trap 0.000384809 -12 *774:11 *2588:11 0.0101957 -13 *774:14 *2588:8 0 -14 *776:14 *2588:8 0.00800223 -15 *2586:8 *2588:8 0.00570324 -16 *2587:8 *2588:8 0.000256386 -*RES -1 *2826:trap *2588:7 7.8684 -2 *2588:7 *2588:8 139.073 -3 *2588:8 *2588:10 4.5 -4 *2588:10 *2588:11 109.98 -5 *2588:11 *2819:trap 19.9702 -*END - -*D_NET *2589 0.0327122 -*CONN -*I *2819:uart_enabled I *D housekeeping -*I *2826:uart_enabled O *D mgmt_core_wrapper -*CAP -1 *2819:uart_enabled 0.00187834 -2 *2826:uart_enabled 0.00111123 -3 *2589:11 0.00429846 -4 *2589:10 0.00242013 -5 *2589:8 0.00145506 -6 *2589:7 0.00256629 -7 *2819:qspi_enabled *2819:uart_enabled 0.000177702 -8 *2819:spi_enabled *2819:uart_enabled 0 -9 *69:8 *2589:8 0.000734568 -10 *698:14 *2589:8 0.00476704 -11 *731:8 *2589:8 0 -12 *775:14 *2589:8 0 -13 *2541:10 *2819:uart_enabled 2.01653e-05 -14 *2541:11 *2589:11 0.0107943 -15 *2541:14 *2589:8 0.00248898 -*RES -1 *2826:uart_enabled *2589:7 7.33297 -2 *2589:7 *2589:8 96.3019 -3 *2589:8 *2589:10 4.5 -4 *2589:10 *2589:11 113.308 -5 *2589:11 *2819:uart_enabled 26.2754 -*END - -*D_NET *2590 0.0184143 -*CONN -*I *2821:analog_io[0] I *D user_project_wrapper -*I *2822:mprj_analog_io[0] I *D chip_io -*CAP -1 *2821:analog_io[0] 0.00102683 -2 *2822:mprj_analog_io[0] 0.000944938 -3 *2590:13 0.00274459 -4 *2590:12 0.00171776 -5 *2590:10 0.00512154 -6 *2590:9 0.00606648 -7 *2822:mprj_io_analog_en[7] *2590:9 0.000171079 -8 *2822:mprj_io_analog_pol[7] *2590:9 0.000133925 -9 *2822:mprj_io_dm[21] *2590:9 0.000197125 -10 *2822:mprj_io_dm[22] *2590:9 0.000197125 -11 *2822:mprj_io_inp_dis[7] *2590:9 9.29408e-05 -12 *676:14 *2590:9 0 -*RES -1 *2822:mprj_analog_io[0] *2590:9 35.2348 -2 *2590:9 *2590:10 126.618 -3 *2590:10 *2590:12 4.5 -4 *2590:12 *2590:13 48.5479 -5 *2590:13 *2821:analog_io[0] 6.87403 -*END - -*D_NET *2591 0.0202014 -*CONN -*I *2821:analog_io[10] I *D user_project_wrapper -*I *2822:mprj_analog_io[10] I *D chip_io -*CAP -1 *2821:analog_io[10] 0.00123998 -2 *2822:mprj_analog_io[10] 0.00362314 -3 *2591:14 0.00385062 -4 *2591:13 0.00385137 -5 *2591:10 0.00486386 -6 *2822:mprj_io_slow_sel[17] *2591:10 0 -7 *106:59 *2821:analog_io[10] 0 -8 *107:17 *2591:10 0.00172433 -9 *616:41 *2591:14 0 -10 *623:17 *2591:10 0.000920983 -11 *2265:13 *2591:13 2.87136e-06 -12 *2266:8 *2591:10 0.00012426 -*RES -1 *2822:mprj_analog_io[10] *2591:10 29.449 -2 *2591:10 *2591:13 38.514 -3 *2591:13 *2591:14 72.2673 -4 *2591:14 *2821:analog_io[10] 32.1998 -*END - -*D_NET *2592 0.0356919 -*CONN -*I *2821:analog_io[11] I *D user_project_wrapper -*I *2822:mprj_analog_io[11] I *D chip_io -*CAP -1 *2821:analog_io[11] 0.00271605 -2 *2822:mprj_analog_io[11] 0.000847595 -3 *2592:28 0.00271605 -4 *2592:26 0.00301653 -5 *2592:25 0.00339361 -6 *2592:20 0.00535686 -7 *2592:18 0.00562236 -8 *2592:12 0.00149017 -9 *2592:20 *2627:13 0.000171273 -10 *2592:25 *2796:user_gpio_oeb 1.5714e-05 -11 *2796:resetn *2592:20 1.45065e-05 -12 *108:13 *2592:20 0.000429755 -13 *108:16 *2592:25 0 -14 *624:13 *2592:20 0.000432613 -15 *648:13 *2592:20 0.000765201 -16 *648:16 *2592:25 0 -17 *668:17 *2592:18 9.16264e-05 -18 *668:17 *2592:20 0.00463093 -19 *668:25 *2592:18 0.000718715 -20 *2000:20 *2821:analog_io[11] 0.00150913 -21 *2038:20 *2821:analog_io[11] 0.00149866 -22 *2128:22 *2592:26 0.00025458 -*RES -1 *2822:mprj_analog_io[11] *2592:12 32.2539 -2 *2592:12 *2592:18 27.0077 -3 *2592:18 *2592:20 196.776 -4 *2592:20 *2592:25 18.7218 -5 *2592:25 *2592:26 82.8047 -6 *2592:26 *2592:28 4.5 -7 *2592:28 *2821:analog_io[11] 86.2503 -*END - -*D_NET *2593 0.0615796 -*CONN -*I *2821:analog_io[12] I *D user_project_wrapper -*I *2822:mprj_analog_io[12] I *D chip_io -*CAP -1 *2821:analog_io[12] 0.00250912 -2 *2822:mprj_analog_io[12] 0.000427435 -3 *2593:10 0.00250912 -4 *2593:8 0.0187903 -5 *2593:7 0.0192177 -6 *2593:7 *2594:15 0 -7 *2593:8 *2594:12 0 -8 *2822:mprj_io_slow_sel[18] *2593:8 0.00771618 -9 *108:17 *2593:8 0.00131375 -10 *624:17 *2593:8 0.000897913 -11 *648:17 *2593:8 0.000712926 -12 *2131:17 *2593:8 0.000220531 -13 *2230:17 *2593:8 0.000379349 -14 *2267:8 *2593:8 0.00119449 -15 *2344:17 *2593:8 0.00163831 -16 *2382:13 *2593:8 0.000762828 -17 *2458:17 *2593:8 0.00328964 -*RES -1 *2822:mprj_analog_io[12] *2593:7 14.2508 -2 *2593:7 *2593:8 72.4861 -3 *2593:8 *2593:10 3.36879 -4 *2593:10 *2821:analog_io[12] 61.5428 -*END - -*D_NET *2594 0.043315 -*CONN -*I *2821:analog_io[13] I *D user_project_wrapper -*I *2822:mprj_analog_io[13] I *D chip_io -*CAP -1 *2821:analog_io[13] 0.00156725 -2 *2822:mprj_analog_io[13] 0.000253597 -3 *2594:16 0.00472596 -4 *2594:15 0.00437404 -5 *2594:12 0.0121439 -6 *2594:9 0.0111822 -7 *2594:16 *2631:23 0.00298597 -8 *2822:mprj_io_inp_dis[19] *2594:12 8.92601e-06 -9 *2822:mprj_io_dm[62] *2594:12 0.00122569 -10 *2822:mprj_io_ib_mode_sel[20] *2594:12 0 -11 *100:38 *2821:analog_io[13] 0 -12 *659:31 *2821:analog_io[13] 0 -13 *2136:17 *2594:12 0.000838616 -14 *2460:15 *2594:12 0.000549095 -15 *2537:15 *2594:16 0.00345977 -16 *2593:7 *2594:15 0 -17 *2593:8 *2594:12 0 -*RES -1 *2822:mprj_analog_io[13] *2594:9 8.91362 -2 *2594:9 *2594:12 46.7121 -3 *2594:12 *2594:15 37.2683 -4 *2594:15 *2594:16 129.391 -5 *2594:16 *2821:analog_io[13] 40.9201 -*END - -*D_NET *2595 0.0349872 -*CONN -*I *2821:analog_io[14] I *D user_project_wrapper -*I *2822:mprj_analog_io[14] I *D chip_io -*CAP -1 *2821:analog_io[14] 0.00148341 -2 *2822:mprj_analog_io[14] 0.00881559 -3 *2595:16 0.0058127 -4 *2595:15 0.00527884 -5 *2595:10 0.00976514 -6 *2595:16 *2632:17 0.00383149 -*RES -1 *2822:mprj_analog_io[14] *2595:10 47.3401 -2 *2595:10 *2595:15 33.993 -3 *2595:15 *2595:16 137.71 -4 *2595:16 *2821:analog_io[14] 40.0896 -*END - -*D_NET *2596 0.031873 -*CONN -*I *2821:analog_io[15] I *D user_project_wrapper -*I *2822:mprj_analog_io[15] I *D chip_io -*CAP -1 *2821:analog_io[15] 0.00238315 -2 *2822:mprj_analog_io[15] 0.000427349 -3 *2596:10 0.0126883 -4 *2596:7 0.0107325 -5 *2234:23 *2596:10 0.000572046 -6 *2348:17 *2596:10 0.00338415 -7 *2462:17 *2596:10 0.00168557 -*RES -1 *2822:mprj_analog_io[15] *2596:7 14.2508 -2 *2596:7 *2596:10 42.3522 -3 *2596:10 *2821:analog_io[15] 61.5428 -*END - -*D_NET *2597 0.0339004 -*CONN -*I *2821:analog_io[16] I *D user_project_wrapper -*I *2822:mprj_analog_io[16] I *D chip_io -*CAP -1 *2821:analog_io[16] 0.00127584 -2 *2822:mprj_analog_io[16] 0.000334061 -3 *2597:44 0.00269403 -4 *2597:38 0.0030637 -5 *2597:35 0.00239086 -6 *2597:32 0.00155877 -7 *2597:26 0.00351787 -8 *2597:25 0.0032683 -9 *2597:16 0.000897907 -10 *2597:25 *2672:11 0.000237053 -11 *2597:25 *2710:11 0.00124606 -12 *2822:mprj_io_analog_en[23] *2597:16 0.00130751 -13 *2822:mprj_io_dm[69] *2597:16 0.00122931 -14 *2822:mprj_io_dm[70] *2597:16 0.000158211 -15 *2822:mprj_io_slow_sel[23] *2597:16 1.41291e-05 -16 *629:20 *2597:35 0.00210551 -17 *653:18 *2597:32 0.000208756 -18 *1969:11 *2597:25 3.4123e-05 -19 *2147:23 *2597:16 0.000602629 -20 *2273:19 *2597:16 1.92172e-05 -21 *2273:19 *2597:25 0.00124966 -22 *2349:13 *2597:26 0 -23 *2425:11 *2597:26 0.00648686 -*RES -1 *2822:mprj_analog_io[16] *2597:16 33.0014 -2 *2597:16 *2597:25 42.5322 -3 *2597:25 *2597:26 104.157 -4 *2597:26 *2597:32 25.8522 -5 *2597:32 *2597:35 38.3064 -6 *2597:35 *2597:38 49.5917 -7 *2597:38 *2597:44 48.1116 -8 *2597:44 *2821:analog_io[16] 31.437 -*END - -*D_NET *2598 0.0144356 -*CONN -*I *2821:analog_io[17] I *D user_project_wrapper -*I *2822:mprj_analog_io[17] I *D chip_io -*CAP -1 *2821:analog_io[17] 0.00218271 -2 *2822:mprj_analog_io[17] 0.00136626 -3 *2598:14 0.00578643 -4 *2598:13 0.00360372 -5 *2598:11 0.00136626 -6 *2822:mprj_io_slow_sel[24] *2598:11 0.000130215 -7 *2274:16 *2598:11 0 -*RES -1 *2822:mprj_analog_io[17] *2598:11 48.1148 -2 *2598:11 *2598:13 4.5 -3 *2598:13 *2598:14 97.2244 -4 *2598:14 *2821:analog_io[17] 31.9688 -*END - -*D_NET *2599 0.104783 -*CONN -*I *2821:analog_io[18] I *D user_project_wrapper -*I *2822:mprj_analog_io[18] I *D chip_io -*CAP -1 *2821:analog_io[18] 0.00187154 -2 *2822:mprj_analog_io[18] 0.00119568 -3 *2599:20 0.00404774 -4 *2599:19 0.0021762 -5 *2599:17 0.0111385 -6 *2599:16 0.0121443 -7 *2599:13 0.00220148 -8 *2599:17 *2637:16 0.0221523 -9 *102:49 *2599:13 0.000170738 -10 *106:43 *2599:13 0 -11 *649:56 *2599:17 0.0464532 -12 *2009:28 *2599:13 0.0012313 -*RES -1 *2822:mprj_analog_io[18] *2599:13 48.6212 -2 *2599:13 *2599:16 29.0714 -3 *2599:16 *2599:17 741.396 -4 *2599:17 *2599:19 4.5 -5 *2599:19 *2599:20 60.6206 -6 *2599:20 *2821:analog_io[18] 24.9095 -*END - -*D_NET *2600 0.0745401 -*CONN -*I *2821:analog_io[19] I *D user_project_wrapper -*I *2822:mprj_analog_io[19] I *D chip_io -*CAP -1 *2821:analog_io[19] 0.00205705 -2 *2822:mprj_analog_io[19] 0.00116402 -3 *2600:26 0.0036037 -4 *2600:23 0.0206855 -5 *2600:22 0.0191389 -6 *2600:20 0.00235478 -7 *2600:19 0.0035188 -8 *2600:23 *2674:14 0.0199344 -9 *106:37 *2600:19 0 -10 *1972:12 *2600:19 0.000210973 -11 *2010:12 *2600:19 0.00017947 -12 *2238:27 *2600:19 0.000358893 -13 *2238:33 *2600:19 0 -14 *2276:11 *2600:19 0.00133366 -*RES -1 *2822:mprj_analog_io[19] *2600:19 38.0352 -2 *2600:19 *2600:20 55.6292 -3 *2600:20 *2600:22 4.5 -4 *2600:22 *2600:23 664.99 -5 *2600:23 *2600:26 46.8187 -6 *2600:26 *2821:analog_io[19] 27.3682 -*END - -*D_NET *2601 0.0228042 -*CONN -*I *2821:analog_io[1] I *D user_project_wrapper -*I *2822:mprj_analog_io[1] I *D chip_io -*CAP -1 *2821:analog_io[1] 0.00108679 -2 *2822:mprj_analog_io[1] 0.000267499 -3 *2601:17 0.00410232 -4 *2601:16 0.00301552 -5 *2601:14 0.00491996 -6 *2601:13 0.00570856 -7 *2601:10 0.00105611 -8 *2601:17 *2692:10 0.000110775 -9 *2822:mprj_io_analog_en[8] *2601:10 0 -10 *2822:mprj_io_analog_en[8] *2601:13 0.000601118 -11 *2822:mprj_io_analog_pol[8] *2601:13 0.00111694 -12 *2822:mprj_io_dm[24] *2601:13 0 -13 *2822:mprj_io_dm[25] *2601:10 6.84044e-05 -14 *2822:mprj_io_inp_dis[8] *2601:13 0 -15 *627:22 *2601:17 0 -16 *2100:10 *2601:13 0.000149334 -17 *2409:30 *2601:13 0.000600886 -*RES -1 *2822:mprj_analog_io[1] *2601:10 16.3903 -2 *2601:10 *2601:13 42.4589 -3 *2601:13 *2601:14 121.072 -4 *2601:14 *2601:16 4.5 -5 *2601:16 *2601:17 86.7511 -6 *2601:17 *2821:analog_io[1] 7.1035 -*END - -*D_NET *2602 0.0722943 -*CONN -*I *2821:analog_io[20] I *D user_project_wrapper -*I *2822:mprj_analog_io[20] I *D chip_io -*CAP -1 *2821:analog_io[20] 0.00162974 -2 *2822:mprj_analog_io[20] 0.000890277 -3 *2602:22 0.0023264 -4 *2602:17 0.0166752 -5 *2602:16 0.0159786 -6 *2602:14 0.00276765 -7 *2602:13 0.00276765 -8 *2602:11 0.000890277 -9 *2821:analog_io[20] *2636:14 0.000922005 -10 *2602:17 *2639:16 0.0141038 -11 *2602:17 *2675:14 0.00791315 -12 *2602:22 *2712:17 0 -13 *2822:mprj_io_slow_sel[27] *2602:11 0 -14 *106:37 *2602:11 0.000300425 -15 *617:14 *2602:11 0.000691679 -16 *630:20 *2602:22 0.00313886 -17 *2162:15 *2602:14 3.30464e-05 -18 *2277:10 *2602:11 0 -19 *2353:13 *2602:11 5.04829e-06 -20 *2353:16 *2602:11 0 -21 *2391:8 *2602:11 0.00126049 -*RES -1 *2822:mprj_analog_io[20] *2602:11 48.6317 -2 *2602:11 *2602:13 4.5 -3 *2602:13 *2602:14 67.8304 -4 *2602:14 *2602:16 4.5 -5 *2602:16 *2602:17 613.498 -6 *2602:17 *2602:22 41.8904 -7 *2602:22 *2821:analog_io[20] 22.7044 -*END - -*D_NET *2603 0.087532 -*CONN -*I *2821:analog_io[21] I *D user_project_wrapper -*I *2822:mprj_analog_io[21] I *D chip_io -*CAP -1 *2821:analog_io[21] 0.0015627 -2 *2822:mprj_analog_io[21] 0.00101054 -3 *2603:28 0.00376182 -4 *2603:27 0.00219912 -5 *2603:25 0.00831179 -6 *2603:24 0.00934157 -7 *2603:20 0.00204032 -8 *2603:25 *2678:14 0.000522182 -9 *2603:25 *2715:14 0.0196205 -10 *102:49 *2603:20 0.000152287 -11 *649:56 *2603:25 0.0369417 -12 *2165:20 *2603:20 0.000996199 -13 *2166:18 *2603:20 0.000949098 -14 *2202:23 *2603:20 3.31882e-05 -15 *2240:20 *2603:20 0 -16 *2468:23 *2603:20 8.90415e-05 -*RES -1 *2822:mprj_analog_io[21] *2603:20 48.4562 -2 *2603:20 *2603:24 29.0714 -3 *2603:24 *2603:25 590.244 -4 *2603:25 *2603:27 4.5 -5 *2603:27 *2603:28 60.6206 -6 *2603:28 *2821:analog_io[21] 13.2824 -*END - -*D_NET *2604 0.0662899 -*CONN -*I *2821:analog_io[22] I *D user_project_wrapper -*I *2822:mprj_analog_io[22] I *D chip_io -*CAP -1 *2821:analog_io[22] 0.00165832 -2 *2822:mprj_analog_io[22] 0.000715603 -3 *2604:20 0.00260444 -4 *2604:17 0.0142074 -5 *2604:16 0.0149719 -6 *2604:13 0.00193906 -7 *2604:10 0.000944054 -8 *2604:17 *2677:14 0.00504808 -9 *2604:17 *2716:14 0.0161144 -10 *2822:mprj_io_slow_sel[29] *2604:10 0 -11 *102:49 *2604:13 0.000286122 -12 *117:44 *2604:20 0.00424767 -13 *680:8 *2604:13 0.00177467 -14 *1975:18 *2604:10 0 -15 *2051:10 *2604:13 0.00177827 -*RES -1 *2822:mprj_analog_io[22] *2604:10 24.0154 -2 *2604:10 *2604:13 32.9081 -3 *2604:13 *2604:16 46.2641 -4 *2604:16 *2604:17 522.558 -5 *2604:17 *2604:20 49.0371 -6 *2604:20 *2821:analog_io[22] 15.8505 -*END - -*D_NET *2605 0.0615073 -*CONN -*I *2821:analog_io[23] I *D user_project_wrapper -*I *2822:mprj_analog_io[23] I *D chip_io -*CAP -1 *2821:analog_io[23] 0.00169646 -2 *2822:mprj_analog_io[23] 0.00131431 -3 *2605:20 0.00379992 -4 *2605:19 0.00210346 -5 *2605:17 0.00959361 -6 *2605:16 0.0107079 -7 *2605:11 0.00242856 -8 *2605:17 *2678:14 0.0069737 -9 *2605:17 *2718:14 0.0126047 -10 *102:25 *2605:11 0.000628648 -11 *649:56 *2605:17 0.00738924 -12 *1728:27 *2605:17 0.00185281 -13 *2172:16 *2605:11 0 -14 *2471:14 *2605:11 0.000413964 -*RES -1 *2822:mprj_analog_io[23] *2605:11 47.9085 -2 *2605:11 *2605:16 34.6806 -3 *2605:16 *2605:17 473.974 -4 *2605:17 *2605:19 4.5 -5 *2605:19 *2605:20 57.293 -6 *2605:20 *2821:analog_io[23] 15.3259 -*END - -*D_NET *2606 0.0441644 -*CONN -*I *2821:analog_io[24] I *D user_project_wrapper -*I *2822:mprj_analog_io[24] I *D chip_io -*CAP -1 *2821:analog_io[24] 0.00176766 -2 *2822:mprj_analog_io[24] 0.000678692 -3 *2606:20 0.00339023 -4 *2606:17 0.0163977 -5 *2606:16 0.0166401 -6 *2606:13 0.00254366 -7 *2821:analog_io[24] *2642:10 0 -8 *682:8 *2606:13 0.000146578 -9 *1978:16 *2606:13 0 -10 *2016:16 *2606:13 0.00130168 -11 *2472:8 *2606:13 0.00129808 -*RES -1 *2822:mprj_analog_io[24] *2606:13 45.2908 -2 *2606:13 *2606:16 49.5917 -3 *2606:16 *2606:17 418.33 -4 *2606:17 *2606:20 49.5917 -5 *2606:20 *2821:analog_io[24] 19.096 -*END - -*D_NET *2607 0.123967 -*CONN -*I *2821:analog_io[25] I *D user_project_wrapper -*I *2822:mprj_analog_io[25] I *D chip_io -*CAP -1 *2821:analog_io[25] 0.00178639 -2 *2822:mprj_analog_io[25] 0.00184878 -3 *2607:14 0.00395428 -4 *2607:13 0.00216789 -5 *2607:11 0.012581 -6 *2607:10 0.012581 -7 *2607:8 0.00184878 -8 *2607:11 *2645:16 0.030867 -9 *2822:mprj_io_slow_sel[32] *2607:8 0 -10 *1728:27 *2607:11 0.0562205 -11 *1979:8 *2607:8 0 -12 *2359:13 *2607:8 0.000111722 -*RES -1 *2822:mprj_analog_io[25] *2607:8 46.1363 -2 *2607:8 *2607:10 4.5 -3 *2607:10 *2607:11 897.116 -4 *2607:11 *2607:13 4.5 -5 *2607:13 *2607:14 60.066 -6 *2607:14 *2821:analog_io[25] 20.3417 -*END - -*D_NET *2608 0.0912796 -*CONN -*I *2821:analog_io[26] I *D user_project_wrapper -*I *2822:mprj_analog_io[26] I *D chip_io -*CAP -1 *2821:analog_io[26] 0.00189708 -2 *2822:mprj_analog_io[26] 3.68043e-05 -3 *2608:14 0.00351964 -4 *2608:11 0.0237378 -5 *2608:10 0.0221152 -6 *2608:8 0.00231022 -7 *2608:7 0.00234703 -8 *2821:analog_io[26] *2644:14 0 -9 *2608:11 *2721:14 0.0353158 -10 *2822:mprj_io_dm[100] *2608:8 0 -11 *1980:16 *2608:7 0 -*RES -1 *2822:mprj_analog_io[26] *2608:7 5.40989 -2 *2608:7 *2608:8 53.4107 -3 *2608:8 *2608:10 4.5 -4 *2608:10 *2608:11 844.794 -5 *2608:11 *2608:14 49.5917 -6 *2608:14 *2821:analog_io[26] 22.418 -*END - -*D_NET *2609 0.0859936 -*CONN -*I *2821:analog_io[27] I *D user_project_wrapper -*I *2822:mprj_analog_io[27] I *D chip_io -*CAP -1 *2821:analog_io[27] 0.00166084 -2 *2822:mprj_analog_io[27] 0.000749992 -3 *2609:22 0.00285989 -4 *2609:17 0.0240935 -5 *2609:16 0.0228945 -6 *2609:14 0.00272718 -7 *2609:13 0.00347717 -8 *2821:analog_io[27] *2644:14 0.000744765 -9 *2609:17 *2683:14 0.0248366 -10 *2609:17 *2686:14 0.0012834 -11 *2822:mprj_io_analog_en[34] *2609:13 0 -12 *2822:mprj_io_analog_pol[34] *2609:13 0 -13 *2822:mprj_io_analog_pol[34] *2609:14 0 -14 *2822:mprj_io_dm[102] *2609:13 0 -15 *2822:mprj_io_dm[103] *2609:13 0.000105778 -16 *2822:mprj_io_inp_dis[34] *2609:14 1.15879e-05 -17 *619:20 *2609:13 0.000174147 -18 *2057:14 *2609:13 0.000374328 -*RES -1 *2822:mprj_analog_io[27] *2609:13 38.9143 -2 *2609:13 *2609:14 67.2758 -3 *2609:14 *2609:16 4.5 -4 *2609:16 *2609:17 809.497 -5 *2609:17 *2609:22 41.8904 -6 *2609:22 *2821:analog_io[27] 19.7976 -*END - -*D_NET *2610 0.107847 -*CONN -*I *2821:analog_io[28] I *D user_project_wrapper -*I *2822:mprj_analog_io[28] I *D chip_io -*CAP -1 *2821:analog_io[28] 0.00202858 -2 *2822:mprj_analog_io[28] 0.00179285 -3 *2610:14 0.00424238 -4 *2610:13 0.0022138 -5 *2610:11 0.00851571 -6 *2610:10 0.00851571 -7 *2610:8 0.00179285 -8 *2821:analog_io[28] *2646:16 0 -9 *2610:11 *2645:16 0.00498911 -10 *2610:11 *2723:14 0.0282312 -11 *2822:mprj_io_slow_sel[35] *2610:8 0 -12 *1728:27 *2610:11 0.0455244 -13 *2074:23 *2610:8 0 -*RES -1 *2822:mprj_analog_io[28] *2610:8 46.1363 -2 *2610:8 *2610:10 4.5 -3 *2610:10 *2610:11 727.693 -4 *2610:11 *2610:13 4.5 -5 *2610:13 *2610:14 60.066 -6 *2610:14 *2821:analog_io[28] 26.1553 -*END - -*D_NET *2611 0.030806 -*CONN -*I *2821:analog_io[2] I *D user_project_wrapper -*I *2822:mprj_analog_io[2] I *D chip_io -*CAP -1 *2821:analog_io[2] 0.00129924 -2 *2822:mprj_analog_io[2] 0.00102567 -3 *2611:17 0.00436503 -4 *2611:16 0.00306579 -5 *2611:14 0.00476565 -6 *2611:13 0.00476565 -7 *2611:11 0.00102567 -8 *2822:mprj_io_analog_en[9] *2611:11 0 -9 *2822:mprj_io_analog_pol[9] *2611:11 0 -10 *2822:mprj_io_analog_sel[9] *2611:14 0 -11 *2822:mprj_io_dm[27] *2611:11 0 -12 *2822:mprj_io_dm[28] *2611:11 9.2668e-05 -13 *2822:mprj_io_inp_dis[9] *2611:11 0 -14 *627:22 *2611:17 0.00889298 -15 *2029:14 *2611:11 0.00103372 -16 *2103:36 *2611:11 0.000473956 -*RES -1 *2822:mprj_analog_io[2] *2611:11 48.3963 -2 *2611:11 *2611:13 4.5 -3 *2611:13 *2611:14 116.081 -4 *2611:14 *2611:16 4.5 -5 *2611:16 *2611:17 141.98 -6 *2611:17 *2821:analog_io[2] 7.8684 -*END - -*D_NET *2612 0.0358867 -*CONN -*I *2821:analog_io[3] I *D user_project_wrapper -*I *2822:mprj_analog_io[3] I *D chip_io -*CAP -1 *2821:analog_io[3] 0.00129924 -2 *2822:mprj_analog_io[3] 0.00100156 -3 *2612:17 0.00553107 -4 *2612:16 0.00423184 -5 *2612:14 0.00468406 -6 *2612:13 0.00568562 -7 *2612:17 *2694:10 0 -8 *2822:mprj_io_analog_en[10] *2612:13 0.000284208 -9 *2822:mprj_io_dm[31] *2612:13 8.62584e-05 -10 *627:22 *2612:17 0.0122739 -11 *2108:14 *2612:13 0 -12 *2297:8 *2612:13 0.000154747 -13 *2298:14 *2612:13 0.00065422 -14 *2412:14 *2612:13 0 -*RES -1 *2822:mprj_analog_io[3] *2612:13 48.8859 -2 *2612:13 *2612:14 114.417 -3 *2612:14 *2612:16 4.5 -4 *2612:16 *2612:17 195.962 -5 *2612:17 *2821:analog_io[3] 7.8684 -*END - -*D_NET *2613 0.0413859 -*CONN -*I *2821:analog_io[4] I *D user_project_wrapper -*I *2822:mprj_analog_io[4] I *D chip_io -*CAP -1 *2821:analog_io[4] 0.00129924 -2 *2822:mprj_analog_io[4] 0.000235746 -3 *2613:17 0.00634883 -4 *2613:16 0.00504959 -5 *2613:14 0.00461899 -6 *2613:13 0.0055753 -7 *2613:10 0.00119206 -8 *2822:mprj_io_analog_en[11] *2613:13 0 -9 *2822:mprj_io_analog_pol[11] *2613:13 0 -10 *2822:mprj_io_dm[33] *2613:10 0 -11 *2822:mprj_io_dm[33] *2613:13 0.00080439 -12 *2822:mprj_io_dm[34] *2613:10 6.40706e-05 -13 *2822:mprj_io_dm[34] *2613:13 0 -14 *2822:mprj_io_inp_dis[11] *2613:13 0 -15 *627:22 *2613:17 0.0146728 -16 *2108:26 *2613:10 0 -17 *2112:14 *2613:13 0.000745097 -18 *2223:8 *2613:13 0.00014132 -19 *2261:16 *2613:14 0.000212959 -20 *2413:23 *2613:14 0.000395985 -21 *2413:24 *2613:13 2.95757e-05 -*RES -1 *2822:mprj_analog_io[4] *2613:10 15.8357 -2 *2613:10 *2613:13 42.8742 -3 *2613:13 *2613:14 116.081 -4 *2613:14 *2613:16 4.5 -5 *2613:16 *2613:17 234.166 -6 *2613:17 *2821:analog_io[4] 7.8684 -*END - -*D_NET *2614 0.046235 -*CONN -*I *2821:analog_io[5] I *D user_project_wrapper -*I *2822:mprj_analog_io[5] I *D chip_io -*CAP -1 *2821:analog_io[5] 0.00129924 -2 *2822:mprj_analog_io[5] 0.00107106 -3 *2614:17 0.00754404 -4 *2614:16 0.0062448 -5 *2614:14 0.00471611 -6 *2614:13 0.00471611 -7 *2614:11 0.00107106 -8 *2822:mprj_io_analog_en[12] *2614:11 0 -9 *2822:mprj_io_analog_pol[12] *2614:11 0 -10 *2822:mprj_io_analog_sel[12] *2614:14 0 -11 *2822:mprj_io_dm[36] *2614:11 0 -12 *2822:mprj_io_dm[37] *2614:11 0 -13 *2822:mprj_io_inp_dis[12] *2614:11 0 -14 *627:22 *2614:17 0.0181291 -15 *1957:30 *2614:11 0.000601103 -16 *2112:21 *2614:11 6.37283e-05 -17 *2117:21 *2614:11 5.04829e-06 -18 *2117:24 *2614:11 0.00069262 -19 *2186:19 *2614:14 8.09757e-05 -*RES -1 *2822:mprj_analog_io[5] *2614:11 48.8116 -2 *2614:11 *2614:13 4.5 -3 *2614:13 *2614:14 116.081 -4 *2614:14 *2614:16 4.5 -5 *2614:16 *2614:17 289.394 -6 *2614:17 *2821:analog_io[5] 7.8684 -*END - -*D_NET *2615 0.0200988 -*CONN -*I *2821:analog_io[6] I *D user_project_wrapper -*I *2822:mprj_analog_io[6] I *D chip_io -*CAP -1 *2821:analog_io[6] 0.00120672 -2 *2822:mprj_analog_io[6] 0.00093171 -3 *2615:17 0.00315563 -4 *2615:16 0.00194892 -5 *2615:14 0.00473122 -6 *2615:13 0.00566293 -7 *2822:mprj_io_analog_en[13] *2615:13 0 -8 *2822:mprj_io_analog_pol[13] *2615:13 0 -9 *2822:mprj_io_dm[39] *2615:13 0 -10 *2822:mprj_io_dm[40] *2615:13 6.6461e-05 -11 *2822:mprj_io_inp_dis[13] *2615:13 0 -12 *627:22 *2615:17 0.00158027 -13 *2117:38 *2615:13 0.000814965 -*RES -1 *2822:mprj_analog_io[6] *2615:13 46.8041 -2 *2615:13 *2615:14 119.409 -3 *2615:14 *2615:16 4.5 -4 *2615:16 *2615:17 73.8783 -5 *2615:17 *2821:analog_io[6] 7.56244 -*END - -*D_NET *2616 0.0354792 -*CONN -*I *2821:analog_io[7] I *D user_project_wrapper -*I *2822:mprj_analog_io[7] I *D chip_io -*CAP -1 *2821:analog_io[7] 0.00139648 -2 *2822:mprj_analog_io[7] 0.00101392 -3 *2616:17 0.00284455 -4 *2616:16 0.00144807 -5 *2616:14 0.00462978 -6 *2616:13 0.00462978 -7 *2616:11 0.00101392 -8 *2616:17 *2662:8 0.00841223 -9 *2616:17 *2700:8 0.00836012 -10 *2822:mprj_io_analog_en[14] *2616:11 0 -11 *2822:mprj_io_analog_pol[14] *2616:11 0 -12 *2822:mprj_io_dm[42] *2616:11 0 -13 *2822:mprj_io_dm[43] *2616:11 6.68703e-05 -14 *2822:mprj_io_inp_dis[14] *2616:11 0 -15 *621:48 *2616:11 0.00091651 -16 *627:22 *2616:17 0.000445714 -17 *665:13 *2821:analog_io[7] 0.0003013 -*RES -1 *2822:mprj_analog_io[7] *2616:11 45.6261 -2 *2616:11 *2616:13 4.5 -3 *2616:13 *2616:14 117.19 -4 *2616:14 *2616:16 4.5 -5 *2616:16 *2616:17 149.039 -6 *2616:17 *2821:analog_io[7] 7.94489 -*END - -*D_NET *2617 0.00718755 -*CONN -*I *2821:analog_io[8] I *D user_project_wrapper -*I *2822:mprj_analog_io[8] I *D chip_io -*CAP -1 *2821:analog_io[8] 6.22868e-05 -2 *2822:mprj_analog_io[8] 0.00141378 -3 *2617:13 0.00191651 -4 *2617:9 0.00326801 -5 *2822:mprj_io_slow_sel[15] *2617:9 0.000526968 -6 *2537:15 *2617:13 0 -*RES -1 *2822:mprj_analog_io[8] *2617:9 47.2099 -2 *2617:9 *2617:13 48.8078 -3 *2617:13 *2821:analog_io[8] 1.77093 -*END - -*D_NET *2618 0.0320027 -*CONN -*I *2821:analog_io[9] I *D user_project_wrapper -*I *2822:mprj_analog_io[9] I *D chip_io -*CAP -1 *2821:analog_io[9] 0.0018607 -2 *2822:mprj_analog_io[9] 0.000770552 -3 *2618:32 0.00294093 -4 *2618:25 0.00259098 -5 *2618:20 0.00470338 -6 *2618:18 0.0033594 -7 *2618:16 0.00329761 -8 *2618:15 0.00386894 -9 *2618:12 0.00150865 -10 *666:17 *2618:12 0.000128774 -11 *2121:26 *2618:25 0 -12 *2122:11 *2618:20 0 -13 *2454:11 *2618:20 0.00697281 -*RES -1 *2822:mprj_analog_io[9] *2618:12 31.6993 -2 *2618:12 *2618:15 25.0183 -3 *2618:15 *2618:16 86.9643 -4 *2618:16 *2618:18 4.67796 -5 *2618:18 *2618:20 116.358 -6 *2618:20 *2618:25 44.2299 -7 *2618:25 *2618:32 39.0527 -8 *2618:32 *2821:analog_io[9] 44.3099 -*END - -*D_NET *2619 0.116491 -*CONN -*I *2821:io_in[0] I *D user_project_wrapper -*I *2781:user_gpio_in O *D gpio_control_block -*CAP -1 *2821:io_in[0] 0.00167765 -2 *2781:user_gpio_in 0.0010699 -3 *2619:19 0.00493174 -4 *2619:18 0.00325409 -5 *2619:16 0.018406 -6 *2619:15 0.0194759 -7 *2619:15 *2781:user_gpio_oeb 3.19716e-05 -8 *2619:15 *2781:user_gpio_out 5.7989e-05 -9 *2619:15 *2695:19 0.00138481 -10 *2619:16 *2630:14 0.0410452 -11 *2619:16 *2695:14 0 -12 *2619:16 *2717:14 0.0242875 -13 *2781:serial_load_out *2619:15 1.75765e-05 -14 *2819:mgmt_gpio_in[5] *2619:16 0.000625184 -15 *616:53 *2619:16 0 -16 *697:8 *2619:16 0.000225385 -17 *697:14 *2619:16 0 -*RES -1 *2781:user_gpio_in *2619:15 48.7735 -2 *2619:15 *2619:16 927.844 -3 *2619:16 *2619:18 4.5 -4 *2619:18 *2619:19 82.8047 -5 *2619:19 *2821:io_in[0] 16.3094 -*END - -*D_NET *2620 0.0756552 -*CONN -*I *2821:io_in[10] I *D user_project_wrapper -*I *2789:user_gpio_in O *D gpio_control_block -*CAP -1 *2821:io_in[10] 0.00131099 -2 *2789:user_gpio_in 0.000347834 -3 *2620:19 0.00276514 -4 *2620:14 0.019043 -5 *2620:13 0.0175888 -6 *2620:11 0.00274472 -7 *2620:10 0.00309255 -8 *2620:10 *2789:user_gpio_oeb 0.00062217 -9 *2620:11 *2658:17 0.000309707 -10 *2620:14 *2659:14 0.00822738 -11 *2620:14 *2693:14 0.00618123 -12 *2620:14 *2698:14 0.00805175 -13 *2789:serial_data_in *2620:10 1.5714e-05 -14 *2789:serial_data_in *2620:11 0.00158427 -15 *2789:serial_load *2620:10 3.99335e-05 -16 *2789:serial_load *2620:11 0.00294073 -17 *627:22 *2821:io_in[10] 0 -18 *634:33 *2620:11 0.000773529 -19 *661:13 *2620:10 1.5714e-05 -20 *2103:30 *2620:10 0 -*RES -1 *2789:user_gpio_in *2620:10 18.4296 -2 *2620:10 *2620:11 90.0146 -3 *2620:11 *2620:13 4.5 -4 *2620:13 *2620:14 684.922 -5 *2620:14 *2620:19 47.4364 -6 *2620:19 *2821:io_in[10] 12.2029 -*END - -*D_NET *2621 0.0824614 -*CONN -*I *2821:io_in[11] I *D user_project_wrapper -*I *2790:user_gpio_in O *D gpio_control_block -*CAP -1 *2821:io_in[11] 0.00132713 -2 *2790:user_gpio_in 0.000553173 -3 *2621:19 0.00336106 -4 *2621:18 0.00203393 -5 *2621:16 0.0189185 -6 *2621:15 0.0189185 -7 *2621:13 0.00334273 -8 *2621:12 0.0038959 -9 *2621:16 *2656:16 0.00570611 -10 *2621:16 *2660:14 0.00804189 -11 *2621:16 *2699:14 0.0115057 -12 *2621:16 *2732:14 0.0023384 -13 *2790:resetn *2621:13 0 -14 *2790:serial_clock *2621:13 0.00170554 -15 *618:47 *2621:13 0.000494269 -16 *627:22 *2821:io_in[11] 0 -17 *641:54 *2621:13 0 -18 *662:10 *2621:12 0.000318589 -*RES -1 *2790:user_gpio_in *2621:12 21.8747 -2 *2621:12 *2621:13 91.1238 -3 *2621:13 *2621:15 4.5 -4 *2621:15 *2621:16 740.981 -5 *2621:16 *2621:18 4.5 -6 *2621:18 *2621:19 53.4107 -7 *2621:19 *2821:io_in[11] 15.6866 -*END - -*D_NET *2622 0.109974 -*CONN -*I *2821:io_in[12] I *D user_project_wrapper -*I *2791:user_gpio_in O *D gpio_control_block -*CAP -1 *2821:io_in[12] 0.00143859 -2 *2791:user_gpio_in 0.000147235 -3 *2622:17 0.00456637 -4 *2622:16 0.00312778 -5 *2622:14 0.0077609 -6 *2622:13 0.00984343 -7 *2622:10 0.00222977 -8 *2622:10 *2791:user_gpio_oeb 2.65334e-05 -9 *2622:10 *2791:user_gpio_out 1.66626e-05 -10 *2622:13 *2660:17 0 -11 *2622:14 *2658:14 0.0176062 -12 *2791:serial_load_out *2622:10 2.48182e-05 -13 *2791:serial_load_out *2622:13 0 -14 *118:37 *2622:14 0.0482557 -15 *627:22 *2821:io_in[12] 0 -16 *642:58 *2622:14 0.0149299 -*RES -1 *2791:user_gpio_in *2622:10 8.54006 -2 *2622:10 *2622:13 48.4825 -3 *2622:13 *2622:14 770.049 -4 *2622:14 *2622:16 4.5 -5 *2622:16 *2622:17 82.8047 -6 *2622:17 *2821:io_in[12] 19.3474 -*END - -*D_NET *2623 0.0984825 -*CONN -*I *2821:io_in[13] I *D user_project_wrapper -*I *2792:user_gpio_in O *D gpio_control_block -*CAP -1 *2821:io_in[13] 0.00130176 -2 *2792:user_gpio_in 0.000350718 -3 *2623:21 0.00271317 -4 *2623:16 0.022683 -5 *2623:15 0.0212716 -6 *2623:13 0.00228358 -7 *2623:12 0.00263429 -8 *2623:12 *2792:user_gpio_oeb 0.000369283 -9 *2623:13 *2699:17 0.00148809 -10 *2623:16 *2698:14 0.036941 -11 *619:53 *2623:13 0.00296454 -12 *620:51 *2623:13 0.00011818 -13 *620:74 *2623:12 1.75625e-05 -14 *620:74 *2623:13 0.00334581 -15 *627:22 *2821:io_in[13] 0 -*RES -1 *2792:user_gpio_in *2623:12 16.0612 -2 *2623:12 *2623:13 92.233 -3 *2623:13 *2623:15 4.5 -4 *2623:15 *2623:16 832.752 -5 *2623:16 *2623:21 46.8818 -6 *2623:21 *2821:io_in[13] 11.4489 -*END - -*D_NET *2624 0.021703 -*CONN -*I *2821:io_in[14] I *D user_project_wrapper -*I *2793:user_gpio_in O *D gpio_control_block -*CAP -1 *2821:io_in[14] 0.00139691 -2 *2793:user_gpio_in 0.000128153 -3 *2624:16 0.00278609 -4 *2624:15 0.00138918 -5 *2624:13 0.00335646 -6 *2624:12 0.00348461 -7 *2624:12 *2793:user_gpio_oeb 3.12217e-05 -8 *2624:12 *2793:user_gpio_out 1.66771e-05 -9 *2624:13 *2700:11 0.00503343 -10 *2624:16 *2700:8 0.00403014 -11 *2793:serial_load_out *2624:12 5.00967e-05 -12 *665:12 *2624:12 0 -*RES -1 *2793:user_gpio_in *2624:12 8.58662 -2 *2624:12 *2624:13 123.291 -3 *2624:13 *2624:15 4.5 -4 *2624:15 *2624:16 64.3275 -5 *2624:16 *2821:io_in[14] 8.09787 -*END - -*D_NET *2625 0.0795375 -*CONN -*I *2821:io_in[15] I *D user_project_wrapper -*I *2794:user_gpio_in O *D gpio_control_block -*CAP -1 *2821:io_in[15] 0.00154812 -2 *2794:user_gpio_in 0.00048657 -3 *2625:17 0.00498939 -4 *2625:16 0.00344126 -5 *2625:14 0.00157975 -6 *2625:13 0.00157975 -7 *2625:11 0.00048657 -8 *2625:11 *2663:17 0.00331258 -9 *2625:14 *2701:11 0.00179518 -10 *2625:17 *2701:8 0.0239505 -11 *2794:resetn *2625:11 0.000115827 -12 *2794:serial_load_out *2625:11 0.00283461 -13 *2036:16 *2625:11 2.02035e-05 -14 *2537:15 *2625:17 0.0333972 -*RES -1 *2794:user_gpio_in *2625:11 46.2689 -2 *2625:11 *2625:13 4.5 -3 *2625:13 *2625:14 60.3826 -4 *2625:14 *2625:16 4.5 -5 *2625:16 *2625:17 349.014 -6 *2625:17 *2821:io_in[15] 40.5048 -*END - -*D_NET *2626 0.0627833 -*CONN -*I *2821:io_in[16] I *D user_project_wrapper -*I *2795:user_gpio_in O *D gpio_control_block -*CAP -1 *2821:io_in[16] 0.00153559 -2 *2795:user_gpio_in 0.000631171 -3 *2626:17 0.00455168 -4 *2626:16 0.0030161 -5 *2626:14 0.0010284 -6 *2626:13 0.00165957 -7 *2626:13 *2795:user_gpio_oeb 0.00283687 -8 *2626:14 *2664:11 0.00174723 -9 *2626:14 *2702:11 0.001736 -10 *2626:17 *2702:8 0.0167301 -11 *2795:serial_load *2626:13 0 -12 *107:13 *2626:13 0.000685247 -13 *623:13 *2626:13 9.65932e-05 -14 *667:13 *2626:13 0.000399122 -15 *2125:16 *2626:13 8.13812e-06 -16 *2537:15 *2626:17 0.0261215 -*RES -1 *2795:user_gpio_in *2626:13 45.2994 -2 *2626:13 *2626:14 59.9673 -3 *2626:14 *2626:16 4.5 -4 *2626:16 *2626:17 273.034 -5 *2626:17 *2821:io_in[16] 40.5048 -*END - -*D_NET *2627 0.0771974 -*CONN -*I *2821:io_in[17] I *D user_project_wrapper -*I *2796:user_gpio_in O *D gpio_control_block -*CAP -1 *2821:io_in[17] 0.00154585 -2 *2796:user_gpio_in 0.000522569 -3 *2627:17 0.00498628 -4 *2627:16 0.00344043 -5 *2627:14 0.00158224 -6 *2627:13 0.00210481 -7 *2627:13 *2796:user_gpio_oeb 0.00258424 -8 *2627:14 *2665:11 0.0017764 -9 *2627:14 *2703:11 0 -10 *2627:17 *2665:8 4.82966e-05 -11 *2627:17 *2703:8 0.0237912 -12 *2796:serial_load *2627:13 4.49334e-05 -13 *624:13 *2627:13 1.41853e-05 -14 *648:13 *2627:13 0.000538827 -15 *668:14 *2627:13 0.000701815 -16 *2537:15 *2627:17 0.0333441 -17 *2592:20 *2627:13 0.000171273 -*RES -1 *2796:user_gpio_in *2627:13 42.5263 -2 *2627:13 *2627:14 60.3826 -3 *2627:14 *2627:16 4.5 -4 *2627:16 *2627:17 348.46 -5 *2627:17 *2821:io_in[17] 40.5048 -*END - -*D_NET *2628 0.0809875 -*CONN -*I *2821:io_in[18] I *D user_project_wrapper -*I *2787:user_gpio_in O *D gpio_control_block -*CAP -1 *2821:io_in[18] 0.00156637 -2 *2787:user_gpio_in 0.000745814 -3 *2628:17 0.00503627 -4 *2628:16 0.0034699 -5 *2628:14 0.00108454 -6 *2628:13 0.00108454 -7 *2628:11 0.000745814 -8 *2628:11 *2787:user_gpio_oeb 0.00338728 -9 *2628:11 *2787:user_gpio_out 0.000218753 -10 *2628:14 *2666:11 0.00178983 -11 *2628:14 *2704:11 0.00172783 -12 *2628:17 *2704:8 0.0246898 -13 *649:13 *2628:11 0.00131609 -14 *668:29 *2628:11 9.65932e-05 -15 *2229:15 *2628:11 0 -16 *2537:15 *2628:17 0.034028 -*RES -1 *2787:user_gpio_in *2628:11 46.3454 -2 *2628:11 *2628:13 4.5 -3 *2628:13 *2628:14 61.6283 -4 *2628:14 *2628:16 4.5 -5 *2628:16 *2628:17 355.67 -6 *2628:17 *2821:io_in[18] 40.5048 -*END - -*D_NET *2629 0.0615854 -*CONN -*I *2821:io_in[19] I *D user_project_wrapper -*I *2803:user_gpio_in O *D gpio_control_block -*CAP -1 *2821:io_in[19] 0.00158003 -2 *2803:user_gpio_in 0.000857633 -3 *2629:23 0.00485942 -4 *2629:22 0.00327939 -5 *2629:20 0.00158673 -6 *2629:19 0.00244436 -7 *2629:23 *2705:8 0.0159844 -8 *2803:serial_data_in *2629:19 7.09666e-06 -9 *2803:serial_load *2629:19 0.00228493 -10 *100:14 *2629:19 2.20702e-05 -11 *119:20 *2629:20 0.00185427 -12 *119:25 *2629:19 2.20702e-05 -13 *2458:13 *2629:19 5.0715e-05 -14 *2537:15 *2629:23 0.0267523 -*RES -1 *2803:user_gpio_in *2629:19 40.5596 -2 *2629:19 *2629:20 61.6283 -3 *2629:20 *2629:22 4.5 -4 *2629:22 *2629:23 279.689 -5 *2629:23 *2821:io_in[19] 40.5048 -*END - -*D_NET *2630 0.132991 -*CONN -*I *2821:io_in[1] I *D user_project_wrapper -*I *2782:user_gpio_in O *D gpio_control_block -*CAP -1 *2821:io_in[1] 0.00118184 -2 *2782:user_gpio_in 0.00111978 -3 *2630:17 0.00503538 -4 *2630:16 0.00385354 -5 *2630:14 0.00889231 -6 *2630:13 0.0100121 -7 *2630:13 *2782:user_gpio_oeb 0.00025922 -8 *2630:13 *2668:19 0.00127458 -9 *2630:14 *2717:14 0.0073403 -10 *2782:serial_load *2630:13 1.00846e-05 -11 *2782:serial_load_out *2630:13 3.84497e-05 -12 *2819:mgmt_gpio_in[15] *2630:14 0.00130366 -13 *616:53 *2630:14 0 -14 *1711:17 *2630:14 0.0516247 -15 *2619:16 *2630:14 0.0410452 -*RES -1 *2782:user_gpio_in *2630:13 49.176 -2 *2630:13 *2630:14 899.192 -3 *2630:14 *2630:16 4.5 -4 *2630:16 *2630:17 98.3336 -5 *2630:17 *2821:io_in[1] 15.4899 -*END - -*D_NET *2631 0.0408975 -*CONN -*I *2821:io_in[20] I *D user_project_wrapper -*I *2810:user_gpio_in O *D gpio_control_block -*CAP -1 *2821:io_in[20] 0.00130782 -2 *2810:user_gpio_in 0.000889807 -3 *2631:23 0.00627786 -4 *2631:22 0.00497004 -5 *2631:20 0.00229537 -6 *2631:19 0.00318518 -7 *2631:19 *2810:user_gpio_out 0.00312039 -8 *2631:20 *2707:11 0.00141435 -9 *2631:23 *2707:8 0.00870448 -10 *100:23 *2631:19 0.000759667 -11 *650:13 *2631:19 0.000751257 -12 *688:13 *2631:19 0.000374039 -13 *688:14 *2631:20 0.00300462 -14 *1715:23 *2821:io_in[20] 0.000518844 -15 *1717:40 *2631:19 0.000337843 -16 *2594:16 *2631:23 0.00298597 -*RES -1 *2810:user_gpio_in *2631:19 31.9639 -2 *2631:19 *2631:20 95.4714 -3 *2631:20 *2631:22 4.5 -4 *2631:22 *2631:23 189.843 -5 *2631:23 *2821:io_in[20] 40.5048 -*END - -*D_NET *2632 0.0227549 -*CONN -*I *2821:io_in[21] I *D user_project_wrapper -*I *2811:user_gpio_in O *D gpio_control_block -*CAP -1 *2821:io_in[21] 0.00150485 -2 *2811:user_gpio_in 0.000585152 -3 *2632:17 0.00504637 -4 *2632:16 0.00354152 -5 *2632:14 0.00200108 -6 *2632:13 0.00258624 -7 *111:13 *2632:13 0.000638598 -8 *627:13 *2632:13 0.00207025 -9 *689:11 *2632:13 0.000573106 -10 *689:17 *2632:14 0.000376198 -11 *2595:16 *2632:17 0.00383149 -*RES -1 *2811:user_gpio_in *2632:13 42.5263 -2 *2632:13 *2632:14 59.5521 -3 *2632:14 *2632:16 4.5 -4 *2632:16 *2632:17 114.972 -5 *2632:17 *2821:io_in[21] 40.5048 -*END - -*D_NET *2633 0.0113549 -*CONN -*I *2821:io_in[22] I *D user_project_wrapper -*I *2812:user_gpio_in O *D gpio_control_block -*CAP -1 *2821:io_in[22] 0.00182082 -2 *2812:user_gpio_in 0.00317664 -3 *2633:15 0.0022768 -4 *2633:12 0.00363262 -5 *112:41 *2633:12 0.00014667 -6 *628:49 *2633:12 0.0003013 -7 *690:19 *2633:12 0 -*RES -1 *2812:user_gpio_in *2633:12 47.0299 -2 *2633:12 *2633:15 16.8701 -3 *2633:15 *2821:io_in[22] 45.4823 -*END - -*D_NET *2634 0.0193777 -*CONN -*I *2821:io_in[23] I *D user_project_wrapper -*I *2813:user_gpio_in O *D gpio_control_block -*CAP -1 *2821:io_in[23] 0.00142058 -2 *2813:user_gpio_in 0.0009275 -3 *2634:23 0.00311707 -4 *2634:22 0.00169649 -5 *2634:20 0.00239202 -6 *2634:19 0.00331952 -7 *2634:19 *2813:user_gpio_oeb 2.44031e-06 -8 *2813:serial_clock *2634:19 0.00048572 -9 *2813:serial_load *2634:19 0.00248234 -10 *629:20 *2634:20 0.00281941 -11 *1720:40 *2634:19 0.000714644 -*RES -1 *2813:user_gpio_in *2634:19 28.426 -2 *2634:19 *2634:20 93.3951 -3 *2634:20 *2634:22 4.5 -4 *2634:22 *2634:23 46.2009 -5 *2634:23 *2821:io_in[23] 40.0896 -*END - -*D_NET *2635 0.0203057 -*CONN -*I *2821:io_in[24] I *D user_project_wrapper -*I *2814:user_gpio_in O *D gpio_control_block -*CAP -1 *2821:io_in[24] 0.00130949 -2 *2814:user_gpio_in 0.00226308 -3 *2635:14 0.00515449 -4 *2635:13 0.003845 -5 *2635:11 0.00249574 -6 *2635:10 0.00475882 -7 *2635:10 *2814:user_gpio_oeb 0.000260994 -8 *2635:14 *2711:10 0 -9 *622:29 *2635:10 0 -10 *630:7 *2635:10 0.00021808 -*RES -1 *2814:user_gpio_in *2635:10 29.368 -2 *2635:10 *2635:11 68.9396 -3 *2635:11 *2635:13 4.5 -4 *2635:13 *2635:14 107.929 -5 *2635:14 *2821:io_in[24] 7.71542 -*END - -*D_NET *2636 0.0628426 -*CONN -*I *2821:io_in[25] I *D user_project_wrapper -*I *2815:user_gpio_in O *D gpio_control_block -*CAP -1 *2821:io_in[25] 0.00125915 -2 *2815:user_gpio_in 0.00310272 -3 *2636:14 0.0217337 -4 *2636:13 0.0235772 -5 *2636:13 *2815:user_gpio_oeb 5.83673e-05 -6 *2636:14 *2821:io_in[26] 0 -7 *2636:14 *2674:10 0 -8 *2636:14 *2675:10 0.000666627 -9 *2636:14 *2712:13 0 -10 *2636:14 *2713:10 0 -11 *2815:serial_load *2636:13 0 -12 *2821:analog_io[20] *2636:14 0.000922005 -13 *106:43 *2636:13 0 -14 *631:10 *2636:13 0.000501796 -15 *631:29 *2636:13 0.0110211 -16 *632:55 *2636:13 0 -*RES -1 *2815:user_gpio_in *2636:13 40.9326 -2 *2636:13 *2636:14 588.999 -3 *2636:14 *2821:io_in[25] 7.71542 -*END - -*D_NET *2637 0.0766989 -*CONN -*I *2821:io_in[26] I *D user_project_wrapper -*I *2816:user_gpio_in O *D gpio_control_block -*CAP -1 *2821:io_in[26] 0.00151896 -2 *2816:user_gpio_in 9.99056e-05 -3 *2637:19 0.00370979 -4 *2637:18 0.00219083 -5 *2637:16 0.0058902 -6 *2637:15 0.0076231 -7 *2637:12 0.0018328 -8 *2637:12 *2816:user_gpio_oeb 1.75765e-05 -9 *2637:15 *2675:17 0 -10 *2637:16 *2713:14 0.0267103 -11 *632:15 *2637:12 1.75765e-05 -12 *632:15 *2637:15 0 -13 *649:56 *2637:16 0.00493556 -14 *2599:17 *2637:16 0.0221523 -15 *2636:14 *2821:io_in[26] 0 -*RES -1 *2816:user_gpio_in *2637:12 8.58662 -2 *2637:12 *2637:15 46.8187 -3 *2637:15 *2637:16 515.914 -4 *2637:16 *2637:18 4.5 -5 *2637:18 *2637:19 60.066 -6 *2637:19 *2821:io_in[26] 14.5282 -*END - -*D_NET *2638 0.0650609 -*CONN -*I *2821:io_in[27] I *D user_project_wrapper -*I *2817:user_gpio_in O *D gpio_control_block -*CAP -1 *2821:io_in[27] 0.00128429 -2 *2817:user_gpio_in 0.00150191 -3 *2638:14 0.014022 -4 *2638:13 0.0142396 -5 *2638:13 *2817:user_gpio_oeb 4.6762e-05 -6 *2638:13 *2817:user_gpio_out 0.00976537 -7 *2638:14 *2714:8 0.0119829 -8 *2638:14 *2715:10 0 -9 *2817:serial_data_in *2638:13 0.00113148 -10 *2817:serial_load *2638:13 4.97448e-06 -11 *633:13 *2638:13 0.000653802 -12 *656:28 *2638:13 0.0104278 -13 *695:15 *2638:13 0 -*RES -1 *2817:user_gpio_in *2638:13 19.588 -2 *2638:13 *2638:14 473.559 -3 *2638:14 *2821:io_in[27] 7.71542 -*END - -*D_NET *2639 0.0510454 -*CONN -*I *2821:io_in[28] I *D user_project_wrapper -*I *2818:user_gpio_in O *D gpio_control_block -*CAP -1 *2821:io_in[28] 0.00273429 -2 *2818:user_gpio_in 0.000249592 -3 *2639:16 0.0127121 -4 *2639:15 0.00997781 -5 *2639:13 0.00261627 -6 *2639:12 0.00286586 -7 *2639:12 *2818:user_gpio_oeb 0.000186165 -8 *2639:12 *2818:user_gpio_out 0 -9 *2639:13 *2677:17 0 -10 *2639:13 *2715:17 0.000634202 -11 *2639:16 *2640:16 0.0049472 -12 *634:5 *2639:12 1.80858e-05 -13 *2602:17 *2639:16 0.0141038 -*RES -1 *2818:user_gpio_in *2639:12 12.0616 -2 *2639:12 *2639:13 73.3765 -3 *2639:13 *2639:15 4.5 -4 *2639:15 *2639:16 399.644 -5 *2639:16 *2821:io_in[28] 48.3367 -*END - -*D_NET *2640 0.0391809 -*CONN -*I *2821:io_in[29] I *D user_project_wrapper -*I *2804:user_gpio_in O *D gpio_control_block -*CAP -1 *2821:io_in[29] 0.00149456 -2 *2804:user_gpio_in 0.00024362 -3 *2640:24 0.00282705 -4 *2640:16 0.0123701 -5 *2640:15 0.0110376 -6 *2640:13 0.00241846 -7 *2640:12 0.00266208 -8 *2640:12 *2804:user_gpio_oeb 3.38016e-05 -9 *2640:12 *2804:user_gpio_out 0 -10 *2640:13 *2678:17 0.000223401 -11 *2640:13 *2716:17 0.000918733 -12 *2804:serial_load *2640:12 0 -13 *2804:serial_load *2640:13 0 -14 *617:11 *2640:12 4.31243e-06 -15 *2639:16 *2640:16 0.0049472 -*RES -1 *2804:user_gpio_in *2640:12 11.8521 -2 *2640:12 *2640:13 73.9311 -3 *2640:13 *2640:15 4.5 -4 *2640:15 *2640:16 343.169 -5 *2640:16 *2640:24 48.8149 -6 *2640:24 *2821:io_in[29] 4.95856 -*END - -*D_NET *2641 0.109789 -*CONN -*I *2821:io_in[2] I *D user_project_wrapper -*I *2797:user_gpio_in O *D gpio_control_block -*CAP -1 *2821:io_in[2] 0.00111958 -2 *2797:user_gpio_in 0.000352593 -3 *2641:17 0.00447946 -4 *2641:16 0.00335989 -5 *2641:14 0.0191137 -6 *2641:13 0.0198977 -7 *2641:10 0.00113662 -8 *2641:10 *2797:user_gpio_oeb 2.72062e-05 -9 *2641:10 *2797:user_gpio_out 0.000569887 -10 *2641:13 *2679:17 0.00360829 -11 *2641:13 *2717:19 0.00127488 -12 *2797:serial_load_out *2641:10 2.72062e-05 -13 *103:30 *2641:14 0 -14 *119:14 *2641:14 0.0548193 -15 *627:22 *2821:io_in[2] 0 -16 *669:30 *2641:10 2.87136e-06 -*RES -1 *2797:user_gpio_in *2641:10 18.4296 -2 *2641:10 *2641:13 46.2641 -3 *2641:13 *2641:14 877.184 -4 *2641:14 *2641:16 4.5 -5 *2641:16 *2641:17 88.3508 -6 *2641:17 *2821:io_in[2] 12.7361 -*END - -*D_NET *2642 0.0352124 -*CONN -*I *2821:io_in[30] I *D user_project_wrapper -*I *2805:user_gpio_in O *D gpio_control_block -*CAP -1 *2821:io_in[30] 0.00133466 -2 *2805:user_gpio_in 0.00404803 -3 *2642:10 0.0105367 -4 *2642:9 0.0132501 -5 *2642:9 *2805:user_gpio_oeb 0.000209574 -6 *2642:10 *2680:8 0.00435862 -7 *2642:10 *2718:10 0 -8 *2805:serial_load *2642:9 4.97448e-06 -9 *2821:analog_io[24] *2642:10 0 -10 *618:5 *2642:9 0.00123223 -11 *618:33 *2642:9 0.000237503 -12 *680:7 *2642:9 0 -*RES -1 *2805:user_gpio_in *2642:9 18.4706 -2 *2642:9 *2642:10 301.644 -3 *2642:10 *2821:io_in[30] 7.71542 -*END - -*D_NET *2643 0.0284045 -*CONN -*I *2821:io_in[31] I *D user_project_wrapper -*I *2806:user_gpio_in O *D gpio_control_block -*CAP -1 *2821:io_in[31] 0.00174334 -2 *2806:user_gpio_in 0.000231453 -3 *2643:21 0.0029309 -4 *2643:16 0.00930809 -5 *2643:15 0.00812053 -6 *2643:13 0.00258032 -7 *2643:12 0.00281177 -8 *2821:io_in[31] *2805:user_gpio_oeb 0 -9 *2643:12 *2806:user_gpio_out 0 -10 *2643:13 *2719:17 0.000671929 -11 *619:7 *2643:12 6.19346e-06 -*RES -1 *2806:user_gpio_in *2643:12 11.9086 -2 *2643:12 *2643:13 73.9311 -3 *2643:13 *2643:15 4.5 -4 *2643:15 *2643:16 230.221 -5 *2643:16 *2643:21 41.8904 -6 *2643:21 *2821:io_in[31] 18.5518 -*END - -*D_NET *2644 0.0771422 -*CONN -*I *2821:io_in[32] I *D user_project_wrapper -*I *2807:user_gpio_in O *D gpio_control_block -*CAP -1 *2821:io_in[32] 0.00134723 -2 *2807:user_gpio_in 0.00315175 -3 *2644:14 0.0205832 -4 *2644:13 0.0223877 -5 *2644:13 *2807:user_gpio_oeb 0.00907325 -6 *2644:13 *2722:10 0.000142582 -7 *2644:14 *2646:16 0.00515539 -8 *2644:14 *2682:8 0.0145564 -9 *2644:14 *2721:10 0 -10 *2644:14 *2722:10 0 -11 *2807:serial_load *2644:13 0 -12 *2821:analog_io[26] *2644:14 0 -13 *2821:analog_io[27] *2644:14 0.000744765 -14 *619:11 *2644:13 0 -*RES -1 *2807:user_gpio_in *2644:13 37.6106 -2 *2644:13 *2644:14 719.388 -3 *2644:14 *2821:io_in[32] 7.71542 -*END - -*D_NET *2645 0.0779978 -*CONN -*I *2821:io_in[33] I *D user_project_wrapper -*I *2808:user_gpio_in O *D gpio_control_block -*CAP -1 *2821:io_in[33] 0.00215767 -2 *2808:user_gpio_in 0.000123947 -3 *2645:19 0.00420692 -4 *2645:18 0.00204925 -5 *2645:16 0.013965 -6 *2645:15 0.0157616 -7 *2645:12 0.00192064 -8 *2645:12 *2808:user_gpio_oeb 8.62976e-06 -9 *2645:15 *2683:17 0 -10 *1728:27 *2645:16 0.00194805 -11 *2607:11 *2645:16 0.030867 -12 *2610:11 *2645:16 0.00498911 -*RES -1 *2808:user_gpio_in *2645:12 8.58662 -2 *2645:12 *2645:15 47.3733 -3 *2645:15 *2645:16 636.337 -4 *2645:16 *2645:18 4.5 -5 *2645:18 *2645:19 56.7384 -6 *2645:19 *2821:io_in[33] 27.3682 -*END - -*D_NET *2646 0.0687883 -*CONN -*I *2821:io_in[34] I *D user_project_wrapper -*I *2809:user_gpio_in O *D gpio_control_block -*CAP -1 *2821:io_in[34] 0.00133981 -2 *2809:user_gpio_in 0.000555715 -3 *2646:16 0.0160639 -4 *2646:15 0.0147241 -5 *2646:13 0.00415667 -6 *2646:12 0.00471238 -7 *2646:12 *2809:user_gpio_oeb 0.000306165 -8 *2646:12 *2809:user_gpio_out 0.000170266 -9 *2646:16 *2821:io_in[36] 0 -10 *2646:16 *2647:18 0.0163984 -11 *2646:16 *2682:8 0.00520279 -12 *2646:16 *2684:10 0 -13 *2646:16 *2685:10 0 -14 *2646:16 *2723:10 0 -15 *2821:analog_io[28] *2646:16 0 -16 *622:13 *2646:12 2.65302e-06 -17 *2644:14 *2646:16 0.00515539 -*RES -1 *2809:user_gpio_in *2646:12 23.4226 -2 *2646:12 *2646:13 107.762 -3 *2646:13 *2646:15 4.5 -4 *2646:15 *2646:16 581.316 -5 *2646:16 *2821:io_in[34] 7.63893 -*END - -*D_NET *2647 0.0651764 -*CONN -*I *2821:io_in[35] I *D user_project_wrapper -*I *2783:user_gpio_in O *D gpio_control_block -*CAP -1 *2821:io_in[35] 0.00131985 -2 *2783:user_gpio_in 0.00402748 -3 *2647:18 0.0166042 -4 *2647:17 0.0193118 -5 *2647:17 *2783:user_gpio_oeb 4.80336e-05 -6 *2647:17 *2725:10 0.000694671 -7 *2647:17 *2725:11 0.00127651 -8 *2647:18 *2649:16 0.00238173 -9 *2783:resetn *2647:17 0.000178623 -10 *2783:resetn_out *2647:17 2.39277e-06 -11 *2783:serial_clock *2647:17 0.000889551 -12 *2783:serial_clock_out *2647:17 9.2629e-05 -13 *2783:serial_load_out *2647:17 4.80336e-05 -14 *107:31 *2647:17 0.00100105 -15 *647:31 *2647:17 0.000446772 -16 *685:10 *2647:17 0.000454662 -17 *2646:16 *2647:18 0.0163984 -*RES -1 *2783:user_gpio_in *2647:17 39.6374 -2 *2647:17 *2647:18 555.778 -3 *2647:18 *2821:io_in[35] 7.56244 -*END - -*D_NET *2648 0.0612645 -*CONN -*I *2821:io_in[36] I *D user_project_wrapper -*I *2784:user_gpio_in O *D gpio_control_block -*CAP -1 *2821:io_in[36] 0.00210158 -2 *2784:user_gpio_in 0.000122738 -3 *2648:19 0.00374709 -4 *2648:16 0.0172156 -5 *2648:15 0.0155701 -6 *2648:13 0.0022276 -7 *2648:12 0.00235034 -8 *2648:12 *2784:user_gpio_oeb 8.62976e-06 -9 *2648:13 *2686:17 0 -10 *2648:16 *2685:14 0.0179184 -11 *2784:serial_load_out *2648:12 2.34894e-06 -12 *624:34 *2648:13 0 -13 *2646:16 *2821:io_in[36] 0 -*RES -1 *2784:user_gpio_in *2648:12 8.58662 -2 *2648:12 *2648:13 57.293 -3 *2648:13 *2648:15 4.5 -4 *2648:15 *2648:16 551.211 -5 *2648:16 *2648:19 49.5917 -6 *2648:19 *2821:io_in[36] 28.2315 -*END - -*D_NET *2649 0.0783664 -*CONN -*I *2821:io_in[37] I *D user_project_wrapper -*I *2785:user_gpio_in O *D gpio_control_block -*CAP -1 *2821:io_in[37] 0.00138489 -2 *2785:user_gpio_in 0.000445633 -3 *2649:16 0.015032 -4 *2649:15 0.0136471 -5 *2649:13 0.00192001 -6 *2649:12 0.00236565 -7 *2649:12 *2785:user_gpio_oeb 0.000750973 -8 *2649:13 *2687:11 0.0102187 -9 *2649:16 *2687:8 0.0260441 -10 *2785:serial_load_out *2649:12 9.94897e-06 -11 *109:29 *2649:13 0.00238457 -12 *1339:9 *2649:16 0.00178122 -13 *2647:18 *2649:16 0.00238173 -*RES -1 *2785:user_gpio_in *2649:12 23.0074 -2 *2649:12 *2649:13 107.762 -3 *2649:13 *2649:15 4.5 -4 *2649:15 *2649:16 576.749 -5 *2649:16 *2821:io_in[37] 7.71542 -*END - -*D_NET *2650 0.103035 -*CONN -*I *2821:io_in[3] I *D user_project_wrapper -*I *2798:user_gpio_in O *D gpio_control_block -*CAP -1 *2821:io_in[3] 0.00130176 -2 *2798:user_gpio_in 8.60981e-05 -3 *2650:19 0.00273614 -4 *2650:14 0.0194401 -5 *2650:13 0.0180057 -6 *2650:11 0.00196565 -7 *2650:10 0.00205175 -8 *2650:10 *2798:user_gpio_oeb 2.12195e-05 -9 *2650:10 *2798:user_gpio_out 4.59232e-05 -10 *2650:11 *2688:17 0.00296621 -11 *2650:11 *2726:17 0.00207006 -12 *2650:14 *2653:14 0.000345725 -13 *2650:14 *2726:14 0.0519601 -14 *2798:serial_load_out *2650:10 3.84497e-05 -15 *627:22 *2821:io_in[3] 0 -*RES -1 *2798:user_gpio_in *2650:10 8.87882 -2 *2650:10 *2650:11 90.0146 -3 *2650:11 *2650:13 4.5 -4 *2650:13 *2650:14 831.091 -5 *2650:14 *2650:19 46.8818 -6 *2650:19 *2821:io_in[3] 11.4489 -*END - -*D_NET *2651 0.089544 -*CONN -*I *2821:io_in[4] I *D user_project_wrapper -*I *2799:user_gpio_in O *D gpio_control_block -*CAP -1 *2821:io_in[4] 0.00106992 -2 *2799:user_gpio_in 7.82468e-05 -3 *2651:17 0.00323904 -4 *2651:16 0.00216912 -5 *2651:14 0.0185888 -6 *2651:13 0.0185888 -7 *2651:11 0.00311261 -8 *2651:10 0.00319085 -9 *2651:10 *2799:user_gpio_oeb 2.07637e-05 -10 *2651:10 *2799:user_gpio_out 0 -11 *2651:14 *2688:14 0.00566725 -12 *2651:14 *2728:14 0.0337858 -13 *2799:serial_load_out *2651:10 3.28031e-05 -14 *2799:serial_load_out *2651:11 0 -*RES -1 *2799:user_gpio_in *2651:10 8.46357 -2 *2651:10 *2651:11 72.8219 -3 *2651:11 *2651:13 4.5 -4 *2651:13 *2651:14 803.684 -5 *2651:14 *2651:16 4.5 -6 *2651:16 *2651:17 57.8476 -7 *2651:17 *2821:io_in[4] 11.8291 -*END - -*D_NET *2652 0.124023 -*CONN -*I *2821:io_in[5] I *D user_project_wrapper -*I *2800:user_gpio_in O *D gpio_control_block -*CAP -1 *2821:io_in[5] 0.00114187 -2 *2800:user_gpio_in 0.00130856 -3 *2652:17 0.00500057 -4 *2652:16 0.00385871 -5 *2652:14 0.00606471 -6 *2652:13 0.00606471 -7 *2652:11 0.00130856 -8 *2652:11 *2800:user_gpio_oeb 0.000556245 -9 *2652:11 *2690:19 0.0012347 -10 *2652:14 *2690:14 0.0487239 -11 *2800:serial_data_in *2652:11 2.18041e-06 -12 *2800:serial_load *2652:11 0 -13 *2800:serial_load_out *2652:11 3.84497e-05 -14 *1711:17 *2652:14 0.0487203 -*RES -1 *2800:user_gpio_in *2652:11 49.659 -2 *2652:11 *2652:13 4.5 -3 *2652:13 *2652:14 777.108 -4 *2652:14 *2652:16 4.5 -5 *2652:16 *2652:17 99.4428 -6 *2652:17 *2821:io_in[5] 15.3369 -*END - -*D_NET *2653 0.0701749 -*CONN -*I *2821:io_in[6] I *D user_project_wrapper -*I *2801:user_gpio_in O *D gpio_control_block -*CAP -1 *2821:io_in[6] 0.00137555 -2 *2801:user_gpio_in 7.52931e-05 -3 *2653:17 0.00297637 -4 *2653:14 0.0215478 -5 *2653:13 0.019947 -6 *2653:11 0.00277222 -7 *2653:10 0.00284751 -8 *2653:10 *2801:user_gpio_oeb 2.12195e-05 -9 *2653:11 *2691:17 0.0029019 -10 *2653:14 *2689:14 0.00706858 -11 *2653:14 *2692:14 0.00578919 -12 *2653:14 *2726:14 0.00238138 -13 *2801:serial_load_out *2653:10 3.74656e-05 -14 *655:51 *2653:10 4.61962e-05 -15 *674:10 *2653:10 4.15201e-05 -16 *2650:14 *2653:14 0.000345725 -*RES -1 *2801:user_gpio_in *2653:10 8.87882 -2 *2653:10 *2653:11 89.46 -3 *2653:11 *2653:13 4.5 -4 *2653:13 *2653:14 728.939 -5 *2653:14 *2653:17 47.3733 -6 *2653:17 *2821:io_in[6] 21.981 -*END - -*D_NET *2654 0.0657943 -*CONN -*I *2821:io_in[7] I *D user_project_wrapper -*I *2802:user_gpio_in O *D gpio_control_block -*CAP -1 *2821:io_in[7] 0.00131253 -2 *2802:user_gpio_in 0.000103501 -3 *2654:17 0.00326097 -4 *2654:16 0.00194845 -5 *2654:14 0.0118372 -6 *2654:13 0.0118372 -7 *2654:11 0.0018843 -8 *2654:10 0.0019878 -9 *2654:10 *2802:user_gpio_oeb 7.85548e-05 -10 *2654:11 *2692:17 0.00285696 -11 *2654:11 *2730:20 0.00130262 -12 *2654:14 *2691:14 0.0220963 -13 *2654:14 *2731:14 0.00514355 -14 *2802:serial_load_out *2654:10 0.000126851 -15 *627:22 *2821:io_in[7] 0 -16 *2445:11 *2654:10 1.75925e-05 -*RES -1 *2802:user_gpio_in *2654:10 9.70932 -2 *2654:10 *2654:11 74.4857 -3 *2654:11 *2654:13 4.5 -4 *2654:13 *2654:14 521.312 -5 *2654:14 *2654:16 4.5 -6 *2654:16 *2654:17 52.3015 -7 *2654:17 *2821:io_in[7] 15.2713 -*END - -*D_NET *2655 0.0743045 -*CONN -*I *2821:io_in[8] I *D user_project_wrapper -*I *2786:user_gpio_in O *D gpio_control_block -*CAP -1 *2821:io_in[8] 0.00143321 -2 *2786:user_gpio_in 0.000575151 -3 *2655:19 0.00471723 -4 *2655:18 0.00328402 -5 *2655:16 0.0133237 -6 *2655:15 0.0133237 -7 *2655:13 0.00198913 -8 *2655:12 0.00256428 -9 *2655:16 *2658:14 1.46079e-05 -10 *2655:16 *2696:14 0.00193095 -11 *103:30 *2655:16 0 -12 *119:14 *2655:16 0.00869493 -13 *627:22 *2821:io_in[8] 0 -14 *632:78 *2655:13 0.00318682 -15 *633:48 *2655:13 6.98314e-05 -16 *634:30 *2655:16 0.00210161 -17 *657:40 *2655:16 0.0168907 -18 *2446:13 *2655:13 0.000204555 -*RES -1 *2786:user_gpio_in *2655:12 21.8181 -2 *2655:12 *2655:13 60.066 -3 *2655:13 *2655:15 4.5 -4 *2655:15 *2655:16 588.999 -5 *2655:16 *2655:18 4.5 -6 *2655:18 *2655:19 85.5777 -7 *2655:19 *2821:io_in[8] 19.6861 -*END - -*D_NET *2656 0.0986745 -*CONN -*I *2821:io_in[9] I *D user_project_wrapper -*I *2788:user_gpio_in O *D gpio_control_block -*CAP -1 *2821:io_in[9] 0.00130176 -2 *2788:user_gpio_in 0.000104232 -3 *2656:19 0.00332099 -4 *2656:18 0.00201922 -5 *2656:16 0.00703198 -6 *2656:15 0.00703198 -7 *2656:13 0.00167753 -8 *2656:12 0.00178176 -9 *2656:12 *2788:user_gpio_oeb 3.12217e-05 -10 *2656:12 *2788:user_gpio_out 6.75696e-05 -11 *2656:13 *2694:17 0.00206697 -12 *2656:13 *2732:17 0.00288031 -13 *2656:16 *2731:14 0.0241799 -14 *2656:16 *2732:14 0.0394312 -15 *2788:serial_load_out *2656:12 4.17001e-05 -16 *627:22 *2821:io_in[9] 0 -17 *2621:16 *2656:16 0.00570611 -*RES -1 *2788:user_gpio_in *2656:12 9.30405 -2 *2656:12 *2656:13 75.0403 -3 *2656:13 *2656:15 4.5 -4 *2656:15 *2656:16 629.278 -5 *2656:16 *2656:18 4.5 -6 *2656:18 *2656:19 53.9653 -7 *2656:19 *2821:io_in[9] 15.9489 -*END - -*D_NET *2657 0.161999 -*CONN -*I *2781:user_gpio_oeb I *D gpio_control_block -*I *2821:io_oeb[0] O *D user_project_wrapper -*CAP -1 *2781:user_gpio_oeb 0.000135832 -2 *2821:io_oeb[0] 0.00104501 -3 *2657:19 0.00115962 -4 *2657:14 0.0110043 -5 *2657:13 0.00998051 -6 *2657:11 0.00259194 -7 *2657:10 0.00363695 -8 *2781:user_gpio_oeb *2781:user_gpio_out 0.000161365 -9 *2657:14 *2668:14 0.0513329 -10 *2657:14 *2695:14 0.00815458 -11 *2657:19 *2695:19 0.00160971 -12 *2781:serial_load *2781:user_gpio_oeb 0 -13 *2781:serial_load_out *2657:19 0.000106243 -14 *635:14 *2657:14 0.068222 -15 *652:51 *2657:11 0.00282613 -16 *2619:15 *2781:user_gpio_oeb 3.19716e-05 -*RES -1 *2821:io_oeb[0] *2657:10 12.0914 -2 *2657:10 *2657:11 91.6784 -3 *2657:11 *2657:13 4.5 -4 *2657:13 *2657:14 1093.53 -5 *2657:14 *2657:19 49.1003 -6 *2657:19 *2781:user_gpio_oeb 5.62458 -*END - -*D_NET *2658 0.120537 -*CONN -*I *2789:user_gpio_oeb I *D gpio_control_block -*I *2821:io_oeb[10] O *D user_project_wrapper -*CAP -1 *2789:user_gpio_oeb 0.000285823 -2 *2821:io_oeb[10] 0.00145166 -3 *2658:17 0.00161482 -4 *2658:14 0.00992446 -5 *2658:13 0.00859546 -6 *2658:11 0.0032643 -7 *2658:10 0.00471595 -8 *2789:user_gpio_oeb *2789:user_gpio_out 0.000219633 -9 *2658:14 *2696:14 0.0164334 -10 *2658:17 *2696:17 0.00154784 -11 *2789:serial_data_in *2658:17 0.000344678 -12 *2789:serial_load *2789:user_gpio_oeb 0.000111611 -13 *2789:serial_load *2658:17 3.62662e-06 -14 *103:30 *2658:14 0.0342481 -15 *118:37 *2658:14 0.000383352 -16 *627:22 *2658:10 0 -17 *642:58 *2658:14 0.0188396 -18 *2103:30 *2789:user_gpio_oeb 0 -19 *2620:10 *2789:user_gpio_oeb 0.00062217 -20 *2620:11 *2658:17 0.000309707 -21 *2622:14 *2658:14 0.0176062 -22 *2655:16 *2658:14 1.46079e-05 -*RES -1 *2821:io_oeb[10] *2658:10 21.1942 -2 *2658:10 *2658:11 85.0231 -3 *2658:11 *2658:13 4.5 -4 *2658:13 *2658:14 840.641 -5 *2658:14 *2658:17 49.0371 -6 *2658:17 *2789:user_gpio_oeb 17.7987 -*END - -*D_NET *2659 0.100957 -*CONN -*I *2790:user_gpio_oeb I *D gpio_control_block -*I *2821:io_oeb[11] O *D user_project_wrapper -*CAP -1 *2790:user_gpio_oeb 0.00017186 -2 *2821:io_oeb[11] 0.00137555 -3 *2659:17 0.00335419 -4 *2659:16 0.00318233 -5 *2659:14 0.0199151 -6 *2659:13 0.0214566 -7 *2659:10 0.00291708 -8 *2790:user_gpio_oeb *2790:user_gpio_out 4.54991e-05 -9 *2659:14 *2698:14 0.038181 -10 *2659:17 *2697:17 0.00213065 -11 *2298:8 *2790:user_gpio_oeb 0 -12 *2620:14 *2659:14 0.00822738 -*RES -1 *2821:io_oeb[11] *2659:10 21.981 -2 *2659:10 *2659:13 45.7095 -3 *2659:13 *2659:14 879.26 -4 *2659:14 *2659:16 4.5 -5 *2659:16 *2659:17 91.1238 -6 *2659:17 *2790:user_gpio_oeb 9.29407 -*END - -*D_NET *2660 0.105705 -*CONN -*I *2791:user_gpio_oeb I *D gpio_control_block -*I *2821:io_oeb[12] O *D user_project_wrapper -*CAP -1 *2791:user_gpio_oeb 0.000150212 -2 *2821:io_oeb[12] 0.00160213 -3 *2660:17 0.00341525 -4 *2660:16 0.00326503 -5 *2660:14 0.021119 -6 *2660:13 0.0226688 -7 *2660:10 0.00315195 -8 *2791:user_gpio_oeb *2791:user_gpio_out 3.60868e-05 -9 *2660:14 *2699:14 0.0422283 -10 *2621:16 *2660:14 0.00804189 -11 *2622:10 *2791:user_gpio_oeb 2.65334e-05 -12 *2622:13 *2660:17 0 -*RES -1 *2821:io_oeb[12] *2660:10 13.8179 -2 *2660:10 *2660:13 46.2641 -3 *2660:13 *2660:14 937.395 -4 *2660:14 *2660:16 4.5 -5 *2660:16 *2660:17 73.9311 -6 *2660:17 *2791:user_gpio_oeb 8.54006 -*END - -*D_NET *2661 0.155499 -*CONN -*I *2792:user_gpio_oeb I *D gpio_control_block -*I *2821:io_oeb[13] O *D user_project_wrapper -*CAP -1 *2792:user_gpio_oeb 0.000336756 -2 *2821:io_oeb[13] 0.0012395 -3 *2661:17 0.00140865 -4 *2661:14 0.00882858 -5 *2661:13 0.00775669 -6 *2661:11 0.00313289 -7 *2661:10 0.00437239 -8 *2661:17 *2699:17 0.00162533 -9 *102:55 *2661:14 0.0624516 -10 *620:74 *2792:user_gpio_oeb 8.36121e-05 -11 *627:22 *2661:10 0 -12 *642:58 *2661:14 0.0624552 -13 *664:13 *2792:user_gpio_oeb 1.66771e-05 -14 *664:13 *2661:17 0.00142138 -15 *2186:8 *2792:user_gpio_oeb 0 -16 *2623:12 *2792:user_gpio_oeb 0.000369283 -*RES -1 *2821:io_oeb[13] *2661:10 13.1951 -2 *2661:10 *2661:11 83.9139 -3 *2661:11 *2661:13 4.5 -4 *2661:13 *2661:14 995.946 -5 *2661:14 *2661:17 49.0371 -6 *2661:17 *2792:user_gpio_oeb 16.8917 -*END - -*D_NET *2662 0.0441142 -*CONN -*I *2793:user_gpio_oeb I *D gpio_control_block -*I *2821:io_oeb[14] O *D user_project_wrapper -*CAP -1 *2793:user_gpio_oeb 0.000289358 -2 *2821:io_oeb[14] 0.00129924 -3 *2662:11 0.00368669 -4 *2662:10 0.00339733 -5 *2662:8 0.00295775 -6 *2662:7 0.00425699 -7 *2793:user_gpio_oeb *2793:user_gpio_out 9.39094e-05 -8 *2662:8 *2700:8 0.000369544 -9 *2662:11 *2700:11 0.00503604 -10 *2793:serial_load_out *2793:user_gpio_oeb 7.18322e-06 -11 *627:22 *2662:8 0.0142767 -12 *2339:8 *2793:user_gpio_oeb 0 -13 *2616:17 *2662:8 0.00841223 -14 *2624:12 *2793:user_gpio_oeb 3.12217e-05 -*RES -1 *2821:io_oeb[14] *2662:7 7.8684 -2 *2662:7 *2662:8 227.937 -3 *2662:8 *2662:10 4.5 -4 *2662:10 *2662:11 124.4 -5 *2662:11 *2793:user_gpio_oeb 12.8156 -*END - -*D_NET *2663 0.0344142 -*CONN -*I *2794:user_gpio_oeb I *D gpio_control_block -*I *2821:io_oeb[15] O *D user_project_wrapper -*CAP -1 *2794:user_gpio_oeb 8.94634e-05 -2 *2821:io_oeb[15] 0.001591 -3 *2663:17 0.000792622 -4 *2663:11 0.00226591 -5 *2663:10 0.00156275 -6 *2663:8 0.00312691 -7 *2663:7 0.00471791 -8 *2663:8 *2701:8 0.0144507 -9 *2663:11 *2701:11 0.00179518 -10 *2794:resetn *2663:17 0.000167076 -11 *2794:serial_clock_out *2663:17 0.00038031 -12 *2794:serial_data_in *2663:17 0.000159414 -13 *645:49 *2663:17 2.33103e-06 -14 *2454:11 *2663:17 0 -15 *2625:11 *2663:17 0.00331258 -*RES -1 *2821:io_oeb[15] *2663:7 41.3353 -2 *2663:7 *2663:8 151.021 -3 *2663:8 *2663:10 4.5 -4 *2663:10 *2663:11 59.9673 -5 *2663:11 *2663:17 46.7058 -6 *2663:17 *2794:user_gpio_oeb 3.58495 -*END - -*D_NET *2664 0.0247609 -*CONN -*I *2795:user_gpio_oeb I *D gpio_control_block -*I *2821:io_oeb[16] O *D user_project_wrapper -*CAP -1 *2795:user_gpio_oeb 0.000579448 -2 *2821:io_oeb[16] 0.00157163 -3 *2664:11 0.00177836 -4 *2664:10 0.00119891 -5 *2664:8 0.00157676 -6 *2664:7 0.00314839 -7 *2664:8 *2702:8 0.00728767 -8 *2795:serial_load *2795:user_gpio_oeb 0 -9 *622:43 *2664:11 0.00103787 -10 *623:13 *2795:user_gpio_oeb 0.0019978 -11 *2125:16 *2795:user_gpio_oeb 0 -12 *2626:13 *2795:user_gpio_oeb 0.00283687 -13 *2626:14 *2664:11 0.00174723 -*RES -1 *2821:io_oeb[16] *2664:7 41.3353 -2 *2664:7 *2664:8 76.1495 -3 *2664:8 *2664:10 4.5 -4 *2664:10 *2664:11 58.7215 -5 *2664:11 *2795:user_gpio_oeb 44.1902 -*END - -*D_NET *2665 0.0349443 -*CONN -*I *2796:user_gpio_oeb I *D gpio_control_block -*I *2821:io_oeb[17] O *D user_project_wrapper -*CAP -1 *2796:user_gpio_oeb 0.000539405 -2 *2821:io_oeb[17] 0.00158873 -3 *2665:11 0.00148387 -4 *2665:10 0.000944462 -5 *2665:8 0.00316036 -6 *2665:7 0.00474908 -7 *2665:8 *2703:8 0.0144465 -8 *2665:11 *2703:11 0.00174789 -9 *2796:serial_load *2796:user_gpio_oeb 0 -10 *623:56 *2665:11 0 -11 *624:13 *2796:user_gpio_oeb 0.00185138 -12 *668:17 *2796:user_gpio_oeb 7.92757e-06 -13 *2592:25 *2796:user_gpio_oeb 1.5714e-05 -14 *2627:13 *2796:user_gpio_oeb 0.00258424 -15 *2627:14 *2665:11 0.0017764 -16 *2627:17 *2665:8 4.82966e-05 -*RES -1 *2821:io_oeb[17] *2665:7 41.3353 -2 *2665:7 *2665:8 152.13 -3 *2665:8 *2665:10 4.5 -4 *2665:10 *2665:11 59.1368 -5 *2665:11 *2796:user_gpio_oeb 41.4171 -*END - -*D_NET *2666 0.0368012 -*CONN -*I *2787:user_gpio_oeb I *D gpio_control_block -*I *2821:io_oeb[18] O *D user_project_wrapper -*CAP -1 *2787:user_gpio_oeb 0.000548582 -2 *2821:io_oeb[18] 0.00160239 -3 *2666:11 0.00214933 -4 *2666:10 0.00160075 -5 *2666:8 0.00328664 -6 *2666:7 0.00488903 -7 *2787:user_gpio_oeb *2787:user_gpio_out 0.000219659 -8 *2666:8 *2704:8 0.0151942 -9 *625:13 *2787:user_gpio_oeb 0.000330532 -10 *668:29 *2787:user_gpio_oeb 0.00179826 -11 *2419:16 *2787:user_gpio_oeb 4.69495e-06 -12 *2628:11 *2787:user_gpio_oeb 0.00338728 -13 *2628:14 *2666:11 0.00178983 -*RES -1 *2821:io_oeb[18] *2666:7 41.3353 -2 *2666:7 *2666:8 158.785 -3 *2666:8 *2666:10 4.5 -4 *2666:10 *2666:11 60.3826 -5 *2666:11 *2787:user_gpio_oeb 49.6597 -*END - -*D_NET *2667 0.0177592 -*CONN -*I *2803:user_gpio_oeb I *D gpio_control_block -*I *2821:io_oeb[19] O *D user_project_wrapper -*CAP -1 *2803:user_gpio_oeb 8.99696e-05 -2 *2821:io_oeb[19] 0.00192414 -3 *2667:16 0.00357117 -4 *2667:15 0.0034812 -5 *2667:13 0.00304696 -6 *2667:12 0.0033843 -7 *2667:9 0.00226148 -*RES -1 *2821:io_oeb[19] *2667:9 49.4205 -2 *2667:9 *2667:12 13.5424 -3 *2667:12 *2667:13 85.7129 -4 *2667:13 *2667:15 4.5 -5 *2667:15 *2667:16 97.2244 -6 *2667:16 *2803:user_gpio_oeb 8.46357 -*END - -*D_NET *2668 0.152001 -*CONN -*I *2782:user_gpio_oeb I *D gpio_control_block -*I *2821:io_oeb[1] O *D user_project_wrapper -*CAP -1 *2782:user_gpio_oeb 0.000192675 -2 *2821:io_oeb[1] 0.00138939 -3 *2668:19 0.000832032 -4 *2668:14 0.0112697 -5 *2668:13 0.0106304 -6 *2668:11 0.00338283 -7 *2668:10 0.00477223 -8 *2782:user_gpio_oeb *2782:user_gpio_out 0.00010246 -9 *2668:14 *2695:14 0.0462605 -10 *2668:19 *2706:17 0.000436167 -11 *2782:serial_load *2668:19 0.00152628 -12 *2782:serial_load_out *2782:user_gpio_oeb 0.0003186 -13 *616:53 *2668:19 0.00109865 -14 *627:22 *2668:10 0 -15 *635:14 *2668:14 0.00722607 -16 *656:35 *2668:14 0.0096963 -17 *2630:13 *2782:user_gpio_oeb 0.00025922 -18 *2630:13 *2668:19 0.00127458 -19 *2657:14 *2668:14 0.0513329 -*RES -1 *2821:io_oeb[1] *2668:10 18.4404 -2 *2668:10 *2668:11 88.3508 -3 *2668:11 *2668:13 4.5 -4 *2668:13 *2668:14 1060.31 -5 *2668:14 *2668:19 47.991 -6 *2668:19 *2782:user_gpio_oeb 10.6841 -*END - -*D_NET *2669 0.0185684 -*CONN -*I *2810:user_gpio_oeb I *D gpio_control_block -*I *2821:io_oeb[20] O *D user_project_wrapper -*CAP -1 *2810:user_gpio_oeb 0.00094967 -2 *2821:io_oeb[20] 0.000105688 -3 *2669:7 0.00417171 -4 *2669:5 0.00332773 -5 *2810:user_gpio_oeb *2810:user_gpio_out 0.000128434 -6 *110:13 *2810:user_gpio_oeb 0.000202021 -7 *110:38 *2669:7 0.000366015 -8 *616:23 *2810:user_gpio_oeb 0.000388522 -9 *626:19 *2810:user_gpio_oeb 0.00226483 -10 *626:20 *2669:7 0.00327122 -11 *650:38 *2669:7 0.00339254 -*RES -1 *2821:io_oeb[20] *2669:5 2.33274 -2 *2669:5 *2669:7 128.899 -3 *2669:7 *2810:user_gpio_oeb 16.0032 -*END - -*D_NET *2670 0.0157783 -*CONN -*I *2811:user_gpio_oeb I *D gpio_control_block -*I *2821:io_oeb[21] O *D user_project_wrapper -*CAP -1 *2811:user_gpio_oeb 0.000133803 -2 *2821:io_oeb[21] 0.00171896 -3 *2670:14 0.000924235 -4 *2670:11 0.00397243 -5 *2670:10 0.00342048 -6 *2670:7 0.00195743 -7 *650:23 *2670:14 0.00187071 -8 *1718:40 *2670:14 0.00110591 -9 *2142:10 *2670:11 2.33103e-06 -10 *2143:13 *2670:11 8.11463e-06 -11 *2195:11 *2670:11 0 -12 *2385:11 *2670:14 0.000577063 -13 *2423:13 *2670:14 8.68633e-05 -*RES -1 *2821:io_oeb[21] *2670:7 47.5641 -2 *2670:7 *2670:10 10.7694 -3 *2670:10 *2670:11 87.3739 -4 *2670:11 *2670:14 49.5917 -5 *2670:14 *2811:user_gpio_oeb 8.46357 -*END - -*D_NET *2671 0.0273221 -*CONN -*I *2812:user_gpio_oeb I *D gpio_control_block -*I *2821:io_oeb[22] O *D user_project_wrapper -*CAP -1 *2812:user_gpio_oeb 9.84819e-05 -2 *2821:io_oeb[22] 0.00160398 -3 *2671:14 0.00214777 -4 *2671:13 0.00204929 -5 *2671:11 0.00244947 -6 *2671:10 0.00387431 -7 *2671:7 0.00302882 -8 *2822:mprj_io_inp_dis[22] *2671:11 0 -9 *2822:mprj_io_slow_sel[22] *2671:11 0.000483368 -10 *691:20 *2671:11 0 -11 *691:23 *2671:14 0.00754901 -12 *1719:40 *2671:14 0.000222062 -13 *2196:11 *2671:14 6.49003e-05 -14 *2196:14 *2671:11 0.00375062 -*RES -1 *2821:io_oeb[22] *2671:7 45.0726 -2 *2671:7 *2671:10 44.0456 -3 *2671:10 *2671:11 92.7722 -4 *2671:11 *2671:13 4.5 -5 *2671:13 *2671:14 93.3422 -6 *2671:14 *2812:user_gpio_oeb 8.46357 -*END - -*D_NET *2672 0.0341468 -*CONN -*I *2813:user_gpio_oeb I *D gpio_control_block -*I *2821:io_oeb[23] O *D user_project_wrapper -*CAP -1 *2813:user_gpio_oeb 0.000141516 -2 *2821:io_oeb[23] 0.00142058 -3 *2672:14 0.00184806 -4 *2672:13 0.00170655 -5 *2672:11 0.00317467 -6 *2672:10 0.00317467 -7 *2672:8 0.00424403 -8 *2672:7 0.00566461 -9 *2672:11 *2710:11 0 -10 *2672:14 *2710:14 0.00914223 -11 *2813:mgmt_gpio_in *2672:14 0 -12 *2822:mprj_io_holdover[23] *2672:11 4.2657e-05 -13 *652:25 *2672:14 0.00186428 -14 *1720:40 *2672:14 0 -15 *1720:44 *2672:14 0 -16 *2235:16 *2672:11 0.00148341 -17 *2311:11 *2672:14 0 -18 *2311:14 *2672:11 0 -19 *2597:25 *2672:11 0.000237053 -20 *2634:19 *2813:user_gpio_oeb 2.44031e-06 -*RES -1 *2821:io_oeb[23] *2672:7 40.0896 -2 *2672:7 *2672:8 118.299 -3 *2672:8 *2672:10 4.5 -4 *2672:10 *2672:11 97.34 -5 *2672:11 *2672:13 4.5 -6 *2672:13 *2672:14 95.5606 -7 *2672:14 *2813:user_gpio_oeb 8.46357 -*END - -*D_NET *2673 0.0145757 -*CONN -*I *2814:user_gpio_oeb I *D gpio_control_block -*I *2821:io_oeb[24] O *D user_project_wrapper -*CAP -1 *2814:user_gpio_oeb 0.00103198 -2 *2821:io_oeb[24] 0.00175471 -3 *2673:11 0.00439579 -4 *2673:10 0.00511853 -5 *2814:user_gpio_oeb *2814:user_gpio_out 6.19346e-06 -6 *633:35 *2814:user_gpio_oeb 0.000262245 -7 *1970:8 *2814:user_gpio_oeb 0.000874377 -8 *2008:8 *2814:user_gpio_oeb 0.000870918 -9 *2635:10 *2814:user_gpio_oeb 0.000260994 -*RES -1 *2821:io_oeb[24] *2673:10 21.5875 -2 *2673:10 *2673:11 90.0146 -3 *2673:11 *2814:user_gpio_oeb 38.6458 -*END - -*D_NET *2674 0.0512156 -*CONN -*I *2815:user_gpio_oeb I *D gpio_control_block -*I *2821:io_oeb[25] O *D user_project_wrapper -*CAP -1 *2815:user_gpio_oeb 5.3317e-05 -2 *2821:io_oeb[25] 0.00179646 -3 *2674:17 0.00218472 -4 *2674:16 0.0021314 -5 *2674:14 0.00984264 -6 *2674:13 0.0114569 -7 *2674:10 0.00341071 -8 *2815:user_gpio_oeb *2815:user_gpio_out 5.83673e-05 -9 *2674:17 *2712:17 0 -10 *2815:serial_clock *2815:user_gpio_oeb 0 -11 *2815:serial_clock *2674:17 4.28856e-07 -12 *630:20 *2674:17 0.000282447 -13 *632:55 *2815:user_gpio_oeb 5.38612e-06 -14 *2600:23 *2674:14 0.0199344 -15 *2636:13 *2815:user_gpio_oeb 5.83673e-05 -16 *2636:14 *2674:10 0 -*RES -1 *2821:io_oeb[25] *2674:10 22.418 -2 *2674:10 *2674:13 49.0371 -3 *2674:13 *2674:14 402.55 -4 *2674:14 *2674:16 4.5 -5 *2674:16 *2674:17 56.1838 -6 *2674:17 *2815:user_gpio_oeb 8.54006 -*END - -*D_NET *2675 0.0420759 -*CONN -*I *2816:user_gpio_oeb I *D gpio_control_block -*I *2821:io_oeb[26] O *D user_project_wrapper -*CAP -1 *2816:user_gpio_oeb 0.000135912 -2 *2821:io_oeb[26] 0.00153348 -3 *2675:17 0.00279604 -4 *2675:16 0.00266013 -5 *2675:14 0.00842428 -6 *2675:13 0.0095723 -7 *2675:10 0.00268149 -8 *2816:user_gpio_oeb *2816:user_gpio_out 1.29018e-05 -9 *2675:14 *2712:14 0.00500289 -10 *2675:17 *2713:17 0.000659079 -11 *2602:17 *2675:14 0.00791315 -12 *2636:14 *2675:10 0.000666627 -13 *2637:12 *2816:user_gpio_oeb 1.75765e-05 -14 *2637:15 *2675:17 0 -*RES -1 *2821:io_oeb[26] *2675:10 23.0518 -2 *2675:10 *2675:13 36.2812 -3 *2675:13 *2675:14 344 -4 *2675:14 *2675:16 4.5 -5 *2675:16 *2675:17 75.0403 -6 *2675:17 *2816:user_gpio_oeb 9.41712 -*END - -*D_NET *2676 0.0362644 -*CONN -*I *2817:user_gpio_oeb I *D gpio_control_block -*I *2821:io_oeb[27] O *D user_project_wrapper -*CAP -1 *2817:user_gpio_oeb 0.00421445 -2 *2821:io_oeb[27] 0.00121694 -3 *2676:8 0.0118701 -4 *2676:7 0.00887257 -5 *2817:user_gpio_oeb *2817:user_gpio_out 0.000387723 -6 *2676:8 *2714:8 0.00925746 -7 *633:13 *2817:user_gpio_oeb 0.000398386 -8 *2638:13 *2817:user_gpio_oeb 4.6762e-05 -*RES -1 *2821:io_oeb[27] *2676:7 7.40946 -2 *2676:7 *2676:8 304.136 -3 *2676:8 *2817:user_gpio_oeb 18.7766 -*END - -*D_NET *2677 0.0303498 -*CONN -*I *2818:user_gpio_oeb I *D gpio_control_block -*I *2821:io_oeb[28] O *D user_project_wrapper -*CAP -1 *2818:user_gpio_oeb 8.12438e-05 -2 *2821:io_oeb[28] 0.00207756 -3 *2677:17 0.00233724 -4 *2677:16 0.002256 -5 *2677:14 0.00657191 -6 *2677:13 0.00807901 -7 *2677:10 0.00358466 -8 *2818:user_gpio_oeb *2818:user_gpio_out 6.19346e-06 -9 *2677:10 *2817:user_gpio_out 0.000121753 -10 *2677:17 *2716:13 0 -11 *2818:resetn *2677:17 0 -12 *634:5 *2818:user_gpio_oeb 0 -13 *656:28 *2677:10 0 -14 *2604:17 *2677:14 0.00504808 -15 *2639:12 *2818:user_gpio_oeb 0.000186165 -16 *2639:13 *2677:17 0 -*RES -1 *2821:io_oeb[28] *2677:10 27.3682 -2 *2677:10 *2677:13 45.7095 -3 *2677:13 *2677:14 233.543 -4 *2677:14 *2677:16 4.5 -5 *2677:16 *2677:17 56.7384 -6 *2677:17 *2818:user_gpio_oeb 8.54006 -*END - -*D_NET *2678 0.0289793 -*CONN -*I *2804:user_gpio_oeb I *D gpio_control_block -*I *2821:io_oeb[29] O *D user_project_wrapper -*CAP -1 *2804:user_gpio_oeb 9.86476e-05 -2 *2821:io_oeb[29] 0.00219304 -3 *2678:17 0.00167382 -4 *2678:14 0.00569838 -5 *2678:13 0.0041232 -6 *2678:11 0.0020722 -7 *2678:10 0.00426524 -8 *2804:user_gpio_oeb *2804:user_gpio_out 4.31243e-06 -9 *2804:serial_load *2678:17 5.81099e-05 -10 *617:11 *2804:user_gpio_oeb 0 -11 *649:56 *2678:14 0.00103927 -12 *2603:25 *2678:14 0.000522182 -13 *2605:17 *2678:14 0.0069737 -14 *2640:12 *2804:user_gpio_oeb 3.38016e-05 -15 *2640:13 *2678:17 0.000223401 -*RES -1 *2821:io_oeb[29] *2678:10 29.4445 -2 *2678:10 *2678:11 56.7384 -3 *2678:11 *2678:13 4.5 -4 *2678:13 *2678:14 177.068 -5 *2678:14 *2678:17 45.7095 -6 *2678:17 *2804:user_gpio_oeb 8.54006 -*END - -*D_NET *2679 0.126906 -*CONN -*I *2797:user_gpio_oeb I *D gpio_control_block -*I *2821:io_oeb[2] O *D user_project_wrapper -*CAP -1 *2797:user_gpio_oeb 0.000351974 -2 *2821:io_oeb[2] 0.00138631 -3 *2679:17 0.00207684 -4 *2679:16 0.00172486 -5 *2679:14 0.0224497 -6 *2679:13 0.0224497 -7 *2679:11 0.0027457 -8 *2679:10 0.00413201 -9 *2797:user_gpio_oeb *2797:user_gpio_out 7.51136e-05 -10 *2797:serial_clock_out *2797:user_gpio_oeb 0.000812331 -11 *2797:serial_load *2797:user_gpio_oeb 0.000124334 -12 *2797:serial_load_out *2797:user_gpio_oeb 0 -13 *627:40 *2797:user_gpio_oeb 0.000318589 -14 *669:30 *2797:user_gpio_oeb 4.11147e-05 -15 *670:13 *2797:user_gpio_oeb 8.85385e-05 -16 *2537:12 *2679:14 0.0644934 -17 *2641:10 *2797:user_gpio_oeb 2.72062e-05 -18 *2641:13 *2679:17 0.00360829 -*RES -1 *2821:io_oeb[2] *2679:10 21.3034 -2 *2679:10 *2679:11 73.3765 -3 *2679:11 *2679:13 4.5 -4 *2679:13 *2679:14 1031.66 -5 *2679:14 *2679:16 4.5 -6 *2679:16 *2679:17 55.6292 -7 *2679:17 *2797:user_gpio_oeb 21.6423 -*END - -*D_NET *2680 0.0252832 -*CONN -*I *2805:user_gpio_oeb I *D gpio_control_block -*I *2821:io_oeb[30] O *D user_project_wrapper -*CAP -1 *2805:user_gpio_oeb 0.004621 -2 *2821:io_oeb[30] 0.00129468 -3 *2680:8 0.00856695 -4 *2680:7 0.00524063 -5 *2805:user_gpio_oeb *2805:user_gpio_out 0 -6 *2805:serial_data_in *2805:user_gpio_oeb 7.7053e-05 -7 *2821:io_in[31] *2805:user_gpio_oeb 0 -8 *102:7 *2805:user_gpio_oeb 0.000817312 -9 *618:8 *2805:user_gpio_oeb 0 -10 *680:7 *2805:user_gpio_oeb 6.69704e-05 -11 *681:8 *2805:user_gpio_oeb 3.04458e-05 -12 *2642:9 *2805:user_gpio_oeb 0.000209574 -13 *2642:10 *2680:8 0.00435862 -*RES -1 *2821:io_oeb[30] *2680:7 7.56244 -2 *2680:7 *2680:8 152.984 -3 *2680:8 *2805:user_gpio_oeb 38.0396 -*END - -*D_NET *2681 0.0204733 -*CONN -*I *2806:user_gpio_oeb I *D gpio_control_block -*I *2821:io_oeb[31] O *D user_project_wrapper -*CAP -1 *2806:user_gpio_oeb 0.000813256 -2 *2821:io_oeb[31] 0.00151454 -3 *2681:11 0.00652235 -4 *2681:10 0.0057091 -5 *2681:8 0.00219973 -6 *2681:7 0.00371428 -*RES -1 *2821:io_oeb[31] *2681:7 8.40384 -2 *2681:7 *2681:8 62.2512 -3 *2681:8 *2681:10 4.5 -4 *2681:10 *2681:11 153.239 -5 *2681:11 *2806:user_gpio_oeb 28.5187 -*END - -*D_NET *2682 0.068737 -*CONN -*I *2807:user_gpio_oeb I *D gpio_control_block -*I *2821:io_oeb[32] O *D user_project_wrapper -*CAP -1 *2807:user_gpio_oeb 0.00316791 -2 *2821:io_oeb[32] 0.00130726 -3 *2682:8 0.0169631 -4 *2682:7 0.0151024 -5 *2807:user_gpio_oeb *2807:user_gpio_out 6.19346e-06 -6 *2807:user_gpio_oeb *2722:10 1.7794e-05 -7 *2807:user_gpio_oeb *2722:11 0.000803374 -8 *622:29 *2807:user_gpio_oeb 0.000982269 -9 *643:29 *2807:user_gpio_oeb 0.00155432 -10 *2644:13 *2807:user_gpio_oeb 0.00907325 -11 *2644:14 *2682:8 0.0145564 -12 *2646:16 *2682:8 0.00520279 -*RES -1 *2821:io_oeb[32] *2682:7 7.56244 -2 *2682:7 *2682:8 560.761 -3 *2682:8 *2807:user_gpio_oeb 41.0856 -*END - -*D_NET *2683 0.0644332 -*CONN -*I *2808:user_gpio_oeb I *D gpio_control_block -*I *2821:io_oeb[33] O *D user_project_wrapper -*CAP -1 *2808:user_gpio_oeb 0.000157025 -2 *2821:io_oeb[33] 0.00280488 -3 *2683:17 0.00195057 -4 *2683:16 0.00179355 -5 *2683:14 0.0115548 -6 *2683:13 0.0115548 -7 *2683:11 0.00280488 -8 *2808:user_gpio_oeb *2808:user_gpio_out 6.88205e-06 -9 *104:23 *2683:17 0.00122483 -10 *104:37 *2683:17 0.00573578 -11 *2609:17 *2683:14 0.0248366 -12 *2645:12 *2808:user_gpio_oeb 8.62976e-06 -13 *2645:15 *2683:17 0 -*RES -1 *2821:io_oeb[33] *2683:11 46.454 -2 *2683:11 *2683:13 4.5 -3 *2683:13 *2683:14 481.033 -4 *2683:14 *2683:16 4.5 -5 *2683:16 *2683:17 74.4857 -6 *2683:17 *2808:user_gpio_oeb 9.41712 -*END - -*D_NET *2684 0.0589596 -*CONN -*I *2809:user_gpio_oeb I *D gpio_control_block -*I *2821:io_oeb[34] O *D user_project_wrapper -*CAP -1 *2809:user_gpio_oeb 0.000670587 -2 *2821:io_oeb[34] 0.00186792 -3 *2684:14 0.00982376 -4 *2684:13 0.00915317 -5 *2684:11 0.00310425 -6 *2684:10 0.00497217 -7 *2809:user_gpio_oeb *2809:user_gpio_out 0.00217856 -8 *622:28 *2809:user_gpio_oeb 0.000543039 -9 *625:20 *2684:14 0.02634 -10 *2646:12 *2809:user_gpio_oeb 0.000306165 -11 *2646:16 *2684:10 0 -*RES -1 *2821:io_oeb[34] *2684:10 21.5875 -2 *2684:10 *2684:11 78.9225 -3 *2684:11 *2684:13 4.5 -4 *2684:13 *2684:14 421.237 -5 *2684:14 *2809:user_gpio_oeb 41.5581 -*END - -*D_NET *2685 0.0482028 -*CONN -*I *2783:user_gpio_oeb I *D gpio_control_block -*I *2821:io_oeb[35] O *D user_project_wrapper -*CAP -1 *2783:user_gpio_oeb 8.99512e-05 -2 *2821:io_oeb[35] 0.00194092 -3 *2685:17 0.00237332 -4 *2685:16 0.00228337 -5 *2685:14 0.00916815 -6 *2685:13 0.0107824 -7 *2685:10 0.00355517 -8 *2783:user_gpio_oeb *2783:user_gpio_out 6.19346e-06 -9 *2685:17 *2723:17 0 -10 *2783:serial_clock *2783:user_gpio_oeb 2.02035e-05 -11 *106:13 *2783:user_gpio_oeb 0 -12 *685:10 *2783:user_gpio_oeb 1.66626e-05 -13 *2646:16 *2685:10 0 -14 *2647:17 *2783:user_gpio_oeb 4.80336e-05 -15 *2648:16 *2685:14 0.0179184 -*RES -1 *2821:io_oeb[35] *2685:10 23.6638 -2 *2685:10 *2685:13 49.0371 -3 *2685:13 *2685:14 370.576 -4 *2685:14 *2685:16 4.5 -5 *2685:16 *2685:17 56.1838 -6 *2685:17 *2783:user_gpio_oeb 8.54006 -*END - -*D_NET *2686 0.0405081 -*CONN -*I *2784:user_gpio_oeb I *D gpio_control_block -*I *2821:io_oeb[36] O *D user_project_wrapper -*CAP -1 *2784:user_gpio_oeb 0.000149822 -2 *2821:io_oeb[36] 0.00271995 -3 *2686:17 0.00272786 -4 *2686:16 0.00257804 -5 *2686:14 0.013829 -6 *2686:13 0.0165489 -7 *2784:user_gpio_oeb *2784:user_gpio_out 8.62976e-06 -8 *2686:17 *2724:19 0.000653913 -9 *2609:17 *2686:14 0.0012834 -10 *2648:12 *2784:user_gpio_oeb 8.62976e-06 -11 *2648:13 *2686:17 0 -*RES -1 *2821:io_oeb[36] *2686:13 47.4434 -2 *2686:13 *2686:14 399.228 -3 *2686:14 *2686:16 4.5 -4 *2686:16 *2686:17 74.4857 -5 *2686:17 *2784:user_gpio_oeb 9.41712 -*END - -*D_NET *2687 0.0635819 -*CONN -*I *2785:user_gpio_oeb I *D gpio_control_block -*I *2821:io_oeb[37] O *D user_project_wrapper -*CAP -1 *2785:user_gpio_oeb 0.000341705 -2 *2821:io_oeb[37] 0.00136495 -3 *2687:11 0.00264313 -4 *2687:10 0.00230142 -5 *2687:8 0.00924768 -6 *2687:7 0.0106126 -7 *2785:user_gpio_oeb *2785:user_gpio_out 5.66917e-05 -8 *2785:serial_load_out *2785:user_gpio_oeb 0 -9 *2826:la_input[2] *2687:8 0 -10 *2649:12 *2785:user_gpio_oeb 0.000750973 -11 *2649:13 *2687:11 0.0102187 -12 *2649:16 *2687:8 0.0260441 -*RES -1 *2821:io_oeb[37] *2687:7 7.63893 -2 *2687:7 *2687:8 418.538 -3 *2687:8 *2687:10 4.5 -4 *2687:10 *2687:11 107.762 -5 *2687:11 *2785:user_gpio_oeb 20.1006 -*END - -*D_NET *2688 0.0942667 -*CONN -*I *2798:user_gpio_oeb I *D gpio_control_block -*I *2821:io_oeb[3] O *D user_project_wrapper -*CAP -1 *2798:user_gpio_oeb 8.89808e-05 -2 *2821:io_oeb[3] 0.00127639 -3 *2688:17 0.00229291 -4 *2688:16 0.00220393 -5 *2688:14 0.0275708 -6 *2688:13 0.0275708 -7 *2688:11 0.00202751 -8 *2688:10 0.00330391 -9 *2798:user_gpio_oeb *2798:user_gpio_out 3.30793e-05 -10 *2688:14 *2691:14 0.0104776 -11 *2688:14 *2728:14 0.00876395 -12 *2688:17 *2726:17 2.18041e-06 -13 *627:22 *2688:10 0 -14 *2650:10 *2798:user_gpio_oeb 2.12195e-05 -15 *2650:11 *2688:17 0.00296621 -16 *2651:14 *2688:14 0.00566725 -*RES -1 *2821:io_oeb[3] *2688:10 16.2111 -2 *2688:10 *2688:11 54.5199 -3 *2688:11 *2688:13 4.5 -4 *2688:13 *2688:14 992.624 -5 *2688:14 *2688:16 4.5 -6 *2688:16 *2688:17 75.5949 -7 *2688:17 *2798:user_gpio_oeb 8.47354 -*END - -*D_NET *2689 0.107938 -*CONN -*I *2799:user_gpio_oeb I *D gpio_control_block -*I *2821:io_oeb[4] O *D user_project_wrapper -*CAP -1 *2799:user_gpio_oeb 0.000348538 -2 *2821:io_oeb[4] 0.00124334 -3 *2689:17 0.00317479 -4 *2689:16 0.00282625 -5 *2689:14 0.022481 -6 *2689:13 0.0238529 -7 *2689:10 0.00261521 -8 *2799:user_gpio_oeb *2799:user_gpio_out 5.17837e-06 -9 *2689:14 *2726:14 0.0400997 -10 *2799:serial_load *2799:user_gpio_oeb 4.69495e-06 -11 *2799:serial_load *2689:17 0.00207163 -12 *2799:serial_load_out *2799:user_gpio_oeb 5.38612e-06 -13 *627:22 *2689:10 0 -14 *628:65 *2689:17 0.000623421 -15 *652:51 *2689:17 0.00139505 -16 *672:13 *2689:17 0.000101235 -17 *2651:10 *2799:user_gpio_oeb 2.07637e-05 -18 *2653:14 *2689:14 0.00706858 -*RES -1 *2821:io_oeb[4] *2689:10 14.2878 -2 *2689:10 *2689:13 41.2726 -3 *2689:13 *2689:14 969.785 -4 *2689:14 *2689:16 4.5 -5 *2689:16 *2689:17 92.233 -6 *2689:17 *2799:user_gpio_oeb 15.1176 -*END - -*D_NET *2690 0.123915 -*CONN -*I *2800:user_gpio_oeb I *D gpio_control_block -*I *2821:io_oeb[5] O *D user_project_wrapper -*CAP -1 *2800:user_gpio_oeb 0.000245115 -2 *2821:io_oeb[5] 0.00142953 -3 *2690:19 0.000877759 -4 *2690:14 0.0114768 -5 *2690:13 0.0108442 -6 *2690:11 0.00346328 -7 *2690:10 0.00489281 -8 *2800:user_gpio_oeb *2800:user_gpio_out 0.000774786 -9 *2690:14 *2717:14 0.00836789 -10 *2690:19 *2728:17 0.00124226 -11 *2800:serial_data_in *2690:19 1.41291e-05 -12 *627:22 *2690:10 0 -13 *643:38 *2690:14 0.024715 -14 *1711:17 *2690:14 0.00505701 -15 *2652:11 *2800:user_gpio_oeb 0.000556245 -16 *2652:11 *2690:19 0.0012347 -17 *2652:14 *2690:14 0.0487239 -*RES -1 *2821:io_oeb[5] *2690:10 13.8835 -2 *2690:10 *2690:11 88.3508 -3 *2690:11 *2690:13 4.5 -4 *2690:13 *2690:14 943.624 -5 *2690:14 *2690:19 41.8904 -6 *2690:19 *2800:user_gpio_oeb 15.6671 -*END - -*D_NET *2691 0.119964 -*CONN -*I *2801:user_gpio_oeb I *D gpio_control_block -*I *2821:io_oeb[6] O *D user_project_wrapper -*CAP -1 *2801:user_gpio_oeb 8.81957e-05 -2 *2821:io_oeb[6] 0.00146626 -3 *2691:17 0.00162552 -4 *2691:16 0.00153732 -5 *2691:14 0.0152802 -6 *2691:13 0.0152802 -7 *2691:11 0.0020588 -8 *2691:10 0.00352506 -9 *2801:user_gpio_oeb *2801:user_gpio_out 1.29141e-05 -10 *2691:14 *2728:14 0.03613 -11 *2691:14 *2731:14 0.00518519 -12 *2691:17 *2729:17 0.00225746 -13 *627:22 *2691:10 0 -14 *674:9 *2801:user_gpio_oeb 0 -15 *674:10 *2801:user_gpio_oeb 2.01653e-05 -16 *2653:10 *2801:user_gpio_oeb 2.12195e-05 -17 *2653:11 *2691:17 0.0029019 -18 *2654:14 *2691:14 0.0220963 -19 *2688:14 *2691:14 0.0104776 -*RES -1 *2821:io_oeb[6] *2691:10 21.6094 -2 *2691:10 *2691:11 55.0746 -3 *2691:11 *2691:13 4.5 -4 *2691:13 *2691:14 891.717 -5 *2691:14 *2691:16 4.5 -6 *2691:16 *2691:17 75.0403 -7 *2691:17 *2801:user_gpio_oeb 8.47354 -*END - -*D_NET *2692 0.0790321 -*CONN -*I *2802:user_gpio_oeb I *D gpio_control_block -*I *2821:io_oeb[7] O *D user_project_wrapper -*CAP -1 *2802:user_gpio_oeb 0.000155696 -2 *2821:io_oeb[7] 0.00136929 -3 *2692:17 0.00301787 -4 *2692:16 0.00286218 -5 *2692:14 0.0163646 -6 *2692:13 0.0178864 -7 *2692:10 0.00289105 -8 *2802:user_gpio_oeb *2802:user_gpio_out 2.50257e-05 -9 *2802:user_gpio_oeb *2730:20 1.66771e-05 -10 *2692:14 *2693:14 0.0254771 -11 *2802:serial_load *2802:user_gpio_oeb 0 -12 *2802:serial_load_out *2802:user_gpio_oeb 1.65082e-05 -13 *2445:11 *2802:user_gpio_oeb 0.000114186 -14 *2601:17 *2692:10 0.000110775 -15 *2653:14 *2692:14 0.00578919 -16 *2654:10 *2802:user_gpio_oeb 7.85548e-05 -17 *2654:11 *2692:17 0.00285696 -*RES -1 *2821:io_oeb[7] *2692:10 22.1339 -2 *2692:10 *2692:13 45.1549 -3 *2692:13 *2692:14 676.617 -4 *2692:14 *2692:16 4.5 -5 *2692:16 *2692:17 90.0146 -6 *2692:17 *2802:user_gpio_oeb 10.2011 -*END - -*D_NET *2693 0.0828858 -*CONN -*I *2786:user_gpio_oeb I *D gpio_control_block -*I *2821:io_oeb[8] O *D user_project_wrapper -*CAP -1 *2786:user_gpio_oeb 0.000107452 -2 *2821:io_oeb[8] 0.00255554 -3 *2693:17 0.00310982 -4 *2693:16 0.00300237 -5 *2693:14 0.0185335 -6 *2693:13 0.0210891 -7 *2786:user_gpio_oeb *2786:user_gpio_out 2.90444e-05 -8 *2693:17 *2731:17 0.00278774 -9 *2786:serial_load *2786:user_gpio_oeb 0 -10 *2786:serial_load_out *2786:user_gpio_oeb 0 -11 *676:8 *2786:user_gpio_oeb 1.28704e-05 -12 *2620:14 *2693:14 0.00618123 -13 *2692:14 *2693:14 0.0254771 -*RES -1 *2821:io_oeb[8] *2693:13 46.7906 -2 *2693:13 *2693:14 741.811 -3 *2693:14 *2693:16 4.5 -4 *2693:16 *2693:17 91.1238 -5 *2693:17 *2786:user_gpio_oeb 8.87882 -*END - -*D_NET *2694 0.0985589 -*CONN -*I *2788:user_gpio_oeb I *D gpio_control_block -*I *2821:io_oeb[9] O *D user_project_wrapper -*CAP -1 *2788:user_gpio_oeb 0.000172419 -2 *2821:io_oeb[9] 0.00153511 -3 *2694:17 0.00163 -4 *2694:16 0.00145758 -5 *2694:14 0.0170758 -6 *2694:13 0.0170758 -7 *2694:11 0.00250017 -8 *2694:10 0.00403528 -9 *2788:user_gpio_oeb *2788:user_gpio_out 7.93619e-05 -10 *2788:serial_load_out *2788:user_gpio_oeb 5.92996e-05 -11 *2788:serial_load_out *2694:17 0.00120876 -12 *616:44 *2694:14 0.0496311 -13 *2103:8 *2788:user_gpio_oeb 0 -14 *2612:17 *2694:10 0 -15 *2656:12 *2788:user_gpio_oeb 3.12217e-05 -16 *2656:13 *2694:17 0.00206697 -*RES -1 *2821:io_oeb[9] *2694:10 16.0362 -2 *2694:10 *2694:11 66.1666 -3 *2694:11 *2694:13 4.5 -4 *2694:13 *2694:14 792.057 -5 *2694:14 *2694:16 4.5 -6 *2694:16 *2694:17 55.6292 -7 *2694:17 *2788:user_gpio_oeb 11.0415 -*END - -*D_NET *2695 0.133551 -*CONN -*I *2781:user_gpio_out I *D gpio_control_block -*I *2821:io_out[0] O *D user_project_wrapper -*CAP -1 *2781:user_gpio_out 0.00017455 -2 *2821:io_out[0] 0.00158956 -3 *2695:19 0.000802174 -4 *2695:14 0.016391 -5 *2695:13 0.0157633 -6 *2695:11 0.00295302 -7 *2695:10 0.00454258 -8 *2695:14 *2717:14 0 -9 *2781:serial_load_out *2695:19 0 -10 *2781:user_gpio_oeb *2781:user_gpio_out 0.000161365 -11 *656:35 *2695:14 0.00774769 -12 *697:14 *2695:14 0.0259583 -13 *2619:15 *2781:user_gpio_out 5.7989e-05 -14 *2619:15 *2695:19 0.00138481 -15 *2619:16 *2695:14 0 -16 *2657:14 *2695:14 0.00815458 -17 *2657:19 *2695:19 0.00160971 -18 *2668:14 *2695:14 0.0462605 -*RES -1 *2821:io_out[0] *2695:10 13.8179 -2 *2695:10 *2695:11 77.2587 -3 *2695:11 *2695:13 4.5 -4 *2695:13 *2695:14 1011.73 -5 *2695:14 *2695:19 48.5456 -6 *2695:19 *2781:user_gpio_out 5.82411 -*END - -*D_NET *2696 0.097385 -*CONN -*I *2789:user_gpio_out I *D gpio_control_block -*I *2821:io_out[10] O *D user_project_wrapper -*CAP -1 *2789:user_gpio_out 0.000452512 -2 *2821:io_out[10] 0.001404 -3 *2696:17 0.00177734 -4 *2696:14 0.0110377 -5 *2696:13 0.00971284 -6 *2696:11 0.00327576 -7 *2696:10 0.00467975 -8 *2789:serial_load *2789:user_gpio_out 6.09945e-05 -9 *2789:serial_load *2696:17 0 -10 *2789:user_gpio_oeb *2789:user_gpio_out 0.000219633 -11 *102:55 *2696:14 0.0136819 -12 *627:22 *2696:10 0 -13 *634:30 *2696:14 0.0156222 -14 *642:58 *2696:14 0.0139597 -15 *661:13 *2696:17 0.00158848 -16 *2103:30 *2789:user_gpio_out 0 -17 *2655:16 *2696:14 0.00193095 -18 *2658:14 *2696:14 0.0164334 -19 *2658:17 *2696:17 0.00154784 -*RES -1 *2821:io_out[10] *2696:10 18.8556 -2 *2696:10 *2696:11 85.0231 -3 *2696:11 *2696:13 4.5 -4 *2696:13 *2696:14 761.744 -5 *2696:14 *2696:17 49.0371 -6 *2696:17 *2789:user_gpio_out 19.3832 -*END - -*D_NET *2697 0.0996091 -*CONN -*I *2790:user_gpio_out I *D gpio_control_block -*I *2821:io_out[11] O *D user_project_wrapper -*CAP -1 *2790:user_gpio_out 0.000162503 -2 *2821:io_out[11] 0.0016147 -3 *2697:17 0.00216051 -4 *2697:16 0.001998 -5 *2697:14 0.0174332 -6 *2697:13 0.0174332 -7 *2697:11 0.00218892 -8 *2697:10 0.00380362 -9 *2790:user_gpio_oeb *2790:user_gpio_out 4.54991e-05 -10 *2298:8 *2790:user_gpio_out 0 -11 *2537:12 *2697:14 0.0506382 -12 *2659:17 *2697:17 0.00213065 -*RES -1 *2821:io_out[11] *2697:10 13.8179 -2 *2697:10 *2697:11 58.4022 -3 *2697:11 *2697:13 4.5 -4 *2697:13 *2697:14 808.252 -5 *2697:14 *2697:16 4.5 -6 *2697:16 *2697:17 58.9568 -7 *2697:17 *2790:user_gpio_out 9.41712 -*END - -*D_NET *2698 0.122151 -*CONN -*I *2791:user_gpio_out I *D gpio_control_block -*I *2821:io_out[12] O *D user_project_wrapper -*CAP -1 *2791:user_gpio_out 0.000466274 -2 *2821:io_out[12] 0.00126179 -3 *2698:17 0.00369819 -4 *2698:16 0.00323192 -5 *2698:14 0.0121053 -6 *2698:13 0.0135364 -7 *2698:10 0.00269297 -8 *2791:serial_load *2698:17 0.00122643 -9 *2791:serial_load_out *2791:user_gpio_out 1.66771e-05 -10 *2791:serial_load_out *2698:17 0.000434837 -11 *2791:user_gpio_oeb *2791:user_gpio_out 3.60868e-05 -12 *619:32 *2698:17 0.000253916 -13 *627:22 *2698:10 0 -14 *2620:14 *2698:14 0.00805175 -15 *2622:10 *2791:user_gpio_out 1.66626e-05 -16 *2623:16 *2698:14 0.036941 -17 *2659:14 *2698:14 0.038181 -*RES -1 *2821:io_out[12] *2698:10 15.7959 -2 *2698:10 *2698:13 42.9364 -3 *2698:13 *2698:14 859.328 -4 *2698:14 *2698:16 4.5 -5 *2698:16 *2698:17 90.5692 -6 *2698:17 *2791:user_gpio_out 17.5991 -*END - -*D_NET *2699 0.113406 -*CONN -*I *2792:user_gpio_out I *D gpio_control_block -*I *2821:io_out[13] O *D user_project_wrapper -*CAP -1 *2792:user_gpio_out 0.000438622 -2 *2821:io_out[13] 0.00146242 -3 *2699:17 0.00270628 -4 *2699:16 0.00226766 -5 *2699:14 0.020266 -6 *2699:13 0.020266 -7 *2699:11 0.00198482 -8 *2699:10 0.00344724 -9 *620:51 *2699:17 0.000113968 -10 *620:74 *2699:17 0.00335495 -11 *627:22 *2699:10 0 -12 *2300:10 *2792:user_gpio_out 0.000250342 -13 *2621:16 *2699:14 0.0115057 -14 *2623:13 *2699:17 0.00148809 -15 *2660:14 *2699:14 0.0422283 -16 *2661:17 *2699:17 0.00162533 -*RES -1 *2821:io_out[13] *2699:10 20.5166 -2 *2699:10 *2699:11 53.9653 -3 *2699:11 *2699:13 4.5 -4 *2699:13 *2699:14 908.743 -5 *2699:14 *2699:16 4.5 -6 *2699:16 *2699:17 91.6784 -7 *2699:17 *2792:user_gpio_out 20.2137 -*END - -*D_NET *2700 0.0345623 -*CONN -*I *2793:user_gpio_out I *D gpio_control_block -*I *2821:io_out[14] O *D user_project_wrapper -*CAP -1 *2793:user_gpio_out 0.000309457 -2 *2821:io_out[14] 0.00135175 -3 *2700:11 0.00214442 -4 *2700:10 0.00183496 -5 *2700:8 0.00231506 -6 *2700:7 0.00366681 -7 *2793:user_gpio_oeb *2793:user_gpio_out 9.39094e-05 -8 *2339:8 *2793:user_gpio_out 0 -9 *2616:17 *2700:8 0.00836012 -10 *2624:12 *2793:user_gpio_out 1.66771e-05 -11 *2624:13 *2700:11 0.00503343 -12 *2624:16 *2700:8 0.00403014 -13 *2662:8 *2700:8 0.000369544 -14 *2662:11 *2700:11 0.00503604 -*RES -1 *2821:io_out[14] *2700:7 8.02138 -2 *2700:7 *2700:8 145.717 -3 *2700:8 *2700:10 4.5 -4 *2700:10 *2700:11 124.4 -5 *2700:11 *2793:user_gpio_out 14.4001 -*END - -*D_NET *2701 0.0592231 -*CONN -*I *2794:user_gpio_out I *D gpio_control_block -*I *2821:io_out[15] O *D user_project_wrapper -*CAP -1 *2794:user_gpio_out 0.00128609 -2 *2821:io_out[15] 0.00156956 -3 *2701:11 0.00344597 -4 *2701:10 0.00215988 -5 *2701:8 0.00289911 -6 *2701:7 0.00446867 -7 *2340:11 *2794:user_gpio_out 0.000462196 -8 *2378:15 *2794:user_gpio_out 0.000940035 -9 *2625:14 *2701:11 0.00179518 -10 *2625:17 *2701:8 0.0239505 -11 *2663:8 *2701:8 0.0144507 -12 *2663:11 *2701:11 0.00179518 -*RES -1 *2821:io_out[15] *2701:7 40.9201 -2 *2701:7 *2701:8 250.295 -3 *2701:8 *2701:10 4.5 -4 *2701:10 *2701:11 92.5646 -5 *2701:11 *2794:user_gpio_out 8.25085 -*END - -*D_NET *2702 0.0408161 -*CONN -*I *2795:user_gpio_out I *D gpio_control_block -*I *2821:io_out[16] O *D user_project_wrapper -*CAP -1 *2795:user_gpio_out 0.00175479 -2 *2821:io_out[16] 0.00155019 -3 *2702:11 0.00330226 -4 *2702:10 0.00154746 -5 *2702:8 0.00250163 -6 *2702:7 0.00405182 -7 *2795:serial_clock *2795:user_gpio_out 0.000101794 -8 *2795:serial_data_in *2795:user_gpio_out 0.000101794 -9 *667:13 *2795:user_gpio_out 0.000102215 -10 *2537:15 *2702:8 4.82966e-05 -11 *2626:14 *2702:11 0.001736 -12 *2626:17 *2702:8 0.0167301 -13 *2664:8 *2702:8 0.00728767 -*RES -1 *2821:io_out[16] *2702:7 40.9201 -2 *2702:7 *2702:8 175.978 -3 *2702:8 *2702:10 4.5 -4 *2702:10 *2702:11 58.5139 -5 *2702:11 *2795:user_gpio_out 7.17999 -*END - -*D_NET *2703 0.0561225 -*CONN -*I *2796:user_gpio_out I *D gpio_control_block -*I *2821:io_out[17] O *D user_project_wrapper -*CAP -1 *2796:user_gpio_out 0.00184743 -2 *2821:io_out[17] 0.00156729 -3 *2703:11 0.00301147 -4 *2703:10 0.00116404 -5 *2703:8 0.00286383 -6 *2703:7 0.00443112 -7 *623:56 *2703:11 0.00104691 -8 *668:17 *2796:user_gpio_out 0.00020476 -9 *2627:14 *2703:11 0 -10 *2627:17 *2703:8 0.0237912 -11 *2665:8 *2703:8 0.0144465 -12 *2665:11 *2703:11 0.00174789 -*RES -1 *2821:io_out[17] *2703:7 40.9201 -2 *2703:7 *2703:8 248.631 -3 *2703:8 *2703:10 4.5 -4 *2703:10 *2703:11 58.5139 -5 *2703:11 *2796:user_gpio_out 7.25648 -*END - -*D_NET *2704 0.0582552 -*CONN -*I *2787:user_gpio_out I *D gpio_control_block -*I *2821:io_out[18] O *D user_project_wrapper -*CAP -1 *2787:user_gpio_out 0.00174294 -2 *2821:io_out[18] 0.00158095 -3 *2704:11 0.00330164 -4 *2704:10 0.0015587 -5 *2704:8 0.00297521 -6 *2704:7 0.00455616 -7 *2787:serial_clock *2787:user_gpio_out 0.000104638 -8 *2787:serial_load *2787:user_gpio_out 0.000115848 -9 *2787:user_gpio_oeb *2787:user_gpio_out 0.000219659 -10 *109:13 *2787:user_gpio_out 0.000118134 -11 *625:13 *2787:user_gpio_out 0.000102438 -12 *2537:15 *2704:8 4.82966e-05 -13 *2628:11 *2787:user_gpio_out 0.000218753 -14 *2628:14 *2704:11 0.00172783 -15 *2628:17 *2704:8 0.0246898 -16 *2666:8 *2704:8 0.0151942 -*RES -1 *2821:io_out[18] *2704:7 40.9201 -2 *2704:7 *2704:8 259.169 -3 *2704:8 *2704:10 4.5 -4 *2704:10 *2704:11 58.5139 -5 *2704:11 *2787:user_gpio_out 7.94489 -*END - -*D_NET *2705 0.0324186 -*CONN -*I *2803:user_gpio_out I *D gpio_control_block -*I *2821:io_out[19] O *D user_project_wrapper -*CAP -1 *2803:user_gpio_out 0.00152537 -2 *2821:io_out[19] 0.00159461 -3 *2705:13 0.00297368 -4 *2705:8 0.00491596 -5 *2705:7 0.00506226 -6 *100:37 *2803:user_gpio_out 0.000236267 -7 *616:37 *2803:user_gpio_out 0.00012601 -8 *2629:23 *2705:8 0.0159844 -*RES -1 *2821:io_out[19] *2705:7 40.9201 -2 *2705:7 *2705:8 167.104 -3 *2705:8 *2705:13 48.1116 -4 *2705:13 *2803:user_gpio_out 5.18803 -*END - -*D_NET *2706 0.126938 -*CONN -*I *2782:user_gpio_out I *D gpio_control_block -*I *2821:io_out[1] O *D user_project_wrapper -*CAP -1 *2782:user_gpio_out 0.000398759 -2 *2821:io_out[1] 0.0015316 -3 *2706:17 0.00169766 -4 *2706:16 0.0012989 -5 *2706:14 0.0186204 -6 *2706:13 0.0186204 -7 *2706:11 0.00273232 -8 *2706:10 0.00426393 -9 *2706:14 *2727:14 0.00882655 -10 *2782:serial_clock_out *2706:17 0 -11 *2782:serial_load *2782:user_gpio_out 3.93117e-06 -12 *2782:serial_load *2706:17 0.0014081 -13 *2782:serial_load_out *2782:user_gpio_out 0.000474865 -14 *2782:user_gpio_oeb *2782:user_gpio_out 0.00010246 -15 *100:44 *2706:14 0.000461527 -16 *100:50 *2706:17 0.000691614 -17 *616:44 *2706:14 0.0600062 -18 *616:53 *2706:17 0.00110284 -19 *627:22 *2706:10 0 -20 *640:30 *2706:14 0.00269172 -21 *640:34 *2706:17 3.21865e-05 -22 *669:13 *2706:17 0.00015569 -23 *697:20 *2706:14 0.0013798 -24 *2668:19 *2706:17 0.000436167 -*RES -1 *2821:io_out[1] *2706:10 21.5001 -2 *2706:10 *2706:11 71.7127 -3 *2706:11 *2706:13 4.5 -4 *2706:13 *2706:14 976.844 -5 *2706:14 *2706:16 4.5 -6 *2706:16 *2706:17 53.4107 -7 *2706:17 *2782:user_gpio_out 18.6591 -*END - -*D_NET *2707 0.0319491 -*CONN -*I *2810:user_gpio_out I *D gpio_control_block -*I *2821:io_out[20] O *D user_project_wrapper -*CAP -1 *2810:user_gpio_out 0.000778955 -2 *2821:io_out[20] 0.00151074 -3 *2707:11 0.00306946 -4 *2707:10 0.00229051 -5 *2707:8 0.00190722 -6 *2707:7 0.00341795 -7 *2810:user_gpio_oeb *2810:user_gpio_out 0.000128434 -8 *100:23 *2810:user_gpio_out 0.000315052 -9 *626:19 *2810:user_gpio_out 0.00233203 -10 *688:14 *2707:11 0.00295958 -11 *2631:19 *2810:user_gpio_out 0.00312039 -12 *2631:20 *2707:11 0.00141435 -13 *2631:23 *2707:8 0.00870448 -*RES -1 *2821:io_out[20] *2707:7 40.0896 -2 *2707:7 *2707:8 91.1238 -3 *2707:8 *2707:10 4.5 -4 *2707:10 *2707:11 95.0561 -5 *2707:11 *2810:user_gpio_out 7.94489 -*END - -*D_NET *2708 0.014181 -*CONN -*I *2811:user_gpio_out I *D gpio_control_block -*I *2821:io_out[21] O *D user_project_wrapper -*CAP -1 *2811:user_gpio_out 0.00269942 -2 *2821:io_out[21] 0.000105688 -3 *2708:7 0.00629304 -4 *2708:5 0.00369932 -5 *626:29 *2811:user_gpio_out 0.000336633 -6 *650:23 *2811:user_gpio_out 0.000708883 -7 *1718:40 *2811:user_gpio_out 0.000338027 -*RES -1 *2821:io_out[21] *2708:5 2.33274 -2 *2708:5 *2708:7 94.8241 -3 *2708:7 *2811:user_gpio_out 48.7714 -*END - -*D_NET *2709 0.013703 -*CONN -*I *2812:user_gpio_out I *D gpio_control_block -*I *2821:io_out[22] O *D user_project_wrapper -*CAP -1 *2812:user_gpio_out 0.000109642 -2 *2821:io_out[22] 0.00477513 -3 *2709:11 0.00117355 -4 *2709:5 0.00583903 -5 *111:69 *2709:11 0.00165828 -6 *651:62 *2709:11 0.000127162 -7 *1719:40 *2709:11 0 -8 *2310:10 *2709:5 2.01653e-05 -9 *2386:10 *2709:5 0 -*RES -1 *2821:io_out[22] *2709:5 128.606 -2 *2709:5 *2709:11 46.7058 -3 *2709:11 *2812:user_gpio_out 3.58495 -*END - -*D_NET *2710 0.0270662 -*CONN -*I *2813:user_gpio_out I *D gpio_control_block -*I *2821:io_out[23] O *D user_project_wrapper -*CAP -1 *2813:user_gpio_out 9.8995e-05 -2 *2821:io_out[23] 0.0017195 -3 *2710:14 0.00226272 -4 *2710:13 0.00216373 -5 *2710:11 0.00233424 -6 *2710:10 0.00296817 -7 *2710:7 0.00235342 -8 *652:25 *2710:14 0.000120742 -9 *2273:19 *2710:11 0.000198881 -10 *2311:14 *2710:11 0.000922312 -11 *2425:16 *2710:11 0.00153522 -12 *2597:25 *2710:11 0.00124606 -13 *2672:11 *2710:11 0 -14 *2672:14 *2710:14 0.00914223 -*RES -1 *2821:io_out[23] *2710:7 48.3946 -2 *2710:7 *2710:10 21.8615 -3 *2710:10 *2710:11 89.4502 -4 *2710:11 *2710:13 4.5 -5 *2710:13 *2710:14 101.661 -6 *2710:14 *2813:user_gpio_out 8.38708 -*END - -*D_NET *2711 0.0137838 -*CONN -*I *2814:user_gpio_out I *D gpio_control_block -*I *2821:io_out[24] O *D user_project_wrapper -*CAP -1 *2814:user_gpio_out 0.001052 -2 *2821:io_out[24] 0.00156277 -3 *2711:11 0.00495541 -4 *2711:10 0.00546618 -5 *2814:user_gpio_oeb *2814:user_gpio_out 6.19346e-06 -6 *629:32 *2814:user_gpio_out 0.000741252 -7 *649:55 *2711:11 0 -8 *653:41 *2711:11 0 -9 *2635:14 *2711:10 0 -*RES -1 *2821:io_out[24] *2711:10 15.774 -2 *2711:10 *2711:11 102.216 -3 *2711:11 *2814:user_gpio_out 43.0525 -*END - -*D_NET *2712 0.0482077 -*CONN -*I *2815:user_gpio_out I *D gpio_control_block -*I *2821:io_out[25] O *D user_project_wrapper -*CAP -1 *2815:user_gpio_out 7.30035e-05 -2 *2821:io_out[25] 0.00264562 -3 *2712:17 0.00293995 -4 *2712:16 0.00286695 -5 *2712:14 0.015985 -6 *2712:13 0.0186306 -7 *2815:serial_clock *2815:user_gpio_out 0 -8 *2815:user_gpio_oeb *2815:user_gpio_out 5.83673e-05 -9 *114:33 *2712:17 0 -10 *632:55 *2815:user_gpio_out 5.38612e-06 -11 *2602:22 *2712:17 0 -12 *2636:14 *2712:13 0 -13 *2674:17 *2712:17 0 -14 *2675:14 *2712:14 0.00500289 -*RES -1 *2821:io_out[25] *2712:13 49.7711 -2 *2712:13 *2712:14 484.355 -3 *2712:14 *2712:16 4.5 -4 *2712:16 *2712:17 72.8219 -5 *2712:17 *2815:user_gpio_out 8.54006 -*END - -*D_NET *2713 0.0567521 -*CONN -*I *2816:user_gpio_out I *D gpio_control_block -*I *2821:io_out[26] O *D user_project_wrapper -*CAP -1 *2816:user_gpio_out 0.000119112 -2 *2821:io_out[26] 0.00167961 -3 *2713:17 0.00160577 -4 *2713:14 0.0107151 -5 *2713:13 0.00922843 -6 *2713:11 0.00217106 -7 *2713:10 0.00385068 -8 *2816:user_gpio_oeb *2816:user_gpio_out 1.29018e-05 -9 *2636:14 *2713:10 0 -10 *2637:16 *2713:14 0.0267103 -11 *2675:17 *2713:17 0.000659079 -*RES -1 *2821:io_out[26] *2713:10 19.096 -2 *2713:10 *2713:11 59.5114 -3 *2713:11 *2713:13 4.5 -4 *2713:13 *2713:14 426.635 -5 *2713:14 *2713:17 47.3733 -6 *2713:17 *2816:user_gpio_out 8.58662 -*END - -*D_NET *2714 0.0564746 -*CONN -*I *2817:user_gpio_out I *D gpio_control_block -*I *2821:io_out[27] O *D user_project_wrapper -*CAP -1 *2817:user_gpio_out 0.00332345 -2 *2821:io_out[27] 0.00125692 -3 *2714:8 0.0101839 -4 *2714:7 0.00811733 -5 *2817:user_gpio_oeb *2817:user_gpio_out 0.000387723 -6 *116:28 *2817:user_gpio_out 0 -7 *116:40 *2817:user_gpio_out 0.00166117 -8 *633:28 *2817:user_gpio_out 0.000124051 -9 *656:13 *2817:user_gpio_out 0.000237706 -10 *656:28 *2817:user_gpio_out 5.13735e-05 -11 *695:16 *2817:user_gpio_out 0 -12 *696:8 *2817:user_gpio_out 3.5534e-06 -13 *2638:13 *2817:user_gpio_out 0.00976537 -14 *2638:14 *2714:8 0.0119829 -15 *2676:8 *2714:8 0.00925746 -16 *2677:10 *2817:user_gpio_out 0.000121753 -*RES -1 *2821:io_out[27] *2714:7 7.56244 -2 *2714:7 *2714:8 394.661 -3 *2714:8 *2817:user_gpio_out 38.8701 -*END - -*D_NET *2715 0.0451841 -*CONN -*I *2818:user_gpio_out I *D gpio_control_block -*I *2821:io_out[28] O *D user_project_wrapper -*CAP -1 *2818:user_gpio_out 0.000118506 -2 *2821:io_out[28] 0.00188448 -3 *2715:17 0.00158937 -4 *2715:14 0.00827928 -5 *2715:13 0.00680842 -6 *2715:11 0.00217937 -7 *2715:10 0.00406385 -8 *2818:user_gpio_oeb *2818:user_gpio_out 6.19346e-06 -9 *2603:25 *2715:14 0.0196205 -10 *2638:14 *2715:10 0 -11 *2639:12 *2818:user_gpio_out 0 -12 *2639:13 *2715:17 0.000634202 -*RES -1 *2821:io_out[28] *2715:10 22.418 -2 *2715:10 *2715:11 60.066 -3 *2715:11 *2715:13 4.5 -4 *2715:13 *2715:14 313.686 -5 *2715:14 *2715:17 46.8187 -6 *2715:17 *2818:user_gpio_out 8.58662 -*END - -*D_NET *2716 0.0393641 -*CONN -*I *2804:user_gpio_out I *D gpio_control_block -*I *2821:io_out[29] O *D user_project_wrapper -*CAP -1 *2804:user_gpio_out 0.000100036 -2 *2821:io_out[29] 0.00195965 -3 *2716:17 0.00203871 -4 *2716:16 0.00193867 -5 *2716:14 0.00555075 -6 *2716:13 0.00716501 -7 *2716:10 0.0035739 -8 *2804:user_gpio_oeb *2804:user_gpio_out 4.31243e-06 -9 *2604:17 *2716:14 0.0161144 -10 *2640:12 *2804:user_gpio_out 0 -11 *2640:13 *2716:17 0.000918733 -12 *2677:17 *2716:13 0 -*RES -1 *2821:io_out[29] *2716:10 24.9095 -2 *2716:10 *2716:13 49.0371 -3 *2716:13 *2716:14 257.212 -4 *2716:14 *2716:16 4.5 -5 *2716:16 *2716:17 57.8476 -6 *2716:17 *2804:user_gpio_out 8.53008 -*END - -*D_NET *2717 0.126098 -*CONN -*I *2797:user_gpio_out I *D gpio_control_block -*I *2821:io_out[2] O *D user_project_wrapper -*CAP -1 *2797:user_gpio_out 0.000336854 -2 *2821:io_out[2] 0.00160215 -3 *2717:19 0.00139816 -4 *2717:14 0.0152847 -5 *2717:13 0.0142234 -6 *2717:11 0.00326872 -7 *2717:10 0.00487088 -8 *2797:user_gpio_oeb *2797:user_gpio_out 7.51136e-05 -9 *643:38 *2717:14 0.0382538 -10 *670:13 *2797:user_gpio_out 0.000763089 -11 *1711:17 *2717:14 0.00418057 -12 *2619:16 *2717:14 0.0242875 -13 *2630:14 *2717:14 0.0073403 -14 *2641:10 *2797:user_gpio_out 0.000569887 -15 *2641:13 *2717:19 0.00127488 -16 *2690:14 *2717:14 0.00836789 -17 *2695:14 *2717:14 0 -*RES -1 *2821:io_out[2] *2717:10 13.8179 -2 *2717:10 *2717:11 82.2501 -3 *2717:11 *2717:13 4.5 -4 *2717:13 *2717:14 958.988 -5 *2717:14 *2717:19 42.445 -6 *2717:19 *2797:user_gpio_out 18.1586 -*END - -*D_NET *2718 0.040505 -*CONN -*I *2805:user_gpio_out I *D gpio_control_block -*I *2821:io_out[30] O *D user_project_wrapper -*CAP -1 *2805:user_gpio_out 0.000100741 -2 *2821:io_out[30] 0.00203262 -3 *2718:17 0.00179449 -4 *2718:14 0.00327106 -5 *2718:13 0.00157731 -6 *2718:11 0.00219915 -7 *2718:10 0.00423177 -8 *2805:serial_load *2805:user_gpio_out 1.66626e-05 -9 *2805:serial_load *2718:17 6.82095e-05 -10 *2805:user_gpio_oeb *2805:user_gpio_out 0 -11 *649:56 *2718:14 0.0126083 -12 *2605:17 *2718:14 0.0126047 -13 *2642:10 *2718:10 0 -*RES -1 *2821:io_out[30] *2718:10 26.9858 -2 *2718:10 *2718:11 60.6206 -3 *2718:11 *2718:13 4.5 -4 *2718:13 *2718:14 201.153 -5 *2718:14 *2718:17 46.8187 -6 *2718:17 *2805:user_gpio_out 8.47354 -*END - -*D_NET *2719 0.0239211 -*CONN -*I *2806:user_gpio_out I *D gpio_control_block -*I *2821:io_out[31] O *D user_project_wrapper -*CAP -1 *2806:user_gpio_out 0.000123882 -2 *2821:io_out[31] 0.00206389 -3 *2719:17 0.00158172 -4 *2719:14 0.00507659 -5 *2719:13 0.00361874 -6 *2719:11 0.00215958 -7 *2719:10 0.00422347 -8 *1728:27 *2719:14 0.00440134 -9 *2643:12 *2806:user_gpio_out 0 -10 *2643:13 *2719:17 0.000671929 -*RES -1 *2821:io_out[31] *2719:10 28.2315 -2 *2719:10 *2719:11 59.5114 -3 *2719:11 *2719:13 4.5 -4 *2719:13 *2719:14 144.264 -5 *2719:14 *2719:17 47.3733 -6 *2719:17 *2806:user_gpio_out 8.58662 -*END - -*D_NET *2720 0.0707072 -*CONN -*I *2807:user_gpio_out I *D gpio_control_block -*I *2821:io_out[32] O *D user_project_wrapper -*CAP -1 *2807:user_gpio_out 0.000509447 -2 *2821:io_out[32] 0.00156276 -3 *2720:14 0.0197414 -4 *2720:13 0.019232 -5 *2720:11 0.00367131 -6 *2720:10 0.00523407 -7 *2807:user_gpio_oeb *2807:user_gpio_out 6.19346e-06 -8 *2822:mprj_io_analog_sel[31] *2720:14 0 -9 *2822:mprj_io_dm[95] *2720:14 0 -10 *2822:mprj_io_holdover[31] *2720:14 0 -11 *2822:mprj_io_ib_mode_sel[31] *2720:14 0 -12 *2822:mprj_io_oeb[31] *2720:14 0 -13 *2822:mprj_io_out[31] *2720:14 0 -14 *2822:mprj_io_vtrip_sel[31] *2720:14 0 -15 *106:31 *2720:14 0.00928784 -16 *106:37 *2720:14 0.00914494 -17 *2358:12 *2720:14 0.00231718 -*RES -1 *2821:io_out[32] *2720:10 13.2824 -2 *2720:10 *2720:11 94.4514 -3 *2720:11 *2720:13 4.5 -4 *2720:13 *2720:14 617.236 -5 *2720:14 *2807:user_gpio_out 23.9266 -*END - -*D_NET *2721 0.0714987 -*CONN -*I *2808:user_gpio_out I *D gpio_control_block -*I *2821:io_out[33] O *D user_project_wrapper -*CAP -1 *2808:user_gpio_out 0.000140771 -2 *2821:io_out[33] 0.00163418 -3 *2721:17 0.00203397 -4 *2721:16 0.0018932 -5 *2721:14 0.0121572 -6 *2721:13 0.01376 -7 *2721:10 0.00323698 -8 *2808:user_gpio_oeb *2808:user_gpio_out 6.88205e-06 -9 *104:23 *2721:17 0.000317616 -10 *104:37 *2721:17 0.00100216 -11 *2608:11 *2721:14 0.0353158 -12 *2644:14 *2721:10 0 -*RES -1 *2821:io_out[33] *2721:10 14.9435 -2 *2721:10 *2721:13 49.0371 -3 *2721:13 *2721:14 563.668 -4 *2721:14 *2721:16 4.5 -5 *2721:16 *2721:17 57.8476 -6 *2721:17 *2808:user_gpio_out 8.58662 -*END - -*D_NET *2722 0.0641631 -*CONN -*I *2809:user_gpio_out I *D gpio_control_block -*I *2821:io_out[34] O *D user_project_wrapper -*CAP -1 *2809:user_gpio_out 0.00111462 -2 *2821:io_out[34] 0.00165525 -3 *2722:14 0.0132676 -4 *2722:13 0.012153 -5 *2722:11 0.00154008 -6 *2722:10 0.00319533 -7 *2807:user_gpio_oeb *2722:10 1.7794e-05 -8 *2807:user_gpio_oeb *2722:11 0.000803374 -9 *2809:user_gpio_oeb *2809:user_gpio_out 0.00217856 -10 *101:48 *2722:14 0.00110084 -11 *622:13 *2809:user_gpio_out 0 -12 *622:28 *2809:user_gpio_out 0.000272973 -13 *643:29 *2722:11 0.00664542 -14 *1730:29 *2722:14 0.00800914 -15 *1731:27 *2722:14 0.0118964 -16 *2644:13 *2722:10 0.000142582 -17 *2644:14 *2722:10 0 -18 *2646:12 *2809:user_gpio_out 0.000170266 -*RES -1 *2821:io_out[34] *2722:10 16.6045 -2 *2722:10 *2722:11 70.0488 -3 *2722:11 *2722:13 4.5 -4 *2722:13 *2722:14 505.533 -5 *2722:14 *2809:user_gpio_out 47.3227 -*END - -*D_NET *2723 0.0594828 -*CONN -*I *2783:user_gpio_out I *D gpio_control_block -*I *2821:io_out[35] O *D user_project_wrapper -*CAP -1 *2783:user_gpio_out 0.000134381 -2 *2821:io_out[35] 0.00175105 -3 *2723:17 0.00194317 -4 *2723:14 0.0115401 -5 *2723:13 0.00973128 -6 *2723:11 0.00215958 -7 *2723:10 0.00391063 -8 *2783:serial_clock *2783:user_gpio_out 5.38612e-06 -9 *2783:user_gpio_oeb *2783:user_gpio_out 6.19346e-06 -10 *106:13 *2783:user_gpio_out 6.98716e-05 -11 *2610:11 *2723:14 0.0282312 -12 *2646:16 *2723:10 0 -13 *2685:17 *2723:17 0 -*RES -1 *2821:io_out[35] *2723:10 18.2655 -2 *2723:10 *2723:11 59.5114 -3 *2723:11 *2723:13 4.5 -4 *2723:13 *2723:14 450.72 -5 *2723:14 *2723:17 47.3733 -6 *2723:17 *2783:user_gpio_out 8.58662 -*END - -*D_NET *2724 0.0532229 -*CONN -*I *2784:user_gpio_out I *D gpio_control_block -*I *2821:io_out[36] O *D user_project_wrapper -*CAP -1 *2784:user_gpio_out 0.000137819 -2 *2821:io_out[36] 0.00165085 -3 *2724:19 0.00148306 -4 *2724:14 0.0130169 -5 *2724:13 0.0116716 -6 *2724:11 0.00229609 -7 *2724:10 0.00394694 -8 *2784:user_gpio_oeb *2784:user_gpio_out 8.62976e-06 -9 *649:56 *2724:14 0.00739552 -10 *1728:27 *2724:14 0.0109616 -11 *2686:17 *2724:19 0.000653913 -*RES -1 *2821:io_out[36] *2724:10 15.774 -2 *2724:10 *2724:11 61.7298 -3 *2724:11 *2724:13 4.5 -4 *2724:13 *2724:14 478.957 -5 *2724:14 *2724:19 49.6549 -6 *2724:19 *2784:user_gpio_out 4.08662 -*END - -*D_NET *2725 0.0709601 -*CONN -*I *2785:user_gpio_out I *D gpio_control_block -*I *2821:io_out[37] O *D user_project_wrapper -*CAP -1 *2785:user_gpio_out 0.00078507 -2 *2821:io_out[37] 0.00148562 -3 *2725:14 0.00764677 -4 *2725:13 0.0068617 -5 *2725:11 0.00285398 -6 *2725:10 0.0043396 -7 *2785:user_gpio_oeb *2785:user_gpio_out 5.66917e-05 -8 *109:26 *2725:14 0 -9 *625:20 *2725:14 0 -10 *647:28 *2725:14 9.2668e-05 -11 *1721:27 *2725:14 0.00790333 -12 *1723:27 *2725:14 0.00201902 -13 *1724:31 *2725:14 6.3191e-06 -14 *1732:31 *2725:14 0.0258221 -15 *1746:19 *2725:14 9.2346e-06 -16 *1747:10 *2785:user_gpio_out 0.00253968 -17 *1751:19 *2725:14 0.00656713 -18 *2647:17 *2725:10 0.000694671 -19 *2647:17 *2725:11 0.00127651 -*RES -1 *2821:io_out[37] *2725:10 13.2824 -2 *2725:10 *2725:11 75.0403 -3 *2725:11 *2725:13 4.5 -4 *2725:13 *2725:14 505.948 -5 *2725:14 *2785:user_gpio_out 40.3681 -*END - -*D_NET *2726 0.131851 -*CONN -*I *2798:user_gpio_out I *D gpio_control_block -*I *2821:io_out[3] O *D user_project_wrapper -*CAP -1 *2798:user_gpio_out 0.000274747 -2 *2821:io_out[3] 0.00145858 -3 *2726:17 0.00300439 -4 *2726:16 0.00272964 -5 *2726:14 0.0113174 -6 *2726:13 0.012681 -7 *2726:10 0.00282216 -8 *2798:serial_load_out *2726:17 0.000219767 -9 *2798:user_gpio_oeb *2798:user_gpio_out 3.30793e-05 -10 *627:22 *2726:10 0 -11 *671:13 *2726:17 0.000751257 -12 *2650:10 *2798:user_gpio_out 4.59232e-05 -13 *2650:11 *2726:17 0.00207006 -14 *2650:14 *2726:14 0.0519601 -15 *2653:14 *2726:14 0.00238138 -16 *2688:17 *2726:17 2.18041e-06 -17 *2689:14 *2726:14 0.0400997 -*RES -1 *2821:io_out[3] *2726:10 19.4239 -2 *2726:10 *2726:13 40.718 -3 *2726:13 *2726:14 910.404 -4 *2726:14 *2726:16 4.5 -5 *2726:16 *2726:17 91.1238 -6 *2726:17 *2798:user_gpio_out 13.1178 -*END - -*D_NET *2727 0.0873457 -*CONN -*I *2799:user_gpio_out I *D gpio_control_block -*I *2821:io_out[4] O *D user_project_wrapper -*CAP -1 *2799:user_gpio_out 8.3457e-05 -2 *2821:io_out[4] 0.00110343 -3 *2727:17 0.00247468 -4 *2727:16 0.00239122 -5 *2727:14 0.0215149 -6 *2727:13 0.0215149 -7 *2727:11 0.00291854 -8 *2727:10 0.00402197 -9 *2799:user_gpio_oeb *2799:user_gpio_out 5.17837e-06 -10 *616:44 *2727:14 0.0224909 -11 *2651:10 *2799:user_gpio_out 0 -12 *2706:14 *2727:14 0.00882655 -*RES -1 *2821:io_out[4] *2727:10 13.7524 -2 *2727:10 *2727:11 77.2587 -3 *2727:11 *2727:13 4.5 -4 *2727:13 *2727:14 878.014 -5 *2727:14 *2727:16 4.5 -6 *2727:16 *2727:17 54.5199 -7 *2727:17 *2799:user_gpio_out 8.46357 -*END - -*D_NET *2728 0.119781 -*CONN -*I *2800:user_gpio_out I *D gpio_control_block -*I *2821:io_out[5] O *D user_project_wrapper -*CAP -1 *2800:user_gpio_out 0.000308779 -2 *2821:io_out[5] 0.00160213 -3 *2728:17 0.0025319 -4 *2728:16 0.00222312 -5 *2728:14 0.0122484 -6 *2728:13 0.0138722 -7 *2728:10 0.00322592 -8 *2800:serial_data_in *2800:user_gpio_out 8.11463e-06 -9 *2800:serial_data_in *2728:17 0.00141562 -10 *2800:serial_load *2728:17 0.00022826 -11 *2800:user_gpio_oeb *2800:user_gpio_out 0.000774786 -12 *114:48 *2800:user_gpio_out 0.000924368 -13 *629:47 *2728:17 0.000495389 -14 *2651:14 *2728:14 0.0337858 -15 *2688:14 *2728:14 0.00876395 -16 *2690:19 *2728:17 0.00124226 -17 *2691:14 *2728:14 0.03613 -*RES -1 *2821:io_out[5] *2728:10 13.8179 -2 *2728:10 *2728:13 47.3733 -3 *2728:13 *2728:14 863.896 -4 *2728:14 *2728:16 4.5 -5 *2728:16 *2728:17 72.2673 -6 *2728:17 *2800:user_gpio_out 23.5656 -*END - -*D_NET *2729 0.10032 -*CONN -*I *2801:user_gpio_out I *D gpio_control_block -*I *2821:io_out[6] O *D user_project_wrapper -*CAP -1 *2801:user_gpio_out 0.000132037 -2 *2821:io_out[6] 0.001404 -3 *2729:17 0.00198481 -4 *2729:16 0.00185278 -5 *2729:14 0.0175011 -6 *2729:13 0.0175011 -7 *2729:11 0.0026156 -8 *2729:10 0.0040196 -9 *2729:11 *2731:17 0 -10 *2801:user_gpio_oeb *2801:user_gpio_out 1.29141e-05 -11 *627:22 *2729:10 0 -12 *674:10 *2801:user_gpio_out 0.000124349 -13 *2537:12 *2729:14 0.0509139 -14 *2691:17 *2729:17 0.00225746 -*RES -1 *2821:io_out[6] *2729:10 18.8556 -2 *2729:10 *2729:11 70.0488 -3 *2729:11 *2729:13 4.5 -4 *2729:13 *2729:14 812.404 -5 *2729:14 *2729:16 4.5 -6 *2729:16 *2729:17 58.9568 -7 *2729:17 *2801:user_gpio_out 10.1346 -*END - -*D_NET *2730 0.0991432 -*CONN -*I *2802:user_gpio_out I *D gpio_control_block -*I *2821:io_out[7] O *D user_project_wrapper -*CAP -1 *2802:user_gpio_out 8.3151e-05 -2 *2821:io_out[7] 0.00160213 -3 *2730:20 0.00129845 -4 *2730:14 0.00600852 -5 *2730:13 0.00479323 -6 *2730:11 0.00321772 -7 *2730:10 0.00481985 -8 *2802:serial_load_out *2730:20 0.00016519 -9 *2802:user_gpio_oeb *2802:user_gpio_out 2.50257e-05 -10 *2802:user_gpio_oeb *2730:20 1.66771e-05 -11 *619:26 *2730:14 0.0378691 -12 *643:38 *2730:14 0.0378655 -13 *2445:11 *2730:20 7.61581e-05 -14 *2654:11 *2730:20 0.00130262 -*RES -1 *2821:io_out[7] *2730:10 13.8179 -2 *2730:10 *2730:11 81.1409 -3 *2730:11 *2730:13 4.5 -4 *2730:13 *2730:14 604.778 -5 *2730:14 *2730:20 46.9789 -6 *2730:20 *2802:user_gpio_out 3.58495 -*END - -*D_NET *2731 0.0790318 -*CONN -*I *2786:user_gpio_out I *D gpio_control_block -*I *2821:io_out[8] O *D user_project_wrapper -*CAP -1 *2786:user_gpio_out 0.000126855 -2 *2821:io_out[8] 0.00130176 -3 *2731:17 0.00250162 -4 *2731:16 0.00237477 -5 *2731:14 0.0149883 -6 *2731:13 0.0149883 -7 *2731:11 0.00205048 -8 *2731:10 0.00335225 -9 *2731:14 *2732:14 2.19276e-05 -10 *2786:serial_load_out *2786:user_gpio_out 0 -11 *2786:user_gpio_oeb *2786:user_gpio_out 2.90444e-05 -12 *627:22 *2731:10 0 -13 *676:8 *2786:user_gpio_out 0 -14 *2654:14 *2731:14 0.00514355 -15 *2656:16 *2731:14 0.0241799 -16 *2691:14 *2731:14 0.00518519 -17 *2693:17 *2731:17 0.00278774 -18 *2729:11 *2731:17 0 -*RES -1 *2821:io_out[8] *2731:10 15.9489 -2 *2731:10 *2731:11 54.5199 -3 *2731:11 *2731:13 4.5 -4 *2731:13 *2731:14 655.854 -5 *2731:14 *2731:16 4.5 -6 *2731:16 *2731:17 74.4857 -7 *2731:17 *2786:user_gpio_out 9.36058 -*END - -*D_NET *2732 0.0881699 -*CONN -*I *2788:user_gpio_out I *D gpio_control_block -*I *2821:io_out[9] O *D user_project_wrapper -*CAP -1 *2788:user_gpio_out 0.00029897 -2 *2821:io_out[9] 0.00142245 -3 *2732:17 0.00268494 -4 *2732:16 0.00238597 -5 *2732:14 0.0155257 -6 *2732:13 0.0155257 -7 *2732:11 0.002039 -8 *2732:10 0.00346144 -9 *2788:serial_load_out *2788:user_gpio_out 7.01545e-06 -10 *2788:user_gpio_oeb *2788:user_gpio_out 7.93619e-05 -11 *627:22 *2732:10 0 -12 *2103:8 *2788:user_gpio_out 0 -13 *2621:16 *2732:14 0.0023384 -14 *2656:12 *2788:user_gpio_out 6.75696e-05 -15 *2656:13 *2732:17 0.00288031 -16 *2656:16 *2732:14 0.0394312 -17 *2731:14 *2732:14 2.19276e-05 -*RES -1 *2821:io_out[9] *2732:10 20.3636 -2 *2732:10 *2732:11 54.5199 -3 *2732:11 *2732:13 4.5 -4 *2732:13 *2732:14 706.93 -5 *2732:14 *2732:16 4.5 -6 *2732:16 *2732:17 76.1495 -7 *2732:17 *2788:user_gpio_out 15.1176 -*END - -*D_NET *2733 0.0948328 -*CONN -*I *2826:irq[0] I *D mgmt_core_wrapper -*I *2820:user_irq[0] O *D mgmt_protect -*CAP -1 *2826:irq[0] 0.00109715 -2 *2820:user_irq[0] 0.00113591 -3 *2733:14 0.00266581 -4 *2733:13 0.00156867 -5 *2733:11 0.03331 -6 *2733:10 0.0344459 -7 *2826:irq[0] *2734:18 0 -8 *2826:irq[0] *2735:14 0 -9 *2826:irq[0] *2741:12 0 -10 *2733:14 *2739:7 0.00920295 -11 *2733:14 *2740:7 1.13071e-05 -12 *2733:14 *2741:13 0.00916368 -13 *1774:25 *2826:irq[0] 0.00111432 -14 *1778:26 *2826:irq[0] 7.09666e-06 -15 *1890:25 *2826:irq[0] 0.00111012 -*RES -1 *2820:user_irq[0] *2733:10 16.0581 -2 *2733:10 *2733:11 933.567 -3 *2733:11 *2733:13 4.5 -4 *2733:13 *2733:14 150.908 -5 *2733:14 *2826:irq[0] 44.839 -*END - -*D_NET *2734 0.089857 -*CONN -*I *2826:irq[1] I *D mgmt_core_wrapper -*I *2820:user_irq[1] O *D mgmt_protect -*CAP -1 *2826:irq[1] 0.000114443 -2 *2820:user_irq[1] 0.00120893 -3 *2734:18 0.00221525 -4 *2734:16 0.00212658 -5 *2734:14 0.00297461 -6 *2734:13 0.00294883 -7 *2734:11 0.033898 -8 *2734:10 0.0351069 -9 *2734:14 *2735:14 0.0084484 -10 *2734:18 *2826:irq[2] 0 -11 *2734:18 *2735:14 0.000814991 -12 *2826:irq[0] *2734:18 0 -*RES -1 *2820:user_irq[1] *2734:10 18.1344 -2 *2734:10 *2734:11 949.096 -3 *2734:11 *2734:13 4.5 -4 *2734:13 *2734:14 135.238 -5 *2734:14 *2734:16 0.732798 -6 *2734:16 *2734:18 54.6667 -7 *2734:18 *2826:irq[1] 2.61365 -*END - -*D_NET *2735 0.0921209 -*CONN -*I *2826:irq[2] I *D mgmt_core_wrapper -*I *2820:user_irq[2] O *D mgmt_protect -*CAP -1 *2826:irq[2] 0.00110558 -2 *2820:user_irq[2] 0.00131117 -3 *2735:14 0.00593152 -4 *2735:13 0.00482594 -5 *2735:11 0.0338668 -6 *2735:10 0.0351779 -7 *2826:irq[0] *2735:14 0 -8 *1770:10 *2826:irq[2] 0.000638598 -9 *1778:26 *2735:14 0 -10 *2734:14 *2735:14 0.0084484 -11 *2734:18 *2826:irq[2] 0 -12 *2734:18 *2735:14 0.000814991 -*RES -1 *2820:user_irq[2] *2735:10 21.0412 -2 *2735:10 *2735:11 948.541 -3 *2735:11 *2735:13 4.5 -4 *2735:13 *2735:14 186.204 -5 *2735:14 *2826:irq[2] 38.6018 -*END - -*D_NET *2736 0.32445 -*CONN -*I *2820:user_irq_core[0] I *D mgmt_protect -*I *2821:user_irq[0] O *D user_project_wrapper -*CAP -1 *2820:user_irq_core[0] 0.00202104 -2 *2821:user_irq[0] 0.00130724 -3 *2736:8 0.0294936 -4 *2736:7 0.0287798 -5 *2820:user_irq_core[0] *2820:user_irq_core[1] 0 -6 *1282:8 *2736:8 0.0752925 -7 *1283:8 *2736:8 0 -8 *1565:20 *2736:8 0.00957124 -9 *1574:12 *2736:8 0.0218273 -10 *1631:16 *2820:user_irq_core[0] 0 -11 *1666:8 *2736:8 0.00032807 -12 *1822:7 *2820:user_irq_core[0] 0.00218348 -13 *1822:10 *2736:8 0.153646 -*RES -1 *2821:user_irq[0] *2736:7 39.9965 -2 *2736:7 *2736:8 195.788 -3 *2736:8 *2820:user_irq_core[0] 49.8648 -*END - -*D_NET *2737 0.25405 -*CONN -*I *2820:user_irq_core[1] I *D mgmt_protect -*I *2821:user_irq[1] O *D user_project_wrapper -*CAP -1 *2820:user_irq_core[1] 0.00162681 -2 *2821:user_irq[1] 0.00188761 -3 *2737:8 0.0269058 -4 *2737:7 0.025279 -5 *2737:5 0.00188761 -6 *2820:user_irq_core[1] *2820:user_irq_core[2] 0 -7 *2737:8 *2738:8 0.0309934 -8 *2820:user_irq_core[0] *2820:user_irq_core[1] 0 -9 *1573:8 *2737:8 0.129655 -10 *1631:16 *2820:user_irq_core[1] 8.62625e-06 -11 *1649:8 *2737:8 0.0358068 -*RES -1 *2821:user_irq[1] *2737:5 53.0301 -2 *2737:5 *2737:7 4.5 -3 *2737:7 *2737:8 1423.28 -4 *2737:8 *2820:user_irq_core[1] 33.8608 -*END - -*D_NET *2738 0.324432 -*CONN -*I *2820:user_irq_core[2] I *D mgmt_protect -*I *2821:user_irq[2] O *D user_project_wrapper -*CAP -1 *2820:user_irq_core[2] 0.00162184 -2 *2821:user_irq[2] 0.00189144 -3 *2738:8 0.0245942 -4 *2738:7 0.0229723 -5 *2738:5 0.00189144 -6 *2820:user_irq_core[1] *2820:user_irq_core[2] 0 -7 *918:8 *2738:8 0 -8 *1247:5 *2820:user_irq_core[2] 0 -9 *1281:8 *2738:8 0.0725097 -10 *1573:8 *2738:8 0.0154525 -11 *1575:8 *2738:8 0.152505 -12 *1720:31 *2738:5 0 -13 *2737:8 *2738:8 0.0309934 -*RES -1 *2821:user_irq[2] *2738:5 53.2378 -2 *2738:5 *2738:7 3.36879 -3 *2738:7 *2738:8 196.936 -4 *2738:8 *2820:user_irq_core[2] 32.5219 -*END - -*D_NET *2739 0.0919025 -*CONN -*I *2820:user_irq_ena[0] I *D mgmt_protect -*I *2826:user_irq_ena[0] O *D mgmt_core_wrapper -*CAP -1 *2820:user_irq_ena[0] 0.0013988 -2 *2826:user_irq_ena[0] 4.06432e-05 -3 *2739:10 0.0346775 -4 *2739:9 0.0332787 -5 *2739:7 0.00553648 -6 *2739:5 0.00557712 -7 *2739:7 *2740:7 0.000384973 -8 *2739:7 *2741:13 0.00153383 -9 *1913:10 *2739:7 0 -10 *1913:15 *2739:7 0.000271492 -11 *2733:14 *2739:7 0.00920295 -*RES -1 *2826:user_irq_ena[0] *2739:5 0.928211 -2 *2739:5 *2739:7 223.369 -3 *2739:7 *2739:9 4.5 -4 *2739:9 *2739:10 933.012 -5 *2739:10 *2820:user_irq_ena[0] 23.5327 -*END - -*D_NET *2740 0.0954204 -*CONN -*I *2820:user_irq_ena[1] I *D mgmt_protect -*I *2826:user_irq_ena[1] O *D mgmt_core_wrapper -*CAP -1 *2820:user_irq_ena[1] 0.0017209 -2 *2826:user_irq_ena[1] 0.000114443 -3 *2740:10 0.0348529 -4 *2740:9 0.033132 -5 *2740:7 0.00584692 -6 *2740:5 0.00596137 -7 *2740:7 *2741:12 0 -8 *2740:7 *2741:13 0.0133956 -9 *2733:14 *2740:7 1.13071e-05 -10 *2739:7 *2740:7 0.000384973 -*RES -1 *2826:user_irq_ena[1] *2740:5 2.61365 -2 *2740:5 *2740:7 240.394 -3 *2740:7 *2740:9 4.5 -4 *2740:9 *2740:10 928.575 -5 *2740:10 *2820:user_irq_ena[1] 27.2808 -*END - -*D_NET *2741 0.103504 -*CONN -*I *2820:user_irq_ena[2] I *D mgmt_protect -*I *2826:user_irq_ena[2] O *D mgmt_core_wrapper -*CAP -1 *2820:user_irq_ena[2] 0.00156902 -2 *2826:user_irq_ena[2] 0.00111296 -3 *2741:16 0.0343139 -4 *2741:15 0.0327448 -5 *2741:13 0.00368773 -6 *2741:12 0.0048007 -7 *2826:irq[0] *2741:12 0 -8 *1780:24 *2741:12 7.09666e-06 -9 *1890:25 *2741:12 0.000589689 -10 *1893:10 *2741:12 0.000585477 -11 *2733:14 *2741:13 0.00916368 -12 *2739:7 *2741:13 0.00153383 -13 *2740:7 *2741:12 0 -14 *2740:7 *2741:13 0.0133956 -*RES -1 *2826:user_irq_ena[2] *2741:12 40.1235 -2 *2741:12 *2741:13 251.399 -3 *2741:13 *2741:15 4.5 -4 *2741:15 *2741:16 918.038 -5 *2741:16 *2820:user_irq_ena[2] 14.1238 -*END diff --git a/spef/caravel_clocking.spef b/spef/caravel_clocking.spef deleted file mode 100644 index cb938499..00000000 --- a/spef/caravel_clocking.spef +++ /dev/null @@ -1,11740 +0,0 @@ -*SPEF "ieee 1481-1999" -*DESIGN "caravel_clocking" -*DATE "11:11:11 Fri 11 11, 1111" -*VENDOR "OpenRCX" -*PROGRAM "Parallel Extraction" -*VERSION "1.0" -*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" -*DIVIDER / -*DELIMITER : -*BUS_DELIMITER [] -*T_UNIT 1 NS -*C_UNIT 1 PF -*R_UNIT 1 OHM -*L_UNIT 1 HENRY - -*NAME_MAP -*3 core_clk -*4 ext_clk -*5 ext_clk_sel -*6 ext_reset -*7 pll_clk -*8 pll_clk90 -*9 resetb -*10 resetb_sync -*11 sel2[0] -*12 sel2[1] -*13 sel2[2] -*14 sel[0] -*15 sel[1] -*16 sel[2] -*17 user_clk -*18 _000_ -*19 _001_ -*20 _002_ -*21 _003_ -*22 _004_ -*23 _005_ -*24 _006_ -*25 _007_ -*26 _008_ -*27 _009_ -*28 _010_ -*29 _011_ -*30 _012_ -*31 _013_ -*32 _014_ -*33 _015_ -*34 _016_ -*35 _017_ -*36 _018_ -*37 _019_ -*38 _020_ -*39 _021_ -*40 _022_ -*41 _023_ -*42 _024_ -*43 _025_ -*44 _026_ -*45 _027_ -*46 _028_ -*47 _029_ -*48 _030_ -*49 _031_ -*50 _032_ -*51 _033_ -*52 _034_ -*53 _035_ -*54 _036_ -*55 _037_ -*56 _038_ -*57 _039_ -*58 _040_ -*59 _041_ -*60 _042_ -*61 _043_ -*62 _044_ -*63 _045_ -*64 _046_ -*65 _047_ -*66 _048_ -*67 _049_ -*68 _050_ -*69 _051_ -*70 _052_ -*71 _053_ -*72 _054_ -*73 _055_ -*74 _056_ -*75 _057_ -*76 _058_ -*77 _059_ -*78 _060_ -*79 _061_ -*80 _062_ -*81 _063_ -*82 _064_ -*83 _065_ -*84 _066_ -*85 _067_ -*86 _068_ -*87 _069_ -*88 _070_ -*89 _071_ -*90 _072_ -*91 _073_ -*92 _074_ -*93 _075_ -*94 _076_ -*95 _077_ -*96 _078_ -*97 _088_ -*98 _089_ -*99 _090_ -*100 _091_ -*101 _092_ -*102 _093_ -*103 _094_ -*104 _095_ -*105 _096_ -*106 _097_ -*107 _098_ -*108 _099_ -*109 _100_ -*110 _101_ -*111 _102_ -*112 _103_ -*113 _104_ -*114 _105_ -*115 _106_ -*116 _107_ -*117 _108_ -*118 _109_ -*119 _110_ -*120 _111_ -*121 _112_ -*122 _113_ -*123 _114_ -*124 _115_ -*125 _116_ -*126 _117_ -*127 _118_ -*128 _119_ -*129 _120_ -*130 _121_ -*131 _122_ -*132 _123_ -*133 _124_ -*134 _125_ -*135 _126_ -*136 _127_ -*137 _128_ -*138 _129_ -*139 _130_ -*140 _131_ -*141 _132_ -*142 _133_ -*143 _134_ -*144 _135_ -*145 _136_ -*146 _137_ -*147 _138_ -*148 _139_ -*149 _140_ -*150 _141_ -*151 _142_ -*152 _143_ -*153 _144_ -*154 _145_ -*155 _146_ -*156 _147_ -*157 _148_ -*158 _149_ -*159 _150_ -*160 _151_ -*161 _152_ -*162 _153_ -*163 _154_ -*164 _155_ -*165 _156_ -*166 _157_ -*167 _158_ -*168 _159_ -*169 _160_ -*170 _161_ -*171 _162_ -*172 _163_ -*173 _164_ -*174 _165_ -*175 _166_ -*176 _167_ -*177 _168_ -*178 _169_ -*179 _170_ -*180 _171_ -*181 _172_ -*182 _173_ -*183 _174_ -*184 _175_ -*185 _176_ -*186 _177_ -*187 _178_ -*188 _179_ -*189 _180_ -*190 _181_ -*191 _182_ -*192 _183_ -*193 _184_ -*194 _185_ -*195 _186_ -*196 _187_ -*197 _188_ -*198 _189_ -*199 _190_ -*200 _191_ -*201 _192_ -*202 _193_ -*203 _194_ -*204 _195_ -*205 _196_ -*206 _197_ -*207 _198_ -*208 _199_ -*209 _200_ -*210 clknet_0__037_ -*211 clknet_0_divider\.out -*212 clknet_0_divider2\.out -*213 clknet_0_ext_clk -*214 clknet_0_net10 -*215 clknet_0_pll_clk -*216 clknet_0_pll_clk90 -*217 clknet_1_0__leaf__037_ -*218 clknet_1_0__leaf_divider\.out -*219 clknet_1_0__leaf_divider2\.out -*220 clknet_1_0__leaf_ext_clk -*221 clknet_1_0__leaf_pll_clk -*222 clknet_1_0__leaf_pll_clk90 -*223 clknet_1_1__leaf__037_ -*224 clknet_1_1__leaf_divider\.out -*225 clknet_1_1__leaf_divider2\.out -*226 clknet_1_1__leaf_ext_clk -*227 clknet_1_1__leaf_net10 -*228 clknet_1_1__leaf_pll_clk -*229 clknet_1_1__leaf_pll_clk90 -*230 divider\.even_0\.N\[0\] -*231 divider\.even_0\.N\[1\] -*232 divider\.even_0\.N\[2\] -*233 divider\.even_0\.counter\[0\] -*234 divider\.even_0\.counter\[1\] -*235 divider\.even_0\.counter\[2\] -*236 divider\.even_0\.out_counter -*237 divider\.odd_0\.counter2\[0\] -*238 divider\.odd_0\.counter2\[1\] -*239 divider\.odd_0\.counter2\[2\] -*240 divider\.odd_0\.counter\[0\] -*241 divider\.odd_0\.counter\[1\] -*242 divider\.odd_0\.counter\[2\] -*243 divider\.odd_0\.initial_begin\[0\] -*244 divider\.odd_0\.initial_begin\[1\] -*245 divider\.odd_0\.initial_begin\[2\] -*246 divider\.odd_0\.old_N\[0\] -*247 divider\.odd_0\.old_N\[1\] -*248 divider\.odd_0\.old_N\[2\] -*249 divider\.odd_0\.out_counter -*250 divider\.odd_0\.out_counter2 -*251 divider\.odd_0\.rst_pulse -*252 divider\.out -*253 divider\.syncNp\[0\] -*254 divider\.syncNp\[1\] -*255 divider\.syncNp\[2\] -*256 divider2\.even_0\.N\[0\] -*257 divider2\.even_0\.N\[1\] -*258 divider2\.even_0\.N\[2\] -*259 divider2\.even_0\.counter\[0\] -*260 divider2\.even_0\.counter\[1\] -*261 divider2\.even_0\.counter\[2\] -*262 divider2\.even_0\.out_counter -*263 divider2\.odd_0\.counter2\[0\] -*264 divider2\.odd_0\.counter2\[1\] -*265 divider2\.odd_0\.counter2\[2\] -*266 divider2\.odd_0\.counter\[0\] -*267 divider2\.odd_0\.counter\[1\] -*268 divider2\.odd_0\.counter\[2\] -*269 divider2\.odd_0\.initial_begin\[0\] -*270 divider2\.odd_0\.initial_begin\[1\] -*271 divider2\.odd_0\.initial_begin\[2\] -*272 divider2\.odd_0\.old_N\[0\] -*273 divider2\.odd_0\.old_N\[1\] -*274 divider2\.odd_0\.old_N\[2\] -*275 divider2\.odd_0\.out_counter -*276 divider2\.odd_0\.out_counter2 -*277 divider2\.odd_0\.rst_pulse -*278 divider2\.out -*279 divider2\.syncNp\[0\] -*280 divider2\.syncNp\[1\] -*281 divider2\.syncNp\[2\] -*282 ext_clk_syncd -*283 ext_clk_syncd_pre -*284 net1 -*285 net10 -*286 net11 -*287 net13 -*288 net14 -*289 net15 -*290 net16 -*291 net17 -*292 net18 -*293 net19 -*294 net2 -*295 net20 -*296 net21 -*297 net22 -*298 net23 -*299 net24 -*300 net25 -*301 net26 -*302 net27 -*303 net28 -*304 net29 -*305 net3 -*306 net30 -*307 net31 -*308 net32 -*309 net33 -*310 net34 -*311 net35 -*312 net36 -*313 net37 -*314 net38 -*315 net39 -*316 net4 -*317 net5 -*318 net6 -*319 net7 -*320 net8 -*321 net9 -*322 pll_clk_sel -*323 reset_delay\[0\] -*324 reset_delay\[1\] -*325 reset_delay\[2\] -*326 use_pll_first -*327 use_pll_second -*328 user_clk_buffered -*329 ANTENNA__212__A1 -*330 ANTENNA__213__A1 -*331 ANTENNA__214__A1 -*332 ANTENNA__215__A1 -*333 ANTENNA__218__A1 -*334 ANTENNA__219__A1 -*335 ANTENNA__220__A1 -*336 ANTENNA__221__A1 -*337 ANTENNA__233__A1 -*338 ANTENNA__234__A1 -*339 ANTENNA__239__A1 -*340 ANTENNA__240__A1 -*341 ANTENNA__242__A0 -*342 ANTENNA__264__A -*343 ANTENNA__265__A1 -*344 ANTENNA__266__A1 -*345 ANTENNA__267__A1 -*346 ANTENNA__279__B -*347 ANTENNA__280__A2 -*348 ANTENNA__280__B1 -*349 ANTENNA__281__A2 -*350 ANTENNA__281__B1 -*351 ANTENNA__282__A2 -*352 ANTENNA__282__B1 -*353 ANTENNA__309__A -*354 ANTENNA__309__B -*355 ANTENNA__311__B -*356 ANTENNA__311__C -*357 ANTENNA__312__A1 -*358 ANTENNA__312__A2 -*359 ANTENNA__327__A -*360 ANTENNA__328__B1 -*361 ANTENNA__342__A1 -*362 ANTENNA__345__A_N -*363 ANTENNA__395__A2 -*364 ANTENNA__395__B1 -*365 ANTENNA__434__D -*366 ANTENNA__445__RESET_B -*367 ANTENNA__446__RESET_B -*368 ANTENNA__447__SET_B -*369 ANTENNA__448__RESET_B -*370 ANTENNA__450__RESET_B -*371 ANTENNA__451__SET_B -*372 ANTENNA__452__RESET_B -*373 ANTENNA__453__RESET_B -*374 ANTENNA__454__SET_B -*375 ANTENNA__455__RESET_B -*376 ANTENNA__459__RESET_B -*377 ANTENNA_clkbuf_0_ext_clk_A -*378 ANTENNA_clkbuf_0_pll_clk90_A -*379 ANTENNA_clkbuf_0_pll_clk_A -*380 ANTENNA_fanout27_A -*381 ANTENNA_input1_A -*382 ANTENNA_input2_A -*383 ANTENNA_input3_A -*384 ANTENNA_input4_A -*385 ANTENNA_input5_A -*386 ANTENNA_input6_A -*387 ANTENNA_input7_A -*388 ANTENNA_input8_A -*389 ANTENNA_input9_A -*390 FILLER_0_105 -*391 FILLER_0_11 -*392 FILLER_0_118 -*393 FILLER_0_131 -*394 FILLER_0_14 -*395 FILLER_0_144 -*396 FILLER_0_157 -*397 FILLER_0_170 -*398 FILLER_0_183 -*399 FILLER_0_191 -*400 FILLER_0_196 -*401 FILLER_0_27 -*402 FILLER_0_3 -*403 FILLER_0_35 -*404 FILLER_0_40 -*405 FILLER_0_53 -*406 FILLER_0_58 -*407 FILLER_0_64 -*408 FILLER_0_66 -*409 FILLER_0_79 -*410 FILLER_0_92 -*411 FILLER_0_98 -*412 FILLER_10_104 -*413 FILLER_10_11 -*414 FILLER_10_116 -*415 FILLER_10_118 -*416 FILLER_10_126 -*417 FILLER_10_130 -*418 FILLER_10_136 -*419 FILLER_10_14 -*420 FILLER_10_140 -*421 FILLER_10_144 -*422 FILLER_10_154 -*423 FILLER_10_162 -*424 FILLER_10_168 -*425 FILLER_10_170 -*426 FILLER_10_194 -*427 FILLER_10_196 -*428 FILLER_10_24 -*429 FILLER_10_28 -*430 FILLER_10_3 -*431 FILLER_10_32 -*432 FILLER_10_38 -*433 FILLER_10_40 -*434 FILLER_10_59 -*435 FILLER_10_63 -*436 FILLER_10_66 -*437 FILLER_10_69 -*438 FILLER_10_77 -*439 FILLER_10_88 -*440 FILLER_10_92 -*441 FILLER_11_103 -*442 FILLER_11_105 -*443 FILLER_11_128 -*444 FILLER_11_131 -*445 FILLER_11_152 -*446 FILLER_11_157 -*447 FILLER_11_167 -*448 FILLER_11_179 -*449 FILLER_11_183 -*450 FILLER_11_190 -*451 FILLER_11_194 -*452 FILLER_11_199 -*453 FILLER_11_24 -*454 FILLER_11_27 -*455 FILLER_11_3 -*456 FILLER_11_37 -*457 FILLER_11_48 -*458 FILLER_11_53 -*459 FILLER_11_65 -*460 FILLER_11_73 -*461 FILLER_11_77 -*462 FILLER_11_79 -*463 FILLER_11_88 -*464 FILLER_11_93 -*465 FILLER_11_97 -*466 FILLER_12_100 -*467 FILLER_12_105 -*468 FILLER_12_11 -*469 FILLER_12_118 -*470 FILLER_12_123 -*471 FILLER_12_133 -*472 FILLER_12_14 -*473 FILLER_12_141 -*474 FILLER_12_144 -*475 FILLER_12_148 -*476 FILLER_12_160 -*477 FILLER_12_168 -*478 FILLER_12_170 -*479 FILLER_12_192 -*480 FILLER_12_196 -*481 FILLER_12_24 -*482 FILLER_12_3 -*483 FILLER_12_35 -*484 FILLER_12_40 -*485 FILLER_12_46 -*486 FILLER_12_52 -*487 FILLER_12_60 -*488 FILLER_12_64 -*489 FILLER_12_66 -*490 FILLER_12_72 -*491 FILLER_12_76 -*492 FILLER_12_84 -*493 FILLER_12_90 -*494 FILLER_12_92 -*495 FILLER_13_101 -*496 FILLER_13_105 -*497 FILLER_13_113 -*498 FILLER_13_118 -*499 FILLER_13_131 -*500 FILLER_13_155 -*501 FILLER_13_157 -*502 FILLER_13_167 -*503 FILLER_13_171 -*504 FILLER_13_179 -*505 FILLER_13_183 -*506 FILLER_13_189 -*507 FILLER_13_197 -*508 FILLER_13_24 -*509 FILLER_13_27 -*510 FILLER_13_3 -*511 FILLER_13_48 -*512 FILLER_13_53 -*513 FILLER_13_63 -*514 FILLER_13_75 -*515 FILLER_13_79 -*516 FILLER_14_104 -*517 FILLER_14_11 -*518 FILLER_14_116 -*519 FILLER_14_118 -*520 FILLER_14_123 -*521 FILLER_14_134 -*522 FILLER_14_14 -*523 FILLER_14_142 -*524 FILLER_14_144 -*525 FILLER_14_154 -*526 FILLER_14_158 -*527 FILLER_14_166 -*528 FILLER_14_170 -*529 FILLER_14_176 -*530 FILLER_14_194 -*531 FILLER_14_196 -*532 FILLER_14_26 -*533 FILLER_14_3 -*534 FILLER_14_36 -*535 FILLER_14_40 -*536 FILLER_14_47 -*537 FILLER_14_53 -*538 FILLER_14_58 -*539 FILLER_14_64 -*540 FILLER_14_66 -*541 FILLER_14_74 -*542 FILLER_14_86 -*543 FILLER_14_90 -*544 FILLER_14_92 -*545 FILLER_15_103 -*546 FILLER_15_105 -*547 FILLER_15_11 -*548 FILLER_15_129 -*549 FILLER_15_131 -*550 FILLER_15_138 -*551 FILLER_15_150 -*552 FILLER_15_155 -*553 FILLER_15_157 -*554 FILLER_15_163 -*555 FILLER_15_169 -*556 FILLER_15_181 -*557 FILLER_15_183 -*558 FILLER_15_188 -*559 FILLER_15_194 -*560 FILLER_15_199 -*561 FILLER_15_21 -*562 FILLER_15_25 -*563 FILLER_15_27 -*564 FILLER_15_3 -*565 FILLER_15_31 -*566 FILLER_15_41 -*567 FILLER_15_49 -*568 FILLER_15_53 -*569 FILLER_15_76 -*570 FILLER_15_79 -*571 FILLER_15_91 -*572 FILLER_16_109 -*573 FILLER_16_11 -*574 FILLER_16_118 -*575 FILLER_16_126 -*576 FILLER_16_131 -*577 FILLER_16_135 -*578 FILLER_16_14 -*579 FILLER_16_144 -*580 FILLER_16_152 -*581 FILLER_16_163 -*582 FILLER_16_170 -*583 FILLER_16_182 -*584 FILLER_16_194 -*585 FILLER_16_196 -*586 FILLER_16_26 -*587 FILLER_16_3 -*588 FILLER_16_38 -*589 FILLER_16_40 -*590 FILLER_16_48 -*591 FILLER_16_51 -*592 FILLER_16_55 -*593 FILLER_16_61 -*594 FILLER_16_66 -*595 FILLER_16_78 -*596 FILLER_16_90 -*597 FILLER_16_92 -*598 FILLER_16_97 -*599 FILLER_17_101 -*600 FILLER_17_105 -*601 FILLER_17_129 -*602 FILLER_17_131 -*603 FILLER_17_138 -*604 FILLER_17_143 -*605 FILLER_17_155 -*606 FILLER_17_157 -*607 FILLER_17_169 -*608 FILLER_17_174 -*609 FILLER_17_183 -*610 FILLER_17_191 -*611 FILLER_17_199 -*612 FILLER_17_24 -*613 FILLER_17_27 -*614 FILLER_17_3 -*615 FILLER_17_48 -*616 FILLER_17_53 -*617 FILLER_17_65 -*618 FILLER_17_70 -*619 FILLER_17_77 -*620 FILLER_17_79 -*621 FILLER_18_104 -*622 FILLER_18_108 -*623 FILLER_18_114 -*624 FILLER_18_118 -*625 FILLER_18_12 -*626 FILLER_18_130 -*627 FILLER_18_14 -*628 FILLER_18_140 -*629 FILLER_18_144 -*630 FILLER_18_152 -*631 FILLER_18_160 -*632 FILLER_18_168 -*633 FILLER_18_170 -*634 FILLER_18_194 -*635 FILLER_18_196 -*636 FILLER_18_3 -*637 FILLER_18_36 -*638 FILLER_18_40 -*639 FILLER_18_47 -*640 FILLER_18_52 -*641 FILLER_18_56 -*642 FILLER_18_64 -*643 FILLER_18_66 -*644 FILLER_18_69 -*645 FILLER_18_81 -*646 FILLER_18_89 -*647 FILLER_18_92 -*648 FILLER_19_103 -*649 FILLER_19_105 -*650 FILLER_19_113 -*651 FILLER_19_123 -*652 FILLER_19_129 -*653 FILLER_19_131 -*654 FILLER_19_152 -*655 FILLER_19_157 -*656 FILLER_19_167 -*657 FILLER_19_174 -*658 FILLER_19_180 -*659 FILLER_19_183 -*660 FILLER_19_190 -*661 FILLER_19_194 -*662 FILLER_19_199 -*663 FILLER_19_20 -*664 FILLER_19_27 -*665 FILLER_19_3 -*666 FILLER_19_35 -*667 FILLER_19_49 -*668 FILLER_19_53 -*669 FILLER_19_74 -*670 FILLER_19_79 -*671 FILLER_1_103 -*672 FILLER_1_105 -*673 FILLER_1_110 -*674 FILLER_1_114 -*675 FILLER_1_122 -*676 FILLER_1_127 -*677 FILLER_1_131 -*678 FILLER_1_139 -*679 FILLER_1_147 -*680 FILLER_1_15 -*681 FILLER_1_151 -*682 FILLER_1_155 -*683 FILLER_1_157 -*684 FILLER_1_167 -*685 FILLER_1_176 -*686 FILLER_1_183 -*687 FILLER_1_192 -*688 FILLER_1_198 -*689 FILLER_1_25 -*690 FILLER_1_27 -*691 FILLER_1_3 -*692 FILLER_1_37 -*693 FILLER_1_41 -*694 FILLER_1_51 -*695 FILLER_1_53 -*696 FILLER_1_60 -*697 FILLER_1_68 -*698 FILLER_1_77 -*699 FILLER_1_79 -*700 FILLER_1_87 -*701 FILLER_1_93 -*702 FILLER_1_99 -*703 FILLER_20_11 -*704 FILLER_20_113 -*705 FILLER_20_118 -*706 FILLER_20_126 -*707 FILLER_20_138 -*708 FILLER_20_14 -*709 FILLER_20_142 -*710 FILLER_20_144 -*711 FILLER_20_168 -*712 FILLER_20_170 -*713 FILLER_20_194 -*714 FILLER_20_196 -*715 FILLER_20_27 -*716 FILLER_20_3 -*717 FILLER_20_40 -*718 FILLER_20_46 -*719 FILLER_20_55 -*720 FILLER_20_64 -*721 FILLER_20_66 -*722 FILLER_20_73 -*723 FILLER_20_84 -*724 FILLER_20_88 -*725 FILLER_20_92 -*726 FILLER_21_103 -*727 FILLER_21_105 -*728 FILLER_21_116 -*729 FILLER_21_125 -*730 FILLER_21_129 -*731 FILLER_21_131 -*732 FILLER_21_139 -*733 FILLER_21_152 -*734 FILLER_21_157 -*735 FILLER_21_160 -*736 FILLER_21_166 -*737 FILLER_21_173 -*738 FILLER_21_179 -*739 FILLER_21_183 -*740 FILLER_21_186 -*741 FILLER_21_198 -*742 FILLER_21_25 -*743 FILLER_21_27 -*744 FILLER_21_3 -*745 FILLER_21_33 -*746 FILLER_21_39 -*747 FILLER_21_51 -*748 FILLER_21_53 -*749 FILLER_21_74 -*750 FILLER_21_79 -*751 FILLER_22_11 -*752 FILLER_22_113 -*753 FILLER_22_118 -*754 FILLER_22_14 -*755 FILLER_22_140 -*756 FILLER_22_144 -*757 FILLER_22_150 -*758 FILLER_22_168 -*759 FILLER_22_170 -*760 FILLER_22_194 -*761 FILLER_22_196 -*762 FILLER_22_26 -*763 FILLER_22_3 -*764 FILLER_22_38 -*765 FILLER_22_40 -*766 FILLER_22_46 -*767 FILLER_22_53 -*768 FILLER_22_61 -*769 FILLER_22_66 -*770 FILLER_22_80 -*771 FILLER_22_86 -*772 FILLER_22_90 -*773 FILLER_22_92 -*774 FILLER_23_103 -*775 FILLER_23_105 -*776 FILLER_23_129 -*777 FILLER_23_131 -*778 FILLER_23_15 -*779 FILLER_23_155 -*780 FILLER_23_157 -*781 FILLER_23_165 -*782 FILLER_23_170 -*783 FILLER_23_174 -*784 FILLER_23_178 -*785 FILLER_23_183 -*786 FILLER_23_195 -*787 FILLER_23_199 -*788 FILLER_23_23 -*789 FILLER_23_27 -*790 FILLER_23_3 -*791 FILLER_23_51 -*792 FILLER_23_53 -*793 FILLER_23_61 -*794 FILLER_23_68 -*795 FILLER_23_77 -*796 FILLER_23_79 -*797 FILLER_24_11 -*798 FILLER_24_113 -*799 FILLER_24_118 -*800 FILLER_24_129 -*801 FILLER_24_14 -*802 FILLER_24_141 -*803 FILLER_24_144 -*804 FILLER_24_157 -*805 FILLER_24_165 -*806 FILLER_24_170 -*807 FILLER_24_177 -*808 FILLER_24_183 -*809 FILLER_24_189 -*810 FILLER_24_194 -*811 FILLER_24_196 -*812 FILLER_24_199 -*813 FILLER_24_29 -*814 FILLER_24_3 -*815 FILLER_24_37 -*816 FILLER_24_40 -*817 FILLER_24_52 -*818 FILLER_24_60 -*819 FILLER_24_64 -*820 FILLER_24_66 -*821 FILLER_24_77 -*822 FILLER_24_85 -*823 FILLER_24_89 -*824 FILLER_24_92 -*825 FILLER_25_103 -*826 FILLER_25_105 -*827 FILLER_25_115 -*828 FILLER_25_119 -*829 FILLER_25_123 -*830 FILLER_25_129 -*831 FILLER_25_131 -*832 FILLER_25_153 -*833 FILLER_25_157 -*834 FILLER_25_163 -*835 FILLER_25_174 -*836 FILLER_25_183 -*837 FILLER_25_190 -*838 FILLER_25_197 -*839 FILLER_25_25 -*840 FILLER_25_27 -*841 FILLER_25_3 -*842 FILLER_25_42 -*843 FILLER_25_50 -*844 FILLER_25_53 -*845 FILLER_25_77 -*846 FILLER_25_79 -*847 FILLER_26_11 -*848 FILLER_26_116 -*849 FILLER_26_118 -*850 FILLER_26_14 -*851 FILLER_26_140 -*852 FILLER_26_144 -*853 FILLER_26_161 -*854 FILLER_26_170 -*855 FILLER_26_180 -*856 FILLER_26_186 -*857 FILLER_26_190 -*858 FILLER_26_194 -*859 FILLER_26_196 -*860 FILLER_26_24 -*861 FILLER_26_3 -*862 FILLER_26_30 -*863 FILLER_26_34 -*864 FILLER_26_38 -*865 FILLER_26_40 -*866 FILLER_26_51 -*867 FILLER_26_57 -*868 FILLER_26_62 -*869 FILLER_26_66 -*870 FILLER_26_69 -*871 FILLER_26_77 -*872 FILLER_26_89 -*873 FILLER_26_92 -*874 FILLER_27_103 -*875 FILLER_27_105 -*876 FILLER_27_129 -*877 FILLER_27_131 -*878 FILLER_27_152 -*879 FILLER_27_157 -*880 FILLER_27_167 -*881 FILLER_27_175 -*882 FILLER_27_180 -*883 FILLER_27_183 -*884 FILLER_27_187 -*885 FILLER_27_191 -*886 FILLER_27_199 -*887 FILLER_27_24 -*888 FILLER_27_27 -*889 FILLER_27_3 -*890 FILLER_27_39 -*891 FILLER_27_51 -*892 FILLER_27_53 -*893 FILLER_27_77 -*894 FILLER_27_79 -*895 FILLER_28_11 -*896 FILLER_28_113 -*897 FILLER_28_118 -*898 FILLER_28_139 -*899 FILLER_28_14 -*900 FILLER_28_144 -*901 FILLER_28_165 -*902 FILLER_28_170 -*903 FILLER_28_175 -*904 FILLER_28_181 -*905 FILLER_28_191 -*906 FILLER_28_196 -*907 FILLER_28_199 -*908 FILLER_28_24 -*909 FILLER_28_3 -*910 FILLER_28_34 -*911 FILLER_28_38 -*912 FILLER_28_40 -*913 FILLER_28_64 -*914 FILLER_28_66 -*915 FILLER_28_87 -*916 FILLER_28_92 -*917 FILLER_29_103 -*918 FILLER_29_105 -*919 FILLER_29_129 -*920 FILLER_29_131 -*921 FILLER_29_152 -*922 FILLER_29_157 -*923 FILLER_29_178 -*924 FILLER_29_183 -*925 FILLER_29_194 -*926 FILLER_29_199 -*927 FILLER_29_20 -*928 FILLER_29_27 -*929 FILLER_29_3 -*930 FILLER_29_33 -*931 FILLER_29_51 -*932 FILLER_29_53 -*933 FILLER_29_75 -*934 FILLER_29_79 -*935 FILLER_2_105 -*936 FILLER_2_11 -*937 FILLER_2_118 -*938 FILLER_2_128 -*939 FILLER_2_139 -*940 FILLER_2_14 -*941 FILLER_2_144 -*942 FILLER_2_152 -*943 FILLER_2_168 -*944 FILLER_2_17 -*945 FILLER_2_170 -*946 FILLER_2_180 -*947 FILLER_2_194 -*948 FILLER_2_196 -*949 FILLER_2_199 -*950 FILLER_2_3 -*951 FILLER_2_38 -*952 FILLER_2_40 -*953 FILLER_2_43 -*954 FILLER_2_55 -*955 FILLER_2_63 -*956 FILLER_2_66 -*957 FILLER_2_82 -*958 FILLER_2_90 -*959 FILLER_2_92 -*960 FILLER_30_11 -*961 FILLER_30_114 -*962 FILLER_30_118 -*963 FILLER_30_139 -*964 FILLER_30_14 -*965 FILLER_30_144 -*966 FILLER_30_168 -*967 FILLER_30_170 -*968 FILLER_30_194 -*969 FILLER_30_196 -*970 FILLER_30_21 -*971 FILLER_30_29 -*972 FILLER_30_3 -*973 FILLER_30_35 -*974 FILLER_30_38 -*975 FILLER_30_40 -*976 FILLER_30_62 -*977 FILLER_30_66 -*978 FILLER_30_90 -*979 FILLER_30_92 -*980 FILLER_31_103 -*981 FILLER_31_105 -*982 FILLER_31_127 -*983 FILLER_31_131 -*984 FILLER_31_152 -*985 FILLER_31_157 -*986 FILLER_31_179 -*987 FILLER_31_183 -*988 FILLER_31_193 -*989 FILLER_31_199 -*990 FILLER_31_25 -*991 FILLER_31_27 -*992 FILLER_31_3 -*993 FILLER_31_48 -*994 FILLER_31_53 -*995 FILLER_31_74 -*996 FILLER_31_79 -*997 FILLER_32_103 -*998 FILLER_32_105 -*999 FILLER_32_115 -*1000 FILLER_32_118 -*1001 FILLER_32_12 -*1002 FILLER_32_125 -*1003 FILLER_32_129 -*1004 FILLER_32_131 -*1005 FILLER_32_136 -*1006 FILLER_32_14 -*1007 FILLER_32_142 -*1008 FILLER_32_144 -*1009 FILLER_32_157 -*1010 FILLER_32_170 -*1011 FILLER_32_183 -*1012 FILLER_32_194 -*1013 FILLER_32_196 -*1014 FILLER_32_199 -*1015 FILLER_32_20 -*1016 FILLER_32_25 -*1017 FILLER_32_27 -*1018 FILLER_32_3 -*1019 FILLER_32_32 -*1020 FILLER_32_38 -*1021 FILLER_32_40 -*1022 FILLER_32_51 -*1023 FILLER_32_53 -*1024 FILLER_32_64 -*1025 FILLER_32_66 -*1026 FILLER_32_75 -*1027 FILLER_32_79 -*1028 FILLER_32_83 -*1029 FILLER_32_9 -*1030 FILLER_32_90 -*1031 FILLER_32_92 -*1032 FILLER_3_105 -*1033 FILLER_3_117 -*1034 FILLER_3_129 -*1035 FILLER_3_13 -*1036 FILLER_3_131 -*1037 FILLER_3_139 -*1038 FILLER_3_145 -*1039 FILLER_3_150 -*1040 FILLER_3_154 -*1041 FILLER_3_157 -*1042 FILLER_3_172 -*1043 FILLER_3_178 -*1044 FILLER_3_181 -*1045 FILLER_3_183 -*1046 FILLER_3_194 -*1047 FILLER_3_24 -*1048 FILLER_3_27 -*1049 FILLER_3_3 -*1050 FILLER_3_30 -*1051 FILLER_3_42 -*1052 FILLER_3_50 -*1053 FILLER_3_53 -*1054 FILLER_3_65 -*1055 FILLER_3_77 -*1056 FILLER_3_79 -*1057 FILLER_3_85 -*1058 FILLER_3_96 -*1059 FILLER_4_102 -*1060 FILLER_4_116 -*1061 FILLER_4_118 -*1062 FILLER_4_125 -*1063 FILLER_4_14 -*1064 FILLER_4_142 -*1065 FILLER_4_144 -*1066 FILLER_4_156 -*1067 FILLER_4_168 -*1068 FILLER_4_170 -*1069 FILLER_4_178 -*1070 FILLER_4_182 -*1071 FILLER_4_193 -*1072 FILLER_4_196 -*1073 FILLER_4_199 -*1074 FILLER_4_26 -*1075 FILLER_4_3 -*1076 FILLER_4_38 -*1077 FILLER_4_40 -*1078 FILLER_4_61 -*1079 FILLER_4_66 -*1080 FILLER_4_71 -*1081 FILLER_4_82 -*1082 FILLER_4_9 -*1083 FILLER_4_90 -*1084 FILLER_4_92 -*1085 FILLER_5_105 -*1086 FILLER_5_117 -*1087 FILLER_5_124 -*1088 FILLER_5_131 -*1089 FILLER_5_143 -*1090 FILLER_5_154 -*1091 FILLER_5_157 -*1092 FILLER_5_160 -*1093 FILLER_5_172 -*1094 FILLER_5_179 -*1095 FILLER_5_183 -*1096 FILLER_5_191 -*1097 FILLER_5_195 -*1098 FILLER_5_199 -*1099 FILLER_5_22 -*1100 FILLER_5_27 -*1101 FILLER_5_3 -*1102 FILLER_5_31 -*1103 FILLER_5_37 -*1104 FILLER_5_42 -*1105 FILLER_5_50 -*1106 FILLER_5_53 -*1107 FILLER_5_57 -*1108 FILLER_5_63 -*1109 FILLER_5_66 -*1110 FILLER_5_77 -*1111 FILLER_5_79 -*1112 FILLER_5_87 -*1113 FILLER_5_92 -*1114 FILLER_6_10 -*1115 FILLER_6_102 -*1116 FILLER_6_114 -*1117 FILLER_6_118 -*1118 FILLER_6_128 -*1119 FILLER_6_14 -*1120 FILLER_6_140 -*1121 FILLER_6_144 -*1122 FILLER_6_152 -*1123 FILLER_6_159 -*1124 FILLER_6_167 -*1125 FILLER_6_170 -*1126 FILLER_6_180 -*1127 FILLER_6_190 -*1128 FILLER_6_194 -*1129 FILLER_6_196 -*1130 FILLER_6_26 -*1131 FILLER_6_3 -*1132 FILLER_6_38 -*1133 FILLER_6_40 -*1134 FILLER_6_44 -*1135 FILLER_6_51 -*1136 FILLER_6_63 -*1137 FILLER_6_66 -*1138 FILLER_6_78 -*1139 FILLER_6_90 -*1140 FILLER_6_92 -*1141 FILLER_7_103 -*1142 FILLER_7_105 -*1143 FILLER_7_127 -*1144 FILLER_7_131 -*1145 FILLER_7_152 -*1146 FILLER_7_157 -*1147 FILLER_7_162 -*1148 FILLER_7_170 -*1149 FILLER_7_173 -*1150 FILLER_7_181 -*1151 FILLER_7_183 -*1152 FILLER_7_195 -*1153 FILLER_7_199 -*1154 FILLER_7_24 -*1155 FILLER_7_27 -*1156 FILLER_7_3 -*1157 FILLER_7_31 -*1158 FILLER_7_38 -*1159 FILLER_7_46 -*1160 FILLER_7_51 -*1161 FILLER_7_53 -*1162 FILLER_7_65 -*1163 FILLER_7_73 -*1164 FILLER_7_77 -*1165 FILLER_7_79 -*1166 FILLER_7_91 -*1167 FILLER_8_104 -*1168 FILLER_8_11 -*1169 FILLER_8_112 -*1170 FILLER_8_116 -*1171 FILLER_8_118 -*1172 FILLER_8_128 -*1173 FILLER_8_132 -*1174 FILLER_8_136 -*1175 FILLER_8_14 -*1176 FILLER_8_142 -*1177 FILLER_8_144 -*1178 FILLER_8_168 -*1179 FILLER_8_170 -*1180 FILLER_8_194 -*1181 FILLER_8_196 -*1182 FILLER_8_3 -*1183 FILLER_8_37 -*1184 FILLER_8_40 -*1185 FILLER_8_64 -*1186 FILLER_8_66 -*1187 FILLER_8_90 -*1188 FILLER_8_92 -*1189 FILLER_9_103 -*1190 FILLER_9_105 -*1191 FILLER_9_127 -*1192 FILLER_9_131 -*1193 FILLER_9_134 -*1194 FILLER_9_145 -*1195 FILLER_9_15 -*1196 FILLER_9_152 -*1197 FILLER_9_157 -*1198 FILLER_9_163 -*1199 FILLER_9_167 -*1200 FILLER_9_181 -*1201 FILLER_9_183 -*1202 FILLER_9_191 -*1203 FILLER_9_195 -*1204 FILLER_9_199 -*1205 FILLER_9_22 -*1206 FILLER_9_27 -*1207 FILLER_9_3 -*1208 FILLER_9_39 -*1209 FILLER_9_45 -*1210 FILLER_9_51 -*1211 FILLER_9_53 -*1212 FILLER_9_58 -*1213 FILLER_9_64 -*1214 FILLER_9_69 -*1215 FILLER_9_77 -*1216 FILLER_9_79 -*1217 PHY_0 -*1218 PHY_1 -*1219 PHY_10 -*1220 PHY_11 -*1221 PHY_12 -*1222 PHY_13 -*1223 PHY_14 -*1224 PHY_15 -*1225 PHY_16 -*1226 PHY_17 -*1227 PHY_18 -*1228 PHY_19 -*1229 PHY_2 -*1230 PHY_20 -*1231 PHY_21 -*1232 PHY_22 -*1233 PHY_23 -*1234 PHY_24 -*1235 PHY_25 -*1236 PHY_26 -*1237 PHY_27 -*1238 PHY_28 -*1239 PHY_29 -*1240 PHY_3 -*1241 PHY_30 -*1242 PHY_31 -*1243 PHY_32 -*1244 PHY_33 -*1245 PHY_34 -*1246 PHY_35 -*1247 PHY_36 -*1248 PHY_37 -*1249 PHY_38 -*1250 PHY_39 -*1251 PHY_4 -*1252 PHY_40 -*1253 PHY_41 -*1254 PHY_42 -*1255 PHY_43 -*1256 PHY_44 -*1257 PHY_45 -*1258 PHY_46 -*1259 PHY_47 -*1260 PHY_48 -*1261 PHY_49 -*1262 PHY_5 -*1263 PHY_50 -*1264 PHY_51 -*1265 PHY_52 -*1266 PHY_53 -*1267 PHY_54 -*1268 PHY_55 -*1269 PHY_56 -*1270 PHY_57 -*1271 PHY_58 -*1272 PHY_59 -*1273 PHY_6 -*1274 PHY_60 -*1275 PHY_61 -*1276 PHY_62 -*1277 PHY_63 -*1278 PHY_64 -*1279 PHY_65 -*1280 PHY_7 -*1281 PHY_8 -*1282 PHY_9 -*1283 TAP_100 -*1284 TAP_101 -*1285 TAP_102 -*1286 TAP_103 -*1287 TAP_104 -*1288 TAP_105 -*1289 TAP_106 -*1290 TAP_107 -*1291 TAP_108 -*1292 TAP_109 -*1293 TAP_110 -*1294 TAP_111 -*1295 TAP_112 -*1296 TAP_113 -*1297 TAP_114 -*1298 TAP_115 -*1299 TAP_116 -*1300 TAP_117 -*1301 TAP_118 -*1302 TAP_119 -*1303 TAP_120 -*1304 TAP_121 -*1305 TAP_122 -*1306 TAP_123 -*1307 TAP_124 -*1308 TAP_125 -*1309 TAP_126 -*1310 TAP_127 -*1311 TAP_128 -*1312 TAP_129 -*1313 TAP_130 -*1314 TAP_131 -*1315 TAP_132 -*1316 TAP_133 -*1317 TAP_134 -*1318 TAP_135 -*1319 TAP_136 -*1320 TAP_137 -*1321 TAP_138 -*1322 TAP_139 -*1323 TAP_140 -*1324 TAP_141 -*1325 TAP_142 -*1326 TAP_143 -*1327 TAP_144 -*1328 TAP_145 -*1329 TAP_146 -*1330 TAP_147 -*1331 TAP_148 -*1332 TAP_149 -*1333 TAP_150 -*1334 TAP_151 -*1335 TAP_152 -*1336 TAP_153 -*1337 TAP_154 -*1338 TAP_155 -*1339 TAP_156 -*1340 TAP_157 -*1341 TAP_158 -*1342 TAP_159 -*1343 TAP_160 -*1344 TAP_161 -*1345 TAP_162 -*1346 TAP_163 -*1347 TAP_164 -*1348 TAP_165 -*1349 TAP_166 -*1350 TAP_167 -*1351 TAP_168 -*1352 TAP_169 -*1353 TAP_170 -*1354 TAP_171 -*1355 TAP_172 -*1356 TAP_173 -*1357 TAP_174 -*1358 TAP_175 -*1359 TAP_176 -*1360 TAP_177 -*1361 TAP_178 -*1362 TAP_179 -*1363 TAP_180 -*1364 TAP_181 -*1365 TAP_182 -*1366 TAP_183 -*1367 TAP_184 -*1368 TAP_185 -*1369 TAP_186 -*1370 TAP_187 -*1371 TAP_188 -*1372 TAP_189 -*1373 TAP_190 -*1374 TAP_191 -*1375 TAP_192 -*1376 TAP_193 -*1377 TAP_194 -*1378 TAP_195 -*1379 TAP_196 -*1380 TAP_197 -*1381 TAP_198 -*1382 TAP_199 -*1383 TAP_200 -*1384 TAP_201 -*1385 TAP_202 -*1386 TAP_203 -*1387 TAP_204 -*1388 TAP_205 -*1389 TAP_206 -*1390 TAP_207 -*1391 TAP_208 -*1392 TAP_209 -*1393 TAP_210 -*1394 TAP_211 -*1395 TAP_212 -*1396 TAP_213 -*1397 TAP_214 -*1398 TAP_215 -*1399 TAP_216 -*1400 TAP_217 -*1401 TAP_218 -*1402 TAP_219 -*1403 TAP_220 -*1404 TAP_221 -*1405 TAP_222 -*1406 TAP_223 -*1407 TAP_224 -*1408 TAP_225 -*1409 TAP_226 -*1410 TAP_227 -*1411 TAP_228 -*1412 TAP_229 -*1413 TAP_230 -*1414 TAP_231 -*1415 TAP_232 -*1416 TAP_233 -*1417 TAP_234 -*1418 TAP_235 -*1419 TAP_236 -*1420 TAP_237 -*1421 TAP_238 -*1422 TAP_239 -*1423 TAP_240 -*1424 TAP_241 -*1425 TAP_242 -*1426 TAP_243 -*1427 TAP_244 -*1428 TAP_245 -*1429 TAP_246 -*1430 TAP_247 -*1431 TAP_248 -*1432 TAP_249 -*1433 TAP_250 -*1434 TAP_251 -*1435 TAP_252 -*1436 TAP_253 -*1437 TAP_254 -*1438 TAP_255 -*1439 TAP_256 -*1440 TAP_257 -*1441 TAP_258 -*1442 TAP_259 -*1443 TAP_260 -*1444 TAP_261 -*1445 TAP_262 -*1446 TAP_263 -*1447 TAP_264 -*1448 TAP_265 -*1449 TAP_266 -*1450 TAP_267 -*1451 TAP_268 -*1452 TAP_269 -*1453 TAP_270 -*1454 TAP_271 -*1455 TAP_272 -*1456 TAP_273 -*1457 TAP_274 -*1458 TAP_275 -*1459 TAP_276 -*1460 TAP_277 -*1461 TAP_278 -*1462 TAP_279 -*1463 TAP_280 -*1464 TAP_281 -*1465 TAP_282 -*1466 TAP_283 -*1467 TAP_284 -*1468 TAP_285 -*1469 TAP_286 -*1470 TAP_287 -*1471 TAP_288 -*1472 TAP_289 -*1473 TAP_290 -*1474 TAP_291 -*1475 TAP_292 -*1476 TAP_293 -*1477 TAP_294 -*1478 TAP_295 -*1479 TAP_296 -*1480 TAP_297 -*1481 TAP_298 -*1482 TAP_299 -*1483 TAP_300 -*1484 TAP_301 -*1485 TAP_302 -*1486 TAP_303 -*1487 TAP_304 -*1488 TAP_305 -*1489 TAP_306 -*1490 TAP_307 -*1491 TAP_308 -*1492 TAP_309 -*1493 TAP_310 -*1494 TAP_311 -*1495 TAP_312 -*1496 TAP_313 -*1497 TAP_314 -*1498 TAP_315 -*1499 TAP_316 -*1500 TAP_317 -*1501 TAP_318 -*1502 TAP_319 -*1503 TAP_320 -*1504 TAP_321 -*1505 TAP_322 -*1506 TAP_323 -*1507 TAP_324 -*1508 TAP_325 -*1509 TAP_326 -*1510 TAP_327 -*1511 TAP_66 -*1512 TAP_67 -*1513 TAP_68 -*1514 TAP_69 -*1515 TAP_70 -*1516 TAP_71 -*1517 TAP_72 -*1518 TAP_73 -*1519 TAP_74 -*1520 TAP_75 -*1521 TAP_76 -*1522 TAP_77 -*1523 TAP_78 -*1524 TAP_79 -*1525 TAP_80 -*1526 TAP_81 -*1527 TAP_82 -*1528 TAP_83 -*1529 TAP_84 -*1530 TAP_85 -*1531 TAP_86 -*1532 TAP_87 -*1533 TAP_88 -*1534 TAP_89 -*1535 TAP_90 -*1536 TAP_91 -*1537 TAP_92 -*1538 TAP_93 -*1539 TAP_94 -*1540 TAP_95 -*1541 TAP_96 -*1542 TAP_97 -*1543 TAP_98 -*1544 TAP_99 -*1545 _202_ -*1546 _203_ -*1547 _204_ -*1548 _205_ -*1549 _206_ -*1550 _207_ -*1551 _208_ -*1552 _209_ -*1553 _210_ -*1554 _211_ -*1555 _212_ -*1556 _213_ -*1557 _214_ -*1558 _215_ -*1559 _216_ -*1560 _217_ -*1561 _218_ -*1562 _219_ -*1563 _220_ -*1564 _221_ -*1565 _222_ -*1566 _223_ -*1567 _224_ -*1568 _225_ -*1569 _226_ -*1570 _227_ -*1571 _228_ -*1572 _229_ -*1573 _230_ -*1574 _231_ -*1575 _232_ -*1576 _233_ -*1577 _234_ -*1578 _235_ -*1579 _236_ -*1580 _237_ -*1581 _238_ -*1582 _239_ -*1583 _240_ -*1584 _241_ -*1585 _242_ -*1586 _243_ -*1587 _244_ -*1588 _245_ -*1589 _246_ -*1590 _247_ -*1591 _248_ -*1592 _249_ -*1593 _250_ -*1594 _251_ -*1595 _252_ -*1596 _253_ -*1597 _254__7 -*1598 _255_ -*1599 _256__4 -*1600 _257_ -*1601 _258_ -*1602 _259__1 -*1603 _260_ -*1604 _261_ -*1605 _262_ -*1606 _263_ -*1607 _264_ -*1608 _265_ -*1609 _266_ -*1610 _267_ -*1611 _268_ -*1612 _269_ -*1613 _270_ -*1614 _271_ -*1615 _272_ -*1616 _273_ -*1617 _274_ -*1618 _275_ -*1619 _276_ -*1620 _277_ -*1621 _278_ -*1622 _279_ -*1623 _280_ -*1624 _281_ -*1625 _282_ -*1626 _283_ -*1627 _284_ -*1628 _285_ -*1629 _286_ -*1630 _287_ -*1631 _288_ -*1632 _289_ -*1633 _290_ -*1634 _291_ -*1635 _292_ -*1636 _293_ -*1637 _294_ -*1638 _295_ -*1639 _296_ -*1640 _297_ -*1641 _298_ -*1642 _299_ -*1643 _300_ -*1644 _301_ -*1645 _302_ -*1646 _303_ -*1647 _304_ -*1648 _305_ -*1649 _306_ -*1650 _307_ -*1651 _308_ -*1652 _309_ -*1653 _310_ -*1654 _311_ -*1655 _312_ -*1656 _313_ -*1657 _314_ -*1658 _315_ -*1659 _316_ -*1660 _317_ -*1661 _318_ -*1662 _319_ -*1663 _320_ -*1664 _321_ -*1665 _322_ -*1666 _323_ -*1667 _324_ -*1668 _325_ -*1669 _326_ -*1670 _327_ -*1671 _328_ -*1672 _329_ -*1673 _330_ -*1674 _331_ -*1675 _332_ -*1676 _333_ -*1677 _334_ -*1678 _335_ -*1679 _336_ -*1680 _337_ -*1681 _338_ -*1682 _339_ -*1683 _340_ -*1684 _341_ -*1685 _342_ -*1686 _343_ -*1687 _344_ -*1688 _345_ -*1689 _346_ -*1690 _347_ -*1691 _348_ -*1692 _349_ -*1693 _350_ -*1694 _351_ -*1695 _352_ -*1696 _353_ -*1697 _354_ -*1698 _355_ -*1699 _356_ -*1700 _357_ -*1701 _358_ -*1702 _359_ -*1703 _360_ -*1704 _361_ -*1705 _362_ -*1706 _363_ -*1707 _364_ -*1708 _365_ -*1709 _366_ -*1710 _367_ -*1711 _368_ -*1712 _369_ -*1713 _370_ -*1714 _371_ -*1715 _372_ -*1716 _373_ -*1717 _374_ -*1718 _375_ -*1719 _376_ -*1720 _377_ -*1721 _378_ -*1722 _379_ -*1723 _380_ -*1724 _381_ -*1725 _382_ -*1726 _383_ -*1727 _384_ -*1728 _385_ -*1729 _386_ -*1730 _387_ -*1731 _388_ -*1732 _389_ -*1733 _390_ -*1734 _391_ -*1735 _392_ -*1736 _393_ -*1737 _394_ -*1738 _395_ -*1739 _396_ -*1740 _397_ -*1741 _398_ -*1742 _399_ -*1743 _400_ -*1744 _401_ -*1745 _402_ -*1746 _403__8 -*1747 _404__9 -*1748 _405__5 -*1749 _406__6 -*1750 _407__2 -*1751 _408__3 -*1752 _409_ -*1753 _410_ -*1754 _411_ -*1755 _411__30 -*1756 _412_ -*1757 _413_ -*1758 _414_ -*1759 _415_ -*1760 _416_ -*1761 _417_ -*1762 _418_ -*1763 _419_ -*1764 _420_ -*1765 _421_ -*1766 _422_ -*1767 _423_ -*1768 _424_ -*1769 _425_ -*1770 _426_ -*1771 _427_ -*1772 _428_ -*1773 _429_ -*1774 _430_ -*1775 _431_ -*1776 _432_ -*1777 _433_ -*1778 _434_ -*1779 _435_ -*1780 _436_ -*1781 _437_ -*1782 _438_ -*1783 _439_ -*1784 _440_ -*1785 _441_ -*1786 _442_ -*1787 _443_ -*1788 _444_ -*1789 _445_ -*1790 _446_ -*1791 _447_ -*1792 _448_ -*1793 _449_ -*1794 _450_ -*1795 _451_ -*1796 _452_ -*1797 _453_ -*1798 _454_ -*1799 _455_ -*1800 _456_ -*1801 _457_ -*1802 _458_ -*1803 _459_ -*1804 _460_ -*1805 _461_ -*1806 _462_ -*1807 _463_ -*1808 _464_ -*1809 _465_ -*1810 clkbuf_0__037_ -*1811 clkbuf_0_divider\.out -*1812 clkbuf_0_divider2\.out -*1813 clkbuf_0_ext_clk -*1814 clkbuf_0_net10 -*1815 clkbuf_0_pll_clk -*1816 clkbuf_0_pll_clk90 -*1817 clkbuf_1_0__f__037_ -*1818 clkbuf_1_0__f_divider\.out -*1819 clkbuf_1_0__f_divider2\.out -*1820 clkbuf_1_0__f_ext_clk -*1821 clkbuf_1_0__f_net10 -*1822 clkbuf_1_0__f_pll_clk -*1823 clkbuf_1_0__f_pll_clk90 -*1824 clkbuf_1_1__f__037_ -*1825 clkbuf_1_1__f_divider\.out -*1826 clkbuf_1_1__f_divider2\.out -*1827 clkbuf_1_1__f_ext_clk -*1828 clkbuf_1_1__f_net10 -*1829 clkbuf_1_1__f_pll_clk -*1830 clkbuf_1_1__f_pll_clk90 -*1831 fanout13 -*1832 fanout14 -*1833 fanout15 -*1834 fanout16 -*1835 fanout17 -*1836 fanout18 -*1837 fanout19 -*1838 fanout20 -*1839 fanout21 -*1840 fanout22 -*1841 fanout23 -*1842 fanout24 -*1843 fanout25 -*1844 fanout26 -*1845 fanout27 -*1846 fanout28 -*1847 fanout29 -*1848 input1 -*1849 input2 -*1850 input3 -*1851 input4 -*1852 input5 -*1853 input6 -*1854 input7 -*1855 input8 -*1856 input9 -*1857 output11 -*1858 user_clk_out_buffer - -*PORTS -core_clk O -ext_clk I -ext_clk_sel I -ext_reset I -pll_clk I -pll_clk90 I -resetb I -resetb_sync O -sel2[0] I -sel2[1] I -sel2[2] I -sel[0] I -sel[1] I -sel[2] I -user_clk O - -*D_NET *3 0.00214122 -*CONN -*P core_clk O -*I *1747:A I *D sky130_fd_sc_hd__inv_4 -*I *1821:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 core_clk 0.000248996 -2 *1747:A 2.36895e-05 -3 *1821:X 0.000504285 -4 *3:8 0.000776971 -5 core_clk *1754:D 4.46186e-06 -6 *1747:A *304:35 5.52238e-05 -7 *3:8 *1549:A0 0 -8 *3:8 *1754:D 0.000185605 -9 *3:8 *1817:A 0.000288605 -10 *3:8 *300:49 5.33778e-05 -*RES -1 *1821:X *3:8 24.0857 -2 *3:8 *1747:A 14.3357 -3 *3:8 core_clk 4.76786 -*END - -*D_NET *4 0.00250344 -*CONN -*P ext_clk I -*I *1813:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *377:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_clk 0.000303724 -2 *1813:A 0.000185903 -3 *377:DIODE 0.000270419 -4 *4:5 0.000760046 -5 *377:DIODE *1715:A0 2.22156e-05 -6 *377:DIODE *1759:CLK 8.32875e-05 -7 *377:DIODE *1784:D 0.000176089 -8 *377:DIODE *1827:A 0.000126416 -9 *377:DIODE *300:30 1.0484e-05 -10 *1813:A *1715:A1 0.000136958 -11 *1813:A *1827:A 6.82998e-05 -12 *1813:A *213:7 0.000173097 -13 *4:5 *1715:A0 0.000100831 -14 *4:5 *1715:S 3.90513e-05 -15 *4:5 *1784:D 4.66203e-05 -*RES -1 ext_clk *4:5 6.58929 -2 *4:5 *377:DIODE 19.175 -3 *4:5 *1813:A 18.0321 -*END - -*D_NET *5 0.00120031 -*CONN -*P ext_clk_sel I -*I *1848:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 -*I *381:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_clk_sel 0.000428198 -2 *1848:A 0.000168933 -3 *381:DIODE 0 -4 *5:10 0.000597131 -5 *1848:A *267:33 6.05161e-06 -*RES -1 ext_clk_sel *5:10 10.1813 -2 *5:10 *381:DIODE 9.3 -3 *5:10 *1848:A 12.2107 -*END - -*D_NET *6 0.00122672 -*CONN -*P ext_reset I -*I *382:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1849:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 ext_reset 0.000370401 -2 *382:DIODE 0 -3 *1849:A 0.000168499 -4 *6:8 0.0005389 -5 *1849:A *8:8 8.32242e-05 -6 *6:8 *8:8 6.56976e-05 -*RES -1 ext_reset *6:8 5.07413 -2 *6:8 *1849:A 17.0143 -3 *6:8 *382:DIODE 13.8 -*END - -*D_NET *7 0.012908 -*CONN -*P pll_clk I -*I *379:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1815:A I *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 pll_clk 0.00165321 -2 *379:DIODE 0 -3 *1815:A 0.000503179 -4 *7:16 0.00291193 -5 *7:15 0.00406195 -6 *1815:A *1547:A1 0.000180202 -7 *1815:A *1644:B 0.000151003 -8 *1815:A *147:18 0.000149481 -9 *1815:A *232:11 7.93534e-05 -10 *7:15 *1718:B 0 -11 *7:15 *1801:D 0.000197824 -12 *7:15 *223:8 0 -13 *7:15 *258:6 0.000659062 -14 *7:15 *258:10 0.000439054 -15 *7:15 *258:14 1.27529e-05 -16 *7:15 *259:48 0 -17 *7:15 *262:12 0 -18 *7:15 *262:14 0 -19 *7:15 *274:11 0.00012401 -20 *7:15 *288:9 0 -21 *7:15 *288:19 0 -22 *7:15 *289:14 0.000113628 -23 *7:15 *290:18 1.17968e-05 -24 *7:15 *319:21 5.86931e-05 -25 *7:16 *1612:A1 1.98839e-05 -26 *7:16 *1612:A2 0.000137639 -27 *7:16 *1614:A 0.000185124 -28 *7:16 *1780:CLK 0.000329746 -29 *7:16 *1780:D 8.4841e-05 -30 *7:16 *52:50 0.00061594 -31 *7:16 *218:9 0.000220789 -32 *7:16 *232:11 6.92966e-06 -*RES -1 pll_clk *7:15 40.4464 -2 *7:15 *7:16 32.1607 -3 *7:16 *1815:A 26.2464 -4 *7:16 *379:DIODE 9.3 -*END - -*D_NET *8 0.00671838 -*CONN -*P pll_clk90 I -*I *1816:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *378:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 pll_clk90 0.00181689 -2 *1816:A 0.000610279 -3 *378:DIODE 0.000295071 -4 *8:8 0.00272224 -5 *1816:A *275:11 0.00011369 -6 *1816:A *316:13 0.000245874 -7 *1816:A *321:11 0.000526151 -8 *8:8 *1745:A_N 4.07062e-05 -9 *8:8 *1853:A 0.000153019 -10 *8:8 *13:8 4.5539e-05 -11 *8:8 *316:13 0 -12 *8:8 *319:8 0 -13 *1849:A *8:8 8.32242e-05 -14 *6:8 *8:8 6.56976e-05 -*RES -1 pll_clk90 *8:8 37.6607 -2 *8:8 *378:DIODE 12.7107 -3 *8:8 *1816:A 32.8179 -*END - -*D_NET *9 0.00130649 -*CONN -*P resetb I -*I *1850:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *383:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 resetb 0.000211572 -2 *1850:A 0.000238125 -3 *383:DIODE 8.02e-05 -4 *9:7 0.000529897 -5 *383:DIODE *1777:D 8.27184e-06 -6 *1850:A *1714:A_N 9.83442e-05 -7 *1850:A *1714:B 0.000112488 -8 *1850:A *1777:D 2.18272e-05 -9 *1850:A *1847:A 5.7661e-06 -*RES -1 resetb *9:7 8.35714 -2 *9:7 *383:DIODE 10.2464 -3 *9:7 *1850:A 14.2821 -*END - -*D_NET *10 0.00377142 -*CONN -*P resetb_sync O -*I *1857:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 resetb_sync 0.00159167 -2 *1857:X 0.00159167 -3 resetb_sync *1684:B 0 -4 resetb_sync *1806:RESET_B 1.64325e-05 -5 resetb_sync *1807:D 0.000183489 -6 resetb_sync *1812:A 0 -7 resetb_sync *256:13 9.83388e-05 -8 resetb_sync *302:63 5.10822e-05 -9 resetb_sync *302:84 0.000124005 -10 resetb_sync *302:90 3.42707e-05 -11 resetb_sync *302:109 8.04722e-05 -*RES -1 *1857:X resetb_sync 48.9071 -*END - -*D_NET *11 0.00101646 -*CONN -*P sel2[0] I -*I *1851:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *384:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 sel2[0] 0.000349887 -2 *1851:A 0 -3 *384:DIODE 0.00012867 -4 *11:10 0.000478556 -5 *384:DIODE *316:13 4.87854e-05 -6 *11:10 *321:11 1.0562e-05 -*RES -1 sel2[0] *11:10 8.96699 -2 *11:10 *384:DIODE 11.4786 -3 *11:10 *1851:A 9.3 -*END - -*D_NET *12 0.00105386 -*CONN -*P sel2[1] I -*I *385:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1852:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 sel2[1] 0.000339337 -2 *385:DIODE 0 -3 *1852:A 0.000119229 -4 *12:11 0.000458566 -5 *1852:A *1745:A_N 0.000136733 -*RES -1 sel2[1] *12:11 12.0697 -2 *12:11 *1852:A 11.4786 -3 *12:11 *385:DIODE 9.3 -*END - -*D_NET *13 0.00102163 -*CONN -*P sel2[2] I -*I *1853:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *386:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 sel2[2] 0.000336343 -2 *1853:A 7.51952e-05 -3 *386:DIODE 0 -4 *13:8 0.000411539 -5 *1853:A *316:13 0 -6 *13:8 *316:13 0 -7 *8:8 *1853:A 0.000153019 -8 *8:8 *13:8 4.5539e-05 -*RES -1 sel2[2] *13:8 4.77056 -2 *13:8 *386:DIODE 13.8 -3 *13:8 *1853:A 15.7464 -*END - -*D_NET *14 0.000933765 -*CONN -*P sel[0] I -*I *1854:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *387:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 sel[0] 0.000361063 -2 *1854:A 0 -3 *387:DIODE 0.00010582 -4 *14:11 0.000466882 -*RES -1 sel[0] *14:11 12.6768 -2 *14:11 *387:DIODE 20.2464 -3 *14:11 *1854:A 9.3 -*END - -*D_NET *15 0.00122041 -*CONN -*P sel[1] I -*I *1855:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *388:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 sel[1] 0.00042578 -2 *1855:A 0 -3 *388:DIODE 0.000116907 -4 *15:10 0.000542687 -5 *388:DIODE *320:15 7.4854e-05 -6 *15:10 *319:8 6.01802e-05 -*RES -1 sel[1] *15:10 11.092 -2 *15:10 *388:DIODE 11.4786 -3 *15:10 *1855:A 9.3 -*END - -*D_NET *16 0.0011303 -*CONN -*P sel[2] I -*I *1856:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *389:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 sel[2] 0.000362161 -2 *1856:A 2.74911e-05 -3 *389:DIODE 0.000155386 -4 *16:8 0.000545038 -5 *389:DIODE *1721:C 6.05161e-06 -6 *1856:A *319:8 1.91512e-05 -7 *16:8 *319:8 1.5016e-05 -*RES -1 sel[2] *16:8 4.77056 -2 *16:8 *389:DIODE 15.9786 -3 *16:8 *1856:A 14.5321 -*END - -*D_NET *17 0.00338022 -*CONN -*P user_clk O -*I *1858:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 user_clk 0.00141005 -2 *1858:X 0.00141005 -3 user_clk *1551:A1 0.000268554 -4 user_clk *1752:CLK 0.000153047 -5 user_clk *1752:D 0.000120207 -6 user_clk *1858:A 1.83053e-05 -*RES -1 *1858:X user_clk 33.9786 -*END - -*D_NET *18 0.00199122 -*CONN -*I *1733:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *1546:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1641:Y O *D sky130_fd_sc_hd__nand2b_1 -*CAP -1 *1733:A2 0.000488411 -2 *1546:A0 0.000333774 -3 *1641:Y 0 -4 *18:4 0.000822185 -5 *1546:A0 *1641:A_N 0.00017084 -6 *1546:A0 *1641:B 7.45939e-06 -7 *1733:A2 *287:36 0 -8 *1733:A2 *289:30 0.000168553 -*RES -1 *1641:Y *18:4 9.3 -2 *18:4 *1546:A0 15.6214 -3 *18:4 *1733:A2 26.4964 -*END - -*D_NET *19 0.00559588 -*CONN -*I *1707:B1 I *D sky130_fd_sc_hd__a2bb2o_1 -*I *1709:B1 I *D sky130_fd_sc_hd__a2bb2o_1 -*I *1705:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *1545:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1636:Y O *D sky130_fd_sc_hd__nand2b_1 -*CAP -1 *1707:B1 0.000186152 -2 *1709:B1 0.000270735 -3 *1705:A2 0.000406886 -4 *1545:A0 0.000702844 -5 *1636:Y 1.68697e-05 -6 *19:19 0.000965315 -7 *19:13 0.000537464 -8 *19:5 0.000783331 -9 *1545:A0 *1545:A1 3.11088e-05 -10 *1545:A0 *1552:A0 0.000100165 -11 *1705:A2 *1704:B1 3.03324e-05 -12 *1705:A2 *1705:A1 3.69047e-06 -13 *1705:A2 *1705:B1 0.000344786 -14 *1705:A2 *1707:B2 0 -15 *1707:B1 *1636:B 0.000223423 -16 *1707:B1 *1707:A2_N 0.000156249 -17 *1707:B1 *1707:B2 5.33005e-05 -18 *1707:B1 *1708:A 0.000122685 -19 *1709:B1 *1709:A1_N 5.49544e-05 -20 *1709:B1 *1709:A2_N 0.000184297 -21 *1709:B1 *1774:D 0.000224341 -22 *1709:B1 *292:157 0.000144693 -23 *19:5 *1636:B 3.41517e-06 -24 *19:19 *1636:A_N 1.6806e-05 -25 *19:19 *292:157 3.2033e-05 -*RES -1 *1636:Y *19:5 9.72857 -2 *19:5 *1545:A0 19.6929 -3 *19:5 *19:13 0.946429 -4 *19:13 *19:19 13.4107 -5 *19:19 *1705:A2 26.55 -6 *19:19 *1709:B1 15.5857 -7 *19:13 *1707:B1 14.6393 -*END - -*D_NET *20 0.00247224 -*CONN -*I *1730:S I *D sky130_fd_sc_hd__mux2_1 -*I *1731:S I *D sky130_fd_sc_hd__mux2_1 -*I *1604:S I *D sky130_fd_sc_hd__mux2_1 -*I *1546:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1730:S 0.000118456 -2 *1731:S 1.11423e-05 -3 *1604:S 0.000199191 -4 *1546:X 9.06482e-05 -5 *20:15 0.000636923 -6 *20:6 0.000635694 -7 *1604:S *1731:A0 1.24941e-05 -8 *1730:S *371:DIODE 0.000100037 -9 *1730:S *222:31 0.000443302 -10 *1730:S *303:58 8.67797e-05 -11 *1730:S *303:66 4.17433e-05 -12 *20:6 *303:51 2.81946e-05 -13 *20:15 *1730:A1 0 -14 *20:15 *1731:A0 1.74502e-05 -15 *20:15 *1731:A1 5.018e-05 -16 *20:15 *1795:SET_B 0 -17 *20:15 *271:8 0 -*RES -1 *1546:X *20:6 15.4429 -2 *20:6 *20:15 12.0179 -3 *20:15 *1604:S 12.3179 -4 *20:15 *1731:S 9.72857 -5 *20:6 *1730:S 18.0321 -*END - -*D_NET *21 0.00428868 -*CONN -*I *1619:S I *D sky130_fd_sc_hd__mux2_1 -*I *1703:S I *D sky130_fd_sc_hd__mux2_1 -*I *1702:S I *D sky130_fd_sc_hd__mux2_1 -*I *1545:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1619:S 0.00022199 -2 *1703:S 6.11757e-05 -3 *1702:S 4.84148e-05 -4 *1545:X 0.000476024 -5 *21:20 0.000610249 -6 *21:8 0.000851522 -7 *1619:S *1703:A0 3.17148e-05 -8 *1619:S *244:13 0 -9 *1619:S *301:10 0.000146474 -10 *1619:S *301:90 0.000247402 -11 *1702:S *1702:A0 9.58632e-05 -12 *1703:S *1703:A0 0.000139625 -13 *21:8 *1545:A1 0.000129504 -14 *21:8 *1552:A0 1.93106e-05 -15 *21:8 *1552:A1 0.000419269 -16 *21:8 *1552:S 5.49489e-05 -17 *21:8 *1621:B 5.36422e-05 -18 *21:8 *301:12 3.42608e-05 -19 *21:8 *301:16 1.58559e-05 -20 *21:20 *1621:B 0.000168169 -21 *21:20 *1703:A0 0.00027654 -22 *21:20 *1703:A1 1.21258e-05 -23 *21:20 *243:25 0 -24 *21:20 *244:13 0 -25 *21:20 *245:17 0.000174602 -*RES -1 *1545:X *21:8 23.2464 -2 *21:8 *1702:S 14.7464 -3 *21:8 *21:20 12.5536 -4 *21:20 *1703:S 10.6571 -5 *21:20 *1619:S 23.2821 -*END - -*D_NET *22 0.000537039 -*CONN -*I *1710:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1567:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1710:A0 0.000218257 -2 *1567:X 0.000218257 -3 *1710:A0 *1760:D 5.11959e-05 -4 *1710:A0 *1775:SET_B 4.93285e-05 -*RES -1 *1567:X *1710:A0 31.6 -*END - -*D_NET *23 0.00192186 -*CONN -*I *1711:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1568:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1711:A0 0.000752539 -2 *1568:X 0.000752539 -3 *1711:A0 *1567:A1 0 -4 *1711:A0 *1667:A 0.000396898 -5 *1711:A0 *233:8 0 -6 *1711:A0 *234:11 1.98839e-05 -7 *1711:A0 *300:11 0 -8 *1711:A0 *304:19 0 -*RES -1 *1568:X *1711:A0 39.7964 -*END - -*D_NET *24 0.000357612 -*CONN -*I *1598:A I *D sky130_fd_sc_hd__inv_2 -*I *1556:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1598:A 0.000178806 -2 *1556:X 0.000178806 -3 *1598:A *1633:A1_N 0 -*RES -1 *1556:X *1598:A 30.1893 -*END - -*D_NET *25 0.000782322 -*CONN -*I *1633:A1_N I *D sky130_fd_sc_hd__o2bb2ai_1 -*I *1558:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1633:A1_N 0.000377431 -2 *1558:X 0.000377431 -3 *1633:A1_N *1556:S 2.74602e-05 -4 *1633:A1_N *298:38 0 -5 *1598:A *1633:A1_N 0 -*RES -1 *1558:X *1633:A1_N 33.8679 -*END - -*D_NET *26 0.000375412 -*CONN -*I *1600:A I *D sky130_fd_sc_hd__inv_2 -*I *1560:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1600:A 0.000161607 -2 *1560:X 0.000161607 -3 *1600:A *1562:S 5.2198e-05 -*RES -1 *1560:X *1600:A 30.4929 -*END - -*D_NET *27 0.00137867 -*CONN -*I *1704:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *1562:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1704:B1 0.000509815 -2 *1562:X 0.000509815 -3 *1704:B1 *1705:B1 0.000144581 -4 *1704:B1 *1772:D 9.9129e-05 -5 *1704:B1 *293:86 5.65955e-05 -6 *1704:B1 *298:13 2.84026e-05 -7 *1705:A2 *1704:B1 3.03324e-05 -*RES -1 *1562:X *1704:B1 37.6 -*END - -*D_NET *28 0.00229572 -*CONN -*I *1707:B2 I *D sky130_fd_sc_hd__a2bb2o_1 -*I *1564:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1707:B2 0.000696308 -2 *1564:X 0.000696308 -3 *1707:B2 *1705:A1 0.000150409 -4 *1707:B2 *1707:A2_N 9.41642e-05 -5 *1707:B2 *1708:A 1.98839e-05 -6 *1707:B2 *147:22 1.46689e-05 -7 *1707:B2 *221:44 0.000369139 -8 *1707:B2 *221:51 0.00020154 -9 *1707:B2 *240:10 0 -10 *1705:A2 *1707:B2 0 -11 *1707:B1 *1707:B2 5.33005e-05 -*RES -1 *1564:X *1707:B2 42.6714 -*END - -*D_NET *29 0.00230253 -*CONN -*I *1709:B2 I *D sky130_fd_sc_hd__a2bb2o_1 -*I *1566:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1709:B2 0.00035938 -2 *1566:X 0.00035938 -3 *1709:B2 *1709:A2_N 0.000224582 -4 *1709:B2 *1738:A1 0.000293451 -5 *1709:B2 *241:10 0.000849894 -6 *1709:B2 *291:57 1.25924e-05 -7 *1709:B2 *292:157 9.84729e-05 -8 *1709:B2 *293:167 0.000104778 -*RES -1 *1566:X *1709:B2 41.35 -*END - -*D_NET *30 0.000928935 -*CONN -*I *1702:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1552:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1702:A1 0.00029874 -2 *1552:X 0.00029874 -3 *1702:A1 *1621:B 0.000226274 -4 *1702:A1 *1702:A0 6.75952e-05 -5 *1702:A1 *243:10 3.75868e-05 -*RES -1 *1552:X *1702:A1 32.0464 -*END - -*D_NET *31 0.00122547 -*CONN -*I *1619:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1553:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1619:A1 0.000165252 -2 *1553:X 0.000165252 -3 *1619:A1 *1619:A0 0.000183587 -4 *1619:A1 *1653:B 0.000175274 -5 *1619:A1 *228:8 0.000354073 -6 *1619:A1 *244:8 5.5764e-05 -7 *1619:A1 *244:13 0.000126272 -*RES -1 *1553:X *1619:A1 33.6714 -*END - -*D_NET *32 0.00120876 -*CONN -*I *1703:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1554:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1703:A1 0.000528354 -2 *1554:X 0.000528354 -3 *1703:A1 *1703:A0 0.000139931 -4 *21:20 *1703:A1 1.21258e-05 -*RES -1 *1554:X *1703:A1 24.8857 -*END - -*D_NET *33 0.000973671 -*CONN -*I *1741:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1584:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1741:A0 0.00026765 -2 *1584:X 0.00026765 -3 *1741:A0 *1741:A1 5.50052e-05 -4 *1741:A0 *1741:S 1.35465e-05 -5 *1741:A0 *256:13 0.000231212 -6 *1741:A0 *262:14 1.12578e-05 -7 *1741:A0 *288:9 0.00012735 -*RES -1 *1584:X *1741:A0 33.4929 -*END - -*D_NET *34 0.000852794 -*CONN -*I *1742:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1585:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1742:A0 0.000297144 -2 *1585:X 0.000297144 -3 *1742:A0 *1585:A0 6.05161e-06 -4 *1742:A0 *1742:A1 6.02658e-05 -5 *1742:A0 *260:12 0.000159108 -6 *1742:A0 *316:13 3.30803e-05 -*RES -1 *1585:X *1742:A0 33.3321 -*END - -*D_NET *35 0.00033389 -*CONN -*I *1601:A I *D sky130_fd_sc_hd__inv_2 -*I *1573:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1601:A 0.000116949 -2 *1573:X 0.000116949 -3 *1601:A *297:55 9.9992e-05 -*RES -1 *1573:X *1601:A 21.1 -*END - -*D_NET *36 0.00108153 -*CONN -*I *1618:A1_N I *D sky130_fd_sc_hd__o2bb2ai_1 -*I *1575:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1618:A1_N 0.000449028 -2 *1575:X 0.000449028 -3 *1618:A1_N *1613:B1 0.000183477 -4 *1618:A1_N *136:21 0 -*RES -1 *1575:X *1618:A1_N 33.7786 -*END - -*D_NET *37 0.000705056 -*CONN -*I *1603:A I *D sky130_fd_sc_hd__inv_2 -*I *1577:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1603:A 0.000352528 -2 *1577:X 0.000352528 -*RES -1 *1577:X *1603:A 24.3857 -*END - -*D_NET *38 0.000634765 -*CONN -*I *1732:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *1579:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1732:B1 0.000221845 -2 *1579:X 0.000221845 -3 *1732:B1 *1733:B1 7.43578e-06 -4 *1732:B1 *137:21 2.85234e-05 -5 *1732:B1 *287:36 4.76456e-05 -6 *1732:B1 *289:30 6.06697e-05 -7 *1732:B1 *289:32 4.68007e-05 -*RES -1 *1579:X *1732:B1 32.5107 -*END - -*D_NET *39 0.000491792 -*CONN -*I *1734:B1_N I *D sky130_fd_sc_hd__o21bai_1 -*I *1581:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1734:B1_N 0.000112117 -2 *1581:X 0.000112117 -3 *1734:B1_N *137:21 9.84673e-05 -4 *1734:B1_N *266:8 4.26814e-05 -5 *1734:B1_N *288:49 0.000126409 -*RES -1 *1581:X *1734:B1_N 30.1893 -*END - -*D_NET *40 0.00061973 -*CONN -*I *1736:B1_N I *D sky130_fd_sc_hd__o21bai_1 -*I *1583:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1736:B1_N 0.000226665 -2 *1583:X 0.000226665 -3 *1736:B1_N *1583:S 5.45307e-05 -4 *1736:B1_N *1736:A1 3.29333e-05 -5 *1736:B1_N *268:28 7.89362e-05 -*RES -1 *1583:X *1736:B1_N 30.9393 -*END - -*D_NET *41 0.0020905 -*CONN -*I *1730:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1569:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1730:A1 0.000707059 -2 *1569:X 0.000707059 -3 *1730:A1 *1604:A1 8.33307e-05 -4 *1730:A1 *1795:SET_B 4.92083e-05 -5 *1730:A1 *222:31 7.62237e-05 -6 *1730:A1 *269:12 0 -7 *1730:A1 *269:22 0.000414583 -8 *1730:A1 *270:11 5.30379e-05 -9 *1730:A1 *271:8 0 -10 *1730:A1 *296:30 0 -11 *20:15 *1730:A1 0 -*RES -1 *1569:X *1730:A1 42.4393 -*END - -*D_NET *42 0.00188294 -*CONN -*I *1604:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1570:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1604:A1 0.000431877 -2 *1570:X 0.000431877 -3 *1604:A1 *1569:S 0.000146404 -4 *1604:A1 *1669:A 3.50522e-05 -5 *1604:A1 *1673:B1 1.05603e-05 -6 *1604:A1 *1674:A1 0.000273815 -7 *1604:A1 *269:12 0.00026637 -8 *1604:A1 *269:22 0.000203651 -9 *1730:A1 *1604:A1 8.33307e-05 -*RES -1 *1570:X *1604:A1 38.5107 -*END - -*D_NET *43 0.0010047 -*CONN -*I *1731:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1571:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1731:A1 0.00042395 -2 *1571:X 0.00042395 -3 *1731:A1 *1571:A0 4.44686e-05 -4 *1731:A1 *1731:A0 5.60962e-05 -5 *1731:A1 *296:30 6.05161e-06 -6 *20:15 *1731:A1 5.018e-05 -*RES -1 *1571:X *1731:A1 34.4929 -*END - -*D_NET *44 0.00223256 -*CONN -*I *1547:S I *D sky130_fd_sc_hd__mux2_1 -*I *1622:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *1547:S 0.000750342 -2 *1622:Y 0.000750342 -3 *1547:S *1547:A0 4.34932e-05 -4 *1547:S *1624:A1 0.000118292 -5 *1547:S *1756:CLK 0.000121891 -6 *1547:S *1756:RESET_B 0 -7 *1547:S *1779:SET_B 0.000182933 -8 *1547:S *326:15 0.000265267 -*RES -1 *1622:Y *1547:S 39.975 -*END - -*D_NET *45 0.0020622 -*CONN -*I *1550:S I *D sky130_fd_sc_hd__mux2_1 -*I *1607:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *1550:S 0.000340937 -2 *1607:Y 0.000340937 -3 *1550:S *1550:A0 3.80839e-05 -4 *1550:S *1609:B1 0.000148514 -5 *1550:S *1651:A2 0.000141729 -6 *1550:S *1651:B1 0.000399938 -7 *1550:S *258:10 0.000146991 -8 *1550:S *287:20 0.000225161 -9 *1550:S *289:8 5.33005e-05 -10 *1550:S *317:8 0.000226614 -*RES -1 *1607:Y *1550:S 37.1893 -*END - -*D_NET *46 0.00354016 -*CONN -*I *1689:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *1568:S I *D sky130_fd_sc_hd__mux2_1 -*I *1567:S I *D sky130_fd_sc_hd__mux2_1 -*I *1635:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *1689:A2 0.000115951 -2 *1568:S 0 -3 *1567:S 0.000286686 -4 *1635:Y 3.49775e-05 -5 *46:8 0.000422765 -6 *46:5 0.000287008 -7 *1567:S *1568:A0 0.000175952 -8 *1567:S *231:19 0.00053178 -9 *1567:S *293:10 0 -10 *1689:A2 *1688:B_N 0.000227687 -11 *1689:A2 *1688:C 0.000343236 -12 *1689:A2 *1690:A 2.75452e-05 -13 *1689:A2 *295:38 0.000615403 -14 *46:5 *1688:C 0.000137639 -15 *46:5 *295:38 0.000137639 -16 *46:8 *1568:A0 2.31791e-05 -17 *46:8 *1568:A1 0.00017271 -18 *46:8 *293:8 0 -19 *46:8 *293:10 0 -*RES -1 *1635:Y *46:5 10.6571 -2 *46:5 *46:8 7.66071 -3 *46:8 *1567:S 21.1036 -4 *46:8 *1568:S 13.8 -5 *46:5 *1689:A2 15.5857 -*END - -*D_NET *47 0.000874078 -*CONN -*I *1545:S I *D sky130_fd_sc_hd__mux2_1 -*I *1626:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1545:S 0.000225825 -2 *1626:Y 0.000225825 -3 *1545:S *1625:C1 0.000125159 -4 *1545:S *48:35 5.12583e-05 -5 *1545:S *148:8 0.000147993 -6 *1545:S *298:17 9.80173e-05 -*RES -1 *1626:Y *1545:S 32.6179 -*END - -*D_NET *48 0.00972684 -*CONN -*I *1627:A1 I *D sky130_fd_sc_hd__a31o_1 -*I *1628:A1 I *D sky130_fd_sc_hd__a41oi_1 -*I *1559:S I *D sky130_fd_sc_hd__mux2_1 -*I *1557:S I *D sky130_fd_sc_hd__mux2_1 -*I *1555:S I *D sky130_fd_sc_hd__mux2_1 -*I *1620:Y O *D sky130_fd_sc_hd__nor3b_2 -*CAP -1 *1627:A1 0.00069057 -2 *1628:A1 0.000216281 -3 *1559:S 0.00038506 -4 *1557:S 8.8192e-05 -5 *1555:S 0.000148134 -6 *1620:Y 0.000132032 -7 *48:35 0.00179243 -8 *48:26 0.00135357 -9 *48:17 0.00021676 -10 *48:7 0.000325803 -11 *1555:S *1661:A1 5.33005e-05 -12 *1555:S *237:37 0.000316807 -13 *1555:S *238:12 0.000124019 -14 *1555:S *238:16 3.15647e-05 -15 *1555:S *239:35 0 -16 *1555:S *293:106 2.77173e-05 -17 *1555:S *293:108 0.000104664 -18 *1557:S *1557:A1 0.000269734 -19 *1557:S *1620:A 5.33005e-05 -20 *1559:S *1559:A0 5.52302e-05 -21 *1559:S *292:83 2.59355e-05 -22 *1559:S *292:105 0.000420042 -23 *1627:A1 *1552:A0 1.80895e-05 -24 *1627:A1 *1621:A 0.000116682 -25 *1627:A1 *1627:A2 0.000217533 -26 *1627:A1 *1658:A1 2.05938e-05 -27 *1627:A1 *221:41 0.000200129 -28 *1628:A1 *1621:B 2.85321e-05 -29 *1628:A1 *57:7 7.36469e-05 -30 *1628:A1 *145:5 2.59355e-05 -31 *1628:A1 *145:15 0.000164339 -32 *48:7 *1620:A 7.62323e-05 -33 *48:17 *238:10 3.14003e-05 -34 *48:17 *238:12 4.73355e-05 -35 *48:26 *238:10 0.000165981 -36 *48:26 *239:26 0 -37 *48:26 *292:89 0.000126439 -38 *48:35 *1552:A0 4.48698e-05 -39 *48:35 *1621:A 3.45899e-05 -40 *48:35 *148:8 9.60095e-05 -41 *48:35 *148:10 0.000188613 -42 *48:35 *148:12 0.000139118 -43 *48:35 *148:17 6.4474e-05 -44 *48:35 *238:8 0.000503283 -45 *48:35 *238:10 0.000403984 -46 *48:35 *239:26 0 -47 *48:35 *292:89 5.66157e-05 -48 *48:35 *298:18 0 -49 *48:35 *298:82 0 -50 *1545:S *48:35 5.12583e-05 -*RES -1 *1620:Y *48:7 15.5679 -2 *48:7 *1555:S 18.7464 -3 *48:7 *48:17 1.03571 -4 *48:17 *1557:S 16.3893 -5 *48:17 *48:26 2.25 -6 *48:26 *1559:S 22.1393 -7 *48:26 *48:35 22.2857 -8 *48:35 *1628:A1 18.4429 -9 *48:35 *1627:A1 23.6929 -*END - -*D_NET *49 0.00288567 -*CONN -*I *1565:S I *D sky130_fd_sc_hd__mux2_1 -*I *1561:S I *D sky130_fd_sc_hd__mux2_1 -*I *1563:S I *D sky130_fd_sc_hd__mux2_1 -*I *1638:Y O *D sky130_fd_sc_hd__nor3_2 -*CAP -1 *1565:S 0.000356981 -2 *1561:S 0 -3 *1563:S 0.000356548 -4 *1638:Y 0.000127684 -5 *49:19 0.000505018 -6 *49:6 0.000632269 -7 *1563:S *1563:A1 2.60984e-05 -8 *1563:S *292:105 5.16862e-05 -9 *1563:S *292:111 0.000107937 -10 *1565:S *1565:A1 9.67754e-05 -11 *1565:S *1566:A0 9.87041e-05 -12 *1565:S *291:54 0.000358486 -13 *49:6 *69:8 3.73679e-05 -14 *49:6 *69:19 4.52494e-05 -15 *49:6 *240:10 0 -16 *49:19 *1705:A1 1.0609e-05 -17 *49:19 *69:19 7.42601e-05 -18 *49:19 *240:10 0 -*RES -1 *1638:Y *49:6 16.6571 -2 *49:6 *1563:S 19.6929 -3 *49:6 *49:19 7.66071 -4 *49:19 *1561:S 9.3 -5 *49:19 *1565:S 17.2286 -*END - -*D_NET *50 0.00406367 -*CONN -*I *1585:S I *D sky130_fd_sc_hd__mux2_1 -*I *1717:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *1584:S I *D sky130_fd_sc_hd__mux2_1 -*I *1640:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *1585:S 4.71569e-05 -2 *1717:A2 0 -3 *1584:S 0.000246362 -4 *1640:Y 0.000306742 -5 *50:14 0.000864863 -6 *50:8 0.000972399 -7 *1584:S *1584:A0 2.79421e-05 -8 *1584:S *1788:D 0 -9 *1584:S *1832:A 0.000199782 -10 *1584:S *1833:A 2.19736e-05 -11 *1584:S *1834:A 4.66203e-05 -12 *1584:S *262:14 0.000363165 -13 *1584:S *288:9 3.69047e-06 -14 *1585:S *1585:A1 0.000113034 -15 *1585:S *1742:S 0.000122284 -16 *50:8 *341:DIODE 4.00276e-06 -17 *50:8 *1585:A1 3.34295e-05 -18 *50:8 *1640:B 2.84026e-05 -19 *50:8 *1742:S 9.71532e-05 -20 *50:8 *260:12 2.59093e-05 -21 *50:8 *260:14 6.19181e-05 -22 *50:8 *287:221 6.86755e-06 -23 *50:8 *290:60 0.000101545 -24 *50:14 *1683:A 4.63685e-05 -25 *50:14 *1683:B 7.57394e-05 -26 *50:14 *1788:D 0 -27 *50:14 *262:12 5.83304e-05 -28 *50:14 *262:14 2.31791e-05 -29 *50:14 *290:7 0.00010462 -30 *50:14 *290:18 1.00073e-05 -31 *50:14 *290:57 5.0185e-05 -*RES -1 *1640:Y *50:8 18.9429 -2 *50:8 *50:14 17.5536 -3 *50:14 *1584:S 20.2464 -4 *50:14 *1717:A2 13.8 -5 *50:8 *1585:S 15.4429 -*END - -*D_NET *51 0.000596664 -*CONN -*I *1546:S I *D sky130_fd_sc_hd__mux2_1 -*I *1611:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1546:S 0.00025635 -2 *1611:Y 0.00025635 -3 *1546:S *1611:A 7.43578e-06 -4 *1546:S *1641:B 0 -5 *1546:S *263:11 6.62783e-05 -6 *1546:S *296:57 1.02504e-05 -*RES -1 *1611:Y *1546:S 31.3143 -*END - -*D_NET *52 0.00815986 -*CONN -*I *1613:A1 I *D sky130_fd_sc_hd__a41oi_1 -*I *1612:A1 I *D sky130_fd_sc_hd__a31o_1 -*I *1574:S I *D sky130_fd_sc_hd__mux2_1 -*I *1576:S I *D sky130_fd_sc_hd__mux2_1 -*I *1572:S I *D sky130_fd_sc_hd__mux2_1 -*I *1605:Y O *D sky130_fd_sc_hd__nor3b_2 -*CAP -1 *1613:A1 0.000164544 -2 *1612:A1 4.82722e-05 -3 *1574:S 5.65926e-05 -4 *1576:S 7.62875e-05 -5 *1572:S 0.000306543 -6 *1605:Y 4.8066e-05 -7 *52:50 0.000686347 -8 *52:32 0.00082165 -9 *52:12 0.000725108 -10 *52:7 0.000681869 -11 *1572:S *1610:A2 0.000149543 -12 *1572:S *1610:B1 4.84073e-05 -13 *1572:S *287:30 0 -14 *1572:S *288:24 0.000109789 -15 *1572:S *289:22 6.14702e-06 -16 *1572:S *320:15 1.4796e-05 -17 *1574:S *1575:A1 5.33005e-05 -18 *1574:S *275:26 1.21258e-05 -19 *1574:S *297:17 9.41642e-05 -20 *1576:S *337:DIODE 1.98839e-05 -21 *1576:S *287:167 5.03772e-05 -22 *1612:A1 *1612:A2 9.58632e-05 -23 *1612:A1 *1780:D 6.82912e-05 -24 *1613:A1 *1612:A2 0.000197788 -25 *1613:A1 *1613:A2 0.000140933 -26 *1613:A1 *1613:A4 9.90431e-05 -27 *1613:A1 *1613:B1 2.28598e-05 -28 *52:7 *1605:A 1.33343e-05 -29 *52:12 *1576:A0 0.000291202 -30 *52:12 *1605:B 0 -31 *52:12 *265:26 0 -32 *52:12 *287:26 0 -33 *52:12 *287:28 0 -34 *52:12 *287:30 0 -35 *52:12 *288:24 0.000176388 -36 *52:32 *1574:A0 4.21719e-05 -37 *52:32 *1605:B 0 -38 *52:32 *287:26 0 -39 *52:32 *288:24 0.000600308 -40 *52:50 *1574:A0 1.46624e-05 -41 *52:50 *1780:D 0.00142889 -42 *52:50 *287:26 0 -43 *52:50 *288:22 6.91157e-05 -44 *52:50 *288:24 3.17148e-05 -45 *52:50 *289:14 5.76571e-05 -46 *7:16 *1612:A1 1.98839e-05 -47 *7:16 *52:50 0.00061594 -*RES -1 *1605:Y *52:7 14.3357 -2 *52:7 *52:12 7.46429 -3 *52:12 *1572:S 20.2643 -4 *52:12 *1576:S 15.1571 -5 *52:7 *52:32 7.71429 -6 *52:32 *1574:S 15.175 -7 *52:32 *52:50 22.8214 -8 *52:50 *1612:A1 10.6571 -9 *52:50 *1613:A1 22.6214 -*END - -*D_NET *53 0.00281579 -*CONN -*I *1582:S I *D sky130_fd_sc_hd__mux2_1 -*I *1580:S I *D sky130_fd_sc_hd__mux2_1 -*I *1578:S I *D sky130_fd_sc_hd__mux2_1 -*I *1643:Y O *D sky130_fd_sc_hd__nor3_2 -*CAP -1 *1582:S 0.000411847 -2 *1580:S 0.000123405 -3 *1578:S 0 -4 *1643:Y 0.000162996 -5 *53:15 0.000629921 -6 *53:9 0.000257665 -7 *1580:S *1580:A1 5.38072e-05 -8 *1580:S *1581:A0 0 -9 *1580:S *1643:B 9.75679e-05 -10 *1580:S *267:33 9.58632e-05 -11 *1580:S *268:28 0 -12 *1580:S *288:51 0.000126439 -13 *1582:S *1582:A1 1.15281e-05 -14 *1582:S *287:85 0.000177491 -15 *1582:S *287:93 0.000139172 -16 *53:9 *89:8 5.54817e-06 -17 *53:9 *89:15 0.000100941 -18 *53:9 *266:8 5.59022e-05 -19 *53:9 *287:85 0.000140088 -20 *53:15 *287:85 0.000225609 -*RES -1 *1643:Y *53:9 22.2107 -2 *53:9 *1578:S 9.3 -3 *53:9 *53:15 2.17857 -4 *53:15 *1580:S 21.4964 -5 *53:15 *1582:S 16.9786 -*END - -*D_NET *54 0.00169989 -*CONN -*I *1644:B I *D sky130_fd_sc_hd__nand2b_2 -*I *1547:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1644:B 0.000222248 -2 *1547:X 0.000222248 -3 *1644:B *1547:A0 8.08608e-06 -4 *1644:B *1647:A3 0.000317987 -5 *1644:B *1647:B1 0.000354588 -6 *1644:B *147:8 3.30593e-05 -7 *1644:B *147:18 8.44766e-05 -8 *1644:B *295:17 0.000306198 -9 *1815:A *1644:B 0.000151003 -*RES -1 *1547:X *1644:B 36.5286 -*END - -*D_NET *55 0.00205855 -*CONN -*I *1810:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *1548:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1810:A 0.000678149 -2 *1548:X 0.000678149 -3 *1810:A *1754:CLK 5.52302e-05 -4 *1810:A *1754:D 0 -5 *1810:A *304:35 0.000647022 -*RES -1 *1548:X *1810:A 37.2786 -*END - -*D_NET *56 0.000514728 -*CONN -*I *1648:B I *D sky130_fd_sc_hd__nand2b_2 -*I *1550:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1648:B 0.000129663 -2 *1550:X 0.000129663 -3 *1648:B *1550:A0 9.84673e-05 -4 *1648:B *1651:B1 0.000124012 -5 *1648:B *287:20 3.2923e-05 -*RES -1 *1550:X *1648:B 30.1893 -*END - -*D_NET *57 0.00294196 -*CONN -*I *1658:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *1552:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1589:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1658:A1 0.000132737 -2 *1552:A0 0.00051334 -3 *1589:Y 0.000377236 -4 *57:7 0.00102331 -5 *1552:A0 *1545:A1 0.000106708 -6 *1552:A0 *1552:A1 2.07878e-05 -7 *1552:A0 *1552:S 1.83053e-05 -8 *1552:A0 *1658:A2 0.0001026 -9 *1658:A1 *1621:A 0 -10 *1658:A1 *1658:A2 0.000267249 -11 *57:7 *1621:B 0.00010301 -12 *1545:A0 *1552:A0 0.000100165 -13 *1627:A1 *1552:A0 1.80895e-05 -14 *1627:A1 *1658:A1 2.05938e-05 -15 *1628:A1 *57:7 7.36469e-05 -16 *21:8 *1552:A0 1.93106e-05 -17 *48:35 *1552:A0 4.48698e-05 -*RES -1 *1589:Y *57:7 18.8536 -2 *57:7 *1552:A0 21.8179 -3 *57:7 *1658:A1 17.2643 -*END - -*D_NET *58 0.00111192 -*CONN -*I *1552:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1652:Y O *D sky130_fd_sc_hd__xnor2_1 -*CAP -1 *1552:A1 0.000186895 -2 *1652:Y 0.000186895 -3 *1552:A1 *243:10 0.000170134 -4 *1552:A1 *301:16 0.000127939 -5 *1552:A0 *1552:A1 2.07878e-05 -6 *21:8 *1552:A1 0.000419269 -*RES -1 *1652:Y *1552:A1 33.8857 -*END - -*D_NET *59 0.00124156 -*CONN -*I *1553:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1653:Y O *D sky130_fd_sc_hd__xnor2_1 -*CAP -1 *1553:A0 0.000494007 -2 *1653:Y 0.000494007 -3 *1553:A0 *1553:A1 0.000100262 -4 *1553:A0 *1553:S 5.49489e-05 -5 *1553:A0 *1654:C 0 -6 *1553:A0 *301:119 9.83388e-05 -*RES -1 *1653:Y *1553:A0 37.0107 -*END - -*D_NET *60 0.00216178 -*CONN -*I *1553:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1656:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *1553:A1 0.000484545 -2 *1656:Y 0.000484545 -3 *1553:A1 *1553:S 0.000168057 -4 *1553:A1 *1654:B 0.00018528 -5 *1553:A1 *1654:C 9.71986e-05 -6 *1553:A1 *291:12 0.00018528 -7 *1553:A1 *299:12 0.000400155 -8 *1553:A1 *299:16 5.64585e-05 -9 *1553:A0 *1553:A1 0.000100262 -*RES -1 *1656:Y *1553:A1 39.9036 -*END - -*D_NET *61 0.000583994 -*CONN -*I *1554:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1658:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *1554:A0 0.000186534 -2 *1658:X 0.000186534 -3 *1554:A0 *1554:A1 0.000102197 -4 *1554:A0 *1554:S 9.90367e-05 -5 *1554:A0 *1822:A 0 -6 *1554:A0 *299:16 9.69119e-06 -*RES -1 *1658:X *1554:A0 31.725 -*END - -*D_NET *62 0.00232794 -*CONN -*I *1656:B I *D sky130_fd_sc_hd__nor2_1 -*I *1554:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1655:X O *D sky130_fd_sc_hd__o21a_1 -*CAP -1 *1656:B 0.000388613 -2 *1554:A1 0.000182679 -3 *1655:X 0 -4 *62:4 0.000571291 -5 *1554:A1 *1554:S 3.30352e-05 -6 *1656:B *1554:S 1.39726e-05 -7 *1656:B *1654:B 5.65955e-05 -8 *1656:B *1656:A 5.65955e-05 -9 *1656:B *1822:A 0.000368625 -10 *1656:B *291:12 3.14003e-05 -11 *1656:B *291:16 0.000484808 -12 *1656:B *291:27 2.89114e-05 -13 *1656:B *299:32 9.22103e-06 -14 *1554:A0 *1554:A1 0.000102197 -*RES -1 *1655:X *62:4 9.3 -2 *62:4 *1554:A1 11.8893 -3 *62:4 *1656:B 27.6393 -*END - -*D_NET *63 0.00102055 -*CONN -*I *1555:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1590:Y O *D sky130_fd_sc_hd__clkinv_2 -*CAP -1 *1555:A0 0.000305802 -2 *1590:Y 0.000305802 -3 *1555:A0 *1556:A0 0.000269734 -4 *1555:A0 *293:118 0.000139208 -*RES -1 *1590:Y *1555:A0 22.8321 -*END - -*D_NET *64 0.00205921 -*CONN -*I *1556:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1555:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1556:A0 0.000758835 -2 *1555:X 0.000758835 -3 *1556:A0 *1556:A1 0.000129868 -4 *1556:A0 *1590:A 0.000141935 -5 *1555:A0 *1556:A0 0.000269734 -*RES -1 *1555:X *1556:A0 41.6536 -*END - -*D_NET *65 0.00104225 -*CONN -*I *1557:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1659:Y O *D sky130_fd_sc_hd__xnor2_1 -*CAP -1 *1557:A0 0.000393244 -2 *1659:Y 0.000393244 -3 *1557:A0 *1659:A 5.26625e-05 -4 *1557:A0 *1659:B 4.98872e-05 -5 *1557:A0 *237:8 5.79047e-06 -6 *1557:A0 *237:21 2.6773e-05 -7 *1557:A0 *237:37 2.09897e-05 -8 *1557:A0 *293:118 9.96553e-05 -*RES -1 *1659:Y *1557:A0 33.7786 -*END - -*D_NET *66 0.000933135 -*CONN -*I *1558:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1557:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1558:A0 0.000240826 -2 *1557:X 0.000240826 -3 *1558:A0 *1556:S 5.58005e-05 -4 *1558:A0 *1558:A1 5.17614e-05 -5 *1558:A0 *237:8 0.000153047 -6 *1558:A0 *292:79 0.000137573 -7 *1558:A0 *292:83 5.33005e-05 -*RES -1 *1557:X *1558:A0 33.7786 -*END - -*D_NET *67 0.000640372 -*CONN -*I *1559:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1662:Y O *D sky130_fd_sc_hd__nand2b_1 -*CAP -1 *1559:A0 0.000264956 -2 *1662:Y 0.000264956 -3 *1559:A0 *292:105 5.52302e-05 -4 *1559:A0 *293:106 0 -5 *1559:S *1559:A0 5.52302e-05 -*RES -1 *1662:Y *1559:A0 32.5107 -*END - -*D_NET *68 0.00013764 -*CONN -*I *1560:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1559:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1560:A0 4.84842e-05 -2 *1559:X 4.84842e-05 -3 *1560:A0 *1559:A1 4.06715e-05 -4 *1560:A0 *239:26 0 -*RES -1 *1559:X *1560:A0 28.6357 -*END - -*D_NET *69 0.0024649 -*CONN -*I *1705:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *1561:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1638:C I *D sky130_fd_sc_hd__nor3_2 -*I *1591:Y O *D sky130_fd_sc_hd__clkinv_4 -*CAP -1 *1705:A1 0.000518002 -2 *1561:A0 0 -3 *1638:C 6.09552e-05 -4 *1591:Y 0.000221242 -5 *69:19 0.000717646 -6 *69:8 0.00048184 -7 *69:8 *1663:A 0.000143633 -8 *1705:A2 *1705:A1 3.69047e-06 -9 *1707:B2 *1705:A1 0.000150409 -10 *49:6 *69:8 3.73679e-05 -11 *49:6 *69:19 4.52494e-05 -12 *49:19 *1705:A1 1.0609e-05 -13 *49:19 *69:19 7.42601e-05 -*RES -1 *1591:Y *69:8 17.7107 -2 *69:8 *1638:C 14.7643 -3 *69:8 *69:19 4.375 -4 *69:19 *1561:A0 13.8 -5 *69:19 *1705:A1 23.6929 -*END - -*D_NET *70 0.000390576 -*CONN -*I *1562:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1561:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1562:A0 0.000138458 -2 *1561:X 0.000138458 -3 *1562:A0 *1561:A1 3.11088e-05 -4 *1562:A0 *293:89 7.70015e-05 -5 *1562:A0 *293:91 5.54817e-06 -*RES -1 *1561:X *1562:A0 30.0821 -*END - -*D_NET *71 0.00218827 -*CONN -*I *1563:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1663:Y O *D sky130_fd_sc_hd__xnor2_1 -*CAP -1 *1563:A0 0.000493339 -2 *1663:Y 0.000493339 -3 *1563:A0 *1663:B 0.000666913 -4 *1563:A0 *1665:A2 9.25014e-06 -5 *1563:A0 *241:10 0.000212882 -6 *1563:A0 *241:12 0.000206975 -7 *1563:A0 *322:10 0.00010557 -*RES -1 *1663:Y *1563:A0 39.475 -*END - -*D_NET *72 0.00122315 -*CONN -*I *1564:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1563:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1564:A0 0.000221148 -2 *1563:X 0.000221148 -3 *1564:A0 *1564:A1 5.33005e-05 -4 *1564:A0 *240:10 0.000478141 -5 *1564:A0 *299:81 3.69047e-06 -6 *1564:A0 *322:10 0.000245719 -*RES -1 *1563:X *1564:A0 34.7429 -*END - -*D_NET *73 0.00134065 -*CONN -*I *1565:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1666:Y O *D sky130_fd_sc_hd__nand2b_1 -*CAP -1 *1565:A0 0.000329059 -2 *1666:Y 0.000329059 -3 *1565:A0 *1565:A1 2.24804e-05 -4 *1565:A0 *1665:B1 0.00012891 -5 *1565:A0 *1666:B 8.06378e-05 -6 *1565:A0 *241:10 0 -7 *1565:A0 *242:12 0.000346385 -8 *1565:A0 *242:14 9.16818e-05 -9 *1565:A0 *291:54 1.24368e-05 -*RES -1 *1666:Y *1565:A0 35.5464 -*END - -*D_NET *74 0.00104304 -*CONN -*I *1566:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1565:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1566:A0 0.000203399 -2 *1565:X 0.000203399 -3 *1566:A0 *242:12 0.000268767 -4 *1566:A0 *291:57 0.000268767 -5 *1565:S *1566:A0 9.87041e-05 -*RES -1 *1565:X *1566:A0 32.8321 -*END - -*D_NET *75 0.000734196 -*CONN -*I *1567:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1588:Y O *D sky130_fd_sc_hd__clkinv_2 -*CAP -1 *1567:A1 0.000229388 -2 *1588:Y 0.000229388 -3 *1567:A1 *233:8 0.000104974 -4 *1567:A1 *233:46 5.33433e-05 -5 *1567:A1 *304:19 0.000117103 -6 *1711:A0 *1567:A1 0 -*RES -1 *1588:Y *1567:A1 32.6179 -*END - -*D_NET *76 0.00127 -*CONN -*I *1568:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1667:Y O *D sky130_fd_sc_hd__xnor2_1 -*CAP -1 *1568:A1 0.000463456 -2 *1667:Y 0.000463456 -3 *1568:A1 *154:12 0.000170376 -4 *46:8 *1568:A1 0.00017271 -*RES -1 *1667:Y *1568:A1 35.6714 -*END - -*D_NET *77 0.00192376 -*CONN -*I *1674:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *1569:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1593:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1674:A1 0.000336635 -2 *1569:A0 0 -3 *1593:Y 0.000103544 -4 *77:8 0.000440179 -5 *1674:A1 *1571:A0 1.11823e-05 -6 *1674:A1 *1669:A 0.000379433 -7 *1674:A1 *1673:A1 2.24804e-05 -8 *1674:A1 *1674:B1 0.000125524 -9 *1674:A1 *269:22 3.65681e-05 -10 *1674:A1 *270:21 5.23735e-05 -11 *77:8 *1669:A 7.89362e-05 -12 *77:8 *1669:B 4.01768e-05 -13 *77:8 *269:22 2.29112e-05 -14 *1604:A1 *1674:A1 0.000273815 -*RES -1 *1593:Y *77:8 15.7821 -2 *77:8 *1569:A0 13.8 -3 *77:8 *1674:A1 23.0321 -*END - -*D_NET *78 0.00203617 -*CONN -*I *1569:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1668:Y O *D sky130_fd_sc_hd__xnor2_1 -*CAP -1 *1569:A1 0.000440955 -2 *1668:Y 0.000440955 -3 *1569:A1 *1570:A0 0.000140998 -4 *1569:A1 *284:11 0.00101326 -*RES -1 *1668:Y *1569:A1 28.6 -*END - -*D_NET *79 0.00163248 -*CONN -*I *1570:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1669:Y O *D sky130_fd_sc_hd__xnor2_1 -*CAP -1 *1570:A0 0.000629543 -2 *1669:Y 0.000629543 -3 *1570:A0 *1669:B 0.000232399 -4 *1569:A1 *1570:A0 0.000140998 -*RES -1 *1669:Y *1570:A0 37.9036 -*END - -*D_NET *80 0.00112017 -*CONN -*I *1570:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1672:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *1570:A1 0.000364394 -2 *1672:Y 0.000364394 -3 *1570:A1 *1670:B 0.000228876 -4 *1570:A1 *284:11 0.000162504 -5 *1570:A1 *287:59 0 -*RES -1 *1672:Y *1570:A1 34.4214 -*END - -*D_NET *81 0.00209318 -*CONN -*I *1571:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1674:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *1571:A0 0.000693326 -2 *1674:X 0.000693326 -3 *1571:A0 *1673:A1 9.67754e-05 -4 *1571:A0 *1674:B1 0.000314545 -5 *1571:A0 *269:22 0.000139338 -6 *1571:A0 *270:21 9.41642e-05 -7 *1571:A0 *296:30 6.05161e-06 -8 *1674:A1 *1571:A0 1.11823e-05 -9 *1731:A1 *1571:A0 4.44686e-05 -*RES -1 *1674:X *1571:A0 30.975 -*END - -*D_NET *82 0.0016854 -*CONN -*I *1672:B I *D sky130_fd_sc_hd__nor2_1 -*I *1571:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1671:X O *D sky130_fd_sc_hd__o21a_1 -*CAP -1 *1672:B 0.000263712 -2 *1571:A1 0.000101122 -3 *1671:X 0.000328117 -4 *82:8 0.000692951 -5 *1571:A1 *296:23 2.97489e-05 -6 *1571:A1 *296:30 2.11336e-05 -7 *1672:B *287:50 0 -8 *1672:B *287:52 0 -9 *1672:B *287:54 0 -10 *1672:B *296:21 9.31523e-05 -11 *1672:B *296:23 3.69047e-06 -12 *82:8 *1670:B 0.000151769 -*RES -1 *1671:X *82:8 24.1929 -2 *82:8 *1571:A1 10.6571 -3 *82:8 *1672:B 22.5321 -*END - -*D_NET *83 0.00050867 -*CONN -*I *1572:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1594:Y O *D sky130_fd_sc_hd__clkinv_2 -*CAP -1 *1572:A0 0.000175215 -2 *1594:Y 0.000175215 -3 *1572:A0 *287:134 1.1979e-05 -4 *1572:A0 *289:22 2.37713e-05 -5 *1572:A0 *289:24 0.00012249 -*RES -1 *1594:Y *1572:A0 30.4929 -*END - -*D_NET *84 0.000490534 -*CONN -*I *1573:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1572:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1573:A0 0.000129665 -2 *1572:X 0.000129665 -3 *1573:A0 *1610:A2 7.4854e-05 -4 *1573:A0 *1732:A1 7.89362e-05 -5 *1573:A0 *287:30 7.74135e-05 -*RES -1 *1572:X *1573:A0 29.9929 -*END - -*D_NET *85 0.000665835 -*CONN -*I *1574:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1675:Y O *D sky130_fd_sc_hd__xnor2_1 -*CAP -1 *1574:A0 0.000118126 -2 *1675:Y 0.000118126 -3 *1574:A0 *1575:A1 0.000140933 -4 *1574:A0 *275:26 0.000140933 -5 *1574:A0 *287:26 9.08831e-05 -6 *52:32 *1574:A0 4.21719e-05 -7 *52:50 *1574:A0 1.46624e-05 -*RES -1 *1675:Y *1574:A0 31.2071 -*END - -*D_NET *86 0.00114207 -*CONN -*I *1575:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1574:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1575:A0 0.000329829 -2 *1574:X 0.000329829 -3 *1575:A0 *275:26 0.00048241 -*RES -1 *1574:X *1575:A0 23.2429 -*END - -*D_NET *87 0.000751091 -*CONN -*I *1576:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1678:Y O *D sky130_fd_sc_hd__nand2b_1 -*CAP -1 *1576:A0 8.43439e-05 -2 *1678:Y 8.43439e-05 -3 *1576:A0 *288:24 0.000291202 -4 *52:12 *1576:A0 0.000291202 -*RES -1 *1678:Y *1576:A0 31.3679 -*END - -*D_NET *88 0.000963175 -*CONN -*I *1577:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1576:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1577:A0 0.000392809 -2 *1576:X 0.000392809 -3 *1577:A0 *1641:B 7.85137e-05 -4 *1577:A0 *287:167 9.90431e-05 -*RES -1 *1576:X *1577:A0 32.4571 -*END - -*D_NET *89 0.00271923 -*CONN -*I *1733:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *1578:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1643:C I *D sky130_fd_sc_hd__nor3_2 -*I *1595:Y O *D sky130_fd_sc_hd__clkinv_4 -*CAP -1 *1733:A1 0.000498439 -2 *1578:A0 6.73522e-05 -3 *1643:C 0 -4 *1595:Y 0.00014146 -5 *89:15 0.000742431 -6 *89:8 0.000318099 -7 *1578:A0 *1578:A1 9.83442e-05 -8 *1733:A1 *1578:A1 1.58559e-05 -9 *1733:A1 *1579:A0 3.48645e-05 -10 *1733:A1 *222:48 0.00018372 -11 *1733:A1 *266:8 0 -12 *1733:A1 *289:30 0.000137165 -13 *1733:A1 *289:32 6.88118e-05 -14 *89:8 *1580:A0 0.000143375 -15 *89:8 *1679:A 4.6845e-05 -16 *89:8 *1679:B 0.00010236 -17 *89:15 *1578:A1 7.80714e-06 -18 *89:15 *1579:A0 5.80706e-06 -19 *89:15 *266:8 0 -20 *89:15 *289:60 0 -21 *89:15 *289:65 0 -22 *53:9 *89:8 5.54817e-06 -23 *53:9 *89:15 0.000100941 -*RES -1 *1595:Y *89:8 17.5143 -2 *89:8 *1643:C 13.8 -3 *89:8 *89:15 3.46429 -4 *89:15 *1578:A0 14.7464 -5 *89:15 *1733:A1 24.8 -*END - -*D_NET *90 0.000179227 -*CONN -*I *1579:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1578:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1579:A0 2.95202e-05 -2 *1578:X 2.95202e-05 -3 *1579:A0 *1578:A1 7.95153e-05 -4 *1733:A1 *1579:A0 3.48645e-05 -5 *89:15 *1579:A0 5.80706e-06 -*RES -1 *1578:X *1579:A0 28.6357 -*END - -*D_NET *91 0.00090782 -*CONN -*I *1580:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1679:Y O *D sky130_fd_sc_hd__xnor2_1 -*CAP -1 *1580:A0 0.00037045 -2 *1679:Y 0.00037045 -3 *1580:A0 *1580:A1 1.00887e-05 -4 *1580:A0 *1679:B 1.34548e-05 -5 *89:8 *1580:A0 0.000143375 -*RES -1 *1679:Y *1580:A0 32.4571 -*END - -*D_NET *92 0.00169478 -*CONN -*I *1581:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1580:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1581:A0 0.000396903 -2 *1580:X 0.000396903 -3 *1581:A0 *1581:A1 5.50052e-05 -4 *1581:A0 *1734:A2 7.58841e-05 -5 *1581:A0 *1737:A1 9.67754e-05 -6 *1581:A0 *137:21 0.000137639 -7 *1581:A0 *137:37 5.33005e-05 -8 *1581:A0 *268:28 0.000345503 -9 *1581:A0 *288:51 0 -10 *1581:A0 *296:14 3.41848e-05 -11 *1581:A0 *296:18 0.00010268 -12 *1580:S *1581:A0 0 -*RES -1 *1580:X *1581:A0 37.9929 -*END - -*D_NET *93 0.000767806 -*CONN -*I *1582:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1682:Y O *D sky130_fd_sc_hd__nand2b_1 -*CAP -1 *1582:A0 0.000361729 -2 *1682:Y 0.000361729 -3 *1582:A0 *1680:C 1.21258e-05 -4 *1582:A0 *1682:B 2.85321e-05 -5 *1582:A0 *267:8 0 -6 *1582:A0 *268:28 0 -7 *1582:A0 *268:33 3.69047e-06 -*RES -1 *1682:Y *1582:A0 32.9214 -*END - -*D_NET *94 0.000497239 -*CONN -*I *1583:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1582:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1583:A0 0.000137893 -2 *1582:X 0.000137893 -3 *1583:A0 *268:28 0 -4 *1583:A0 *287:93 0.000143652 -5 *1583:A0 *287:98 7.78006e-05 -*RES -1 *1582:X *1583:A0 29.9929 -*END - -*D_NET *95 0.00175338 -*CONN -*I *1584:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1592:Y O *D sky130_fd_sc_hd__clkinv_2 -*CAP -1 *1584:A1 0.000540567 -2 *1592:Y 0.000540567 -3 *1584:A1 *1718:A 0.000429715 -4 *1584:A1 *1833:A 7.6644e-05 -5 *1584:A1 *259:48 0.000152631 -6 *1584:A1 *319:21 1.32552e-05 -*RES -1 *1592:Y *1584:A1 35.3321 -*END - -*D_NET *96 0.000402159 -*CONN -*I *1585:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1683:Y O *D sky130_fd_sc_hd__xnor2_1 -*CAP -1 *1585:A1 5.46159e-05 -2 *1683:Y 5.46159e-05 -3 *1585:A1 *260:12 0.000146464 -4 *1585:S *1585:A1 0.000113034 -5 *50:8 *1585:A1 3.34295e-05 -*RES -1 *1683:Y *1585:A1 29.5464 -*END - -*D_NET *97 0.00069525 -*CONN -*I *1758:D I *D sky130_fd_sc_hd__dfstp_1 -*I *1687:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *1758:D 0.000192587 -2 *1687:Y 0.000192587 -3 *1758:D *1686:B1 5.71472e-05 -4 *1758:D *1724:B1 0.000127484 -5 *1758:D *257:37 6.42022e-05 -6 *1758:D *275:13 6.12434e-05 -*RES -1 *1687:Y *1758:D 31.0107 -*END - -*D_NET *98 0.000264348 -*CONN -*I *1763:D I *D sky130_fd_sc_hd__dfstp_1 -*I *1690:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *1763:D 4.43632e-05 -2 *1690:Y 4.43632e-05 -3 *1763:D *1757:CLK 4.57538e-05 -4 *1763:D *293:230 0.000129868 -*RES -1 *1690:Y *1763:D 29.2429 -*END - -*D_NET *99 0.000578733 -*CONN -*I *1764:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *1697:X O *D sky130_fd_sc_hd__o22a_1 -*CAP -1 *1764:D 0.000142059 -2 *1697:X 0.000142059 -3 *1764:D *146:12 0.000103253 -4 *1764:D *292:44 0.000137983 -5 *1764:D *293:31 5.33786e-05 -*RES -1 *1697:X *1764:D 30.2964 -*END - -*D_NET *100 0.000325881 -*CONN -*I *1765:D I *D sky130_fd_sc_hd__dfrtn_1 -*I *1699:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *1765:D 0.000134367 -2 *1699:Y 0.000134367 -3 *1765:D *1699:A2 5.71472e-05 -*RES -1 *1699:Y *1765:D 29.7786 -*END - -*D_NET *101 0.00111783 -*CONN -*I *1766:D I *D sky130_fd_sc_hd__dfstp_1 -*I *1633:Y O *D sky130_fd_sc_hd__o2bb2ai_1 -*CAP -1 *1766:D 0.000340607 -2 *1633:Y 0.000340607 -3 *1766:D *1633:A2_N 0.000100037 -4 *1766:D *292:72 0.000185573 -5 *1766:D *301:16 0.000151009 -*RES -1 *1633:Y *1766:D 35.0464 -*END - -*D_NET *102 0.00015824 -*CONN -*I *1767:D I *D sky130_fd_sc_hd__dfrtn_1 -*I *1701:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *1767:D 6.22389e-05 -2 *1701:Y 6.22389e-05 -3 *1767:D *148:10 3.37618e-05 -*RES -1 *1701:Y *1767:D 28.9393 -*END - -*D_NET *103 0.000536078 -*CONN -*I *1768:D I *D sky130_fd_sc_hd__dfstp_1 -*I *1629:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *1768:D 0.000179801 -2 *1629:Y 0.000179801 -3 *1768:D *1629:B 3.06035e-05 -4 *1768:D *146:12 0.0001249 -5 *1768:D *299:32 2.09722e-05 -*RES -1 *1629:Y *1768:D 30.6 -*END - -*D_NET *104 0.000815378 -*CONN -*I *1769:D I *D sky130_fd_sc_hd__dfrtn_1 -*I *1702:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1769:D 0.000380052 -2 *1702:X 0.000380052 -3 *1769:D *221:14 4.26814e-05 -4 *1769:D *221:16 1.25924e-05 -*RES -1 *1702:X *1769:D 32.9571 -*END - -*D_NET *105 0.000622883 -*CONN -*I *1770:D I *D sky130_fd_sc_hd__dfstp_1 -*I *1619:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1770:D 0.000218059 -2 *1619:X 0.000218059 -3 *1770:D *228:8 0.000186765 -*RES -1 *1619:X *1770:D 31.0107 -*END - -*D_NET *106 0.000811299 -*CONN -*I *1771:D I *D sky130_fd_sc_hd__dfrtn_1 -*I *1703:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1771:D 0.000405649 -2 *1703:X 0.000405649 -*RES -1 *1703:X *1771:D 33.5464 -*END - -*D_NET *107 0.000389811 -*CONN -*I *1772:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *1705:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *1772:D 8.5868e-05 -2 *1705:Y 8.5868e-05 -3 *1772:D *1705:B1 0.000118946 -4 *1704:B1 *1772:D 9.9129e-05 -*RES -1 *1705:Y *1772:D 29.475 -*END - -*D_NET *108 0.000889099 -*CONN -*I *1773:D I *D sky130_fd_sc_hd__dfstp_2 -*I *1707:X O *D sky130_fd_sc_hd__a2bb2o_1 -*CAP -1 *1773:D 0.000232792 -2 *1707:X 0.000232792 -3 *1773:D *1739:B1 0.000197854 -4 *1773:D *221:44 0 -5 *1773:D *292:157 7.89362e-05 -6 *1773:D *299:81 0.000126272 -7 *1773:D *322:10 2.04535e-05 -*RES -1 *1707:X *1773:D 33.0286 -*END - -*D_NET *109 0.000768055 -*CONN -*I *1774:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1709:X O *D sky130_fd_sc_hd__a2bb2o_1 -*CAP -1 *1774:D 0.000221176 -2 *1709:X 0.000221176 -3 *1774:D *298:13 0 -4 *1774:D *299:81 0.000101363 -5 *1709:B1 *1774:D 0.000224341 -*RES -1 *1709:X *1774:D 31.1179 -*END - -*D_NET *110 0.000719454 -*CONN -*I *1775:D I *D sky130_fd_sc_hd__dfstp_2 -*I *1710:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1775:D 0.000359727 -2 *1710:X 0.000359727 -3 *1775:D *228:91 0 -4 *1775:D *228:98 0 -*RES -1 *1710:X *1775:D 32.2429 -*END - -*D_NET *111 0.000757238 -*CONN -*I *1776:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *1711:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1776:D 0.000360039 -2 *1711:X 0.000360039 -3 *1776:D *228:105 3.71609e-05 -*RES -1 *1711:X *1776:D 32.35 -*END - -*D_NET *112 0.00103741 -*CONN -*I *1777:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *1714:Y O *D sky130_fd_sc_hd__nand2b_1 -*CAP -1 *1777:D 0.000422184 -2 *1714:Y 0.000422184 -3 *1777:D *1714:A_N 0.000103157 -4 *1777:D *1714:B 5.97835e-05 -5 *383:DIODE *1777:D 8.27184e-06 -6 *1850:A *1777:D 2.18272e-05 -*RES -1 *1714:Y *1777:D 33.7071 -*END - -*D_NET *113 0.00110774 -*CONN -*I *1784:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *1715:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1784:D 0.000389694 -2 *1715:X 0.000389694 -3 *1784:D *1827:A 0.000105638 -4 *377:DIODE *1784:D 0.000176089 -5 *4:5 *1784:D 4.66203e-05 -*RES -1 *1715:X *1784:D 35.6045 -*END - -*D_NET *114 0.00193673 -*CONN -*I *1788:D I *D sky130_fd_sc_hd__dfstp_1 -*I *1718:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *1788:D 0.000610277 -2 *1718:Y 0.000610277 -3 *1788:D *1802:CLK 0.000221667 -4 *1788:D *1802:D 2.85234e-05 -5 *1788:D *1802:RESET_B 3.14161e-05 -6 *1788:D *1833:A 2.8266e-06 -7 *1788:D *1834:A 3.34672e-05 -8 *1788:D *229:73 0.000184375 -9 *1788:D *259:8 0 -10 *1788:D *259:10 0 -11 *1788:D *262:12 0.000213905 -12 *1584:S *1788:D 0 -13 *50:14 *1788:D 0 -*RES -1 *1718:Y *1788:D 40.6357 -*END - -*D_NET *115 0.000869108 -*CONN -*I *1789:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *1725:X O *D sky130_fd_sc_hd__o22a_1 -*CAP -1 *1789:D 0.000281835 -2 *1725:X 0.000281835 -3 *1789:D *1724:B1 9.96553e-05 -4 *1789:D *1725:B2 9.83442e-05 -5 *1789:D *137:70 4.43244e-05 -6 *1789:D *137:74 1.29901e-05 -7 *1789:D *257:37 5.01234e-05 -*RES -1 *1725:X *1789:D 31.9393 -*END - -*D_NET *116 0.000738881 -*CONN -*I *1790:D I *D sky130_fd_sc_hd__dfrtn_1 -*I *1727:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *1790:D 0.000242547 -2 *1727:Y 0.000242547 -3 *1790:D *136:18 6.36431e-05 -4 *1790:D *320:24 0.000190144 -*RES -1 *1727:Y *1790:D 32.9571 -*END - -*D_NET *117 0.00168651 -*CONN -*I *1791:D I *D sky130_fd_sc_hd__dfstp_1 -*I *1618:Y O *D sky130_fd_sc_hd__o2bb2ai_1 -*CAP -1 *1791:D 0.000772828 -2 *1618:Y 0.000772828 -3 *1791:D *1618:A2_N 0.000140853 -*RES -1 *1618:Y *1791:D 39.9571 -*END - -*D_NET *118 0.000738256 -*CONN -*I *1792:D I *D sky130_fd_sc_hd__dfrtn_1 -*I *1729:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *1792:D 0.000211527 -2 *1729:Y 0.000211527 -3 *1792:D *1615:A2 0.000124708 -4 *1792:D *222:13 0.000190493 -*RES -1 *1729:Y *1792:D 32.6536 -*END - -*D_NET *119 0.000917091 -*CONN -*I *1793:D I *D sky130_fd_sc_hd__dfstp_1 -*I *1614:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *1793:D 0.000366854 -2 *1614:Y 0.000366854 -3 *1793:D *1758:SET_B 0 -4 *1793:D *1793:CLK 5.52302e-05 -5 *1793:D *1793:SET_B 0 -6 *1793:D *303:8 0.000128154 -*RES -1 *1614:Y *1793:D 32.6536 -*END - -*D_NET *120 0.000995428 -*CONN -*I *1794:D I *D sky130_fd_sc_hd__dfrtn_1 -*I *1730:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1794:D 0.000459863 -2 *1730:X 0.000459863 -3 *1794:D *222:31 3.71665e-05 -4 *1794:D *269:8 3.85363e-05 -5 *1794:D *303:34 0 -*RES -1 *1730:X *1794:D 34.8321 -*END - -*D_NET *121 0.000843879 -*CONN -*I *1795:D I *D sky130_fd_sc_hd__dfstp_1 -*I *1604:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1795:D 0.000398342 -2 *1604:X 0.000398342 -3 *1795:D *269:12 4.35048e-05 -4 *1795:D *270:16 3.69047e-06 -*RES -1 *1604:X *1795:D 34.0107 -*END - -*D_NET *122 0.00101207 -*CONN -*I *1796:D I *D sky130_fd_sc_hd__dfrtn_1 -*I *1731:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1796:D 0.000506035 -2 *1731:X 0.000506035 -3 *1796:D *296:57 0 -*RES -1 *1731:X *1796:D 34.5821 -*END - -*D_NET *123 0.00121216 -*CONN -*I *1797:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *1733:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *1797:D 0.000361003 -2 *1733:Y 0.000361003 -3 *1797:D *222:37 0.000177137 -4 *1797:D *222:48 0.000313018 -*RES -1 *1733:Y *1797:D 33.1714 -*END - -*D_NET *124 0.000980433 -*CONN -*I *1798:D I *D sky130_fd_sc_hd__dfstp_2 -*I *1735:X O *D sky130_fd_sc_hd__o31a_1 -*CAP -1 *1798:D 0.000275743 -2 *1735:X 0.000275743 -3 *1798:D *361:DIODE 9.83442e-05 -4 *1798:D *1685:A1 0.000139907 -5 *1798:D *1735:B1 2.32625e-05 -6 *1798:D *222:57 0.000126769 -7 *1798:D *296:8 4.06646e-05 -*RES -1 *1735:X *1798:D 32.2429 -*END - -*D_NET *125 0.00117677 -*CONN -*I *1799:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1737:X O *D sky130_fd_sc_hd__o31a_1 -*CAP -1 *1799:D 0.000327064 -2 *1737:X 0.000327064 -3 *1799:D *1642:B 2.81855e-05 -4 *1799:D *1737:A1 0.000184375 -5 *1799:D *1799:CLK 6.05161e-06 -6 *1799:D *137:54 0 -7 *1799:D *268:28 0.000115958 -8 *1799:D *296:12 0.000182266 -9 *1799:D *296:14 5.80706e-06 -*RES -1 *1737:X *1799:D 35.1 -*END - -*D_NET *126 0.000472218 -*CONN -*I *1800:D I *D sky130_fd_sc_hd__dfstp_1 -*I *1740:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *1800:D 0.000197139 -2 *1740:Y 0.000197139 -3 *1800:D *301:136 7.7939e-05 -*RES -1 *1740:Y *1800:D 31.3143 -*END - -*D_NET *127 0.00155282 -*CONN -*I *1801:D I *D sky130_fd_sc_hd__dfstp_2 -*I *1741:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1801:D 0.000533165 -2 *1741:X 0.000533165 -3 *1801:D *256:13 0.000185403 -4 *1801:D *290:18 0.00010326 -5 *7:15 *1801:D 0.000197824 -*RES -1 *1741:X *1801:D 38.2964 -*END - -*D_NET *128 0.000802739 -*CONN -*I *1802:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *1742:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1802:D 0.000262858 -2 *1742:X 0.000262858 -3 *1802:D *1802:RESET_B 0.000100074 -4 *1802:D *259:8 0.000148425 -5 *1788:D *1802:D 2.85234e-05 -*RES -1 *1742:X *1802:D 32.3679 -*END - -*D_NET *129 0.000965242 -*CONN -*I *1803:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *1745:Y O *D sky130_fd_sc_hd__nand2b_1 -*CAP -1 *1803:D 0.000409494 -2 *1745:Y 0.000409494 -3 *1803:D *1803:RESET_B 0.000105163 -4 *1803:D *257:37 0 -5 *1803:D *287:221 1.83136e-05 -6 *1803:D *319:21 2.27766e-05 -*RES -1 *1745:Y *1803:D 34.7964 -*END - -*D_NET *130 0.00184466 -*CONN -*I *1612:B1 I *D sky130_fd_sc_hd__a31o_1 -*I *1613:A4 I *D sky130_fd_sc_hd__a41oi_1 -*I *1586:Y O *D sky130_fd_sc_hd__clkinv_4 -*CAP -1 *1612:B1 0 -2 *1613:A4 0.000213355 -3 *1586:Y 0.000366747 -4 *130:8 0.000580103 -5 *1613:A4 *1612:A2 0.00015006 -6 *1613:A4 *1613:A2 1.38323e-05 -7 *1613:A4 *1613:A3 9.87617e-05 -8 *1613:A4 *1613:B1 1.59373e-05 -9 *1613:A4 *303:8 7.74168e-05 -10 *130:8 *1612:A2 5.51649e-05 -11 *130:8 *1750:A 0.000105796 -12 *130:8 *303:8 6.84398e-05 -13 *1613:A1 *1613:A4 9.90431e-05 -*RES -1 *1586:Y *130:8 19.5679 -2 *130:8 *1613:A4 18.7643 -3 *130:8 *1612:B1 13.8 -*END - -*D_NET *131 0.00146826 -*CONN -*I *1627:B1 I *D sky130_fd_sc_hd__a31o_1 -*I *1628:A4 I *D sky130_fd_sc_hd__a41oi_1 -*I *1587:Y O *D sky130_fd_sc_hd__clkinv_4 -*CAP -1 *1627:B1 0 -2 *1628:A4 0.000162529 -3 *1587:Y 0.000237607 -4 *131:8 0.000400136 -5 *1628:A4 *1628:A3 7.25873e-06 -6 *1628:A4 *1628:B1 0.000141941 -7 *1628:A4 *1629:B 6.32633e-05 -8 *1628:A4 *146:14 1.61961e-05 -9 *1628:A4 *299:32 0.000177327 -10 *131:8 *1629:B 8.73899e-05 -11 *131:8 *299:32 0.000174609 -*RES -1 *1587:Y *131:8 18.6393 -2 *131:8 *1628:A4 17.8179 -3 *131:8 *1627:B1 13.8 -*END - -*D_NET *132 0.000550169 -*CONN -*I *1699:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *1598:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1699:A1 0.000275084 -2 *1598:Y 0.000275084 -*RES -1 *1598:Y *1699:A1 32.2071 -*END - -*D_NET *133 0.00103007 -*CONN -*I *1701:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *1600:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1701:A1 0.000261233 -2 *1600:Y 0.000261233 -3 *1701:A1 *1701:A2 0.000141729 -4 *1701:A1 *239:11 0.000201127 -5 *1701:A1 *291:34 0.000164746 -*RES -1 *1600:Y *1701:A1 34.1536 -*END - -*D_NET *134 0.00179012 -*CONN -*I *1727:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *1601:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1727:A1 0.000564962 -2 *1601:Y 0.000564962 -3 *1727:A1 *1726:B 0.000434831 -4 *1727:A1 *1727:B1 0.000225367 -*RES -1 *1601:Y *1727:A1 29.4393 -*END - -*D_NET *135 0.0007148 -*CONN -*I *1729:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *1603:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1729:A1 0.000201249 -2 *1603:Y 0.000201249 -3 *1729:A1 *1729:B1 0.000312303 -*RES -1 *1603:Y *1729:A1 22.8321 -*END - -*D_NET *136 0.00918913 -*CONN -*I *1615:A1 I *D sky130_fd_sc_hd__a21oi_1 -*I *1610:C1 I *D sky130_fd_sc_hd__o211ai_4 -*I *1612:A2 I *D sky130_fd_sc_hd__a31o_1 -*I *1613:A2 I *D sky130_fd_sc_hd__a41oi_1 -*I *1674:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *1606:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1615:A1 0.000227494 -2 *1610:C1 0.000373488 -3 *1612:A2 0.000170095 -4 *1613:A2 4.91141e-05 -5 *1674:A2 0.000151435 -6 *1606:Y 0.000208966 -7 *136:21 0.00100354 -8 *136:18 0.0014808 -9 *136:15 0.00146216 -10 *136:7 0.00127208 -11 *1610:C1 *1790:RESET_B 4.17702e-05 -12 *1610:C1 *263:7 1.21258e-05 -13 *1610:C1 *303:30 0.000140243 -14 *1610:C1 *320:15 0.0002744 -15 *1612:A2 *1780:D 1.35553e-05 -16 *1612:A2 *303:8 1.09026e-05 -17 *1613:A2 *1613:A3 5.52238e-05 -18 *1613:A2 *1613:B1 0.000224583 -19 *1615:A1 *222:18 0 -20 *136:7 *1673:B1 2.85321e-05 -21 *136:15 *1795:CLK 0 -22 *136:15 *222:20 0 -23 *136:15 *222:25 0 -24 *136:18 *1790:RESET_B 5.00824e-05 -25 *136:18 *320:15 2.50822e-05 -26 *136:18 *320:24 1.15125e-05 -27 *136:21 *1615:A2 0 -28 *136:21 *1727:A2 0 -29 *136:21 *1729:A2 0 -30 *136:21 *303:8 0.00011292 -31 *136:21 *303:13 0.000103096 -32 *136:21 *303:16 9.22948e-05 -33 *136:21 *303:20 0.000738705 -34 *136:21 *303:156 0 -35 *1612:A1 *1612:A2 9.58632e-05 -36 *1613:A1 *1612:A2 0.000197788 -37 *1613:A1 *1613:A2 0.000140933 -38 *1613:A4 *1612:A2 0.00015006 -39 *1613:A4 *1613:A2 1.38323e-05 -40 *1618:A1_N *136:21 0 -41 *1790:D *136:18 6.36431e-05 -42 *7:16 *1612:A2 0.000137639 -43 *130:8 *1612:A2 5.51649e-05 -*RES -1 *1606:Y *136:7 16.8 -2 *136:7 *1674:A2 16.05 -3 *136:7 *136:15 15.9107 -4 *136:15 *136:18 8.73214 -5 *136:18 *136:21 23.1429 -6 *136:21 *1613:A2 15.9786 -7 *136:21 *1612:A2 19.05 -8 *136:18 *1610:C1 15.6214 -9 *136:15 *1615:A1 18.175 -*END - -*D_NET *137 0.0176631 -*CONN -*I *1725:A2 I *D sky130_fd_sc_hd__o22a_1 -*I *1724:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *1612:A3 I *D sky130_fd_sc_hd__a31o_1 -*I *1613:A3 I *D sky130_fd_sc_hd__a41oi_1 -*I *1615:A2 I *D sky130_fd_sc_hd__a21oi_1 -*I *1735:A3 I *D sky130_fd_sc_hd__o31a_1 -*I *1737:A3 I *D sky130_fd_sc_hd__o31a_1 -*I *1736:A2 I *D sky130_fd_sc_hd__o21bai_1 -*I *1734:A2 I *D sky130_fd_sc_hd__o21bai_1 -*I *1732:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *1608:X O *D sky130_fd_sc_hd__o21a_2 -*CAP -1 *1725:A2 0 -2 *1724:B1 0.000404889 -3 *1612:A3 0.00010584 -4 *1613:A3 1.81806e-05 -5 *1615:A2 0.000600606 -6 *1735:A3 1.69531e-05 -7 *1737:A3 0 -8 *1736:A2 0.000115686 -9 *1734:A2 0.000144464 -10 *1732:A2 2.13113e-05 -11 *1608:X 0.000198642 -12 *137:90 0.000348634 -13 *137:74 0.0017355 -14 *137:70 0.00158547 -15 *137:69 0.00122455 -16 *137:54 0.00131185 -17 *137:47 0.000384252 -18 *137:37 0.000441908 -19 *137:21 0.00107132 -20 *137:9 0.000864204 -21 *1612:A3 *1614:B 0 -22 *1612:A3 *303:8 0.000172525 -23 *1613:A3 *1613:B1 2.39329e-05 -24 *1615:A2 *1615:B1 0.000143652 -25 *1615:A2 *1729:A2 0.000151704 -26 *1615:A2 *303:13 0.000225339 -27 *1724:B1 *1686:A1 0.00019346 -28 *1724:B1 *1725:B2 0 -29 *1724:B1 *1758:SET_B 6.99978e-05 -30 *1724:B1 *257:37 0.000184325 -31 *1734:A2 *1736:A1 3.19625e-05 -32 *1734:A2 *296:14 7.58841e-05 -33 *1734:A2 *296:81 2.12733e-05 -34 *1735:A3 *361:DIODE 5.33005e-05 -35 *1735:A3 *1735:B1 5.33005e-05 -36 *1736:A2 *1736:A1 5.50052e-05 -37 *1736:A2 *1737:B1 7.54868e-05 -38 *137:9 *1732:A1 5.66971e-05 -39 *137:21 *1581:A1 2.6269e-05 -40 *137:21 *1733:B1 1.16543e-05 -41 *137:37 *1737:A1 0.000137097 -42 *137:37 *268:23 9.35114e-06 -43 *137:37 *268:28 1.13883e-05 -44 *137:47 *1737:B1 5.7119e-05 -45 *137:47 *268:28 0 -46 *137:54 *1798:SET_B 0.000206302 -47 *137:54 *268:23 0.00010832 -48 *137:54 *296:12 0 -49 *137:54 *303:100 0.000125736 -50 *137:69 *1721:B 0.000366186 -51 *137:69 *1721:C 0 -52 *137:69 *1725:B1 0.000140815 -53 *137:69 *1798:SET_B 0.000147725 -54 *137:69 *273:8 0 -55 *137:69 *296:8 0 -56 *137:69 *319:8 0 -57 *137:70 *1725:B1 1.03742e-05 -58 *137:70 *1725:B2 8.24262e-05 -59 *137:74 *264:7 0.000637922 -60 *137:74 *264:15 0.000294836 -61 *137:74 *303:156 0.0017176 -62 *137:90 *303:8 0.000350994 -63 *137:90 *303:13 8.1932e-05 -64 *1581:A0 *1734:A2 7.58841e-05 -65 *1581:A0 *137:21 0.000137639 -66 *1581:A0 *137:37 5.33005e-05 -67 *1613:A2 *1613:A3 5.52238e-05 -68 *1613:A4 *1613:A3 9.87617e-05 -69 *1732:B1 *137:21 2.85234e-05 -70 *1734:B1_N *137:21 9.84673e-05 -71 *1758:D *1724:B1 0.000127484 -72 *1789:D *1724:B1 9.96553e-05 -73 *1789:D *137:70 4.43244e-05 -74 *1789:D *137:74 1.29901e-05 -75 *1792:D *1615:A2 0.000124708 -76 *1799:D *137:54 0 -77 *136:21 *1615:A2 0 -*RES -1 *1608:X *137:9 21.4071 -2 *137:9 *1732:A2 9.72857 -3 *137:9 *137:21 9.71429 -4 *137:21 *1734:A2 21.0143 -5 *137:21 *137:37 8.32143 -6 *137:37 *1736:A2 15.9786 -7 *137:37 *137:47 1.03571 -8 *137:47 *1737:A3 13.8 -9 *137:47 *137:54 7.41071 -10 *137:54 *1735:A3 14.3357 -11 *137:54 *137:69 24.5179 -12 *137:69 *137:70 4.64286 -13 *137:70 *137:74 28.0357 -14 *137:74 *1615:A2 26.4071 -15 *137:74 *137:90 5.58929 -16 *137:90 *1613:A3 14.7464 -17 *137:90 *1612:A3 16.05 -18 *137:70 *1724:B1 28.4429 -19 *137:69 *1725:A2 9.3 -*END - -*D_NET *138 0.00733612 -*CONN -*I *1651:A2 I *D sky130_fd_sc_hd__o31ai_2 -*I *1641:B I *D sky130_fd_sc_hd__nand2b_1 -*I *1609:Y O *D sky130_fd_sc_hd__o21ai_2 -*CAP -1 *1651:A2 0.000737278 -2 *1641:B 0.00151016 -3 *1609:Y 0 -4 *138:4 0.00224744 -5 *1641:B *139:28 0 -6 *1641:B *139:41 0 -7 *1641:B *275:26 0.000334137 -8 *1641:B *297:25 0 -9 *1641:B *297:44 0.000196702 -10 *1641:B *297:46 0.000199432 -11 *1641:B *297:55 0.000151333 -12 *1651:A2 *1609:A1 0.000185118 -13 *1651:A2 *1609:A2 2.12087e-05 -14 *1651:A2 *1650:B 9.02601e-05 -15 *1651:A2 *1651:B1 0.000234296 -16 *1651:A2 *1812:A 5.65955e-05 -17 *1651:A2 *1830:A 0.000426539 -18 *1651:A2 *275:26 0 -19 *1651:A2 *317:8 0.000717922 -20 *1546:A0 *1641:B 7.45939e-06 -21 *1546:S *1641:B 0 -22 *1550:S *1651:A2 0.000141729 -23 *1577:A0 *1641:B 7.85137e-05 -*RES -1 *1609:Y *138:4 9.3 -2 *138:4 *1641:B 47.0902 -3 *138:4 *1651:A2 37.3 -*END - -*D_NET *139 0.00454377 -*CONN -*I *1617:A I *D sky130_fd_sc_hd__nand2_1 -*I *1616:B I *D sky130_fd_sc_hd__nand2b_1 -*I *1728:B I *D sky130_fd_sc_hd__nand3b_1 -*I *1726:B I *D sky130_fd_sc_hd__nand3b_1 -*I *1611:A I *D sky130_fd_sc_hd__inv_2 -*I *1610:Y O *D sky130_fd_sc_hd__o211ai_4 -*CAP -1 *1617:A 6.18557e-05 -2 *1616:B 7.71489e-05 -3 *1728:B 0.000117796 -4 *1726:B 0.00014627 -5 *1611:A 0.000152814 -6 *1610:Y 6.64388e-05 -7 *139:41 0.000353705 -8 *139:28 0.00071545 -9 *139:17 0.000718037 -10 *139:7 0.000408065 -11 *1611:A *263:11 5.49489e-05 -12 *1616:B *264:7 5.47616e-05 -13 *1617:A *264:7 5.17944e-05 -14 *1726:B *1726:A_N 8.13812e-05 -15 *1728:B *1728:A_N 5.49489e-05 -16 *1728:B *1728:C 1.02132e-05 -17 *1728:B *265:5 3.08664e-05 -18 *1728:B *265:17 2.36643e-05 -19 *1728:B *297:44 5.33005e-05 -20 *139:7 *320:15 0.000141729 -21 *139:28 *222:13 0.000313527 -22 *139:28 *297:34 3.12359e-05 -23 *139:28 *297:44 0 -24 *139:28 *297:46 0 -25 *139:28 *297:55 0 -26 *139:41 *297:30 3.27461e-05 -27 *139:41 *297:34 0.000348811 -28 *1546:S *1611:A 7.43578e-06 -29 *1641:B *139:28 0 -30 *1641:B *139:41 0 -31 *1727:A1 *1726:B 0.000434831 -*RES -1 *1610:Y *139:7 15.1571 -2 *139:7 *1611:A 16.5857 -3 *139:7 *139:17 3.16071 -4 *139:17 *1726:B 18.05 -5 *139:17 *139:28 7.71429 -6 *139:28 *1728:B 15.9964 -7 *139:28 *139:41 9.48214 -8 *139:41 *1616:B 10.8045 -9 *139:41 *1617:A 10.675 -*END - -*D_NET *140 0.000619115 -*CONN -*I *1614:A I *D sky130_fd_sc_hd__nand2_1 -*I *1612:X O *D sky130_fd_sc_hd__a31o_1 -*CAP -1 *1614:A 0.0001372 -2 *1612:X 0.0001372 -3 *1614:A *1614:B 9.09915e-05 -4 *1614:A *1780:D 6.85998e-05 -5 *7:16 *1614:A 0.000185124 -*RES -1 *1612:X *1614:A 31.6179 -*END - -*D_NET *141 0.000789006 -*CONN -*I *1614:B I *D sky130_fd_sc_hd__nand2_1 -*I *1613:Y O *D sky130_fd_sc_hd__a41oi_1 -*CAP -1 *1614:B 0.000292419 -2 *1613:Y 0.000292419 -3 *1614:B *1818:A 0.000113177 -4 *1612:A3 *1614:B 0 -5 *1614:A *1614:B 9.09915e-05 -*RES -1 *1613:Y *1614:B 33.3321 -*END - -*D_NET *142 0.00085745 -*CONN -*I *1729:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *1727:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *1615:Y O *D sky130_fd_sc_hd__a21oi_1 -*CAP -1 *1729:A2 0.000122095 -2 *1727:A2 8.15851e-05 -3 *1615:Y 9.9111e-05 -4 *142:7 0.000302791 -5 *142:7 *1615:B1 0.000100163 -6 *1615:A2 *1729:A2 0.000151704 -7 *136:21 *1727:A2 0 -8 *136:21 *1729:A2 0 -*RES -1 *1615:Y *142:7 15.5679 -2 *142:7 *1727:A2 15.1393 -3 *142:7 *1729:A2 16.6571 -*END - -*D_NET *143 0.000654875 -*CONN -*I *1618:A2_N I *D sky130_fd_sc_hd__o2bb2ai_1 -*I *1616:Y O *D sky130_fd_sc_hd__nand2b_1 -*CAP -1 *1618:A2_N 0.000238561 -2 *1616:Y 0.000238561 -3 *1618:A2_N *264:7 3.69008e-05 -4 *1791:D *1618:A2_N 0.000140853 -*RES -1 *1616:Y *1618:A2_N 30.9393 -*END - -*D_NET *144 0.000273408 -*CONN -*I *1618:B1 I *D sky130_fd_sc_hd__o2bb2ai_1 -*I *1617:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *1618:B1 0.000107254 -2 *1617:Y 0.000107254 -3 *1618:B1 *297:25 1.84776e-05 -4 *1618:B1 *297:30 4.04221e-05 -*RES -1 *1617:Y *1618:B1 29.7786 -*END - -*D_NET *145 0.00465392 -*CONN -*I *1628:A2 I *D sky130_fd_sc_hd__a41oi_1 -*I *1627:A2 I *D sky130_fd_sc_hd__a31o_1 -*I *1630:A1 I *D sky130_fd_sc_hd__a21oi_1 -*I *1625:C1 I *D sky130_fd_sc_hd__o211ai_4 -*I *1658:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *1621:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1628:A2 0 -2 *1627:A2 0.000133768 -3 *1630:A1 3.58313e-05 -4 *1625:C1 0.000309232 -5 *1658:A2 0.000191767 -6 *1621:Y 3.45885e-05 -7 *145:20 0.000555845 -8 *145:17 0.00034455 -9 *145:15 0.000226917 -10 *145:5 0.000453272 -11 *1625:C1 *298:13 5.71472e-05 -12 *1625:C1 *298:17 0.0003613 -13 *1627:A2 *146:14 6.4029e-05 -14 *1627:A2 *146:27 2.53112e-06 -15 *1627:A2 *221:41 9.46929e-05 -16 *1627:A2 *293:47 0.000189848 -17 *1630:A1 *298:13 4.38128e-05 -18 *1658:A2 *1822:A 0.000153411 -19 *1658:A2 *292:54 0 -20 *145:20 *146:27 0.000114209 -21 *145:20 *291:27 3.3986e-05 -22 *145:20 *291:34 6.11581e-05 -23 *145:20 *293:47 0.000289204 -24 *1545:S *1625:C1 0.000125159 -25 *1552:A0 *1658:A2 0.0001026 -26 *1627:A1 *1627:A2 0.000217533 -27 *1628:A1 *145:5 2.59355e-05 -28 *1628:A1 *145:15 0.000164339 -29 *1658:A1 *1658:A2 0.000267249 -*RES -1 *1621:Y *145:5 9.83571 -2 *145:5 *1658:A2 23.5857 -3 *145:5 *145:15 3.82143 -4 *145:15 *145:17 4.5 -5 *145:17 *145:20 10.6964 -6 *145:20 *1625:C1 23.7643 -7 *145:20 *1630:A1 9.83571 -8 *145:17 *1627:A2 18.55 -9 *145:15 *1628:A2 9.3 -*END - -*D_NET *146 0.00709266 -*CONN -*I *1695:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *1627:A3 I *D sky130_fd_sc_hd__a31o_1 -*I *1704:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *1630:A2 I *D sky130_fd_sc_hd__a21oi_1 -*I *1628:A3 I *D sky130_fd_sc_hd__a41oi_1 -*I *1697:A2 I *D sky130_fd_sc_hd__o22a_1 -*I *1623:X O *D sky130_fd_sc_hd__o21a_1 -*CAP -1 *1695:B1 0.000251335 -2 *1627:A3 0 -3 *1704:A2 0.000170894 -4 *1630:A2 2.27135e-05 -5 *1628:A3 6.58719e-05 -6 *1697:A2 0.000263582 -7 *1623:X 0 -8 *146:27 0.000512139 -9 *146:14 0.000485798 -10 *146:12 0.00075864 -11 *146:4 0.00117216 -12 *1630:A2 *298:13 5.33005e-05 -13 *1695:B1 *1547:A1 8.34321e-05 -14 *1695:B1 *1624:A1 6.74082e-05 -15 *1695:B1 *1695:A1 1.70057e-05 -16 *1695:B1 *1697:B1 0.000459001 -17 *1695:B1 *291:101 0.000108976 -18 *1697:A2 *1547:A1 0.000399782 -19 *1704:A2 *1704:A1 0.000139637 -20 *1704:A2 *298:13 0.000112374 -21 *146:12 *1629:A 4.19839e-05 -22 *146:12 *1629:B 0.00019911 -23 *146:12 *1697:B1 7.58841e-05 -24 *146:12 *1768:CLK 4.57352e-05 -25 *146:12 *232:20 0 -26 *146:12 *293:31 8.08673e-05 -27 *146:12 *293:41 0.000127406 -28 *146:12 *293:47 0 -29 *146:12 *301:119 0.000649244 -30 *146:14 *1629:B 0.00012501 -31 *146:14 *293:47 0 -32 *146:27 *291:34 0.000170995 -33 *146:27 *299:34 0 -34 *1627:A2 *146:14 6.4029e-05 -35 *1627:A2 *146:27 2.53112e-06 -36 *1628:A4 *1628:A3 7.25873e-06 -37 *1628:A4 *146:14 1.61961e-05 -38 *1764:D *146:12 0.000103253 -39 *1768:D *146:12 0.0001249 -40 *145:20 *146:27 0.000114209 -*RES -1 *1623:X *146:4 9.3 -2 *146:4 *1697:A2 13.1214 -3 *146:4 *146:12 22.5357 -4 *146:12 *146:14 2.85714 -5 *146:14 *1628:A3 14.8714 -6 *146:14 *146:27 11.3036 -7 *146:27 *1630:A2 9.83571 -8 *146:27 *1704:A2 13.1393 -9 *146:12 *1627:A3 13.8 -10 *146:4 *1695:B1 25.7464 -*END - -*D_NET *147 0.00615137 -*CONN -*I *1706:A I *D sky130_fd_sc_hd__nand2_1 -*I *1708:A I *D sky130_fd_sc_hd__nand2_1 -*I *1636:B I *D sky130_fd_sc_hd__nand2b_1 -*I *1647:A2 I *D sky130_fd_sc_hd__o31ai_2 -*I *1624:Y O *D sky130_fd_sc_hd__o21ai_2 -*CAP -1 *1706:A 1.68118e-05 -2 *1708:A 0.000396888 -3 *1636:B 0.000115659 -4 *1647:A2 0 -5 *1624:Y 7.93866e-05 -6 *147:22 0.000628957 -7 *147:18 0.00111465 -8 *147:8 0.00106081 -9 *1706:A *1706:B 9.84673e-05 -10 *1706:A *299:63 9.84673e-05 -11 *1708:A *1707:A1_N 0.000143375 -12 *1708:A *1707:A2_N 1.51571e-05 -13 *147:8 *1647:A3 1.02504e-05 -14 *147:8 *1764:RESET_B 9.22471e-05 -15 *147:8 *295:17 0.000101178 -16 *147:18 *1547:A0 0.000411858 -17 *147:18 *1647:A3 0.000253135 -18 *147:18 *1647:B1 8.50152e-05 -19 *147:18 *1756:CLK 0.00019199 -20 *147:18 *1842:A 7.43578e-06 -21 *147:18 *221:44 7.81252e-05 -22 *147:18 *221:70 0.00019548 -23 *147:18 *221:79 7.13014e-05 -24 *147:18 *249:22 6.54817e-05 -25 *147:18 *250:17 0 -26 *147:18 *295:17 6.86693e-05 -27 *147:22 *1707:A2_N 5.09999e-05 -28 *147:22 *221:44 4.84857e-05 -29 *1644:B *147:8 3.30593e-05 -30 *1644:B *147:18 8.44766e-05 -31 *1707:B1 *1636:B 0.000223423 -32 *1707:B1 *1708:A 0.000122685 -33 *1707:B2 *1708:A 1.98839e-05 -34 *1707:B2 *147:22 1.46689e-05 -35 *1815:A *147:18 0.000149481 -36 *19:5 *1636:B 3.41517e-06 -*RES -1 *1624:Y *147:8 20.55 -2 *147:8 *1647:A2 9.3 -3 *147:8 *147:18 31.4107 -4 *147:18 *147:22 7.05357 -5 *147:22 *1636:B 12.4473 -6 *147:22 *1708:A 16.05 -7 *147:18 *1706:A 14.7464 -*END - -*D_NET *148 0.00357632 -*CONN -*I *1626:A I *D sky130_fd_sc_hd__inv_2 -*I *1698:B I *D sky130_fd_sc_hd__nand3b_1 -*I *1700:B I *D sky130_fd_sc_hd__nand3b_1 -*I *1632:A I *D sky130_fd_sc_hd__nand2_1 -*I *1631:B I *D sky130_fd_sc_hd__nand2b_1 -*I *1625:Y O *D sky130_fd_sc_hd__o211ai_4 -*CAP -1 *1626:A 0 -2 *1698:B 0.000315519 -3 *1700:B 0.000119659 -4 *1632:A 1.62268e-05 -5 *1631:B 0.00010957 -6 *1625:Y 0.000115347 -7 *148:17 0.000311223 -8 *148:12 0.000434106 -9 *148:10 0.000625716 -10 *148:8 0.000296525 -11 *1631:B *1633:B1 0.000105058 -12 *1698:B *1698:C 4.10796e-05 -13 *1700:B *1699:A2 9.85599e-06 -14 *1700:B *1700:A_N 9.84729e-05 -15 *1700:B *1700:C 3.86409e-05 -16 *148:8 *292:59 4.97967e-05 -17 *148:8 *293:58 4.97967e-05 -18 *148:10 *151:8 0 -19 *148:12 *1699:A2 0 -20 *148:12 *1701:B1 4.79842e-05 -21 *148:12 *151:8 0 -22 *148:12 *239:11 0 -23 *148:12 *239:26 2.53112e-06 -24 *148:17 *1562:S 5.66708e-05 -25 *148:17 *1633:B1 2.38501e-05 -26 *148:17 *239:26 3.87228e-05 -27 *1545:S *148:8 0.000147993 -28 *1767:D *148:10 3.37618e-05 -29 *48:35 *148:8 9.60095e-05 -30 *48:35 *148:10 0.000188613 -31 *48:35 *148:12 0.000139118 -32 *48:35 *148:17 6.4474e-05 -*RES -1 *1625:Y *148:8 17.3 -2 *148:8 *148:10 4.67857 -3 *148:10 *148:12 3.46429 -4 *148:12 *148:17 8.32143 -5 *148:17 *1631:B 11.6259 -6 *148:17 *1632:A 9.72857 -7 *148:12 *1700:B 15.9964 -8 *148:10 *1698:B 18.4607 -9 *148:8 *1626:A 13.8 -*END - -*D_NET *149 0.000370941 -*CONN -*I *1629:A I *D sky130_fd_sc_hd__nand2_1 -*I *1627:X O *D sky130_fd_sc_hd__a31o_1 -*CAP -1 *1629:A 8.42858e-05 -2 *1627:X 8.42858e-05 -3 *1629:A *221:41 3.18676e-05 -4 *1629:A *293:47 0.000128518 -5 *146:12 *1629:A 4.19839e-05 -*RES -1 *1627:X *1629:A 29.7786 -*END - -*D_NET *150 0.000894922 -*CONN -*I *1629:B I *D sky130_fd_sc_hd__nand2_1 -*I *1628:Y O *D sky130_fd_sc_hd__a41oi_1 -*CAP -1 *1629:B 0.000191305 -2 *1628:Y 0.000191305 -3 *1629:B *299:32 6.93626e-06 -4 *1628:A4 *1629:B 6.32633e-05 -5 *1768:D *1629:B 3.06035e-05 -6 *131:8 *1629:B 8.73899e-05 -7 *146:12 *1629:B 0.00019911 -8 *146:14 *1629:B 0.00012501 -*RES -1 *1628:Y *1629:B 32.6179 -*END - -*D_NET *151 0.00499008 -*CONN -*I *1701:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *1699:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *1630:Y O *D sky130_fd_sc_hd__a21oi_1 -*CAP -1 *1701:A2 8.27914e-05 -2 *1699:A2 0.00104454 -3 *1630:Y 0.000275509 -4 *151:8 0.00140284 -5 *1699:A2 *1699:B1 0.0010069 -6 *1699:A2 *1700:A_N 0.000231307 -7 *1699:A2 *1700:C 9.86146e-05 -8 *1699:A2 *1701:B1 0.000143651 -9 *1699:A2 *239:11 0.000333436 -10 *151:8 *239:11 0.000147725 -11 *151:8 *298:17 1.40415e-05 -12 *1700:B *1699:A2 9.85599e-06 -13 *1701:A1 *1701:A2 0.000141729 -14 *1765:D *1699:A2 5.71472e-05 -15 *148:10 *151:8 0 -16 *148:12 *1699:A2 0 -17 *148:12 *151:8 0 -*RES -1 *1630:Y *151:8 18.7107 -2 *151:8 *1699:A2 35.1929 -3 *151:8 *1701:A2 15.5679 -*END - -*D_NET *152 0.00116596 -*CONN -*I *1633:A2_N I *D sky130_fd_sc_hd__o2bb2ai_1 -*I *1631:Y O *D sky130_fd_sc_hd__nand2b_1 -*CAP -1 *1633:A2_N 0.000329594 -2 *1631:Y 0.000329594 -3 *1633:A2_N *1633:B1 0.000396258 -4 *1633:A2_N *1633:B2 1.04766e-05 -5 *1766:D *1633:A2_N 0.000100037 -*RES -1 *1631:Y *1633:A2_N 25.2964 -*END - -*D_NET *153 0.00144899 -*CONN -*I *1633:B1 I *D sky130_fd_sc_hd__o2bb2ai_1 -*I *1632:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *1633:B1 0.000219925 -2 *1632:Y 0.000219925 -3 *1633:B1 *1562:S 0.000185408 -4 *1633:B1 *1633:B2 3.69047e-06 -5 *1633:B1 *298:27 0.000294871 -6 *1631:B *1633:B1 0.000105058 -7 *1633:A2_N *1633:B1 0.000396258 -8 *148:17 *1633:B1 2.38501e-05 -*RES -1 *1632:Y *1633:B1 27.0821 -*END - -*D_NET *154 0.00262872 -*CONN -*I *1688:C I *D sky130_fd_sc_hd__nand4bb_1 -*I *1635:B I *D sky130_fd_sc_hd__nand2_1 -*I *1634:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *1688:C 0.000128381 -2 *1635:B 1.07668e-05 -3 *1634:Y 0.000504177 -4 *154:12 0.000643324 -5 *1635:B *233:58 5.68722e-05 -6 *1635:B *295:38 2.297e-05 -7 *1688:C *1688:D 0.000398815 -8 *1688:C *1690:A 3.11583e-05 -9 *1688:C *233:58 2.74192e-05 -10 *1688:C *295:38 1.02132e-05 -11 *154:12 *1667:B 0 -12 *154:12 *1827:A 0 -13 *154:12 *228:110 0.000143375 -14 *1568:A1 *154:12 0.000170376 -15 *1689:A2 *1688:C 0.000343236 -16 *46:5 *1688:C 0.000137639 -*RES -1 *1634:Y *154:12 26.9964 -2 *154:12 *1635:B 9.83571 -3 *154:12 *1688:C 15.05 -*END - -*D_NET *155 0.000385261 -*CONN -*I *1738:D1 I *D sky130_fd_sc_hd__o2111ai_1 -*I *1637:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *1738:D1 0.000108184 -2 *1637:Y 0.000108184 -3 *1738:D1 *1637:A 0.000141734 -4 *1738:D1 *1637:B 2.36643e-05 -5 *1738:D1 *1738:A1 3.49456e-06 -*RES -1 *1637:Y *1738:D1 20.7964 -*END - -*D_NET *156 0.0025103 -*CONN -*I *1640:B I *D sky130_fd_sc_hd__nand2_1 -*I *1716:C I *D sky130_fd_sc_hd__nand4bb_1 -*I *1639:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *1640:B 0.000186791 -2 *1716:C 0.000523319 -3 *1639:Y 3.01974e-05 -4 *156:5 0.000740308 -5 *1640:B *1585:A0 7.98318e-05 -6 *1640:B *260:14 6.59977e-05 -7 *1640:B *260:18 2.6304e-05 -8 *1640:B *287:221 1.75642e-05 -9 *1716:C *1639:B 1.24368e-05 -10 *1716:C *1718:A 1.74352e-05 -11 *1716:C *1744:B1 3.69047e-06 -12 *1716:C *1745:B 1.00477e-05 -13 *1716:C *1832:A 0.000180195 -14 *1716:C *259:19 0.00013866 -15 *1716:C *259:38 0.000305381 -16 *1716:C *287:221 8.85068e-05 -17 *156:5 *1639:B 5.52302e-05 -18 *50:8 *1640:B 2.84026e-05 -*RES -1 *1639:Y *156:5 9.83571 -2 *156:5 *1716:C 28.5143 -3 *156:5 *1640:B 22.3 -*END - -*D_NET *157 0.000369508 -*CONN -*I *1685:D1 I *D sky130_fd_sc_hd__o2111ai_2 -*I *1642:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *1685:D1 6.30272e-05 -2 *1642:Y 6.30272e-05 -3 *1685:D1 *1642:A 9.8591e-05 -4 *1685:D1 *1642:B 9.83388e-05 -5 *1685:D1 *1685:B1 4.65241e-05 -*RES -1 *1642:Y *1685:D1 20.7964 -*END - -*D_NET *158 0.0013952 -*CONN -*I *1647:B1 I *D sky130_fd_sc_hd__o31ai_2 -*I *1644:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *1647:B1 0.000259505 -2 *1644:Y 0.000259505 -3 *1647:B1 *1547:A0 0.000161514 -4 *1647:B1 *231:19 7.46763e-05 -5 *1647:B1 *292:7 0.000136727 -6 *1647:B1 *295:17 6.36656e-05 -7 *1644:B *1647:B1 0.000354588 -8 *147:18 *1647:B1 8.50152e-05 -*RES -1 *1644:Y *1647:B1 34.9929 -*END - -*D_NET *159 0.0020417 -*CONN -*I *1647:A3 I *D sky130_fd_sc_hd__o31ai_2 -*I *1645:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *1647:A3 0.000487944 -2 *1645:X 0.000487944 -3 *1647:A3 *1646:B 6.05161e-06 -4 *1647:A3 *1647:A1 0 -5 *1647:A3 *1764:RESET_B 2.05855e-05 -6 *1647:A3 *1842:A 0.000457806 -7 *1644:B *1647:A3 0.000317987 -8 *147:8 *1647:A3 1.02504e-05 -9 *147:18 *1647:A3 0.000253135 -*RES -1 *1645:X *1647:A3 40.4393 -*END - -*D_NET *160 0.00219177 -*CONN -*I *1647:A1 I *D sky130_fd_sc_hd__o31ai_2 -*I *1646:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *1647:A1 0.000483787 -2 *1646:Y 0.000483787 -3 *1647:A1 *1646:A 1.59373e-05 -4 *1647:A1 *1646:B 3.09893e-05 -5 *1647:A1 *1764:RESET_B 0.000527799 -6 *1647:A1 *291:12 0.000289441 -7 *1647:A1 *292:35 0.000360026 -8 *1647:A3 *1647:A1 0 -*RES -1 *1646:Y *1647:A1 39.9036 -*END - -*D_NET *161 0.00219408 -*CONN -*I *1651:B1 I *D sky130_fd_sc_hd__o31ai_2 -*I *1648:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *1651:B1 0.000228271 -2 *1648:Y 0.000228271 -3 *1651:B1 *1550:A0 0.000313158 -4 *1651:B1 *1550:A1 0.000141734 -5 *1651:B1 *1650:B 0.000315806 -6 *1651:B1 *287:20 1.34741e-05 -7 *1651:B1 *289:8 0.000160529 -8 *1651:B1 *289:14 3.45899e-05 -9 *1550:S *1651:B1 0.000399938 -10 *1648:B *1651:B1 0.000124012 -11 *1651:A2 *1651:B1 0.000234296 -*RES -1 *1648:Y *1651:B1 38.0821 -*END - -*D_NET *162 0.00125467 -*CONN -*I *1651:A3 I *D sky130_fd_sc_hd__o31ai_2 -*I *1649:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *1651:A3 0.000422071 -2 *1649:X 0.000422071 -3 *1651:A3 *1649:A 8.94436e-05 -4 *1651:A3 *1650:A 0.000313671 -5 *1651:A3 *276:7 7.41389e-06 -*RES -1 *1649:X *1651:A3 35.0821 -*END - -*D_NET *163 0.000333645 -*CONN -*I *1651:A1 I *D sky130_fd_sc_hd__o31ai_2 -*I *1650:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *1651:A1 7.59157e-05 -2 *1650:Y 7.59157e-05 -3 *1651:A1 *1650:B 7.97268e-05 -4 *1651:A1 *1812:A 1.32293e-05 -5 *1651:A1 *317:8 8.88574e-05 -*RES -1 *1650:Y *1651:A1 20.7964 -*END - -*D_NET *164 0.000497498 -*CONN -*I *1656:A I *D sky130_fd_sc_hd__nor2_1 -*I *1654:Y O *D sky130_fd_sc_hd__nor3_1 -*CAP -1 *1656:A 0.000163264 -2 *1654:Y 0.000163264 -3 *1656:A *1654:B 0.000108323 -4 *1656:A *1654:C 6.05161e-06 -5 *1656:B *1656:A 5.65955e-05 -*RES -1 *1654:Y *1656:A 21.7607 -*END - -*D_NET *165 0.000580268 -*CONN -*I *1658:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *1657:X O *D sky130_fd_sc_hd__o21a_1 -*CAP -1 *1658:B1 0.00015399 -2 *1657:X 0.00015399 -3 *1658:B1 *1621:A 0.000272288 -*RES -1 *1657:X *1658:B1 22.0107 -*END - -*D_NET *166 0.000348254 -*CONN -*I *1662:A_N I *D sky130_fd_sc_hd__nand2b_1 -*I *1660:Y O *D sky130_fd_sc_hd__nor3_1 -*CAP -1 *1662:A_N 6.09396e-05 -2 *1660:Y 6.09396e-05 -3 *1662:A_N *1620:C_N 5.56241e-05 -4 *1662:A_N *1660:B 0.00010326 -5 *1662:A_N *1660:C 6.74911e-05 -*RES -1 *1660:Y *1662:A_N 29.5464 -*END - -*D_NET *167 0.000592133 -*CONN -*I *1662:B I *D sky130_fd_sc_hd__nand2b_1 -*I *1661:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *1662:B 7.73865e-05 -2 *1661:Y 7.73865e-05 -3 *1662:B *1660:C 0.000148514 -4 *1662:B *239:35 7.20217e-06 -5 *1662:B *293:106 0.000281644 -*RES -1 *1661:Y *1662:B 21.7473 -*END - -*D_NET *168 0.000763939 -*CONN -*I *1666:A_N I *D sky130_fd_sc_hd__nand2b_1 -*I *1664:Y O *D sky130_fd_sc_hd__nor3_1 -*CAP -1 *1666:A_N 0.00010438 -2 *1664:Y 0.00010438 -3 *1666:A_N *1663:B 0 -4 *1666:A_N *1664:B 0.000360394 -5 *1666:A_N *1664:C 0.000194785 -*RES -1 *1664:Y *1666:A_N 22.8679 -*END - -*D_NET *169 0.000428046 -*CONN -*I *1666:B I *D sky130_fd_sc_hd__nand2b_1 -*I *1665:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *1666:B 0.000100591 -2 *1665:Y 0.000100591 -3 *1666:B *1664:B 3.24194e-05 -4 *1666:B *1665:B1 3.57844e-05 -5 *1666:B *240:29 7.80222e-05 -6 *1565:A0 *1666:B 8.06378e-05 -*RES -1 *1665:Y *1666:B 30.2161 -*END - -*D_NET *170 0.0007009 -*CONN -*I *1672:A I *D sky130_fd_sc_hd__nor2_1 -*I *1670:Y O *D sky130_fd_sc_hd__nor3_1 -*CAP -1 *1672:A 0.000208886 -2 *1670:Y 0.000208886 -3 *1672:A *1670:C 0.000283128 -*RES -1 *1670:Y *1672:A 22.8679 -*END - -*D_NET *171 0.000619704 -*CONN -*I *1674:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *1673:X O *D sky130_fd_sc_hd__o21a_1 -*CAP -1 *1674:B1 8.98178e-05 -2 *1673:X 8.98178e-05 -3 *1571:A0 *1674:B1 0.000314545 -4 *1674:A1 *1674:B1 0.000125524 -*RES -1 *1673:X *1674:B1 22.0286 -*END - -*D_NET *172 0.000426201 -*CONN -*I *1678:A_N I *D sky130_fd_sc_hd__nand2b_1 -*I *1676:Y O *D sky130_fd_sc_hd__nor3_1 -*CAP -1 *1678:A_N 0.000102465 -2 *1676:Y 0.000102465 -3 *1678:A_N *1677:A1 0.000139337 -4 *1678:A_N *1677:B1 1.32552e-05 -5 *1678:A_N *1678:B 0 -6 *1678:A_N *265:17 6.05161e-06 -7 *1678:A_N *265:26 6.26276e-05 -*RES -1 *1676:Y *1678:A_N 21.1 -*END - -*D_NET *173 0.000444095 -*CONN -*I *1678:B I *D sky130_fd_sc_hd__nand2b_1 -*I *1677:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *1678:B 0.000100541 -2 *1677:Y 0.000100541 -3 *1678:B *1677:A1 7.00034e-05 -4 *1678:B *1677:B1 0.000173009 -5 *1678:A_N *1678:B 0 -*RES -1 *1677:Y *1678:B 21.3411 -*END - -*D_NET *174 0.000340404 -*CONN -*I *1682:A_N I *D sky130_fd_sc_hd__nand2b_1 -*I *1680:Y O *D sky130_fd_sc_hd__nor3_1 -*CAP -1 *1682:A_N 0.000104087 -2 *1680:Y 0.000104087 -3 *1682:A_N *1680:C 0.00013223 -*RES -1 *1680:Y *1682:A_N 20.8143 -*END - -*D_NET *175 0.000493196 -*CONN -*I *1682:B I *D sky130_fd_sc_hd__nand2b_1 -*I *1681:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *1682:B 8.22899e-05 -2 *1681:Y 8.22899e-05 -3 *1682:B *1680:B 0.000104974 -4 *1682:B *1680:C 6.9517e-05 -5 *1682:B *1681:A1 0 -6 *1682:B *1681:B1 0.000125593 -7 *1682:B *267:10 0 -8 *1682:B *268:33 0 -9 *1582:A0 *1682:B 2.85321e-05 -*RES -1 *1681:Y *1682:B 30.2161 -*END - -*D_NET *176 0.00460146 -*CONN -*I *1687:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *1686:A1 I *D sky130_fd_sc_hd__a21oi_1 -*I *1685:Y O *D sky130_fd_sc_hd__o2111ai_2 -*CAP -1 *1687:A2 6.77844e-05 -2 *1686:A1 0.000437275 -3 *1685:Y 0.00121632 -4 *176:8 0.00172138 -5 *1686:A1 *1686:B1 5.33005e-05 -6 *1686:A1 *1725:B2 2.62457e-05 -7 *1686:A1 *1789:CLK 2.59355e-05 -8 *1686:A1 *1789:RESET_B 2.56067e-05 -9 *1686:A1 *297:17 0.000103974 -10 *1686:A1 *303:162 3.51224e-06 -11 *1687:A2 *1789:CLK 4.93722e-05 -12 *1687:A2 *289:14 0 -13 *176:8 *374:DIODE 0.00019369 -14 *176:8 *1642:A 5.52302e-05 -15 *176:8 *1685:C1 8.25512e-05 -16 *176:8 *1785:CLK 0 -17 *176:8 *1789:CLK 3.02801e-05 -18 *176:8 *257:37 9.49338e-05 -19 *176:8 *289:14 0 -20 *176:8 *289:16 0 -21 *176:8 *289:24 0 -22 *176:8 *289:30 0 -23 *176:8 *303:162 0.000197523 -24 *176:8 *303:165 2.30861e-05 -25 *1724:B1 *1686:A1 0.00019346 -*RES -1 *1685:Y *176:8 37.5321 -2 *176:8 *1686:A1 29.3 -3 *176:8 *1687:A2 15.1393 -*END - -*D_NET *177 0.000341169 -*CONN -*I *1687:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *1686:Y O *D sky130_fd_sc_hd__a21oi_1 -*CAP -1 *1687:B1 6.77662e-05 -2 *1686:Y 6.77662e-05 -3 *1687:B1 *275:13 6.86792e-05 -4 *1687:B1 *297:17 0.000136958 -*RES -1 *1686:Y *1687:B1 20.3857 -*END - -*D_NET *178 0.00111865 -*CONN -*I *1690:A I *D sky130_fd_sc_hd__nand2_1 -*I *1688:Y O *D sky130_fd_sc_hd__nand4bb_1 -*CAP -1 *1690:A 0.000268039 -2 *1688:Y 0.000268039 -3 *1690:A *1688:B_N 0.000196399 -4 *1690:A *1688:D 5.71472e-05 -5 *1690:A *1690:B 0.00014183 -6 *1690:A *236:11 0.000128496 -7 *1688:C *1690:A 3.11583e-05 -8 *1689:A2 *1690:A 2.75452e-05 -*RES -1 *1688:Y *1690:A 25.0286 -*END - -*D_NET *179 0.000467247 -*CONN -*I *1690:B I *D sky130_fd_sc_hd__nand2_1 -*I *1689:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *1690:B 0.000133541 -2 *1689:Y 0.000133541 -3 *1690:B *362:DIODE 1.24368e-05 -4 *1690:B *236:11 4.58976e-05 -5 *1690:A *1690:B 0.00014183 -*RES -1 *1689:Y *1690:B 21.2071 -*END - -*D_NET *180 0.0010549 -*CONN -*I *1696:A I *D sky130_fd_sc_hd__nand3_1 -*I *1691:Y O *D sky130_fd_sc_hd__nand2b_1 -*CAP -1 *1696:A 0.000474335 -2 *1691:Y 0.000474335 -3 *1696:A *1696:B 5.52302e-05 -4 *1696:A *1697:B2 5.09999e-05 -5 *1696:A *293:10 0 -*RES -1 *1691:Y *1696:A 35.0286 -*END - -*D_NET *181 0.000415496 -*CONN -*I *1696:B I *D sky130_fd_sc_hd__nand3_1 -*I *1692:Y O *D sky130_fd_sc_hd__nand2b_1 -*CAP -1 *1696:B 0.000108445 -2 *1692:Y 0.000108445 -3 *1696:B *1696:C 0.000143375 -4 *1696:A *1696:B 5.52302e-05 -*RES -1 *1692:Y *1696:B 20.7786 -*END - -*D_NET *182 0.00122336 -*CONN -*I *1695:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *1693:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *1695:A1 0.00038747 -2 *1693:Y 0.00038747 -3 *1695:A1 *1693:B 0.000228334 -4 *1695:A1 *1697:B1 4.92083e-05 -5 *1695:A1 *248:11 6.8529e-05 -6 *1695:A1 *291:108 8.53458e-05 -7 *1695:B1 *1695:A1 1.70057e-05 -*RES -1 *1693:Y *1695:A1 35.3321 -*END - -*D_NET *183 0.000691279 -*CONN -*I *1695:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *1694:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *1695:A2 0.000249201 -2 *1694:X 0.000249201 -3 *1695:A2 *232:25 6.258e-05 -4 *1695:A2 *248:11 0.000130297 -*RES -1 *1694:X *1695:A2 31.225 -*END - -*D_NET *184 0.0018931 -*CONN -*I *1697:B1 I *D sky130_fd_sc_hd__o22a_1 -*I *1695:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *1697:B1 0.000345779 -2 *1695:Y 0.000345779 -3 *1697:B1 *1623:B1 0.00016867 -4 *1697:B1 *292:44 0.000309577 -5 *1697:B1 *293:28 0.000139202 -6 *1695:A1 *1697:B1 4.92083e-05 -7 *1695:B1 *1697:B1 0.000459001 -8 *146:12 *1697:B1 7.58841e-05 -*RES -1 *1695:Y *1697:B1 37.4036 -*END - -*D_NET *185 0.0012034 -*CONN -*I *1697:B2 I *D sky130_fd_sc_hd__o22a_1 -*I *1696:Y O *D sky130_fd_sc_hd__nand3_1 -*CAP -1 *1697:B2 0.000449228 -2 *1696:Y 0.000449228 -3 *1697:B2 *1697:A1 0.000140985 -4 *1697:B2 *292:44 1.02132e-05 -5 *1697:B2 *293:24 1.04766e-05 -6 *1697:B2 *293:28 9.22662e-05 -7 *1696:A *1697:B2 5.09999e-05 -*RES -1 *1696:Y *1697:B2 35.7429 -*END - -*D_NET *186 0.00193499 -*CONN -*I *1699:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *1698:Y O *D sky130_fd_sc_hd__nand3b_1 -*CAP -1 *1699:B1 0.000464046 -2 *1698:Y 0.000464046 -3 *1699:B1 *237:8 0 -4 *1699:A2 *1699:B1 0.0010069 -*RES -1 *1698:Y *1699:B1 38.8143 -*END - -*D_NET *187 0.000524533 -*CONN -*I *1701:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *1700:Y O *D sky130_fd_sc_hd__nand3b_1 -*CAP -1 *1701:B1 0.000166449 -2 *1700:Y 0.000166449 -3 *1699:A2 *1701:B1 0.000143651 -4 *148:12 *1701:B1 4.79842e-05 -*RES -1 *1700:Y *1701:B1 30.6 -*END - -*D_NET *188 0.00127125 -*CONN -*I *1705:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *1704:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *1705:B1 0.00031501 -2 *1704:Y 0.00031501 -3 *1705:B1 *298:13 3.29128e-05 -4 *1704:B1 *1705:B1 0.000144581 -5 *1705:A2 *1705:B1 0.000344786 -6 *1772:D *1705:B1 0.000118946 -*RES -1 *1704:Y *1705:B1 34.6893 -*END - -*D_NET *189 0.000962604 -*CONN -*I *1707:A2_N I *D sky130_fd_sc_hd__a2bb2o_1 -*I *1706:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *1707:A2_N 0.000281086 -2 *1706:Y 0.000281086 -3 *1707:A2_N *1636:A_N 3.17866e-05 -4 *1707:A2_N *1707:A1_N 5.20751e-05 -5 *1707:B1 *1707:A2_N 0.000156249 -6 *1707:B2 *1707:A2_N 9.41642e-05 -7 *1708:A *1707:A2_N 1.51571e-05 -8 *147:22 *1707:A2_N 5.09999e-05 -*RES -1 *1706:Y *1707:A2_N 33.1893 -*END - -*D_NET *190 0.00103865 -*CONN -*I *1709:A2_N I *D sky130_fd_sc_hd__a2bb2o_1 -*I *1708:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *1709:A2_N 0.000171027 -2 *1708:Y 0.000171027 -3 *1709:A2_N *241:10 0.000146261 -4 *1709:A2_N *292:157 6.96796e-05 -5 *1709:A2_N *322:10 7.17807e-05 -6 *1709:B1 *1709:A2_N 0.000184297 -7 *1709:B2 *1709:A2_N 0.000224582 -*RES -1 *1708:Y *1709:A2_N 32.9571 -*END - -*D_NET *191 0.00126023 -*CONN -*I *1714:A_N I *D sky130_fd_sc_hd__nand2b_1 -*I *1712:Y O *D sky130_fd_sc_hd__nor4_1 -*CAP -1 *1714:A_N 0.00040212 -2 *1712:Y 0.00040212 -3 *1714:A_N *1713:A3 0.000127246 -4 *1714:A_N *1713:B1 0.000127246 -5 *1714:A_N *1714:B 0 -6 *1777:D *1714:A_N 0.000103157 -7 *1850:A *1714:A_N 9.83442e-05 -*RES -1 *1712:Y *1714:A_N 36.5286 -*END - -*D_NET *192 0.000965517 -*CONN -*I *1714:B I *D sky130_fd_sc_hd__nand2b_1 -*I *1713:Y O *D sky130_fd_sc_hd__o31ai_1 -*CAP -1 *1714:B 0.000391451 -2 *1713:Y 0.000391451 -3 *1714:B *1713:A2 1.03449e-05 -4 *1714:A_N *1714:B 0 -5 *1777:D *1714:B 5.97835e-05 -6 *1850:A *1714:B 0.000112488 -*RES -1 *1713:Y *1714:B 34.1089 -*END - -*D_NET *193 0.00152025 -*CONN -*I *1718:A I *D sky130_fd_sc_hd__nand2_1 -*I *1716:Y O *D sky130_fd_sc_hd__nand4bb_1 -*CAP -1 *1718:A 0.000298721 -2 *1716:Y 0.000298721 -3 *1718:A *1832:A 8.01889e-06 -4 *1718:A *1833:A 0.000150191 -5 *1718:A *259:14 0 -6 *1718:A *259:38 0.000262498 -7 *1718:A *259:48 5.49489e-05 -8 *1584:A1 *1718:A 0.000429715 -9 *1716:C *1718:A 1.74352e-05 -*RES -1 *1716:Y *1718:A 36.1 -*END - -*D_NET *194 0.000852656 -*CONN -*I *1718:B I *D sky130_fd_sc_hd__nand2_1 -*I *1717:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *1718:B 0.000286736 -2 *1717:Y 0.000286736 -3 *1718:B *256:13 0.000178503 -4 *1718:B *262:14 0.000100681 -5 *7:15 *1718:B 0 -*RES -1 *1717:Y *1718:B 32.7786 -*END - -*D_NET *195 0.000820167 -*CONN -*I *1721:A I *D sky130_fd_sc_hd__nand3_1 -*I *1719:Y O *D sky130_fd_sc_hd__nand2b_1 -*CAP -1 *1721:A 0.000321081 -2 *1719:Y 0.000321081 -3 *1721:A *257:37 0.000178004 -*RES -1 *1719:Y *1721:A 33.6714 -*END - -*D_NET *196 0.000993293 -*CONN -*I *1721:B I *D sky130_fd_sc_hd__nand3_1 -*I *1720:Y O *D sky130_fd_sc_hd__nand2b_1 -*CAP -1 *1721:B 0.000238524 -2 *1720:Y 0.000238524 -3 *1721:B *1720:B 3.85148e-05 -4 *1721:B *1721:C 5.49489e-05 -5 *1721:B *1725:B1 5.65955e-05 -6 *137:69 *1721:B 0.000366186 -*RES -1 *1720:Y *1721:B 33.6357 -*END - -*D_NET *197 0.000843669 -*CONN -*I *1725:B1 I *D sky130_fd_sc_hd__o22a_1 -*I *1721:Y O *D sky130_fd_sc_hd__nand3_1 -*CAP -1 *1725:B1 0.000307282 -2 *1721:Y 0.000307282 -3 *1725:B1 *1725:B2 2.13202e-05 -4 *1721:B *1725:B1 5.65955e-05 -5 *137:69 *1725:B1 0.000140815 -6 *137:70 *1725:B1 1.03742e-05 -*RES -1 *1721:Y *1725:B1 33.3857 -*END - -*D_NET *198 0.000813805 -*CONN -*I *1724:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *1722:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *1724:A1 0.000243945 -2 *1722:Y 0.000243945 -3 *1724:A1 *1723:A 0.000141734 -4 *1724:A1 *1723:B 4.74514e-05 -5 *1724:A1 *1724:A2 4.00679e-05 -6 *1724:A1 *1758:SET_B 9.66605e-05 -*RES -1 *1722:Y *1724:A1 23.6893 -*END - -*D_NET *199 0.000363947 -*CONN -*I *1724:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *1723:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *1724:A2 0.000159992 -2 *1723:X 0.000159992 -3 *1724:A2 *1758:SET_B 3.89621e-06 -4 *1724:A1 *1724:A2 4.00679e-05 -*RES -1 *1723:X *1724:A2 20.3679 -*END - -*D_NET *200 0.00202798 -*CONN -*I *1725:B2 I *D sky130_fd_sc_hd__o22a_1 -*I *1724:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *1725:B2 0.00077899 -2 *1724:Y 0.00077899 -3 *1725:B2 *1758:SET_B 0.000187319 -4 *1725:B2 *297:17 5.43491e-05 -5 *1686:A1 *1725:B2 2.62457e-05 -6 *1724:B1 *1725:B2 0 -7 *1725:B1 *1725:B2 2.13202e-05 -8 *1789:D *1725:B2 9.83442e-05 -9 *137:70 *1725:B2 8.24262e-05 -*RES -1 *1724:Y *1725:B2 41.2161 -*END - -*D_NET *201 0.000568074 -*CONN -*I *1727:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *1726:Y O *D sky130_fd_sc_hd__nand3b_1 -*CAP -1 *1727:B1 0.000171354 -2 *1726:Y 0.000171354 -3 *1727:A1 *1727:B1 0.000225367 -*RES -1 *1726:Y *1727:B1 22.0107 -*END - -*D_NET *202 0.00127342 -*CONN -*I *1729:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *1728:Y O *D sky130_fd_sc_hd__nand3b_1 -*CAP -1 *1729:B1 0.000389262 -2 *1728:Y 0.000389262 -3 *1729:B1 *222:13 0.000141891 -4 *1729:B1 *303:20 4.07062e-05 -5 *1729:A1 *1729:B1 0.000312303 -*RES -1 *1728:Y *1729:B1 34.8321 -*END - -*D_NET *203 0.000309447 -*CONN -*I *1733:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *1732:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *1733:B1 0.00011028 -2 *1732:Y 0.00011028 -3 *1733:B1 *287:36 6.97972e-05 -4 *1733:B1 *289:30 0 -5 *1732:B1 *1733:B1 7.43578e-06 -6 *137:21 *1733:B1 1.16543e-05 -*RES -1 *1732:Y *1733:B1 29.7786 -*END - -*D_NET *204 0.00288641 -*CONN -*I *1735:B1 I *D sky130_fd_sc_hd__o31a_1 -*I *1734:Y O *D sky130_fd_sc_hd__o21bai_1 -*CAP -1 *1735:B1 0.000424052 -2 *1734:Y 0.000424052 -3 *1735:B1 *361:DIODE 3.80087e-05 -4 *1735:B1 *1642:A 0.000385599 -5 *1735:B1 *1685:A1 1.74352e-05 -6 *1735:B1 *1685:A2 2.59355e-05 -7 *1735:B1 *1735:A1 0.000274244 -8 *1735:B1 *1735:A2 0 -9 *1735:B1 *222:48 0.000171656 -10 *1735:B1 *222:57 0.000136184 -11 *1735:B1 *267:56 5.46939e-05 -12 *1735:B1 *288:47 0.00055107 -13 *1735:B1 *288:49 0.000121891 -14 *1735:B1 *296:12 0.000113634 -15 *1735:B1 *296:14 7.13896e-05 -16 *1735:A3 *1735:B1 5.33005e-05 -17 *1798:D *1735:B1 2.32625e-05 -*RES -1 *1734:Y *1735:B1 43.0821 -*END - -*D_NET *205 0.000417061 -*CONN -*I *1737:B1 I *D sky130_fd_sc_hd__o31a_1 -*I *1736:Y O *D sky130_fd_sc_hd__o21bai_1 -*CAP -1 *1737:B1 0.000114725 -2 *1736:Y 0.000114725 -3 *1737:B1 *1736:A1 5.50052e-05 -4 *1736:A2 *1737:B1 7.54868e-05 -5 *137:47 *1737:B1 5.7119e-05 -*RES -1 *1736:Y *1737:B1 29.7786 -*END - -*D_NET *206 0.00205307 -*CONN -*I *1739:A1 I *D sky130_fd_sc_hd__a21oi_1 -*I *1740:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *1738:Y O *D sky130_fd_sc_hd__o2111ai_1 -*CAP -1 *1739:A1 0 -2 *1740:A2 0.000288572 -3 *1738:Y 0.000372022 -4 *206:9 0.000660595 -5 *1740:A2 *1739:B1 0.00022459 -6 *1740:A2 *1740:A1 1.04232e-05 -7 *1740:A2 *249:15 7.03373e-05 -8 *206:9 *1637:A 3.49118e-05 -9 *206:9 *249:15 2.89016e-05 -10 *206:9 *320:24 0.00036272 -*RES -1 *1738:Y *206:9 27.4607 -2 *206:9 *1740:A2 13.4429 -3 *206:9 *1739:A1 9.3 -*END - -*D_NET *207 0.00034544 -*CONN -*I *1740:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *1739:Y O *D sky130_fd_sc_hd__a21oi_1 -*CAP -1 *1740:B1 0.000119566 -2 *1739:Y 0.000119566 -3 *1740:B1 *249:15 0.000106307 -*RES -1 *1739:Y *1740:B1 20.7964 -*END - -*D_NET *208 0.000967641 -*CONN -*I *1745:A_N I *D sky130_fd_sc_hd__nand2b_1 -*I *1743:Y O *D sky130_fd_sc_hd__nor4_1 -*CAP -1 *1745:A_N 0.000200741 -2 *1743:Y 0.000200741 -3 *1745:A_N *316:13 4.67214e-05 -4 *1745:A_N *319:8 3.14003e-05 -5 *1745:A_N *319:21 0.000310598 -6 *1852:A *1745:A_N 0.000136733 -7 *8:8 *1745:A_N 4.07062e-05 -*RES -1 *1743:Y *1745:A_N 33.0821 -*END - -*D_NET *209 0.000583155 -*CONN -*I *1745:B I *D sky130_fd_sc_hd__nand2b_1 -*I *1744:Y O *D sky130_fd_sc_hd__o31ai_1 -*CAP -1 *1745:B 0.000205357 -2 *1744:Y 0.000205357 -3 *1745:B *1743:C 5.49489e-05 -4 *1745:B *1744:B1 5.20669e-05 -5 *1745:B *259:19 2.2816e-05 -6 *1745:B *260:18 2.62951e-05 -7 *1745:B *319:21 6.26774e-06 -8 *1716:C *1745:B 1.00477e-05 -*RES -1 *1744:Y *1745:B 31.2295 -*END - -*D_NET *210 0.00744556 -*CONN -*I *1824:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *1817:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *1810:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *1824:A 0.00172943 -2 *1817:A 0.00106222 -3 *1810:X 0 -4 *210:4 0.00279165 -5 *1817:A *300:49 5.1061e-05 -6 *1824:A *1752:SET_B 0.000273789 -7 *1824:A *1801:SET_B 8.39582e-05 -8 *1824:A *1804:D 0.00012639 -9 *1824:A *1812:A 2.84109e-05 -10 *1824:A *212:8 0.00078318 -11 *1824:A *229:67 0.000226867 -12 *3:8 *1817:A 0.000288605 -*RES -1 *1810:X *210:4 9.3 -2 *210:4 *1817:A 32.4607 -3 *210:4 *1824:A 47.4071 -*END - -*D_NET *211 0.00385391 -*CONN -*I *1825:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *1818:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *1811:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *1825:A 0.000611848 -2 *1818:A 0.00101737 -3 *1811:X 0 -4 *211:5 0.00162922 -5 *1818:A *1781:D 0.000143712 -6 *1818:A *1783:D 0 -7 *1818:A *1793:CLK 0 -8 *1818:A *1805:SET_B 0 -9 *1818:A *219:8 0 -10 *1825:A *1551:A1 2.80728e-05 -11 *1825:A *1781:D 0.000100852 -12 *1825:A *1805:CLK 0 -13 *1825:A *1805:SET_B 0 -14 *1825:A *1806:CLK 2.85321e-05 -15 *1825:A *225:8 7.57174e-05 -16 *1825:A *225:17 0.000105416 -17 *1614:B *1818:A 0.000113177 -*RES -1 *1811:X *211:5 13.8 -2 *211:5 *1818:A 33.1214 -3 *211:5 *1825:A 25.9607 -*END - -*D_NET *212 0.00447065 -*CONN -*I *1826:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *1819:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *1812:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *1826:A 0 -2 *1819:A 0.00037255 -3 *1812:X 0.000903987 -4 *212:8 0.00127654 -5 *1819:A *1793:SET_B 0.000405736 -6 *1819:A *1804:CLK 2.69483e-05 -7 *1819:A *1812:A 4.97906e-05 -8 *1819:A *219:14 0.00019418 -9 *1819:A *302:20 0.000101545 -10 *1819:A *303:6 0 -11 *1819:A *317:8 0 -12 *212:8 *1804:CLK 0.000148622 -13 *212:8 *1809:D 0.000125344 -14 *212:8 *1812:A 8.22252e-05 -15 *212:8 *303:6 0 -16 *1824:A *212:8 0.00078318 -*RES -1 *1812:X *212:8 29.9429 -2 *212:8 *1819:A 23.6393 -3 *212:8 *1826:A 13.8 -*END - -*D_NET *213 0.00258445 -*CONN -*I *1820:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *1827:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *1813:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *1820:A 2.18506e-05 -2 *1827:A 0.000355584 -3 *1813:X 0.000520451 -4 *213:7 0.000897885 -5 *1827:A *1715:A0 0.000165185 -6 *1827:A *283:8 0.000150043 -7 *377:DIODE *1827:A 0.000126416 -8 *1784:D *1827:A 0.000105638 -9 *1813:A *1827:A 6.82998e-05 -10 *1813:A *213:7 0.000173097 -11 *154:12 *1827:A 0 -*RES -1 *1813:X *213:7 18.4786 -2 *213:7 *1827:A 27.0679 -3 *213:7 *1820:A 9.72857 -*END - -*D_NET *214 0.00262648 -*CONN -*I *1828:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *1821:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *1814:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *1828:A 0.000385038 -2 *1821:A 0.000796024 -3 *1814:X 0 -4 *214:4 0.00118106 -5 *1828:A *1809:CLK 0.000264353 -*RES -1 *1814:X *214:4 9.3 -2 *214:4 *1821:A 18.4786 -3 *214:4 *1828:A 14.7821 -*END - -*D_NET *215 0.00340249 -*CONN -*I *1829:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *1822:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *1815:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *1829:A 0 -2 *1822:A 0.000423613 -3 *1815:X 0.000610472 -4 *215:9 0.00103408 -5 *1822:A *291:16 2.49484e-05 -6 *1822:A *292:48 0 -7 *1822:A *292:50 0 -8 *1822:A *292:54 0 -9 *1822:A *299:16 7.80714e-06 -10 *215:9 *1764:CLK 0 -11 *215:9 *292:44 9.54453e-05 -12 *215:9 *292:46 8.02791e-05 -13 *215:9 *292:48 6.02132e-05 -14 *215:9 *293:31 0.000346201 -15 *215:9 *293:41 0.000197385 -16 *1554:A0 *1822:A 0 -17 *1656:B *1822:A 0.000368625 -18 *1658:A2 *1822:A 0.000153411 -*RES -1 *1815:X *215:9 30.5321 -2 *215:9 *1822:A 28.1393 -3 *215:9 *1829:A 9.3 -*END - -*D_NET *216 0.0042399 -*CONN -*I *1830:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *1823:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *1816:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *1830:A 0.00030856 -2 *1823:A 0.0005165 -3 *1816:X 3.96549e-05 -4 *216:7 0.000864714 -5 *1823:A *1675:A 0.000166122 -6 *1823:A *1675:B 0.000406511 -7 *1823:A *1677:A1 0.000102984 -8 *1823:A *263:14 0.00021844 -9 *1823:A *263:27 0.00010137 -10 *1823:A *263:34 0.000220093 -11 *1823:A *264:16 0.000234678 -12 *1823:A *264:18 0.00011891 -13 *1823:A *287:26 0.000144707 -14 *1823:A *287:28 9.64431e-05 -15 *1823:A *287:30 5.80706e-06 -16 *1830:A *287:20 0.0001374 -17 *1830:A *287:26 7.5519e-05 -18 *216:7 *1780:D 5.49489e-05 -19 *1651:A2 *1830:A 0.000426539 -*RES -1 *1816:X *216:7 14.3357 -2 *216:7 *1823:A 29.7107 -3 *216:7 *1830:A 22.1214 -*END - -*D_NET *217 0.00272089 -*CONN -*I *1549:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1817:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *1549:A0 0.00108466 -2 *1817:X 0.00108466 -3 *1549:A0 *1549:S 0.000299648 -4 *1549:A0 *224:8 0.000138056 -5 *1549:A0 *224:12 1.16215e-05 -6 *1549:A0 *300:49 0 -7 *1549:A0 *326:15 0.000102247 -8 *3:8 *1549:A0 0 -*RES -1 *1817:X *1549:A0 44.5107 -*END - -*D_NET *218 0.0037401 -*CONN -*I *1780:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *1779:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *1783:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *1818:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *1780:CLK 0.000163699 -2 *1779:CLK 0.000218597 -3 *1783:CLK 0.000359942 -4 *1818:X 0.000235172 -5 *218:12 0.000824815 -6 *218:9 0.000645148 -7 *1779:CLK *1811:A 6.02061e-05 -8 *1779:CLK *304:50 1.47977e-05 -9 *1780:CLK *1780:D 7.4854e-05 -10 *1780:CLK *1783:D 0.000123559 -11 *1783:CLK *1783:D 7.77751e-05 -12 *218:9 *1756:CLK 0 -13 *218:9 *1756:RESET_B 7.68681e-05 -14 *218:9 *1780:RESET_B 2.49027e-05 -15 *218:9 *1783:D 6.61193e-05 -16 *218:9 *232:11 8.95645e-05 -17 *218:12 *1780:RESET_B 0.00011157 -18 *218:12 *304:50 2.19778e-05 -19 *7:16 *1780:CLK 0.000329746 -20 *7:16 *218:9 0.000220789 -*RES -1 *1818:X *218:9 24.4786 -2 *218:9 *218:12 9.48214 -3 *218:12 *1783:CLK 19.675 -4 *218:12 *1779:CLK 18.0679 -5 *218:9 *1780:CLK 15.175 -*END - -*D_NET *219 0.00638623 -*CONN -*I *1805:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *1808:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *1804:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *1807:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *1819:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *1805:CLK 9.73752e-05 -2 *1808:CLK 2.6987e-05 -3 *1804:CLK 0.000893107 -4 *1807:CLK 7.00465e-05 -5 *1819:X 0.000418558 -6 *219:14 0.00107561 -7 *219:11 0.000308198 -8 *219:8 0.000684691 -9 *1804:CLK *1804:D 5.01883e-05 -10 *1804:CLK *1806:RESET_B 0.000180951 -11 *1804:CLK *1809:D 0.000123637 -12 *1804:CLK *1812:A 0.000454677 -13 *1804:CLK *318:9 8.6097e-05 -14 *1805:CLK *1778:D 0.000137939 -15 *1805:CLK *1805:SET_B 0.000139391 -16 *1805:CLK *319:24 3.34366e-05 -17 *1808:CLK *1808:SET_B 4.82947e-05 -18 *1808:CLK *319:21 8.44071e-05 -19 *219:8 *1805:SET_B 3.27526e-05 -20 *219:8 *303:8 0.000161367 -21 *219:8 *319:24 3.34295e-05 -22 *219:11 *1808:SET_B 7.02611e-05 -23 *219:11 *303:210 4.58194e-05 -24 *219:11 *319:21 0.000393943 -25 *219:14 *1812:A 0.000365321 -26 *1818:A *219:8 0 -27 *1819:A *1804:CLK 2.69483e-05 -28 *1819:A *219:14 0.00019418 -29 *1825:A *1805:CLK 0 -30 *212:8 *1804:CLK 0.000148622 -*RES -1 *1819:X *219:8 20.7643 -2 *219:8 *219:11 8.73214 -3 *219:11 *219:14 9.17857 -4 *219:14 *1807:CLK 14.7464 -5 *219:14 *1804:CLK 29.175 -6 *219:11 *1808:CLK 10.2464 -7 *219:8 *1805:CLK 16.5857 -*END - -*D_NET *220 0.00132714 -*CONN -*I *1715:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1820:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *1715:A1 0.000556768 -2 *1820:X 0.000556768 -3 *1715:A1 *1548:A0 0 -4 *1715:A1 *1715:S 7.6644e-05 -5 *1813:A *1715:A1 0.000136958 -*RES -1 *1820:X *1715:A1 34.5107 -*END - -*D_NET *221 0.0170501 -*CONN -*I *1773:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *1756:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *1800:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *1748:A I *D sky130_fd_sc_hd__inv_4 -*I *1774:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1772:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *1767:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 -*I *1771:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 -*I *1769:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 -*I *1599:A I *D sky130_fd_sc_hd__inv_4 -*I *1765:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 -*I *1822:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *1773:CLK 0 -2 *1756:CLK 0.00120073 -3 *1800:CLK 0 -4 *1748:A 0.000476085 -5 *1774:CLK 5.06477e-05 -6 *1772:CLK 0 -7 *1767:CLK_N 0.000387883 -8 *1771:CLK_N 0 -9 *1769:CLK_N 0 -10 *1599:A 0.00037237 -11 *1765:CLK_N 0.000270211 -12 *1822:X 0 -13 *221:79 0.00132602 -14 *221:70 0.000909207 -15 *221:51 0.000819863 -16 *221:44 0.000834544 -17 *221:43 0.000659747 -18 *221:41 0.00121074 -19 *221:16 0.000907004 -20 *221:14 0.000517148 -21 *221:11 0.001275 -22 *221:4 0.00223301 -23 *1748:A *249:22 0.000271345 -24 *1756:CLK *1547:A0 7.17807e-05 -25 *1756:CLK *1756:D 0.000179519 -26 *1756:CLK *1756:RESET_B 0 -27 *1756:CLK *326:15 1.14102e-05 -28 *1767:CLK_N *1767:RESET_B 2.48941e-05 -29 *1767:CLK_N *239:11 9.29708e-05 -30 *1767:CLK_N *301:43 0.000398711 -31 *1767:CLK_N *301:56 0.000113356 -32 *1774:CLK *298:13 5.52302e-05 -33 *221:11 *1771:RESET_B 4.85567e-05 -34 *221:44 *1739:B1 0 -35 *221:44 *298:6 0.000148223 -36 *221:44 *298:8 0.000171788 -37 *221:44 *298:13 0.000147631 -38 *221:51 *299:81 0 -39 *221:51 *301:56 0.000204589 -40 *221:70 *1739:B1 0 -41 *221:70 *301:136 0 -42 *221:79 *301:136 0 -43 *1547:S *1756:CLK 0.000121891 -44 *1627:A1 *221:41 0.000200129 -45 *1627:A2 *221:41 9.46929e-05 -46 *1629:A *221:41 3.18676e-05 -47 *1707:B2 *221:44 0.000369139 -48 *1707:B2 *221:51 0.00020154 -49 *1769:D *221:14 4.26814e-05 -50 *1769:D *221:16 1.25924e-05 -51 *1773:D *221:44 0 -52 *147:18 *1756:CLK 0.00019199 -53 *147:18 *221:44 7.81252e-05 -54 *147:18 *221:70 0.00019548 -55 *147:18 *221:79 7.13014e-05 -56 *147:22 *221:44 4.84857e-05 -57 *218:9 *1756:CLK 0 -*RES -1 *1822:X *221:4 9.3 -2 *221:4 *221:11 12.3929 -3 *221:11 *221:14 9.48214 -4 *221:14 *221:16 4.98214 -5 *221:16 *1765:CLK_N 18.7821 -6 *221:16 *1599:A 19.675 -7 *221:14 *1769:CLK_N 13.8 -8 *221:11 *1771:CLK_N 9.3 -9 *221:4 *221:41 20.6607 -10 *221:41 *221:43 4.5 -11 *221:43 *221:44 9.83929 -12 *221:44 *221:51 13.4643 -13 *221:51 *1767:CLK_N 18.8714 -14 *221:51 *1772:CLK 9.3 -15 *221:44 *1774:CLK 14.7464 -16 *221:43 *221:70 7.10714 -17 *221:70 *1748:A 22.1393 -18 *221:70 *221:79 2.85714 -19 *221:79 *1800:CLK 13.8 -20 *221:79 *1756:CLK 32.6214 -21 *221:41 *1773:CLK 9.3 -*END - -*D_NET *222 0.0146209 -*CONN -*I *1792:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 -*I *1602:A I *D sky130_fd_sc_hd__inv_4 -*I *1790:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 -*I *1794:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 -*I *1797:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *1785:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *1786:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *1798:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *1799:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1796:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 -*I *1751:A I *D sky130_fd_sc_hd__inv_4 -*I *1823:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *1792:CLK_N 3.7707e-05 -2 *1602:A 0.000489037 -3 *1790:CLK_N 2.59761e-05 -4 *1794:CLK_N 0 -5 *1797:CLK 0 -6 *1785:CLK 0.000254801 -7 *1786:CLK 0 -8 *1798:CLK 7.343e-05 -9 *1799:CLK 7.41284e-05 -10 *1796:CLK_N 2.22847e-05 -11 *1751:A 0 -12 *1823:X 0.000931215 -13 *222:66 0.00046239 -14 *222:57 0.000416173 -15 *222:48 0.000815869 -16 *222:37 0.000839103 -17 *222:31 0.00090426 -18 *222:25 0.000936314 -19 *222:20 0.000518912 -20 *222:18 0.000824928 -21 *222:17 0.00109603 -22 *222:13 0.00105359 -23 *1602:A *1791:CLK 0.000180321 -24 *1785:CLK *1719:B 0.000145007 -25 *1785:CLK *1720:B 9.84729e-05 -26 *1785:CLK *273:8 5.80217e-05 -27 *1790:CLK_N *320:24 2.85321e-05 -28 *1798:CLK *287:134 4.884e-05 -29 *1799:CLK *1642:B 6.82912e-05 -30 *222:13 *1792:RESET_B 1.39711e-05 -31 *222:13 *265:5 0.000318479 -32 *222:13 *265:17 8.51331e-05 -33 *222:25 *1795:CLK 3.11088e-05 -34 *222:31 *1797:RESET_B 0.000127806 -35 *222:31 *303:66 0.00010906 -36 *222:31 *303:72 5.33005e-05 -37 *222:37 *1732:A1 0 -38 *222:37 *1796:RESET_B 5.04068e-05 -39 *222:48 *296:12 0.00016926 -40 *222:48 *303:100 0.000180764 -41 *222:57 *1685:A2 2.09897e-05 -42 *222:57 *288:43 0.000186451 -43 *222:57 *288:47 2.23987e-05 -44 *222:57 *296:8 0 -45 *222:57 *296:12 0.000204447 -46 *222:66 *288:43 0.000326514 -47 *1615:A1 *222:18 0 -48 *1729:B1 *222:13 0.000141891 -49 *1730:A1 *222:31 7.62237e-05 -50 *1730:S *222:31 0.000443302 -51 *1733:A1 *222:48 0.00018372 -52 *1735:B1 *222:48 0.000171656 -53 *1735:B1 *222:57 0.000136184 -54 *1792:D *222:13 0.000190493 -55 *1794:D *222:31 3.71665e-05 -56 *1797:D *222:37 0.000177137 -57 *1797:D *222:48 0.000313018 -58 *1798:D *222:57 0.000126769 -59 *1799:D *1799:CLK 6.05161e-06 -60 *136:15 *222:20 0 -61 *136:15 *222:25 0 -62 *139:28 *222:13 0.000313527 -63 *176:8 *1785:CLK 0 -*RES -1 *1823:X *222:13 34.55 -2 *222:13 *222:17 5.44643 -3 *222:17 *222:18 9.23214 -4 *222:18 *222:20 4.98214 -5 *222:20 *222:25 8.82143 -6 *222:25 *1751:A 9.3 -7 *222:25 *222:31 14.0893 -8 *222:31 *222:37 13.5357 -9 *222:37 *1796:CLK_N 9.72857 -10 *222:37 *222:48 17.1429 -11 *222:48 *1799:CLK 15.1571 -12 *222:48 *222:57 4.98214 -13 *222:57 *1798:CLK 15.1571 -14 *222:57 *222:66 4.98214 -15 *222:66 *1786:CLK 13.8 -16 *222:66 *1785:CLK 19.425 -17 *222:31 *1797:CLK 9.3 -18 *222:20 *1794:CLK_N 13.8 -19 *222:18 *1790:CLK_N 14.3357 -20 *222:17 *1602:A 23.175 -21 *222:13 *1792:CLK_N 10.0321 -*END - -*D_NET *223 0.00530892 -*CONN -*I *1551:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1824:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *1551:A0 0.00107139 -2 *1824:X 0.000388019 -3 *223:8 0.00145941 -4 *1551:A0 *1684:A 0.00162167 -5 *1551:A0 *1846:A 0.000403613 -6 *1551:A0 *1857:A 1.47972e-05 -7 *1551:A0 *227:15 0.000272612 -8 *223:8 *262:12 7.74068e-05 -9 *7:15 *223:8 0 -*RES -1 *1824:X *223:8 25.1036 -2 *223:8 *1551:A0 35.3179 -*END - -*D_NET *224 0.00384018 -*CONN -*I *1778:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *1549:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1781:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *1782:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *1825:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *1778:CLK 2.65769e-05 -2 *1549:A1 0 -3 *1781:CLK 0.000767734 -4 *1782:CLK 2.72512e-05 -5 *1825:X 0.000287132 -6 *224:16 0.000866742 -7 *224:12 0.000274032 -8 *224:8 0.000515985 -9 *1778:CLK *1778:RESET_B 5.20751e-05 -10 *1778:CLK *1837:A 5.07859e-05 -11 *1781:CLK *349:DIODE 7.25873e-06 -12 *1781:CLK *1781:D 3.42197e-05 -13 *1781:CLK *1781:RESET_B 2.29097e-05 -14 *1781:CLK *1782:D 0.000175425 -15 *1781:CLK *230:7 2.85321e-05 -16 *1781:CLK *231:10 5.47809e-05 -17 *1781:CLK *304:47 0.000182899 -18 *1781:CLK *326:15 0 -19 *1782:CLK *231:10 1.93007e-05 -20 *224:8 *1549:S 6.97424e-05 -21 *224:8 *304:42 0 -22 *224:12 *1549:S 0.000173021 -23 *224:12 *304:42 0 -24 *224:12 *326:15 1.02504e-05 -25 *224:16 *326:15 4.38511e-05 -26 *1549:A0 *224:8 0.000138056 -27 *1549:A0 *224:12 1.16215e-05 -*RES -1 *1825:X *224:8 19.7286 -2 *224:8 *224:12 4.10714 -3 *224:12 *224:16 6.14286 -4 *224:16 *1782:CLK 9.83571 -5 *224:16 *1781:CLK 33.0143 -6 *224:12 *1549:A1 13.8 -7 *224:8 *1778:CLK 14.7464 -*END - -*D_NET *225 0.0045824 -*CONN -*I *1551:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1806:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *1809:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *1826:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *1551:A1 0.000329963 -2 *1806:CLK 2.77589e-05 -3 *1809:CLK 0.000584672 -4 *1826:X 0.000124361 -5 *225:17 0.000460896 -6 *225:8 0.000812206 -7 *1551:A1 *1752:D 7.3988e-05 -8 *1551:A1 *227:15 5.52302e-05 -9 *1809:CLK *1806:RESET_B 0.000265634 -10 *1809:CLK *1809:D 0.000689512 -11 *1809:CLK *1809:RESET_B 0.000227539 -12 *1809:CLK *227:15 0.000128886 -13 *225:8 *1778:D 3.11088e-05 -14 user_clk *1551:A1 0.000268554 -15 *1825:A *1551:A1 2.80728e-05 -16 *1825:A *1806:CLK 2.85321e-05 -17 *1825:A *225:8 7.57174e-05 -18 *1825:A *225:17 0.000105416 -19 *1828:A *1809:CLK 0.000264353 -*RES -1 *1826:X *225:8 16.2821 -2 *225:8 *1809:CLK 29.1214 -3 *225:8 *225:17 2.55357 -4 *225:17 *1806:CLK 14.3357 -5 *225:17 *1551:A1 21.1393 -*END - -*D_NET *226 0.00143668 -*CONN -*I *1548:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1827:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *1548:A0 0.000465884 -2 *1827:X 0.000465884 -3 *1548:A0 *1548:A1 0.000270011 -4 *1548:A0 *1548:S 0.000135863 -5 *1548:A0 *304:35 9.90431e-05 -6 *1715:A1 *1548:A0 0 -*RES -1 *1827:X *1548:A0 37.7071 -*END - -*D_NET *227 0.00499064 -*CONN -*I *1597:A I *D sky130_fd_sc_hd__inv_4 -*I *1746:A I *D sky130_fd_sc_hd__inv_4 -*I *1828:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *1597:A 0 -2 *1746:A 0.000219849 -3 *1828:X 0.000947331 -4 *227:15 0.00116718 -5 *1746:A *1846:A 0.000322246 -6 *1746:A *304:42 4.87854e-05 -7 *227:15 *1551:S 0.000184745 -8 *227:15 *1752:CLK 0.000183445 -9 *227:15 *1809:D 9.18429e-05 -10 *227:15 *1846:A 9.11794e-05 -11 *227:15 *1858:A 0.000609699 -12 *227:15 *303:6 0.000667611 -13 *1551:A0 *227:15 0.000272612 -14 *1551:A1 *227:15 5.52302e-05 -15 *1809:CLK *227:15 0.000128886 -*RES -1 *1828:X *227:15 40.8714 -2 *227:15 *1746:A 14.7643 -3 *227:15 *1597:A 9.3 -*END - -*D_NET *228 0.0180893 -*CONN -*I *1761:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *1777:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *1759:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *1784:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *1776:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *1775:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *1757:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *1763:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *1547:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1764:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *1762:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *1760:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *1749:A I *D sky130_fd_sc_hd__inv_4 -*I *1829:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *1761:CLK 0 -2 *1777:CLK 0.000323605 -3 *1759:CLK 0.00023628 -4 *1784:CLK 0 -5 *1776:CLK 0 -6 *1775:CLK 0 -7 *1757:CLK 0.000304366 -8 *1763:CLK 0 -9 *1547:A1 0.000570143 -10 *1764:CLK 0.00027998 -11 *1762:CLK 0 -12 *1760:CLK 0.000164588 -13 *1749:A 0 -14 *1829:X 0.000509412 -15 *228:110 0.000859105 -16 *228:105 0.0011726 -17 *228:98 0.000492251 -18 *228:91 0.000549375 -19 *228:75 0.000821377 -20 *228:52 0.00124656 -21 *228:51 0.00119821 -22 *228:41 0.00110647 -23 *228:34 0.00149465 -24 *228:29 0.000680854 -25 *228:15 0.000632426 -26 *228:8 0.000686039 -27 *1547:A1 *1547:A0 2.85321e-05 -28 *1547:A1 *1623:B1 0.000223675 -29 *1547:A1 *1835:A 4.84159e-05 -30 *1547:A1 *232:11 9.36286e-05 -31 *1547:A1 *232:20 5.74499e-06 -32 *1547:A1 *292:35 0.000310294 -33 *1547:A1 *292:44 2.74737e-05 -34 *1547:A1 *293:28 0.000354511 -35 *1757:CLK *1548:S 3.67279e-06 -36 *1757:CLK *293:226 0 -37 *1759:CLK *300:30 0.000270646 -38 *1760:CLK *1760:D 0.000147136 -39 *1764:CLK *292:44 0 -40 *1764:CLK *299:8 2.72055e-05 -41 *1764:CLK *299:12 5.96888e-05 -42 *1764:CLK *301:119 0.00016712 -43 *228:8 *1619:A0 1.60328e-05 -44 *228:51 *1762:D 1.64343e-05 -45 *228:51 *232:25 0.000398453 -46 *228:52 *248:11 0 -47 *228:52 *293:10 0 -48 *228:52 *299:8 0.000150201 -49 *228:75 *293:10 0 -50 *228:75 *295:24 0 -51 *228:110 *1634:A 0.000203741 -52 *228:110 *1634:B 2.61918e-05 -53 *228:110 *1667:B 0.000349784 -54 *228:110 *1712:A 0.000140243 -55 *228:110 *233:18 0.00013723 -56 *228:110 *235:13 4.10872e-05 -57 *377:DIODE *1759:CLK 8.32875e-05 -58 *1619:A1 *228:8 0.000354073 -59 *1695:B1 *1547:A1 8.34321e-05 -60 *1697:A2 *1547:A1 0.000399782 -61 *1763:D *1757:CLK 4.57538e-05 -62 *1770:D *228:8 0.000186765 -63 *1775:D *228:91 0 -64 *1775:D *228:98 0 -65 *1776:D *228:105 3.71609e-05 -66 *1815:A *1547:A1 0.000180202 -67 *154:12 *228:110 0.000143375 -68 *215:9 *1764:CLK 0 -*RES -1 *1829:X *228:8 23.2643 -2 *228:8 *1749:A 13.8 -3 *228:8 *228:15 3.16071 -4 *228:15 *1760:CLK 16.8179 -5 *228:15 *228:29 9.71429 -6 *228:29 *228:34 11.3571 -7 *228:34 *228:41 17.9107 -8 *228:41 *1762:CLK 9.3 -9 *228:41 *228:51 9.98214 -10 *228:51 *228:52 7.41071 -11 *228:52 *1764:CLK 19.6571 -12 *228:52 *1547:A1 27.9071 -13 *228:51 *228:75 13 -14 *228:75 *1763:CLK 9.3 -15 *228:75 *1757:CLK 23.5143 -16 *228:34 *228:91 5.28571 -17 *228:91 *1775:CLK 13.8 -18 *228:91 *228:98 4.98214 -19 *228:98 *1776:CLK 13.8 -20 *228:98 *228:105 4.375 -21 *228:105 *228:110 18.0893 -22 *228:110 *1784:CLK 9.3 -23 *228:110 *1759:CLK 23.675 -24 *228:105 *1777:CLK 19.6214 -25 *228:29 *1761:CLK 9.3 -*END - -*D_NET *229 0.0116857 -*CONN -*I *1801:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *1802:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *1788:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *1550:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1787:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *1803:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *1758:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *1789:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *1750:A I *D sky130_fd_sc_hd__inv_4 -*I *1830:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *1801:CLK 0 -2 *1802:CLK 6.17906e-05 -3 *1788:CLK 0 -4 *1550:A1 6.64239e-05 -5 *1787:CLK 0 -6 *1803:CLK 3.4439e-05 -7 *1758:CLK 0 -8 *1789:CLK 0.000206083 -9 *1750:A 0.00050418 -10 *1830:X 0.000299565 -11 *229:73 0.000289755 -12 *229:67 0.00140593 -13 *229:55 0.00130598 -14 *229:29 0.000316528 -15 *229:26 0.000667142 -16 *229:22 0.000620762 -17 *229:8 0.000720778 -18 *229:7 0.00047925 -19 *1750:A *1586:A 5.49489e-05 -20 *1750:A *1609:A2 5.49544e-05 -21 *1750:A *1650:B 3.51442e-05 -22 *1750:A *1758:SET_B 0.0007478 -23 *1750:A *275:26 0.000148196 -24 *1789:CLK *1789:RESET_B 3.69047e-06 -25 *1789:CLK *288:19 0.000149588 -26 *1789:CLK *289:14 0 -27 *1789:CLK *303:162 1.84776e-05 -28 *1789:CLK *303:165 0.000380411 -29 *1802:CLK *1802:RESET_B 0.000169763 -30 *1803:CLK *1803:RESET_B 1.24368e-05 -31 *229:8 *1650:B 0.000239197 -32 *229:22 *1649:A 2.84109e-05 -33 *229:26 *1649:A 3.41517e-06 -34 *229:26 *1787:D 0.00035441 -35 *229:26 *274:11 7.07804e-05 -36 *229:29 *376:DIODE 4.80729e-05 -37 *229:29 *288:19 0.000349953 -38 *229:29 *303:165 0.000311968 -39 *229:55 *1650:B 9.10894e-05 -40 *229:67 *1801:SET_B 0.00016033 -41 *229:67 *1857:A 0 -42 *229:67 *302:57 0 -43 *229:73 *1802:RESET_B 0.000211116 -44 *229:73 *262:12 7.69499e-05 -45 *1651:B1 *1550:A1 0.000141734 -46 *1686:A1 *1789:CLK 2.59355e-05 -47 *1687:A2 *1789:CLK 4.93722e-05 -48 *1788:D *1802:CLK 0.000221667 -49 *1788:D *229:73 0.000184375 -50 *1824:A *229:67 0.000226867 -51 *130:8 *1750:A 0.000105796 -52 *176:8 *1789:CLK 3.02801e-05 -*RES -1 *1830:X *229:7 17.2107 -2 *229:7 *229:8 3.16071 -3 *229:8 *1750:A 26.4607 -4 *229:8 *229:22 6.26786 -5 *229:22 *229:26 9.17857 -6 *229:26 *229:29 9.17857 -7 *229:29 *1789:CLK 19.3179 -8 *229:29 *1758:CLK 13.8 -9 *229:26 *1803:CLK 9.83571 -10 *229:22 *1787:CLK 9.3 -11 *229:7 *229:55 1.33929 -12 *229:55 *1550:A1 15.1571 -13 *229:55 *229:67 26.1607 -14 *229:67 *229:73 15.0714 -15 *229:73 *1788:CLK 9.3 -16 *229:73 *1802:CLK 12.3 -17 *229:67 *1801:CLK 9.3 -*END - -*D_NET *230 0.00557893 -*CONN -*I *1837:A I *D sky130_fd_sc_hd__buf_4 -*I *1838:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1781:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *1837:A 0.00160595 -2 *1838:A 0.000406474 -3 *1781:Q 4.17337e-05 -4 *230:7 0.00205416 -5 *1837:A *1778:D 0.000143819 -6 *1837:A *1778:RESET_B 6.20932e-05 -7 *1837:A *1811:A 0 -8 *1837:A *1814:A 7.58841e-05 -9 *1837:A *293:226 0.000110245 -10 *1837:A *304:42 0.000153462 -11 *1837:A *304:47 8.73692e-05 -12 *1837:A *326:15 0.000401103 -13 *1838:A *1811:A 0.000138854 -14 *1838:A *232:11 6.05161e-06 -15 *1838:A *304:47 5.40835e-05 -16 *1838:A *304:50 5.48645e-05 -17 *1838:A *320:24 0.000103464 -18 *1778:CLK *1837:A 5.07859e-05 -19 *1781:CLK *230:7 2.85321e-05 -*RES -1 *1781:Q *230:7 14.3357 -2 *230:7 *1838:A 23.1036 -3 *230:7 *1837:A 38.1036 -*END - -*D_NET *231 0.0084452 -*CONN -*I *1836:A I *D sky130_fd_sc_hd__buf_4 -*I *1692:B I *D sky130_fd_sc_hd__nand2b_1 -*I *1691:A_N I *D sky130_fd_sc_hd__nand2b_1 -*I *1761:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *1567:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1782:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *1836:A 0 -2 *1692:B 0.000103842 -3 *1691:A_N 5.34285e-05 -4 *1761:D 0.00026968 -5 *1567:A0 8.19132e-05 -6 *1782:Q 0.000876017 -7 *231:33 0.00042262 -8 *231:32 0.000546371 -9 *231:19 0.00141467 -10 *231:10 0.00186576 -11 *1691:A_N *1760:D 0.000224507 -12 *1691:A_N *304:19 0.000224507 -13 *1692:B *1692:A_N 4.85942e-05 -14 *231:10 *1547:A0 0.00014925 -15 *231:10 *326:15 0.00014925 -16 *231:19 *350:DIODE 3.73361e-05 -17 *231:19 *1624:B1 0.00014394 -18 *231:19 *292:7 7.60904e-05 -19 *231:19 *295:17 5.50052e-05 -20 *231:19 *295:24 0.000772387 -21 *231:32 *304:19 0.000197112 -22 *231:33 *1692:A_N 5.23822e-05 -23 *1567:S *231:19 0.00053178 -24 *1647:B1 *231:19 7.46763e-05 -25 *1781:CLK *231:10 5.47809e-05 -26 *1782:CLK *231:10 1.93007e-05 -*RES -1 *1782:Q *231:10 29.6125 -2 *231:10 *231:19 23 -3 *231:19 *1567:A0 10.675 -4 *231:19 *231:32 16.1071 -5 *231:32 *231:33 1.76786 -6 *231:33 *1761:D 13.5723 -7 *231:33 *1691:A_N 21.1571 -8 *231:32 *1692:B 11.6438 -9 *231:10 *1836:A 9.3 -*END - -*D_NET *232 0.00698518 -*CONN -*I *1835:A I *D sky130_fd_sc_hd__buf_4 -*I *1622:A I *D sky130_fd_sc_hd__nor2_1 -*I *1568:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1762:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *1783:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *1835:A 3.68263e-05 -2 *1622:A 4.47919e-05 -3 *1568:A0 0.000291075 -4 *1762:D 7.08349e-06 -5 *1783:Q 0.000725286 -6 *232:25 0.000875446 -7 *232:20 0.0012414 -8 *232:11 0.00138143 -9 *1622:A *1622:B 1.8461e-05 -10 *1622:A *1624:A1 2.23592e-05 -11 *1835:A *291:98 2.48034e-05 -12 *232:11 *1547:A0 5.66971e-05 -13 *232:11 *1756:D 0.000215701 -14 *232:11 *1756:RESET_B 3.78615e-05 -15 *232:11 *1811:A 4.46231e-06 -16 *232:11 *320:24 0.000181529 -17 *232:20 *1624:A1 0.00019913 -18 *232:20 *291:98 0.000127575 -19 *232:20 *291:101 0.000385304 -20 *232:25 *1624:A1 2.59355e-05 -21 *232:25 *248:11 7.57312e-05 -22 *1547:A1 *1835:A 4.84159e-05 -23 *1547:A1 *232:11 9.36286e-05 -24 *1547:A1 *232:20 5.74499e-06 -25 *1567:S *1568:A0 0.000175952 -26 *1695:A2 *232:25 6.258e-05 -27 *1815:A *232:11 7.93534e-05 -28 *1838:A *232:11 6.05161e-06 -29 *7:16 *232:11 6.92966e-06 -30 *46:8 *1568:A0 2.31791e-05 -31 *146:12 *232:20 0 -32 *218:9 *232:11 8.95645e-05 -33 *228:51 *1762:D 1.64343e-05 -34 *228:51 *232:25 0.000398453 -*RES -1 *1783:Q *232:11 32.675 -2 *232:11 *232:20 20.6429 -3 *232:20 *232:25 11.3571 -4 *232:25 *1762:D 9.46518 -5 *232:25 *1568:A0 23.8179 -6 *232:20 *1622:A 10.2643 -7 *232:11 *1835:A 10.675 -*END - -*D_NET *233 0.00593372 -*CONN -*I *1688:D I *D sky130_fd_sc_hd__nand4bb_1 -*I *1635:A I *D sky130_fd_sc_hd__nand2_1 -*I *1588:A I *D sky130_fd_sc_hd__clkinv_2 -*I *1710:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1667:B I *D sky130_fd_sc_hd__xnor2_1 -*I *1713:A3 I *D sky130_fd_sc_hd__o31ai_1 -*I *1712:C I *D sky130_fd_sc_hd__nor4_1 -*I *1775:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *1688:D 0.000247083 -2 *1635:A 0 -3 *1588:A 0 -4 *1710:A1 0 -5 *1667:B 0.000320609 -6 *1713:A3 9.07372e-05 -7 *1712:C 0 -8 *1775:Q 5.12523e-05 -9 *233:58 0.000471659 -10 *233:46 0.000258605 -11 *233:18 0.000237837 -12 *233:14 0.000693852 -13 *233:9 0.00034282 -14 *233:8 0.000201957 -15 *1667:B *300:11 4.45204e-05 -16 *1713:A3 *1713:A2 0.000161464 -17 *1713:A3 *1713:B1 1.08936e-05 -18 *1713:A3 *234:29 3.43995e-05 -19 *233:8 *304:19 0.000153047 -20 *233:9 *295:38 9.41642e-05 -21 *233:14 *1775:SET_B 0.00024495 -22 *233:14 *234:8 0.0002434 -23 *233:14 *234:29 0.000124083 -24 *233:14 *295:54 8.22749e-05 -25 *233:14 *295:61 5.99728e-05 -26 *233:18 *234:29 3.56335e-05 -27 *233:46 *295:38 0.000142856 -28 *233:58 *295:38 0.000272822 -29 *1567:A1 *233:8 0.000104974 -30 *1567:A1 *233:46 5.33433e-05 -31 *1635:B *233:58 5.68722e-05 -32 *1688:C *1688:D 0.000398815 -33 *1688:C *233:58 2.74192e-05 -34 *1690:A *1688:D 5.71472e-05 -35 *1711:A0 *233:8 0 -36 *1714:A_N *1713:A3 0.000127246 -37 *154:12 *1667:B 0 -38 *228:110 *1667:B 0.000349784 -39 *228:110 *233:18 0.00013723 -*RES -1 *1775:Q *233:8 20.2464 -2 *233:8 *233:9 1.76786 -3 *233:9 *233:14 15.8036 -4 *233:14 *233:18 6.58929 -5 *233:18 *1712:C 13.8 -6 *233:18 *1713:A3 16.3536 -7 *233:14 *1667:B 23.3536 -8 *233:9 *1710:A1 9.3 -9 *233:8 *233:46 1.35714 -10 *233:46 *1588:A 9.3 -11 *233:46 *233:58 5.21429 -12 *233:58 *1635:A 9.3 -13 *233:58 *1688:D 23.0679 -*END - -*D_NET *234 0.00346417 -*CONN -*I *1713:A2 I *D sky130_fd_sc_hd__o31ai_1 -*I *1634:A I *D sky130_fd_sc_hd__nor2_1 -*I *1712:B I *D sky130_fd_sc_hd__nor4_1 -*I *1711:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1667:A I *D sky130_fd_sc_hd__xnor2_1 -*I *1776:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *1713:A2 0.000119653 -2 *1634:A 0.000127641 -3 *1712:B 0 -4 *1711:A1 0 -5 *1667:A 0.000178952 -6 *1776:Q 0.000270592 -7 *234:31 0.000127641 -8 *234:29 0.000274943 -9 *234:11 0.000211052 -10 *234:8 0.000457981 -11 *1634:A *1634:B 0.000139026 -12 *1634:A *1712:A 0.000140243 -13 *1634:A *235:13 0.000139677 -14 *234:8 *1776:RESET_B 1.39711e-05 -15 *234:8 *300:11 2.42516e-05 -16 *234:29 *295:61 8.69373e-06 -17 *1711:A0 *1667:A 0.000396898 -18 *1711:A0 *234:11 1.98839e-05 -19 *1713:A3 *1713:A2 0.000161464 -20 *1713:A3 *234:29 3.43995e-05 -21 *1714:B *1713:A2 1.03449e-05 -22 *228:110 *1634:A 0.000203741 -23 *233:14 *234:8 0.0002434 -24 *233:14 *234:29 0.000124083 -25 *233:18 *234:29 3.56335e-05 -*RES -1 *1776:Q *234:8 18.7286 -2 *234:8 *234:11 5.03571 -3 *234:11 *1667:A 13.55 -4 *234:11 *1711:A1 9.3 -5 *234:8 *234:29 3.21429 -6 *234:29 *234:31 4.5 -7 *234:31 *1712:B 9.3 -8 *234:31 *1634:A 14.3536 -9 *234:29 *1713:A2 16.3804 -*END - -*D_NET *235 0.0024515 -*CONN -*I *1634:B I *D sky130_fd_sc_hd__nor2_1 -*I *1712:D I *D sky130_fd_sc_hd__nor4_1 -*I *1713:B1 I *D sky130_fd_sc_hd__o31ai_1 -*I *1777:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *1634:B 0.000283627 -2 *1712:D 0 -3 *1713:B1 8.21629e-05 -4 *1777:Q 0.000114586 -5 *235:13 0.000389917 -6 *235:8 0.000705967 -7 *235:8 *1777:RESET_B 0.00011785 -8 *235:8 *300:6 4.66056e-05 -9 *235:8 *300:11 0.000101915 -10 *235:8 *304:19 0.000124749 -11 *1634:A *1634:B 0.000139026 -12 *1634:A *235:13 0.000139677 -13 *1713:A3 *1713:B1 1.08936e-05 -14 *1714:A_N *1713:B1 0.000127246 -15 *228:110 *1634:B 2.61918e-05 -16 *228:110 *235:13 4.10872e-05 -*RES -1 *1777:Q *235:8 21.7643 -2 *235:8 *235:13 4.375 -3 *235:13 *1713:B1 20.2464 -4 *235:13 *1712:D 9.3 -5 *235:8 *1634:B 13.4429 -*END - -*D_NET *236 0.00434289 -*CONN -*I *1547:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1689:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *1688:B_N I *D sky130_fd_sc_hd__nand4bb_1 -*I *1763:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *1547:A0 0.000503501 -2 *1689:B1 0 -3 *1688:B_N 7.56767e-05 -4 *1763:Q 0 -5 *236:11 0.00066122 -6 *236:4 0.00108904 -7 *1547:A0 *326:15 0.000248966 -8 *236:11 *362:DIODE 9.44833e-05 -9 *236:11 *300:55 6.5313e-05 -10 *236:11 *300:57 4.34974e-05 -11 *236:11 *326:15 3.14994e-05 -12 *1547:A1 *1547:A0 2.85321e-05 -13 *1547:S *1547:A0 4.34932e-05 -14 *1644:B *1547:A0 8.08608e-06 -15 *1647:B1 *1547:A0 0.000161514 -16 *1689:A2 *1688:B_N 0.000227687 -17 *1690:A *1688:B_N 0.000196399 -18 *1690:A *236:11 0.000128496 -19 *1690:B *236:11 4.58976e-05 -20 *1756:CLK *1547:A0 7.17807e-05 -21 *147:18 *1547:A0 0.000411858 -22 *231:10 *1547:A0 0.00014925 -23 *232:11 *1547:A0 5.66971e-05 -*RES -1 *1763:Q *236:4 9.3 -2 *236:4 *236:11 20.7232 -3 *236:11 *1688:B_N 12.3 -4 *236:11 *1689:B1 9.3 -5 *236:4 *1547:A0 31.6482 -*END - -*D_NET *237 0.00619642 -*CONN -*I *1698:C I *D sky130_fd_sc_hd__nand3b_1 -*I *1620:C_N I *D sky130_fd_sc_hd__nor3b_2 -*I *1660:B I *D sky130_fd_sc_hd__nor3_1 -*I *1661:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *1659:B I *D sky130_fd_sc_hd__xnor2_1 -*I *1590:A I *D sky130_fd_sc_hd__clkinv_2 -*I *1765:Q O *D sky130_fd_sc_hd__dfrtn_1 -*CAP -1 *1698:C 0.000176045 -2 *1620:C_N 0.000178174 -3 *1660:B 0.000134928 -4 *1661:A2 2.30322e-05 -5 *1659:B 0.000134354 -6 *1590:A 9.38306e-05 -7 *1765:Q 9.33082e-05 -8 *237:47 0.000441719 -9 *237:37 0.000578383 -10 *237:21 0.000595674 -11 *237:8 0.000909731 -12 *237:5 0.00105067 -13 *1660:B *1660:A 7.43578e-06 -14 *1660:B *1660:C 7.80714e-06 -15 *1698:C *1766:SET_B 5.28427e-05 -16 *237:5 *1766:SET_B 0.000126629 -17 *237:8 *292:72 0 -18 *237:8 *293:118 0.000171492 -19 *237:8 *298:20 0 -20 *237:8 *298:38 0 -21 *237:37 *1661:A1 0.000122159 -22 *237:37 *239:35 1.32056e-05 -23 *237:37 *293:106 8.57166e-06 -24 *237:37 *293:108 2.70242e-05 -25 *237:37 *293:118 6.23141e-05 -26 *237:47 *1660:C 0.000171913 -27 *237:47 *239:35 9.99853e-05 -28 *1555:S *237:37 0.000316807 -29 *1556:A0 *1590:A 0.000141935 -30 *1557:A0 *1659:B 4.98872e-05 -31 *1557:A0 *237:8 5.79047e-06 -32 *1557:A0 *237:21 2.6773e-05 -33 *1557:A0 *237:37 2.09897e-05 -34 *1558:A0 *237:8 0.000153047 -35 *1662:A_N *1620:C_N 5.56241e-05 -36 *1662:A_N *1660:B 0.00010326 -37 *1698:B *1698:C 4.10796e-05 -38 *1699:B1 *237:8 0 -*RES -1 *1765:Q *237:5 11.4786 -2 *237:5 *237:8 18.7411 -3 *237:8 *1590:A 15.9696 -4 *237:8 *237:21 0.732143 -5 *237:21 *1659:B 16.4339 -6 *237:21 *237:37 13.2411 -7 *237:37 *1661:A2 9.72857 -8 *237:37 *237:47 7.08929 -9 *237:47 *1660:B 16.3893 -10 *237:47 *1620:C_N 16.6571 -11 *237:5 *1698:C 12.7286 -*END - -*D_NET *238 0.0054954 -*CONN -*I *1632:B I *D sky130_fd_sc_hd__nand2_1 -*I *1620:A I *D sky130_fd_sc_hd__nor3b_2 -*I *1661:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *1660:A I *D sky130_fd_sc_hd__nor3_1 -*I *1659:A I *D sky130_fd_sc_hd__xnor2_1 -*I *1766:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *1632:B 0 -2 *1620:A 6.98855e-05 -3 *1661:A1 5.79808e-05 -4 *1660:A 0.000322025 -5 *1659:A 0.000259623 -6 *1766:Q 0.000452418 -7 *238:16 0.000748095 -8 *238:12 0.000331165 -9 *238:10 0.000488271 -10 *238:8 0.000764066 -11 *1620:A *292:89 1.4796e-05 -12 *1661:A1 *293:106 0.000143816 -13 *238:8 *298:18 0 -14 *238:8 *298:20 0 -15 *238:8 *301:43 0.000170598 -16 *1555:S *1661:A1 5.33005e-05 -17 *1555:S *238:12 0.000124019 -18 *1555:S *238:16 3.15647e-05 -19 *1557:A0 *1659:A 5.26625e-05 -20 *1557:S *1620:A 5.33005e-05 -21 *1660:B *1660:A 7.43578e-06 -22 *48:7 *1620:A 7.62323e-05 -23 *48:17 *238:10 3.14003e-05 -24 *48:17 *238:12 4.73355e-05 -25 *48:26 *238:10 0.000165981 -26 *48:35 *238:8 0.000503283 -27 *48:35 *238:10 0.000403984 -28 *237:37 *1661:A1 0.000122159 -*RES -1 *1766:Q *238:8 22.6839 -2 *238:8 *238:10 7.71429 -3 *238:10 *238:12 2.25 -4 *238:12 *238:16 7.35714 -5 *238:16 *1659:A 13.9429 -6 *238:16 *1660:A 14.7643 -7 *238:12 *1661:A1 16.3893 -8 *238:10 *1620:A 15.5679 -9 *238:8 *1632:B 13.8 -*END - -*D_NET *239 0.005032 -*CONN -*I *1620:B I *D sky130_fd_sc_hd__nor3b_2 -*I *1660:C I *D sky130_fd_sc_hd__nor3_1 -*I *1661:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *1700:C I *D sky130_fd_sc_hd__nand3b_1 -*I *1767:Q O *D sky130_fd_sc_hd__dfrtn_1 -*CAP -1 *1620:B 3.7311e-05 -2 *1660:C 0.000220143 -3 *1661:B1 0 -4 *1700:C 4.8435e-05 -5 *1767:Q 0.000484106 -6 *239:35 0.000448701 -7 *239:26 0.000919594 -8 *239:11 0.00118627 -9 *1660:C *293:106 1.61442e-05 -10 *239:11 *1767:RESET_B 5.00824e-05 -11 *239:11 *291:34 3.75924e-05 -12 *239:11 *301:56 8.43386e-05 -13 *239:26 *292:89 0 -14 *239:35 *293:106 2.94004e-05 -15 *1555:S *239:35 0 -16 *1560:A0 *239:26 0 -17 *1660:B *1660:C 7.80714e-06 -18 *1662:A_N *1660:C 6.74911e-05 -19 *1662:B *1660:C 0.000148514 -20 *1662:B *239:35 7.20217e-06 -21 *1699:A2 *1700:C 9.86146e-05 -22 *1699:A2 *239:11 0.000333436 -23 *1700:B *1700:C 3.86409e-05 -24 *1701:A1 *239:11 0.000201127 -25 *1767:CLK_N *239:11 9.29708e-05 -26 *48:26 *239:26 0 -27 *48:35 *239:26 0 -28 *148:12 *239:11 0 -29 *148:12 *239:26 2.53112e-06 -30 *148:17 *239:26 3.87228e-05 -31 *151:8 *239:11 0.000147725 -32 *237:37 *239:35 1.32056e-05 -33 *237:47 *1660:C 0.000171913 -34 *237:47 *239:35 9.99853e-05 -*RES -1 *1767:Q *239:11 28.3714 -2 *239:11 *1700:C 10.675 -3 *239:11 *239:26 15.7143 -4 *239:26 *239:35 8.66071 -5 *239:35 *1661:B1 9.3 -6 *239:35 *1660:C 23.8536 -7 *239:26 *1620:B 14.3357 -*END - -*D_NET *240 0.00875458 -*CONN -*I *1738:C1 I *D sky130_fd_sc_hd__o2111ai_1 -*I *1664:A I *D sky130_fd_sc_hd__nor3_1 -*I *1665:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *1591:A I *D sky130_fd_sc_hd__clkinv_4 -*I *1663:A I *D sky130_fd_sc_hd__xnor2_1 -*I *1772:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *1738:C1 0.000409143 -2 *1664:A 0.000577375 -3 *1665:A1 0 -4 *1591:A 0 -5 *1663:A 0.000169719 -6 *1772:Q 0 -7 *240:29 0.000888562 -8 *240:11 0.000191723 -9 *240:10 0.0011612 -10 *240:4 0.00123715 -11 *1663:A *1663:B 0.000581357 -12 *1664:A *1664:B 5.19842e-06 -13 *1664:A *1664:C 0.000188826 -14 *1664:A *1665:B1 1.33343e-05 -15 *1738:C1 *1637:B 0.00011712 -16 *1738:C1 *1738:A1 0.000697065 -17 *1738:C1 *1774:RESET_B 1.98839e-05 -18 *1738:C1 *242:12 0.000126859 -19 *1738:C1 *242:47 0.000455345 -20 *240:10 *1774:RESET_B 6.96806e-05 -21 *240:10 *299:81 0.000367454 -22 *240:10 *322:10 0.00010951 -23 *240:11 *1663:B 4.36666e-05 -24 *240:29 *1663:B 0.000258005 -25 *240:29 *1664:B 1.98839e-05 -26 *240:29 *1665:A2 0.000147922 -27 *240:29 *1665:B1 0.000144773 -28 *240:29 *241:12 5.40293e-05 -29 *1564:A0 *240:10 0.000478141 -30 *1666:B *240:29 7.80222e-05 -31 *1707:B2 *240:10 0 -32 *49:6 *240:10 0 -33 *49:19 *240:10 0 -34 *69:8 *1663:A 0.000143633 -*RES -1 *1772:Q *240:4 9.3 -2 *240:4 *240:10 27.4821 -3 *240:10 *240:11 0.535714 -4 *240:11 *1663:A 14.7643 -5 *240:11 *1591:A 9.3 -6 *240:10 *240:29 16.625 -7 *240:29 *1665:A1 9.3 -8 *240:29 *1664:A 27.3714 -9 *240:4 *1738:C1 30.6393 -*END - -*D_NET *241 0.0118434 -*CONN -*I *1637:A I *D sky130_fd_sc_hd__nor2_1 -*I *1706:B I *D sky130_fd_sc_hd__nand2_1 -*I *1638:A I *D sky130_fd_sc_hd__nor3_2 -*I *1664:B I *D sky130_fd_sc_hd__nor3_1 -*I *1663:B I *D sky130_fd_sc_hd__xnor2_1 -*I *1665:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *1773:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *1637:A 0.000195266 -2 *1706:B 0.000381932 -3 *1638:A 0.000150511 -4 *1664:B 0.000401797 -5 *1663:B 0.000208709 -6 *1665:A2 0.000168637 -7 *1773:Q 0 -8 *241:23 0.000610506 -9 *241:12 0.000295601 -10 *241:10 0.00110406 -11 *241:8 0.00153665 -12 *241:4 0.000523396 -13 *1637:A *1637:B 0.000143375 -14 *1638:A *1638:B 0.000484165 -15 *1638:A *322:10 0.000139331 -16 *1664:B *1664:C 1.34631e-05 -17 *1665:A2 *1665:B1 0.00010096 -18 *1706:B *1738:A2 8.88599e-05 -19 *1706:B *1739:B1 9.41642e-05 -20 *1706:B *299:63 0.000164534 -21 *1706:B *299:71 0.000185118 -22 *241:8 *1756:D 6.76337e-05 -23 *241:8 *320:24 6.42035e-05 -24 *241:8 *322:10 1.86838e-05 -25 *241:10 *1564:A1 3.75868e-05 -26 *241:10 *1738:B1 5.24684e-06 -27 *241:10 *1774:RESET_B 0.00014562 -28 *241:10 *292:114 0.000215096 -29 *241:10 *293:167 2.29112e-05 -30 *241:10 *320:24 0.000125528 -31 *241:10 *322:10 0.000279726 -32 *1563:A0 *1663:B 0.000666913 -33 *1563:A0 *1665:A2 9.25014e-06 -34 *1563:A0 *241:10 0.000212882 -35 *1563:A0 *241:12 0.000206975 -36 *1565:A0 *241:10 0 -37 *1663:A *1663:B 0.000581357 -38 *1664:A *1664:B 5.19842e-06 -39 *1666:A_N *1663:B 0 -40 *1666:A_N *1664:B 0.000360394 -41 *1666:B *1664:B 3.24194e-05 -42 *1706:A *1706:B 9.84673e-05 -43 *1709:A2_N *241:10 0.000146261 -44 *1709:B2 *241:10 0.000849894 -45 *1738:D1 *1637:A 0.000141734 -46 *206:9 *1637:A 3.49118e-05 -47 *240:11 *1663:B 4.36666e-05 -48 *240:29 *1663:B 0.000258005 -49 *240:29 *1664:B 1.98839e-05 -50 *240:29 *1665:A2 0.000147922 -51 *240:29 *241:12 5.40293e-05 -*RES -1 *1773:Q *241:4 9.3 -2 *241:4 *241:8 9.14286 -3 *241:8 *241:10 24.7143 -4 *241:10 *241:12 2.85714 -5 *241:12 *1665:A2 17.2107 -6 *241:12 *241:23 4.5 -7 *241:23 *1663:B 18.4786 -8 *241:23 *1664:B 16.5679 -9 *241:10 *1638:A 18.4429 -10 *241:8 *1706:B 22.1571 -11 *241:4 *1637:A 22.55 -*END - -*D_NET *242 0.00805997 -*CONN -*I *1637:B I *D sky130_fd_sc_hd__nor2_1 -*I *1708:B I *D sky130_fd_sc_hd__nand2_1 -*I *1638:B I *D sky130_fd_sc_hd__nor3_2 -*I *1664:C I *D sky130_fd_sc_hd__nor3_1 -*I *1665:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *1774:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1637:B 0.000171742 -2 *1708:B 0.000169847 -3 *1638:B 0.000445993 -4 *1664:C 0.000183732 -5 *1665:B1 0.000210403 -6 *1774:Q 0.000121118 -7 *242:47 0.000563847 -8 *242:14 0.000450835 -9 *242:12 0.00126954 -10 *242:7 0.00111023 -11 *1637:B *1738:A1 1.12578e-05 -12 *1637:B *1738:B1 1.67329e-05 -13 *1638:B *322:10 0.000111329 -14 *1708:B *320:24 7.0071e-05 -15 *242:12 *1738:A1 0.000229651 -16 *242:12 *291:57 4.54426e-05 -17 *1565:A0 *1665:B1 0.00012891 -18 *1565:A0 *242:12 0.000346385 -19 *1565:A0 *242:14 9.16818e-05 -20 *1566:A0 *242:12 0.000268767 -21 *1637:A *1637:B 0.000143375 -22 *1638:A *1638:B 0.000484165 -23 *1664:A *1664:C 0.000188826 -24 *1664:A *1665:B1 1.33343e-05 -25 *1664:B *1664:C 1.34631e-05 -26 *1665:A2 *1665:B1 0.00010096 -27 *1666:A_N *1664:C 0.000194785 -28 *1666:B *1665:B1 3.57844e-05 -29 *1738:C1 *1637:B 0.00011712 -30 *1738:C1 *242:12 0.000126859 -31 *1738:C1 *242:47 0.000455345 -32 *1738:D1 *1637:B 2.36643e-05 -33 *240:29 *1665:B1 0.000144773 -*RES -1 *1774:Q *242:7 15.1571 -2 *242:7 *242:12 17.4821 -3 *242:12 *242:14 1.33929 -4 *242:14 *1665:B1 18.4429 -5 *242:14 *1664:C 18.4786 -6 *242:12 *1638:B 22.1571 -7 *242:7 *242:47 5.89286 -8 *242:47 *1708:B 16.3893 -9 *242:47 *1637:B 17.9429 -*END - -*D_NET *243 0.0042749 -*CONN -*I *1653:B I *D sky130_fd_sc_hd__xnor2_1 -*I *1657:A2 I *D sky130_fd_sc_hd__o21a_1 -*I *1589:A I *D sky130_fd_sc_hd__inv_2 -*I *1702:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1769:Q O *D sky130_fd_sc_hd__dfrtn_1 -*CAP -1 *1653:B 0.000512176 -2 *1657:A2 4.49826e-05 -3 *1589:A 3.6329e-05 -4 *1702:A0 0.000179185 -5 *1769:Q 0.000287503 -6 *243:25 0.000908004 -7 *243:12 0.000215514 -8 *243:10 0.000638349 -9 *1589:A *1621:B 8.59075e-05 -10 *1653:B *1653:A 0.000173544 -11 *1653:B *244:8 5.49657e-05 -12 *1653:B *244:13 0.000186824 -13 *1653:B *301:119 2.89016e-05 -14 *1657:A2 *1621:A 2.84109e-05 -15 *1657:A2 *244:13 6.05161e-06 -16 *1702:A0 *1621:B 0.00031151 -17 *243:25 *244:13 3.02892e-05 -18 *1552:A1 *243:10 0.000170134 -19 *1619:A1 *1653:B 0.000175274 -20 *1702:A1 *1702:A0 6.75952e-05 -21 *1702:A1 *243:10 3.75868e-05 -22 *1702:S *1702:A0 9.58632e-05 -23 *21:20 *243:25 0 -*RES -1 *1769:Q *243:10 19.175 -2 *243:10 *243:12 4.5 -3 *243:12 *1702:A0 13.9429 -4 *243:12 *1589:A 10.2464 -5 *243:10 *243:25 5.89286 -6 *243:25 *1657:A2 14.3357 -7 *243:25 *1653:B 25.8179 -*END - -*D_NET *244 0.0046419 -*CONN -*I *1653:A I *D sky130_fd_sc_hd__xnor2_1 -*I *1619:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1621:A I *D sky130_fd_sc_hd__nor2_2 -*I *1657:A1 I *D sky130_fd_sc_hd__o21a_1 -*I *1770:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *1653:A 0.000171536 -2 *1619:A0 0.000251538 -3 *1621:A 0.000462447 -4 *1657:A1 0 -5 *1770:Q 0.000263674 -6 *244:13 0.000793756 -7 *244:8 0.000686199 -8 *244:7 0.000538564 -9 *1621:A *1657:B1 6.96878e-05 -10 *1653:A *1696:C 5.28427e-05 -11 *1653:A *301:119 1.39841e-05 -12 *1653:A *304:19 0 -13 *244:13 *1657:B1 5.23735e-05 -14 *244:13 *301:90 0 -15 *244:13 *304:19 0 -16 *1619:A1 *1619:A0 0.000183587 -17 *1619:A1 *244:8 5.5764e-05 -18 *1619:A1 *244:13 0.000126272 -19 *1619:S *244:13 0 -20 *1627:A1 *1621:A 0.000116682 -21 *1653:B *1653:A 0.000173544 -22 *1653:B *244:8 5.49657e-05 -23 *1653:B *244:13 0.000186824 -24 *1657:A2 *1621:A 2.84109e-05 -25 *1657:A2 *244:13 6.05161e-06 -26 *1658:A1 *1621:A 0 -27 *1658:B1 *1621:A 0.000272288 -28 *21:20 *244:13 0 -29 *48:35 *1621:A 3.45899e-05 -30 *228:8 *1619:A0 1.60328e-05 -31 *243:25 *244:13 3.02892e-05 -*RES -1 *1770:Q *244:7 16.5946 -2 *244:7 *244:8 2.25 -3 *244:8 *244:13 12.25 -4 *244:13 *1657:A1 9.3 -5 *244:13 *1621:A 28.2286 -6 *244:8 *1619:A0 18.3536 -7 *244:7 *1653:A 18.2107 -*END - -*D_NET *245 0.00418023 -*CONN -*I *1703:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1657:B1 I *D sky130_fd_sc_hd__o21a_1 -*I *1621:B I *D sky130_fd_sc_hd__nor2_2 -*I *1771:Q O *D sky130_fd_sc_hd__dfrtn_1 -*CAP -1 *1703:A0 0.00020021 -2 *1657:B1 0.000281158 -3 *1621:B 0.000456472 -4 *1771:Q 0 -5 *245:17 0.000543565 -6 *245:5 0.000518669 -7 *1621:B *301:12 0.000120727 -8 *1703:A0 *301:10 0.000109336 -9 *245:17 *301:10 6.26756e-05 -10 *245:17 *301:12 2.58997e-05 -11 *1589:A *1621:B 8.59075e-05 -12 *1619:S *1703:A0 3.17148e-05 -13 *1621:A *1657:B1 6.96878e-05 -14 *1628:A1 *1621:B 2.85321e-05 -15 *1702:A0 *1621:B 0.00031151 -16 *1702:A1 *1621:B 0.000226274 -17 *1703:A1 *1703:A0 0.000139931 -18 *1703:S *1703:A0 0.000139625 -19 *21:8 *1621:B 5.36422e-05 -20 *21:20 *1621:B 0.000168169 -21 *21:20 *1703:A0 0.00027654 -22 *21:20 *245:17 0.000174602 -23 *57:7 *1621:B 0.00010301 -24 *244:13 *1657:B1 5.23735e-05 -*RES -1 *1771:Q *245:5 13.8 -2 *245:5 *1621:B 25.425 -3 *245:5 *245:17 2.25 -4 *245:17 *1657:B1 18.4607 -5 *245:17 *1703:A0 19.9607 -*END - -*D_NET *246 0.00175799 -*CONN -*I *1696:C I *D sky130_fd_sc_hd__nand3_1 -*I *1760:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *1696:C 0.000742065 -2 *1760:Q 0.000742065 -3 *1696:C *1760:D 5.28345e-05 -4 *1696:C *301:119 2.48062e-05 -5 *1696:C *304:19 0 -6 *1653:A *1696:C 5.28427e-05 -7 *1696:B *1696:C 0.000143375 -*RES -1 *1760:Q *1696:C 38.7964 -*END - -*D_NET *247 0.000730998 -*CONN -*I *1692:A_N I *D sky130_fd_sc_hd__nand2b_1 -*I *1691:B I *D sky130_fd_sc_hd__nand2b_1 -*I *1761:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *1692:A_N 0.000122976 -2 *1691:B 0.000103564 -3 *1761:Q 0 -4 *247:4 0.000226541 -5 *1691:B *304:19 0.000176941 -6 *1692:B *1692:A_N 4.85942e-05 -7 *231:33 *1692:A_N 5.23822e-05 -*RES -1 *1761:Q *247:4 9.3 -2 *247:4 *1691:B 20.8089 -3 *247:4 *1692:A_N 11.8 -*END - -*D_NET *248 0.00136819 -*CONN -*I *1693:B I *D sky130_fd_sc_hd__nor2_1 -*I *1694:B I *D sky130_fd_sc_hd__and2_1 -*I *1762:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *1693:B 7.66523e-05 -2 *1694:B 0 -3 *1762:Q 0.000214809 -4 *248:11 0.000291461 -5 *1693:B *1693:A 0.000105123 -6 *1693:B *1694:A 1.02132e-05 -7 *1693:B *291:108 2.36643e-05 -8 *248:11 *291:108 0.000143375 -9 *1695:A1 *1693:B 0.000228334 -10 *1695:A1 *248:11 6.8529e-05 -11 *1695:A2 *248:11 0.000130297 -12 *228:52 *248:11 0 -13 *232:25 *248:11 7.57312e-05 -*RES -1 *1762:Q *248:11 23.2643 -2 *248:11 *1694:B 9.3 -3 *248:11 *1693:B 12.3357 -*END - -*D_NET *249 0.00283178 -*CONN -*I *1739:A2 I *D sky130_fd_sc_hd__a21oi_1 -*I *1740:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *1645:B I *D sky130_fd_sc_hd__and2_1 -*I *1646:B I *D sky130_fd_sc_hd__nor2_1 -*I *1800:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *1739:A2 0 -2 *1740:A1 1.3784e-05 -3 *1645:B 0 -4 *1646:B 9.4172e-05 -5 *1800:Q 0.000224082 -6 *249:22 0.000439348 -7 *249:15 0.000534624 -8 *249:10 0.000399746 -9 *1646:B *1646:A 0.000141885 -10 *249:10 *320:24 7.83027e-05 -11 *249:15 *1739:B1 0.00022459 -12 *249:22 *250:17 9.14132e-05 -13 *1647:A1 *1646:B 3.09893e-05 -14 *1647:A3 *1646:B 6.05161e-06 -15 *1740:A2 *1740:A1 1.04232e-05 -16 *1740:A2 *249:15 7.03373e-05 -17 *1740:B1 *249:15 0.000106307 -18 *1748:A *249:22 0.000271345 -19 *147:18 *249:22 6.54817e-05 -20 *206:9 *249:15 2.89016e-05 -*RES -1 *1800:Q *249:10 21.8089 -2 *249:10 *249:15 4.78571 -3 *249:15 *249:22 16.6071 -4 *249:22 *1646:B 11.4786 -5 *249:22 *1645:B 9.3 -6 *249:15 *1740:A1 9.72857 -7 *249:10 *1739:A2 9.3 -*END - -*D_NET *250 0.00151531 -*CONN -*I *1645:A I *D sky130_fd_sc_hd__and2_1 -*I *1646:A I *D sky130_fd_sc_hd__nor2_1 -*I *1587:A I *D sky130_fd_sc_hd__clkinv_4 -*I *1768:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *1645:A 0 -2 *1646:A 0.000120674 -3 *1587:A 0.000110418 -4 *1768:Q 8.88135e-05 -5 *250:17 0.000418567 -6 *250:7 0.000497125 -7 *250:7 *301:129 3.0479e-05 -8 *1646:B *1646:A 0.000141885 -9 *1647:A1 *1646:A 1.59373e-05 -10 *147:18 *250:17 0 -11 *249:22 *250:17 9.14132e-05 -*RES -1 *1768:Q *250:7 14.9518 -2 *250:7 *1587:A 15.7464 -3 *250:7 *250:17 10.0893 -4 *250:17 *1646:A 11.9071 -5 *250:17 *1645:A 9.3 -*END - -*D_NET *251 0.0020652 -*CONN -*I *1842:A I *D sky130_fd_sc_hd__clkbuf_4 -*I *1764:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *1842:A 0.000589661 -2 *1764:Q 0.000589661 -3 *1842:A *1764:RESET_B 0.000238838 -4 *1842:A *291:108 0.000181796 -5 *1647:A3 *1842:A 0.000457806 -6 *147:18 *1842:A 7.43578e-06 -*RES -1 *1764:Q *1842:A 39.7964 -*END - -*D_NET *252 0.00254051 -*CONN -*I *1811:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *1647:Y O *D sky130_fd_sc_hd__o31ai_2 -*CAP -1 *1811:A 0.000982307 -2 *1647:Y 0.000982307 -3 *1811:A *1783:RESET_B 1.20244e-05 -4 *1811:A *295:17 7.11447e-05 -5 *1811:A *304:50 0.000289204 -6 *1779:CLK *1811:A 6.02061e-05 -7 *1837:A *1811:A 0 -8 *1838:A *1811:A 0.000138854 -9 *232:11 *1811:A 4.46231e-06 -*RES -1 *1647:Y *1811:A 43.3321 -*END - -*D_NET *253 0.0013694 -*CONN -*I *1781:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *1778:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *1781:D 0.000471575 -2 *1778:Q 0.000471575 -3 *1781:D *1778:D 0.000147469 -4 *1781:CLK *1781:D 3.42197e-05 -5 *1818:A *1781:D 0.000143712 -6 *1825:A *1781:D 0.000100852 -*RES -1 *1778:Q *1781:D 36.6714 -*END - -*D_NET *254 0.000855115 -*CONN -*I *1782:D I *D sky130_fd_sc_hd__dfstp_1 -*I *1779:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *1782:D 0.000317498 -2 *1779:Q 0.000317498 -3 *1782:D *1779:SET_B 4.46938e-05 -4 *1782:D *326:15 0 -5 *1781:CLK *1782:D 0.000175425 -*RES -1 *1779:Q *1782:D 33.4839 -*END - -*D_NET *255 0.00189976 -*CONN -*I *1783:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *1780:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *1783:D 0.000790915 -2 *1780:Q 0.000790915 -3 *1783:D *1780:RESET_B 5.048e-05 -4 *1780:CLK *1783:D 0.000123559 -5 *1783:CLK *1783:D 7.77751e-05 -6 *1818:A *1783:D 0 -7 *218:9 *1783:D 6.61193e-05 -*RES -1 *1780:Q *1783:D 39.7071 -*END - -*D_NET *256 0.004974 -*CONN -*I *1834:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1833:A I *D sky130_fd_sc_hd__buf_4 -*I *1807:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *1834:A 0.000120279 -2 *1833:A 0.00046743 -3 *1807:Q 0.000707019 -4 *256:13 0.00129473 -5 *1833:A *1550:A0 0.000230935 -6 *1833:A *1831:A 0.00031374 -7 *1833:A *1832:A 0.00045398 -8 *1833:A *259:10 0 -9 *1833:A *259:14 0 -10 *1833:A *259:19 0 -11 *1833:A *287:221 5.50052e-05 -12 *1833:A *288:19 6.53562e-05 -13 *1833:A *319:21 7.95366e-05 -14 *1834:A *290:7 0.000140933 -15 *256:13 *1741:A1 1.98839e-05 -16 resetb_sync *256:13 9.83388e-05 -17 *1584:A1 *1833:A 7.6644e-05 -18 *1584:S *1833:A 2.19736e-05 -19 *1584:S *1834:A 4.66203e-05 -20 *1718:A *1833:A 0.000150191 -21 *1718:B *256:13 0.000178503 -22 *1741:A0 *256:13 0.000231212 -23 *1788:D *1833:A 2.8266e-06 -24 *1788:D *1834:A 3.34672e-05 -25 *1801:D *256:13 0.000185403 -*RES -1 *1807:Q *256:13 25.3714 -2 *256:13 *1833:A 27.8714 -3 *256:13 *1834:A 16.4964 -*END - -*D_NET *257 0.0122303 -*CONN -*I *1832:A I *D sky130_fd_sc_hd__buf_4 -*I *1720:B I *D sky130_fd_sc_hd__nand2b_1 -*I *1719:A_N I *D sky130_fd_sc_hd__nand2b_1 -*I *1607:B I *D sky130_fd_sc_hd__nor2_1 -*I *1609:A2 I *D sky130_fd_sc_hd__o21ai_2 -*I *1808:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *1832:A 0.000543637 -2 *1720:B 0.000386528 -3 *1719:A_N 8.23479e-05 -4 *1607:B 2.04856e-05 -5 *1609:A2 0.00027154 -6 *1808:Q 0.000273912 -7 *257:37 0.00144714 -8 *257:20 0.00113299 -9 *257:8 0.000569026 -10 *257:5 0.000980794 -11 *1607:B *287:20 5.38444e-06 -12 *1609:A2 *344:DIODE 5.50052e-05 -13 *1609:A2 *1609:A1 0.000353788 -14 *1609:A2 *1609:B1 0.000773412 -15 *1609:A2 *1758:SET_B 6.37907e-05 -16 *1609:A2 *258:14 1.08359e-05 -17 *1609:A2 *289:14 0.000360486 -18 *1719:A_N *1719:B 7.08713e-05 -19 *1719:A_N *1785:D 3.73462e-05 -20 *1720:B *1719:B 8.63307e-05 -21 *1720:B *1785:D 6.24693e-05 -22 *1832:A *259:48 3.05605e-05 -23 *1832:A *262:14 3.53654e-05 -24 *1832:A *288:19 0.000191254 -25 *1832:A *319:21 0.000262764 -26 *257:5 *319:21 0.000423786 -27 *257:8 *1609:B1 0.00032691 -28 *257:8 *289:8 0.000166973 -29 *257:8 *289:14 2.28343e-05 -30 *257:20 *1648:A_N 9.58689e-05 -31 *257:20 *287:20 8.71721e-05 -32 *257:37 *342:DIODE 4.17702e-05 -33 *257:37 *376:DIODE 0.000225433 -34 *257:37 *1758:SET_B 0 -35 *257:37 *287:9 0.000227921 -36 *257:37 *287:20 4.73286e-05 -37 *257:37 *288:19 1.58559e-05 -38 *257:37 *303:162 6.02223e-05 -39 *257:37 *303:165 0.000753398 -40 *1584:S *1832:A 0.000199782 -41 *1651:A2 *1609:A2 2.12087e-05 -42 *1716:C *1832:A 0.000180195 -43 *1718:A *1832:A 8.01889e-06 -44 *1721:A *257:37 0.000178004 -45 *1721:B *1720:B 3.85148e-05 -46 *1724:B1 *257:37 0.000184325 -47 *1750:A *1609:A2 5.49544e-05 -48 *1758:D *257:37 6.42022e-05 -49 *1785:CLK *1720:B 9.84729e-05 -50 *1789:D *257:37 5.01234e-05 -51 *1803:D *257:37 0 -52 *1833:A *1832:A 0.00045398 -53 *176:8 *257:37 9.49338e-05 -*RES -1 *1808:Q *257:5 13.3268 -2 *257:5 *257:8 8.875 -3 *257:8 *1609:A2 24.3536 -4 *257:8 *257:20 7.08929 -5 *257:20 *1607:B 9.72857 -6 *257:20 *257:37 34.75 -7 *257:37 *1719:A_N 11.0857 -8 *257:37 *1720:B 25.8759 -9 *257:5 *1832:A 29.6571 -*END - -*D_NET *258 0.00520328 -*CONN -*I *1831:A I *D sky130_fd_sc_hd__buf_4 -*I *1787:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *1722:A I *D sky130_fd_sc_hd__nor2_1 -*I *1723:A I *D sky130_fd_sc_hd__and2_1 -*I *1809:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *1831:A 9.48418e-05 -2 *1787:D 0.000187011 -3 *1722:A 0 -4 *1723:A 9.13492e-05 -5 *1809:Q 0.000298351 -6 *258:14 0.000155114 -7 *258:10 0.000448867 -8 *258:6 0.000591284 -9 *1723:A *1723:B 0.000129297 -10 *1723:A *1758:SET_B 1.47872e-05 -11 *1723:A *274:11 5.33005e-05 -12 *1831:A *317:8 6.47568e-05 -13 *1831:A *319:21 0.000186895 -14 *258:6 *1609:B1 5.41011e-05 -15 *258:6 *1716:A_N 2.04825e-05 -16 *258:6 *290:18 0.000153611 -17 *258:6 *290:20 0.00017242 -18 *258:10 *1609:B1 0.000145554 -19 *258:14 *1609:B1 0.000135242 -20 *258:14 *274:11 0.000127439 -21 *1550:S *258:10 0.000146991 -22 *1609:A2 *258:14 1.08359e-05 -23 *1724:A1 *1723:A 0.000141734 -24 *1833:A *1831:A 0.00031374 -25 *7:15 *258:6 0.000659062 -26 *7:15 *258:10 0.000439054 -27 *7:15 *258:14 1.27529e-05 -28 *229:26 *1787:D 0.00035441 -*RES -1 *1809:Q *258:6 22.425 -2 *258:6 *258:10 6.27679 -3 *258:10 *258:14 6.59821 -4 *258:14 *1723:A 11.9071 -5 *258:14 *1722:A 9.3 -6 *258:10 *1787:D 17.2509 -7 *258:6 *1831:A 17.6571 -*END - -*D_NET *259 0.00670769 -*CONN -*I *1683:B I *D sky130_fd_sc_hd__xnor2_1 -*I *1640:A I *D sky130_fd_sc_hd__nand2_1 -*I *1716:D I *D sky130_fd_sc_hd__nand4bb_1 -*I *1592:A I *D sky130_fd_sc_hd__clkinv_2 -*I *1741:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1743:C I *D sky130_fd_sc_hd__nor4_1 -*I *1744:A3 I *D sky130_fd_sc_hd__o31ai_1 -*I *1801:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *1683:B 3.35795e-05 -2 *1640:A 4.91097e-05 -3 *1716:D 0 -4 *1592:A 0 -5 *1741:A1 0.000223185 -6 *1743:C 0.00013941 -7 *1744:A3 0 -8 *1801:Q 0.000499736 -9 *259:48 0.000448517 -10 *259:38 0.000390299 -11 *259:19 0.000339481 -12 *259:14 0.000602038 -13 *259:10 0.000441151 -14 *259:8 0.000688357 -15 *1640:A *287:221 3.26183e-05 -16 *1683:B *290:57 7.57394e-05 -17 *1741:A1 *1741:S 2.85321e-05 -18 *1741:A1 *290:18 4.73355e-05 -19 *1741:A1 *290:20 0.000317986 -20 *1743:C *1743:B 0.000183711 -21 *1743:C *1743:D 2.59355e-05 -22 *1743:C *1744:A1 7.77751e-05 -23 *1743:C *1744:A2 7.63435e-05 -24 *1743:C *1744:B1 3.61642e-05 -25 *259:14 *287:221 0.000345309 -26 *259:19 *1744:B1 7.15905e-06 -27 *259:19 *287:221 5.403e-05 -28 *259:38 *1744:B1 0 -29 *259:48 *1716:A_N 0.000136682 -30 *259:48 *262:14 2.04825e-05 -31 *259:48 *319:21 6.55261e-05 -32 *1584:A1 *259:48 0.000152631 -33 *1716:C *259:19 0.00013866 -34 *1716:C *259:38 0.000305381 -35 *1718:A *259:14 0 -36 *1718:A *259:38 0.000262498 -37 *1718:A *259:48 5.49489e-05 -38 *1741:A0 *1741:A1 5.50052e-05 -39 *1745:B *1743:C 5.49489e-05 -40 *1745:B *259:19 2.2816e-05 -41 *1788:D *259:8 0 -42 *1788:D *259:10 0 -43 *1802:D *259:8 0.000148425 -44 *1832:A *259:48 3.05605e-05 -45 *1833:A *259:10 0 -46 *1833:A *259:14 0 -47 *1833:A *259:19 0 -48 *7:15 *259:48 0 -49 *50:14 *1683:B 7.57394e-05 -50 *256:13 *1741:A1 1.98839e-05 -*RES -1 *1801:Q *259:8 22.675 -2 *259:8 *259:10 2.55357 -3 *259:10 *259:14 5.82143 -4 *259:14 *259:19 7.41071 -5 *259:19 *1744:A3 9.3 -6 *259:19 *1743:C 13.1393 -7 *259:14 *259:38 9.28571 -8 *259:38 *259:48 13.9107 -9 *259:48 *1741:A1 23.925 -10 *259:48 *1592:A 9.3 -11 *259:38 *1716:D 9.3 -12 *259:10 *1640:A 14.7464 -13 *259:8 *1683:B 15.1571 -*END - -*D_NET *260 0.00361678 -*CONN -*I *1742:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1683:A I *D sky130_fd_sc_hd__xnor2_1 -*I *1639:A I *D sky130_fd_sc_hd__nor2_1 -*I *1743:B I *D sky130_fd_sc_hd__nor4_1 -*I *1744:A2 I *D sky130_fd_sc_hd__o31ai_1 -*I *1802:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *1742:A1 8.85011e-05 -2 *1683:A 4.15023e-05 -3 *1639:A 0 -4 *1743:B 0.000114805 -5 *1744:A2 5.19091e-05 -6 *1802:Q 0.000123685 -7 *260:18 0.000321155 -8 *260:14 0.000301103 -9 *260:12 0.000381378 -10 *260:7 0.0004054 -11 *1683:A *290:57 0.000139202 -12 *1742:A1 *1742:S 6.23278e-05 -13 *260:12 *1585:A0 0.000208128 -14 *260:12 *1742:S 0.000105309 -15 *260:14 *1585:A0 0.000244257 -16 *260:14 *290:60 8.99418e-05 -17 *260:18 *261:6 0 -18 *260:18 *290:60 5.94923e-05 -19 *1585:A1 *260:12 0.000146464 -20 *1640:B *260:14 6.59977e-05 -21 *1640:B *260:18 2.6304e-05 -22 *1742:A0 *1742:A1 6.02658e-05 -23 *1742:A0 *260:12 0.000159108 -24 *1743:C *1743:B 0.000183711 -25 *1743:C *1744:A2 7.63435e-05 -26 *1745:B *260:18 2.62951e-05 -27 *50:8 *260:12 2.59093e-05 -28 *50:8 *260:14 6.19181e-05 -29 *50:14 *1683:A 4.63685e-05 -*RES -1 *1802:Q *260:7 15.1571 -2 *260:7 *260:12 6.55357 -3 *260:12 *260:14 4.98214 -4 *260:14 *260:18 7.66071 -5 *260:18 *1744:A2 10.0411 -6 *260:18 *1743:B 11.0679 -7 *260:14 *1639:A 13.8 -8 *260:12 *1683:A 15.1571 -9 *260:7 *1742:A1 15.9786 -*END - -*D_NET *261 0.00292701 -*CONN -*I *1639:B I *D sky130_fd_sc_hd__nor2_1 -*I *1743:D I *D sky130_fd_sc_hd__nor4_1 -*I *1744:B1 I *D sky130_fd_sc_hd__o31ai_1 -*I *1803:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *1639:B 0.000263668 -2 *1743:D 8.40248e-05 -3 *1744:B1 0.0002546 -4 *1803:Q 0.000284009 -5 *261:8 0.000338624 -6 *261:6 0.000547677 -7 *1639:B *290:60 0.00013966 -8 *1639:B *316:13 0.000148911 -9 *1744:B1 *1744:A1 0.000140933 -10 *261:6 *290:60 9.25014e-06 -11 *261:6 *316:13 0.000522971 -12 *1716:C *1639:B 1.24368e-05 -13 *1716:C *1744:B1 3.69047e-06 -14 *1743:C *1743:D 2.59355e-05 -15 *1743:C *1744:B1 3.61642e-05 -16 *1745:B *1744:B1 5.20669e-05 -17 *156:5 *1639:B 5.52302e-05 -18 *259:19 *1744:B1 7.15905e-06 -19 *259:38 *1744:B1 0 -20 *260:18 *261:6 0 -*RES -1 *1803:Q *261:6 20.6036 -2 *261:6 *261:8 4.5 -3 *261:8 *1744:B1 13.9786 -4 *261:8 *1743:D 10.6571 -5 *261:6 *1639:B 18.7643 -*END - -*D_NET *262 0.00658995 -*CONN -*I *1717:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *1716:B_N I *D sky130_fd_sc_hd__nand4bb_1 -*I *1550:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1788:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *1717:B1 2.76557e-05 -2 *1716:B_N 0 -3 *1550:A0 0.00076451 -4 *1788:Q 0.000980199 -5 *262:14 0.000998521 -6 *262:12 0.00124187 -7 *1550:A0 *288:19 0.000235809 -8 *1550:A0 *289:8 3.23206e-05 -9 *1550:A0 *317:8 2.13481e-06 -10 *1717:B1 *290:18 2.89016e-05 -11 *262:12 *1788:SET_B 0.00019935 -12 *262:12 *1802:RESET_B 2.40216e-05 -13 *262:14 *288:9 0.00024754 -14 *262:14 *288:19 0.000145753 -15 *1550:S *1550:A0 3.80839e-05 -16 *1584:S *262:14 0.000363165 -17 *1648:B *1550:A0 9.84673e-05 -18 *1651:B1 *1550:A0 0.000313158 -19 *1718:B *262:14 0.000100681 -20 *1741:A0 *262:14 1.12578e-05 -21 *1788:D *262:12 0.000213905 -22 *1832:A *262:14 3.53654e-05 -23 *1833:A *1550:A0 0.000230935 -24 *7:15 *262:12 0 -25 *7:15 *262:14 0 -26 *50:14 *262:12 5.83304e-05 -27 *50:14 *262:14 2.31791e-05 -28 *223:8 *262:12 7.74068e-05 -29 *229:73 *262:12 7.69499e-05 -30 *259:48 *262:14 2.04825e-05 -*RES -1 *1788:Q *262:12 28.3089 -2 *262:12 *262:14 7.71429 -3 *262:14 *1550:A0 25.8536 -4 *262:14 *1716:B_N 13.8 -5 *262:12 *1717:B1 14.3357 -*END - -*D_NET *263 0.0071376 -*CONN -*I *1726:C I *D sky130_fd_sc_hd__nand3b_1 -*I *1594:A I *D sky130_fd_sc_hd__clkinv_2 -*I *1675:B I *D sky130_fd_sc_hd__xnor2_1 -*I *1605:C_N I *D sky130_fd_sc_hd__nor3b_2 -*I *1676:B I *D sky130_fd_sc_hd__nor3_1 -*I *1677:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *1790:Q O *D sky130_fd_sc_hd__dfrtn_1 -*CAP -1 *1726:C 0.000156545 -2 *1594:A 0.000123753 -3 *1675:B 0.000258294 -4 *1605:C_N 0 -5 *1676:B 0 -6 *1677:A2 0.0005828 -7 *1790:Q 3.76868e-05 -8 *263:34 0.000370303 -9 *263:27 0.000167255 -10 *263:14 0.00110333 -11 *263:11 0.00118544 -12 *263:7 0.000790643 -13 *1594:A *1610:A1 6.05161e-06 -14 *1594:A *287:134 3.67257e-05 -15 *1594:A *287:150 6.3629e-05 -16 *1677:A2 *1577:A1 4.41588e-05 -17 *1677:A2 *1785:D 3.58701e-05 -18 *1677:A2 *289:14 2.09722e-05 -19 *1726:C *1726:A_N 3.67279e-06 -20 *1726:C *303:30 4.66056e-05 -21 *263:7 *303:30 5.23735e-05 -22 *263:7 *320:15 0.000139331 -23 *263:11 *1610:A1 0.000214626 -24 *263:11 *303:30 0.000173015 -25 *263:14 *1732:A1 0.000378257 -26 *263:14 *287:30 6.65019e-05 -27 *1546:S *263:11 6.62783e-05 -28 *1610:C1 *263:7 1.21258e-05 -29 *1611:A *263:11 5.49489e-05 -30 *1823:A *1675:B 0.000406511 -31 *1823:A *263:14 0.00021844 -32 *1823:A *263:27 0.00010137 -33 *1823:A *263:34 0.000220093 -*RES -1 *1790:Q *263:7 15.1571 -2 *263:7 *263:11 16.3214 -3 *263:11 *263:14 14.9464 -4 *263:14 *1677:A2 29.7107 -5 *263:14 *263:27 1.33929 -6 *263:27 *1676:B 13.8 -7 *263:27 *263:34 2.85714 -8 *263:34 *1605:C_N 13.8 -9 *263:34 *1675:B 19.6214 -10 *263:11 *1594:A 11.9071 -11 *263:7 *1726:C 16.3536 -*END - -*D_NET *264 0.00552231 -*CONN -*I *1675:A I *D sky130_fd_sc_hd__xnor2_1 -*I *1605:A I *D sky130_fd_sc_hd__nor3b_2 -*I *1676:A I *D sky130_fd_sc_hd__nor3_1 -*I *1677:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *1617:B I *D sky130_fd_sc_hd__nand2_1 -*I *1791:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *1675:A 5.21353e-05 -2 *1605:A 0.000207791 -3 *1676:A 0.000100893 -4 *1677:A1 0.000509337 -5 *1617:B 0 -6 *1791:Q 0.000193375 -7 *264:18 0.000646647 -8 *264:16 0.000325064 -9 *264:15 0.000387604 -10 *264:7 0.000447987 -11 *1675:A *287:26 9.14222e-05 -12 *1676:A *265:17 0.000139202 -13 *1676:A *265:26 5.33005e-05 -14 *1677:A1 *1677:B1 6.13841e-05 -15 *1677:A1 *287:26 0.000101396 -16 *264:16 *287:26 0.000156739 -17 *264:18 *287:26 0.000126446 -18 *1616:B *264:7 5.47616e-05 -19 *1617:A *264:7 5.17944e-05 -20 *1618:A2_N *264:7 3.69008e-05 -21 *1678:A_N *1677:A1 0.000139337 -22 *1678:B *1677:A1 7.00034e-05 -23 *1823:A *1675:A 0.000166122 -24 *1823:A *1677:A1 0.000102984 -25 *1823:A *264:16 0.000234678 -26 *1823:A *264:18 0.00011891 -27 *52:7 *1605:A 1.33343e-05 -28 *137:74 *264:7 0.000637922 -29 *137:74 *264:15 0.000294836 -*RES -1 *1791:Q *264:7 15.8089 -2 *264:7 *1617:B 9.3 -3 *264:7 *264:15 9.96429 -4 *264:15 *264:16 3.16071 -5 *264:16 *264:18 1.64286 -6 *264:18 *1677:A1 21.8893 -7 *264:18 *1676:A 15.5679 -8 *264:16 *1605:A 16.8179 -9 *264:15 *1675:A 16.05 -*END - -*D_NET *265 0.00364842 -*CONN -*I *1605:B I *D sky130_fd_sc_hd__nor3b_2 -*I *1677:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *1676:C I *D sky130_fd_sc_hd__nor3_1 -*I *1728:C I *D sky130_fd_sc_hd__nand3b_1 -*I *1792:Q O *D sky130_fd_sc_hd__dfrtn_1 -*CAP -1 *1605:B 7.03964e-05 -2 *1677:B1 0.000340623 -3 *1676:C 0 -4 *1728:C 2.00462e-05 -5 *1792:Q 9.35095e-05 -6 *265:26 0.000506613 -7 *265:17 0.000478423 -8 *265:5 0.000496385 -9 *1605:B *287:26 0.000117196 -10 *265:17 *1728:A_N 5.65955e-05 -11 *265:17 *297:44 0.000433116 -12 *265:26 *287:26 5.83304e-05 -13 *1676:A *265:17 0.000139202 -14 *1676:A *265:26 5.33005e-05 -15 *1677:A1 *1677:B1 6.13841e-05 -16 *1678:A_N *1677:B1 1.32552e-05 -17 *1678:A_N *265:17 6.05161e-06 -18 *1678:A_N *265:26 6.26276e-05 -19 *1678:B *1677:B1 0.000173009 -20 *1728:B *1728:C 1.02132e-05 -21 *1728:B *265:5 3.08664e-05 -22 *1728:B *265:17 2.36643e-05 -23 *52:12 *1605:B 0 -24 *52:12 *265:26 0 -25 *52:32 *1605:B 0 -26 *222:13 *265:5 0.000318479 -27 *222:13 *265:17 8.51331e-05 -*RES -1 *1792:Q *265:5 12.3 -2 *265:5 *1728:C 9.72857 -3 *265:5 *265:17 8.48214 -4 *265:17 *1676:C 9.3 -5 *265:17 *265:26 6.58929 -6 *265:26 *1677:B1 19.1929 -7 *265:26 *1605:B 15.4429 -*END - -*D_NET *266 0.00556542 -*CONN -*I *1685:C1 I *D sky130_fd_sc_hd__o2111ai_2 -*I *1595:A I *D sky130_fd_sc_hd__clkinv_4 -*I *1679:B I *D sky130_fd_sc_hd__xnor2_1 -*I *1681:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *1680:B I *D sky130_fd_sc_hd__nor3_1 -*I *1797:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *1685:C1 0.000153668 -2 *1595:A 0 -3 *1679:B 0.000216921 -4 *1681:A2 0 -5 *1680:B 0.000188836 -6 *1797:Q 7.49379e-05 -7 *266:31 0.000216921 -8 *266:15 0.000883554 -9 *266:8 0.00161695 -10 *266:7 0.00115083 -11 *1680:B *1680:A 9.90431e-05 -12 *1680:B *1680:C 0.00015827 -13 *1680:B *1681:A1 0 -14 *1685:C1 *374:DIODE 7.55848e-05 -15 *1685:C1 *1642:B 6.72876e-05 -16 *1685:C1 *1685:B1 5.33005e-05 -17 *1685:C1 *303:92 1.46689e-05 -18 *266:7 *303:89 0.000141729 -19 *266:8 *1580:A1 0 -20 *266:8 *288:47 0 -21 *266:8 *288:51 0 -22 *266:8 *289:30 0 -23 *266:8 *303:92 5.09908e-05 -24 *1580:A0 *1679:B 1.34548e-05 -25 *1682:B *1680:B 0.000104974 -26 *1733:A1 *266:8 0 -27 *1734:B1_N *266:8 4.26814e-05 -28 *53:9 *266:8 5.59022e-05 -29 *89:8 *1679:B 0.00010236 -30 *89:15 *266:8 0 -31 *176:8 *1685:C1 8.25512e-05 -*RES -1 *1797:Q *266:7 15.1571 -2 *266:7 *266:8 16.5179 -3 *266:8 *266:15 15.1071 -4 *266:15 *1680:B 22.2286 -5 *266:15 *1681:A2 9.3 -6 *266:8 *266:31 4.5 -7 *266:31 *1679:B 13.55 -8 *266:31 *1595:A 9.3 -9 *266:7 *1685:C1 17.4964 -*END - -*D_NET *267 0.00909554 -*CONN -*I *1735:A2 I *D sky130_fd_sc_hd__o31a_1 -*I *1642:A I *D sky130_fd_sc_hd__nor2_1 -*I *1679:A I *D sky130_fd_sc_hd__xnor2_1 -*I *1643:A I *D sky130_fd_sc_hd__nor3_2 -*I *1680:A I *D sky130_fd_sc_hd__nor3_1 -*I *1681:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *1798:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *1735:A2 1.36441e-05 -2 *1642:A 0.000785095 -3 *1679:A 0.000127958 -4 *1643:A 0 -5 *1680:A 9.62429e-05 -6 *1681:A1 0.000244052 -7 *1798:Q 9.65157e-05 -8 *267:56 0.000987275 -9 *267:33 0.000685818 -10 *267:10 0.000563256 -11 *267:8 0.00160154 -12 *267:6 0.00110577 -13 *1642:A *1685:B1 5.16139e-05 -14 *1679:A *284:11 5.49995e-05 -15 *1680:A *1680:C 5.52302e-05 -16 *267:6 *1798:SET_B 0 -17 *267:6 *319:8 9.43017e-05 -18 *267:8 *1798:SET_B 0 -19 *267:8 *268:23 0 -20 *267:8 *287:98 0 -21 *267:8 *319:8 0.000376291 -22 *267:33 *1643:B 0.00048233 -23 *267:33 *284:11 0.000164833 -24 *267:33 *288:65 0.000600591 -25 *267:56 *361:DIODE 6.62783e-05 -26 *1580:S *267:33 9.58632e-05 -27 *1582:A0 *267:8 0 -28 *1680:B *1680:A 9.90431e-05 -29 *1680:B *1681:A1 0 -30 *1682:B *1681:A1 0 -31 *1682:B *267:10 0 -32 *1685:D1 *1642:A 9.8591e-05 -33 *1735:B1 *1642:A 0.000385599 -34 *1735:B1 *1735:A2 0 -35 *1735:B1 *267:56 5.46939e-05 -36 *1848:A *267:33 6.05161e-06 -37 *89:8 *1679:A 4.6845e-05 -38 *176:8 *1642:A 5.52302e-05 -*RES -1 *1798:Q *267:6 16.05 -2 *267:6 *267:8 16.5179 -3 *267:8 *267:10 3.16071 -4 *267:10 *1681:A1 17.7107 -5 *267:10 *1680:A 15.9964 -6 *267:8 *267:33 18.5 -7 *267:33 *1643:A 9.3 -8 *267:33 *1679:A 21.0857 -9 *267:6 *267:56 8.07143 -10 *267:56 *1642:A 19.9071 -11 *267:56 *1735:A2 9.72857 -*END - -*D_NET *268 0.00835134 -*CONN -*I *1737:A2 I *D sky130_fd_sc_hd__o31a_1 -*I *1643:B I *D sky130_fd_sc_hd__nor3_2 -*I *1680:C I *D sky130_fd_sc_hd__nor3_1 -*I *1681:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *1642:B I *D sky130_fd_sc_hd__nor2_1 -*I *1799:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1737:A2 0 -2 *1643:B 0.000172039 -3 *1680:C 0.000116061 -4 *1681:B1 0.000112975 -5 *1642:B 0.000873783 -6 *1799:Q 0.00011439 -7 *268:33 0.000556249 -8 *268:28 0.00109571 -9 *268:23 0.000905649 -10 *268:5 0.00129736 -11 *1642:B *1685:B1 9.83388e-05 -12 *1642:B *289:30 0 -13 *1642:B *303:89 6.25852e-05 -14 *1643:B *284:11 0.000622413 -15 *268:23 *1798:SET_B 8.19254e-05 -16 *268:23 *303:100 0.000126416 -17 *268:28 *1737:A1 4.73286e-05 -18 *268:28 *296:14 0 -19 *1580:S *1643:B 9.75679e-05 -20 *1580:S *268:28 0 -21 *1581:A0 *268:28 0.000345503 -22 *1582:A0 *1680:C 1.21258e-05 -23 *1582:A0 *268:28 0 -24 *1582:A0 *268:33 3.69047e-06 -25 *1583:A0 *268:28 0 -26 *1680:A *1680:C 5.52302e-05 -27 *1680:B *1680:C 0.00015827 -28 *1682:A_N *1680:C 0.00013223 -29 *1682:B *1680:C 6.9517e-05 -30 *1682:B *1681:B1 0.000125593 -31 *1682:B *268:33 0 -32 *1685:C1 *1642:B 6.72876e-05 -33 *1685:D1 *1642:B 9.83388e-05 -34 *1736:B1_N *268:28 7.89362e-05 -35 *1799:CLK *1642:B 6.82912e-05 -36 *1799:D *1642:B 2.81855e-05 -37 *1799:D *268:28 0.000115958 -38 *137:37 *268:23 9.35114e-06 -39 *137:37 *268:28 1.13883e-05 -40 *137:47 *268:28 0 -41 *137:54 *268:23 0.00010832 -42 *267:8 *268:23 0 -43 *267:33 *1643:B 0.00048233 -*RES -1 *1799:Q *268:5 10.6571 -2 *268:5 *1642:B 29.9429 -3 *268:5 *268:23 15.6429 -4 *268:23 *268:28 17.125 -5 *268:28 *268:33 9.64286 -6 *268:33 *1681:B1 20.55 -7 *268:33 *1680:C 12.8536 -8 *268:28 *1643:B 21.8893 -9 *268:23 *1737:A2 9.3 -*END - -*D_NET *269 0.00419046 -*CONN -*I *1730:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1593:A I *D sky130_fd_sc_hd__inv_2 -*I *1669:B I *D sky130_fd_sc_hd__xnor2_1 -*I *1673:A2 I *D sky130_fd_sc_hd__o21a_1 -*I *1794:Q O *D sky130_fd_sc_hd__dfrtn_1 -*CAP -1 *1730:A0 0 -2 *1593:A 0 -3 *1669:B 0.000168185 -4 *1673:A2 0 -5 *1794:Q 0.000219282 -6 *269:22 0.000552368 -7 *269:12 0.000712186 -8 *269:8 0.000547285 -9 *1669:B *1669:A 0.000231278 -10 *269:8 *1794:RESET_B 3.92952e-05 -11 *269:8 *1795:SET_B 0 -12 *269:12 *1673:B1 7.47852e-05 -13 *269:12 *270:11 0.000152898 -14 *269:12 *270:16 5.48645e-05 -15 *1570:A0 *1669:B 0.000232399 -16 *1571:A0 *269:22 0.000139338 -17 *1604:A1 *269:12 0.00026637 -18 *1604:A1 *269:22 0.000203651 -19 *1674:A1 *269:22 3.65681e-05 -20 *1730:A1 *269:12 0 -21 *1730:A1 *269:22 0.000414583 -22 *1794:D *269:8 3.85363e-05 -23 *1795:D *269:12 4.35048e-05 -24 *77:8 *1669:B 4.01768e-05 -25 *77:8 *269:22 2.29112e-05 -*RES -1 *1794:Q *269:8 17.4071 -2 *269:8 *269:12 13.125 -3 *269:12 *1673:A2 9.3 -4 *269:12 *269:22 18.375 -5 *269:22 *1669:B 13.9607 -6 *269:22 *1593:A 9.3 -7 *269:8 *1730:A0 13.8 -*END - -*D_NET *270 0.00331099 -*CONN -*I *1604:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1606:A I *D sky130_fd_sc_hd__nor2_2 -*I *1673:A1 I *D sky130_fd_sc_hd__o21a_1 -*I *1669:A I *D sky130_fd_sc_hd__xnor2_1 -*I *1795:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *1604:A0 0 -2 *1606:A 0 -3 *1673:A1 3.24716e-05 -4 *1669:A 0.000388336 -5 *1795:Q 0.000151216 -6 *270:21 0.00056233 -7 *270:16 0.000326578 -8 *270:11 0.000336272 -9 *1669:A *1673:B1 3.2923e-05 -10 *270:11 *1795:SET_B 2.06691e-05 -11 *270:16 *1673:B1 1.00887e-05 -12 *270:21 *1673:B1 0.000195126 -13 *1571:A0 *1673:A1 9.67754e-05 -14 *1571:A0 *270:21 9.41642e-05 -15 *1604:A1 *1669:A 3.50522e-05 -16 *1669:B *1669:A 0.000231278 -17 *1674:A1 *1669:A 0.000379433 -18 *1674:A1 *1673:A1 2.24804e-05 -19 *1674:A1 *270:21 5.23735e-05 -20 *1730:A1 *270:11 5.30379e-05 -21 *1795:D *270:16 3.69047e-06 -22 *77:8 *1669:A 7.89362e-05 -23 *269:12 *270:11 0.000152898 -24 *269:12 *270:16 5.48645e-05 -*RES -1 *1795:Q *270:11 21.5232 -2 *270:11 *270:16 8.10714 -3 *270:16 *270:21 8 -4 *270:21 *1669:A 27.8893 -5 *270:21 *1673:A1 10.2464 -6 *270:16 *1606:A 13.8 -7 *270:11 *1604:A0 9.3 -*END - -*D_NET *271 0.00205456 -*CONN -*I *1731:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1606:B I *D sky130_fd_sc_hd__nor2_2 -*I *1673:B1 I *D sky130_fd_sc_hd__o21a_1 -*I *1796:Q O *D sky130_fd_sc_hd__dfrtn_1 -*CAP -1 *1731:A0 0.000143662 -2 *1606:B 0 -3 *1673:B1 0.000116092 -4 *1796:Q 0.000202959 -5 *271:13 0.00046163 -6 *271:8 0.000692159 -7 *271:8 *296:57 0 -8 *1604:A1 *1673:B1 1.05603e-05 -9 *1604:S *1731:A0 1.24941e-05 -10 *1669:A *1673:B1 3.2923e-05 -11 *1730:A1 *271:8 0 -12 *1731:A1 *1731:A0 5.60962e-05 -13 *20:15 *1731:A0 1.74502e-05 -14 *20:15 *271:8 0 -15 *136:7 *1673:B1 2.85321e-05 -16 *269:12 *1673:B1 7.47852e-05 -17 *270:16 *1673:B1 1.00887e-05 -18 *270:21 *1673:B1 0.000195126 -*RES -1 *1796:Q *271:8 16.8893 -2 *271:8 *271:13 9.96429 -3 *271:13 *1673:B1 21.6929 -4 *271:13 *1606:B 9.3 -5 *271:8 *1731:A0 15.5679 -*END - -*D_NET *272 0.000631605 -*CONN -*I *1721:C I *D sky130_fd_sc_hd__nand3_1 -*I *1785:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *1721:C 0.000247155 -2 *1785:Q 0.000247155 -3 *1721:C *319:8 7.62951e-05 -4 *389:DIODE *1721:C 6.05161e-06 -5 *1721:B *1721:C 5.49489e-05 -6 *137:69 *1721:C 0 -*RES -1 *1785:Q *1721:C 32.1357 -*END - -*D_NET *273 0.00232734 -*CONN -*I *1719:B I *D sky130_fd_sc_hd__nand2b_1 -*I *1720:A_N I *D sky130_fd_sc_hd__nand2b_1 -*I *1786:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *1719:B 0.000174387 -2 *1720:A_N 0.000142482 -3 *1786:Q 0.000526434 -4 *273:8 0.000843303 -5 *1719:B *1785:D 0.000280499 -6 *1719:A_N *1719:B 7.08713e-05 -7 *1720:B *1719:B 8.63307e-05 -8 *1785:CLK *1719:B 0.000145007 -9 *1785:CLK *273:8 5.80217e-05 -10 *137:69 *273:8 0 -*RES -1 *1786:Q *273:8 20.8 -2 *273:8 *1720:A_N 15.9786 -3 *273:8 *1719:B 19.0232 -*END - -*D_NET *274 0.00113578 -*CONN -*I *1723:B I *D sky130_fd_sc_hd__and2_1 -*I *1722:B I *D sky130_fd_sc_hd__nor2_1 -*I *1787:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *1723:B 4.22179e-05 -2 *1722:B 0 -3 *1787:Q 0.000174779 -4 *274:11 0.000216997 -5 *1723:B *1758:SET_B 0.00012357 -6 *274:11 *1758:SET_B 2.59355e-05 -7 *1723:A *1723:B 0.000129297 -8 *1723:A *274:11 5.33005e-05 -9 *1724:A1 *1723:B 4.74514e-05 -10 *7:15 *274:11 0.00012401 -11 *229:26 *274:11 7.07804e-05 -12 *258:14 *274:11 0.000127439 -*RES -1 *1787:Q *274:11 21.8357 -2 *274:11 *1722:B 9.3 -3 *274:11 *1723:B 11.4786 -*END - -*D_NET *275 0.0073452 -*CONN -*I *1686:A2 I *D sky130_fd_sc_hd__a21oi_1 -*I *1650:B I *D sky130_fd_sc_hd__nor2_1 -*I *1649:B I *D sky130_fd_sc_hd__and2_1 -*I *1687:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *1758:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *1686:A2 0 -2 *1650:B 0.000613045 -3 *1649:B 0 -4 *1687:A1 0 -5 *1758:Q 0.000443634 -6 *275:26 0.00127049 -7 *275:13 0.000787235 -8 *275:11 0.00057342 -9 *1650:B *1650:A 0 -10 *1650:B *1812:A 5.89938e-05 -11 *275:11 *1686:B1 5.33005e-05 -12 *275:11 *1840:A 4.13122e-06 -13 *275:11 *297:5 0.00017059 -14 *275:11 *297:17 1.21258e-05 -15 *275:13 *1686:B1 0.0001399 -16 *275:13 *288:19 4.88637e-05 -17 *275:13 *297:17 8.86947e-05 -18 *275:26 *1575:A1 0.000476844 -19 *275:26 *1586:A 0 -20 *275:26 *1650:A 0 -21 *275:26 *288:19 0.000313467 -22 *275:26 *297:17 6.63063e-05 -23 *275:26 *297:25 1.15172e-05 -24 *1574:A0 *275:26 0.000140933 -25 *1574:S *275:26 1.21258e-05 -26 *1575:A0 *275:26 0.00048241 -27 *1641:B *275:26 0.000334137 -28 *1651:A1 *1650:B 7.97268e-05 -29 *1651:A2 *1650:B 9.02601e-05 -30 *1651:A2 *275:26 0 -31 *1651:B1 *1650:B 0.000315806 -32 *1687:B1 *275:13 6.86792e-05 -33 *1750:A *1650:B 3.51442e-05 -34 *1750:A *275:26 0.000148196 -35 *1758:D *275:13 6.12434e-05 -36 *1816:A *275:11 0.00011369 -37 *229:8 *1650:B 0.000239197 -38 *229:55 *1650:B 9.10894e-05 -*RES -1 *1758:Q *275:11 25.7375 -2 *275:11 *275:13 3.82143 -3 *275:13 *1687:A1 9.3 -4 *275:13 *275:26 22.0357 -5 *275:26 *1649:B 13.8 -6 *275:26 *1650:B 26.8357 -7 *275:11 *1686:A2 9.3 -*END - -*D_NET *276 0.00347563 -*CONN -*I *1649:A I *D sky130_fd_sc_hd__and2_1 -*I *1650:A I *D sky130_fd_sc_hd__nor2_1 -*I *1586:A I *D sky130_fd_sc_hd__clkinv_4 -*I *1793:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *1649:A 0.000271148 -2 *1650:A 0.000818108 -3 *1586:A 0.000153219 -4 *1793:Q 0 -5 *276:7 0.000994587 -6 *276:4 0.000294407 -7 *1650:A *1793:SET_B 0.000148651 -8 *1650:A *317:8 2.29112e-05 -9 *1650:A *319:21 0.000275296 -10 *1650:B *1650:A 0 -11 *1651:A3 *1649:A 8.94436e-05 -12 *1651:A3 *1650:A 0.000313671 -13 *1651:A3 *276:7 7.41389e-06 -14 *1750:A *1586:A 5.49489e-05 -15 *229:22 *1649:A 2.84109e-05 -16 *229:26 *1649:A 3.41517e-06 -17 *275:26 *1586:A 0 -18 *275:26 *1650:A 0 -*RES -1 *1793:Q *276:4 9.3 -2 *276:4 *276:7 4.83036 -3 *276:7 *1586:A 16.2821 -4 *276:7 *1650:A 36.9071 -5 *276:4 *1649:A 14.1661 -*END - -*D_NET *277 0.0009804 -*CONN -*I *1725:A1 I *D sky130_fd_sc_hd__o22a_1 -*I *1840:A I *D sky130_fd_sc_hd__clkbuf_4 -*I *1789:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *1725:A1 0.000178028 -2 *1840:A 0.000195716 -3 *1789:Q 0.00011439 -4 *277:5 0.000488135 -5 *1840:A *319:8 0 -6 *275:11 *1840:A 4.13122e-06 -*RES -1 *1789:Q *277:5 10.6571 -2 *277:5 *1840:A 21.1929 -3 *277:5 *1725:A1 21.4607 -*END - -*D_NET *278 0.00302201 -*CONN -*I *1812:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *1651:Y O *D sky130_fd_sc_hd__o31ai_2 -*CAP -1 *1812:A 0.0007707 -2 *1651:Y 0.0007707 -3 *1812:A *1752:SET_B 5.49544e-05 -4 *1812:A *1806:RESET_B 8.79033e-05 -5 *1812:A *1807:D 0 -6 *1812:A *1808:D 0 -7 *1812:A *302:57 0 -8 *1812:A *302:63 0 -9 *1812:A *303:6 0 -10 *1812:A *317:8 0.000228514 -11 resetb_sync *1812:A 0 -12 *1650:B *1812:A 5.89938e-05 -13 *1651:A1 *1812:A 1.32293e-05 -14 *1651:A2 *1812:A 5.65955e-05 -15 *1804:CLK *1812:A 0.000454677 -16 *1819:A *1812:A 4.97906e-05 -17 *1824:A *1812:A 2.84109e-05 -18 *212:8 *1812:A 8.22252e-05 -19 *219:14 *1812:A 0.000365321 -*RES -1 *1651:Y *1812:A 47.1357 -*END - -*D_NET *279 0.00117686 -*CONN -*I *1807:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *1804:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *1807:D 0.000409761 -2 *1804:Q 0.000409761 -3 *1807:D *1806:RESET_B 7.82285e-05 -4 *1807:D *318:9 9.56266e-05 -5 resetb_sync *1807:D 0.000183489 -6 *1812:A *1807:D 0 -*RES -1 *1804:Q *1807:D 34.8679 -*END - -*D_NET *280 0.000464242 -*CONN -*I *1808:D I *D sky130_fd_sc_hd__dfstp_1 -*I *1805:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *1808:D 0.000175908 -2 *1805:Q 0.000175908 -3 *1808:D *1808:SET_B 2.84026e-05 -4 *1808:D *302:57 2.74273e-05 -5 *1808:D *319:21 5.65955e-05 -6 *1812:A *1808:D 0 -*RES -1 *1805:Q *1808:D 30.5196 -*END - -*D_NET *281 0.00197957 -*CONN -*I *1809:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *1806:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *1809:D 0.000426487 -2 *1806:Q 0.000426487 -3 *1809:D *1809:RESET_B 7.03295e-05 -4 *1809:D *318:9 2.59355e-05 -5 *1804:CLK *1809:D 0.000123637 -6 *1809:CLK *1809:D 0.000689512 -7 *212:8 *1809:D 0.000125344 -8 *227:15 *1809:D 9.18429e-05 -*RES -1 *1806:Q *1809:D 37.7071 -*END - -*D_NET *282 0.000837122 -*CONN -*I *1548:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1759:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *1548:A1 0.000207358 -2 *1759:Q 0.000207358 -3 *1548:A1 *1548:S 0.00013934 -4 *1548:A1 *300:46 1.30541e-05 -5 *1548:A0 *1548:A1 0.000270011 -*RES -1 *1759:Q *1548:A1 32.4214 -*END - -*D_NET *283 0.00165361 -*CONN -*I *1715:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1759:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *1784:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *1715:A0 0.00014151 -2 *1759:D 0 -3 *1784:Q 0.00026826 -4 *283:8 0.00040977 -5 *1715:A0 *1715:S 0.000312433 -6 *1715:A0 *304:35 2.59355e-05 -7 *283:8 *1715:S 5.74309e-05 -8 *377:DIODE *1715:A0 2.22156e-05 -9 *1827:A *1715:A0 0.000165185 -10 *1827:A *283:8 0.000150043 -11 *4:5 *1715:A0 0.000100831 -*RES -1 *1784:Q *283:8 17.925 -2 *283:8 *1759:D 13.8 -3 *283:8 *1715:A0 18.4071 -*END - -*D_NET *284 0.00660235 -*CONN -*I *1596:A I *D sky130_fd_sc_hd__clkinv_4 -*I *1848:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 -*CAP -1 *1596:A 0 -2 *1848:X 0.00162708 -3 *284:11 0.00162708 -4 *284:11 *1569:S 0.000570306 -5 *284:11 *1670:B 0.000212543 -6 *284:11 *288:65 0.000448985 -7 *284:11 *288:67 9.83442e-05 -8 *1569:A1 *284:11 0.00101326 -9 *1570:A1 *284:11 0.000162504 -10 *1643:B *284:11 0.000622413 -11 *1679:A *284:11 5.49995e-05 -12 *267:33 *284:11 0.000164833 -*RES -1 *1848:X *284:11 46.55 -2 *284:11 *1596:A 9.3 -*END - -*D_NET *285 0.000925628 -*CONN -*I *1814:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *1549:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1814:A 0.000391663 -2 *1549:X 0.000391663 -3 *1814:A *1551:S 0 -4 *1814:A *304:42 6.64171e-05 -5 *1837:A *1814:A 7.58841e-05 -*RES -1 *1549:X *1814:A 33.5464 -*END - -*D_NET *286 0.00260945 -*CONN -*I *1857:A I *D sky130_fd_sc_hd__buf_12 -*I *1684:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *1857:A 0.00118844 -2 *1684:Y 0.00118844 -3 *1857:A *1684:A 0.000217781 -4 *1857:A *302:57 0 -5 *1857:A *302:63 0 -6 *1857:A *302:84 0 -7 *1857:A *302:109 0 -8 *1551:A0 *1857:A 1.47972e-05 -9 *229:67 *1857:A 0 -*RES -1 *1684:Y *1857:A 46.4571 -*END - -*D_NET *287 0.0264349 -*CONN -*I *341:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1585:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *342:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *344:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1609:A1 I *D sky130_fd_sc_hd__o21ai_2 -*I *338:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1577:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *337:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1576:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *345:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1610:A1 I *D sky130_fd_sc_hd__o211ai_4 -*I *361:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1685:A1 I *D sky130_fd_sc_hd__o2111ai_2 -*I *343:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *359:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1582:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1583:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *340:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *339:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1670:A I *D sky130_fd_sc_hd__nor3_1 -*I *360:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1671:B1 I *D sky130_fd_sc_hd__o21a_1 -*I *1608:A1 I *D sky130_fd_sc_hd__o21a_2 -*I *1607:A I *D sky130_fd_sc_hd__nor2_1 -*I *1831:X O *D sky130_fd_sc_hd__buf_4 -*CAP -1 *341:DIODE 4.44455e-05 -2 *1585:A0 0.000271733 -3 *342:DIODE 4.97392e-05 -4 *344:DIODE 1.99614e-05 -5 *1609:A1 0.000104845 -6 *338:DIODE 0 -7 *1577:A1 0.000182477 -8 *337:DIODE 0.000170583 -9 *1576:A1 0 -10 *345:DIODE 0 -11 *1610:A1 0.000388326 -12 *361:DIODE 0.000239866 -13 *1685:A1 5.57403e-05 -14 *343:DIODE 6.53343e-05 -15 *359:DIODE 4.1978e-05 -16 *1582:A1 4.06778e-05 -17 *1583:A1 0 -18 *340:DIODE 0.000179597 -19 *339:DIODE 0 -20 *1670:A 0.0002358 -21 *360:DIODE 0 -22 *1671:B1 0.000269354 -23 *1608:A1 0.000174644 -24 *1607:A 0 -25 *1831:X 0 -26 *287:221 0.000993221 -27 *287:192 0.000124807 -28 *287:179 0.000182477 -29 *287:167 0.000337678 -30 *287:150 0.000496628 -31 *287:134 0.00128662 -32 *287:98 0.000252521 -33 *287:93 0.000292955 -34 *287:85 0.00070274 -35 *287:59 0.000642302 -36 *287:54 0.000644884 -37 *287:52 0.000586299 -38 *287:50 0.000269074 -39 *287:39 0.000442495 -40 *287:36 0.000601064 -41 *287:32 0.00153781 -42 *287:30 0.000514653 -43 *287:28 0.000640829 -44 *287:26 0.000894594 -45 *287:20 0.00121102 -46 *287:9 0.000550609 -47 *287:8 0.000217089 -48 *287:4 0.000756177 -49 *340:DIODE *1583:S 0.000191784 -50 *343:DIODE *289:51 4.77002e-05 -51 *359:DIODE *1670:C 1.46148e-05 -52 *361:DIODE *1735:A1 0.000173559 -53 *1577:A1 *1577:S 5.65955e-05 -54 *1585:A0 *316:13 2.59355e-05 -55 *1608:A1 *1608:A2 3.18747e-05 -56 *1608:A1 *1608:B1 0.000185118 -57 *1670:A *1670:C 0.000438045 -58 *1671:B1 *1671:A2 0.000119598 -59 *1685:A1 *1685:B1 0 -60 *1685:A1 *1735:A1 9.87672e-05 -61 *287:8 *288:19 0.000144854 -62 *287:20 *1648:A_N 0.000136733 -63 *287:20 *289:14 0.000124166 -64 *287:26 *289:14 6.14923e-06 -65 *287:30 *1732:A1 0.000124025 -66 *287:32 *1732:A1 6.21854e-05 -67 *287:32 *289:24 0 -68 *287:36 *1732:A1 0.000381628 -69 *287:36 *289:24 0 -70 *287:36 *289:30 0 -71 *287:39 *1608:B1 4.08637e-05 -72 *287:39 *289:51 5.50052e-05 -73 *287:50 *289:60 0.000336197 -74 *287:52 *289:60 5.66157e-05 -75 *287:54 *289:60 2.24646e-05 -76 *287:54 *289:65 3.17148e-05 -77 *287:59 *289:65 0.000150718 -78 *287:85 *1578:A1 0.000272147 -79 *287:85 *1670:C 5.33005e-05 -80 *287:134 *374:DIODE 0.000225251 -81 *287:134 *296:8 4.78056e-05 -82 *287:221 *319:21 0.000242712 -83 *1550:S *287:20 0.000225161 -84 *1570:A1 *287:59 0 -85 *1572:A0 *287:134 1.1979e-05 -86 *1572:S *287:30 0 -87 *1573:A0 *287:30 7.74135e-05 -88 *1574:A0 *287:26 9.08831e-05 -89 *1576:S *337:DIODE 1.98839e-05 -90 *1576:S *287:167 5.03772e-05 -91 *1577:A0 *287:167 9.90431e-05 -92 *1582:S *1582:A1 1.15281e-05 -93 *1582:S *287:85 0.000177491 -94 *1582:S *287:93 0.000139172 -95 *1583:A0 *287:93 0.000143652 -96 *1583:A0 *287:98 7.78006e-05 -97 *1594:A *1610:A1 6.05161e-06 -98 *1594:A *287:134 3.67257e-05 -99 *1594:A *287:150 6.3629e-05 -100 *1605:B *287:26 0.000117196 -101 *1607:B *287:20 5.38444e-06 -102 *1609:A2 *344:DIODE 5.50052e-05 -103 *1609:A2 *1609:A1 0.000353788 -104 *1640:A *287:221 3.26183e-05 -105 *1640:B *1585:A0 7.98318e-05 -106 *1640:B *287:221 1.75642e-05 -107 *1648:B *287:20 3.2923e-05 -108 *1651:A2 *1609:A1 0.000185118 -109 *1651:B1 *287:20 1.34741e-05 -110 *1672:B *287:50 0 -111 *1672:B *287:52 0 -112 *1672:B *287:54 0 -113 *1675:A *287:26 9.14222e-05 -114 *1677:A1 *287:26 0.000101396 -115 *1677:A2 *1577:A1 4.41588e-05 -116 *1716:C *287:221 8.85068e-05 -117 *1732:B1 *287:36 4.76456e-05 -118 *1733:A2 *287:36 0 -119 *1733:B1 *287:36 6.97972e-05 -120 *1735:A3 *361:DIODE 5.33005e-05 -121 *1735:B1 *361:DIODE 3.80087e-05 -122 *1735:B1 *1685:A1 1.74352e-05 -123 *1742:A0 *1585:A0 6.05161e-06 -124 *1798:CLK *287:134 4.884e-05 -125 *1798:D *361:DIODE 9.83442e-05 -126 *1798:D *1685:A1 0.000139907 -127 *1803:D *287:221 1.83136e-05 -128 *1823:A *287:26 0.000144707 -129 *1823:A *287:28 9.64431e-05 -130 *1823:A *287:30 5.80706e-06 -131 *1830:A *287:20 0.0001374 -132 *1830:A *287:26 7.5519e-05 -133 *1833:A *287:221 5.50052e-05 -134 *50:8 *341:DIODE 4.00276e-06 -135 *50:8 *287:221 6.86755e-06 -136 *52:12 *287:26 0 -137 *52:12 *287:28 0 -138 *52:12 *287:30 0 -139 *52:32 *287:26 0 -140 *52:50 *287:26 0 -141 *53:9 *287:85 0.000140088 -142 *53:15 *287:85 0.000225609 -143 *257:20 *287:20 8.71721e-05 -144 *257:37 *342:DIODE 4.17702e-05 -145 *257:37 *287:9 0.000227921 -146 *257:37 *287:20 4.73286e-05 -147 *259:14 *287:221 0.000345309 -148 *259:19 *287:221 5.403e-05 -149 *260:12 *1585:A0 0.000208128 -150 *260:14 *1585:A0 0.000244257 -151 *263:11 *1610:A1 0.000214626 -152 *263:14 *287:30 6.65019e-05 -153 *264:16 *287:26 0.000156739 -154 *264:18 *287:26 0.000126446 -155 *265:26 *287:26 5.83304e-05 -156 *267:8 *287:98 0 -157 *267:56 *361:DIODE 6.62783e-05 -*RES -1 *1831:X *287:4 9.3 -2 *287:4 *287:8 10.9464 -3 *287:8 *287:9 2.17857 -4 *287:9 *1607:A 9.3 -5 *287:9 *287:20 15.5714 -6 *287:20 *287:26 17.1786 -7 *287:26 *287:28 2.55357 -8 *287:28 *287:30 7.10714 -9 *287:30 *287:32 1.64286 -10 *287:32 *287:36 15.25 -11 *287:36 *287:39 1.375 -12 *287:39 *1608:A1 12.7286 -13 *287:39 *287:50 9.17857 -14 *287:50 *287:52 0.732143 -15 *287:52 *287:54 0.732143 -16 *287:54 *287:59 10.0357 -17 *287:59 *1671:B1 13.0321 -18 *287:59 *360:DIODE 9.3 -19 *287:54 *1670:A 18.4607 -20 *287:52 *287:85 15.7321 -21 *287:85 *339:DIODE 9.3 -22 *287:85 *287:93 4.25 -23 *287:93 *287:98 10.3393 -24 *287:98 *340:DIODE 12.7107 -25 *287:98 *1583:A1 9.3 -26 *287:93 *1582:A1 9.83571 -27 *287:50 *359:DIODE 14.3357 -28 *287:36 *343:DIODE 10.2464 -29 *287:32 *287:134 25.6429 -30 *287:134 *1685:A1 11.0857 -31 *287:134 *361:DIODE 14.3536 -32 *287:30 *287:150 5.85714 -33 *287:150 *1610:A1 23.7643 -34 *287:150 *345:DIODE 9.3 -35 *287:28 *287:167 7.5 -36 *287:167 *1576:A1 9.3 -37 *287:167 *337:DIODE 11.8893 -38 *287:26 *287:179 4.5 -39 *287:179 *1577:A1 12.6214 -40 *287:179 *338:DIODE 9.3 -41 *287:20 *287:192 4.5 -42 *287:192 *1609:A1 12.7107 -43 *287:192 *344:DIODE 9.83571 -44 *287:8 *342:DIODE 10.2464 -45 *287:4 *287:221 22.3571 -46 *287:221 *1585:A0 24.7286 -47 *287:221 *341:DIODE 9.83571 -*END - -*D_NET *288 0.0219504 -*CONN -*I *1584:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1574:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1575:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1786:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *1685:A2 I *D sky130_fd_sc_hd__o2111ai_2 -*I *1581:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1608:A2 I *D sky130_fd_sc_hd__o21a_2 -*I *1668:A I *D sky130_fd_sc_hd__xnor2_1 -*I *1670:B I *D sky130_fd_sc_hd__nor3_1 -*I *1671:A1 I *D sky130_fd_sc_hd__o21a_1 -*I *1580:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1610:A2 I *D sky130_fd_sc_hd__o211ai_4 -*I *1832:X O *D sky130_fd_sc_hd__buf_4 -*CAP -1 *1584:A0 7.86452e-05 -2 *1574:A1 0 -3 *1575:A1 0.000180996 -4 *1786:D 0.000111827 -5 *1685:A2 3.82202e-05 -6 *1581:A1 4.77711e-05 -7 *1608:A2 0.000657071 -8 *1668:A 0 -9 *1670:B 0.000133363 -10 *1671:A1 0.000182909 -11 *1580:A1 9.36996e-05 -12 *1610:A2 0.00038035 -13 *1832:X 0.000181142 -14 *288:67 0.000350723 -15 *288:65 0.000626897 -16 *288:51 0.000996475 -17 *288:49 0.00107643 -18 *288:47 0.000444077 -19 *288:43 0.000581149 -20 *288:40 0.000711173 -21 *288:24 0.00113276 -22 *288:22 0.000615794 -23 *288:19 0.000988314 -24 *288:9 0.00122202 -25 *1575:A1 *1575:S 5.65955e-05 -26 *1575:A1 *297:17 0.000192459 -27 *1575:A1 *297:25 2.8214e-05 -28 *1608:A2 *1608:B1 0.000119279 -29 *1608:A2 *289:51 0.000159041 -30 *1610:A2 *1610:B1 0.000578875 -31 *1610:A2 *289:22 0.000100248 -32 *1671:A1 *1671:A2 0.000106241 -33 *288:19 *376:DIODE 7.50984e-05 -34 *288:19 *289:14 0 -35 *288:22 *289:14 7.90803e-05 -36 *288:24 *289:14 0.000965969 -37 *288:24 *289:16 0.000354014 -38 *288:24 *289:22 3.14003e-05 -39 *288:40 *1573:A1 5.33005e-05 -40 *288:40 *1839:A 1.98839e-05 -41 *288:43 *374:DIODE 4.04403e-05 -42 *288:47 *374:DIODE 0.000121225 -43 *288:47 *303:92 6.23278e-05 -44 *288:49 *296:14 1.41665e-05 -45 *288:49 *296:18 1.95368e-05 -46 *288:51 *296:18 4.76424e-05 -47 *288:65 *289:65 0.000226046 -48 *1550:A0 *288:19 0.000235809 -49 *1570:A1 *1670:B 0.000228876 -50 *1572:S *1610:A2 0.000149543 -51 *1572:S *288:24 0.000109789 -52 *1573:A0 *1610:A2 7.4854e-05 -53 *1574:A0 *1575:A1 0.000140933 -54 *1574:S *1575:A1 5.33005e-05 -55 *1576:A0 *288:24 0.000291202 -56 *1580:A0 *1580:A1 1.00887e-05 -57 *1580:S *1580:A1 5.38072e-05 -58 *1580:S *288:51 0.000126439 -59 *1581:A0 *1581:A1 5.50052e-05 -60 *1581:A0 *288:51 0 -61 *1584:S *1584:A0 2.79421e-05 -62 *1584:S *288:9 3.69047e-06 -63 *1608:A1 *1608:A2 3.18747e-05 -64 *1734:B1_N *288:49 0.000126409 -65 *1735:B1 *1685:A2 2.59355e-05 -66 *1735:B1 *288:47 0.00055107 -67 *1735:B1 *288:49 0.000121891 -68 *1741:A0 *288:9 0.00012735 -69 *1789:CLK *288:19 0.000149588 -70 *1832:A *288:19 0.000191254 -71 *1833:A *288:19 6.53562e-05 -72 *7:15 *288:9 0 -73 *7:15 *288:19 0 -74 *52:12 *288:24 0.000176388 -75 *52:32 *288:24 0.000600308 -76 *52:50 *288:22 6.91157e-05 -77 *52:50 *288:24 3.17148e-05 -78 *82:8 *1670:B 0.000151769 -79 *137:21 *1581:A1 2.6269e-05 -80 *222:57 *1685:A2 2.09897e-05 -81 *222:57 *288:43 0.000186451 -82 *222:57 *288:47 2.23987e-05 -83 *222:66 *288:43 0.000326514 -84 *229:29 *288:19 0.000349953 -85 *257:37 *288:19 1.58559e-05 -86 *262:14 *288:9 0.00024754 -87 *262:14 *288:19 0.000145753 -88 *266:8 *1580:A1 0 -89 *266:8 *288:47 0 -90 *266:8 *288:51 0 -91 *267:33 *288:65 0.000600591 -92 *275:13 *288:19 4.88637e-05 -93 *275:26 *1575:A1 0.000476844 -94 *275:26 *288:19 0.000313467 -95 *284:11 *1670:B 0.000212543 -96 *284:11 *288:65 0.000448985 -97 *284:11 *288:67 9.83442e-05 -98 *287:8 *288:19 0.000144854 -*RES -1 *1832:X *288:9 22.4071 -2 *288:9 *288:19 29.0893 -3 *288:19 *288:22 5.53571 -4 *288:22 *288:24 17.4286 -5 *288:24 *1610:A2 22.6929 -6 *288:24 *288:40 9.55357 -7 *288:40 *288:43 11.1518 -8 *288:43 *288:47 8.40179 -9 *288:47 *288:49 2.85714 -10 *288:49 *288:51 6.19643 -11 *288:51 *1580:A1 15.4429 -12 *288:51 *288:65 16.0536 -13 *288:65 *288:67 0.946429 -14 *288:67 *1671:A1 21.3893 -15 *288:67 *1670:B 22.8536 -16 *288:65 *1668:A 9.3 -17 *288:49 *1608:A2 24.6036 -18 *288:47 *1581:A1 14.7464 -19 *288:43 *1685:A2 14.6125 -20 *288:40 *1786:D 10.9295 -21 *288:22 *1575:A1 20.6393 -22 *288:19 *1574:A1 9.3 -23 *288:9 *1584:A0 10.2464 -*END - -*D_NET *289 0.0185776 -*CONN -*I *1648:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *1785:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *1573:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1572:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1610:B1 I *D sky130_fd_sc_hd__o211ai_4 -*I *1685:B1 I *D sky130_fd_sc_hd__o2111ai_2 -*I *1670:C I *D sky130_fd_sc_hd__nor3_1 -*I *1668:B I *D sky130_fd_sc_hd__xnor2_1 -*I *1671:A2 I *D sky130_fd_sc_hd__o21a_1 -*I *1608:B1 I *D sky130_fd_sc_hd__o21a_2 -*I *1579:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1578:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1833:X O *D sky130_fd_sc_hd__buf_4 -*CAP -1 *1648:A_N 4.71623e-05 -2 *1785:D 0.000474874 -3 *1573:A1 0.000139381 -4 *1572:A1 0 -5 *1610:B1 0.000226851 -6 *1685:B1 0.000288868 -7 *1670:C 0.000196418 -8 *1668:B 0 -9 *1671:A2 0.000237516 -10 *1608:B1 5.11662e-05 -11 *1579:A1 4.4618e-05 -12 *1578:A1 0.000144662 -13 *1833:X 9.98378e-05 -14 *289:101 0.000226851 -15 *289:65 0.000431065 -16 *289:60 0.000576789 -17 *289:51 0.000345256 -18 *289:32 0.000294098 -19 *289:30 0.000706676 -20 *289:24 0.00102194 -21 *289:22 0.00036743 -22 *289:16 0.000451315 -23 *289:14 0.00172315 -24 *289:8 0.00121229 -25 *1573:A1 *1839:A 0.000297376 -26 *1573:A1 *297:67 5.50052e-05 -27 *1579:A1 *296:21 9.84729e-05 -28 *1610:B1 *320:15 0.000804769 -29 *289:16 *1839:A 3.14003e-05 -30 *289:22 *1839:A 0.000165104 -31 *343:DIODE *289:51 4.77002e-05 -32 *359:DIODE *1670:C 1.46148e-05 -33 *1550:A0 *289:8 3.23206e-05 -34 *1550:S *289:8 5.33005e-05 -35 *1572:A0 *289:22 2.37713e-05 -36 *1572:A0 *289:24 0.00012249 -37 *1572:S *1610:B1 4.84073e-05 -38 *1572:S *289:22 6.14702e-06 -39 *1578:A0 *1578:A1 9.83442e-05 -40 *1579:A0 *1578:A1 7.95153e-05 -41 *1608:A1 *1608:B1 0.000185118 -42 *1608:A2 *1608:B1 0.000119279 -43 *1608:A2 *289:51 0.000159041 -44 *1609:A2 *289:14 0.000360486 -45 *1610:A2 *1610:B1 0.000578875 -46 *1610:A2 *289:22 0.000100248 -47 *1642:A *1685:B1 5.16139e-05 -48 *1642:B *1685:B1 9.83388e-05 -49 *1642:B *289:30 0 -50 *1651:B1 *289:8 0.000160529 -51 *1651:B1 *289:14 3.45899e-05 -52 *1670:A *1670:C 0.000438045 -53 *1671:A1 *1671:A2 0.000106241 -54 *1671:B1 *1671:A2 0.000119598 -55 *1672:A *1670:C 0.000283128 -56 *1677:A2 *1785:D 3.58701e-05 -57 *1677:A2 *289:14 2.09722e-05 -58 *1685:A1 *1685:B1 0 -59 *1685:C1 *1685:B1 5.33005e-05 -60 *1685:D1 *1685:B1 4.65241e-05 -61 *1687:A2 *289:14 0 -62 *1719:A_N *1785:D 3.73462e-05 -63 *1719:B *1785:D 0.000280499 -64 *1720:B *1785:D 6.24693e-05 -65 *1732:B1 *289:30 6.06697e-05 -66 *1732:B1 *289:32 4.68007e-05 -67 *1733:A1 *1578:A1 1.58559e-05 -68 *1733:A1 *289:30 0.000137165 -69 *1733:A1 *289:32 6.88118e-05 -70 *1733:A2 *289:30 0.000168553 -71 *1733:B1 *289:30 0 -72 *1789:CLK *289:14 0 -73 *7:15 *289:14 0.000113628 -74 *52:50 *289:14 5.76571e-05 -75 *89:15 *1578:A1 7.80714e-06 -76 *89:15 *289:60 0 -77 *89:15 *289:65 0 -78 *176:8 *289:14 0 -79 *176:8 *289:16 0 -80 *176:8 *289:24 0 -81 *176:8 *289:30 0 -82 *257:8 *289:8 0.000166973 -83 *257:8 *289:14 2.28343e-05 -84 *257:20 *1648:A_N 9.58689e-05 -85 *266:8 *289:30 0 -86 *287:20 *1648:A_N 0.000136733 -87 *287:20 *289:14 0.000124166 -88 *287:26 *289:14 6.14923e-06 -89 *287:32 *289:24 0 -90 *287:36 *289:24 0 -91 *287:36 *289:30 0 -92 *287:39 *1608:B1 4.08637e-05 -93 *287:39 *289:51 5.50052e-05 -94 *287:50 *289:60 0.000336197 -95 *287:52 *289:60 5.66157e-05 -96 *287:54 *289:60 2.24646e-05 -97 *287:54 *289:65 3.17148e-05 -98 *287:59 *289:65 0.000150718 -99 *287:85 *1578:A1 0.000272147 -100 *287:85 *1670:C 5.33005e-05 -101 *288:19 *289:14 0 -102 *288:22 *289:14 7.90803e-05 -103 *288:24 *289:14 0.000965969 -104 *288:24 *289:16 0.000354014 -105 *288:24 *289:22 3.14003e-05 -106 *288:40 *1573:A1 5.33005e-05 -107 *288:65 *289:65 0.000226046 -*RES -1 *1833:X *289:8 16.5857 -2 *289:8 *289:14 24.4821 -3 *289:14 *289:16 4.67857 -4 *289:16 *289:22 3.21429 -5 *289:22 *289:24 4.375 -6 *289:24 *289:30 10.8036 -7 *289:30 *289:32 2.85714 -8 *289:32 *1578:A1 18.3357 -9 *289:32 *1579:A1 14.7464 -10 *289:30 *289:51 7.5 -11 *289:51 *1608:B1 11.4786 -12 *289:51 *289:60 9.78571 -13 *289:60 *289:65 8.92857 -14 *289:65 *1671:A2 23.0321 -15 *289:65 *1668:B 9.3 -16 *289:60 *1670:C 20.2286 -17 *289:24 *1685:B1 18.8714 -18 *289:22 *289:101 4.5 -19 *289:101 *1610:B1 18.1929 -20 *289:101 *1572:A1 9.3 -21 *289:16 *1573:A1 17.2107 -22 *289:14 *1785:D 21.925 -23 *289:8 *1648:A_N 15.1571 -*END - -*D_NET *290 0.00930079 -*CONN -*I *1742:S I *D sky130_fd_sc_hd__mux2_1 -*I *1743:A I *D sky130_fd_sc_hd__nor4_1 -*I *1744:A1 I *D sky130_fd_sc_hd__o31ai_1 -*I *1717:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *1741:S I *D sky130_fd_sc_hd__mux2_1 -*I *1716:A_N I *D sky130_fd_sc_hd__nand4bb_1 -*I *1609:B1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1834:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1742:S 0.000333256 -2 *1743:A 0 -3 *1744:A1 2.84623e-05 -4 *1717:A1 0 -5 *1741:S 0.000112735 -6 *1716:A_N 8.29164e-05 -7 *1609:B1 0.000376923 -8 *1834:X 0 -9 *290:60 0.000230236 -10 *290:57 0.000825074 -11 *290:20 0.000580599 -12 *290:18 0.000711956 -13 *290:7 0.000569755 -14 *290:4 0.000381337 -15 *1609:B1 *1758:SET_B 0.000477921 -16 *1716:A_N *319:21 0.000138605 -17 *1742:S *316:13 0.000103031 -18 *290:60 *316:13 0.000203341 -19 *1550:S *1609:B1 0.000148514 -20 *1585:S *1742:S 0.000122284 -21 *1609:A2 *1609:B1 0.000773412 -22 *1639:B *290:60 0.00013966 -23 *1683:A *290:57 0.000139202 -24 *1683:B *290:57 7.57394e-05 -25 *1717:B1 *290:18 2.89016e-05 -26 *1741:A0 *1741:S 1.35465e-05 -27 *1741:A1 *1741:S 2.85321e-05 -28 *1741:A1 *290:18 4.73355e-05 -29 *1741:A1 *290:20 0.000317986 -30 *1742:A1 *1742:S 6.23278e-05 -31 *1743:C *1744:A1 7.77751e-05 -32 *1744:B1 *1744:A1 0.000140933 -33 *1801:D *290:18 0.00010326 -34 *1834:A *290:7 0.000140933 -35 *7:15 *290:18 1.17968e-05 -36 *50:8 *1742:S 9.71532e-05 -37 *50:8 *290:60 0.000101545 -38 *50:14 *290:7 0.00010462 -39 *50:14 *290:18 1.00073e-05 -40 *50:14 *290:57 5.0185e-05 -41 *257:8 *1609:B1 0.00032691 -42 *258:6 *1609:B1 5.41011e-05 -43 *258:6 *1716:A_N 2.04825e-05 -44 *258:6 *290:18 0.000153611 -45 *258:6 *290:20 0.00017242 -46 *258:10 *1609:B1 0.000145554 -47 *258:14 *1609:B1 0.000135242 -48 *259:48 *1716:A_N 0.000136682 -49 *260:12 *1742:S 0.000105309 -50 *260:14 *290:60 8.99418e-05 -51 *260:18 *290:60 5.94923e-05 -52 *261:6 *290:60 9.25014e-06 -*RES -1 *1834:X *290:4 9.3 -2 *290:4 *290:7 3.01786 -3 *290:7 *290:18 13.0893 -4 *290:18 *290:20 4.52679 -5 *290:20 *1609:B1 27.5232 -6 *290:20 *1716:A_N 15.9696 -7 *290:18 *1741:S 15.4786 -8 *290:7 *1717:A1 9.3 -9 *290:4 *290:57 9.55357 -10 *290:57 *290:60 11.3036 -11 *290:60 *1744:A1 10.6571 -12 *290:60 *1743:A 9.3 -13 *290:57 *1742:S 22.05 -*END - -*D_NET *291 0.0207393 -*CONN -*I *1623:A1 I *D sky130_fd_sc_hd__o21a_1 -*I *1624:A1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1694:A I *D sky130_fd_sc_hd__and2_1 -*I *1693:A I *D sky130_fd_sc_hd__nor2_1 -*I *1654:A I *D sky130_fd_sc_hd__nor3_1 -*I *1625:A1 I *D sky130_fd_sc_hd__o211ai_4 -*I *1565:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1738:A1 I *D sky130_fd_sc_hd__o2111ai_1 -*I *1566:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1560:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1559:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1655:B1 I *D sky130_fd_sc_hd__o21a_1 -*I *1835:X O *D sky130_fd_sc_hd__buf_4 -*CAP -1 *1623:A1 0 -2 *1624:A1 0.000218269 -3 *1694:A 8.22215e-06 -4 *1693:A 0.000119535 -5 *1654:A 5.90248e-05 -6 *1625:A1 0 -7 *1565:A1 3.12547e-05 -8 *1738:A1 0.00054482 -9 *1566:A1 6.26053e-05 -10 *1560:A1 3.97093e-05 -11 *1559:A1 0.000159862 -12 *1655:B1 0 -13 *1835:X 0 -14 *291:108 0.000332314 -15 *291:101 0.000589781 -16 *291:98 0.000252271 -17 *291:57 0.000793644 -18 *291:54 0.00113789 -19 *291:45 0.000960125 -20 *291:34 0.000924082 -21 *291:27 0.00128192 -22 *291:16 0.000660065 -23 *291:12 0.0011953 -24 *291:4 0.00107922 -25 *1559:A1 *292:105 0.000144773 -26 *1566:A1 *1566:S 3.2033e-05 -27 *1624:A1 *1622:B 5.20669e-05 -28 *1624:A1 *1779:SET_B 5.50052e-05 -29 *1624:A1 *292:19 3.76935e-05 -30 *1654:A *1654:C 9.41642e-05 -31 *1693:A *299:8 0.000183027 -32 *1738:A1 *1738:A2 3.87535e-05 -33 *1738:A1 *1738:B1 0.00021326 -34 *1738:A1 *293:167 7.74135e-05 -35 *1738:A1 *320:24 2.37944e-05 -36 *291:12 *347:DIODE 0.000158856 -37 *291:12 *1654:B 6.8529e-05 -38 *291:12 *299:12 9.26187e-05 -39 *291:12 *301:119 0 -40 *291:16 *299:12 0.000340466 -41 *291:16 *299:16 0.000200249 -42 *291:27 *1655:A1 0.000185118 -43 *291:27 *292:50 3.12359e-05 -44 *291:27 *292:54 0.000939225 -45 *291:27 *292:144 0.000182756 -46 *291:27 *293:41 6.84805e-05 -47 *291:27 *293:43 6.63763e-05 -48 *291:27 *293:47 0.000757877 -49 *291:27 *293:50 5.50052e-05 -50 *291:27 *293:72 4.1889e-05 -51 *291:27 *299:32 8.83743e-05 -52 *291:34 *1560:S 0 -53 *291:54 *1560:S 7.88091e-05 -54 *291:54 *1561:A1 0.000191528 -55 *291:98 *292:35 0.000269378 -56 *291:108 *299:8 0.000285471 -57 *1547:S *1624:A1 0.000118292 -58 *1553:A1 *291:12 0.00018528 -59 *1560:A0 *1559:A1 4.06715e-05 -60 *1565:A0 *1565:A1 2.24804e-05 -61 *1565:A0 *291:54 1.24368e-05 -62 *1565:S *1565:A1 9.67754e-05 -63 *1565:S *291:54 0.000358486 -64 *1566:A0 *291:57 0.000268767 -65 *1622:A *1624:A1 2.23592e-05 -66 *1637:B *1738:A1 1.12578e-05 -67 *1647:A1 *291:12 0.000289441 -68 *1656:B *291:12 3.14003e-05 -69 *1656:B *291:16 0.000484808 -70 *1656:B *291:27 2.89114e-05 -71 *1693:B *1693:A 0.000105123 -72 *1693:B *1694:A 1.02132e-05 -73 *1693:B *291:108 2.36643e-05 -74 *1695:A1 *291:108 8.53458e-05 -75 *1695:B1 *1624:A1 6.74082e-05 -76 *1695:B1 *291:101 0.000108976 -77 *1701:A1 *291:34 0.000164746 -78 *1709:B2 *1738:A1 0.000293451 -79 *1709:B2 *291:57 1.25924e-05 -80 *1738:C1 *1738:A1 0.000697065 -81 *1738:D1 *1738:A1 3.49456e-06 -82 *1822:A *291:16 2.49484e-05 -83 *1835:A *291:98 2.48034e-05 -84 *1842:A *291:108 0.000181796 -85 *145:20 *291:27 3.3986e-05 -86 *145:20 *291:34 6.11581e-05 -87 *146:27 *291:34 0.000170995 -88 *232:20 *1624:A1 0.00019913 -89 *232:20 *291:98 0.000127575 -90 *232:20 *291:101 0.000385304 -91 *232:25 *1624:A1 2.59355e-05 -92 *239:11 *291:34 3.75924e-05 -93 *242:12 *1738:A1 0.000229651 -94 *242:12 *291:57 4.54426e-05 -95 *248:11 *291:108 0.000143375 -*RES -1 *1835:X *291:4 9.3 -2 *291:4 *291:12 28.5893 -3 *291:12 *291:16 11.3036 -4 *291:16 *1655:B1 9.3 -5 *291:16 *291:27 26.75 -6 *291:27 *291:34 20.1607 -7 *291:34 *1559:A1 16.4964 -8 *291:34 *291:45 4.5 -9 *291:45 *1560:A1 9.83571 -10 *291:45 *291:54 16.1607 -11 *291:54 *291:57 9.17857 -12 *291:57 *1566:A1 14.7464 -13 *291:57 *1738:A1 30.7107 -14 *291:54 *1565:A1 10.2464 -15 *291:27 *1625:A1 9.3 -16 *291:12 *1654:A 14.7464 -17 *291:4 *291:98 3.01786 -18 *291:98 *291:101 9.48214 -19 *291:101 *291:108 10.9286 -20 *291:108 *1693:A 12.3179 -21 *291:108 *1694:A 9.72857 -22 *291:101 *1624:A1 20.175 -23 *291:98 *1623:A1 9.3 -*END - -*D_NET *292 0.0282286 -*CONN -*I *349:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1624:A2 I *D sky130_fd_sc_hd__o21ai_2 -*I *1623:A2 I *D sky130_fd_sc_hd__o21a_1 -*I *355:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *347:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1654:B I *D sky130_fd_sc_hd__nor3_1 -*I *357:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1655:A1 I *D sky130_fd_sc_hd__o21a_1 -*I *1625:A2 I *D sky130_fd_sc_hd__o211ai_4 -*I *1738:A2 I *D sky130_fd_sc_hd__o2111ai_1 -*I *363:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *351:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *353:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *332:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1563:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1564:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *336:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *335:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *331:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1557:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1558:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1652:A I *D sky130_fd_sc_hd__xnor2_1 -*I *1622:B I *D sky130_fd_sc_hd__nor2_1 -*I *346:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1836:X O *D sky130_fd_sc_hd__buf_4 -*CAP -1 *349:DIODE 0.00014304 -2 *1624:A2 6.57911e-05 -3 *1623:A2 0 -4 *355:DIODE 0.000124237 -5 *347:DIODE 0.000376296 -6 *1654:B 0.000101215 -7 *357:DIODE 8.59196e-05 -8 *1655:A1 2.92268e-05 -9 *1625:A2 0 -10 *1738:A2 0.000184506 -11 *363:DIODE 0 -12 *351:DIODE 0 -13 *353:DIODE 0 -14 *332:DIODE 0 -15 *1563:A1 5.98505e-05 -16 *1564:A1 0.000184782 -17 *336:DIODE 0 -18 *335:DIODE 0 -19 *331:DIODE 0 -20 *1557:A1 0.000227076 -21 *1558:A1 7.40396e-05 -22 *1652:A 7.29593e-05 -23 *1622:B 6.36466e-05 -24 *346:DIODE 0.000284338 -25 *1836:X 0 -26 *292:190 0.000477511 -27 *292:157 0.000570791 -28 *292:144 0.000744774 -29 *292:114 0.000313496 -30 *292:111 0.000357317 -31 *292:105 0.00108003 -32 *292:89 0.000368136 -33 *292:83 0.00148944 -34 *292:79 0.000616551 -35 *292:72 0.000923843 -36 *292:61 0.000874633 -37 *292:59 0.000682624 -38 *292:55 0.000932083 -39 *292:54 0.000533793 -40 *292:50 0.000625165 -41 *292:48 0.000399736 -42 *292:46 0.000377266 -43 *292:44 0.00061031 -44 *292:35 0.000752435 -45 *292:19 0.000556955 -46 *292:18 0.000189538 -47 *292:7 0.000687197 -48 *292:4 0.00050122 -49 *346:DIODE *295:17 1.98839e-05 -50 *346:DIODE *295:24 0.000354708 -51 *355:DIODE *301:119 0.000183721 -52 *1564:A1 *1566:S 1.77015e-05 -53 *1564:A1 *299:81 1.0484e-05 -54 *1564:A1 *322:10 6.73181e-05 -55 *1624:A2 *1779:SET_B 7.49625e-05 -56 *1652:A *1652:B 9.58632e-05 -57 *1654:B *1654:C 5.33005e-05 -58 *1655:A1 *299:32 0.000185118 -59 *1738:A2 *1738:B1 1.80356e-05 -60 *1738:A2 *1739:B1 1.32293e-05 -61 *292:7 *295:17 6.05161e-06 -62 *292:18 *295:17 4.88537e-05 -63 *292:18 *300:57 0.000100248 -64 *292:19 *1779:SET_B 5.50052e-05 -65 *292:35 *1623:B1 1.32693e-05 -66 *292:35 *1624:B1 3.27461e-05 -67 *292:35 *1764:RESET_B 9.99158e-05 -68 *292:44 *1623:B1 2.93139e-05 -69 *292:44 *299:12 0 -70 *292:46 *299:12 0 -71 *292:48 *293:41 0.00017277 -72 *292:50 *293:41 9.11653e-05 -73 *292:59 *293:50 7.8195e-05 -74 *292:59 *293:58 0.000176731 -75 *292:61 *1652:B 0.000228876 -76 *292:61 *293:58 4.84372e-05 -77 *292:72 *1766:SET_B 0 -78 *292:72 *298:38 0.000267625 -79 *292:72 *301:16 0.000535691 -80 *292:114 *322:10 0.000411767 -81 *292:144 *293:50 2.36643e-05 -82 *292:144 *293:72 4.20511e-05 -83 *292:144 *293:78 2.93702e-05 -84 *292:144 *293:167 2.96211e-05 -85 *292:157 *1709:A1_N 2.84109e-05 -86 *292:157 *293:167 0.000910838 -87 *292:157 *299:81 0.000103501 -88 *292:157 *322:10 0.000288612 -89 *1547:A1 *292:35 0.000310294 -90 *1547:A1 *292:44 2.74737e-05 -91 *1553:A1 *1654:B 0.00018528 -92 *1557:S *1557:A1 0.000269734 -93 *1558:A0 *1558:A1 5.17614e-05 -94 *1558:A0 *292:79 0.000137573 -95 *1558:A0 *292:83 5.33005e-05 -96 *1559:A0 *292:105 5.52302e-05 -97 *1559:A1 *292:105 0.000144773 -98 *1559:S *292:83 2.59355e-05 -99 *1559:S *292:105 0.000420042 -100 *1563:S *1563:A1 2.60984e-05 -101 *1563:S *292:105 5.16862e-05 -102 *1563:S *292:111 0.000107937 -103 *1564:A0 *1564:A1 5.33005e-05 -104 *1620:A *292:89 1.4796e-05 -105 *1622:A *1622:B 1.8461e-05 -106 *1624:A1 *1622:B 5.20669e-05 -107 *1624:A1 *292:19 3.76935e-05 -108 *1647:A1 *292:35 0.000360026 -109 *1647:B1 *292:7 0.000136727 -110 *1656:A *1654:B 0.000108323 -111 *1656:B *1654:B 5.65955e-05 -112 *1658:A2 *292:54 0 -113 *1697:B1 *292:44 0.000309577 -114 *1697:B2 *292:44 1.02132e-05 -115 *1706:B *1738:A2 8.88599e-05 -116 *1709:A2_N *292:157 6.96796e-05 -117 *1709:B1 *292:157 0.000144693 -118 *1709:B2 *292:157 9.84729e-05 -119 *1738:A1 *1738:A2 3.87535e-05 -120 *1764:CLK *292:44 0 -121 *1764:D *292:44 0.000137983 -122 *1766:D *292:72 0.000185573 -123 *1773:D *292:157 7.89362e-05 -124 *1781:CLK *349:DIODE 7.25873e-06 -125 *1822:A *292:48 0 -126 *1822:A *292:50 0 -127 *1822:A *292:54 0 -128 *19:19 *292:157 3.2033e-05 -129 *48:26 *292:89 0.000126439 -130 *48:35 *292:89 5.66157e-05 -131 *148:8 *292:59 4.97967e-05 -132 *215:9 *292:44 9.54453e-05 -133 *215:9 *292:46 8.02791e-05 -134 *215:9 *292:48 6.02132e-05 -135 *231:19 *292:7 7.60904e-05 -136 *237:8 *292:72 0 -137 *239:26 *292:89 0 -138 *241:10 *1564:A1 3.75868e-05 -139 *241:10 *292:114 0.000215096 -140 *291:12 *347:DIODE 0.000158856 -141 *291:12 *1654:B 6.8529e-05 -142 *291:27 *1655:A1 0.000185118 -143 *291:27 *292:50 3.12359e-05 -144 *291:27 *292:54 0.000939225 -145 *291:27 *292:144 0.000182756 -146 *291:98 *292:35 0.000269378 -*RES -1 *1836:X *292:4 9.3 -2 *292:4 *292:7 4.66071 -3 *292:7 *346:DIODE 13.1214 -4 *292:7 *292:18 10.3393 -5 *292:18 *292:19 1.35714 -6 *292:19 *1622:B 10.675 -7 *292:19 *292:35 20.1429 -8 *292:35 *292:44 12.1429 -9 *292:44 *292:46 2.25 -10 *292:46 *292:48 5.28571 -11 *292:48 *292:50 1.94643 -12 *292:50 *292:54 16.7679 -13 *292:54 *292:55 0.428571 -14 *292:55 *292:59 9.58929 -15 *292:59 *292:61 3.41071 -16 *292:61 *1652:A 10.6571 -17 *292:61 *292:72 25.2143 -18 *292:72 *1558:A1 10.2464 -19 *292:72 *292:79 2.58929 -20 *292:79 *292:83 6.71429 -21 *292:83 *292:89 11.7857 -22 *292:89 *1557:A1 13.5679 -23 *292:89 *331:DIODE 9.3 -24 *292:83 *292:105 16.5536 -25 *292:105 *335:DIODE 9.3 -26 *292:105 *292:111 3 -27 *292:111 *292:114 9.78571 -28 *292:114 *336:DIODE 13.8 -29 *292:114 *1564:A1 16.6036 -30 *292:111 *1563:A1 10.2464 -31 *292:79 *332:DIODE 9.3 -32 *292:59 *353:DIODE 9.3 -33 *292:55 *292:144 6.28571 -34 *292:144 *351:DIODE 9.3 -35 *292:144 *292:157 22.5536 -36 *292:157 *363:DIODE 9.3 -37 *292:157 *1738:A2 12.7464 -38 *292:54 *1625:A2 9.3 -39 *292:50 *1655:A1 15.5679 -40 *292:48 *357:DIODE 14.7464 -41 *292:46 *292:190 4.5 -42 *292:190 *1654:B 13.0321 -43 *292:190 *347:DIODE 15.5857 -44 *292:44 *355:DIODE 15.5679 -45 *292:35 *1623:A2 9.3 -46 *292:18 *1624:A2 10.675 -47 *292:4 *349:DIODE 11.4786 -*END - -*D_NET *293 0.030483 -*CONN -*I *362:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1624:B1 I *D sky130_fd_sc_hd__o21ai_2 -*I *350:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1688:A_N I *D sky130_fd_sc_hd__nand4bb_1 -*I *348:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1623:B1 I *D sky130_fd_sc_hd__o21a_1 -*I *356:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1655:A2 I *D sky130_fd_sc_hd__o21a_1 -*I *358:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1738:B1 I *D sky130_fd_sc_hd__o2111ai_1 -*I *364:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *334:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1562:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *333:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1561:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *329:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1555:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *330:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1556:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *352:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *354:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1652:B I *D sky130_fd_sc_hd__xnor2_1 -*I *1625:B1 I *D sky130_fd_sc_hd__o211ai_4 -*I *1654:C I *D sky130_fd_sc_hd__nor3_1 -*I *1837:X O *D sky130_fd_sc_hd__buf_4 -*CAP -1 *362:DIODE 0.000191294 -2 *1624:B1 0.000436977 -3 *350:DIODE 6.81053e-05 -4 *1688:A_N 0 -5 *348:DIODE 0 -6 *1623:B1 6.07408e-05 -7 *356:DIODE 0 -8 *1655:A2 0.000231168 -9 *358:DIODE 9.80154e-05 -10 *1738:B1 0.000124651 -11 *364:DIODE 0 -12 *334:DIODE 0 -13 *1562:A1 7.57604e-05 -14 *333:DIODE 0 -15 *1561:A1 0.000139622 -16 *329:DIODE 0 -17 *1555:A1 0 -18 *330:DIODE 0 -19 *1556:A1 0.000294023 -20 *352:DIODE 0 -21 *354:DIODE 0 -22 *1652:B 0.000157979 -23 *1625:B1 0 -24 *1654:C 0.000352067 -25 *1837:X 0 -26 *293:230 0.00067368 -27 *293:226 0.000928134 -28 *293:167 0.00111081 -29 *293:118 0.000821606 -30 *293:108 0.000646185 -31 *293:106 0.00148685 -32 *293:91 0.00154113 -33 *293:89 0.000132903 -34 *293:86 0.000318461 -35 *293:83 0.000712964 -36 *293:78 0.00166722 -37 *293:72 0.000349736 -38 *293:58 0.000883526 -39 *293:50 0.00084659 -40 *293:47 0.000617444 -41 *293:43 0.000407635 -42 *293:41 0.000616407 -43 *293:31 0.00050506 -44 *293:28 0.000400589 -45 *293:24 0.000209703 -46 *293:10 0.00112396 -47 *293:8 0.0010522 -48 *293:4 0.000890038 -49 *1562:A1 *1562:S 4.31385e-05 -50 *1624:B1 *1764:RESET_B 1.00887e-05 -51 *1624:B1 *300:57 0.000227255 -52 *1654:C *299:8 2.30861e-05 -53 *1654:C *299:12 0.00017565 -54 *1655:A2 *299:32 0.000307148 -55 *1738:B1 *320:24 0.000172884 -56 *293:8 *295:24 0 -57 *293:8 *295:38 0 -58 *293:10 *295:24 0 -59 *293:10 *299:8 0.000142454 -60 *293:28 *1697:A1 0.000139293 -61 *293:41 *1768:CLK 9.9174e-05 -62 *293:83 *1560:S 0.000378757 -63 *293:83 *299:34 0.000126459 -64 *293:86 *1562:S 9.7992e-05 -65 *293:226 *1548:S 5.5764e-05 -66 *293:226 *300:55 0 -67 *293:226 *326:15 2.59355e-05 -68 *293:230 *300:55 0 -69 *293:230 *300:57 0 -70 *1547:A1 *1623:B1 0.000223675 -71 *1547:A1 *293:28 0.000354511 -72 *1553:A0 *1654:C 0 -73 *1553:A1 *1654:C 9.71986e-05 -74 *1555:A0 *293:118 0.000139208 -75 *1555:S *293:106 2.77173e-05 -76 *1555:S *293:108 0.000104664 -77 *1556:A0 *1556:A1 0.000129868 -78 *1557:A0 *293:118 9.96553e-05 -79 *1559:A0 *293:106 0 -80 *1562:A0 *1561:A1 3.11088e-05 -81 *1562:A0 *293:89 7.70015e-05 -82 *1562:A0 *293:91 5.54817e-06 -83 *1567:S *293:10 0 -84 *1627:A2 *293:47 0.000189848 -85 *1629:A *293:47 0.000128518 -86 *1637:B *1738:B1 1.67329e-05 -87 *1652:A *1652:B 9.58632e-05 -88 *1654:A *1654:C 9.41642e-05 -89 *1654:B *1654:C 5.33005e-05 -90 *1656:A *1654:C 6.05161e-06 -91 *1660:C *293:106 1.61442e-05 -92 *1661:A1 *293:106 0.000143816 -93 *1662:B *293:106 0.000281644 -94 *1690:B *362:DIODE 1.24368e-05 -95 *1696:A *293:10 0 -96 *1697:B1 *1623:B1 0.00016867 -97 *1697:B1 *293:28 0.000139202 -98 *1697:B2 *293:24 1.04766e-05 -99 *1697:B2 *293:28 9.22662e-05 -100 *1704:B1 *293:86 5.65955e-05 -101 *1709:B2 *293:167 0.000104778 -102 *1738:A1 *1738:B1 0.00021326 -103 *1738:A1 *293:167 7.74135e-05 -104 *1738:A2 *1738:B1 1.80356e-05 -105 *1757:CLK *293:226 0 -106 *1763:D *293:230 0.000129868 -107 *1764:D *293:31 5.33786e-05 -108 *1837:A *293:226 0.000110245 -109 *46:8 *293:8 0 -110 *46:8 *293:10 0 -111 *145:20 *293:47 0.000289204 -112 *146:12 *293:31 8.08673e-05 -113 *146:12 *293:41 0.000127406 -114 *146:12 *293:47 0 -115 *146:14 *293:47 0 -116 *148:8 *293:58 4.97967e-05 -117 *215:9 *293:31 0.000346201 -118 *215:9 *293:41 0.000197385 -119 *228:52 *293:10 0 -120 *228:75 *293:10 0 -121 *231:19 *350:DIODE 3.73361e-05 -122 *231:19 *1624:B1 0.00014394 -123 *236:11 *362:DIODE 9.44833e-05 -124 *237:8 *293:118 0.000171492 -125 *237:37 *293:106 8.57166e-06 -126 *237:37 *293:108 2.70242e-05 -127 *237:37 *293:118 6.23141e-05 -128 *239:35 *293:106 2.94004e-05 -129 *241:10 *1738:B1 5.24684e-06 -130 *241:10 *293:167 2.29112e-05 -131 *291:27 *293:41 6.84805e-05 -132 *291:27 *293:43 6.63763e-05 -133 *291:27 *293:47 0.000757877 -134 *291:27 *293:50 5.50052e-05 -135 *291:27 *293:72 4.1889e-05 -136 *291:54 *1561:A1 0.000191528 -137 *292:35 *1623:B1 1.32693e-05 -138 *292:35 *1624:B1 3.27461e-05 -139 *292:44 *1623:B1 2.93139e-05 -140 *292:48 *293:41 0.00017277 -141 *292:50 *293:41 9.11653e-05 -142 *292:59 *293:50 7.8195e-05 -143 *292:59 *293:58 0.000176731 -144 *292:61 *1652:B 0.000228876 -145 *292:61 *293:58 4.84372e-05 -146 *292:144 *293:50 2.36643e-05 -147 *292:144 *293:72 4.20511e-05 -148 *292:144 *293:78 2.93702e-05 -149 *292:144 *293:167 2.96211e-05 -150 *292:157 *293:167 0.000910838 -*RES -1 *1837:X *293:4 9.3 -2 *293:4 *293:8 9.73214 -3 *293:8 *293:10 13.4821 -4 *293:10 *1654:C 21.9964 -5 *293:10 *293:24 5.03571 -6 *293:24 *293:28 5.375 -7 *293:28 *293:31 9.17857 -8 *293:31 *293:41 10.3571 -9 *293:41 *293:43 1.03571 -10 *293:43 *293:47 14.3393 -11 *293:47 *293:50 2.91071 -12 *293:50 *1625:B1 9.3 -13 *293:50 *293:58 9.89286 -14 *293:58 *1652:B 13.0321 -15 *293:58 *354:DIODE 9.3 -16 *293:47 *293:72 3 -17 *293:72 *352:DIODE 9.3 -18 *293:72 *293:78 1.35714 -19 *293:78 *293:83 21.2679 -20 *293:83 *293:86 3.01786 -21 *293:86 *293:89 6.75 -22 *293:89 *293:91 0.732143 -23 *293:91 *293:106 25.6964 -24 *293:106 *293:108 2.58929 -25 *293:108 *293:118 19.625 -26 *293:118 *1556:A1 22.5321 -27 *293:118 *330:DIODE 9.3 -28 *293:108 *1555:A1 9.3 -29 *293:106 *329:DIODE 9.3 -30 *293:91 *1561:A1 17.2107 -31 *293:89 *333:DIODE 13.8 -32 *293:86 *1562:A1 10.6571 -33 *293:83 *334:DIODE 9.3 -34 *293:78 *293:167 18.3929 -35 *293:167 *364:DIODE 13.8 -36 *293:167 *1738:B1 17.7464 -37 *293:43 *358:DIODE 14.7464 -38 *293:41 *1655:A2 16.8 -39 *293:31 *356:DIODE 13.8 -40 *293:28 *1623:B1 11.9071 -41 *293:24 *348:DIODE 9.3 -42 *293:8 *1688:A_N 13.8 -43 *293:4 *293:226 14.1429 -44 *293:226 *293:230 7.96429 -45 *293:230 *350:DIODE 10.2464 -46 *293:230 *1624:B1 25.2821 -47 *293:226 *362:DIODE 16.3893 -*END - -*D_NET *294 0.00421833 -*CONN -*I *1684:A I *D sky130_fd_sc_hd__nor2_1 -*I *1849:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1684:A 0.00118944 -2 *1849:X 0.00118944 -3 *1551:A0 *1684:A 0.00162167 -4 *1857:A *1684:A 0.000217781 -*RES -1 *1849:X *1684:A 42.5821 -*END - -*D_NET *295 0.012821 -*CONN -*I *1644:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *1710:S I *D sky130_fd_sc_hd__mux2_1 -*I *1713:A1 I *D sky130_fd_sc_hd__o31ai_1 -*I *1712:A I *D sky130_fd_sc_hd__nor4_1 -*I *1711:S I *D sky130_fd_sc_hd__mux2_1 -*I *1760:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *1689:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *1838:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1644:A_N 0 -2 *1710:S 0 -3 *1713:A1 0.000174101 -4 *1712:A 2.70254e-05 -5 *1711:S 0 -6 *1760:D 0.000926663 -7 *1689:A1 0 -8 *1838:X 0.000520292 -9 *295:61 0.000270468 -10 *295:54 0.000193669 -11 *295:40 0.00105099 -12 *295:38 0.000862846 -13 *295:24 0.00143644 -14 *295:17 0.00109388 -15 *1713:A1 *304:19 0.000193596 -16 *1760:D *1775:SET_B 0.000175249 -17 *1760:D *304:19 0.00102527 -18 *295:17 *1764:RESET_B 1.30833e-05 -19 *295:17 *300:57 1.8441e-05 -20 *295:54 *1775:SET_B 0.000211791 -21 *295:54 *304:19 0.000384001 -22 *295:61 *304:19 0.000172257 -23 *346:DIODE *295:17 1.98839e-05 -24 *346:DIODE *295:24 0.000354708 -25 *1634:A *1712:A 0.000140243 -26 *1635:B *295:38 2.297e-05 -27 *1644:B *295:17 0.000306198 -28 *1647:B1 *295:17 6.36656e-05 -29 *1688:C *295:38 1.02132e-05 -30 *1689:A2 *295:38 0.000615403 -31 *1691:A_N *1760:D 0.000224507 -32 *1696:C *1760:D 5.28345e-05 -33 *1710:A0 *1760:D 5.11959e-05 -34 *1760:CLK *1760:D 0.000147136 -35 *1811:A *295:17 7.11447e-05 -36 *46:5 *295:38 0.000137639 -37 *147:8 *295:17 0.000101178 -38 *147:18 *295:17 6.86693e-05 -39 *228:75 *295:24 0 -40 *228:110 *1712:A 0.000140243 -41 *231:19 *295:17 5.50052e-05 -42 *231:19 *295:24 0.000772387 -43 *233:9 *295:38 9.41642e-05 -44 *233:14 *295:54 8.22749e-05 -45 *233:14 *295:61 5.99728e-05 -46 *233:46 *295:38 0.000142856 -47 *233:58 *295:38 0.000272822 -48 *234:29 *295:61 8.69373e-06 -49 *292:7 *295:17 6.05161e-06 -50 *292:18 *295:17 4.88537e-05 -51 *293:8 *295:24 0 -52 *293:8 *295:38 0 -53 *293:10 *295:24 0 -*RES -1 *1838:X *295:17 28.1214 -2 *295:17 *295:24 17.7321 -3 *295:24 *1689:A1 13.8 -4 *295:24 *295:38 19.25 -5 *295:38 *295:40 4.5 -6 *295:40 *1760:D 37.5009 -7 *295:40 *295:54 4.98214 -8 *295:54 *1711:S 13.8 -9 *295:54 *295:61 2.25 -10 *295:61 *1712:A 15.1571 -11 *295:61 *1713:A1 17.3 -12 *295:38 *1710:S 9.3 -13 *295:17 *1644:A_N 9.3 -*END - -*D_NET *296 0.0126131 -*CONN -*I *1735:A1 I *D sky130_fd_sc_hd__o31a_1 -*I *1581:S I *D sky130_fd_sc_hd__mux2_1 -*I *1737:A1 I *D sky130_fd_sc_hd__o31a_1 -*I *1736:A1 I *D sky130_fd_sc_hd__o21bai_1 -*I *1734:A1 I *D sky130_fd_sc_hd__o21bai_1 -*I *1583:S I *D sky130_fd_sc_hd__mux2_1 -*I *1579:S I *D sky130_fd_sc_hd__mux2_1 -*I *1641:A_N I *D sky130_fd_sc_hd__nand2b_1 -*I *1546:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1571:S I *D sky130_fd_sc_hd__mux2_1 -*I *1570:S I *D sky130_fd_sc_hd__mux2_1 -*I *1569:S I *D sky130_fd_sc_hd__mux2_1 -*I *1839:X O *D sky130_fd_sc_hd__clkbuf_4 -*CAP -1 *1735:A1 4.73875e-05 -2 *1581:S 0 -3 *1737:A1 0.000112599 -4 *1736:A1 0.000342718 -5 *1734:A1 0 -6 *1583:S 0.000262366 -7 *1579:S 0 -8 *1641:A_N 0.000126434 -9 *1546:A1 0 -10 *1571:S 0 -11 *1570:S 8.68553e-06 -12 *1569:S 0.000285834 -13 *1839:X 0.000389736 -14 *296:97 0.000112599 -15 *296:81 0.000367965 -16 *296:57 0.000807274 -17 *296:30 0.000654763 -18 *296:23 0.000408509 -19 *296:21 0.00129357 -20 *296:19 0.000901205 -21 *296:18 0.000688658 -22 *296:14 0.000247798 -23 *296:12 0.000533379 -24 *296:8 0.000837506 -25 *296:8 *320:15 0.000270668 -26 *296:57 *1796:RESET_B 0.000173292 -27 *340:DIODE *1583:S 0.000191784 -28 *361:DIODE *1735:A1 0.000173559 -29 *1546:A0 *1641:A_N 0.00017084 -30 *1546:S *296:57 1.02504e-05 -31 *1571:A0 *296:30 6.05161e-06 -32 *1571:A1 *296:23 2.97489e-05 -33 *1571:A1 *296:30 2.11336e-05 -34 *1579:A1 *296:21 9.84729e-05 -35 *1581:A0 *1737:A1 9.67754e-05 -36 *1581:A0 *296:14 3.41848e-05 -37 *1581:A0 *296:18 0.00010268 -38 *1604:A1 *1569:S 0.000146404 -39 *1672:B *296:21 9.31523e-05 -40 *1672:B *296:23 3.69047e-06 -41 *1685:A1 *1735:A1 9.87672e-05 -42 *1730:A1 *296:30 0 -43 *1731:A1 *296:30 6.05161e-06 -44 *1734:A2 *1736:A1 3.19625e-05 -45 *1734:A2 *296:14 7.58841e-05 -46 *1734:A2 *296:81 2.12733e-05 -47 *1735:B1 *1735:A1 0.000274244 -48 *1735:B1 *296:12 0.000113634 -49 *1735:B1 *296:14 7.13896e-05 -50 *1736:A2 *1736:A1 5.50052e-05 -51 *1736:B1_N *1583:S 5.45307e-05 -52 *1736:B1_N *1736:A1 3.29333e-05 -53 *1737:B1 *1736:A1 5.50052e-05 -54 *1796:D *296:57 0 -55 *1798:D *296:8 4.06646e-05 -56 *1799:D *1737:A1 0.000184375 -57 *1799:D *296:12 0.000182266 -58 *1799:D *296:14 5.80706e-06 -59 *137:37 *1737:A1 0.000137097 -60 *137:54 *296:12 0 -61 *137:69 *296:8 0 -62 *222:48 *296:12 0.00016926 -63 *222:57 *296:8 0 -64 *222:57 *296:12 0.000204447 -65 *268:28 *1737:A1 4.73286e-05 -66 *268:28 *296:14 0 -67 *271:8 *296:57 0 -68 *284:11 *1569:S 0.000570306 -69 *287:134 *296:8 4.78056e-05 -70 *288:49 *296:14 1.41665e-05 -71 *288:49 *296:18 1.95368e-05 -72 *288:51 *296:18 4.76424e-05 -*RES -1 *1839:X *296:8 20.3089 -2 *296:8 *296:12 8.91964 -3 *296:12 *296:14 2.55357 -4 *296:14 *296:18 7.05357 -5 *296:18 *296:19 5.05357 -6 *296:19 *296:21 9.98214 -7 *296:21 *296:23 0.946429 -8 *296:23 *296:30 10.8125 -9 *296:30 *1569:S 20.4875 -10 *296:30 *1570:S 14.0768 -11 *296:23 *1571:S 9.3 -12 *296:21 *296:57 20.9643 -13 *296:57 *1546:A1 9.3 -14 *296:57 *1641:A_N 12.3179 -15 *296:19 *1579:S 9.3 -16 *296:18 *1583:S 14.7643 -17 *296:14 *296:81 5.03571 -18 *296:81 *1734:A1 9.3 -19 *296:81 *1736:A1 15.2107 -20 *296:12 *296:97 4.5 -21 *296:97 *1737:A1 13.1393 -22 *296:97 *1581:S 9.3 -23 *296:8 *1735:A1 16.6661 -*END - -*D_NET *297 0.0175404 -*CONN -*I *1575:S I *D sky130_fd_sc_hd__mux2_1 -*I *1618:B2 I *D sky130_fd_sc_hd__o2bb2ai_1 -*I *1613:B1 I *D sky130_fd_sc_hd__a41oi_1 -*I *1616:A_N I *D sky130_fd_sc_hd__nand2b_1 -*I *1577:S I *D sky130_fd_sc_hd__mux2_1 -*I *1726:A_N I *D sky130_fd_sc_hd__nand3b_1 -*I *1615:B1 I *D sky130_fd_sc_hd__a21oi_1 -*I *1839:A I *D sky130_fd_sc_hd__clkbuf_4 -*I *1573:S I *D sky130_fd_sc_hd__mux2_1 -*I *1732:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *1728:A_N I *D sky130_fd_sc_hd__nand3b_1 -*I *1686:B1 I *D sky130_fd_sc_hd__a21oi_1 -*I *1840:X O *D sky130_fd_sc_hd__clkbuf_4 -*CAP -1 *1575:S 4.64159e-05 -2 *1618:B2 0 -3 *1613:B1 0.000546392 -4 *1616:A_N 0 -5 *1577:S 0.000112157 -6 *1726:A_N 0.000203097 -7 *1615:B1 0.000363041 -8 *1839:A 0.000638419 -9 *1573:S 0 -10 *1732:A1 0.000594979 -11 *1728:A_N 9.79595e-06 -12 *1686:B1 6.60444e-05 -13 *1840:X 8.93887e-05 -14 *297:86 0.000930222 -15 *297:67 0.000719943 -16 *297:55 0.00102282 -17 *297:46 0.000805891 -18 *297:44 0.000467396 -19 *297:34 0.000504256 -20 *297:30 0.000416846 -21 *297:29 0.000778727 -22 *297:25 0.000565072 -23 *297:17 0.00152547 -24 *297:5 0.00111962 -25 *1726:A_N *303:20 0 -26 *1839:A *320:15 0.000470967 -27 *297:34 *303:156 0 -28 *1573:A0 *1732:A1 7.89362e-05 -29 *1573:A1 *1839:A 0.000297376 -30 *1573:A1 *297:67 5.50052e-05 -31 *1574:S *297:17 9.41642e-05 -32 *1575:A1 *1575:S 5.65955e-05 -33 *1575:A1 *297:17 0.000192459 -34 *1575:A1 *297:25 2.8214e-05 -35 *1577:A1 *1577:S 5.65955e-05 -36 *1601:A *297:55 9.9992e-05 -37 *1613:A1 *1613:B1 2.28598e-05 -38 *1613:A2 *1613:B1 0.000224583 -39 *1613:A3 *1613:B1 2.39329e-05 -40 *1613:A4 *1613:B1 1.59373e-05 -41 *1615:A2 *1615:B1 0.000143652 -42 *1618:A1_N *1613:B1 0.000183477 -43 *1618:B1 *297:25 1.84776e-05 -44 *1618:B1 *297:30 4.04221e-05 -45 *1641:B *297:25 0 -46 *1641:B *297:44 0.000196702 -47 *1641:B *297:46 0.000199432 -48 *1641:B *297:55 0.000151333 -49 *1686:A1 *1686:B1 5.33005e-05 -50 *1686:A1 *297:17 0.000103974 -51 *1687:B1 *297:17 0.000136958 -52 *1725:B2 *297:17 5.43491e-05 -53 *1726:B *1726:A_N 8.13812e-05 -54 *1726:C *1726:A_N 3.67279e-06 -55 *1728:B *1728:A_N 5.49489e-05 -56 *1728:B *297:44 5.33005e-05 -57 *1758:D *1686:B1 5.71472e-05 -58 *137:9 *1732:A1 5.66971e-05 -59 *139:28 *297:34 3.12359e-05 -60 *139:28 *297:44 0 -61 *139:28 *297:46 0 -62 *139:28 *297:55 0 -63 *139:41 *297:30 3.27461e-05 -64 *139:41 *297:34 0.000348811 -65 *142:7 *1615:B1 0.000100163 -66 *222:37 *1732:A1 0 -67 *263:14 *1732:A1 0.000378257 -68 *265:17 *1728:A_N 5.65955e-05 -69 *265:17 *297:44 0.000433116 -70 *275:11 *1686:B1 5.33005e-05 -71 *275:11 *297:5 0.00017059 -72 *275:11 *297:17 1.21258e-05 -73 *275:13 *1686:B1 0.0001399 -74 *275:13 *297:17 8.86947e-05 -75 *275:26 *297:17 6.63063e-05 -76 *275:26 *297:25 1.15172e-05 -77 *287:30 *1732:A1 0.000124025 -78 *287:32 *1732:A1 6.21854e-05 -79 *287:36 *1732:A1 0.000381628 -80 *288:40 *1839:A 1.98839e-05 -81 *289:16 *1839:A 3.14003e-05 -82 *289:22 *1839:A 0.000165104 -*RES -1 *1840:X *297:5 11.0679 -2 *297:5 *1686:B1 11.0679 -3 *297:5 *297:17 22.375 -4 *297:17 *297:25 15.625 -5 *297:25 *297:29 5.03571 -6 *297:29 *297:30 3.16071 -7 *297:30 *297:34 9.48214 -8 *297:34 *1728:A_N 9.83571 -9 *297:34 *297:44 11.2857 -10 *297:44 *297:46 2.55357 -11 *297:46 *297:55 10.3036 -12 *297:55 *1732:A1 33.8357 -13 *297:55 *297:67 1.35714 -14 *297:67 *1573:S 9.3 -15 *297:67 *1839:A 32.6036 -16 *297:46 *297:86 10.375 -17 *297:86 *1615:B1 15.9964 -18 *297:86 *1726:A_N 21.9429 -19 *297:44 *1577:S 15.5679 -20 *297:30 *1616:A_N 13.8 -21 *297:29 *1613:B1 23.9071 -22 *297:25 *1618:B2 9.3 -23 *297:17 *1575:S 10.5679 -*END - -*D_NET *298 0.0129041 -*CONN -*I *1707:A1_N I *D sky130_fd_sc_hd__a2bb2o_1 -*I *1709:A1_N I *D sky130_fd_sc_hd__a2bb2o_1 -*I *1630:B1 I *D sky130_fd_sc_hd__a21oi_1 -*I *1545:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1552:S I *D sky130_fd_sc_hd__mux2_1 -*I *1698:A_N I *D sky130_fd_sc_hd__nand3b_1 -*I *1700:A_N I *D sky130_fd_sc_hd__nand3b_1 -*I *1631:A_N I *D sky130_fd_sc_hd__nand2b_1 -*I *1562:S I *D sky130_fd_sc_hd__mux2_1 -*I *1558:S I *D sky130_fd_sc_hd__mux2_1 -*I *1556:S I *D sky130_fd_sc_hd__mux2_1 -*I *1633:B2 I *D sky130_fd_sc_hd__o2bb2ai_1 -*I *1841:X O *D sky130_fd_sc_hd__clkbuf_4 -*CAP -1 *1707:A1_N 8.15576e-05 -2 *1709:A1_N 1.47133e-05 -3 *1630:B1 0 -4 *1545:A1 0.000123125 -5 *1552:S 1.63809e-05 -6 *1698:A_N 0 -7 *1700:A_N 0.000179854 -8 *1631:A_N 0 -9 *1562:S 0.000993741 -10 *1558:S 0 -11 *1556:S 0.000306927 -12 *1633:B2 4.42037e-05 -13 *1841:X 8.47908e-05 -14 *298:82 0.000404508 -15 *298:38 0.000501907 -16 *298:27 0.000692779 -17 *298:26 0.00153429 -18 *298:22 0.000224655 -19 *298:20 0.000498509 -20 *298:18 0.000489749 -21 *298:17 0.000957576 -22 *298:13 0.00112131 -23 *298:8 0.000855561 -24 *298:6 0.000269666 -25 *298:13 *1704:A1 0.000139637 -26 *298:13 *299:81 0 -27 *1545:A0 *1545:A1 3.11088e-05 -28 *1545:S *298:17 9.80173e-05 -29 *1552:A0 *1545:A1 0.000106708 -30 *1552:A0 *1552:S 1.83053e-05 -31 *1558:A0 *1556:S 5.58005e-05 -32 *1562:A1 *1562:S 4.31385e-05 -33 *1600:A *1562:S 5.2198e-05 -34 *1625:C1 *298:13 5.71472e-05 -35 *1625:C1 *298:17 0.0003613 -36 *1630:A1 *298:13 4.38128e-05 -37 *1630:A2 *298:13 5.33005e-05 -38 *1633:A1_N *1556:S 2.74602e-05 -39 *1633:A1_N *298:38 0 -40 *1633:A2_N *1633:B2 1.04766e-05 -41 *1633:B1 *1562:S 0.000185408 -42 *1633:B1 *1633:B2 3.69047e-06 -43 *1633:B1 *298:27 0.000294871 -44 *1699:A2 *1700:A_N 0.000231307 -45 *1700:B *1700:A_N 9.84729e-05 -46 *1704:A2 *298:13 0.000112374 -47 *1704:B1 *298:13 2.84026e-05 -48 *1705:B1 *298:13 3.29128e-05 -49 *1707:A2_N *1707:A1_N 5.20751e-05 -50 *1708:A *1707:A1_N 0.000143375 -51 *1709:B1 *1709:A1_N 5.49544e-05 -52 *1774:CLK *298:13 5.52302e-05 -53 *1774:D *298:13 0 -54 *21:8 *1545:A1 0.000129504 -55 *21:8 *1552:S 5.49489e-05 -56 *48:35 *298:18 0 -57 *48:35 *298:82 0 -58 *148:17 *1562:S 5.66708e-05 -59 *151:8 *298:17 1.40415e-05 -60 *221:44 *298:6 0.000148223 -61 *221:44 *298:8 0.000171788 -62 *221:44 *298:13 0.000147631 -63 *237:8 *298:20 0 -64 *237:8 *298:38 0 -65 *238:8 *298:18 0 -66 *238:8 *298:20 0 -67 *292:72 *298:38 0.000267625 -68 *292:157 *1709:A1_N 2.84109e-05 -69 *293:86 *1562:S 9.7992e-05 -*RES -1 *1841:X *298:6 15.8982 -2 *298:6 *298:8 2.40179 -3 *298:8 *298:13 17.6607 -4 *298:13 *298:17 12.0179 -5 *298:17 *298:18 5.28571 -6 *298:18 *298:20 2.85714 -7 *298:20 *298:22 2.25 -8 *298:22 *298:26 5.53571 -9 *298:26 *298:27 6.28571 -10 *298:27 *1633:B2 9.83571 -11 *298:27 *298:38 13.375 -12 *298:38 *1556:S 14.3893 -13 *298:38 *1558:S 9.3 -14 *298:26 *1562:S 25.8893 -15 *298:22 *1631:A_N 13.8 -16 *298:20 *1700:A_N 18.0321 -17 *298:18 *1698:A_N 13.8 -18 *298:17 *298:82 8.875 -19 *298:82 *1552:S 9.83571 -20 *298:82 *1545:A1 12.7107 -21 *298:13 *1630:B1 9.3 -22 *298:8 *1709:A1_N 14.3357 -23 *298:6 *1707:A1_N 15.9696 -*END - -*D_NET *299 0.0180228 -*CONN -*I *1697:A1 I *D sky130_fd_sc_hd__o22a_1 -*I *1553:S I *D sky130_fd_sc_hd__mux2_1 -*I *1636:A_N I *D sky130_fd_sc_hd__nand2b_1 -*I *1739:B1 I *D sky130_fd_sc_hd__a21oi_1 -*I *1566:S I *D sky130_fd_sc_hd__mux2_1 -*I *1564:S I *D sky130_fd_sc_hd__mux2_1 -*I *1841:A I *D sky130_fd_sc_hd__clkbuf_4 -*I *1628:B1 I *D sky130_fd_sc_hd__a41oi_1 -*I *1704:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *1560:S I *D sky130_fd_sc_hd__mux2_1 -*I *1554:S I *D sky130_fd_sc_hd__mux2_1 -*I *1842:X O *D sky130_fd_sc_hd__clkbuf_4 -*CAP -1 *1697:A1 2.76047e-05 -2 *1553:S 0.000177397 -3 *1636:A_N 0.000117474 -4 *1739:B1 0.000458209 -5 *1566:S 0.000340609 -6 *1564:S 2.23854e-05 -7 *1841:A 0 -8 *1628:B1 8.50254e-05 -9 *1704:A1 2.99702e-05 -10 *1560:S 0.000601174 -11 *1554:S 9.48035e-05 -12 *1842:X 0.000466527 -13 *299:81 0.00100182 -14 *299:71 0.00118884 -15 *299:63 0.000339044 -16 *299:59 0.00061796 -17 *299:52 0.000338271 -18 *299:34 0.000973215 -19 *299:32 0.00111746 -20 *299:16 0.00097901 -21 *299:12 0.000587518 -22 *299:8 0.000795438 -23 *1560:S *301:43 0.00010237 -24 *1739:B1 *1756:D 5.54276e-05 -25 *1739:B1 *1773:SET_B 0.000210252 -26 *1739:B1 *301:136 5.74562e-05 -27 *1739:B1 *322:10 9.18978e-05 -28 *299:32 *301:119 1.26701e-05 -29 *299:81 *322:10 0.000340796 -30 *1553:A0 *1553:S 5.49489e-05 -31 *1553:A1 *1553:S 0.000168057 -32 *1553:A1 *299:12 0.000400155 -33 *1553:A1 *299:16 5.64585e-05 -34 *1554:A0 *1554:S 9.90367e-05 -35 *1554:A0 *299:16 9.69119e-06 -36 *1554:A1 *1554:S 3.30352e-05 -37 *1564:A0 *299:81 3.69047e-06 -38 *1564:A1 *1566:S 1.77015e-05 -39 *1564:A1 *299:81 1.0484e-05 -40 *1566:A1 *1566:S 3.2033e-05 -41 *1628:A4 *1628:B1 0.000141941 -42 *1628:A4 *299:32 0.000177327 -43 *1629:B *299:32 6.93626e-06 -44 *1654:C *299:8 2.30861e-05 -45 *1654:C *299:12 0.00017565 -46 *1655:A1 *299:32 0.000185118 -47 *1655:A2 *299:32 0.000307148 -48 *1656:B *1554:S 1.39726e-05 -49 *1656:B *299:32 9.22103e-06 -50 *1693:A *299:8 0.000183027 -51 *1697:B2 *1697:A1 0.000140985 -52 *1704:A2 *1704:A1 0.000139637 -53 *1706:A *299:63 9.84673e-05 -54 *1706:B *1739:B1 9.41642e-05 -55 *1706:B *299:63 0.000164534 -56 *1706:B *299:71 0.000185118 -57 *1707:A2_N *1636:A_N 3.17866e-05 -58 *1738:A2 *1739:B1 1.32293e-05 -59 *1740:A2 *1739:B1 0.00022459 -60 *1764:CLK *299:8 2.72055e-05 -61 *1764:CLK *299:12 5.96888e-05 -62 *1768:D *299:32 2.09722e-05 -63 *1773:D *1739:B1 0.000197854 -64 *1773:D *299:81 0.000126272 -65 *1774:D *299:81 0.000101363 -66 *1822:A *299:16 7.80714e-06 -67 *19:19 *1636:A_N 1.6806e-05 -68 *131:8 *299:32 0.000174609 -69 *146:27 *299:34 0 -70 *221:44 *1739:B1 0 -71 *221:51 *299:81 0 -72 *221:70 *1739:B1 0 -73 *228:52 *299:8 0.000150201 -74 *240:10 *299:81 0.000367454 -75 *249:15 *1739:B1 0.00022459 -76 *291:12 *299:12 9.26187e-05 -77 *291:16 *299:12 0.000340466 -78 *291:16 *299:16 0.000200249 -79 *291:27 *299:32 8.83743e-05 -80 *291:34 *1560:S 0 -81 *291:54 *1560:S 7.88091e-05 -82 *291:108 *299:8 0.000285471 -83 *292:44 *299:12 0 -84 *292:46 *299:12 0 -85 *292:157 *299:81 0.000103501 -86 *293:10 *299:8 0.000142454 -87 *293:28 *1697:A1 0.000139293 -88 *293:83 *1560:S 0.000378757 -89 *293:83 *299:34 0.000126459 -90 *298:13 *1704:A1 0.000139637 -91 *298:13 *299:81 0 -*RES -1 *1842:X *299:8 22.8179 -2 *299:8 *299:12 10.0714 -3 *299:12 *299:16 7.35714 -4 *299:16 *1554:S 11.4786 -5 *299:16 *299:32 19.8036 -6 *299:32 *299:34 7.10714 -7 *299:34 *1560:S 27.425 -8 *299:34 *1704:A1 15.1571 -9 *299:32 *299:52 4.5 -10 *299:52 *1628:B1 11.0679 -11 *299:52 *299:59 4.23214 -12 *299:59 *299:63 4.66071 -13 *299:63 *1841:A 9.3 -14 *299:63 *299:71 2.19643 -15 *299:71 *299:81 24.2857 -16 *299:81 *1564:S 9.72857 -17 *299:81 *1566:S 14.3714 -18 *299:71 *1739:B1 30.9607 -19 *299:59 *1636:A_N 20.55 -20 *299:12 *1553:S 17.6214 -21 *299:8 *1697:A1 15.1571 -*END - -*D_NET *300 0.0166563 -*CONN -*I *1777:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *1759:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *1753:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *1754:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *1778:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *1757:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *1763:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *1779:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *1764:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *1715:S I *D sky130_fd_sc_hd__mux2_1 -*I *1775:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *1776:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *1843:X O *D sky130_fd_sc_hd__buf_4 -*CAP -1 *1777:RESET_B 0.000242728 -2 *1759:RESET_B 1.37188e-05 -3 *1753:SET_B 0.000821835 -4 *1754:SET_B 2.73635e-05 -5 *1778:RESET_B 0.000299489 -6 *1757:RESET_B 0.000299489 -7 *1763:SET_B 0 -8 *1779:SET_B 0.000388061 -9 *1764:RESET_B 0.000305743 -10 *1715:S 0.000272242 -11 *1775:SET_B 0.000188048 -12 *1776:RESET_B 3.44169e-05 -13 *1843:X 0 -14 *300:100 0.000992631 -15 *300:57 0.000911647 -16 *300:55 0.000503898 -17 *300:49 0.000649207 -18 *300:46 0.00135718 -19 *300:42 0.000945766 -20 *300:30 0.000873604 -21 *300:11 0.000627249 -22 *300:6 0.000954655 -23 *300:5 0.000272689 -24 *1715:S *304:35 9.87672e-05 -25 *1753:SET_B *1753:D 0.000301638 -26 *1753:SET_B *1754:D 2.46559e-06 -27 *1754:SET_B *1754:D 2.74795e-05 -28 *1777:RESET_B *304:9 0 -29 *1778:RESET_B *1551:S 0.00046512 -30 *1778:RESET_B *1778:D 0.000118925 -31 *1778:RESET_B *326:15 0.000105981 -32 *1778:RESET_B *327:5 0.000182799 -33 *300:11 *304:19 0.000203494 -34 *300:30 *304:9 0.000228826 -35 *300:100 *1754:D 4.04683e-05 -36 *377:DIODE *300:30 1.0484e-05 -37 *1547:S *1779:SET_B 0.000182933 -38 *1548:A1 *300:46 1.30541e-05 -39 *1549:A0 *300:49 0 -40 *1624:A1 *1779:SET_B 5.50052e-05 -41 *1624:A2 *1779:SET_B 7.49625e-05 -42 *1624:B1 *1764:RESET_B 1.00887e-05 -43 *1624:B1 *300:57 0.000227255 -44 *1647:A1 *1764:RESET_B 0.000527799 -45 *1647:A3 *1764:RESET_B 2.05855e-05 -46 *1667:B *300:11 4.45204e-05 -47 *1710:A0 *1775:SET_B 4.93285e-05 -48 *1711:A0 *300:11 0 -49 *1715:A0 *1715:S 0.000312433 -50 *1715:A1 *1715:S 7.6644e-05 -51 *1759:CLK *300:30 0.000270646 -52 *1760:D *1775:SET_B 0.000175249 -53 *1778:CLK *1778:RESET_B 5.20751e-05 -54 *1782:D *1779:SET_B 4.46938e-05 -55 *1817:A *300:49 5.1061e-05 -56 *1837:A *1778:RESET_B 6.20932e-05 -57 *1842:A *1764:RESET_B 0.000238838 -58 *3:8 *300:49 5.33778e-05 -59 *4:5 *1715:S 3.90513e-05 -60 *147:8 *1764:RESET_B 9.22471e-05 -61 *233:14 *1775:SET_B 0.00024495 -62 *234:8 *1776:RESET_B 1.39711e-05 -63 *234:8 *300:11 2.42516e-05 -64 *235:8 *1777:RESET_B 0.00011785 -65 *235:8 *300:6 4.66056e-05 -66 *235:8 *300:11 0.000101915 -67 *236:11 *300:55 6.5313e-05 -68 *236:11 *300:57 4.34974e-05 -69 *283:8 *1715:S 5.74309e-05 -70 *292:18 *300:57 0.000100248 -71 *292:19 *1779:SET_B 5.50052e-05 -72 *292:35 *1764:RESET_B 9.99158e-05 -73 *293:226 *300:55 0 -74 *293:230 *300:55 0 -75 *293:230 *300:57 0 -76 *295:17 *1764:RESET_B 1.30833e-05 -77 *295:17 *300:57 1.8441e-05 -78 *295:54 *1775:SET_B 0.000211791 -*RES -1 *1843:X *300:5 13.8 -2 *300:5 *300:6 0.732143 -3 *300:6 *300:11 12.7679 -4 *300:11 *1776:RESET_B 0.473214 -5 *300:11 *1775:SET_B 14.8661 -6 *300:6 *300:30 13.25 -7 *300:30 *1715:S 24.8357 -8 *300:30 *300:42 1.35714 -9 *300:42 *300:46 10 -10 *300:46 *300:49 11 -11 *300:49 *300:55 5.33929 -12 *300:55 *300:57 5.28571 -13 *300:57 *1764:RESET_B 14.5089 -14 *300:57 *1779:SET_B 12.4196 -15 *300:55 *1763:SET_B 4.5 -16 *300:49 *1757:RESET_B 4.5 -17 *1757:RESET_B *1778:RESET_B 9.08929 -18 *300:46 *300:100 1.76786 -19 *300:100 *1754:SET_B 0.901786 -20 *300:100 *1753:SET_B 10.8839 -21 *300:42 *1759:RESET_B 0.473214 -22 *300:5 *1777:RESET_B 9.0625 -*END - -*D_NET *301 0.0210488 -*CONN -*I *1756:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *1800:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *1773:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *1768:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *1770:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *1771:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 -*I *1769:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 -*I *1774:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1772:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *1767:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 -*I *1766:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *1765:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 -*I *1844:X O *D sky130_fd_sc_hd__buf_4 -*CAP -1 *1756:RESET_B 0.000370335 -2 *1800:SET_B 0 -3 *1773:SET_B 0.000108458 -4 *1768:SET_B 1.46354e-05 -5 *1770:SET_B 0 -6 *1771:RESET_B 2.11316e-05 -7 *1769:RESET_B 3.56883e-05 -8 *1774:RESET_B 0.000239591 -9 *1772:RESET_B 1.41511e-05 -10 *1767:RESET_B 1.07183e-05 -11 *1766:SET_B 0.00133984 -12 *1765:RESET_B 3.69788e-05 -13 *1844:X 2.23854e-05 -14 *301:136 0.000559514 -15 *301:129 0.000843285 -16 *301:119 0.00267324 -17 *301:90 0.00232593 -18 *301:56 0.00100242 -19 *301:43 0.00176299 -20 *301:16 0.000711917 -21 *301:12 0.000596363 -22 *301:10 0.000575213 -23 *301:5 0.000567462 -24 *1756:RESET_B *1756:D 0.000720901 -25 *1773:SET_B *1756:D 0.000393509 -26 *1774:RESET_B *322:10 0.000273039 -27 *301:16 *1766:CLK 6.02811e-05 -28 *301:90 *304:19 0.000361519 -29 *301:119 *304:19 0.000164398 -30 *301:136 *1756:D 0.000383841 -31 *355:DIODE *301:119 0.000183721 -32 *1547:S *1756:RESET_B 0 -33 *1552:A1 *301:16 0.000127939 -34 *1553:A0 *301:119 9.83388e-05 -35 *1560:S *301:43 0.00010237 -36 *1619:S *301:10 0.000146474 -37 *1619:S *301:90 0.000247402 -38 *1621:B *301:12 0.000120727 -39 *1653:A *301:119 1.39841e-05 -40 *1653:B *301:119 2.89016e-05 -41 *1696:C *301:119 2.48062e-05 -42 *1698:C *1766:SET_B 5.28427e-05 -43 *1703:A0 *301:10 0.000109336 -44 *1738:C1 *1774:RESET_B 1.98839e-05 -45 *1739:B1 *1773:SET_B 0.000210252 -46 *1739:B1 *301:136 5.74562e-05 -47 *1756:CLK *1756:RESET_B 0 -48 *1764:CLK *301:119 0.00016712 -49 *1766:D *301:16 0.000151009 -50 *1767:CLK_N *1767:RESET_B 2.48941e-05 -51 *1767:CLK_N *301:43 0.000398711 -52 *1767:CLK_N *301:56 0.000113356 -53 *1800:D *301:136 7.7939e-05 -54 *21:8 *301:12 3.42608e-05 -55 *21:8 *301:16 1.58559e-05 -56 *146:12 *301:119 0.000649244 -57 *218:9 *1756:RESET_B 7.68681e-05 -58 *221:11 *1771:RESET_B 4.85567e-05 -59 *221:51 *301:56 0.000204589 -60 *221:70 *301:136 0 -61 *221:79 *301:136 0 -62 *232:11 *1756:RESET_B 3.78615e-05 -63 *237:5 *1766:SET_B 0.000126629 -64 *238:8 *301:43 0.000170598 -65 *239:11 *1767:RESET_B 5.00824e-05 -66 *239:11 *301:56 8.43386e-05 -67 *240:10 *1774:RESET_B 6.96806e-05 -68 *241:10 *1774:RESET_B 0.00014562 -69 *244:13 *301:90 0 -70 *245:17 *301:10 6.26756e-05 -71 *245:17 *301:12 2.58997e-05 -72 *250:7 *301:129 3.0479e-05 -73 *291:12 *301:119 0 -74 *292:72 *1766:SET_B 0 -75 *292:72 *301:16 0.000535691 -76 *299:32 *301:119 1.26701e-05 -*RES -1 *1844:X *301:5 9.72857 -2 *301:5 *301:10 11.2321 -3 *301:10 *301:12 4.98214 -4 *301:12 *301:16 14.3393 -5 *301:16 *1765:RESET_B 0.473214 -6 *301:16 *1766:SET_B 15 -7 *1766:SET_B *301:43 24.1875 -8 *301:43 *1767:RESET_B 0.473214 -9 *301:43 *301:56 10.5536 -10 *301:56 *1772:RESET_B 0.473214 -11 *301:56 *1774:RESET_B 15.0536 -12 *301:12 *1769:RESET_B 4.97321 -13 *301:10 *1771:RESET_B 4.97321 -14 *301:5 *301:90 10.3214 -15 *301:90 *1770:SET_B 4.5 -16 *301:90 *301:119 46.6384 -17 *301:119 *1768:SET_B 0.46875 -18 *301:119 *301:129 12.433 -19 *301:129 *1773:SET_B 9.48214 -20 *301:129 *301:136 4.98214 -21 *301:136 *1800:SET_B 4.5 -22 *301:136 *1756:RESET_B 15 -*END - -*D_NET *302 0.0216402 -*CONN -*I *1801:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *1752:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *1802:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *1788:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *1804:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *1809:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *1806:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *1807:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *1808:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *1758:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *1793:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *1805:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *1845:X O *D sky130_fd_sc_hd__buf_4 -*CAP -1 *1801:SET_B 0.000885463 -2 *1752:SET_B 0.00034143 -3 *1802:RESET_B 0.0013156 -4 *1788:SET_B 0.00159593 -5 *1804:RESET_B 0 -6 *1809:RESET_B 0.000298541 -7 *1806:RESET_B 0.00043624 -8 *1807:RESET_B 0 -9 *1808:SET_B 0.000715439 -10 *1758:SET_B 0.00198225 -11 *1793:SET_B 0.00234236 -12 *1805:SET_B 0.000653558 -13 *1845:X 0.000595714 -14 *302:109 0.0013968 -15 *302:90 0.00053425 -16 *302:84 0.000239941 -17 *302:65 0.000734781 -18 *302:63 0.000240314 -19 *302:57 0.000367255 -20 *302:20 0.000850527 -21 *1752:SET_B *1684:B 0.000254305 -22 *1793:SET_B *303:8 0 -23 *1793:SET_B *317:8 0 -24 *1805:SET_B *1845:A 0.000186765 -25 *1805:SET_B *303:210 1.34548e-05 -26 *1806:RESET_B *318:9 0.000203447 -27 *1808:SET_B *319:21 0.000116095 -28 *302:20 *317:8 0 -29 resetb_sync *1806:RESET_B 1.64325e-05 -30 resetb_sync *302:63 5.10822e-05 -31 resetb_sync *302:84 0.000124005 -32 resetb_sync *302:90 3.42707e-05 -33 resetb_sync *302:109 8.04722e-05 -34 *1609:A2 *1758:SET_B 6.37907e-05 -35 *1609:B1 *1758:SET_B 0.000477921 -36 *1650:A *1793:SET_B 0.000148651 -37 *1723:A *1758:SET_B 1.47872e-05 -38 *1723:B *1758:SET_B 0.00012357 -39 *1724:A1 *1758:SET_B 9.66605e-05 -40 *1724:A2 *1758:SET_B 3.89621e-06 -41 *1724:B1 *1758:SET_B 6.99978e-05 -42 *1725:B2 *1758:SET_B 0.000187319 -43 *1750:A *1758:SET_B 0.0007478 -44 *1788:D *1802:RESET_B 3.14161e-05 -45 *1793:D *1758:SET_B 0 -46 *1793:D *1793:SET_B 0 -47 *1802:CLK *1802:RESET_B 0.000169763 -48 *1802:D *1802:RESET_B 0.000100074 -49 *1804:CLK *1806:RESET_B 0.000180951 -50 *1805:CLK *1805:SET_B 0.000139391 -51 *1807:D *1806:RESET_B 7.82285e-05 -52 *1808:CLK *1808:SET_B 4.82947e-05 -53 *1808:D *1808:SET_B 2.84026e-05 -54 *1808:D *302:57 2.74273e-05 -55 *1809:CLK *1806:RESET_B 0.000265634 -56 *1809:CLK *1809:RESET_B 0.000227539 -57 *1809:D *1809:RESET_B 7.03295e-05 -58 *1812:A *1752:SET_B 5.49544e-05 -59 *1812:A *1806:RESET_B 8.79033e-05 -60 *1812:A *302:57 0 -61 *1812:A *302:63 0 -62 *1818:A *1805:SET_B 0 -63 *1819:A *1793:SET_B 0.000405736 -64 *1819:A *302:20 0.000101545 -65 *1824:A *1752:SET_B 0.000273789 -66 *1824:A *1801:SET_B 8.39582e-05 -67 *1825:A *1805:SET_B 0 -68 *1857:A *302:57 0 -69 *1857:A *302:63 0 -70 *1857:A *302:84 0 -71 *1857:A *302:109 0 -72 *219:8 *1805:SET_B 3.27526e-05 -73 *219:11 *1808:SET_B 7.02611e-05 -74 *229:67 *1801:SET_B 0.00016033 -75 *229:67 *302:57 0 -76 *229:73 *1802:RESET_B 0.000211116 -77 *257:37 *1758:SET_B 0 -78 *262:12 *1788:SET_B 0.00019935 -79 *262:12 *1802:RESET_B 2.40216e-05 -80 *274:11 *1758:SET_B 2.59355e-05 -*RES -1 *1845:X *1805:SET_B 27.1482 -2 *1805:SET_B *302:20 5.83929 -3 *302:20 *1793:SET_B 13.125 -4 *1793:SET_B *1758:SET_B 46.5446 -5 *302:20 *1808:SET_B 10.4554 -6 *1808:SET_B *302:57 9.48214 -7 *302:57 *1807:RESET_B 4.5 -8 *302:57 *302:63 1.64286 -9 *302:63 *302:65 4.5 -10 *302:65 *1806:RESET_B 18.8125 -11 *302:65 *1809:RESET_B 5.40179 -12 *302:63 *302:84 3.46429 -13 *302:84 *1804:RESET_B 4.5 -14 *302:84 *302:90 1.64286 -15 *302:90 *1788:SET_B 9.49107 -16 *1788:SET_B *1802:RESET_B 17.5714 -17 *302:90 *302:109 7.96429 -18 *302:109 *1752:SET_B 7.86607 -19 *302:109 *1801:SET_B 11.7232 -*END - -*D_NET *303 0.0281041 -*CONN -*I *380:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1845:A I *D sky130_fd_sc_hd__buf_4 -*I *1791:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *369:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *366:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1789:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *376:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1803:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *368:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1792:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 -*I *367:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1794:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 -*I *370:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *374:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1798:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *1799:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *375:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1797:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *1796:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 -*I *373:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *372:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *371:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1795:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *1790:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 -*I *1846:X O *D sky130_fd_sc_hd__buf_4 -*CAP -1 *380:DIODE 0 -2 *1845:A 0.000102899 -3 *1791:SET_B 0 -4 *369:DIODE 4.45297e-05 -5 *366:DIODE 0 -6 *1789:RESET_B 0.000283379 -7 *376:DIODE 0.000116003 -8 *1803:RESET_B 0.000218004 -9 *368:DIODE 0 -10 *1792:RESET_B 3.44169e-05 -11 *367:DIODE 0 -12 *1794:RESET_B 0.000149206 -13 *370:DIODE 0 -14 *374:DIODE 0.000240821 -15 *1798:SET_B 0.000210791 -16 *1799:RESET_B 0 -17 *375:DIODE 0 -18 *1797:RESET_B 0.000726656 -19 *1796:RESET_B 6.96015e-05 -20 *373:DIODE 0 -21 *372:DIODE 0 -22 *371:DIODE 4.48801e-05 -23 *1795:SET_B 0.000423276 -24 *1790:RESET_B 1.77966e-05 -25 *1846:X 0.00065755 -26 *303:210 0.000258632 -27 *303:165 0.000584181 -28 *303:162 0.000687204 -29 *303:156 0.00147556 -30 *303:150 0.00147973 -31 *303:144 0.000225761 -32 *303:100 0.000833604 -33 *303:92 0.00068395 -34 *303:89 0.000565641 -35 *303:72 0.000558859 -36 *303:66 0.000179845 -37 *303:58 0.000197991 -38 *303:51 0.000144138 -39 *303:46 0.000212516 -40 *303:34 0.000650332 -41 *303:32 0.000301503 -42 *303:30 0.000268332 -43 *303:20 0.000606454 -44 *303:16 0.000495695 -45 *303:13 0.000429709 -46 *303:8 0.00103332 -47 *303:6 0.00158739 -48 *1790:RESET_B *320:15 3.48705e-05 -49 *303:6 *1684:B 0 -50 *303:6 *1778:D 0.000306276 -51 *303:6 *317:8 0.000125724 -52 *303:8 *1778:D 3.34295e-05 -53 *303:8 *1793:CLK 4.43604e-05 -54 *303:8 *317:8 0.000196725 -55 *303:8 *319:24 5.59013e-05 -56 *303:30 *320:15 1.12713e-05 -57 *1610:C1 *1790:RESET_B 4.17702e-05 -58 *1610:C1 *303:30 0.000140243 -59 *1612:A2 *303:8 1.09026e-05 -60 *1612:A3 *303:8 0.000172525 -61 *1613:A4 *303:8 7.74168e-05 -62 *1615:A2 *303:13 0.000225339 -63 *1642:B *303:89 6.25852e-05 -64 *1685:C1 *374:DIODE 7.55848e-05 -65 *1685:C1 *303:92 1.46689e-05 -66 *1686:A1 *1789:RESET_B 2.56067e-05 -67 *1686:A1 *303:162 3.51224e-06 -68 *1726:A_N *303:20 0 -69 *1726:C *303:30 4.66056e-05 -70 *1729:B1 *303:20 4.07062e-05 -71 *1730:A1 *1795:SET_B 4.92083e-05 -72 *1730:S *371:DIODE 0.000100037 -73 *1730:S *303:58 8.67797e-05 -74 *1730:S *303:66 4.17433e-05 -75 *1789:CLK *1789:RESET_B 3.69047e-06 -76 *1789:CLK *303:162 1.84776e-05 -77 *1789:CLK *303:165 0.000380411 -78 *1793:D *303:8 0.000128154 -79 *1793:SET_B *303:8 0 -80 *1794:D *303:34 0 -81 *1803:CLK *1803:RESET_B 1.24368e-05 -82 *1803:D *1803:RESET_B 0.000105163 -83 *1805:SET_B *1845:A 0.000186765 -84 *1805:SET_B *303:210 1.34548e-05 -85 *1812:A *303:6 0 -86 *1819:A *303:6 0 -87 *20:6 *303:51 2.81946e-05 -88 *20:15 *1795:SET_B 0 -89 *130:8 *303:8 6.84398e-05 -90 *136:18 *1790:RESET_B 5.00824e-05 -91 *136:21 *303:8 0.00011292 -92 *136:21 *303:13 0.000103096 -93 *136:21 *303:16 9.22948e-05 -94 *136:21 *303:20 0.000738705 -95 *136:21 *303:156 0 -96 *137:54 *1798:SET_B 0.000206302 -97 *137:54 *303:100 0.000125736 -98 *137:69 *1798:SET_B 0.000147725 -99 *137:74 *303:156 0.0017176 -100 *137:90 *303:8 0.000350994 -101 *137:90 *303:13 8.1932e-05 -102 *176:8 *374:DIODE 0.00019369 -103 *176:8 *303:162 0.000197523 -104 *176:8 *303:165 2.30861e-05 -105 *212:8 *303:6 0 -106 *219:8 *303:8 0.000161367 -107 *219:11 *303:210 4.58194e-05 -108 *222:13 *1792:RESET_B 1.39711e-05 -109 *222:31 *1797:RESET_B 0.000127806 -110 *222:31 *303:66 0.00010906 -111 *222:31 *303:72 5.33005e-05 -112 *222:37 *1796:RESET_B 5.04068e-05 -113 *222:48 *303:100 0.000180764 -114 *227:15 *303:6 0.000667611 -115 *229:29 *376:DIODE 4.80729e-05 -116 *229:29 *303:165 0.000311968 -117 *257:37 *376:DIODE 0.000225433 -118 *257:37 *303:162 6.02223e-05 -119 *257:37 *303:165 0.000753398 -120 *263:7 *303:30 5.23735e-05 -121 *263:11 *303:30 0.000173015 -122 *266:7 *303:89 0.000141729 -123 *266:8 *303:92 5.09908e-05 -124 *267:6 *1798:SET_B 0 -125 *267:8 *1798:SET_B 0 -126 *268:23 *1798:SET_B 8.19254e-05 -127 *268:23 *303:100 0.000126416 -128 *269:8 *1794:RESET_B 3.92952e-05 -129 *269:8 *1795:SET_B 0 -130 *270:11 *1795:SET_B 2.06691e-05 -131 *287:134 *374:DIODE 0.000225251 -132 *288:19 *376:DIODE 7.50984e-05 -133 *288:43 *374:DIODE 4.04403e-05 -134 *288:47 *374:DIODE 0.000121225 -135 *288:47 *303:92 6.23278e-05 -136 *296:57 *1796:RESET_B 0.000173292 -137 *297:34 *303:156 0 -*RES -1 *1846:X *303:6 29.7107 -2 *303:6 *303:8 19.8571 -3 *303:8 *303:13 10.0357 -4 *303:13 *303:16 5.83929 -5 *303:16 *303:20 14.3393 -6 *303:20 *1790:RESET_B 0.883929 -7 *303:20 *303:30 9.625 -8 *303:30 *303:32 1.33929 -9 *303:32 *303:34 1.33929 -10 *303:34 *1795:SET_B 11.6161 -11 *303:34 *303:46 6.26786 -12 *303:46 *303:51 10.3393 -13 *303:51 *371:DIODE 10.2464 -14 *303:51 *303:58 0.946429 -15 *303:58 *372:DIODE 9.3 -16 *303:58 *303:66 3.01786 -17 *303:66 *373:DIODE 9.3 -18 *303:66 *303:72 0.535714 -19 *303:72 *1796:RESET_B 11.25 -20 *303:72 *1797:RESET_B 7.88393 -21 *1797:RESET_B *303:89 9.49107 -22 *303:89 *303:92 6.14286 -23 *303:92 *375:DIODE 9.3 -24 *303:92 *303:100 12.8393 -25 *303:100 *1799:RESET_B 4.5 -26 *303:100 *1798:SET_B 9.17857 -27 *303:89 *374:DIODE 20.6571 -28 *303:46 *370:DIODE 9.3 -29 *303:32 *1794:RESET_B 7.02679 -30 *303:30 *367:DIODE 13.8 -31 *303:16 *1792:RESET_B 4.97321 -32 *303:13 *303:144 1.35714 -33 *303:144 *368:DIODE 9.3 -34 *303:144 *303:150 1.35714 -35 *303:150 *303:156 28.1071 -36 *303:156 *303:162 12.5 -37 *303:162 *303:165 14.3393 -38 *303:165 *1803:RESET_B 8.27679 -39 *303:165 *376:DIODE 16.8893 -40 *303:162 *1789:RESET_B 3.90179 -41 *303:156 *366:DIODE 9.3 -42 *303:150 *369:DIODE 9.83571 -43 *303:8 *1791:SET_B 4.5 -44 *303:6 *303:210 6.26786 -45 *303:210 *1845:A 11.8 -46 *303:210 *380:DIODE 9.3 -*END - -*D_NET *304 0.0180953 -*CONN -*I *1846:A I *D sky130_fd_sc_hd__buf_4 -*I *1782:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *1781:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *1783:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *1780:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *1843:A I *D sky130_fd_sc_hd__buf_4 -*I *1844:A I *D sky130_fd_sc_hd__buf_4 -*I *1847:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1846:A 0.000731736 -2 *1782:SET_B 0 -3 *1781:RESET_B 2.67769e-05 -4 *1783:RESET_B 3.04079e-05 -5 *1780:RESET_B 0.00016717 -6 *1843:A 0 -7 *1844:A 0 -8 *1847:X 0 -9 *304:50 0.000321966 -10 *304:47 0.000393368 -11 *304:42 0.00114463 -12 *304:35 0.0028576 -13 *304:19 0.00114476 -14 *304:9 0.00154597 -15 *304:4 0.00162464 -16 *1780:RESET_B *320:24 0.000385994 -17 *1846:A *1551:S 0.000184745 -18 *304:35 *1548:S 0.00014285 -19 *304:35 *1754:CLK 5.52302e-05 -20 *304:35 *1754:D 0.000142856 -21 *304:35 *1847:A 0 -22 *304:42 *1753:CLK 0.000315697 -23 *304:50 *320:24 0.000213294 -24 *1548:A0 *304:35 9.90431e-05 -25 *1551:A0 *1846:A 0.000403613 -26 *1567:A1 *304:19 0.000117103 -27 *1653:A *304:19 0 -28 *1691:A_N *304:19 0.000224507 -29 *1691:B *304:19 0.000176941 -30 *1696:C *304:19 0 -31 *1711:A0 *304:19 0 -32 *1713:A1 *304:19 0.000193596 -33 *1715:A0 *304:35 2.59355e-05 -34 *1715:S *304:35 9.87672e-05 -35 *1746:A *1846:A 0.000322246 -36 *1746:A *304:42 4.87854e-05 -37 *1747:A *304:35 5.52238e-05 -38 *1760:D *304:19 0.00102527 -39 *1777:RESET_B *304:9 0 -40 *1779:CLK *304:50 1.47977e-05 -41 *1781:CLK *1781:RESET_B 2.29097e-05 -42 *1781:CLK *304:47 0.000182899 -43 *1783:D *1780:RESET_B 5.048e-05 -44 *1810:A *304:35 0.000647022 -45 *1811:A *1783:RESET_B 1.20244e-05 -46 *1811:A *304:50 0.000289204 -47 *1814:A *304:42 6.64171e-05 -48 *1837:A *304:42 0.000153462 -49 *1837:A *304:47 8.73692e-05 -50 *1838:A *304:47 5.40835e-05 -51 *1838:A *304:50 5.48645e-05 -52 *218:9 *1780:RESET_B 2.49027e-05 -53 *218:12 *1780:RESET_B 0.00011157 -54 *218:12 *304:50 2.19778e-05 -55 *224:8 *304:42 0 -56 *224:12 *304:42 0 -57 *227:15 *1846:A 9.11794e-05 -58 *231:32 *304:19 0.000197112 -59 *233:8 *304:19 0.000153047 -60 *235:8 *304:19 0.000124749 -61 *244:13 *304:19 0 -62 *295:54 *304:19 0.000384001 -63 *295:61 *304:19 0.000172257 -64 *300:11 *304:19 0.000203494 -65 *300:30 *304:9 0.000228826 -66 *301:90 *304:19 0.000361519 -67 *301:119 *304:19 0.000164398 -*RES -1 *1847:X *304:4 9.3 -2 *304:4 *304:9 16.375 -3 *304:9 *304:19 44.3214 -4 *304:19 *1844:A 9.3 -5 *304:9 *1843:A 9.3 -6 *304:4 *304:35 24.8214 -7 *304:35 *304:42 22.1964 -8 *304:42 *304:47 10.1429 -9 *304:47 *304:50 9.48214 -10 *304:50 *1780:RESET_B 9.95536 -11 *304:50 *1783:RESET_B 4.97321 -12 *304:47 *1781:RESET_B 0.473214 -13 *304:42 *1782:SET_B 4.5 -14 *304:35 *1846:A 33.3357 -*END - -*D_NET *305 0.000288564 -*CONN -*I *1847:A I *D sky130_fd_sc_hd__buf_2 -*I *1850:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1847:A 0.000141399 -2 *1850:X 0.000141399 -3 *1850:A *1847:A 5.7661e-06 -4 *304:35 *1847:A 0 -*RES -1 *1850:X *1847:A 21.1 -*END - -*D_NET *306 0.00113394 -*CONN -*I *1754:D I *D sky130_fd_sc_hd__dfstp_1 -*I *1755:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 *1754:D 0.0003653 -2 *1755:LO 0.0003653 -3 core_clk *1754:D 4.46186e-06 -4 *1753:SET_B *1754:D 2.46559e-06 -5 *1754:SET_B *1754:D 2.74795e-05 -6 *1810:A *1754:D 0 -7 *3:8 *1754:D 0.000185605 -8 *300:100 *1754:D 4.04683e-05 -9 *304:35 *1754:D 0.000142856 -*RES -1 *1755:LO *1754:D 35.4036 -*END - -*D_NET *307 0.000449006 -*CONN -*I *1791:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *1602:Y O *D sky130_fd_sc_hd__inv_4 -*CAP -1 *1791:CLK 0.000134343 -2 *1602:Y 0.000134343 -3 *1602:A *1791:CLK 0.000180321 -*RES -1 *1602:Y *1791:CLK 30.1893 -*END - -*D_NET *308 0.000330693 -*CONN -*I *1793:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *1750:Y O *D sky130_fd_sc_hd__inv_4 -*CAP -1 *1793:CLK 0.000115551 -2 *1750:Y 0.000115551 -3 *1793:D *1793:CLK 5.52302e-05 -4 *1818:A *1793:CLK 0 -5 *303:8 *1793:CLK 4.43604e-05 -*RES -1 *1750:Y *1793:CLK 30.0821 -*END - -*D_NET *309 0.000375539 -*CONN -*I *1795:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *1751:Y O *D sky130_fd_sc_hd__inv_4 -*CAP -1 *1795:CLK 0.000172215 -2 *1751:Y 0.000172215 -3 *136:15 *1795:CLK 0 -4 *222:25 *1795:CLK 3.11088e-05 -*RES -1 *1751:Y *1795:CLK 30.1893 -*END - -*D_NET *310 0.000495176 -*CONN -*I *1766:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *1599:Y O *D sky130_fd_sc_hd__inv_4 -*CAP -1 *1766:CLK 0.000217447 -2 *1599:Y 0.000217447 -3 *301:16 *1766:CLK 6.02811e-05 -*RES -1 *1599:Y *1766:CLK 31.1357 -*END - -*D_NET *311 0.000415272 -*CONN -*I *1768:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *1748:Y O *D sky130_fd_sc_hd__inv_4 -*CAP -1 *1768:CLK 0.000135182 -2 *1748:Y 0.000135182 -3 *146:12 *1768:CLK 4.57352e-05 -4 *293:41 *1768:CLK 9.9174e-05 -*RES -1 *1748:Y *1768:CLK 30.1893 -*END - -*D_NET *312 0.000206985 -*CONN -*I *1770:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *1749:Y O *D sky130_fd_sc_hd__inv_4 -*CAP -1 *1770:CLK 0.000103492 -2 *1749:Y 0.000103492 -*RES -1 *1749:Y *1770:CLK 20.3679 -*END - -*D_NET *313 0.000683266 -*CONN -*I *1752:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *1597:Y O *D sky130_fd_sc_hd__inv_4 -*CAP -1 *1752:CLK 0.000149898 -2 *1597:Y 0.000149898 -3 *1752:CLK *1551:S 4.69774e-05 -4 user_clk *1752:CLK 0.000153047 -5 *227:15 *1752:CLK 0.000183445 -*RES -1 *1597:Y *1752:CLK 31.3143 -*END - -*D_NET *314 0.000948006 -*CONN -*I *1753:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *1746:Y O *D sky130_fd_sc_hd__inv_4 -*CAP -1 *1753:CLK 0.000263817 -2 *1746:Y 0.000263817 -3 *1753:CLK *1753:D 0.000104675 -4 *304:42 *1753:CLK 0.000315697 -*RES -1 *1746:Y *1753:CLK 33.7429 -*END - -*D_NET *315 0.000670803 -*CONN -*I *1754:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *1747:Y O *D sky130_fd_sc_hd__inv_4 -*CAP -1 *1754:CLK 0.000280171 -2 *1747:Y 0.000280171 -3 *1754:CLK *1548:S 0 -4 *1810:A *1754:CLK 5.52302e-05 -5 *304:35 *1754:CLK 5.52302e-05 -*RES -1 *1747:Y *1754:CLK 32.5107 -*END - -*D_NET *316 0.00704528 -*CONN -*I *1804:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *1851:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1804:D 0.000433197 -2 *1851:X 0.00114804 -3 *316:13 0.00158124 -4 *1804:D *318:9 0.000684153 -5 *316:13 *318:9 0.000708658 -6 *316:13 *319:8 0.000934758 -7 *384:DIODE *316:13 4.87854e-05 -8 *1585:A0 *316:13 2.59355e-05 -9 *1639:B *316:13 0.000148911 -10 *1742:A0 *316:13 3.30803e-05 -11 *1742:S *316:13 0.000103031 -12 *1745:A_N *316:13 4.67214e-05 -13 *1804:CLK *1804:D 5.01883e-05 -14 *1816:A *316:13 0.000245874 -15 *1824:A *1804:D 0.00012639 -16 *1853:A *316:13 0 -17 *8:8 *316:13 0 -18 *13:8 *316:13 0 -19 *261:6 *316:13 0.000522971 -20 *290:60 *316:13 0.000203341 -*RES -1 *1851:X *316:13 49.7732 -2 *316:13 *1804:D 21.5411 -*END - -*D_NET *317 0.00708025 -*CONN -*I *1805:D I *D sky130_fd_sc_hd__dfstp_1 -*I *1852:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1805:D 0 -2 *1852:X 0.00218239 -3 *317:8 0.00218239 -4 *317:8 *319:21 0.00104131 -5 *1550:A0 *317:8 2.13481e-06 -6 *1550:S *317:8 0.000226614 -7 *1650:A *317:8 2.29112e-05 -8 *1651:A1 *317:8 8.88574e-05 -9 *1651:A2 *317:8 0.000717922 -10 *1793:SET_B *317:8 0 -11 *1812:A *317:8 0.000228514 -12 *1819:A *317:8 0 -13 *1831:A *317:8 6.47568e-05 -14 *302:20 *317:8 0 -15 *303:6 *317:8 0.000125724 -16 *303:8 *317:8 0.000196725 -*RES -1 *1852:X *317:8 45.925 -2 *317:8 *1805:D 13.8 -*END - -*D_NET *318 0.00670362 -*CONN -*I *1806:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *1853:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1806:D 0 -2 *1853:X 0.00244985 -3 *318:9 0.00244985 -4 *1804:CLK *318:9 8.6097e-05 -5 *1804:D *318:9 0.000684153 -6 *1806:RESET_B *318:9 0.000203447 -7 *1807:D *318:9 9.56266e-05 -8 *1809:D *318:9 2.59355e-05 -9 *316:13 *318:9 0.000708658 -*RES -1 *1853:X *318:9 44.7821 -2 *318:9 *1806:D 9.3 -*END - -*D_NET *319 0.0154267 -*CONN -*I *1778:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *365:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1854:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1778:D 0.000808645 -2 *365:DIODE 0 -3 *1854:X 4.66968e-05 -4 *319:24 0.000827717 -5 *319:21 0.00119806 -6 *319:8 0.00304617 -7 *319:7 0.00191389 -8 *1778:D *1551:S 0.000191337 -9 *319:8 *321:11 0.000966024 -10 *1584:A1 *319:21 1.32552e-05 -11 *1650:A *319:21 0.000275296 -12 *1716:A_N *319:21 0.000138605 -13 *1721:C *319:8 7.62951e-05 -14 *1745:A_N *319:8 3.14003e-05 -15 *1745:A_N *319:21 0.000310598 -16 *1745:B *319:21 6.26774e-06 -17 *1778:RESET_B *1778:D 0.000118925 -18 *1781:D *1778:D 0.000147469 -19 *1803:D *319:21 2.27766e-05 -20 *1805:CLK *1778:D 0.000137939 -21 *1805:CLK *319:24 3.34366e-05 -22 *1808:CLK *319:21 8.44071e-05 -23 *1808:D *319:21 5.65955e-05 -24 *1808:SET_B *319:21 0.000116095 -25 *1831:A *319:21 0.000186895 -26 *1832:A *319:21 0.000262764 -27 *1833:A *319:21 7.95366e-05 -28 *1837:A *1778:D 0.000143819 -29 *1840:A *319:8 0 -30 *1856:A *319:8 1.91512e-05 -31 *7:15 *319:21 5.86931e-05 -32 *8:8 *319:8 0 -33 *15:10 *319:8 6.01802e-05 -34 *16:8 *319:8 1.5016e-05 -35 *137:69 *319:8 0 -36 *219:8 *319:24 3.34295e-05 -37 *219:11 *319:21 0.000393943 -38 *225:8 *1778:D 3.11088e-05 -39 *257:5 *319:21 0.000423786 -40 *259:48 *319:21 6.55261e-05 -41 *267:6 *319:8 9.43017e-05 -42 *267:8 *319:8 0.000376291 -43 *287:221 *319:21 0.000242712 -44 *303:6 *1778:D 0.000306276 -45 *303:8 *1778:D 3.34295e-05 -46 *303:8 *319:24 5.59013e-05 -47 *316:13 *319:8 0.000934758 -48 *317:8 *319:21 0.00104131 -*RES -1 *1854:X *319:7 14.3357 -2 *319:7 *319:8 45.9643 -3 *319:8 *319:21 46.3393 -4 *319:21 *319:24 5.23214 -5 *319:24 *365:DIODE 13.8 -6 *319:24 *1778:D 32.675 -*END - -*D_NET *320 0.0120466 -*CONN -*I *1779:D I *D sky130_fd_sc_hd__dfstp_1 -*I *1855:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1779:D 0.00013191 -2 *1855:X 0.0015719 -3 *320:24 0.00207425 -4 *320:15 0.00351424 -5 *320:24 *1756:D 0.000479645 -6 *388:DIODE *320:15 7.4854e-05 -7 *1572:S *320:15 1.4796e-05 -8 *1610:B1 *320:15 0.000804769 -9 *1610:C1 *320:15 0.0002744 -10 *1708:B *320:24 7.0071e-05 -11 *1738:A1 *320:24 2.37944e-05 -12 *1738:B1 *320:24 0.000172884 -13 *1780:RESET_B *320:24 0.000385994 -14 *1790:CLK_N *320:24 2.85321e-05 -15 *1790:D *320:24 0.000190144 -16 *1790:RESET_B *320:15 3.48705e-05 -17 *1838:A *320:24 0.000103464 -18 *1839:A *320:15 0.000470967 -19 *136:18 *320:15 2.50822e-05 -20 *136:18 *320:24 1.15125e-05 -21 *139:7 *320:15 0.000141729 -22 *206:9 *320:24 0.00036272 -23 *232:11 *320:24 0.000181529 -24 *241:8 *320:24 6.42035e-05 -25 *241:10 *320:24 0.000125528 -26 *249:10 *320:24 7.83027e-05 -27 *263:7 *320:15 0.000139331 -28 *296:8 *320:15 0.000270668 -29 *303:30 *320:15 1.12713e-05 -30 *304:50 *320:24 0.000213294 -*RES -1 *1855:X *320:15 41.3536 -2 *320:15 *320:24 46.25 -3 *320:24 *1779:D 15.5679 -*END - -*D_NET *321 0.00873034 -*CONN -*I *1780:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *1856:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1780:D 0.00122253 -2 *1856:X 0.00149428 -3 *321:11 0.00271681 -4 *1612:A1 *1780:D 6.82912e-05 -5 *1612:A2 *1780:D 1.35553e-05 -6 *1614:A *1780:D 6.85998e-05 -7 *1780:CLK *1780:D 7.4854e-05 -8 *1816:A *321:11 0.000526151 -9 *7:16 *1780:D 8.4841e-05 -10 *11:10 *321:11 1.0562e-05 -11 *52:50 *1780:D 0.00142889 -12 *216:7 *1780:D 5.49489e-05 -13 *319:8 *321:11 0.000966024 -*RES -1 *1856:X *321:11 44.3536 -2 *321:11 *1780:D 32.8357 -*END - -*D_NET *322 0.0084634 -*CONN -*I *1756:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *1596:Y O *D sky130_fd_sc_hd__clkinv_4 -*CAP -1 *1756:D 0.000709275 -2 *1596:Y 0.00098657 -3 *322:10 0.00169584 -4 *1563:A0 *322:10 0.00010557 -5 *1564:A0 *322:10 0.000245719 -6 *1564:A1 *322:10 6.73181e-05 -7 *1638:A *322:10 0.000139331 -8 *1638:B *322:10 0.000111329 -9 *1709:A2_N *322:10 7.17807e-05 -10 *1739:B1 *1756:D 5.54276e-05 -11 *1739:B1 *322:10 9.18978e-05 -12 *1756:CLK *1756:D 0.000179519 -13 *1756:RESET_B *1756:D 0.000720901 -14 *1773:D *322:10 2.04535e-05 -15 *1773:SET_B *1756:D 0.000393509 -16 *1774:RESET_B *322:10 0.000273039 -17 *232:11 *1756:D 0.000215701 -18 *240:10 *322:10 0.00010951 -19 *241:8 *1756:D 6.76337e-05 -20 *241:8 *322:10 1.86838e-05 -21 *241:10 *322:10 0.000279726 -22 *292:114 *322:10 0.000411767 -23 *292:157 *322:10 0.000288612 -24 *299:81 *322:10 0.000340796 -25 *301:136 *1756:D 0.000383841 -26 *320:24 *1756:D 0.000479645 -*RES -1 *1596:Y *322:10 43.7375 -2 *322:10 *1756:D 38.1304 -*END - -*D_NET *323 0.000900786 -*CONN -*I *1684:B I *D sky130_fd_sc_hd__nor2_1 -*I *1752:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *1684:B 0.00032324 -2 *1752:Q 0.00032324 -3 resetb_sync *1684:B 0 -4 *1752:SET_B *1684:B 0.000254305 -5 *303:6 *1684:B 0 -*RES -1 *1752:Q *1684:B 32.6982 -*END - -*D_NET *324 0.000463053 -*CONN -*I *1752:D I *D sky130_fd_sc_hd__dfstp_1 -*I *1753:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *1752:D 0.000134429 -2 *1753:Q 0.000134429 -3 user_clk *1752:D 0.000120207 -4 *1551:A1 *1752:D 7.3988e-05 -*RES -1 *1753:Q *1752:D 30.6982 -*END - -*D_NET *325 0.000653043 -*CONN -*I *1753:D I *D sky130_fd_sc_hd__dfstp_1 -*I *1754:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *1753:D 0.000123365 -2 *1754:Q 0.000123365 -3 *1753:CLK *1753:D 0.000104675 -4 *1753:SET_B *1753:D 0.000301638 -*RES -1 *1754:Q *1753:D 22.7696 -*END - -*D_NET *326 0.00516895 -*CONN -*I *1757:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *1548:S I *D sky130_fd_sc_hd__mux2_1 -*I *1756:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *1757:D 0 -2 *1548:S 0.000651421 -3 *1756:Q 0.000996426 -4 *326:15 0.00164785 -5 *1547:A0 *326:15 0.000248966 -6 *1547:S *326:15 0.000265267 -7 *1548:A0 *1548:S 0.000135863 -8 *1548:A1 *1548:S 0.00013934 -9 *1549:A0 *326:15 0.000102247 -10 *1754:CLK *1548:S 0 -11 *1756:CLK *326:15 1.14102e-05 -12 *1757:CLK *1548:S 3.67279e-06 -13 *1778:RESET_B *326:15 0.000105981 -14 *1781:CLK *326:15 0 -15 *1782:D *326:15 0 -16 *1837:A *326:15 0.000401103 -17 *224:12 *326:15 1.02504e-05 -18 *224:16 *326:15 4.38511e-05 -19 *231:10 *326:15 0.00014925 -20 *236:11 *326:15 3.14994e-05 -21 *293:226 *1548:S 5.5764e-05 -22 *293:226 *326:15 2.59355e-05 -23 *304:35 *1548:S 0.00014285 -*RES -1 *1756:Q *326:15 42.0321 -2 *326:15 *1548:S 32.2286 -3 *326:15 *1757:D 9.3 -*END - -*D_NET *327 0.00501903 -*CONN -*I *1551:S I *D sky130_fd_sc_hd__mux2_1 -*I *1549:S I *D sky130_fd_sc_hd__mux2_1 -*I *1757:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *1551:S 0.00138497 -2 *1549:S 9.90572e-05 -3 *1757:Q 0.000126421 -4 *327:5 0.00161045 -5 *1549:A0 *1549:S 0.000299648 -6 *1752:CLK *1551:S 4.69774e-05 -7 *1778:D *1551:S 0.000191337 -8 *1778:RESET_B *1551:S 0.00046512 -9 *1778:RESET_B *327:5 0.000182799 -10 *1814:A *1551:S 0 -11 *1846:A *1551:S 0.000184745 -12 *224:8 *1549:S 6.97424e-05 -13 *224:12 *1549:S 0.000173021 -14 *227:15 *1551:S 0.000184745 -*RES -1 *1757:Q *327:5 11.0679 -2 *327:5 *1549:S 21.9964 -3 *327:5 *1551:S 41.3 -*END - -*D_NET *328 0.00142042 -*CONN -*I *1858:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *1551:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1858:A 0.000396207 -2 *1551:X 0.000396207 -3 user_clk *1858:A 1.83053e-05 -4 *227:15 *1858:A 0.000609699 -*RES -1 *1551:X *1858:A 35.1 -*END diff --git a/spef/chip_io.spef b/spef/chip_io.spef deleted file mode 100644 index 91537c14..00000000 --- a/spef/chip_io.spef +++ /dev/null @@ -1,9721 +0,0 @@ -*SPEF "ieee 1481-1999" -*DESIGN "chip_io" -*DATE "11:11:11 Fri 11 11, 1111" -*VENDOR "OpenRCX" -*PROGRAM "Parallel Extraction" -*VERSION "1.0" -*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" -*DIVIDER / -*DELIMITER : -*BUS_DELIMITER [] -*T_UNIT 1 NS -*C_UNIT 1 PF -*R_UNIT 1 OHM -*L_UNIT 1 HENRY - -*NAME_MAP -*1 clock -*2 clock_core -*3 por -*4 flash_clk -*5 flash_clk_core -*6 flash_clk_oeb_core -*7 flash_csb -*8 flash_csb_core -*9 flash_csb_oeb_core -*10 flash_io0 -*11 flash_io0_di_core -*12 flash_io0_do_core -*13 flash_io0_ieb_core -*14 flash_io0_oeb_core -*15 flash_io1 -*16 flash_io1_di_core -*17 flash_io1_do_core -*18 flash_io1_ieb_core -*19 flash_io1_oeb_core -*20 gpio -*21 gpio_in_core -*22 gpio_inenb_core -*23 gpio_mode0_core -*24 gpio_mode1_core -*25 gpio_out_core -*26 gpio_outenb_core -*27 vccd_pad -*28 vdda_pad -*29 vddio_pad -*30 vddio_pad2 -*31 vssa_pad -*32 vssd_pad -*33 vssio_pad -*34 vssio_pad2 -*35 mprj_io[0] -*36 mprj_io_analog_en[0] -*37 mprj_io_analog_pol[0] -*38 mprj_io_analog_sel[0] -*39 mprj_io_dm[0] -*40 mprj_io_dm[1] -*41 mprj_io_dm[2] -*42 mprj_io_holdover[0] -*43 mprj_io_ib_mode_sel[0] -*44 mprj_io_inp_dis[0] -*45 mprj_io_oeb[0] -*46 mprj_io_out[0] -*47 mprj_io_slow_sel[0] -*48 mprj_io_vtrip_sel[0] -*49 mprj_io_in[0] -*50 mprj_analog_io[3] -*51 mprj_io[10] -*52 mprj_io_analog_en[10] -*53 mprj_io_analog_pol[10] -*54 mprj_io_analog_sel[10] -*55 mprj_io_dm[30] -*56 mprj_io_dm[31] -*57 mprj_io_dm[32] -*58 mprj_io_holdover[10] -*59 mprj_io_ib_mode_sel[10] -*60 mprj_io_inp_dis[10] -*61 mprj_io_oeb[10] -*62 mprj_io_out[10] -*63 mprj_io_slow_sel[10] -*64 mprj_io_vtrip_sel[10] -*65 mprj_io_in[10] -*66 mprj_analog_io[4] -*67 mprj_io[11] -*68 mprj_io_analog_en[11] -*69 mprj_io_analog_pol[11] -*70 mprj_io_analog_sel[11] -*71 mprj_io_dm[33] -*72 mprj_io_dm[34] -*73 mprj_io_dm[35] -*74 mprj_io_holdover[11] -*75 mprj_io_ib_mode_sel[11] -*76 mprj_io_inp_dis[11] -*77 mprj_io_oeb[11] -*78 mprj_io_out[11] -*79 mprj_io_slow_sel[11] -*80 mprj_io_vtrip_sel[11] -*81 mprj_io_in[11] -*82 mprj_analog_io[5] -*83 mprj_io[12] -*84 mprj_io_analog_en[12] -*85 mprj_io_analog_pol[12] -*86 mprj_io_analog_sel[12] -*87 mprj_io_dm[36] -*88 mprj_io_dm[37] -*89 mprj_io_dm[38] -*90 mprj_io_holdover[12] -*91 mprj_io_ib_mode_sel[12] -*92 mprj_io_inp_dis[12] -*93 mprj_io_oeb[12] -*94 mprj_io_out[12] -*95 mprj_io_slow_sel[12] -*96 mprj_io_vtrip_sel[12] -*97 mprj_io_in[12] -*98 mprj_analog_io[6] -*99 mprj_io[13] -*100 mprj_io_analog_en[13] -*101 mprj_io_analog_pol[13] -*102 mprj_io_analog_sel[13] -*103 mprj_io_dm[39] -*104 mprj_io_dm[40] -*105 mprj_io_dm[41] -*106 mprj_io_holdover[13] -*107 mprj_io_ib_mode_sel[13] -*108 mprj_io_inp_dis[13] -*109 mprj_io_oeb[13] -*110 mprj_io_out[13] -*111 mprj_io_slow_sel[13] -*112 mprj_io_vtrip_sel[13] -*113 mprj_io_in[13] -*114 mprj_analog_io[7] -*115 mprj_io[14] -*116 mprj_io_analog_en[14] -*117 mprj_io_analog_pol[14] -*118 mprj_io_analog_sel[14] -*119 mprj_io_dm[42] -*120 mprj_io_dm[43] -*121 mprj_io_dm[44] -*122 mprj_io_holdover[14] -*123 mprj_io_ib_mode_sel[14] -*124 mprj_io_inp_dis[14] -*125 mprj_io_oeb[14] -*126 mprj_io_out[14] -*127 mprj_io_slow_sel[14] -*128 mprj_io_vtrip_sel[14] -*129 mprj_io_in[14] -*130 mprj_analog_io[8] -*131 mprj_io[15] -*132 mprj_io_analog_en[15] -*133 mprj_io_analog_pol[15] -*134 mprj_io_analog_sel[15] -*135 mprj_io_dm[45] -*136 mprj_io_dm[46] -*137 mprj_io_dm[47] -*138 mprj_io_holdover[15] -*139 mprj_io_ib_mode_sel[15] -*140 mprj_io_inp_dis[15] -*141 mprj_io_oeb[15] -*142 mprj_io_out[15] -*143 mprj_io_slow_sel[15] -*144 mprj_io_vtrip_sel[15] -*145 mprj_io_in[15] -*146 mprj_analog_io[9] -*147 mprj_io[16] -*148 mprj_io_analog_en[16] -*149 mprj_io_analog_pol[16] -*150 mprj_io_analog_sel[16] -*151 mprj_io_dm[48] -*152 mprj_io_dm[49] -*153 mprj_io_dm[50] -*154 mprj_io_holdover[16] -*155 mprj_io_ib_mode_sel[16] -*156 mprj_io_inp_dis[16] -*157 mprj_io_oeb[16] -*158 mprj_io_out[16] -*159 mprj_io_slow_sel[16] -*160 mprj_io_vtrip_sel[16] -*161 mprj_io_in[16] -*162 mprj_analog_io[10] -*163 mprj_io[17] -*164 mprj_io_analog_en[17] -*165 mprj_io_analog_pol[17] -*166 mprj_io_analog_sel[17] -*167 mprj_io_dm[51] -*168 mprj_io_dm[52] -*169 mprj_io_dm[53] -*170 mprj_io_holdover[17] -*171 mprj_io_ib_mode_sel[17] -*172 mprj_io_inp_dis[17] -*173 mprj_io_oeb[17] -*174 mprj_io_out[17] -*175 mprj_io_slow_sel[17] -*176 mprj_io_vtrip_sel[17] -*177 mprj_io_in[17] -*178 mprj_analog_io[11] -*179 mprj_io[18] -*180 mprj_io_analog_en[18] -*181 mprj_io_analog_pol[18] -*182 mprj_io_analog_sel[18] -*183 mprj_io_dm[54] -*184 mprj_io_dm[55] -*185 mprj_io_dm[56] -*186 mprj_io_holdover[18] -*187 mprj_io_ib_mode_sel[18] -*188 mprj_io_inp_dis[18] -*189 mprj_io_oeb[18] -*190 mprj_io_out[18] -*191 mprj_io_slow_sel[18] -*192 mprj_io_vtrip_sel[18] -*193 mprj_io_in[18] -*194 mprj_io[1] -*195 mprj_io_analog_en[1] -*196 mprj_io_analog_pol[1] -*197 mprj_io_analog_sel[1] -*198 mprj_io_dm[3] -*199 mprj_io_dm[4] -*200 mprj_io_dm[5] -*201 mprj_io_holdover[1] -*202 mprj_io_ib_mode_sel[1] -*203 mprj_io_inp_dis[1] -*204 mprj_io_oeb[1] -*205 mprj_io_out[1] -*206 mprj_io_slow_sel[1] -*207 mprj_io_vtrip_sel[1] -*208 mprj_io_in[1] -*209 mprj_io[2] -*210 mprj_io_analog_en[2] -*211 mprj_io_analog_pol[2] -*212 mprj_io_analog_sel[2] -*213 mprj_io_dm[6] -*214 mprj_io_dm[7] -*215 mprj_io_dm[8] -*216 mprj_io_holdover[2] -*217 mprj_io_ib_mode_sel[2] -*218 mprj_io_inp_dis[2] -*219 mprj_io_oeb[2] -*220 mprj_io_out[2] -*221 mprj_io_slow_sel[2] -*222 mprj_io_vtrip_sel[2] -*223 mprj_io_in[2] -*224 mprj_io[3] -*225 mprj_io_analog_en[3] -*226 mprj_io_analog_pol[3] -*227 mprj_io_analog_sel[3] -*228 mprj_io_dm[10] -*229 mprj_io_dm[11] -*230 mprj_io_dm[9] -*231 mprj_io_holdover[3] -*232 mprj_io_ib_mode_sel[3] -*233 mprj_io_inp_dis[3] -*234 mprj_io_oeb[3] -*235 mprj_io_out[3] -*236 mprj_io_slow_sel[3] -*237 mprj_io_vtrip_sel[3] -*238 mprj_io_in[3] -*239 mprj_io[4] -*240 mprj_io_analog_en[4] -*241 mprj_io_analog_pol[4] -*242 mprj_io_analog_sel[4] -*243 mprj_io_dm[12] -*244 mprj_io_dm[13] -*245 mprj_io_dm[14] -*246 mprj_io_holdover[4] -*247 mprj_io_ib_mode_sel[4] -*248 mprj_io_inp_dis[4] -*249 mprj_io_oeb[4] -*250 mprj_io_out[4] -*251 mprj_io_slow_sel[4] -*252 mprj_io_vtrip_sel[4] -*253 mprj_io_in[4] -*254 mprj_io[5] -*255 mprj_io_analog_en[5] -*256 mprj_io_analog_pol[5] -*257 mprj_io_analog_sel[5] -*258 mprj_io_dm[15] -*259 mprj_io_dm[16] -*260 mprj_io_dm[17] -*261 mprj_io_holdover[5] -*262 mprj_io_ib_mode_sel[5] -*263 mprj_io_inp_dis[5] -*264 mprj_io_oeb[5] -*265 mprj_io_out[5] -*266 mprj_io_slow_sel[5] -*267 mprj_io_vtrip_sel[5] -*268 mprj_io_in[5] -*269 mprj_io[6] -*270 mprj_io_analog_en[6] -*271 mprj_io_analog_pol[6] -*272 mprj_io_analog_sel[6] -*273 mprj_io_dm[18] -*274 mprj_io_dm[19] -*275 mprj_io_dm[20] -*276 mprj_io_holdover[6] -*277 mprj_io_ib_mode_sel[6] -*278 mprj_io_inp_dis[6] -*279 mprj_io_oeb[6] -*280 mprj_io_out[6] -*281 mprj_io_slow_sel[6] -*282 mprj_io_vtrip_sel[6] -*283 mprj_io_in[6] -*284 mprj_analog_io[0] -*285 mprj_io[7] -*286 mprj_io_analog_en[7] -*287 mprj_io_analog_pol[7] -*288 mprj_io_analog_sel[7] -*289 mprj_io_dm[21] -*290 mprj_io_dm[22] -*291 mprj_io_dm[23] -*292 mprj_io_holdover[7] -*293 mprj_io_ib_mode_sel[7] -*294 mprj_io_inp_dis[7] -*295 mprj_io_oeb[7] -*296 mprj_io_out[7] -*297 mprj_io_slow_sel[7] -*298 mprj_io_vtrip_sel[7] -*299 mprj_io_in[7] -*300 mprj_analog_io[1] -*301 mprj_io[8] -*302 mprj_io_analog_en[8] -*303 mprj_io_analog_pol[8] -*304 mprj_io_analog_sel[8] -*305 mprj_io_dm[24] -*306 mprj_io_dm[25] -*307 mprj_io_dm[26] -*308 mprj_io_holdover[8] -*309 mprj_io_ib_mode_sel[8] -*310 mprj_io_inp_dis[8] -*311 mprj_io_oeb[8] -*312 mprj_io_out[8] -*313 mprj_io_slow_sel[8] -*314 mprj_io_vtrip_sel[8] -*315 mprj_io_in[8] -*316 mprj_analog_io[2] -*317 mprj_io[9] -*318 mprj_io_analog_en[9] -*319 mprj_io_analog_pol[9] -*320 mprj_io_analog_sel[9] -*321 mprj_io_dm[27] -*322 mprj_io_dm[28] -*323 mprj_io_dm[29] -*324 mprj_io_holdover[9] -*325 mprj_io_ib_mode_sel[9] -*326 mprj_io_inp_dis[9] -*327 mprj_io_oeb[9] -*328 mprj_io_out[9] -*329 mprj_io_slow_sel[9] -*330 mprj_io_vtrip_sel[9] -*331 mprj_io_in[9] -*332 mprj_analog_io[12] -*333 mprj_io[19] -*334 mprj_io_analog_en[19] -*335 mprj_io_analog_pol[19] -*336 mprj_io_analog_sel[19] -*337 mprj_io_dm[57] -*338 mprj_io_dm[58] -*339 mprj_io_dm[59] -*340 mprj_io_holdover[19] -*341 mprj_io_ib_mode_sel[19] -*342 mprj_io_inp_dis[19] -*343 mprj_io_oeb[19] -*344 mprj_io_out[19] -*345 mprj_io_slow_sel[19] -*346 mprj_io_vtrip_sel[19] -*347 mprj_io_in[19] -*348 mprj_analog_io[22] -*349 mprj_io[29] -*350 mprj_io_analog_en[29] -*351 mprj_io_analog_pol[29] -*352 mprj_io_analog_sel[29] -*353 mprj_io_dm[87] -*354 mprj_io_dm[88] -*355 mprj_io_dm[89] -*356 mprj_io_holdover[29] -*357 mprj_io_ib_mode_sel[29] -*358 mprj_io_inp_dis[29] -*359 mprj_io_oeb[29] -*360 mprj_io_out[29] -*361 mprj_io_slow_sel[29] -*362 mprj_io_vtrip_sel[29] -*363 mprj_io_in[29] -*364 mprj_analog_io[23] -*365 mprj_io[30] -*366 mprj_io_analog_en[30] -*367 mprj_io_analog_pol[30] -*368 mprj_io_analog_sel[30] -*369 mprj_io_dm[90] -*370 mprj_io_dm[91] -*371 mprj_io_dm[92] -*372 mprj_io_holdover[30] -*373 mprj_io_ib_mode_sel[30] -*374 mprj_io_inp_dis[30] -*375 mprj_io_oeb[30] -*376 mprj_io_out[30] -*377 mprj_io_slow_sel[30] -*378 mprj_io_vtrip_sel[30] -*379 mprj_io_in[30] -*380 mprj_analog_io[24] -*381 mprj_io[31] -*382 mprj_io_analog_en[31] -*383 mprj_io_analog_pol[31] -*384 mprj_io_analog_sel[31] -*385 mprj_io_dm[93] -*386 mprj_io_dm[94] -*387 mprj_io_dm[95] -*388 mprj_io_holdover[31] -*389 mprj_io_ib_mode_sel[31] -*390 mprj_io_inp_dis[31] -*391 mprj_io_oeb[31] -*392 mprj_io_out[31] -*393 mprj_io_slow_sel[31] -*394 mprj_io_vtrip_sel[31] -*395 mprj_io_in[31] -*396 mprj_analog_io[25] -*397 mprj_io[32] -*398 mprj_io_analog_en[32] -*399 mprj_io_analog_pol[32] -*400 mprj_io_analog_sel[32] -*401 mprj_io_dm[96] -*402 mprj_io_dm[97] -*403 mprj_io_dm[98] -*404 mprj_io_holdover[32] -*405 mprj_io_ib_mode_sel[32] -*406 mprj_io_inp_dis[32] -*407 mprj_io_oeb[32] -*408 mprj_io_out[32] -*409 mprj_io_slow_sel[32] -*410 mprj_io_vtrip_sel[32] -*411 mprj_io_in[32] -*412 mprj_analog_io[26] -*413 mprj_io[33] -*414 mprj_io_analog_en[33] -*415 mprj_io_analog_pol[33] -*416 mprj_io_analog_sel[33] -*417 mprj_io_dm[100] -*418 mprj_io_dm[101] -*419 mprj_io_dm[99] -*420 mprj_io_holdover[33] -*421 mprj_io_ib_mode_sel[33] -*422 mprj_io_inp_dis[33] -*423 mprj_io_oeb[33] -*424 mprj_io_out[33] -*425 mprj_io_slow_sel[33] -*426 mprj_io_vtrip_sel[33] -*427 mprj_io_in[33] -*428 mprj_analog_io[27] -*429 mprj_io[34] -*430 mprj_io_analog_en[34] -*431 mprj_io_analog_pol[34] -*432 mprj_io_analog_sel[34] -*433 mprj_io_dm[102] -*434 mprj_io_dm[103] -*435 mprj_io_dm[104] -*436 mprj_io_holdover[34] -*437 mprj_io_ib_mode_sel[34] -*438 mprj_io_inp_dis[34] -*439 mprj_io_oeb[34] -*440 mprj_io_out[34] -*441 mprj_io_slow_sel[34] -*442 mprj_io_vtrip_sel[34] -*443 mprj_io_in[34] -*444 mprj_analog_io[28] -*445 mprj_io[35] -*446 mprj_io_analog_en[35] -*447 mprj_io_analog_pol[35] -*448 mprj_io_analog_sel[35] -*449 mprj_io_dm[105] -*450 mprj_io_dm[106] -*451 mprj_io_dm[107] -*452 mprj_io_holdover[35] -*453 mprj_io_ib_mode_sel[35] -*454 mprj_io_inp_dis[35] -*455 mprj_io_oeb[35] -*456 mprj_io_out[35] -*457 mprj_io_slow_sel[35] -*458 mprj_io_vtrip_sel[35] -*459 mprj_io_in[35] -*460 mprj_io[36] -*461 mprj_io_analog_en[36] -*462 mprj_io_analog_pol[36] -*463 mprj_io_analog_sel[36] -*464 mprj_io_dm[108] -*465 mprj_io_dm[109] -*466 mprj_io_dm[110] -*467 mprj_io_holdover[36] -*468 mprj_io_ib_mode_sel[36] -*469 mprj_io_inp_dis[36] -*470 mprj_io_oeb[36] -*471 mprj_io_out[36] -*472 mprj_io_slow_sel[36] -*473 mprj_io_vtrip_sel[36] -*474 mprj_io_in[36] -*475 mprj_io[37] -*476 mprj_io_analog_en[37] -*477 mprj_io_analog_pol[37] -*478 mprj_io_analog_sel[37] -*479 mprj_io_dm[111] -*480 mprj_io_dm[112] -*481 mprj_io_dm[113] -*482 mprj_io_holdover[37] -*483 mprj_io_ib_mode_sel[37] -*484 mprj_io_inp_dis[37] -*485 mprj_io_oeb[37] -*486 mprj_io_out[37] -*487 mprj_io_slow_sel[37] -*488 mprj_io_vtrip_sel[37] -*489 mprj_io_in[37] -*490 mprj_analog_io[13] -*491 mprj_io[20] -*492 mprj_io_analog_en[20] -*493 mprj_io_analog_pol[20] -*494 mprj_io_analog_sel[20] -*495 mprj_io_dm[60] -*496 mprj_io_dm[61] -*497 mprj_io_dm[62] -*498 mprj_io_holdover[20] -*499 mprj_io_ib_mode_sel[20] -*500 mprj_io_inp_dis[20] -*501 mprj_io_oeb[20] -*502 mprj_io_out[20] -*503 mprj_io_slow_sel[20] -*504 mprj_io_vtrip_sel[20] -*505 mprj_io_in[20] -*506 mprj_analog_io[14] -*507 mprj_io[21] -*508 mprj_io_analog_en[21] -*509 mprj_io_analog_pol[21] -*510 mprj_io_analog_sel[21] -*511 mprj_io_dm[63] -*512 mprj_io_dm[64] -*513 mprj_io_dm[65] -*514 mprj_io_holdover[21] -*515 mprj_io_ib_mode_sel[21] -*516 mprj_io_inp_dis[21] -*517 mprj_io_oeb[21] -*518 mprj_io_out[21] -*519 mprj_io_slow_sel[21] -*520 mprj_io_vtrip_sel[21] -*521 mprj_io_in[21] -*522 mprj_analog_io[15] -*523 mprj_io[22] -*524 mprj_io_analog_en[22] -*525 mprj_io_analog_pol[22] -*526 mprj_io_analog_sel[22] -*527 mprj_io_dm[66] -*528 mprj_io_dm[67] -*529 mprj_io_dm[68] -*530 mprj_io_holdover[22] -*531 mprj_io_ib_mode_sel[22] -*532 mprj_io_inp_dis[22] -*533 mprj_io_oeb[22] -*534 mprj_io_out[22] -*535 mprj_io_slow_sel[22] -*536 mprj_io_vtrip_sel[22] -*537 mprj_io_in[22] -*538 mprj_analog_io[16] -*539 mprj_io[23] -*540 mprj_io_analog_en[23] -*541 mprj_io_analog_pol[23] -*542 mprj_io_analog_sel[23] -*543 mprj_io_dm[69] -*544 mprj_io_dm[70] -*545 mprj_io_dm[71] -*546 mprj_io_holdover[23] -*547 mprj_io_ib_mode_sel[23] -*548 mprj_io_inp_dis[23] -*549 mprj_io_oeb[23] -*550 mprj_io_out[23] -*551 mprj_io_slow_sel[23] -*552 mprj_io_vtrip_sel[23] -*553 mprj_io_in[23] -*554 mprj_analog_io[17] -*555 mprj_io[24] -*556 mprj_io_analog_en[24] -*557 mprj_io_analog_pol[24] -*558 mprj_io_analog_sel[24] -*559 mprj_io_dm[72] -*560 mprj_io_dm[73] -*561 mprj_io_dm[74] -*562 mprj_io_holdover[24] -*563 mprj_io_ib_mode_sel[24] -*564 mprj_io_inp_dis[24] -*565 mprj_io_oeb[24] -*566 mprj_io_out[24] -*567 mprj_io_slow_sel[24] -*568 mprj_io_vtrip_sel[24] -*569 mprj_io_in[24] -*570 mprj_analog_io[18] -*571 mprj_io[25] -*572 mprj_io_analog_en[25] -*573 mprj_io_analog_pol[25] -*574 mprj_io_analog_sel[25] -*575 mprj_io_dm[75] -*576 mprj_io_dm[76] -*577 mprj_io_dm[77] -*578 mprj_io_holdover[25] -*579 mprj_io_ib_mode_sel[25] -*580 mprj_io_inp_dis[25] -*581 mprj_io_oeb[25] -*582 mprj_io_out[25] -*583 mprj_io_slow_sel[25] -*584 mprj_io_vtrip_sel[25] -*585 mprj_io_in[25] -*586 mprj_analog_io[19] -*587 mprj_io[26] -*588 mprj_io_analog_en[26] -*589 mprj_io_analog_pol[26] -*590 mprj_io_analog_sel[26] -*591 mprj_io_dm[78] -*592 mprj_io_dm[79] -*593 mprj_io_dm[80] -*594 mprj_io_holdover[26] -*595 mprj_io_ib_mode_sel[26] -*596 mprj_io_inp_dis[26] -*597 mprj_io_oeb[26] -*598 mprj_io_out[26] -*599 mprj_io_slow_sel[26] -*600 mprj_io_vtrip_sel[26] -*601 mprj_io_in[26] -*602 mprj_analog_io[20] -*603 mprj_io[27] -*604 mprj_io_analog_en[27] -*605 mprj_io_analog_pol[27] -*606 mprj_io_analog_sel[27] -*607 mprj_io_dm[81] -*608 mprj_io_dm[82] -*609 mprj_io_dm[83] -*610 mprj_io_holdover[27] -*611 mprj_io_ib_mode_sel[27] -*612 mprj_io_inp_dis[27] -*613 mprj_io_oeb[27] -*614 mprj_io_out[27] -*615 mprj_io_slow_sel[27] -*616 mprj_io_vtrip_sel[27] -*617 mprj_io_in[27] -*618 mprj_analog_io[21] -*619 mprj_io[28] -*620 mprj_io_analog_en[28] -*621 mprj_io_analog_pol[28] -*622 mprj_io_analog_sel[28] -*623 mprj_io_dm[84] -*624 mprj_io_dm[85] -*625 mprj_io_dm[86] -*626 mprj_io_holdover[28] -*627 mprj_io_ib_mode_sel[28] -*628 mprj_io_inp_dis[28] -*629 mprj_io_oeb[28] -*630 mprj_io_out[28] -*631 mprj_io_slow_sel[28] -*632 mprj_io_vtrip_sel[28] -*633 mprj_io_in[28] -*634 resetb -*635 vdda -*636 vssa -*637 vccd1_pad -*638 vdda1_pad -*639 vdda1_pad2 -*640 vssa1_pad -*641 vssa1_pad2 -*642 vdda1 -*643 vssa1 -*644 vssd1_pad -*645 vccd2_pad -*646 vdda2_pad -*647 vssa2_pad -*648 vccd -*649 vdda2 -*650 vddio -*651 vssa2 -*652 vssd2_pad -*653 vssio -*654 vssd -*655 resetb_core_h -*656 vssd2 -*657 vccd2 -*658 vssd1 -*659 vccd1 -*660 flash_clk_ieb_core -*661 flash_csb_ieb_core -*662 mgmt_corner\[0\] -*663 FILLER_170 -*664 FILLER_374 -*665 FILLER_171 -*666 FILLER_172 -*667 FILLER_174 -*668 FILLER_173 -*669 bus_tie_3 -*670 bus_tie_2 -*671 bus_tie_1 -*672 FILLER_181 -*673 bus_tie_6 -*674 bus_tie_5 -*675 bus_tie_4 -*676 FILLER_182 -*677 FILLER_183 -*678 FILLER_185 -*679 FILLER_184 -*680 mgmt_vssa_hvclamp_pad -*681 FILLER_187 -*682 FILLER_188 -*683 FILLER_189 -*684 FILLER_191 -*685 FILLER_190 -*686 bus_tie_7 -*687 bus_tie_8 -*688 bus_tie_9 -*689 bus_tie_10 -*690 bus_tie_11 -*691 bus_tie_12 -*692 FILLER_198 -*693 FILLER_199 -*694 FILLER_200 -*695 FILLER_202 -*696 FILLER_201 -*697 resetb_pad -*698 FILLER_204 -*699 FILLER_205 -*700 FILLER_206 -*701 FILLER_208 -*702 FILLER_207 -*703 bus_tie_13 -*704 bus_tie_16 -*705 bus_tie_15 -*706 bus_tie_14 -*707 FILLER_215 -*708 FILLER_216 -*709 bus_tie_18 -*710 bus_tie_17 -*711 FILLER_217 -*712 FILLER_219 -*713 FILLER_218 -*714 clock_pad -*715 FILLER_221 -*716 FILLER_222 -*717 FILLER_223 -*718 FILLER_225 -*719 FILLER_224 -*720 bus_tie_19 -*721 bus_tie_23 -*722 bus_tie_22 -*723 bus_tie_21 -*724 bus_tie_20 -*725 FILLER_232 -*726 FILLER_233 -*727 FILLER_234 -*728 FILLER_236 -*729 FILLER_235 -*730 bus_tie_24 -*731 mgmt_vssd_lvclamp_pad -*732 FILLER_238 -*733 FILLER_239 -*734 FILLER_240 -*735 FILLER_242 -*736 FILLER_241 -*737 bus_tie_26 -*738 bus_tie_25 -*739 bus_tie_30 -*740 bus_tie_29 -*741 bus_tie_28 -*742 bus_tie_27 -*743 FILLER_249 -*744 FILLER_250 -*745 FILLER_251 -*746 FILLER_253 -*747 FILLER_252 -*748 flash_csb_pad -*749 FILLER_255 -*750 FILLER_256 -*751 FILLER_257 -*752 FILLER_259 -*753 FILLER_258 -*754 bus_tie_31 -*755 bus_tie_32 -*756 bus_tie_33 -*757 bus_tie_34 -*758 bus_tie_35 -*759 FILLER_266 -*760 FILLER_267 -*761 FILLER_268 -*762 FILLER_270 -*763 FILLER_269 -*764 bus_tie_36 -*765 flash_clk_pad -*766 FILLER_272 -*767 FILLER_273 -*768 FILLER_274 -*769 FILLER_276 -*770 FILLER_275 -*771 bus_tie_38 -*772 bus_tie_37 -*773 bus_tie_42 -*774 bus_tie_41 -*775 bus_tie_40 -*776 bus_tie_39 -*777 FILLER_283 -*778 FILLER_284 -*779 FILLER_285 -*780 FILLER_287 -*781 FILLER_286 -*782 flash_io0_pad -*783 FILLER_289 -*784 FILLER_290 -*785 FILLER_291 -*786 FILLER_293 -*787 FILLER_292 -*788 bus_tie_45 -*789 bus_tie_44 -*790 bus_tie_43 -*791 FILLER_300 -*792 bus_tie_48 -*793 bus_tie_47 -*794 bus_tie_46 -*795 FILLER_301 -*796 FILLER_302 -*797 FILLER_304 -*798 FILLER_303 -*799 flash_io1_pad -*800 FILLER_306 -*801 FILLER_307 -*802 FILLER_308 -*803 FILLER_310 -*804 FILLER_309 -*805 bus_tie_49 -*806 bus_tie_50 -*807 bus_tie_51 -*808 bus_tie_52 -*809 bus_tie_53 -*810 bus_tie_54 -*811 FILLER_317 -*812 FILLER_318 -*813 FILLER_319 -*814 FILLER_321 -*815 FILLER_320 -*816 gpio_pad -*817 FILLER_323 -*818 FILLER_324 -*819 FILLER_325 -*820 FILLER_327 -*821 FILLER_326 -*822 bus_tie_55 -*823 bus_tie_57 -*824 bus_tie_56 -*825 FILLER_334 -*826 bus_tie_60 -*827 bus_tie_59 -*828 bus_tie_58 -*829 FILLER_335 -*830 FILLER_336 -*831 FILLER_338 -*832 FILLER_337 -*833 mgmt_vssio_hvclamp_pad\[0\] -*834 FILLER_340 -*835 FILLER_341 -*836 FILLER_342 -*837 FILLER_344 -*838 FILLER_343 -*839 bus_tie_64 -*840 bus_tie_63 -*841 bus_tie_62 -*842 bus_tie_61 -*843 FILLER_351 -*844 FILLER_352 -*845 bus_tie_66 -*846 bus_tie_65 -*847 FILLER_353 -*848 FILLER_355 -*849 FILLER_354 -*850 mgmt_vdda_hvclamp_pad -*851 FILLER_357 -*852 FILLER_358 -*853 FILLER_359 -*854 FILLER_360 -*855 FILLER_361 -*856 bus_tie_67 -*857 bus_tie_68 -*858 bus_tie_69 -*859 bus_tie_70 -*860 bus_tie_71 -*861 bus_tie_72 -*862 FILLER_368 -*863 FILLER_369 -*864 mgmt_corner\[1\] -*865 FILLER_605 -*866 FILLER_370 -*867 FILLER_371 -*868 FILLER_372 -*869 FILLER_373 -*870 FILLER_378 -*871 FILLER_377 -*872 FILLER_376 -*873 FILLER_375 -*874 FILLER_379 -*875 FILLER_380 -*876 FILLER_381 -*877 FILLER_382 -*878 mgmt_vccd_lvclamp_pad -*879 FILLER_384 -*880 FILLER_606 -*881 FILLER_607 -*882 FILLER_608 -*883 FILLER_609 -*884 FILLER_610 -*885 FILLER_611 -*886 FILLER_612 -*887 FILLER_SB1 -*888 disconnect_vdda_1 -*889 FILLER_615 -*890 FILLER_616 -*891 FILLER_617 -*892 FILLER_618 -*893 FILLER_388 -*894 FILLER_387 -*895 FILLER_386 -*896 FILLER_385 -*897 FILLER_389 -*898 FILLER_390 -*899 FILLER_391 -*900 FILLER_392 -*901 mgmt_vddio_hvclamp_pad\[0\] -*902 FILLER_394 -*903 FILLER_619 -*904 FILLER_620 -*905 FILLER_621 -*906 FILLER_624 -*907 FILLER_625 -*908 FILLER_626 -*909 FILLER_622 -*910 mprj_pads\.area1_io_pad\[0\] -*911 FILLER_395 -*912 FILLER_396 -*913 FILLER_397 -*914 FILLER_398 -*915 FILLER_399 -*916 FILLER_400 -*917 FILLER_405 -*918 FILLER_401 -*919 FILLER_SB2 -*920 FILLER_402 -*921 disconnect_vdda_2 -*922 FILLER_406 -*923 FILLER_407 -*924 FILLER_408 -*925 FILLER_627 -*926 FILLER_628 -*927 FILLER_629 -*928 FILLER_630 -*929 FILLER_634 -*930 FILLER_635 -*931 FILLER_631 -*932 FILLER_632 -*933 mprj_pads\.area1_io_pad\[1\] -*934 FILLER_410 -*935 FILLER_409 -*936 FILLER_411 -*937 FILLER_412 -*938 FILLER_413 -*939 mprj_pads\.area2_io_pad\[18\] -*940 FILLER_415 -*941 FILLER_418 -*942 FILLER_417 -*943 FILLER_416 -*944 FILLER_636 -*945 FILLER_637 -*946 FILLER_638 -*947 FILLER_639 -*948 FILLER_640 -*949 FILLER_643 -*950 FILLER_644 -*951 FILLER_641 -*952 mprj_pads\.area1_io_pad\[2\] -*953 FILLER_420 -*954 FILLER_419 -*955 FILLER_421 -*956 FILLER_422 -*957 FILLER_423 -*958 mprj_pads\.area2_io_pad\[17\] -*959 FILLER_425 -*960 FILLER_428 -*961 FILLER_427 -*962 FILLER_426 -*963 FILLER_645 -*964 FILLER_646 -*965 FILLER_647 -*966 FILLER_648 -*967 FILLER_649 -*968 FILLER_653 -*969 FILLER_650 -*970 FILLER_651 -*971 mprj_pads\.area1_io_pad\[3\] -*972 FILLER_429 -*973 FILLER_430 -*974 FILLER_435 -*975 FILLER_436 -*976 FILLER_437 -*977 FILLER_431 -*978 FILLER_432 -*979 FILLER_433 -*980 mprj_pads\.area2_io_pad\[16\] -*981 FILLER_654 -*982 FILLER_655 -*983 FILLER_656 -*984 FILLER_657 -*985 FILLER_658 -*986 FILLER_659 -*987 FILLER_660 -*988 mprj_pads\.area1_io_pad\[4\] -*989 FILLER_440 -*990 FILLER_439 -*991 FILLER_438 -*992 FILLER_441 -*993 FILLER_442 -*994 FILLER_443 -*995 mprj_pads\.area2_io_pad\[15\] -*996 FILLER_445 -*997 FILLER_447 -*998 FILLER_446 -*999 FILLER_662 -*1000 FILLER_663 -*1001 FILLER_664 -*1002 FILLER_665 -*1003 FILLER_666 -*1004 FILLER_667 -*1005 FILLER_668 -*1006 FILLER_669 -*1007 mprj_pads\.area1_io_pad\[5\] -*1008 FILLER_450 -*1009 FILLER_449 -*1010 FILLER_448 -*1011 FILLER_451 -*1012 FILLER_452 -*1013 FILLER_453 -*1014 mprj_pads\.area2_io_pad\[14\] -*1015 FILLER_457 -*1016 FILLER_456 -*1017 FILLER_455 -*1018 FILLER_674 -*1019 FILLER_673 -*1020 FILLER_672 -*1021 FILLER_671 -*1022 FILLER_677 -*1023 FILLER_676 -*1024 FILLER_675 -*1025 FILLER_678 -*1026 FILLER_679 -*1027 mprj_pads\.area1_io_pad\[6\] -*1028 FILLER_460 -*1029 FILLER_459 -*1030 FILLER_458 -*1031 FILLER_461 -*1032 FILLER_462 -*1033 FILLER_463 -*1034 mprj_pads\.area2_io_pad\[13\] -*1035 FILLER_467 -*1036 FILLER_466 -*1037 FILLER_465 -*1038 FILLER_681 -*1039 FILLER_682 -*1040 FILLER_683 -*1041 FILLER_684 -*1042 FILLER_685 -*1043 FILLER_686 -*1044 FILLER_687 -*1045 FILLER_688 -*1046 user1_vssa_hvclamp_pad\[1\] -*1047 FILLER_470 -*1048 FILLER_469 -*1049 FILLER_468 -*1050 FILLER_471 -*1051 FILLER_475 -*1052 FILLER_472 -*1053 FILLER_473 -*1054 user2_vssd_lvclamp_pad -*1055 FILLER_476 -*1056 FILLER_477 -*1057 FILLER_692 -*1058 FILLER_691 -*1059 FILLER_690 -*1060 FILLER_696 -*1061 FILLER_695 -*1062 FILLER_694 -*1063 FILLER_693 -*1064 FILLER_697 -*1065 FILLER_698 -*1066 user1_vssd_lvclamp_pad -*1067 FILLER_480 -*1068 FILLER_479 -*1069 FILLER_478 -*1070 FILLER_481 -*1071 FILLER_482 -*1072 FILLER_483 -*1073 user2_vdda_hvclamp_pad -*1074 FILLER_487 -*1075 FILLER_486 -*1076 FILLER_485 -*1077 FILLER_700 -*1078 FILLER_701 -*1079 FILLER_702 -*1080 FILLER_703 -*1081 FILLER_704 -*1082 FILLER_705 -*1083 FILLER_706 -*1084 FILLER_707 -*1085 user1_vdda_hvclamp_pad\[1\] -*1086 FILLER_488 -*1087 FILLER_489 -*1088 FILLER_490 -*1089 FILLER_495 -*1090 FILLER_496 -*1091 FILLER_491 -*1092 FILLER_492 -*1093 FILLER_493 -*1094 mprj_pads\.area2_io_pad\[12\] -*1095 FILLER_709 -*1096 FILLER_710 -*1097 FILLER_711 -*1098 FILLER_712 -*1099 FILLER_713 -*1100 FILLER_714 -*1101 FILLER_715 -*1102 FILLER_716 -*1103 mprj_pads\.area1_io_pad\[7\] -*1104 FILLER_500 -*1105 FILLER_499 -*1106 FILLER_498 -*1107 FILLER_497 -*1108 FILLER_501 -*1109 FILLER_502 -*1110 FILLER_503 -*1111 mprj_pads\.area2_io_pad\[11\] -*1112 FILLER_506 -*1113 FILLER_505 -*1114 FILLER_718 -*1115 FILLER_719 -*1116 FILLER_720 -*1117 FILLER_721 -*1118 FILLER_722 -*1119 FILLER_723 -*1120 FILLER_724 -*1121 FILLER_510 -*1122 FILLER_509 -*1123 FILLER_508 -*1124 FILLER_507 -*1125 FILLER_511 -*1126 FILLER_512 -*1127 FILLER_513 -*1128 mprj_pads\.area2_io_pad\[10\] -*1129 FILLER_516 -*1130 FILLER_515 -*1131 FILLER_728 -*1132 FILLER_729 -*1133 FILLER_730 -*1134 FILLER_731 -*1135 FILLER_732 -*1136 FILLER_733 -*1137 FILLER_725 -*1138 FILLER_726 -*1139 mprj_pads\.area1_io_pad\[8\] -*1140 FILLER_520 -*1141 FILLER_519 -*1142 FILLER_518 -*1143 FILLER_517 -*1144 FILLER_521 -*1145 FILLER_522 -*1146 FILLER_523 -*1147 mprj_pads\.area2_io_pad\[9\] -*1148 FILLER_526 -*1149 FILLER_525 -*1150 FILLER_734 -*1151 FILLER_737 -*1152 FILLER_738 -*1153 FILLER_739 -*1154 FILLER_740 -*1155 FILLER_741 -*1156 FILLER_742 -*1157 FILLER_735 -*1158 mprj_pads\.area1_io_pad\[9\] -*1159 FILLER_527 -*1160 FILLER_528 -*1161 FILLER_529 -*1162 FILLER_530 -*1163 FILLER_535 -*1164 FILLER_531 -*1165 FILLER_532 -*1166 FILLER_533 -*1167 mprj_pads\.area2_io_pad\[8\] -*1168 FILLER_743 -*1169 FILLER_747 -*1170 FILLER_748 -*1171 FILLER_749 -*1172 FILLER_750 -*1173 FILLER_751 -*1174 FILLER_744 -*1175 FILLER_745 -*1176 mprj_pads\.area1_io_pad\[10\] -*1177 FILLER_539 -*1178 FILLER_538 -*1179 FILLER_537 -*1180 FILLER_536 -*1181 FILLER_540 -*1182 FILLER_541 -*1183 FILLER_542 -*1184 FILLER_543 -*1185 mprj_pads\.area2_io_pad\[7\] -*1186 FILLER_545 -*1187 FILLER_752 -*1188 FILLER_753 -*1189 FILLER_756 -*1190 FILLER_757 -*1191 FILLER_758 -*1192 FILLER_759 -*1193 FILLER_754 -*1194 mprj_pads\.area1_io_pad\[11\] -*1195 FILLER_549 -*1196 FILLER_548 -*1197 FILLER_547 -*1198 FILLER_546 -*1199 FILLER_550 -*1200 FILLER_551 -*1201 FILLER_552 -*1202 FILLER_553 -*1203 mprj_pads\.area2_io_pad\[6\] -*1204 FILLER_555 -*1205 FILLER_760 -*1206 FILLER_761 -*1207 FILLER_762 -*1208 FILLER_765 -*1209 FILLER_766 -*1210 FILLER_767 -*1211 FILLER_768 -*1212 FILLER_763 -*1213 mprj_pads\.area1_io_pad\[12\] -*1214 FILLER_559 -*1215 FILLER_558 -*1216 FILLER_557 -*1217 FILLER_556 -*1218 FILLER_560 -*1219 FILLER_561 -*1220 FILLER_562 -*1221 FILLER_563 -*1222 user2_vssa_hvclamp_pad -*1223 FILLER_565 -*1224 FILLER_769 -*1225 FILLER_770 -*1226 FILLER_771 -*1227 FILLER_775 -*1228 FILLER_776 -*1229 FILLER_777 -*1230 FILLER_772 -*1231 FILLER_773 -*1232 user1_vdda_hvclamp_pad\[0\] -*1233 FILLER_569 -*1234 FILLER_568 -*1235 FILLER_567 -*1236 FILLER_566 -*1237 FILLER_570 -*1238 FILLER_571 -*1239 FILLER_572 -*1240 FILLER_573 -*1241 mgmt_vddio_hvclamp_pad\[1\] -*1242 FILLER_575 -*1243 FILLER_778 -*1244 FILLER_779 -*1245 FILLER_780 -*1246 FILLER_781 -*1247 FILLER_784 -*1248 FILLER_785 -*1249 FILLER_782 -*1250 mprj_pads\.area1_io_pad\[13\] -*1251 FILLER_579 -*1252 FILLER_578 -*1253 FILLER_577 -*1254 FILLER_576 -*1255 FILLER_580 -*1256 FILLER_581 -*1257 FILLER_582 -*1258 FILLER_583 -*1259 user2_vccd_lvclamp_pad -*1260 FILLER_585 -*1261 FILLER_790 -*1262 FILLER_789 -*1263 FILLER_788 -*1264 FILLER_787 -*1265 FILLER_786 -*1266 FILLER_791 -*1267 FILLER_792 -*1268 user1_vccd_lvclamp_pad -*1269 FILLER_794 -*1270 FILLER_795 -*1271 FILLER_589 -*1272 FILLER_588 -*1273 FILLER_587 -*1274 FILLER_586 -*1275 FILLER_590 -*1276 FILLER_591 -*1277 FILLER_592 -*1278 FILLER_593 -*1279 mprj_pads\.area2_io_pad\[5\] -*1280 FILLER_595 -*1281 FILLER_796 -*1282 FILLER_797 -*1283 FILLER_798 -*1284 FILLER_799 -*1285 FILLER_800 -*1286 FILLER_803 -*1287 FILLER_801 -*1288 mprj_pads\.area1_io_pad\[14\] -*1289 FILLER_600 -*1290 FILLER_599 -*1291 FILLER_598 -*1292 FILLER_597 -*1293 FILLER_596 -*1294 FILLER_601 -*1295 FILLER_602 -*1296 FILLER_604 -*1297 FILLER_603 -*1298 user2_corner -*1299 FILLER_5 -*1300 FILLER_9 -*1301 FILLER_8 -*1302 FILLER_7 -*1303 FILLER_6 -*1304 FILLER_12 -*1305 FILLER_11 -*1306 FILLER_10 -*1307 FILLER_13 -*1308 FILLER_14 -*1309 FILLER_16 -*1310 FILLER_15 -*1311 mprj_pads\.area2_io_pad\[4\] -*1312 FILLER_18 -*1313 FILLER_19 -*1314 FILLER_20 -*1315 FILLER_21 -*1316 FILLER_22 -*1317 FILLER_23 -*1318 FILLER_24 -*1319 FILLER_25 -*1320 FILLER_26 -*1321 FILLER_27 -*1322 FILLER_29 -*1323 FILLER_28 -*1324 mprj_pads\.area2_io_pad\[3\] -*1325 FILLER_34 -*1326 FILLER_33 -*1327 FILLER_32 -*1328 FILLER_31 -*1329 FILLER_37 -*1330 FILLER_36 -*1331 FILLER_35 -*1332 FILLER_38 -*1333 FILLER_39 -*1334 FILLER_40 -*1335 FILLER_42 -*1336 FILLER_41 -*1337 mprj_pads\.area2_io_pad\[2\] -*1338 FILLER_45 -*1339 FILLER_44 -*1340 FILLER_47 -*1341 FILLER_46 -*1342 FILLER_51 -*1343 FILLER_50 -*1344 FILLER_49 -*1345 FILLER_48 -*1346 FILLER_52 -*1347 FILLER_53 -*1348 FILLER_55 -*1349 FILLER_54 -*1350 mprj_pads\.area2_io_pad\[1\] -*1351 FILLER_58 -*1352 FILLER_57 -*1353 FILLER_62 -*1354 FILLER_61 -*1355 FILLER_60 -*1356 FILLER_59 -*1357 FILLER_64 -*1358 FILLER_63 -*1359 FILLER_65 -*1360 FILLER_66 -*1361 FILLER_69 -*1362 FILLER_68 -*1363 FILLER_67 -*1364 mprj_pads\.area2_io_pad\[0\] -*1365 FILLER_74 -*1366 FILLER_73 -*1367 FILLER_72 -*1368 FILLER_71 -*1369 FILLER_77 -*1370 FILLER_76 -*1371 FILLER_75 -*1372 FILLER_78 -*1373 FILLER_79 -*1374 FILLER_80 -*1375 FILLER_82 -*1376 FILLER_81 -*1377 mgmt_vssio_hvclamp_pad\[1\] -*1378 FILLER_SB3 -*1379 disconnect_vdda_0 -*1380 FILLER_87 -*1381 FILLER_86 -*1382 FILLER_88 -*1383 FILLER_89 -*1384 FILLER_90 -*1385 FILLER_91 -*1386 FILLER_92 -*1387 FILLER_93 -*1388 FILLER_94 -*1389 FILLER_96 -*1390 FILLER_95 -*1391 mprj_pads\.area1_io_pad\[18\] -*1392 FILLER_101 -*1393 FILLER_100 -*1394 FILLER_99 -*1395 FILLER_98 -*1396 FILLER_103 -*1397 FILLER_102 -*1398 FILLER_104 -*1399 FILLER_105 -*1400 FILLER_106 -*1401 FILLER_107 -*1402 FILLER_108 -*1403 FILLER_109 -*1404 FILLER_110 -*1405 FILLER_111 -*1406 FILLER_112 -*1407 FILLER_113 -*1408 FILLER_114 -*1409 FILLER_115 -*1410 FILLER_118 -*1411 FILLER_119 -*1412 FILLER_120 -*1413 FILLER_121 -*1414 FILLER_122 -*1415 FILLER_116 -*1416 mprj_pads\.area1_io_pad\[17\] -*1417 FILLER_125 -*1418 FILLER_124 -*1419 FILLER_123 -*1420 FILLER_126 -*1421 FILLER_127 -*1422 FILLER_129 -*1423 FILLER_128 -*1424 mprj_pads\.area1_io_pad\[16\] -*1425 FILLER_133 -*1426 FILLER_132 -*1427 FILLER_131 -*1428 FILLER_136 -*1429 FILLER_135 -*1430 FILLER_134 -*1431 FILLER_138 -*1432 FILLER_137 -*1433 FILLER_139 -*1434 FILLER_140 -*1435 FILLER_142 -*1436 FILLER_141 -*1437 user1_vssa_hvclamp_pad\[0\] -*1438 FILLER_144 -*1439 FILLER_148 -*1440 FILLER_147 -*1441 FILLER_146 -*1442 FILLER_145 -*1443 FILLER_151 -*1444 FILLER_150 -*1445 FILLER_149 -*1446 FILLER_152 -*1447 FILLER_153 -*1448 FILLER_155 -*1449 FILLER_154 -*1450 mprj_pads\.area1_io_pad\[15\] -*1451 FILLER_157 -*1452 FILLER_158 -*1453 FILLER_159 -*1454 FILLER_160 -*1455 FILLER_161 -*1456 FILLER_162 -*1457 FILLER_163 -*1458 FILLER_164 -*1459 FILLER_165 -*1460 FILLER_808 -*1461 FILLER_807 -*1462 FILLER_806 -*1463 FILLER_805 -*1464 FILLER_804 -*1465 FILLER_809 -*1466 FILLER_810 -*1467 FILLER_811 -*1468 user1_corner -*1469 FILLER_166 -*1470 FILLER_169 -*1471 FILLER_168 -*1472 FILLER_167 - -*PORTS -clock I -clock_core I -por I -flash_clk I -flash_clk_core I -flash_clk_oeb_core I -flash_csb I -flash_csb_core I -flash_csb_oeb_core I -flash_io0 I -flash_io0_di_core I -flash_io0_do_core I -flash_io0_ieb_core I -flash_io0_oeb_core I -flash_io1 I -flash_io1_di_core I -flash_io1_do_core I -flash_io1_ieb_core I -flash_io1_oeb_core I -gpio I -gpio_in_core I -gpio_inenb_core I -gpio_mode0_core I -gpio_mode1_core I -gpio_out_core I -gpio_outenb_core I -vccd_pad I -vdda_pad I -vddio_pad I -vddio_pad2 I -vssa_pad I -vssd_pad I -vssio_pad I -vssio_pad2 I -mprj_io[0] I -mprj_io_analog_en[0] I -mprj_io_analog_pol[0] I -mprj_io_analog_sel[0] I -mprj_io_dm[0] I -mprj_io_dm[1] I -mprj_io_dm[2] I -mprj_io_holdover[0] I -mprj_io_ib_mode_sel[0] I -mprj_io_inp_dis[0] I -mprj_io_oeb[0] I -mprj_io_out[0] I -mprj_io_slow_sel[0] I -mprj_io_vtrip_sel[0] I -mprj_io_in[0] I -mprj_analog_io[3] I -mprj_io[10] I -mprj_io_analog_en[10] I -mprj_io_analog_pol[10] I -mprj_io_analog_sel[10] I -mprj_io_dm[30] I -mprj_io_dm[31] I -mprj_io_dm[32] I -mprj_io_holdover[10] I -mprj_io_ib_mode_sel[10] I -mprj_io_inp_dis[10] I -mprj_io_oeb[10] I -mprj_io_out[10] I -mprj_io_slow_sel[10] I -mprj_io_vtrip_sel[10] I -mprj_io_in[10] I -mprj_analog_io[4] I -mprj_io[11] I -mprj_io_analog_en[11] I -mprj_io_analog_pol[11] I -mprj_io_analog_sel[11] I -mprj_io_dm[33] I -mprj_io_dm[34] I -mprj_io_dm[35] I -mprj_io_holdover[11] I -mprj_io_ib_mode_sel[11] I -mprj_io_inp_dis[11] I -mprj_io_oeb[11] I -mprj_io_out[11] I -mprj_io_slow_sel[11] I -mprj_io_vtrip_sel[11] I -mprj_io_in[11] I -mprj_analog_io[5] I -mprj_io[12] I -mprj_io_analog_en[12] I -mprj_io_analog_pol[12] I -mprj_io_analog_sel[12] I -mprj_io_dm[36] I -mprj_io_dm[37] I -mprj_io_dm[38] I -mprj_io_holdover[12] I -mprj_io_ib_mode_sel[12] I -mprj_io_inp_dis[12] I -mprj_io_oeb[12] I -mprj_io_out[12] I -mprj_io_slow_sel[12] I -mprj_io_vtrip_sel[12] I -mprj_io_in[12] I -mprj_analog_io[6] I -mprj_io[13] I -mprj_io_analog_en[13] I -mprj_io_analog_pol[13] I -mprj_io_analog_sel[13] I -mprj_io_dm[39] I -mprj_io_dm[40] I -mprj_io_dm[41] I -mprj_io_holdover[13] I -mprj_io_ib_mode_sel[13] I -mprj_io_inp_dis[13] I -mprj_io_oeb[13] I -mprj_io_out[13] I -mprj_io_slow_sel[13] I -mprj_io_vtrip_sel[13] I -mprj_io_in[13] I -mprj_analog_io[7] I -mprj_io[14] I -mprj_io_analog_en[14] I -mprj_io_analog_pol[14] I -mprj_io_analog_sel[14] I -mprj_io_dm[42] I -mprj_io_dm[43] I -mprj_io_dm[44] I -mprj_io_holdover[14] I -mprj_io_ib_mode_sel[14] I -mprj_io_inp_dis[14] I -mprj_io_oeb[14] I -mprj_io_out[14] I -mprj_io_slow_sel[14] I -mprj_io_vtrip_sel[14] I -mprj_io_in[14] I -mprj_analog_io[8] I -mprj_io[15] I -mprj_io_analog_en[15] I -mprj_io_analog_pol[15] I -mprj_io_analog_sel[15] I -mprj_io_dm[45] I -mprj_io_dm[46] I -mprj_io_dm[47] I -mprj_io_holdover[15] I -mprj_io_ib_mode_sel[15] I -mprj_io_inp_dis[15] I -mprj_io_oeb[15] I -mprj_io_out[15] I -mprj_io_slow_sel[15] I -mprj_io_vtrip_sel[15] I -mprj_io_in[15] I -mprj_analog_io[9] I -mprj_io[16] I -mprj_io_analog_en[16] I -mprj_io_analog_pol[16] I -mprj_io_analog_sel[16] I -mprj_io_dm[48] I -mprj_io_dm[49] I -mprj_io_dm[50] I -mprj_io_holdover[16] I -mprj_io_ib_mode_sel[16] I -mprj_io_inp_dis[16] I -mprj_io_oeb[16] I -mprj_io_out[16] I -mprj_io_slow_sel[16] I -mprj_io_vtrip_sel[16] I -mprj_io_in[16] I -mprj_analog_io[10] I -mprj_io[17] I -mprj_io_analog_en[17] I -mprj_io_analog_pol[17] I -mprj_io_analog_sel[17] I -mprj_io_dm[51] I -mprj_io_dm[52] I -mprj_io_dm[53] I -mprj_io_holdover[17] I -mprj_io_ib_mode_sel[17] I -mprj_io_inp_dis[17] I -mprj_io_oeb[17] I -mprj_io_out[17] I -mprj_io_slow_sel[17] I -mprj_io_vtrip_sel[17] I -mprj_io_in[17] I -mprj_analog_io[11] I -mprj_io[18] I -mprj_io_analog_en[18] I -mprj_io_analog_pol[18] I -mprj_io_analog_sel[18] I -mprj_io_dm[54] I -mprj_io_dm[55] I -mprj_io_dm[56] I -mprj_io_holdover[18] I -mprj_io_ib_mode_sel[18] I -mprj_io_inp_dis[18] I -mprj_io_oeb[18] I -mprj_io_out[18] I -mprj_io_slow_sel[18] I -mprj_io_vtrip_sel[18] I -mprj_io_in[18] I -mprj_io[1] I -mprj_io_analog_en[1] I -mprj_io_analog_pol[1] I -mprj_io_analog_sel[1] I -mprj_io_dm[3] I -mprj_io_dm[4] I -mprj_io_dm[5] I -mprj_io_holdover[1] I -mprj_io_ib_mode_sel[1] I -mprj_io_inp_dis[1] I -mprj_io_oeb[1] I -mprj_io_out[1] I -mprj_io_slow_sel[1] I -mprj_io_vtrip_sel[1] I -mprj_io_in[1] I -mprj_io[2] I -mprj_io_analog_en[2] I -mprj_io_analog_pol[2] I -mprj_io_analog_sel[2] I -mprj_io_dm[6] I -mprj_io_dm[7] I -mprj_io_dm[8] I -mprj_io_holdover[2] I -mprj_io_ib_mode_sel[2] I -mprj_io_inp_dis[2] I -mprj_io_oeb[2] I -mprj_io_out[2] I -mprj_io_slow_sel[2] I -mprj_io_vtrip_sel[2] I -mprj_io_in[2] I -mprj_io[3] I -mprj_io_analog_en[3] I -mprj_io_analog_pol[3] I -mprj_io_analog_sel[3] I -mprj_io_dm[10] I -mprj_io_dm[11] I -mprj_io_dm[9] I -mprj_io_holdover[3] I -mprj_io_ib_mode_sel[3] I -mprj_io_inp_dis[3] I -mprj_io_oeb[3] I -mprj_io_out[3] I -mprj_io_slow_sel[3] I -mprj_io_vtrip_sel[3] I -mprj_io_in[3] I -mprj_io[4] I -mprj_io_analog_en[4] I -mprj_io_analog_pol[4] I -mprj_io_analog_sel[4] I -mprj_io_dm[12] I -mprj_io_dm[13] I -mprj_io_dm[14] I -mprj_io_holdover[4] I -mprj_io_ib_mode_sel[4] I -mprj_io_inp_dis[4] I -mprj_io_oeb[4] I -mprj_io_out[4] I -mprj_io_slow_sel[4] I -mprj_io_vtrip_sel[4] I -mprj_io_in[4] I -mprj_io[5] I -mprj_io_analog_en[5] I -mprj_io_analog_pol[5] I -mprj_io_analog_sel[5] I -mprj_io_dm[15] I -mprj_io_dm[16] I -mprj_io_dm[17] I -mprj_io_holdover[5] I -mprj_io_ib_mode_sel[5] I -mprj_io_inp_dis[5] I -mprj_io_oeb[5] I -mprj_io_out[5] I -mprj_io_slow_sel[5] I -mprj_io_vtrip_sel[5] I -mprj_io_in[5] I -mprj_io[6] I -mprj_io_analog_en[6] I -mprj_io_analog_pol[6] I -mprj_io_analog_sel[6] I -mprj_io_dm[18] I -mprj_io_dm[19] I -mprj_io_dm[20] I -mprj_io_holdover[6] I -mprj_io_ib_mode_sel[6] I -mprj_io_inp_dis[6] I -mprj_io_oeb[6] I -mprj_io_out[6] I -mprj_io_slow_sel[6] I -mprj_io_vtrip_sel[6] I -mprj_io_in[6] I -mprj_analog_io[0] I -mprj_io[7] I -mprj_io_analog_en[7] I -mprj_io_analog_pol[7] I -mprj_io_analog_sel[7] I -mprj_io_dm[21] I -mprj_io_dm[22] I -mprj_io_dm[23] I -mprj_io_holdover[7] I -mprj_io_ib_mode_sel[7] I -mprj_io_inp_dis[7] I -mprj_io_oeb[7] I -mprj_io_out[7] I -mprj_io_slow_sel[7] I -mprj_io_vtrip_sel[7] I -mprj_io_in[7] I -mprj_analog_io[1] I -mprj_io[8] I -mprj_io_analog_en[8] I -mprj_io_analog_pol[8] I -mprj_io_analog_sel[8] I -mprj_io_dm[24] I -mprj_io_dm[25] I -mprj_io_dm[26] I -mprj_io_holdover[8] I -mprj_io_ib_mode_sel[8] I -mprj_io_inp_dis[8] I -mprj_io_oeb[8] I -mprj_io_out[8] I -mprj_io_slow_sel[8] I -mprj_io_vtrip_sel[8] I -mprj_io_in[8] I -mprj_analog_io[2] I -mprj_io[9] I -mprj_io_analog_en[9] I -mprj_io_analog_pol[9] I -mprj_io_analog_sel[9] I -mprj_io_dm[27] I -mprj_io_dm[28] I -mprj_io_dm[29] I -mprj_io_holdover[9] I -mprj_io_ib_mode_sel[9] I -mprj_io_inp_dis[9] I -mprj_io_oeb[9] I -mprj_io_out[9] I -mprj_io_slow_sel[9] I -mprj_io_vtrip_sel[9] I -mprj_io_in[9] I -mprj_analog_io[12] I -mprj_io[19] I -mprj_io_analog_en[19] I -mprj_io_analog_pol[19] I -mprj_io_analog_sel[19] I -mprj_io_dm[57] I -mprj_io_dm[58] I -mprj_io_dm[59] I -mprj_io_holdover[19] I -mprj_io_ib_mode_sel[19] I -mprj_io_inp_dis[19] I -mprj_io_oeb[19] I -mprj_io_out[19] I -mprj_io_slow_sel[19] I -mprj_io_vtrip_sel[19] I -mprj_io_in[19] I -mprj_analog_io[22] I -mprj_io[29] I -mprj_io_analog_en[29] I -mprj_io_analog_pol[29] I -mprj_io_analog_sel[29] I -mprj_io_dm[87] I -mprj_io_dm[88] I -mprj_io_dm[89] I -mprj_io_holdover[29] I -mprj_io_ib_mode_sel[29] I -mprj_io_inp_dis[29] I -mprj_io_oeb[29] I -mprj_io_out[29] I -mprj_io_slow_sel[29] I -mprj_io_vtrip_sel[29] I -mprj_io_in[29] I -mprj_analog_io[23] I -mprj_io[30] I -mprj_io_analog_en[30] I -mprj_io_analog_pol[30] I -mprj_io_analog_sel[30] I -mprj_io_dm[90] I -mprj_io_dm[91] I -mprj_io_dm[92] I -mprj_io_holdover[30] I -mprj_io_ib_mode_sel[30] I -mprj_io_inp_dis[30] I -mprj_io_oeb[30] I -mprj_io_out[30] I -mprj_io_slow_sel[30] I -mprj_io_vtrip_sel[30] I -mprj_io_in[30] I -mprj_analog_io[24] I -mprj_io[31] I -mprj_io_analog_en[31] I -mprj_io_analog_pol[31] I -mprj_io_analog_sel[31] I -mprj_io_dm[93] I -mprj_io_dm[94] I -mprj_io_dm[95] I -mprj_io_holdover[31] I -mprj_io_ib_mode_sel[31] I -mprj_io_inp_dis[31] I -mprj_io_oeb[31] I -mprj_io_out[31] I -mprj_io_slow_sel[31] I -mprj_io_vtrip_sel[31] I -mprj_io_in[31] I -mprj_analog_io[25] I -mprj_io[32] I -mprj_io_analog_en[32] I -mprj_io_analog_pol[32] I -mprj_io_analog_sel[32] I -mprj_io_dm[96] I -mprj_io_dm[97] I -mprj_io_dm[98] I -mprj_io_holdover[32] I -mprj_io_ib_mode_sel[32] I -mprj_io_inp_dis[32] I -mprj_io_oeb[32] I -mprj_io_out[32] I -mprj_io_slow_sel[32] I -mprj_io_vtrip_sel[32] I -mprj_io_in[32] I -mprj_analog_io[26] I -mprj_io[33] I -mprj_io_analog_en[33] I -mprj_io_analog_pol[33] I -mprj_io_analog_sel[33] I -mprj_io_dm[100] I -mprj_io_dm[101] I -mprj_io_dm[99] I -mprj_io_holdover[33] I -mprj_io_ib_mode_sel[33] I -mprj_io_inp_dis[33] I -mprj_io_oeb[33] I -mprj_io_out[33] I -mprj_io_slow_sel[33] I -mprj_io_vtrip_sel[33] I -mprj_io_in[33] I -mprj_analog_io[27] I -mprj_io[34] I -mprj_io_analog_en[34] I -mprj_io_analog_pol[34] I -mprj_io_analog_sel[34] I -mprj_io_dm[102] I -mprj_io_dm[103] I -mprj_io_dm[104] I -mprj_io_holdover[34] I -mprj_io_ib_mode_sel[34] I -mprj_io_inp_dis[34] I -mprj_io_oeb[34] I -mprj_io_out[34] I -mprj_io_slow_sel[34] I -mprj_io_vtrip_sel[34] I -mprj_io_in[34] I -mprj_analog_io[28] I -mprj_io[35] I -mprj_io_analog_en[35] I -mprj_io_analog_pol[35] I -mprj_io_analog_sel[35] I -mprj_io_dm[105] I -mprj_io_dm[106] I -mprj_io_dm[107] I -mprj_io_holdover[35] I -mprj_io_ib_mode_sel[35] I -mprj_io_inp_dis[35] I -mprj_io_oeb[35] I -mprj_io_out[35] I -mprj_io_slow_sel[35] I -mprj_io_vtrip_sel[35] I -mprj_io_in[35] I -mprj_io[36] I -mprj_io_analog_en[36] I -mprj_io_analog_pol[36] I -mprj_io_analog_sel[36] I -mprj_io_dm[108] I -mprj_io_dm[109] I -mprj_io_dm[110] I -mprj_io_holdover[36] I -mprj_io_ib_mode_sel[36] I -mprj_io_inp_dis[36] I -mprj_io_oeb[36] I -mprj_io_out[36] I -mprj_io_slow_sel[36] I -mprj_io_vtrip_sel[36] I -mprj_io_in[36] I -mprj_io[37] I -mprj_io_analog_en[37] I -mprj_io_analog_pol[37] I -mprj_io_analog_sel[37] I -mprj_io_dm[111] I -mprj_io_dm[112] I -mprj_io_dm[113] I -mprj_io_holdover[37] I -mprj_io_ib_mode_sel[37] I -mprj_io_inp_dis[37] I -mprj_io_oeb[37] I -mprj_io_out[37] I -mprj_io_slow_sel[37] I -mprj_io_vtrip_sel[37] I -mprj_io_in[37] I -mprj_analog_io[13] I -mprj_io[20] I -mprj_io_analog_en[20] I -mprj_io_analog_pol[20] I -mprj_io_analog_sel[20] I -mprj_io_dm[60] I -mprj_io_dm[61] I -mprj_io_dm[62] I -mprj_io_holdover[20] I -mprj_io_ib_mode_sel[20] I -mprj_io_inp_dis[20] I -mprj_io_oeb[20] I -mprj_io_out[20] I -mprj_io_slow_sel[20] I -mprj_io_vtrip_sel[20] I -mprj_io_in[20] I -mprj_analog_io[14] I -mprj_io[21] I -mprj_io_analog_en[21] I -mprj_io_analog_pol[21] I -mprj_io_analog_sel[21] I -mprj_io_dm[63] I -mprj_io_dm[64] I -mprj_io_dm[65] I -mprj_io_holdover[21] I -mprj_io_ib_mode_sel[21] I -mprj_io_inp_dis[21] I -mprj_io_oeb[21] I -mprj_io_out[21] I -mprj_io_slow_sel[21] I -mprj_io_vtrip_sel[21] I -mprj_io_in[21] I -mprj_analog_io[15] I -mprj_io[22] I -mprj_io_analog_en[22] I -mprj_io_analog_pol[22] I -mprj_io_analog_sel[22] I -mprj_io_dm[66] I -mprj_io_dm[67] I -mprj_io_dm[68] I -mprj_io_holdover[22] I -mprj_io_ib_mode_sel[22] I -mprj_io_inp_dis[22] I -mprj_io_oeb[22] I -mprj_io_out[22] I -mprj_io_slow_sel[22] I -mprj_io_vtrip_sel[22] I -mprj_io_in[22] I -mprj_analog_io[16] I -mprj_io[23] I -mprj_io_analog_en[23] I -mprj_io_analog_pol[23] I -mprj_io_analog_sel[23] I -mprj_io_dm[69] I -mprj_io_dm[70] I -mprj_io_dm[71] I -mprj_io_holdover[23] I -mprj_io_ib_mode_sel[23] I -mprj_io_inp_dis[23] I -mprj_io_oeb[23] I -mprj_io_out[23] I -mprj_io_slow_sel[23] I -mprj_io_vtrip_sel[23] I -mprj_io_in[23] I -mprj_analog_io[17] I -mprj_io[24] I -mprj_io_analog_en[24] I -mprj_io_analog_pol[24] I -mprj_io_analog_sel[24] I -mprj_io_dm[72] I -mprj_io_dm[73] I -mprj_io_dm[74] I -mprj_io_holdover[24] I -mprj_io_ib_mode_sel[24] I -mprj_io_inp_dis[24] I -mprj_io_oeb[24] I -mprj_io_out[24] I -mprj_io_slow_sel[24] I -mprj_io_vtrip_sel[24] I -mprj_io_in[24] I -mprj_analog_io[18] I -mprj_io[25] I -mprj_io_analog_en[25] I -mprj_io_analog_pol[25] I -mprj_io_analog_sel[25] I -mprj_io_dm[75] I -mprj_io_dm[76] I -mprj_io_dm[77] I -mprj_io_holdover[25] I -mprj_io_ib_mode_sel[25] I -mprj_io_inp_dis[25] I -mprj_io_oeb[25] I -mprj_io_out[25] I -mprj_io_slow_sel[25] I -mprj_io_vtrip_sel[25] I -mprj_io_in[25] I -mprj_analog_io[19] I -mprj_io[26] I -mprj_io_analog_en[26] I -mprj_io_analog_pol[26] I -mprj_io_analog_sel[26] I -mprj_io_dm[78] I -mprj_io_dm[79] I -mprj_io_dm[80] I -mprj_io_holdover[26] I -mprj_io_ib_mode_sel[26] I -mprj_io_inp_dis[26] I -mprj_io_oeb[26] I -mprj_io_out[26] I -mprj_io_slow_sel[26] I -mprj_io_vtrip_sel[26] I -mprj_io_in[26] I -mprj_analog_io[20] I -mprj_io[27] I -mprj_io_analog_en[27] I -mprj_io_analog_pol[27] I -mprj_io_analog_sel[27] I -mprj_io_dm[81] I -mprj_io_dm[82] I -mprj_io_dm[83] I -mprj_io_holdover[27] I -mprj_io_ib_mode_sel[27] I -mprj_io_inp_dis[27] I -mprj_io_oeb[27] I -mprj_io_out[27] I -mprj_io_slow_sel[27] I -mprj_io_vtrip_sel[27] I -mprj_io_in[27] I -mprj_analog_io[21] I -mprj_io[28] I -mprj_io_analog_en[28] I -mprj_io_analog_pol[28] I -mprj_io_analog_sel[28] I -mprj_io_dm[84] I -mprj_io_dm[85] I -mprj_io_dm[86] I -mprj_io_holdover[28] I -mprj_io_ib_mode_sel[28] I -mprj_io_inp_dis[28] I -mprj_io_oeb[28] I -mprj_io_out[28] I -mprj_io_slow_sel[28] I -mprj_io_vtrip_sel[28] I -mprj_io_in[28] I -resetb I -vdda I -vssa I -vccd1_pad I -vdda1_pad I -vdda1_pad2 I -vssa1_pad I -vssa1_pad2 I -vdda1 I -vssa1 I -vssd1_pad I -vccd2_pad I -vdda2_pad I -vssa2_pad I -vccd I -vdda2 I -vddio I -vssa2 I -vssd2_pad I -vssio I -vssd I -resetb_core_h I -vssd2 I -vccd2 I -vssd1 I -vccd1 I -flash_clk_ieb_core I -flash_csb_ieb_core I - -*D_NET *1 0.0053061 -*CONN -*P clock I -*CAP -1 clock 0.00265305 -2 *1:4 0.00265305 -*RES -1 clock *1:4 1.1491 -*END - -*D_NET *2 2.40556e-05 -*CONN -*P clock_core I -*CAP -1 clock_core 1.20278e-05 -2 *2:4 1.20278e-05 -*RES -1 clock_core *2:4 0.341972 -*END - -*D_NET *3 2.40556e-05 -*CONN -*P por I -*CAP -1 por 1.20278e-05 -2 *3:4 1.20278e-05 -*RES -1 por *3:4 0.341972 -*END - -*D_NET *4 0.0053061 -*CONN -*P flash_clk I -*CAP -1 flash_clk 0.00265305 -2 *4:4 0.00265305 -*RES -1 flash_clk *4:4 1.1491 -*END - -*D_NET *5 2.40556e-05 -*CONN -*P flash_clk_core I -*CAP -1 flash_clk_core 1.20278e-05 -2 *5:4 1.20278e-05 -*RES -1 flash_clk_core *5:4 0.341972 -*END - -*D_NET *6 2.40556e-05 -*CONN -*P flash_clk_oeb_core I -*CAP -1 flash_clk_oeb_core 1.20278e-05 -2 *6:4 1.20278e-05 -*RES -1 flash_clk_oeb_core *6:4 0.341972 -*END - -*D_NET *7 0.0053061 -*CONN -*P flash_csb I -*CAP -1 flash_csb 0.00265305 -2 *7:4 0.00265305 -*RES -1 flash_csb *7:4 1.1491 -*END - -*D_NET *8 2.40556e-05 -*CONN -*P flash_csb_core I -*CAP -1 flash_csb_core 1.20278e-05 -2 *8:4 1.20278e-05 -*RES -1 flash_csb_core *8:4 0.341972 -*END - -*D_NET *9 2.40556e-05 -*CONN -*P flash_csb_oeb_core I -*CAP -1 flash_csb_oeb_core 1.20278e-05 -2 *9:4 1.20278e-05 -*RES -1 flash_csb_oeb_core *9:4 0.341972 -*END - -*D_NET *10 0.0053061 -*CONN -*P flash_io0 I -*CAP -1 flash_io0 0.00265305 -2 *10:4 0.00265305 -*RES -1 flash_io0 *10:4 1.1491 -*END - -*D_NET *11 2.40556e-05 -*CONN -*P flash_io0_di_core I -*CAP -1 flash_io0_di_core 1.20278e-05 -2 *11:4 1.20278e-05 -*RES -1 flash_io0_di_core *11:4 0.341972 -*END - -*D_NET *12 2.40556e-05 -*CONN -*P flash_io0_do_core I -*CAP -1 flash_io0_do_core 1.20278e-05 -2 *12:4 1.20278e-05 -*RES -1 flash_io0_do_core *12:4 0.341972 -*END - -*D_NET *13 0.00348767 -*CONN -*P flash_io0_ieb_core I -*CAP -1 flash_io0_ieb_core 1.63541e-05 -2 *13:149 9.72998e-06 -3 *13:143 5.96752e-06 -4 *13:137 1.0746e-05 -5 *13:131 5.58433e-06 -6 *13:125 0.000114215 -7 *13:119 1.16591e-05 -8 *13:114 0.000131459 -9 *13:108 1.93227e-05 -10 *13:102 0.000657657 -11 *13:96 0.000649081 -12 *13:90 6.23774e-06 -13 *13:84 9.67145e-06 -14 *13:78 9.09219e-06 -15 *13:72 0.000114215 -16 *13:66 1.36125e-05 -17 *13:61 0.00013692 -18 *13:57 2.60765e-05 -19 *13:49 4.17155e-05 -20 *13:43 0.000657393 -21 *13:37 0.00066624 -22 *13:31 1.00382e-05 -23 *13:29 3.49234e-05 -24 *13:28 2.2276e-05 -25 *13:22 6.59561e-06 -26 *13:16 5.04272e-05 -27 *13:15 3.41016e-05 -28 *13:11 7.5398e-06 -29 *13:4 8.8143e-06 -30 *13:43 *14:49 0 -31 *13:49 *14:49 0 -32 *13:84 *14:49 0 -33 *13:90 *14:49 0 -34 *13:96 *14:49 0 -35 *13:102 *14:49 0 -36 *13:102 *14:55 0 -37 *13:102 *14:84 0 -*RES -1 flash_io0_ieb_core *13:4 0.341972 -2 flash_io0_ieb_core *13:11 0.421359 -3 *13:15 *13:16 0.861038 -4 *13:16 *13:22 0.317546 -5 *13:16 *13:28 0.168792 -6 *13:28 *13:29 0.289358 -7 *13:29 *13:31 0.277302 -8 *13:29 *13:37 0.301415 -9 *13:37 *13:43 18.5431 -10 *13:43 *13:49 0.301415 -11 *13:49 *13:57 0.56666 -12 *13:49 *13:61 0.168792 -13 *13:61 *13:66 0.317546 -14 *13:61 *13:72 3.13882 -15 *13:61 *13:78 0.317546 -16 *13:49 *13:84 0.301415 -17 *13:37 *13:90 0.301415 -18 *13:28 *13:96 0.301415 -19 *13:96 *13:102 17.9884 -20 *13:102 *13:108 0.301415 -21 *13:108 *13:114 0.168792 -22 *13:114 *13:119 0.317546 -23 *13:114 *13:125 3.13882 -24 *13:114 *13:131 0.317546 -25 *13:108 *13:137 0.301415 -26 *13:102 *13:143 0.301415 -27 *13:16 *13:149 0.317546 -*END - -*D_NET *14 0.00465082 -*CONN -*P flash_io0_oeb_core I -*CAP -1 flash_io0_oeb_core 1.76204e-05 -2 *14:96 9.71403e-06 -3 *14:90 5.96752e-06 -4 *14:84 1.33677e-05 -5 *14:78 5.58433e-06 -6 *14:72 0.000230094 -7 *14:66 9.84578e-06 -8 *14:61 0.000245524 -9 *14:55 2.19443e-05 -10 *14:49 0.00185333 -11 *14:43 0.00184476 -12 *14:37 9.67145e-06 -13 *14:31 2.60765e-05 -14 *14:28 4.17155e-05 -15 *14:22 7.80013e-06 -16 *14:16 0.000153852 -17 *14:15 0.000136338 -18 *14:11 8.80606e-06 -19 *14:4 8.8143e-06 -20 *13:43 *14:49 0 -21 *13:49 *14:49 0 -22 *13:84 *14:49 0 -23 *13:90 *14:49 0 -24 *13:96 *14:49 0 -25 *13:102 *14:49 0 -26 *13:102 *14:55 0 -27 *13:102 *14:84 0 -*RES -1 flash_io0_oeb_core *14:4 0.341972 -2 flash_io0_oeb_core *14:11 0.421359 -3 *14:15 *14:16 3.7678 -4 *14:16 *14:22 0.317546 -5 *14:16 *14:28 0.168792 -6 *14:28 *14:31 0.56666 -7 *14:28 *14:37 0.301415 -8 *14:28 *14:43 0.301415 -9 *14:43 *14:49 51.8193 -10 *14:49 *14:55 0.301415 -11 *14:55 *14:61 0.168792 -12 *14:61 *14:66 0.317546 -13 *14:61 *14:72 6.04558 -14 *14:61 *14:78 0.317546 -15 *14:55 *14:84 0.301415 -16 *14:49 *14:90 0.301415 -17 *14:16 *14:96 0.317546 -*END - -*D_NET *15 0.0053061 -*CONN -*P flash_io1 I -*CAP -1 flash_io1 0.00265305 -2 *15:4 0.00265305 -*RES -1 flash_io1 *15:4 1.1491 -*END - -*D_NET *16 2.40556e-05 -*CONN -*P flash_io1_di_core I -*CAP -1 flash_io1_di_core 1.20278e-05 -2 *16:4 1.20278e-05 -*RES -1 flash_io1_di_core *16:4 0.341972 -*END - -*D_NET *17 2.40556e-05 -*CONN -*P flash_io1_do_core I -*CAP -1 flash_io1_do_core 1.20278e-05 -2 *17:4 1.20278e-05 -*RES -1 flash_io1_do_core *17:4 0.341972 -*END - -*D_NET *18 0.003047 -*CONN -*P flash_io1_ieb_core I -*CAP -1 flash_io1_ieb_core 7.62168e-05 -2 *18:171 6.0139e-06 -3 *18:164 1.29348e-05 -4 *18:157 1.12383e-05 -5 *18:151 5.37302e-06 -6 *18:145 1.0746e-05 -7 *18:139 7.40674e-06 -8 *18:133 2.70379e-05 -9 *18:127 1.34239e-05 -10 *18:121 4.46015e-05 -11 *18:116 1.15465e-05 -12 *18:110 1.64345e-05 -13 *18:104 0.000654175 -14 *18:98 0.000648486 -15 *18:92 5.37302e-06 -16 *18:86 9.67145e-06 -17 *18:80 9.60674e-06 -18 *18:74 1.49273e-05 -19 *18:68 1.06317e-05 -20 *18:62 4.09273e-05 -21 *18:57 2.4975e-05 -22 *18:53 2.24268e-05 -23 *18:45 3.74713e-05 -24 *18:39 0.00059748 -25 *18:33 0.000603169 -26 *18:27 6.26172e-06 -27 *18:25 2.82587e-05 -28 *18:24 2.16815e-05 -29 *18:18 1.5378e-05 -30 *18:11 4.62058e-05 -31 *18:4 6.92255e-06 -32 *18:27 *19:49 0 -33 *18:39 *19:49 0 -34 *18:45 *19:49 0 -35 *18:53 *19:49 0 -36 *18:86 *19:49 0 -37 *18:92 *19:49 0 -38 *18:98 *19:49 0 -39 *18:104 *19:49 0 -40 *18:104 *19:55 0 -41 *18:104 *19:90 0 -*RES -1 flash_io1_ieb_core *18:4 0.341972 -2 flash_io1_ieb_core *18:11 1.17858 -3 flash_io1_ieb_core *18:18 1.25186 -4 *18:18 *18:24 0.168792 -5 *18:24 *18:25 0.289358 -6 *18:25 *18:27 0.277302 -7 *18:25 *18:33 0.301415 -8 *18:33 *18:39 16.8792 -9 *18:39 *18:45 0.301415 -10 *18:45 *18:53 0.56666 -11 *18:45 *18:57 0.168792 -12 *18:57 *18:62 0.922104 -13 *18:62 *18:68 0.604558 -14 *18:62 *18:74 0.848824 -15 *18:57 *18:80 0.317546 -16 *18:45 *18:86 0.301415 -17 *18:33 *18:92 0.301415 -18 *18:24 *18:98 0.301415 -19 *18:98 *18:104 17.9884 -20 *18:104 *18:110 0.301415 -21 *18:110 *18:116 0.168792 -22 *18:116 *18:121 1.08088 -23 *18:121 *18:127 0.763331 -24 *18:121 *18:133 1.0076 -25 *18:116 *18:139 0.317546 -26 *18:110 *18:145 0.301415 -27 *18:104 *18:151 0.301415 -28 *18:18 *18:157 0.317546 -29 flash_io1_ieb_core *18:164 0.934317 -30 flash_io1_ieb_core *18:171 0.341972 -*END - -*D_NET *19 0.00434843 -*CONN -*P flash_io1_oeb_core I -*CAP -1 flash_io1_oeb_core 1.89091e-05 -2 *19:102 9.71403e-06 -3 *19:96 5.96752e-06 -4 *19:90 1.33677e-05 -5 *19:84 5.58433e-06 -6 *19:78 1.00948e-05 -7 *19:72 0.000155918 -8 *19:66 5.55014e-06 -9 *19:61 0.000156958 -10 *19:55 2.19443e-05 -11 *19:49 0.00185233 -12 *19:43 0.00184376 -13 *19:37 9.67145e-06 -14 *19:31 2.60765e-05 -15 *19:28 4.17155e-05 -16 *19:22 7.81018e-06 -17 *19:16 8.08362e-05 -18 *19:15 6.3312e-05 -19 *19:11 1.00948e-05 -20 *19:4 8.8143e-06 -21 *18:27 *19:49 0 -22 *18:39 *19:49 0 -23 *18:45 *19:49 0 -24 *18:53 *19:49 0 -25 *18:86 *19:49 0 -26 *18:92 *19:49 0 -27 *18:98 *19:49 0 -28 *18:104 *19:49 0 -29 *18:104 *19:55 0 -30 *18:104 *19:90 0 -*RES -1 flash_io1_oeb_core *19:4 0.341972 -2 flash_io1_oeb_core *19:11 0.457999 -3 *19:15 *19:16 1.69154 -4 *19:16 *19:22 0.317546 -5 *19:16 *19:28 0.168792 -6 *19:28 *19:31 0.56666 -7 *19:28 *19:37 0.301415 -8 *19:28 *19:43 0.301415 -9 *19:43 *19:49 51.8193 -10 *19:49 *19:55 0.301415 -11 *19:55 *19:61 0.168792 -12 *19:61 *19:66 0.317546 -13 *19:61 *19:72 3.88383 -14 *19:72 *19:78 0.287013 -15 *19:61 *19:84 0.317546 -16 *19:55 *19:90 0.301415 -17 *19:49 *19:96 0.301415 -18 *19:16 *19:102 0.317546 -*END - -*D_NET *20 0.0053061 -*CONN -*P gpio I -*CAP -1 gpio 0.00265305 -2 *20:4 0.00265305 -*RES -1 gpio *20:4 1.1491 -*END - -*D_NET *21 2.40556e-05 -*CONN -*P gpio_in_core I -*CAP -1 gpio_in_core 1.20278e-05 -2 *21:4 1.20278e-05 -*RES -1 gpio_in_core *21:4 0.341972 -*END - -*D_NET *22 2.40556e-05 -*CONN -*P gpio_inenb_core I -*CAP -1 gpio_inenb_core 1.20278e-05 -2 *22:4 1.20278e-05 -*RES -1 gpio_inenb_core *22:4 0.341972 -*END - -*D_NET *23 2.40556e-05 -*CONN -*P gpio_mode0_core I -*CAP -1 gpio_mode0_core 1.20278e-05 -2 *23:4 1.20278e-05 -*RES -1 gpio_mode0_core *23:4 0.341972 -*END - -*D_NET *24 0.00312834 -*CONN -*P gpio_mode1_core I -*CAP -1 gpio_mode1_core 1.20278e-05 -2 *24:89 9.72998e-06 -3 *24:83 5.96752e-06 -4 *24:77 1.0746e-05 -5 *24:71 5.58433e-06 -6 *24:65 0.000114215 -7 *24:59 1.16591e-05 -8 *24:54 0.000131459 -9 *24:48 1.93227e-05 -10 *24:42 0.00131847 -11 *24:36 0.00130989 -12 *24:30 9.67145e-06 -13 *24:24 2.60765e-05 -14 *24:21 4.17155e-05 -15 *24:15 5.92133e-06 -16 *24:9 4.97529e-05 -17 *24:8 3.41016e-05 -18 *24:4 1.20278e-05 -*RES -1 gpio_mode1_core *24:4 0.341972 -2 *24:8 *24:9 0.861038 -3 *24:9 *24:15 0.317546 -4 *24:9 *24:21 0.168792 -5 *24:21 *24:24 0.56666 -6 *24:21 *24:30 0.301415 -7 *24:21 *24:36 0.301415 -8 *24:36 *24:42 36.845 -9 *24:42 *24:48 0.301415 -10 *24:48 *24:54 0.168792 -11 *24:54 *24:59 0.317546 -12 *24:54 *24:65 3.13882 -13 *24:54 *24:71 0.317546 -14 *24:48 *24:77 0.301415 -15 *24:42 *24:83 0.301415 -16 *24:9 *24:89 0.317546 -*END - -*D_NET *25 2.40556e-05 -*CONN -*P gpio_out_core I -*CAP -1 gpio_out_core 1.20278e-05 -2 *25:4 1.20278e-05 -*RES -1 gpio_out_core *25:4 0.341972 -*END - -*D_NET *26 2.40556e-05 -*CONN -*P gpio_outenb_core I -*CAP -1 gpio_outenb_core 1.20278e-05 -2 *26:4 1.20278e-05 -*RES -1 gpio_outenb_core *26:4 0.341972 -*END - -*D_NET *27 0.00570109 -*CONN -*P vccd_pad I -*CAP -1 vccd_pad 0.00285055 -2 *27:4 0.00285055 -*RES -1 vccd_pad *27:4 1.23464 -*END - -*D_NET *28 0.00515692 -*CONN -*P vdda_pad I -*CAP -1 vdda_pad 0.00257846 -2 *28:4 0.00257846 -*RES -1 vdda_pad *28:4 1.1168 -*END - -*D_NET *29 0.00515522 -*CONN -*P vddio_pad I -*CAP -1 vddio_pad 0.00257761 -2 *29:4 0.00257761 -*RES -1 vddio_pad *29:4 1.11643 -*END - -*D_NET *30 0.00515522 -*CONN -*P vddio_pad2 I -*CAP -1 vddio_pad2 0.00257761 -2 *30:4 0.00257761 -*RES -1 vddio_pad2 *30:4 1.11643 -*END - -*D_NET *31 0.00515692 -*CONN -*P vssa_pad I -*CAP -1 vssa_pad 0.00257846 -2 *31:4 0.00257846 -*RES -1 vssa_pad *31:4 1.1168 -*END - -*D_NET *32 0.00461105 -*CONN -*P vssd_pad I -*CAP -1 vssd_pad 0.00230553 -2 *32:4 0.00230553 -*RES -1 vssd_pad *32:4 0.998583 -*END - -*D_NET *33 0.00515692 -*CONN -*P vssio_pad I -*CAP -1 vssio_pad 0.00257846 -2 *33:4 0.00257846 -*RES -1 vssio_pad *33:4 1.1168 -*END - -*D_NET *34 0.00515692 -*CONN -*P vssio_pad2 I -*CAP -1 vssio_pad2 0.00257846 -2 *34:4 0.00257846 -*RES -1 vssio_pad2 *34:4 1.1168 -*END - -*D_NET *35 0.00529339 -*CONN -*P mprj_io[0] I -*CAP -1 mprj_io[0] 0.00264669 -2 *35:4 0.00264669 -*RES -1 mprj_io[0] *35:4 1.14635 -*END - -*D_NET *36 0.000206191 -*CONN -*P mprj_io_analog_en[0] I -*CAP -1 mprj_io_analog_en[0] 0.000103095 -2 *36:4 0.000103095 -3 *36:4 *39:4 0 -*RES -1 mprj_io_analog_en[0] *36:4 2.93119 -*END - -*D_NET *37 0.000206191 -*CONN -*P mprj_io_analog_pol[0] I -*CAP -1 mprj_io_analog_pol[0] 0.000103095 -2 *37:4 0.000103095 -3 *37:4 *39:4 0 -4 *37:4 *44:4 0 -*RES -1 mprj_io_analog_pol[0] *37:4 2.93119 -*END - -*D_NET *38 0.000206191 -*CONN -*P mprj_io_analog_sel[0] I -*CAP -1 mprj_io_analog_sel[0] 0.000103095 -2 *38:4 0.000103095 -3 *38:4 *41:4 0 -*RES -1 mprj_io_analog_sel[0] *38:4 2.93119 -*END - -*D_NET *39 0.000206191 -*CONN -*P mprj_io_dm[0] I -*CAP -1 mprj_io_dm[0] 0.000103095 -2 *39:4 0.000103095 -3 *36:4 *39:4 0 -4 *37:4 *39:4 0 -*RES -1 mprj_io_dm[0] *39:4 2.93119 -*END - -*D_NET *40 0.000206191 -*CONN -*P mprj_io_dm[1] I -*CAP -1 mprj_io_dm[1] 0.000103095 -2 *40:4 0.000103095 -*RES -1 mprj_io_dm[1] *40:4 2.93119 -*END - -*D_NET *41 0.000206191 -*CONN -*P mprj_io_dm[2] I -*CAP -1 mprj_io_dm[2] 0.000103095 -2 *41:4 0.000103095 -3 *41:4 *42:4 0 -4 *38:4 *41:4 0 -*RES -1 mprj_io_dm[2] *41:4 2.93119 -*END - -*D_NET *42 0.000206191 -*CONN -*P mprj_io_holdover[0] I -*CAP -1 mprj_io_holdover[0] 0.000103095 -2 *42:4 0.000103095 -3 *42:4 *46:4 0 -4 *41:4 *42:4 0 -*RES -1 mprj_io_holdover[0] *42:4 2.93119 -*END - -*D_NET *43 0.000206191 -*CONN -*P mprj_io_ib_mode_sel[0] I -*CAP -1 mprj_io_ib_mode_sel[0] 0.000103095 -2 *43:4 0.000103095 -3 *43:4 *45:4 0 -4 *43:4 *48:4 0 -*RES -1 mprj_io_ib_mode_sel[0] *43:4 2.93119 -*END - -*D_NET *44 0.000206191 -*CONN -*P mprj_io_inp_dis[0] I -*CAP -1 mprj_io_inp_dis[0] 0.000103095 -2 *44:4 0.000103095 -3 *37:4 *44:4 0 -*RES -1 mprj_io_inp_dis[0] *44:4 2.93119 -*END - -*D_NET *45 0.000206191 -*CONN -*P mprj_io_oeb[0] I -*CAP -1 mprj_io_oeb[0] 0.000103095 -2 *45:4 0.000103095 -3 *43:4 *45:4 0 -*RES -1 mprj_io_oeb[0] *45:4 2.93119 -*END - -*D_NET *46 0.000206191 -*CONN -*P mprj_io_out[0] I -*CAP -1 mprj_io_out[0] 0.000103095 -2 *46:4 0.000103095 -3 *42:4 *46:4 0 -*RES -1 mprj_io_out[0] *46:4 2.93119 -*END - -*D_NET *47 0.000206191 -*CONN -*P mprj_io_slow_sel[0] I -*CAP -1 mprj_io_slow_sel[0] 0.000103095 -2 *47:4 0.000103095 -*RES -1 mprj_io_slow_sel[0] *47:4 2.93119 -*END - -*D_NET *48 0.000206191 -*CONN -*P mprj_io_vtrip_sel[0] I -*CAP -1 mprj_io_vtrip_sel[0] 0.000103095 -2 *48:4 0.000103095 -3 *43:4 *48:4 0 -*RES -1 mprj_io_vtrip_sel[0] *48:4 2.93119 -*END - -*D_NET *49 0.000206191 -*CONN -*P mprj_io_in[0] I -*CAP -1 mprj_io_in[0] 0.000103095 -2 *49:4 0.000103095 -*RES -1 mprj_io_in[0] *49:4 2.93119 -*END - -*D_NET *50 0.000206191 -*CONN -*P mprj_analog_io[3] I -*CAP -1 mprj_analog_io[3] 0.000103095 -2 *50:4 0.000103095 -3 *50:4 *63:4 0 -*RES -1 mprj_analog_io[3] *50:4 2.93119 -*END - -*D_NET *51 0.00529339 -*CONN -*P mprj_io[10] I -*CAP -1 mprj_io[10] 0.00264669 -2 *51:4 0.00264669 -*RES -1 mprj_io[10] *51:4 1.14635 -*END - -*D_NET *52 0.000206191 -*CONN -*P mprj_io_analog_en[10] I -*CAP -1 mprj_io_analog_en[10] 0.000103095 -2 *52:4 0.000103095 -3 *52:4 *55:4 0 -*RES -1 mprj_io_analog_en[10] *52:4 2.93119 -*END - -*D_NET *53 0.000206191 -*CONN -*P mprj_io_analog_pol[10] I -*CAP -1 mprj_io_analog_pol[10] 0.000103095 -2 *53:4 0.000103095 -3 *53:4 *55:4 0 -4 *53:4 *60:4 0 -*RES -1 mprj_io_analog_pol[10] *53:4 2.93119 -*END - -*D_NET *54 0.000206191 -*CONN -*P mprj_io_analog_sel[10] I -*CAP -1 mprj_io_analog_sel[10] 0.000103095 -2 *54:4 0.000103095 -3 *54:4 *57:4 0 -*RES -1 mprj_io_analog_sel[10] *54:4 2.93119 -*END - -*D_NET *55 0.000206191 -*CONN -*P mprj_io_dm[30] I -*CAP -1 mprj_io_dm[30] 0.000103095 -2 *55:4 0.000103095 -3 *52:4 *55:4 0 -4 *53:4 *55:4 0 -*RES -1 mprj_io_dm[30] *55:4 2.93119 -*END - -*D_NET *56 0.000206191 -*CONN -*P mprj_io_dm[31] I -*CAP -1 mprj_io_dm[31] 0.000103095 -2 *56:4 0.000103095 -*RES -1 mprj_io_dm[31] *56:4 2.93119 -*END - -*D_NET *57 0.000206191 -*CONN -*P mprj_io_dm[32] I -*CAP -1 mprj_io_dm[32] 0.000103095 -2 *57:4 0.000103095 -3 *57:4 *58:4 0 -4 *54:4 *57:4 0 -*RES -1 mprj_io_dm[32] *57:4 2.93119 -*END - -*D_NET *58 0.000206191 -*CONN -*P mprj_io_holdover[10] I -*CAP -1 mprj_io_holdover[10] 0.000103095 -2 *58:4 0.000103095 -3 *58:4 *62:4 0 -4 *57:4 *58:4 0 -*RES -1 mprj_io_holdover[10] *58:4 2.93119 -*END - -*D_NET *59 0.000206191 -*CONN -*P mprj_io_ib_mode_sel[10] I -*CAP -1 mprj_io_ib_mode_sel[10] 0.000103095 -2 *59:4 0.000103095 -3 *59:4 *61:4 0 -4 *59:4 *64:4 0 -*RES -1 mprj_io_ib_mode_sel[10] *59:4 2.93119 -*END - -*D_NET *60 0.000206191 -*CONN -*P mprj_io_inp_dis[10] I -*CAP -1 mprj_io_inp_dis[10] 0.000103095 -2 *60:4 0.000103095 -3 *53:4 *60:4 0 -*RES -1 mprj_io_inp_dis[10] *60:4 2.93119 -*END - -*D_NET *61 0.000206191 -*CONN -*P mprj_io_oeb[10] I -*CAP -1 mprj_io_oeb[10] 0.000103095 -2 *61:4 0.000103095 -3 *59:4 *61:4 0 -*RES -1 mprj_io_oeb[10] *61:4 2.93119 -*END - -*D_NET *62 0.000206191 -*CONN -*P mprj_io_out[10] I -*CAP -1 mprj_io_out[10] 0.000103095 -2 *62:4 0.000103095 -3 *58:4 *62:4 0 -*RES -1 mprj_io_out[10] *62:4 2.93119 -*END - -*D_NET *63 0.000206191 -*CONN -*P mprj_io_slow_sel[10] I -*CAP -1 mprj_io_slow_sel[10] 0.000103095 -2 *63:4 0.000103095 -3 *50:4 *63:4 0 -*RES -1 mprj_io_slow_sel[10] *63:4 2.93119 -*END - -*D_NET *64 0.000206191 -*CONN -*P mprj_io_vtrip_sel[10] I -*CAP -1 mprj_io_vtrip_sel[10] 0.000103095 -2 *64:4 0.000103095 -3 *59:4 *64:4 0 -*RES -1 mprj_io_vtrip_sel[10] *64:4 2.93119 -*END - -*D_NET *65 0.000206191 -*CONN -*P mprj_io_in[10] I -*CAP -1 mprj_io_in[10] 0.000103095 -2 *65:4 0.000103095 -*RES -1 mprj_io_in[10] *65:4 2.93119 -*END - -*D_NET *66 0.000206191 -*CONN -*P mprj_analog_io[4] I -*CAP -1 mprj_analog_io[4] 0.000103095 -2 *66:4 0.000103095 -3 *66:4 *79:4 0 -*RES -1 mprj_analog_io[4] *66:4 2.93119 -*END - -*D_NET *67 0.00529339 -*CONN -*P mprj_io[11] I -*CAP -1 mprj_io[11] 0.00264669 -2 *67:4 0.00264669 -*RES -1 mprj_io[11] *67:4 1.14635 -*END - -*D_NET *68 0.000206191 -*CONN -*P mprj_io_analog_en[11] I -*CAP -1 mprj_io_analog_en[11] 0.000103095 -2 *68:4 0.000103095 -3 *68:4 *71:4 0 -*RES -1 mprj_io_analog_en[11] *68:4 2.93119 -*END - -*D_NET *69 0.000206191 -*CONN -*P mprj_io_analog_pol[11] I -*CAP -1 mprj_io_analog_pol[11] 0.000103095 -2 *69:4 0.000103095 -3 *69:4 *71:4 0 -4 *69:4 *76:4 0 -*RES -1 mprj_io_analog_pol[11] *69:4 2.93119 -*END - -*D_NET *70 0.000206191 -*CONN -*P mprj_io_analog_sel[11] I -*CAP -1 mprj_io_analog_sel[11] 0.000103095 -2 *70:4 0.000103095 -3 *70:4 *73:4 0 -*RES -1 mprj_io_analog_sel[11] *70:4 2.93119 -*END - -*D_NET *71 0.000206191 -*CONN -*P mprj_io_dm[33] I -*CAP -1 mprj_io_dm[33] 0.000103095 -2 *71:4 0.000103095 -3 *68:4 *71:4 0 -4 *69:4 *71:4 0 -*RES -1 mprj_io_dm[33] *71:4 2.93119 -*END - -*D_NET *72 0.000206191 -*CONN -*P mprj_io_dm[34] I -*CAP -1 mprj_io_dm[34] 0.000103095 -2 *72:4 0.000103095 -*RES -1 mprj_io_dm[34] *72:4 2.93119 -*END - -*D_NET *73 0.000206191 -*CONN -*P mprj_io_dm[35] I -*CAP -1 mprj_io_dm[35] 0.000103095 -2 *73:4 0.000103095 -3 *73:4 *74:4 0 -4 *70:4 *73:4 0 -*RES -1 mprj_io_dm[35] *73:4 2.93119 -*END - -*D_NET *74 0.000206191 -*CONN -*P mprj_io_holdover[11] I -*CAP -1 mprj_io_holdover[11] 0.000103095 -2 *74:4 0.000103095 -3 *74:4 *78:4 0 -4 *73:4 *74:4 0 -*RES -1 mprj_io_holdover[11] *74:4 2.93119 -*END - -*D_NET *75 0.000206191 -*CONN -*P mprj_io_ib_mode_sel[11] I -*CAP -1 mprj_io_ib_mode_sel[11] 0.000103095 -2 *75:4 0.000103095 -3 *75:4 *77:4 0 -4 *75:4 *80:4 0 -*RES -1 mprj_io_ib_mode_sel[11] *75:4 2.93119 -*END - -*D_NET *76 0.000206191 -*CONN -*P mprj_io_inp_dis[11] I -*CAP -1 mprj_io_inp_dis[11] 0.000103095 -2 *76:4 0.000103095 -3 *69:4 *76:4 0 -*RES -1 mprj_io_inp_dis[11] *76:4 2.93119 -*END - -*D_NET *77 0.000206191 -*CONN -*P mprj_io_oeb[11] I -*CAP -1 mprj_io_oeb[11] 0.000103095 -2 *77:4 0.000103095 -3 *75:4 *77:4 0 -*RES -1 mprj_io_oeb[11] *77:4 2.93119 -*END - -*D_NET *78 0.000206191 -*CONN -*P mprj_io_out[11] I -*CAP -1 mprj_io_out[11] 0.000103095 -2 *78:4 0.000103095 -3 *74:4 *78:4 0 -*RES -1 mprj_io_out[11] *78:4 2.93119 -*END - -*D_NET *79 0.000206191 -*CONN -*P mprj_io_slow_sel[11] I -*CAP -1 mprj_io_slow_sel[11] 0.000103095 -2 *79:4 0.000103095 -3 *66:4 *79:4 0 -*RES -1 mprj_io_slow_sel[11] *79:4 2.93119 -*END - -*D_NET *80 0.000206191 -*CONN -*P mprj_io_vtrip_sel[11] I -*CAP -1 mprj_io_vtrip_sel[11] 0.000103095 -2 *80:4 0.000103095 -3 *75:4 *80:4 0 -*RES -1 mprj_io_vtrip_sel[11] *80:4 2.93119 -*END - -*D_NET *81 0.000206191 -*CONN -*P mprj_io_in[11] I -*CAP -1 mprj_io_in[11] 0.000103095 -2 *81:4 0.000103095 -*RES -1 mprj_io_in[11] *81:4 2.93119 -*END - -*D_NET *82 0.000206191 -*CONN -*P mprj_analog_io[5] I -*CAP -1 mprj_analog_io[5] 0.000103095 -2 *82:4 0.000103095 -3 *82:4 *95:4 0 -*RES -1 mprj_analog_io[5] *82:4 2.93119 -*END - -*D_NET *83 0.00529339 -*CONN -*P mprj_io[12] I -*CAP -1 mprj_io[12] 0.00264669 -2 *83:4 0.00264669 -*RES -1 mprj_io[12] *83:4 1.14635 -*END - -*D_NET *84 0.000206191 -*CONN -*P mprj_io_analog_en[12] I -*CAP -1 mprj_io_analog_en[12] 0.000103095 -2 *84:4 0.000103095 -3 *84:4 *87:4 0 -*RES -1 mprj_io_analog_en[12] *84:4 2.93119 -*END - -*D_NET *85 0.000206191 -*CONN -*P mprj_io_analog_pol[12] I -*CAP -1 mprj_io_analog_pol[12] 0.000103095 -2 *85:4 0.000103095 -3 *85:4 *87:4 0 -4 *85:4 *92:4 0 -*RES -1 mprj_io_analog_pol[12] *85:4 2.93119 -*END - -*D_NET *86 0.000206191 -*CONN -*P mprj_io_analog_sel[12] I -*CAP -1 mprj_io_analog_sel[12] 0.000103095 -2 *86:4 0.000103095 -3 *86:4 *89:4 0 -*RES -1 mprj_io_analog_sel[12] *86:4 2.93119 -*END - -*D_NET *87 0.000206191 -*CONN -*P mprj_io_dm[36] I -*CAP -1 mprj_io_dm[36] 0.000103095 -2 *87:4 0.000103095 -3 *84:4 *87:4 0 -4 *85:4 *87:4 0 -*RES -1 mprj_io_dm[36] *87:4 2.93119 -*END - -*D_NET *88 0.000206191 -*CONN -*P mprj_io_dm[37] I -*CAP -1 mprj_io_dm[37] 0.000103095 -2 *88:4 0.000103095 -*RES -1 mprj_io_dm[37] *88:4 2.93119 -*END - -*D_NET *89 0.000206191 -*CONN -*P mprj_io_dm[38] I -*CAP -1 mprj_io_dm[38] 0.000103095 -2 *89:4 0.000103095 -3 *89:4 *90:4 0 -4 *86:4 *89:4 0 -*RES -1 mprj_io_dm[38] *89:4 2.93119 -*END - -*D_NET *90 0.000206191 -*CONN -*P mprj_io_holdover[12] I -*CAP -1 mprj_io_holdover[12] 0.000103095 -2 *90:4 0.000103095 -3 *90:4 *94:4 0 -4 *89:4 *90:4 0 -*RES -1 mprj_io_holdover[12] *90:4 2.93119 -*END - -*D_NET *91 0.000206191 -*CONN -*P mprj_io_ib_mode_sel[12] I -*CAP -1 mprj_io_ib_mode_sel[12] 0.000103095 -2 *91:4 0.000103095 -3 *91:4 *93:4 0 -4 *91:4 *96:4 0 -*RES -1 mprj_io_ib_mode_sel[12] *91:4 2.93119 -*END - -*D_NET *92 0.000206191 -*CONN -*P mprj_io_inp_dis[12] I -*CAP -1 mprj_io_inp_dis[12] 0.000103095 -2 *92:4 0.000103095 -3 *85:4 *92:4 0 -*RES -1 mprj_io_inp_dis[12] *92:4 2.93119 -*END - -*D_NET *93 0.000206191 -*CONN -*P mprj_io_oeb[12] I -*CAP -1 mprj_io_oeb[12] 0.000103095 -2 *93:4 0.000103095 -3 *91:4 *93:4 0 -*RES -1 mprj_io_oeb[12] *93:4 2.93119 -*END - -*D_NET *94 0.000206191 -*CONN -*P mprj_io_out[12] I -*CAP -1 mprj_io_out[12] 0.000103095 -2 *94:4 0.000103095 -3 *90:4 *94:4 0 -*RES -1 mprj_io_out[12] *94:4 2.93119 -*END - -*D_NET *95 0.000206191 -*CONN -*P mprj_io_slow_sel[12] I -*CAP -1 mprj_io_slow_sel[12] 0.000103095 -2 *95:4 0.000103095 -3 *82:4 *95:4 0 -*RES -1 mprj_io_slow_sel[12] *95:4 2.93119 -*END - -*D_NET *96 0.000206191 -*CONN -*P mprj_io_vtrip_sel[12] I -*CAP -1 mprj_io_vtrip_sel[12] 0.000103095 -2 *96:4 0.000103095 -3 *91:4 *96:4 0 -*RES -1 mprj_io_vtrip_sel[12] *96:4 2.93119 -*END - -*D_NET *97 0.000206191 -*CONN -*P mprj_io_in[12] I -*CAP -1 mprj_io_in[12] 0.000103095 -2 *97:4 0.000103095 -*RES -1 mprj_io_in[12] *97:4 2.93119 -*END - -*D_NET *98 0.000206191 -*CONN -*P mprj_analog_io[6] I -*CAP -1 mprj_analog_io[6] 0.000103095 -2 *98:4 0.000103095 -3 *98:4 *111:4 0 -*RES -1 mprj_analog_io[6] *98:4 2.93119 -*END - -*D_NET *99 0.00529339 -*CONN -*P mprj_io[13] I -*CAP -1 mprj_io[13] 0.00264669 -2 *99:4 0.00264669 -*RES -1 mprj_io[13] *99:4 1.14635 -*END - -*D_NET *100 0.000206191 -*CONN -*P mprj_io_analog_en[13] I -*CAP -1 mprj_io_analog_en[13] 0.000103095 -2 *100:4 0.000103095 -3 *100:4 *103:4 0 -*RES -1 mprj_io_analog_en[13] *100:4 2.93119 -*END - -*D_NET *101 0.000206191 -*CONN -*P mprj_io_analog_pol[13] I -*CAP -1 mprj_io_analog_pol[13] 0.000103095 -2 *101:4 0.000103095 -3 *101:4 *103:4 0 -4 *101:4 *108:4 0 -*RES -1 mprj_io_analog_pol[13] *101:4 2.93119 -*END - -*D_NET *102 0.000206191 -*CONN -*P mprj_io_analog_sel[13] I -*CAP -1 mprj_io_analog_sel[13] 0.000103095 -2 *102:4 0.000103095 -3 *102:4 *105:4 0 -*RES -1 mprj_io_analog_sel[13] *102:4 2.93119 -*END - -*D_NET *103 0.000206191 -*CONN -*P mprj_io_dm[39] I -*CAP -1 mprj_io_dm[39] 0.000103095 -2 *103:4 0.000103095 -3 *100:4 *103:4 0 -4 *101:4 *103:4 0 -*RES -1 mprj_io_dm[39] *103:4 2.93119 -*END - -*D_NET *104 0.000206191 -*CONN -*P mprj_io_dm[40] I -*CAP -1 mprj_io_dm[40] 0.000103095 -2 *104:4 0.000103095 -*RES -1 mprj_io_dm[40] *104:4 2.93119 -*END - -*D_NET *105 0.000206191 -*CONN -*P mprj_io_dm[41] I -*CAP -1 mprj_io_dm[41] 0.000103095 -2 *105:4 0.000103095 -3 *105:4 *106:4 0 -4 *102:4 *105:4 0 -*RES -1 mprj_io_dm[41] *105:4 2.93119 -*END - -*D_NET *106 0.000206191 -*CONN -*P mprj_io_holdover[13] I -*CAP -1 mprj_io_holdover[13] 0.000103095 -2 *106:4 0.000103095 -3 *106:4 *110:4 0 -4 *105:4 *106:4 0 -*RES -1 mprj_io_holdover[13] *106:4 2.93119 -*END - -*D_NET *107 0.000206191 -*CONN -*P mprj_io_ib_mode_sel[13] I -*CAP -1 mprj_io_ib_mode_sel[13] 0.000103095 -2 *107:4 0.000103095 -3 *107:4 *109:4 0 -4 *107:4 *112:4 0 -*RES -1 mprj_io_ib_mode_sel[13] *107:4 2.93119 -*END - -*D_NET *108 0.000206191 -*CONN -*P mprj_io_inp_dis[13] I -*CAP -1 mprj_io_inp_dis[13] 0.000103095 -2 *108:4 0.000103095 -3 *101:4 *108:4 0 -*RES -1 mprj_io_inp_dis[13] *108:4 2.93119 -*END - -*D_NET *109 0.000206191 -*CONN -*P mprj_io_oeb[13] I -*CAP -1 mprj_io_oeb[13] 0.000103095 -2 *109:4 0.000103095 -3 *107:4 *109:4 0 -*RES -1 mprj_io_oeb[13] *109:4 2.93119 -*END - -*D_NET *110 0.000206191 -*CONN -*P mprj_io_out[13] I -*CAP -1 mprj_io_out[13] 0.000103095 -2 *110:4 0.000103095 -3 *106:4 *110:4 0 -*RES -1 mprj_io_out[13] *110:4 2.93119 -*END - -*D_NET *111 0.000206191 -*CONN -*P mprj_io_slow_sel[13] I -*CAP -1 mprj_io_slow_sel[13] 0.000103095 -2 *111:4 0.000103095 -3 *98:4 *111:4 0 -*RES -1 mprj_io_slow_sel[13] *111:4 2.93119 -*END - -*D_NET *112 0.000206191 -*CONN -*P mprj_io_vtrip_sel[13] I -*CAP -1 mprj_io_vtrip_sel[13] 0.000103095 -2 *112:4 0.000103095 -3 *107:4 *112:4 0 -*RES -1 mprj_io_vtrip_sel[13] *112:4 2.93119 -*END - -*D_NET *113 0.000206191 -*CONN -*P mprj_io_in[13] I -*CAP -1 mprj_io_in[13] 0.000103095 -2 *113:4 0.000103095 -*RES -1 mprj_io_in[13] *113:4 2.93119 -*END - -*D_NET *114 0.000206191 -*CONN -*P mprj_analog_io[7] I -*CAP -1 mprj_analog_io[7] 0.000103095 -2 *114:4 0.000103095 -3 *114:4 *127:4 0 -*RES -1 mprj_analog_io[7] *114:4 2.93119 -*END - -*D_NET *115 0.00529339 -*CONN -*P mprj_io[14] I -*CAP -1 mprj_io[14] 0.00264669 -2 *115:4 0.00264669 -*RES -1 mprj_io[14] *115:4 1.14635 -*END - -*D_NET *116 0.000206191 -*CONN -*P mprj_io_analog_en[14] I -*CAP -1 mprj_io_analog_en[14] 0.000103095 -2 *116:4 0.000103095 -3 *116:4 *119:4 0 -*RES -1 mprj_io_analog_en[14] *116:4 2.93119 -*END - -*D_NET *117 0.000206191 -*CONN -*P mprj_io_analog_pol[14] I -*CAP -1 mprj_io_analog_pol[14] 0.000103095 -2 *117:4 0.000103095 -3 *117:4 *119:4 0 -4 *117:4 *124:4 0 -*RES -1 mprj_io_analog_pol[14] *117:4 2.93119 -*END - -*D_NET *118 0.000206191 -*CONN -*P mprj_io_analog_sel[14] I -*CAP -1 mprj_io_analog_sel[14] 0.000103095 -2 *118:4 0.000103095 -3 *118:4 *121:4 0 -*RES -1 mprj_io_analog_sel[14] *118:4 2.93119 -*END - -*D_NET *119 0.000206191 -*CONN -*P mprj_io_dm[42] I -*CAP -1 mprj_io_dm[42] 0.000103095 -2 *119:4 0.000103095 -3 *116:4 *119:4 0 -4 *117:4 *119:4 0 -*RES -1 mprj_io_dm[42] *119:4 2.93119 -*END - -*D_NET *120 0.000206191 -*CONN -*P mprj_io_dm[43] I -*CAP -1 mprj_io_dm[43] 0.000103095 -2 *120:4 0.000103095 -*RES -1 mprj_io_dm[43] *120:4 2.93119 -*END - -*D_NET *121 0.000206191 -*CONN -*P mprj_io_dm[44] I -*CAP -1 mprj_io_dm[44] 0.000103095 -2 *121:4 0.000103095 -3 *121:4 *122:4 0 -4 *118:4 *121:4 0 -*RES -1 mprj_io_dm[44] *121:4 2.93119 -*END - -*D_NET *122 0.000206191 -*CONN -*P mprj_io_holdover[14] I -*CAP -1 mprj_io_holdover[14] 0.000103095 -2 *122:4 0.000103095 -3 *122:4 *126:4 0 -4 *121:4 *122:4 0 -*RES -1 mprj_io_holdover[14] *122:4 2.93119 -*END - -*D_NET *123 0.000206191 -*CONN -*P mprj_io_ib_mode_sel[14] I -*CAP -1 mprj_io_ib_mode_sel[14] 0.000103095 -2 *123:4 0.000103095 -3 *123:4 *125:4 0 -4 *123:4 *128:4 0 -*RES -1 mprj_io_ib_mode_sel[14] *123:4 2.93119 -*END - -*D_NET *124 0.000206191 -*CONN -*P mprj_io_inp_dis[14] I -*CAP -1 mprj_io_inp_dis[14] 0.000103095 -2 *124:4 0.000103095 -3 *117:4 *124:4 0 -*RES -1 mprj_io_inp_dis[14] *124:4 2.93119 -*END - -*D_NET *125 0.000206191 -*CONN -*P mprj_io_oeb[14] I -*CAP -1 mprj_io_oeb[14] 0.000103095 -2 *125:4 0.000103095 -3 *123:4 *125:4 0 -*RES -1 mprj_io_oeb[14] *125:4 2.93119 -*END - -*D_NET *126 0.000206191 -*CONN -*P mprj_io_out[14] I -*CAP -1 mprj_io_out[14] 0.000103095 -2 *126:4 0.000103095 -3 *122:4 *126:4 0 -*RES -1 mprj_io_out[14] *126:4 2.93119 -*END - -*D_NET *127 0.000206191 -*CONN -*P mprj_io_slow_sel[14] I -*CAP -1 mprj_io_slow_sel[14] 0.000103095 -2 *127:4 0.000103095 -3 *114:4 *127:4 0 -*RES -1 mprj_io_slow_sel[14] *127:4 2.93119 -*END - -*D_NET *128 0.000206191 -*CONN -*P mprj_io_vtrip_sel[14] I -*CAP -1 mprj_io_vtrip_sel[14] 0.000103095 -2 *128:4 0.000103095 -3 *123:4 *128:4 0 -*RES -1 mprj_io_vtrip_sel[14] *128:4 2.93119 -*END - -*D_NET *129 0.000206191 -*CONN -*P mprj_io_in[14] I -*CAP -1 mprj_io_in[14] 0.000103095 -2 *129:4 0.000103095 -*RES -1 mprj_io_in[14] *129:4 2.93119 -*END - -*D_NET *130 2.40556e-05 -*CONN -*P mprj_analog_io[8] I -*CAP -1 mprj_analog_io[8] 1.20278e-05 -2 *130:4 1.20278e-05 -*RES -1 mprj_analog_io[8] *130:4 0.341972 -*END - -*D_NET *132 2.40556e-05 -*CONN -*P mprj_io_analog_en[15] I -*CAP -1 mprj_io_analog_en[15] 1.20278e-05 -2 *132:4 1.20278e-05 -*RES -1 mprj_io_analog_en[15] *132:4 0.341972 -*END - -*D_NET *133 2.40556e-05 -*CONN -*P mprj_io_analog_pol[15] I -*CAP -1 mprj_io_analog_pol[15] 1.20278e-05 -2 *133:4 1.20278e-05 -*RES -1 mprj_io_analog_pol[15] *133:4 0.341972 -*END - -*D_NET *134 2.40556e-05 -*CONN -*P mprj_io_analog_sel[15] I -*CAP -1 mprj_io_analog_sel[15] 1.20278e-05 -2 *134:4 1.20278e-05 -*RES -1 mprj_io_analog_sel[15] *134:4 0.341972 -*END - -*D_NET *135 2.40556e-05 -*CONN -*P mprj_io_dm[45] I -*CAP -1 mprj_io_dm[45] 1.20278e-05 -2 *135:4 1.20278e-05 -*RES -1 mprj_io_dm[45] *135:4 0.341972 -*END - -*D_NET *136 2.40556e-05 -*CONN -*P mprj_io_dm[46] I -*CAP -1 mprj_io_dm[46] 1.20278e-05 -2 *136:4 1.20278e-05 -*RES -1 mprj_io_dm[46] *136:4 0.341972 -*END - -*D_NET *137 2.40556e-05 -*CONN -*P mprj_io_dm[47] I -*CAP -1 mprj_io_dm[47] 1.20278e-05 -2 *137:4 1.20278e-05 -*RES -1 mprj_io_dm[47] *137:4 0.341972 -*END - -*D_NET *138 2.40556e-05 -*CONN -*P mprj_io_holdover[15] I -*CAP -1 mprj_io_holdover[15] 1.20278e-05 -2 *138:4 1.20278e-05 -*RES -1 mprj_io_holdover[15] *138:4 0.341972 -*END - -*D_NET *139 2.40556e-05 -*CONN -*P mprj_io_ib_mode_sel[15] I -*CAP -1 mprj_io_ib_mode_sel[15] 1.20278e-05 -2 *139:4 1.20278e-05 -*RES -1 mprj_io_ib_mode_sel[15] *139:4 0.341972 -*END - -*D_NET *140 2.40556e-05 -*CONN -*P mprj_io_inp_dis[15] I -*CAP -1 mprj_io_inp_dis[15] 1.20278e-05 -2 *140:4 1.20278e-05 -*RES -1 mprj_io_inp_dis[15] *140:4 0.341972 -*END - -*D_NET *141 2.40556e-05 -*CONN -*P mprj_io_oeb[15] I -*CAP -1 mprj_io_oeb[15] 1.20278e-05 -2 *141:4 1.20278e-05 -*RES -1 mprj_io_oeb[15] *141:4 0.341972 -*END - -*D_NET *142 2.40556e-05 -*CONN -*P mprj_io_out[15] I -*CAP -1 mprj_io_out[15] 1.20278e-05 -2 *142:4 1.20278e-05 -*RES -1 mprj_io_out[15] *142:4 0.341972 -*END - -*D_NET *143 2.40556e-05 -*CONN -*P mprj_io_slow_sel[15] I -*CAP -1 mprj_io_slow_sel[15] 1.20278e-05 -2 *143:4 1.20278e-05 -*RES -1 mprj_io_slow_sel[15] *143:4 0.341972 -*END - -*D_NET *144 2.40556e-05 -*CONN -*P mprj_io_vtrip_sel[15] I -*CAP -1 mprj_io_vtrip_sel[15] 1.20278e-05 -2 *144:4 1.20278e-05 -*RES -1 mprj_io_vtrip_sel[15] *144:4 0.341972 -*END - -*D_NET *145 2.40556e-05 -*CONN -*P mprj_io_in[15] I -*CAP -1 mprj_io_in[15] 1.20278e-05 -2 *145:4 1.20278e-05 -*RES -1 mprj_io_in[15] *145:4 0.341972 -*END - -*D_NET *146 2.40556e-05 -*CONN -*P mprj_analog_io[9] I -*CAP -1 mprj_analog_io[9] 1.20278e-05 -2 *146:4 1.20278e-05 -*RES -1 mprj_analog_io[9] *146:4 0.341972 -*END - -*D_NET *148 2.40556e-05 -*CONN -*P mprj_io_analog_en[16] I -*CAP -1 mprj_io_analog_en[16] 1.20278e-05 -2 *148:4 1.20278e-05 -*RES -1 mprj_io_analog_en[16] *148:4 0.341972 -*END - -*D_NET *149 2.40556e-05 -*CONN -*P mprj_io_analog_pol[16] I -*CAP -1 mprj_io_analog_pol[16] 1.20278e-05 -2 *149:4 1.20278e-05 -*RES -1 mprj_io_analog_pol[16] *149:4 0.341972 -*END - -*D_NET *150 2.40556e-05 -*CONN -*P mprj_io_analog_sel[16] I -*CAP -1 mprj_io_analog_sel[16] 1.20278e-05 -2 *150:4 1.20278e-05 -*RES -1 mprj_io_analog_sel[16] *150:4 0.341972 -*END - -*D_NET *151 2.40556e-05 -*CONN -*P mprj_io_dm[48] I -*CAP -1 mprj_io_dm[48] 1.20278e-05 -2 *151:4 1.20278e-05 -*RES -1 mprj_io_dm[48] *151:4 0.341972 -*END - -*D_NET *152 2.40556e-05 -*CONN -*P mprj_io_dm[49] I -*CAP -1 mprj_io_dm[49] 1.20278e-05 -2 *152:4 1.20278e-05 -*RES -1 mprj_io_dm[49] *152:4 0.341972 -*END - -*D_NET *153 2.40556e-05 -*CONN -*P mprj_io_dm[50] I -*CAP -1 mprj_io_dm[50] 1.20278e-05 -2 *153:4 1.20278e-05 -*RES -1 mprj_io_dm[50] *153:4 0.341972 -*END - -*D_NET *154 2.40556e-05 -*CONN -*P mprj_io_holdover[16] I -*CAP -1 mprj_io_holdover[16] 1.20278e-05 -2 *154:4 1.20278e-05 -*RES -1 mprj_io_holdover[16] *154:4 0.341972 -*END - -*D_NET *155 2.40556e-05 -*CONN -*P mprj_io_ib_mode_sel[16] I -*CAP -1 mprj_io_ib_mode_sel[16] 1.20278e-05 -2 *155:4 1.20278e-05 -*RES -1 mprj_io_ib_mode_sel[16] *155:4 0.341972 -*END - -*D_NET *156 2.40556e-05 -*CONN -*P mprj_io_inp_dis[16] I -*CAP -1 mprj_io_inp_dis[16] 1.20278e-05 -2 *156:4 1.20278e-05 -*RES -1 mprj_io_inp_dis[16] *156:4 0.341972 -*END - -*D_NET *157 2.40556e-05 -*CONN -*P mprj_io_oeb[16] I -*CAP -1 mprj_io_oeb[16] 1.20278e-05 -2 *157:4 1.20278e-05 -*RES -1 mprj_io_oeb[16] *157:4 0.341972 -*END - -*D_NET *158 2.40556e-05 -*CONN -*P mprj_io_out[16] I -*CAP -1 mprj_io_out[16] 1.20278e-05 -2 *158:4 1.20278e-05 -*RES -1 mprj_io_out[16] *158:4 0.341972 -*END - -*D_NET *159 2.40556e-05 -*CONN -*P mprj_io_slow_sel[16] I -*CAP -1 mprj_io_slow_sel[16] 1.20278e-05 -2 *159:4 1.20278e-05 -*RES -1 mprj_io_slow_sel[16] *159:4 0.341972 -*END - -*D_NET *160 2.40556e-05 -*CONN -*P mprj_io_vtrip_sel[16] I -*CAP -1 mprj_io_vtrip_sel[16] 1.20278e-05 -2 *160:4 1.20278e-05 -*RES -1 mprj_io_vtrip_sel[16] *160:4 0.341972 -*END - -*D_NET *161 2.40556e-05 -*CONN -*P mprj_io_in[16] I -*CAP -1 mprj_io_in[16] 1.20278e-05 -2 *161:4 1.20278e-05 -*RES -1 mprj_io_in[16] *161:4 0.341972 -*END - -*D_NET *162 2.40556e-05 -*CONN -*P mprj_analog_io[10] I -*CAP -1 mprj_analog_io[10] 1.20278e-05 -2 *162:4 1.20278e-05 -*RES -1 mprj_analog_io[10] *162:4 0.341972 -*END - -*D_NET *164 2.40556e-05 -*CONN -*P mprj_io_analog_en[17] I -*CAP -1 mprj_io_analog_en[17] 1.20278e-05 -2 *164:4 1.20278e-05 -*RES -1 mprj_io_analog_en[17] *164:4 0.341972 -*END - -*D_NET *165 2.40556e-05 -*CONN -*P mprj_io_analog_pol[17] I -*CAP -1 mprj_io_analog_pol[17] 1.20278e-05 -2 *165:4 1.20278e-05 -*RES -1 mprj_io_analog_pol[17] *165:4 0.341972 -*END - -*D_NET *166 2.40556e-05 -*CONN -*P mprj_io_analog_sel[17] I -*CAP -1 mprj_io_analog_sel[17] 1.20278e-05 -2 *166:4 1.20278e-05 -*RES -1 mprj_io_analog_sel[17] *166:4 0.341972 -*END - -*D_NET *167 2.40556e-05 -*CONN -*P mprj_io_dm[51] I -*CAP -1 mprj_io_dm[51] 1.20278e-05 -2 *167:4 1.20278e-05 -*RES -1 mprj_io_dm[51] *167:4 0.341972 -*END - -*D_NET *168 2.40556e-05 -*CONN -*P mprj_io_dm[52] I -*CAP -1 mprj_io_dm[52] 1.20278e-05 -2 *168:4 1.20278e-05 -*RES -1 mprj_io_dm[52] *168:4 0.341972 -*END - -*D_NET *169 2.40556e-05 -*CONN -*P mprj_io_dm[53] I -*CAP -1 mprj_io_dm[53] 1.20278e-05 -2 *169:4 1.20278e-05 -*RES -1 mprj_io_dm[53] *169:4 0.341972 -*END - -*D_NET *170 2.40556e-05 -*CONN -*P mprj_io_holdover[17] I -*CAP -1 mprj_io_holdover[17] 1.20278e-05 -2 *170:4 1.20278e-05 -*RES -1 mprj_io_holdover[17] *170:4 0.341972 -*END - -*D_NET *171 2.40556e-05 -*CONN -*P mprj_io_ib_mode_sel[17] I -*CAP -1 mprj_io_ib_mode_sel[17] 1.20278e-05 -2 *171:4 1.20278e-05 -*RES -1 mprj_io_ib_mode_sel[17] *171:4 0.341972 -*END - -*D_NET *172 2.40556e-05 -*CONN -*P mprj_io_inp_dis[17] I -*CAP -1 mprj_io_inp_dis[17] 1.20278e-05 -2 *172:4 1.20278e-05 -*RES -1 mprj_io_inp_dis[17] *172:4 0.341972 -*END - -*D_NET *173 2.40556e-05 -*CONN -*P mprj_io_oeb[17] I -*CAP -1 mprj_io_oeb[17] 1.20278e-05 -2 *173:4 1.20278e-05 -*RES -1 mprj_io_oeb[17] *173:4 0.341972 -*END - -*D_NET *174 2.40556e-05 -*CONN -*P mprj_io_out[17] I -*CAP -1 mprj_io_out[17] 1.20278e-05 -2 *174:4 1.20278e-05 -*RES -1 mprj_io_out[17] *174:4 0.341972 -*END - -*D_NET *175 2.40556e-05 -*CONN -*P mprj_io_slow_sel[17] I -*CAP -1 mprj_io_slow_sel[17] 1.20278e-05 -2 *175:4 1.20278e-05 -*RES -1 mprj_io_slow_sel[17] *175:4 0.341972 -*END - -*D_NET *176 2.40556e-05 -*CONN -*P mprj_io_vtrip_sel[17] I -*CAP -1 mprj_io_vtrip_sel[17] 1.20278e-05 -2 *176:4 1.20278e-05 -*RES -1 mprj_io_vtrip_sel[17] *176:4 0.341972 -*END - -*D_NET *177 2.40556e-05 -*CONN -*P mprj_io_in[17] I -*CAP -1 mprj_io_in[17] 1.20278e-05 -2 *177:4 1.20278e-05 -*RES -1 mprj_io_in[17] *177:4 0.341972 -*END - -*D_NET *178 2.40556e-05 -*CONN -*P mprj_analog_io[11] I -*CAP -1 mprj_analog_io[11] 1.20278e-05 -2 *178:4 1.20278e-05 -*RES -1 mprj_analog_io[11] *178:4 0.341972 -*END - -*D_NET *180 2.40556e-05 -*CONN -*P mprj_io_analog_en[18] I -*CAP -1 mprj_io_analog_en[18] 1.20278e-05 -2 *180:4 1.20278e-05 -*RES -1 mprj_io_analog_en[18] *180:4 0.341972 -*END - -*D_NET *181 2.40556e-05 -*CONN -*P mprj_io_analog_pol[18] I -*CAP -1 mprj_io_analog_pol[18] 1.20278e-05 -2 *181:4 1.20278e-05 -*RES -1 mprj_io_analog_pol[18] *181:4 0.341972 -*END - -*D_NET *182 2.40556e-05 -*CONN -*P mprj_io_analog_sel[18] I -*CAP -1 mprj_io_analog_sel[18] 1.20278e-05 -2 *182:4 1.20278e-05 -*RES -1 mprj_io_analog_sel[18] *182:4 0.341972 -*END - -*D_NET *183 2.40556e-05 -*CONN -*P mprj_io_dm[54] I -*CAP -1 mprj_io_dm[54] 1.20278e-05 -2 *183:4 1.20278e-05 -*RES -1 mprj_io_dm[54] *183:4 0.341972 -*END - -*D_NET *184 2.40556e-05 -*CONN -*P mprj_io_dm[55] I -*CAP -1 mprj_io_dm[55] 1.20278e-05 -2 *184:4 1.20278e-05 -*RES -1 mprj_io_dm[55] *184:4 0.341972 -*END - -*D_NET *185 2.40556e-05 -*CONN -*P mprj_io_dm[56] I -*CAP -1 mprj_io_dm[56] 1.20278e-05 -2 *185:4 1.20278e-05 -*RES -1 mprj_io_dm[56] *185:4 0.341972 -*END - -*D_NET *186 2.40556e-05 -*CONN -*P mprj_io_holdover[18] I -*CAP -1 mprj_io_holdover[18] 1.20278e-05 -2 *186:4 1.20278e-05 -*RES -1 mprj_io_holdover[18] *186:4 0.341972 -*END - -*D_NET *187 2.40556e-05 -*CONN -*P mprj_io_ib_mode_sel[18] I -*CAP -1 mprj_io_ib_mode_sel[18] 1.20278e-05 -2 *187:4 1.20278e-05 -*RES -1 mprj_io_ib_mode_sel[18] *187:4 0.341972 -*END - -*D_NET *188 2.40556e-05 -*CONN -*P mprj_io_inp_dis[18] I -*CAP -1 mprj_io_inp_dis[18] 1.20278e-05 -2 *188:4 1.20278e-05 -*RES -1 mprj_io_inp_dis[18] *188:4 0.341972 -*END - -*D_NET *189 2.40556e-05 -*CONN -*P mprj_io_oeb[18] I -*CAP -1 mprj_io_oeb[18] 1.20278e-05 -2 *189:4 1.20278e-05 -*RES -1 mprj_io_oeb[18] *189:4 0.341972 -*END - -*D_NET *190 2.40556e-05 -*CONN -*P mprj_io_out[18] I -*CAP -1 mprj_io_out[18] 1.20278e-05 -2 *190:4 1.20278e-05 -*RES -1 mprj_io_out[18] *190:4 0.341972 -*END - -*D_NET *191 2.40556e-05 -*CONN -*P mprj_io_slow_sel[18] I -*CAP -1 mprj_io_slow_sel[18] 1.20278e-05 -2 *191:4 1.20278e-05 -*RES -1 mprj_io_slow_sel[18] *191:4 0.341972 -*END - -*D_NET *192 2.40556e-05 -*CONN -*P mprj_io_vtrip_sel[18] I -*CAP -1 mprj_io_vtrip_sel[18] 1.20278e-05 -2 *192:4 1.20278e-05 -*RES -1 mprj_io_vtrip_sel[18] *192:4 0.341972 -*END - -*D_NET *193 2.40556e-05 -*CONN -*P mprj_io_in[18] I -*CAP -1 mprj_io_in[18] 1.20278e-05 -2 *193:4 1.20278e-05 -*RES -1 mprj_io_in[18] *193:4 0.341972 -*END - -*D_NET *194 0.00529339 -*CONN -*P mprj_io[1] I -*CAP -1 mprj_io[1] 0.00264669 -2 *194:4 0.00264669 -*RES -1 mprj_io[1] *194:4 1.14635 -*END - -*D_NET *195 0.000206191 -*CONN -*P mprj_io_analog_en[1] I -*CAP -1 mprj_io_analog_en[1] 0.000103095 -2 *195:4 0.000103095 -3 *195:4 *198:4 0 -*RES -1 mprj_io_analog_en[1] *195:4 2.93119 -*END - -*D_NET *196 0.000206191 -*CONN -*P mprj_io_analog_pol[1] I -*CAP -1 mprj_io_analog_pol[1] 0.000103095 -2 *196:4 0.000103095 -3 *196:4 *198:4 0 -4 *196:4 *203:4 0 -*RES -1 mprj_io_analog_pol[1] *196:4 2.93119 -*END - -*D_NET *197 0.000206191 -*CONN -*P mprj_io_analog_sel[1] I -*CAP -1 mprj_io_analog_sel[1] 0.000103095 -2 *197:4 0.000103095 -3 *197:4 *200:4 0 -*RES -1 mprj_io_analog_sel[1] *197:4 2.93119 -*END - -*D_NET *198 0.000206191 -*CONN -*P mprj_io_dm[3] I -*CAP -1 mprj_io_dm[3] 0.000103095 -2 *198:4 0.000103095 -3 *195:4 *198:4 0 -4 *196:4 *198:4 0 -*RES -1 mprj_io_dm[3] *198:4 2.93119 -*END - -*D_NET *199 0.000206191 -*CONN -*P mprj_io_dm[4] I -*CAP -1 mprj_io_dm[4] 0.000103095 -2 *199:4 0.000103095 -*RES -1 mprj_io_dm[4] *199:4 2.93119 -*END - -*D_NET *200 0.000206191 -*CONN -*P mprj_io_dm[5] I -*CAP -1 mprj_io_dm[5] 0.000103095 -2 *200:4 0.000103095 -3 *200:4 *201:4 0 -4 *197:4 *200:4 0 -*RES -1 mprj_io_dm[5] *200:4 2.93119 -*END - -*D_NET *201 0.000206191 -*CONN -*P mprj_io_holdover[1] I -*CAP -1 mprj_io_holdover[1] 0.000103095 -2 *201:4 0.000103095 -3 *201:4 *205:4 0 -4 *200:4 *201:4 0 -*RES -1 mprj_io_holdover[1] *201:4 2.93119 -*END - -*D_NET *202 0.000206191 -*CONN -*P mprj_io_ib_mode_sel[1] I -*CAP -1 mprj_io_ib_mode_sel[1] 0.000103095 -2 *202:4 0.000103095 -3 *202:4 *204:4 0 -4 *202:4 *207:4 0 -*RES -1 mprj_io_ib_mode_sel[1] *202:4 2.93119 -*END - -*D_NET *203 0.000206191 -*CONN -*P mprj_io_inp_dis[1] I -*CAP -1 mprj_io_inp_dis[1] 0.000103095 -2 *203:4 0.000103095 -3 *196:4 *203:4 0 -*RES -1 mprj_io_inp_dis[1] *203:4 2.93119 -*END - -*D_NET *204 0.000206191 -*CONN -*P mprj_io_oeb[1] I -*CAP -1 mprj_io_oeb[1] 0.000103095 -2 *204:4 0.000103095 -3 *202:4 *204:4 0 -*RES -1 mprj_io_oeb[1] *204:4 2.93119 -*END - -*D_NET *205 0.000206191 -*CONN -*P mprj_io_out[1] I -*CAP -1 mprj_io_out[1] 0.000103095 -2 *205:4 0.000103095 -3 *201:4 *205:4 0 -*RES -1 mprj_io_out[1] *205:4 2.93119 -*END - -*D_NET *206 0.000206191 -*CONN -*P mprj_io_slow_sel[1] I -*CAP -1 mprj_io_slow_sel[1] 0.000103095 -2 *206:4 0.000103095 -*RES -1 mprj_io_slow_sel[1] *206:4 2.93119 -*END - -*D_NET *207 0.000206191 -*CONN -*P mprj_io_vtrip_sel[1] I -*CAP -1 mprj_io_vtrip_sel[1] 0.000103095 -2 *207:4 0.000103095 -3 *202:4 *207:4 0 -*RES -1 mprj_io_vtrip_sel[1] *207:4 2.93119 -*END - -*D_NET *208 0.000206191 -*CONN -*P mprj_io_in[1] I -*CAP -1 mprj_io_in[1] 0.000103095 -2 *208:4 0.000103095 -*RES -1 mprj_io_in[1] *208:4 2.93119 -*END - -*D_NET *209 0.00529339 -*CONN -*P mprj_io[2] I -*CAP -1 mprj_io[2] 0.00264669 -2 *209:4 0.00264669 -*RES -1 mprj_io[2] *209:4 1.14635 -*END - -*D_NET *210 0.000206191 -*CONN -*P mprj_io_analog_en[2] I -*CAP -1 mprj_io_analog_en[2] 0.000103095 -2 *210:4 0.000103095 -3 *210:4 *213:4 0 -*RES -1 mprj_io_analog_en[2] *210:4 2.93119 -*END - -*D_NET *211 0.000206191 -*CONN -*P mprj_io_analog_pol[2] I -*CAP -1 mprj_io_analog_pol[2] 0.000103095 -2 *211:4 0.000103095 -3 *211:4 *213:4 0 -4 *211:4 *218:4 0 -*RES -1 mprj_io_analog_pol[2] *211:4 2.93119 -*END - -*D_NET *212 0.000206191 -*CONN -*P mprj_io_analog_sel[2] I -*CAP -1 mprj_io_analog_sel[2] 0.000103095 -2 *212:4 0.000103095 -3 *212:4 *215:4 0 -*RES -1 mprj_io_analog_sel[2] *212:4 2.93119 -*END - -*D_NET *213 0.000206191 -*CONN -*P mprj_io_dm[6] I -*CAP -1 mprj_io_dm[6] 0.000103095 -2 *213:4 0.000103095 -3 *210:4 *213:4 0 -4 *211:4 *213:4 0 -*RES -1 mprj_io_dm[6] *213:4 2.93119 -*END - -*D_NET *214 0.000206191 -*CONN -*P mprj_io_dm[7] I -*CAP -1 mprj_io_dm[7] 0.000103095 -2 *214:4 0.000103095 -*RES -1 mprj_io_dm[7] *214:4 2.93119 -*END - -*D_NET *215 0.000206191 -*CONN -*P mprj_io_dm[8] I -*CAP -1 mprj_io_dm[8] 0.000103095 -2 *215:4 0.000103095 -3 *215:4 *216:4 0 -4 *212:4 *215:4 0 -*RES -1 mprj_io_dm[8] *215:4 2.93119 -*END - -*D_NET *216 0.000206191 -*CONN -*P mprj_io_holdover[2] I -*CAP -1 mprj_io_holdover[2] 0.000103095 -2 *216:4 0.000103095 -3 *216:4 *220:4 0 -4 *215:4 *216:4 0 -*RES -1 mprj_io_holdover[2] *216:4 2.93119 -*END - -*D_NET *217 0.000206191 -*CONN -*P mprj_io_ib_mode_sel[2] I -*CAP -1 mprj_io_ib_mode_sel[2] 0.000103095 -2 *217:4 0.000103095 -3 *217:4 *219:4 0 -4 *217:4 *222:4 0 -*RES -1 mprj_io_ib_mode_sel[2] *217:4 2.93119 -*END - -*D_NET *218 0.000206191 -*CONN -*P mprj_io_inp_dis[2] I -*CAP -1 mprj_io_inp_dis[2] 0.000103095 -2 *218:4 0.000103095 -3 *211:4 *218:4 0 -*RES -1 mprj_io_inp_dis[2] *218:4 2.93119 -*END - -*D_NET *219 0.000206191 -*CONN -*P mprj_io_oeb[2] I -*CAP -1 mprj_io_oeb[2] 0.000103095 -2 *219:4 0.000103095 -3 *217:4 *219:4 0 -*RES -1 mprj_io_oeb[2] *219:4 2.93119 -*END - -*D_NET *220 0.000206191 -*CONN -*P mprj_io_out[2] I -*CAP -1 mprj_io_out[2] 0.000103095 -2 *220:4 0.000103095 -3 *216:4 *220:4 0 -*RES -1 mprj_io_out[2] *220:4 2.93119 -*END - -*D_NET *221 0.000206191 -*CONN -*P mprj_io_slow_sel[2] I -*CAP -1 mprj_io_slow_sel[2] 0.000103095 -2 *221:4 0.000103095 -*RES -1 mprj_io_slow_sel[2] *221:4 2.93119 -*END - -*D_NET *222 0.000206191 -*CONN -*P mprj_io_vtrip_sel[2] I -*CAP -1 mprj_io_vtrip_sel[2] 0.000103095 -2 *222:4 0.000103095 -3 *217:4 *222:4 0 -*RES -1 mprj_io_vtrip_sel[2] *222:4 2.93119 -*END - -*D_NET *223 0.000206191 -*CONN -*P mprj_io_in[2] I -*CAP -1 mprj_io_in[2] 0.000103095 -2 *223:4 0.000103095 -*RES -1 mprj_io_in[2] *223:4 2.93119 -*END - -*D_NET *224 0.00529339 -*CONN -*P mprj_io[3] I -*CAP -1 mprj_io[3] 0.00264669 -2 *224:4 0.00264669 -*RES -1 mprj_io[3] *224:4 1.14635 -*END - -*D_NET *225 0.000206191 -*CONN -*P mprj_io_analog_en[3] I -*CAP -1 mprj_io_analog_en[3] 0.000103095 -2 *225:4 0.000103095 -3 *225:4 *230:4 0 -*RES -1 mprj_io_analog_en[3] *225:4 2.93119 -*END - -*D_NET *226 0.000206191 -*CONN -*P mprj_io_analog_pol[3] I -*CAP -1 mprj_io_analog_pol[3] 0.000103095 -2 *226:4 0.000103095 -3 *226:4 *230:4 0 -4 *226:4 *233:4 0 -*RES -1 mprj_io_analog_pol[3] *226:4 2.93119 -*END - -*D_NET *227 0.000206191 -*CONN -*P mprj_io_analog_sel[3] I -*CAP -1 mprj_io_analog_sel[3] 0.000103095 -2 *227:4 0.000103095 -3 *227:4 *229:4 0 -*RES -1 mprj_io_analog_sel[3] *227:4 2.93119 -*END - -*D_NET *228 0.000206191 -*CONN -*P mprj_io_dm[10] I -*CAP -1 mprj_io_dm[10] 0.000103095 -2 *228:4 0.000103095 -*RES -1 mprj_io_dm[10] *228:4 2.93119 -*END - -*D_NET *229 0.000206191 -*CONN -*P mprj_io_dm[11] I -*CAP -1 mprj_io_dm[11] 0.000103095 -2 *229:4 0.000103095 -3 *229:4 *231:4 0 -4 *227:4 *229:4 0 -*RES -1 mprj_io_dm[11] *229:4 2.93119 -*END - -*D_NET *230 0.000206191 -*CONN -*P mprj_io_dm[9] I -*CAP -1 mprj_io_dm[9] 0.000103095 -2 *230:4 0.000103095 -3 *225:4 *230:4 0 -4 *226:4 *230:4 0 -*RES -1 mprj_io_dm[9] *230:4 2.93119 -*END - -*D_NET *231 0.000206191 -*CONN -*P mprj_io_holdover[3] I -*CAP -1 mprj_io_holdover[3] 0.000103095 -2 *231:4 0.000103095 -3 *231:4 *235:4 0 -4 *229:4 *231:4 0 -*RES -1 mprj_io_holdover[3] *231:4 2.93119 -*END - -*D_NET *232 0.000206191 -*CONN -*P mprj_io_ib_mode_sel[3] I -*CAP -1 mprj_io_ib_mode_sel[3] 0.000103095 -2 *232:4 0.000103095 -3 *232:4 *234:4 0 -4 *232:4 *237:4 0 -*RES -1 mprj_io_ib_mode_sel[3] *232:4 2.93119 -*END - -*D_NET *233 0.000206191 -*CONN -*P mprj_io_inp_dis[3] I -*CAP -1 mprj_io_inp_dis[3] 0.000103095 -2 *233:4 0.000103095 -3 *226:4 *233:4 0 -*RES -1 mprj_io_inp_dis[3] *233:4 2.93119 -*END - -*D_NET *234 0.000206191 -*CONN -*P mprj_io_oeb[3] I -*CAP -1 mprj_io_oeb[3] 0.000103095 -2 *234:4 0.000103095 -3 *232:4 *234:4 0 -*RES -1 mprj_io_oeb[3] *234:4 2.93119 -*END - -*D_NET *235 0.000206191 -*CONN -*P mprj_io_out[3] I -*CAP -1 mprj_io_out[3] 0.000103095 -2 *235:4 0.000103095 -3 *231:4 *235:4 0 -*RES -1 mprj_io_out[3] *235:4 2.93119 -*END - -*D_NET *236 0.000206191 -*CONN -*P mprj_io_slow_sel[3] I -*CAP -1 mprj_io_slow_sel[3] 0.000103095 -2 *236:4 0.000103095 -*RES -1 mprj_io_slow_sel[3] *236:4 2.93119 -*END - -*D_NET *237 0.000206191 -*CONN -*P mprj_io_vtrip_sel[3] I -*CAP -1 mprj_io_vtrip_sel[3] 0.000103095 -2 *237:4 0.000103095 -3 *232:4 *237:4 0 -*RES -1 mprj_io_vtrip_sel[3] *237:4 2.93119 -*END - -*D_NET *238 0.000206191 -*CONN -*P mprj_io_in[3] I -*CAP -1 mprj_io_in[3] 0.000103095 -2 *238:4 0.000103095 -*RES -1 mprj_io_in[3] *238:4 2.93119 -*END - -*D_NET *239 0.00529339 -*CONN -*P mprj_io[4] I -*CAP -1 mprj_io[4] 0.00264669 -2 *239:4 0.00264669 -*RES -1 mprj_io[4] *239:4 1.14635 -*END - -*D_NET *240 0.000206191 -*CONN -*P mprj_io_analog_en[4] I -*CAP -1 mprj_io_analog_en[4] 0.000103095 -2 *240:4 0.000103095 -3 *240:4 *243:4 0 -*RES -1 mprj_io_analog_en[4] *240:4 2.93119 -*END - -*D_NET *241 0.000206191 -*CONN -*P mprj_io_analog_pol[4] I -*CAP -1 mprj_io_analog_pol[4] 0.000103095 -2 *241:4 0.000103095 -3 *241:4 *243:4 0 -4 *241:4 *248:4 0 -*RES -1 mprj_io_analog_pol[4] *241:4 2.93119 -*END - -*D_NET *242 0.000206191 -*CONN -*P mprj_io_analog_sel[4] I -*CAP -1 mprj_io_analog_sel[4] 0.000103095 -2 *242:4 0.000103095 -3 *242:4 *245:4 0 -*RES -1 mprj_io_analog_sel[4] *242:4 2.93119 -*END - -*D_NET *243 0.000206191 -*CONN -*P mprj_io_dm[12] I -*CAP -1 mprj_io_dm[12] 0.000103095 -2 *243:4 0.000103095 -3 *240:4 *243:4 0 -4 *241:4 *243:4 0 -*RES -1 mprj_io_dm[12] *243:4 2.93119 -*END - -*D_NET *244 0.000206191 -*CONN -*P mprj_io_dm[13] I -*CAP -1 mprj_io_dm[13] 0.000103095 -2 *244:4 0.000103095 -*RES -1 mprj_io_dm[13] *244:4 2.93119 -*END - -*D_NET *245 0.000206191 -*CONN -*P mprj_io_dm[14] I -*CAP -1 mprj_io_dm[14] 0.000103095 -2 *245:4 0.000103095 -3 *245:4 *246:4 0 -4 *242:4 *245:4 0 -*RES -1 mprj_io_dm[14] *245:4 2.93119 -*END - -*D_NET *246 0.000206191 -*CONN -*P mprj_io_holdover[4] I -*CAP -1 mprj_io_holdover[4] 0.000103095 -2 *246:4 0.000103095 -3 *246:4 *250:4 0 -4 *245:4 *246:4 0 -*RES -1 mprj_io_holdover[4] *246:4 2.93119 -*END - -*D_NET *247 0.000206191 -*CONN -*P mprj_io_ib_mode_sel[4] I -*CAP -1 mprj_io_ib_mode_sel[4] 0.000103095 -2 *247:4 0.000103095 -3 *247:4 *249:4 0 -4 *247:4 *252:4 0 -*RES -1 mprj_io_ib_mode_sel[4] *247:4 2.93119 -*END - -*D_NET *248 0.000206191 -*CONN -*P mprj_io_inp_dis[4] I -*CAP -1 mprj_io_inp_dis[4] 0.000103095 -2 *248:4 0.000103095 -3 *241:4 *248:4 0 -*RES -1 mprj_io_inp_dis[4] *248:4 2.93119 -*END - -*D_NET *249 0.000206191 -*CONN -*P mprj_io_oeb[4] I -*CAP -1 mprj_io_oeb[4] 0.000103095 -2 *249:4 0.000103095 -3 *247:4 *249:4 0 -*RES -1 mprj_io_oeb[4] *249:4 2.93119 -*END - -*D_NET *250 0.000206191 -*CONN -*P mprj_io_out[4] I -*CAP -1 mprj_io_out[4] 0.000103095 -2 *250:4 0.000103095 -3 *246:4 *250:4 0 -*RES -1 mprj_io_out[4] *250:4 2.93119 -*END - -*D_NET *251 0.000206191 -*CONN -*P mprj_io_slow_sel[4] I -*CAP -1 mprj_io_slow_sel[4] 0.000103095 -2 *251:4 0.000103095 -*RES -1 mprj_io_slow_sel[4] *251:4 2.93119 -*END - -*D_NET *252 0.000206191 -*CONN -*P mprj_io_vtrip_sel[4] I -*CAP -1 mprj_io_vtrip_sel[4] 0.000103095 -2 *252:4 0.000103095 -3 *247:4 *252:4 0 -*RES -1 mprj_io_vtrip_sel[4] *252:4 2.93119 -*END - -*D_NET *253 0.000206191 -*CONN -*P mprj_io_in[4] I -*CAP -1 mprj_io_in[4] 0.000103095 -2 *253:4 0.000103095 -*RES -1 mprj_io_in[4] *253:4 2.93119 -*END - -*D_NET *254 0.00529339 -*CONN -*P mprj_io[5] I -*CAP -1 mprj_io[5] 0.00264669 -2 *254:4 0.00264669 -*RES -1 mprj_io[5] *254:4 1.14635 -*END - -*D_NET *255 0.000206191 -*CONN -*P mprj_io_analog_en[5] I -*CAP -1 mprj_io_analog_en[5] 0.000103095 -2 *255:4 0.000103095 -3 *255:4 *258:4 0 -*RES -1 mprj_io_analog_en[5] *255:4 2.93119 -*END - -*D_NET *256 0.000206191 -*CONN -*P mprj_io_analog_pol[5] I -*CAP -1 mprj_io_analog_pol[5] 0.000103095 -2 *256:4 0.000103095 -3 *256:4 *258:4 0 -4 *256:4 *263:4 0 -*RES -1 mprj_io_analog_pol[5] *256:4 2.93119 -*END - -*D_NET *257 0.000206191 -*CONN -*P mprj_io_analog_sel[5] I -*CAP -1 mprj_io_analog_sel[5] 0.000103095 -2 *257:4 0.000103095 -3 *257:4 *260:4 0 -*RES -1 mprj_io_analog_sel[5] *257:4 2.93119 -*END - -*D_NET *258 0.000206191 -*CONN -*P mprj_io_dm[15] I -*CAP -1 mprj_io_dm[15] 0.000103095 -2 *258:4 0.000103095 -3 *255:4 *258:4 0 -4 *256:4 *258:4 0 -*RES -1 mprj_io_dm[15] *258:4 2.93119 -*END - -*D_NET *259 0.000206191 -*CONN -*P mprj_io_dm[16] I -*CAP -1 mprj_io_dm[16] 0.000103095 -2 *259:4 0.000103095 -*RES -1 mprj_io_dm[16] *259:4 2.93119 -*END - -*D_NET *260 0.000206191 -*CONN -*P mprj_io_dm[17] I -*CAP -1 mprj_io_dm[17] 0.000103095 -2 *260:4 0.000103095 -3 *260:4 *261:4 0 -4 *257:4 *260:4 0 -*RES -1 mprj_io_dm[17] *260:4 2.93119 -*END - -*D_NET *261 0.000206191 -*CONN -*P mprj_io_holdover[5] I -*CAP -1 mprj_io_holdover[5] 0.000103095 -2 *261:4 0.000103095 -3 *261:4 *265:4 0 -4 *260:4 *261:4 0 -*RES -1 mprj_io_holdover[5] *261:4 2.93119 -*END - -*D_NET *262 0.000206191 -*CONN -*P mprj_io_ib_mode_sel[5] I -*CAP -1 mprj_io_ib_mode_sel[5] 0.000103095 -2 *262:4 0.000103095 -3 *262:4 *264:4 0 -4 *262:4 *267:4 0 -*RES -1 mprj_io_ib_mode_sel[5] *262:4 2.93119 -*END - -*D_NET *263 0.000206191 -*CONN -*P mprj_io_inp_dis[5] I -*CAP -1 mprj_io_inp_dis[5] 0.000103095 -2 *263:4 0.000103095 -3 *256:4 *263:4 0 -*RES -1 mprj_io_inp_dis[5] *263:4 2.93119 -*END - -*D_NET *264 0.000206191 -*CONN -*P mprj_io_oeb[5] I -*CAP -1 mprj_io_oeb[5] 0.000103095 -2 *264:4 0.000103095 -3 *262:4 *264:4 0 -*RES -1 mprj_io_oeb[5] *264:4 2.93119 -*END - -*D_NET *265 0.000206191 -*CONN -*P mprj_io_out[5] I -*CAP -1 mprj_io_out[5] 0.000103095 -2 *265:4 0.000103095 -3 *261:4 *265:4 0 -*RES -1 mprj_io_out[5] *265:4 2.93119 -*END - -*D_NET *266 0.000206191 -*CONN -*P mprj_io_slow_sel[5] I -*CAP -1 mprj_io_slow_sel[5] 0.000103095 -2 *266:4 0.000103095 -*RES -1 mprj_io_slow_sel[5] *266:4 2.93119 -*END - -*D_NET *267 0.000206191 -*CONN -*P mprj_io_vtrip_sel[5] I -*CAP -1 mprj_io_vtrip_sel[5] 0.000103095 -2 *267:4 0.000103095 -3 *262:4 *267:4 0 -*RES -1 mprj_io_vtrip_sel[5] *267:4 2.93119 -*END - -*D_NET *268 0.000206191 -*CONN -*P mprj_io_in[5] I -*CAP -1 mprj_io_in[5] 0.000103095 -2 *268:4 0.000103095 -*RES -1 mprj_io_in[5] *268:4 2.93119 -*END - -*D_NET *269 0.00529339 -*CONN -*P mprj_io[6] I -*CAP -1 mprj_io[6] 0.00264669 -2 *269:4 0.00264669 -*RES -1 mprj_io[6] *269:4 1.14635 -*END - -*D_NET *270 0.000206191 -*CONN -*P mprj_io_analog_en[6] I -*CAP -1 mprj_io_analog_en[6] 0.000103095 -2 *270:4 0.000103095 -3 *270:4 *273:4 0 -*RES -1 mprj_io_analog_en[6] *270:4 2.93119 -*END - -*D_NET *271 0.000206191 -*CONN -*P mprj_io_analog_pol[6] I -*CAP -1 mprj_io_analog_pol[6] 0.000103095 -2 *271:4 0.000103095 -3 *271:4 *273:4 0 -4 *271:4 *278:4 0 -*RES -1 mprj_io_analog_pol[6] *271:4 2.93119 -*END - -*D_NET *272 0.000206191 -*CONN -*P mprj_io_analog_sel[6] I -*CAP -1 mprj_io_analog_sel[6] 0.000103095 -2 *272:4 0.000103095 -3 *272:4 *275:4 0 -*RES -1 mprj_io_analog_sel[6] *272:4 2.93119 -*END - -*D_NET *273 0.000206191 -*CONN -*P mprj_io_dm[18] I -*CAP -1 mprj_io_dm[18] 0.000103095 -2 *273:4 0.000103095 -3 *270:4 *273:4 0 -4 *271:4 *273:4 0 -*RES -1 mprj_io_dm[18] *273:4 2.93119 -*END - -*D_NET *274 0.000206191 -*CONN -*P mprj_io_dm[19] I -*CAP -1 mprj_io_dm[19] 0.000103095 -2 *274:4 0.000103095 -*RES -1 mprj_io_dm[19] *274:4 2.93119 -*END - -*D_NET *275 0.000206191 -*CONN -*P mprj_io_dm[20] I -*CAP -1 mprj_io_dm[20] 0.000103095 -2 *275:4 0.000103095 -3 *275:4 *276:4 0 -4 *272:4 *275:4 0 -*RES -1 mprj_io_dm[20] *275:4 2.93119 -*END - -*D_NET *276 0.000206191 -*CONN -*P mprj_io_holdover[6] I -*CAP -1 mprj_io_holdover[6] 0.000103095 -2 *276:4 0.000103095 -3 *276:4 *280:4 0 -4 *275:4 *276:4 0 -*RES -1 mprj_io_holdover[6] *276:4 2.93119 -*END - -*D_NET *277 0.000206191 -*CONN -*P mprj_io_ib_mode_sel[6] I -*CAP -1 mprj_io_ib_mode_sel[6] 0.000103095 -2 *277:4 0.000103095 -3 *277:4 *279:4 0 -4 *277:4 *282:4 0 -*RES -1 mprj_io_ib_mode_sel[6] *277:4 2.93119 -*END - -*D_NET *278 0.000206191 -*CONN -*P mprj_io_inp_dis[6] I -*CAP -1 mprj_io_inp_dis[6] 0.000103095 -2 *278:4 0.000103095 -3 *271:4 *278:4 0 -*RES -1 mprj_io_inp_dis[6] *278:4 2.93119 -*END - -*D_NET *279 0.000206191 -*CONN -*P mprj_io_oeb[6] I -*CAP -1 mprj_io_oeb[6] 0.000103095 -2 *279:4 0.000103095 -3 *277:4 *279:4 0 -*RES -1 mprj_io_oeb[6] *279:4 2.93119 -*END - -*D_NET *280 0.000206191 -*CONN -*P mprj_io_out[6] I -*CAP -1 mprj_io_out[6] 0.000103095 -2 *280:4 0.000103095 -3 *276:4 *280:4 0 -*RES -1 mprj_io_out[6] *280:4 2.93119 -*END - -*D_NET *281 0.000206191 -*CONN -*P mprj_io_slow_sel[6] I -*CAP -1 mprj_io_slow_sel[6] 0.000103095 -2 *281:4 0.000103095 -*RES -1 mprj_io_slow_sel[6] *281:4 2.93119 -*END - -*D_NET *282 0.000206191 -*CONN -*P mprj_io_vtrip_sel[6] I -*CAP -1 mprj_io_vtrip_sel[6] 0.000103095 -2 *282:4 0.000103095 -3 *277:4 *282:4 0 -*RES -1 mprj_io_vtrip_sel[6] *282:4 2.93119 -*END - -*D_NET *283 0.000206191 -*CONN -*P mprj_io_in[6] I -*CAP -1 mprj_io_in[6] 0.000103095 -2 *283:4 0.000103095 -*RES -1 mprj_io_in[6] *283:4 2.93119 -*END - -*D_NET *284 0.000206191 -*CONN -*P mprj_analog_io[0] I -*CAP -1 mprj_analog_io[0] 0.000103095 -2 *284:4 0.000103095 -3 *284:4 *297:4 0 -*RES -1 mprj_analog_io[0] *284:4 2.93119 -*END - -*D_NET *285 0.00529339 -*CONN -*P mprj_io[7] I -*CAP -1 mprj_io[7] 0.00264669 -2 *285:4 0.00264669 -*RES -1 mprj_io[7] *285:4 1.14635 -*END - -*D_NET *286 0.000206191 -*CONN -*P mprj_io_analog_en[7] I -*CAP -1 mprj_io_analog_en[7] 0.000103095 -2 *286:4 0.000103095 -3 *286:4 *289:4 0 -*RES -1 mprj_io_analog_en[7] *286:4 2.93119 -*END - -*D_NET *287 0.000206191 -*CONN -*P mprj_io_analog_pol[7] I -*CAP -1 mprj_io_analog_pol[7] 0.000103095 -2 *287:4 0.000103095 -3 *287:4 *289:4 0 -4 *287:4 *294:4 0 -*RES -1 mprj_io_analog_pol[7] *287:4 2.93119 -*END - -*D_NET *288 0.000206191 -*CONN -*P mprj_io_analog_sel[7] I -*CAP -1 mprj_io_analog_sel[7] 0.000103095 -2 *288:4 0.000103095 -3 *288:4 *291:4 0 -*RES -1 mprj_io_analog_sel[7] *288:4 2.93119 -*END - -*D_NET *289 0.000206191 -*CONN -*P mprj_io_dm[21] I -*CAP -1 mprj_io_dm[21] 0.000103095 -2 *289:4 0.000103095 -3 *286:4 *289:4 0 -4 *287:4 *289:4 0 -*RES -1 mprj_io_dm[21] *289:4 2.93119 -*END - -*D_NET *290 0.000206191 -*CONN -*P mprj_io_dm[22] I -*CAP -1 mprj_io_dm[22] 0.000103095 -2 *290:4 0.000103095 -*RES -1 mprj_io_dm[22] *290:4 2.93119 -*END - -*D_NET *291 0.000206191 -*CONN -*P mprj_io_dm[23] I -*CAP -1 mprj_io_dm[23] 0.000103095 -2 *291:4 0.000103095 -3 *291:4 *292:4 0 -4 *288:4 *291:4 0 -*RES -1 mprj_io_dm[23] *291:4 2.93119 -*END - -*D_NET *292 0.000206191 -*CONN -*P mprj_io_holdover[7] I -*CAP -1 mprj_io_holdover[7] 0.000103095 -2 *292:4 0.000103095 -3 *292:4 *296:4 0 -4 *291:4 *292:4 0 -*RES -1 mprj_io_holdover[7] *292:4 2.93119 -*END - -*D_NET *293 0.000206191 -*CONN -*P mprj_io_ib_mode_sel[7] I -*CAP -1 mprj_io_ib_mode_sel[7] 0.000103095 -2 *293:4 0.000103095 -3 *293:4 *295:4 0 -4 *293:4 *298:4 0 -*RES -1 mprj_io_ib_mode_sel[7] *293:4 2.93119 -*END - -*D_NET *294 0.000206191 -*CONN -*P mprj_io_inp_dis[7] I -*CAP -1 mprj_io_inp_dis[7] 0.000103095 -2 *294:4 0.000103095 -3 *287:4 *294:4 0 -*RES -1 mprj_io_inp_dis[7] *294:4 2.93119 -*END - -*D_NET *295 0.000206191 -*CONN -*P mprj_io_oeb[7] I -*CAP -1 mprj_io_oeb[7] 0.000103095 -2 *295:4 0.000103095 -3 *293:4 *295:4 0 -*RES -1 mprj_io_oeb[7] *295:4 2.93119 -*END - -*D_NET *296 0.000206191 -*CONN -*P mprj_io_out[7] I -*CAP -1 mprj_io_out[7] 0.000103095 -2 *296:4 0.000103095 -3 *292:4 *296:4 0 -*RES -1 mprj_io_out[7] *296:4 2.93119 -*END - -*D_NET *297 0.000206191 -*CONN -*P mprj_io_slow_sel[7] I -*CAP -1 mprj_io_slow_sel[7] 0.000103095 -2 *297:4 0.000103095 -3 *284:4 *297:4 0 -*RES -1 mprj_io_slow_sel[7] *297:4 2.93119 -*END - -*D_NET *298 0.000206191 -*CONN -*P mprj_io_vtrip_sel[7] I -*CAP -1 mprj_io_vtrip_sel[7] 0.000103095 -2 *298:4 0.000103095 -3 *293:4 *298:4 0 -*RES -1 mprj_io_vtrip_sel[7] *298:4 2.93119 -*END - -*D_NET *299 0.000206191 -*CONN -*P mprj_io_in[7] I -*CAP -1 mprj_io_in[7] 0.000103095 -2 *299:4 0.000103095 -*RES -1 mprj_io_in[7] *299:4 2.93119 -*END - -*D_NET *300 0.000206191 -*CONN -*P mprj_analog_io[1] I -*CAP -1 mprj_analog_io[1] 0.000103095 -2 *300:4 0.000103095 -3 *300:4 *313:4 0 -*RES -1 mprj_analog_io[1] *300:4 2.93119 -*END - -*D_NET *301 0.00529339 -*CONN -*P mprj_io[8] I -*CAP -1 mprj_io[8] 0.00264669 -2 *301:4 0.00264669 -*RES -1 mprj_io[8] *301:4 1.14635 -*END - -*D_NET *302 0.000206191 -*CONN -*P mprj_io_analog_en[8] I -*CAP -1 mprj_io_analog_en[8] 0.000103095 -2 *302:4 0.000103095 -3 *302:4 *305:4 0 -*RES -1 mprj_io_analog_en[8] *302:4 2.93119 -*END - -*D_NET *303 0.000206191 -*CONN -*P mprj_io_analog_pol[8] I -*CAP -1 mprj_io_analog_pol[8] 0.000103095 -2 *303:4 0.000103095 -3 *303:4 *305:4 0 -4 *303:4 *310:4 0 -*RES -1 mprj_io_analog_pol[8] *303:4 2.93119 -*END - -*D_NET *304 0.000206191 -*CONN -*P mprj_io_analog_sel[8] I -*CAP -1 mprj_io_analog_sel[8] 0.000103095 -2 *304:4 0.000103095 -3 *304:4 *307:4 0 -*RES -1 mprj_io_analog_sel[8] *304:4 2.93119 -*END - -*D_NET *305 0.000206191 -*CONN -*P mprj_io_dm[24] I -*CAP -1 mprj_io_dm[24] 0.000103095 -2 *305:4 0.000103095 -3 *302:4 *305:4 0 -4 *303:4 *305:4 0 -*RES -1 mprj_io_dm[24] *305:4 2.93119 -*END - -*D_NET *306 0.000206191 -*CONN -*P mprj_io_dm[25] I -*CAP -1 mprj_io_dm[25] 0.000103095 -2 *306:4 0.000103095 -*RES -1 mprj_io_dm[25] *306:4 2.93119 -*END - -*D_NET *307 0.000206191 -*CONN -*P mprj_io_dm[26] I -*CAP -1 mprj_io_dm[26] 0.000103095 -2 *307:4 0.000103095 -3 *307:4 *308:4 0 -4 *304:4 *307:4 0 -*RES -1 mprj_io_dm[26] *307:4 2.93119 -*END - -*D_NET *308 0.000206191 -*CONN -*P mprj_io_holdover[8] I -*CAP -1 mprj_io_holdover[8] 0.000103095 -2 *308:4 0.000103095 -3 *308:4 *312:4 0 -4 *307:4 *308:4 0 -*RES -1 mprj_io_holdover[8] *308:4 2.93119 -*END - -*D_NET *309 0.000206191 -*CONN -*P mprj_io_ib_mode_sel[8] I -*CAP -1 mprj_io_ib_mode_sel[8] 0.000103095 -2 *309:4 0.000103095 -3 *309:4 *311:4 0 -4 *309:4 *314:4 0 -*RES -1 mprj_io_ib_mode_sel[8] *309:4 2.93119 -*END - -*D_NET *310 0.000206191 -*CONN -*P mprj_io_inp_dis[8] I -*CAP -1 mprj_io_inp_dis[8] 0.000103095 -2 *310:4 0.000103095 -3 *303:4 *310:4 0 -*RES -1 mprj_io_inp_dis[8] *310:4 2.93119 -*END - -*D_NET *311 0.000206191 -*CONN -*P mprj_io_oeb[8] I -*CAP -1 mprj_io_oeb[8] 0.000103095 -2 *311:4 0.000103095 -3 *309:4 *311:4 0 -*RES -1 mprj_io_oeb[8] *311:4 2.93119 -*END - -*D_NET *312 0.000206191 -*CONN -*P mprj_io_out[8] I -*CAP -1 mprj_io_out[8] 0.000103095 -2 *312:4 0.000103095 -3 *308:4 *312:4 0 -*RES -1 mprj_io_out[8] *312:4 2.93119 -*END - -*D_NET *313 0.000206191 -*CONN -*P mprj_io_slow_sel[8] I -*CAP -1 mprj_io_slow_sel[8] 0.000103095 -2 *313:4 0.000103095 -3 *300:4 *313:4 0 -*RES -1 mprj_io_slow_sel[8] *313:4 2.93119 -*END - -*D_NET *314 0.000206191 -*CONN -*P mprj_io_vtrip_sel[8] I -*CAP -1 mprj_io_vtrip_sel[8] 0.000103095 -2 *314:4 0.000103095 -3 *309:4 *314:4 0 -*RES -1 mprj_io_vtrip_sel[8] *314:4 2.93119 -*END - -*D_NET *315 0.000206191 -*CONN -*P mprj_io_in[8] I -*CAP -1 mprj_io_in[8] 0.000103095 -2 *315:4 0.000103095 -*RES -1 mprj_io_in[8] *315:4 2.93119 -*END - -*D_NET *316 0.000206191 -*CONN -*P mprj_analog_io[2] I -*CAP -1 mprj_analog_io[2] 0.000103095 -2 *316:4 0.000103095 -3 *316:4 *329:4 0 -*RES -1 mprj_analog_io[2] *316:4 2.93119 -*END - -*D_NET *317 0.00529339 -*CONN -*P mprj_io[9] I -*CAP -1 mprj_io[9] 0.00264669 -2 *317:4 0.00264669 -*RES -1 mprj_io[9] *317:4 1.14635 -*END - -*D_NET *318 0.000206191 -*CONN -*P mprj_io_analog_en[9] I -*CAP -1 mprj_io_analog_en[9] 0.000103095 -2 *318:4 0.000103095 -3 *318:4 *321:4 0 -*RES -1 mprj_io_analog_en[9] *318:4 2.93119 -*END - -*D_NET *319 0.000206191 -*CONN -*P mprj_io_analog_pol[9] I -*CAP -1 mprj_io_analog_pol[9] 0.000103095 -2 *319:4 0.000103095 -3 *319:4 *321:4 0 -4 *319:4 *326:4 0 -*RES -1 mprj_io_analog_pol[9] *319:4 2.93119 -*END - -*D_NET *320 0.000206191 -*CONN -*P mprj_io_analog_sel[9] I -*CAP -1 mprj_io_analog_sel[9] 0.000103095 -2 *320:4 0.000103095 -3 *320:4 *323:4 0 -*RES -1 mprj_io_analog_sel[9] *320:4 2.93119 -*END - -*D_NET *321 0.000206191 -*CONN -*P mprj_io_dm[27] I -*CAP -1 mprj_io_dm[27] 0.000103095 -2 *321:4 0.000103095 -3 *318:4 *321:4 0 -4 *319:4 *321:4 0 -*RES -1 mprj_io_dm[27] *321:4 2.93119 -*END - -*D_NET *322 0.000206191 -*CONN -*P mprj_io_dm[28] I -*CAP -1 mprj_io_dm[28] 0.000103095 -2 *322:4 0.000103095 -*RES -1 mprj_io_dm[28] *322:4 2.93119 -*END - -*D_NET *323 0.000206191 -*CONN -*P mprj_io_dm[29] I -*CAP -1 mprj_io_dm[29] 0.000103095 -2 *323:4 0.000103095 -3 *323:4 *324:4 0 -4 *320:4 *323:4 0 -*RES -1 mprj_io_dm[29] *323:4 2.93119 -*END - -*D_NET *324 0.000206191 -*CONN -*P mprj_io_holdover[9] I -*CAP -1 mprj_io_holdover[9] 0.000103095 -2 *324:4 0.000103095 -3 *324:4 *328:4 0 -4 *323:4 *324:4 0 -*RES -1 mprj_io_holdover[9] *324:4 2.93119 -*END - -*D_NET *325 0.000206191 -*CONN -*P mprj_io_ib_mode_sel[9] I -*CAP -1 mprj_io_ib_mode_sel[9] 0.000103095 -2 *325:4 0.000103095 -3 *325:4 *327:4 0 -4 *325:4 *330:4 0 -*RES -1 mprj_io_ib_mode_sel[9] *325:4 2.93119 -*END - -*D_NET *326 0.000206191 -*CONN -*P mprj_io_inp_dis[9] I -*CAP -1 mprj_io_inp_dis[9] 0.000103095 -2 *326:4 0.000103095 -3 *319:4 *326:4 0 -*RES -1 mprj_io_inp_dis[9] *326:4 2.93119 -*END - -*D_NET *327 0.000206191 -*CONN -*P mprj_io_oeb[9] I -*CAP -1 mprj_io_oeb[9] 0.000103095 -2 *327:4 0.000103095 -3 *325:4 *327:4 0 -*RES -1 mprj_io_oeb[9] *327:4 2.93119 -*END - -*D_NET *328 0.000206191 -*CONN -*P mprj_io_out[9] I -*CAP -1 mprj_io_out[9] 0.000103095 -2 *328:4 0.000103095 -3 *324:4 *328:4 0 -*RES -1 mprj_io_out[9] *328:4 2.93119 -*END - -*D_NET *329 0.000206191 -*CONN -*P mprj_io_slow_sel[9] I -*CAP -1 mprj_io_slow_sel[9] 0.000103095 -2 *329:4 0.000103095 -3 *316:4 *329:4 0 -*RES -1 mprj_io_slow_sel[9] *329:4 2.93119 -*END - -*D_NET *330 0.000206191 -*CONN -*P mprj_io_vtrip_sel[9] I -*CAP -1 mprj_io_vtrip_sel[9] 0.000103095 -2 *330:4 0.000103095 -3 *325:4 *330:4 0 -*RES -1 mprj_io_vtrip_sel[9] *330:4 2.93119 -*END - -*D_NET *331 0.000206191 -*CONN -*P mprj_io_in[9] I -*CAP -1 mprj_io_in[9] 0.000103095 -2 *331:4 0.000103095 -*RES -1 mprj_io_in[9] *331:4 2.93119 -*END - -*D_NET *332 2.40556e-05 -*CONN -*P mprj_analog_io[12] I -*CAP -1 mprj_analog_io[12] 1.20278e-05 -2 *332:4 1.20278e-05 -*RES -1 mprj_analog_io[12] *332:4 0.341972 -*END - -*D_NET *334 2.40556e-05 -*CONN -*P mprj_io_analog_en[19] I -*CAP -1 mprj_io_analog_en[19] 1.20278e-05 -2 *334:4 1.20278e-05 -*RES -1 mprj_io_analog_en[19] *334:4 0.341972 -*END - -*D_NET *335 2.40556e-05 -*CONN -*P mprj_io_analog_pol[19] I -*CAP -1 mprj_io_analog_pol[19] 1.20278e-05 -2 *335:4 1.20278e-05 -*RES -1 mprj_io_analog_pol[19] *335:4 0.341972 -*END - -*D_NET *336 2.40556e-05 -*CONN -*P mprj_io_analog_sel[19] I -*CAP -1 mprj_io_analog_sel[19] 1.20278e-05 -2 *336:4 1.20278e-05 -*RES -1 mprj_io_analog_sel[19] *336:4 0.341972 -*END - -*D_NET *337 2.40556e-05 -*CONN -*P mprj_io_dm[57] I -*CAP -1 mprj_io_dm[57] 1.20278e-05 -2 *337:4 1.20278e-05 -*RES -1 mprj_io_dm[57] *337:4 0.341972 -*END - -*D_NET *338 2.40556e-05 -*CONN -*P mprj_io_dm[58] I -*CAP -1 mprj_io_dm[58] 1.20278e-05 -2 *338:4 1.20278e-05 -*RES -1 mprj_io_dm[58] *338:4 0.341972 -*END - -*D_NET *339 2.40556e-05 -*CONN -*P mprj_io_dm[59] I -*CAP -1 mprj_io_dm[59] 1.20278e-05 -2 *339:4 1.20278e-05 -*RES -1 mprj_io_dm[59] *339:4 0.341972 -*END - -*D_NET *340 2.40556e-05 -*CONN -*P mprj_io_holdover[19] I -*CAP -1 mprj_io_holdover[19] 1.20278e-05 -2 *340:4 1.20278e-05 -*RES -1 mprj_io_holdover[19] *340:4 0.341972 -*END - -*D_NET *341 2.40556e-05 -*CONN -*P mprj_io_ib_mode_sel[19] I -*CAP -1 mprj_io_ib_mode_sel[19] 1.20278e-05 -2 *341:4 1.20278e-05 -*RES -1 mprj_io_ib_mode_sel[19] *341:4 0.341972 -*END - -*D_NET *342 2.40556e-05 -*CONN -*P mprj_io_inp_dis[19] I -*CAP -1 mprj_io_inp_dis[19] 1.20278e-05 -2 *342:4 1.20278e-05 -*RES -1 mprj_io_inp_dis[19] *342:4 0.341972 -*END - -*D_NET *343 2.40556e-05 -*CONN -*P mprj_io_oeb[19] I -*CAP -1 mprj_io_oeb[19] 1.20278e-05 -2 *343:4 1.20278e-05 -*RES -1 mprj_io_oeb[19] *343:4 0.341972 -*END - -*D_NET *344 2.40556e-05 -*CONN -*P mprj_io_out[19] I -*CAP -1 mprj_io_out[19] 1.20278e-05 -2 *344:4 1.20278e-05 -*RES -1 mprj_io_out[19] *344:4 0.341972 -*END - -*D_NET *345 2.40556e-05 -*CONN -*P mprj_io_slow_sel[19] I -*CAP -1 mprj_io_slow_sel[19] 1.20278e-05 -2 *345:4 1.20278e-05 -*RES -1 mprj_io_slow_sel[19] *345:4 0.341972 -*END - -*D_NET *346 2.40556e-05 -*CONN -*P mprj_io_vtrip_sel[19] I -*CAP -1 mprj_io_vtrip_sel[19] 1.20278e-05 -2 *346:4 1.20278e-05 -*RES -1 mprj_io_vtrip_sel[19] *346:4 0.341972 -*END - -*D_NET *347 2.40556e-05 -*CONN -*P mprj_io_in[19] I -*CAP -1 mprj_io_in[19] 1.20278e-05 -2 *347:4 1.20278e-05 -*RES -1 mprj_io_in[19] *347:4 0.341972 -*END - -*D_NET *348 0.000206191 -*CONN -*P mprj_analog_io[22] I -*CAP -1 mprj_analog_io[22] 0.000103095 -2 *348:4 0.000103095 -3 *348:4 *361:4 0 -*RES -1 mprj_analog_io[22] *348:4 2.93119 -*END - -*D_NET *350 0.000206191 -*CONN -*P mprj_io_analog_en[29] I -*CAP -1 mprj_io_analog_en[29] 0.000103095 -2 *350:4 0.000103095 -3 *350:4 *353:4 0 -*RES -1 mprj_io_analog_en[29] *350:4 2.93119 -*END - -*D_NET *351 0.000206191 -*CONN -*P mprj_io_analog_pol[29] I -*CAP -1 mprj_io_analog_pol[29] 0.000103095 -2 *351:4 0.000103095 -3 *351:4 *353:4 0 -4 *351:4 *358:4 0 -*RES -1 mprj_io_analog_pol[29] *351:4 2.93119 -*END - -*D_NET *352 0.000206191 -*CONN -*P mprj_io_analog_sel[29] I -*CAP -1 mprj_io_analog_sel[29] 0.000103095 -2 *352:4 0.000103095 -3 *352:4 *355:4 0 -*RES -1 mprj_io_analog_sel[29] *352:4 2.93119 -*END - -*D_NET *353 0.000206191 -*CONN -*P mprj_io_dm[87] I -*CAP -1 mprj_io_dm[87] 0.000103095 -2 *353:4 0.000103095 -3 *350:4 *353:4 0 -4 *351:4 *353:4 0 -*RES -1 mprj_io_dm[87] *353:4 2.93119 -*END - -*D_NET *354 0.000206191 -*CONN -*P mprj_io_dm[88] I -*CAP -1 mprj_io_dm[88] 0.000103095 -2 *354:4 0.000103095 -*RES -1 mprj_io_dm[88] *354:4 2.93119 -*END - -*D_NET *355 0.000206191 -*CONN -*P mprj_io_dm[89] I -*CAP -1 mprj_io_dm[89] 0.000103095 -2 *355:4 0.000103095 -3 *355:4 *356:4 0 -4 *352:4 *355:4 0 -*RES -1 mprj_io_dm[89] *355:4 2.93119 -*END - -*D_NET *356 0.000206191 -*CONN -*P mprj_io_holdover[29] I -*CAP -1 mprj_io_holdover[29] 0.000103095 -2 *356:4 0.000103095 -3 *356:4 *360:4 0 -4 *355:4 *356:4 0 -*RES -1 mprj_io_holdover[29] *356:4 2.93119 -*END - -*D_NET *357 0.000206191 -*CONN -*P mprj_io_ib_mode_sel[29] I -*CAP -1 mprj_io_ib_mode_sel[29] 0.000103095 -2 *357:4 0.000103095 -3 *357:4 *359:4 0 -4 *357:4 *362:4 0 -*RES -1 mprj_io_ib_mode_sel[29] *357:4 2.93119 -*END - -*D_NET *358 0.000206191 -*CONN -*P mprj_io_inp_dis[29] I -*CAP -1 mprj_io_inp_dis[29] 0.000103095 -2 *358:4 0.000103095 -3 *351:4 *358:4 0 -*RES -1 mprj_io_inp_dis[29] *358:4 2.93119 -*END - -*D_NET *359 0.000206191 -*CONN -*P mprj_io_oeb[29] I -*CAP -1 mprj_io_oeb[29] 0.000103095 -2 *359:4 0.000103095 -3 *357:4 *359:4 0 -*RES -1 mprj_io_oeb[29] *359:4 2.93119 -*END - -*D_NET *360 0.000206191 -*CONN -*P mprj_io_out[29] I -*CAP -1 mprj_io_out[29] 0.000103095 -2 *360:4 0.000103095 -3 *356:4 *360:4 0 -*RES -1 mprj_io_out[29] *360:4 2.93119 -*END - -*D_NET *361 0.000206191 -*CONN -*P mprj_io_slow_sel[29] I -*CAP -1 mprj_io_slow_sel[29] 0.000103095 -2 *361:4 0.000103095 -3 *348:4 *361:4 0 -*RES -1 mprj_io_slow_sel[29] *361:4 2.93119 -*END - -*D_NET *362 0.000206191 -*CONN -*P mprj_io_vtrip_sel[29] I -*CAP -1 mprj_io_vtrip_sel[29] 0.000103095 -2 *362:4 0.000103095 -3 *357:4 *362:4 0 -*RES -1 mprj_io_vtrip_sel[29] *362:4 2.93119 -*END - -*D_NET *363 0.000206191 -*CONN -*P mprj_io_in[29] I -*CAP -1 mprj_io_in[29] 0.000103095 -2 *363:4 0.000103095 -*RES -1 mprj_io_in[29] *363:4 2.93119 -*END - -*D_NET *364 0.000206191 -*CONN -*P mprj_analog_io[23] I -*CAP -1 mprj_analog_io[23] 0.000103095 -2 *364:4 0.000103095 -3 *364:4 *377:4 0 -*RES -1 mprj_analog_io[23] *364:4 2.93119 -*END - -*D_NET *366 0.000206191 -*CONN -*P mprj_io_analog_en[30] I -*CAP -1 mprj_io_analog_en[30] 0.000103095 -2 *366:4 0.000103095 -3 *366:4 *369:4 0 -*RES -1 mprj_io_analog_en[30] *366:4 2.93119 -*END - -*D_NET *367 0.000206191 -*CONN -*P mprj_io_analog_pol[30] I -*CAP -1 mprj_io_analog_pol[30] 0.000103095 -2 *367:4 0.000103095 -3 *367:4 *369:4 0 -4 *367:4 *374:4 0 -*RES -1 mprj_io_analog_pol[30] *367:4 2.93119 -*END - -*D_NET *368 0.000206191 -*CONN -*P mprj_io_analog_sel[30] I -*CAP -1 mprj_io_analog_sel[30] 0.000103095 -2 *368:4 0.000103095 -3 *368:4 *371:4 0 -*RES -1 mprj_io_analog_sel[30] *368:4 2.93119 -*END - -*D_NET *369 0.000206191 -*CONN -*P mprj_io_dm[90] I -*CAP -1 mprj_io_dm[90] 0.000103095 -2 *369:4 0.000103095 -3 *366:4 *369:4 0 -4 *367:4 *369:4 0 -*RES -1 mprj_io_dm[90] *369:4 2.93119 -*END - -*D_NET *370 0.000206191 -*CONN -*P mprj_io_dm[91] I -*CAP -1 mprj_io_dm[91] 0.000103095 -2 *370:4 0.000103095 -*RES -1 mprj_io_dm[91] *370:4 2.93119 -*END - -*D_NET *371 0.000206191 -*CONN -*P mprj_io_dm[92] I -*CAP -1 mprj_io_dm[92] 0.000103095 -2 *371:4 0.000103095 -3 *371:4 *372:4 0 -4 *368:4 *371:4 0 -*RES -1 mprj_io_dm[92] *371:4 2.93119 -*END - -*D_NET *372 0.000206191 -*CONN -*P mprj_io_holdover[30] I -*CAP -1 mprj_io_holdover[30] 0.000103095 -2 *372:4 0.000103095 -3 *372:4 *376:4 0 -4 *371:4 *372:4 0 -*RES -1 mprj_io_holdover[30] *372:4 2.93119 -*END - -*D_NET *373 0.000206191 -*CONN -*P mprj_io_ib_mode_sel[30] I -*CAP -1 mprj_io_ib_mode_sel[30] 0.000103095 -2 *373:4 0.000103095 -3 *373:4 *375:4 0 -4 *373:4 *378:4 0 -*RES -1 mprj_io_ib_mode_sel[30] *373:4 2.93119 -*END - -*D_NET *374 0.000206191 -*CONN -*P mprj_io_inp_dis[30] I -*CAP -1 mprj_io_inp_dis[30] 0.000103095 -2 *374:4 0.000103095 -3 *367:4 *374:4 0 -*RES -1 mprj_io_inp_dis[30] *374:4 2.93119 -*END - -*D_NET *375 0.000206191 -*CONN -*P mprj_io_oeb[30] I -*CAP -1 mprj_io_oeb[30] 0.000103095 -2 *375:4 0.000103095 -3 *373:4 *375:4 0 -*RES -1 mprj_io_oeb[30] *375:4 2.93119 -*END - -*D_NET *376 0.000206191 -*CONN -*P mprj_io_out[30] I -*CAP -1 mprj_io_out[30] 0.000103095 -2 *376:4 0.000103095 -3 *372:4 *376:4 0 -*RES -1 mprj_io_out[30] *376:4 2.93119 -*END - -*D_NET *377 0.000206191 -*CONN -*P mprj_io_slow_sel[30] I -*CAP -1 mprj_io_slow_sel[30] 0.000103095 -2 *377:4 0.000103095 -3 *364:4 *377:4 0 -*RES -1 mprj_io_slow_sel[30] *377:4 2.93119 -*END - -*D_NET *378 0.000206191 -*CONN -*P mprj_io_vtrip_sel[30] I -*CAP -1 mprj_io_vtrip_sel[30] 0.000103095 -2 *378:4 0.000103095 -3 *373:4 *378:4 0 -*RES -1 mprj_io_vtrip_sel[30] *378:4 2.93119 -*END - -*D_NET *379 0.000206191 -*CONN -*P mprj_io_in[30] I -*CAP -1 mprj_io_in[30] 0.000103095 -2 *379:4 0.000103095 -*RES -1 mprj_io_in[30] *379:4 2.93119 -*END - -*D_NET *380 0.000206191 -*CONN -*P mprj_analog_io[24] I -*CAP -1 mprj_analog_io[24] 0.000103095 -2 *380:4 0.000103095 -3 *380:4 *393:4 0 -*RES -1 mprj_analog_io[24] *380:4 2.93119 -*END - -*D_NET *382 0.000206191 -*CONN -*P mprj_io_analog_en[31] I -*CAP -1 mprj_io_analog_en[31] 0.000103095 -2 *382:4 0.000103095 -3 *382:4 *385:4 0 -*RES -1 mprj_io_analog_en[31] *382:4 2.93119 -*END - -*D_NET *383 0.000206191 -*CONN -*P mprj_io_analog_pol[31] I -*CAP -1 mprj_io_analog_pol[31] 0.000103095 -2 *383:4 0.000103095 -3 *383:4 *385:4 0 -4 *383:4 *390:4 0 -*RES -1 mprj_io_analog_pol[31] *383:4 2.93119 -*END - -*D_NET *384 0.000206191 -*CONN -*P mprj_io_analog_sel[31] I -*CAP -1 mprj_io_analog_sel[31] 0.000103095 -2 *384:4 0.000103095 -3 *384:4 *387:4 0 -*RES -1 mprj_io_analog_sel[31] *384:4 2.93119 -*END - -*D_NET *385 0.000206191 -*CONN -*P mprj_io_dm[93] I -*CAP -1 mprj_io_dm[93] 0.000103095 -2 *385:4 0.000103095 -3 *382:4 *385:4 0 -4 *383:4 *385:4 0 -*RES -1 mprj_io_dm[93] *385:4 2.93119 -*END - -*D_NET *386 0.000206191 -*CONN -*P mprj_io_dm[94] I -*CAP -1 mprj_io_dm[94] 0.000103095 -2 *386:4 0.000103095 -*RES -1 mprj_io_dm[94] *386:4 2.93119 -*END - -*D_NET *387 0.000206191 -*CONN -*P mprj_io_dm[95] I -*CAP -1 mprj_io_dm[95] 0.000103095 -2 *387:4 0.000103095 -3 *387:4 *388:4 0 -4 *384:4 *387:4 0 -*RES -1 mprj_io_dm[95] *387:4 2.93119 -*END - -*D_NET *388 0.000206191 -*CONN -*P mprj_io_holdover[31] I -*CAP -1 mprj_io_holdover[31] 0.000103095 -2 *388:4 0.000103095 -3 *388:4 *392:4 0 -4 *387:4 *388:4 0 -*RES -1 mprj_io_holdover[31] *388:4 2.93119 -*END - -*D_NET *389 0.000206191 -*CONN -*P mprj_io_ib_mode_sel[31] I -*CAP -1 mprj_io_ib_mode_sel[31] 0.000103095 -2 *389:4 0.000103095 -3 *389:4 *391:4 0 -4 *389:4 *394:4 0 -*RES -1 mprj_io_ib_mode_sel[31] *389:4 2.93119 -*END - -*D_NET *390 0.000206191 -*CONN -*P mprj_io_inp_dis[31] I -*CAP -1 mprj_io_inp_dis[31] 0.000103095 -2 *390:4 0.000103095 -3 *383:4 *390:4 0 -*RES -1 mprj_io_inp_dis[31] *390:4 2.93119 -*END - -*D_NET *391 0.000206191 -*CONN -*P mprj_io_oeb[31] I -*CAP -1 mprj_io_oeb[31] 0.000103095 -2 *391:4 0.000103095 -3 *389:4 *391:4 0 -*RES -1 mprj_io_oeb[31] *391:4 2.93119 -*END - -*D_NET *392 0.000206191 -*CONN -*P mprj_io_out[31] I -*CAP -1 mprj_io_out[31] 0.000103095 -2 *392:4 0.000103095 -3 *388:4 *392:4 0 -*RES -1 mprj_io_out[31] *392:4 2.93119 -*END - -*D_NET *393 0.000206191 -*CONN -*P mprj_io_slow_sel[31] I -*CAP -1 mprj_io_slow_sel[31] 0.000103095 -2 *393:4 0.000103095 -3 *380:4 *393:4 0 -*RES -1 mprj_io_slow_sel[31] *393:4 2.93119 -*END - -*D_NET *394 0.000206191 -*CONN -*P mprj_io_vtrip_sel[31] I -*CAP -1 mprj_io_vtrip_sel[31] 0.000103095 -2 *394:4 0.000103095 -3 *389:4 *394:4 0 -*RES -1 mprj_io_vtrip_sel[31] *394:4 2.93119 -*END - -*D_NET *395 0.000206191 -*CONN -*P mprj_io_in[31] I -*CAP -1 mprj_io_in[31] 0.000103095 -2 *395:4 0.000103095 -*RES -1 mprj_io_in[31] *395:4 2.93119 -*END - -*D_NET *396 0.000206191 -*CONN -*P mprj_analog_io[25] I -*CAP -1 mprj_analog_io[25] 0.000103095 -2 *396:4 0.000103095 -3 *396:4 *409:4 0 -*RES -1 mprj_analog_io[25] *396:4 2.93119 -*END - -*D_NET *398 0.000206191 -*CONN -*P mprj_io_analog_en[32] I -*CAP -1 mprj_io_analog_en[32] 0.000103095 -2 *398:4 0.000103095 -3 *398:4 *401:4 0 -*RES -1 mprj_io_analog_en[32] *398:4 2.93119 -*END - -*D_NET *399 0.000206191 -*CONN -*P mprj_io_analog_pol[32] I -*CAP -1 mprj_io_analog_pol[32] 0.000103095 -2 *399:4 0.000103095 -3 *399:4 *401:4 0 -4 *399:4 *406:4 0 -*RES -1 mprj_io_analog_pol[32] *399:4 2.93119 -*END - -*D_NET *400 0.000206191 -*CONN -*P mprj_io_analog_sel[32] I -*CAP -1 mprj_io_analog_sel[32] 0.000103095 -2 *400:4 0.000103095 -3 *400:4 *403:4 0 -*RES -1 mprj_io_analog_sel[32] *400:4 2.93119 -*END - -*D_NET *401 0.000206191 -*CONN -*P mprj_io_dm[96] I -*CAP -1 mprj_io_dm[96] 0.000103095 -2 *401:4 0.000103095 -3 *398:4 *401:4 0 -4 *399:4 *401:4 0 -*RES -1 mprj_io_dm[96] *401:4 2.93119 -*END - -*D_NET *402 0.000206191 -*CONN -*P mprj_io_dm[97] I -*CAP -1 mprj_io_dm[97] 0.000103095 -2 *402:4 0.000103095 -*RES -1 mprj_io_dm[97] *402:4 2.93119 -*END - -*D_NET *403 0.000206191 -*CONN -*P mprj_io_dm[98] I -*CAP -1 mprj_io_dm[98] 0.000103095 -2 *403:4 0.000103095 -3 *403:4 *404:4 0 -4 *400:4 *403:4 0 -*RES -1 mprj_io_dm[98] *403:4 2.93119 -*END - -*D_NET *404 0.000206191 -*CONN -*P mprj_io_holdover[32] I -*CAP -1 mprj_io_holdover[32] 0.000103095 -2 *404:4 0.000103095 -3 *404:4 *408:4 0 -4 *403:4 *404:4 0 -*RES -1 mprj_io_holdover[32] *404:4 2.93119 -*END - -*D_NET *405 0.000206191 -*CONN -*P mprj_io_ib_mode_sel[32] I -*CAP -1 mprj_io_ib_mode_sel[32] 0.000103095 -2 *405:4 0.000103095 -3 *405:4 *407:4 0 -4 *405:4 *410:4 0 -*RES -1 mprj_io_ib_mode_sel[32] *405:4 2.93119 -*END - -*D_NET *406 0.000206191 -*CONN -*P mprj_io_inp_dis[32] I -*CAP -1 mprj_io_inp_dis[32] 0.000103095 -2 *406:4 0.000103095 -3 *399:4 *406:4 0 -*RES -1 mprj_io_inp_dis[32] *406:4 2.93119 -*END - -*D_NET *407 0.000206191 -*CONN -*P mprj_io_oeb[32] I -*CAP -1 mprj_io_oeb[32] 0.000103095 -2 *407:4 0.000103095 -3 *405:4 *407:4 0 -*RES -1 mprj_io_oeb[32] *407:4 2.93119 -*END - -*D_NET *408 0.000206191 -*CONN -*P mprj_io_out[32] I -*CAP -1 mprj_io_out[32] 0.000103095 -2 *408:4 0.000103095 -3 *404:4 *408:4 0 -*RES -1 mprj_io_out[32] *408:4 2.93119 -*END - -*D_NET *409 0.000206191 -*CONN -*P mprj_io_slow_sel[32] I -*CAP -1 mprj_io_slow_sel[32] 0.000103095 -2 *409:4 0.000103095 -3 *396:4 *409:4 0 -*RES -1 mprj_io_slow_sel[32] *409:4 2.93119 -*END - -*D_NET *410 0.000206191 -*CONN -*P mprj_io_vtrip_sel[32] I -*CAP -1 mprj_io_vtrip_sel[32] 0.000103095 -2 *410:4 0.000103095 -3 *405:4 *410:4 0 -*RES -1 mprj_io_vtrip_sel[32] *410:4 2.93119 -*END - -*D_NET *411 0.000206191 -*CONN -*P mprj_io_in[32] I -*CAP -1 mprj_io_in[32] 0.000103095 -2 *411:4 0.000103095 -*RES -1 mprj_io_in[32] *411:4 2.93119 -*END - -*D_NET *412 0.000206191 -*CONN -*P mprj_analog_io[26] I -*CAP -1 mprj_analog_io[26] 0.000103095 -2 *412:4 0.000103095 -3 *412:4 *425:4 0 -*RES -1 mprj_analog_io[26] *412:4 2.93119 -*END - -*D_NET *414 0.000206191 -*CONN -*P mprj_io_analog_en[33] I -*CAP -1 mprj_io_analog_en[33] 0.000103095 -2 *414:4 0.000103095 -3 *414:4 *419:4 0 -*RES -1 mprj_io_analog_en[33] *414:4 2.93119 -*END - -*D_NET *415 0.000206191 -*CONN -*P mprj_io_analog_pol[33] I -*CAP -1 mprj_io_analog_pol[33] 0.000103095 -2 *415:4 0.000103095 -3 *415:4 *419:4 0 -4 *415:4 *422:4 0 -*RES -1 mprj_io_analog_pol[33] *415:4 2.93119 -*END - -*D_NET *416 0.000206191 -*CONN -*P mprj_io_analog_sel[33] I -*CAP -1 mprj_io_analog_sel[33] 0.000103095 -2 *416:4 0.000103095 -3 *416:4 *418:4 0 -*RES -1 mprj_io_analog_sel[33] *416:4 2.93119 -*END - -*D_NET *417 0.000206191 -*CONN -*P mprj_io_dm[100] I -*CAP -1 mprj_io_dm[100] 0.000103095 -2 *417:4 0.000103095 -*RES -1 mprj_io_dm[100] *417:4 2.93119 -*END - -*D_NET *418 0.000206191 -*CONN -*P mprj_io_dm[101] I -*CAP -1 mprj_io_dm[101] 0.000103095 -2 *418:4 0.000103095 -3 *418:4 *420:4 0 -4 *416:4 *418:4 0 -*RES -1 mprj_io_dm[101] *418:4 2.93119 -*END - -*D_NET *419 0.000206191 -*CONN -*P mprj_io_dm[99] I -*CAP -1 mprj_io_dm[99] 0.000103095 -2 *419:4 0.000103095 -3 *414:4 *419:4 0 -4 *415:4 *419:4 0 -*RES -1 mprj_io_dm[99] *419:4 2.93119 -*END - -*D_NET *420 0.000206191 -*CONN -*P mprj_io_holdover[33] I -*CAP -1 mprj_io_holdover[33] 0.000103095 -2 *420:4 0.000103095 -3 *420:4 *424:4 0 -4 *418:4 *420:4 0 -*RES -1 mprj_io_holdover[33] *420:4 2.93119 -*END - -*D_NET *421 0.000206191 -*CONN -*P mprj_io_ib_mode_sel[33] I -*CAP -1 mprj_io_ib_mode_sel[33] 0.000103095 -2 *421:4 0.000103095 -3 *421:4 *423:4 0 -4 *421:4 *426:4 0 -*RES -1 mprj_io_ib_mode_sel[33] *421:4 2.93119 -*END - -*D_NET *422 0.000206191 -*CONN -*P mprj_io_inp_dis[33] I -*CAP -1 mprj_io_inp_dis[33] 0.000103095 -2 *422:4 0.000103095 -3 *415:4 *422:4 0 -*RES -1 mprj_io_inp_dis[33] *422:4 2.93119 -*END - -*D_NET *423 0.000206191 -*CONN -*P mprj_io_oeb[33] I -*CAP -1 mprj_io_oeb[33] 0.000103095 -2 *423:4 0.000103095 -3 *421:4 *423:4 0 -*RES -1 mprj_io_oeb[33] *423:4 2.93119 -*END - -*D_NET *424 0.000206191 -*CONN -*P mprj_io_out[33] I -*CAP -1 mprj_io_out[33] 0.000103095 -2 *424:4 0.000103095 -3 *420:4 *424:4 0 -*RES -1 mprj_io_out[33] *424:4 2.93119 -*END - -*D_NET *425 0.000206191 -*CONN -*P mprj_io_slow_sel[33] I -*CAP -1 mprj_io_slow_sel[33] 0.000103095 -2 *425:4 0.000103095 -3 *412:4 *425:4 0 -*RES -1 mprj_io_slow_sel[33] *425:4 2.93119 -*END - -*D_NET *426 0.000206191 -*CONN -*P mprj_io_vtrip_sel[33] I -*CAP -1 mprj_io_vtrip_sel[33] 0.000103095 -2 *426:4 0.000103095 -3 *421:4 *426:4 0 -*RES -1 mprj_io_vtrip_sel[33] *426:4 2.93119 -*END - -*D_NET *427 0.000206191 -*CONN -*P mprj_io_in[33] I -*CAP -1 mprj_io_in[33] 0.000103095 -2 *427:4 0.000103095 -*RES -1 mprj_io_in[33] *427:4 2.93119 -*END - -*D_NET *428 0.000206191 -*CONN -*P mprj_analog_io[27] I -*CAP -1 mprj_analog_io[27] 0.000103095 -2 *428:4 0.000103095 -3 *428:4 *441:4 0 -*RES -1 mprj_analog_io[27] *428:4 2.93119 -*END - -*D_NET *430 0.000206191 -*CONN -*P mprj_io_analog_en[34] I -*CAP -1 mprj_io_analog_en[34] 0.000103095 -2 *430:4 0.000103095 -3 *430:4 *433:4 0 -*RES -1 mprj_io_analog_en[34] *430:4 2.93119 -*END - -*D_NET *431 0.000206191 -*CONN -*P mprj_io_analog_pol[34] I -*CAP -1 mprj_io_analog_pol[34] 0.000103095 -2 *431:4 0.000103095 -3 *431:4 *433:4 0 -4 *431:4 *438:4 0 -*RES -1 mprj_io_analog_pol[34] *431:4 2.93119 -*END - -*D_NET *432 0.000206191 -*CONN -*P mprj_io_analog_sel[34] I -*CAP -1 mprj_io_analog_sel[34] 0.000103095 -2 *432:4 0.000103095 -3 *432:4 *435:4 0 -*RES -1 mprj_io_analog_sel[34] *432:4 2.93119 -*END - -*D_NET *433 0.000206191 -*CONN -*P mprj_io_dm[102] I -*CAP -1 mprj_io_dm[102] 0.000103095 -2 *433:4 0.000103095 -3 *430:4 *433:4 0 -4 *431:4 *433:4 0 -*RES -1 mprj_io_dm[102] *433:4 2.93119 -*END - -*D_NET *434 0.000206191 -*CONN -*P mprj_io_dm[103] I -*CAP -1 mprj_io_dm[103] 0.000103095 -2 *434:4 0.000103095 -*RES -1 mprj_io_dm[103] *434:4 2.93119 -*END - -*D_NET *435 0.000206191 -*CONN -*P mprj_io_dm[104] I -*CAP -1 mprj_io_dm[104] 0.000103095 -2 *435:4 0.000103095 -3 *435:4 *436:4 0 -4 *432:4 *435:4 0 -*RES -1 mprj_io_dm[104] *435:4 2.93119 -*END - -*D_NET *436 0.000206191 -*CONN -*P mprj_io_holdover[34] I -*CAP -1 mprj_io_holdover[34] 0.000103095 -2 *436:4 0.000103095 -3 *436:4 *440:4 0 -4 *435:4 *436:4 0 -*RES -1 mprj_io_holdover[34] *436:4 2.93119 -*END - -*D_NET *437 0.000206191 -*CONN -*P mprj_io_ib_mode_sel[34] I -*CAP -1 mprj_io_ib_mode_sel[34] 0.000103095 -2 *437:4 0.000103095 -3 *437:4 *439:4 0 -4 *437:4 *442:4 0 -*RES -1 mprj_io_ib_mode_sel[34] *437:4 2.93119 -*END - -*D_NET *438 0.000206191 -*CONN -*P mprj_io_inp_dis[34] I -*CAP -1 mprj_io_inp_dis[34] 0.000103095 -2 *438:4 0.000103095 -3 *431:4 *438:4 0 -*RES -1 mprj_io_inp_dis[34] *438:4 2.93119 -*END - -*D_NET *439 0.000206191 -*CONN -*P mprj_io_oeb[34] I -*CAP -1 mprj_io_oeb[34] 0.000103095 -2 *439:4 0.000103095 -3 *437:4 *439:4 0 -*RES -1 mprj_io_oeb[34] *439:4 2.93119 -*END - -*D_NET *440 0.000206191 -*CONN -*P mprj_io_out[34] I -*CAP -1 mprj_io_out[34] 0.000103095 -2 *440:4 0.000103095 -3 *436:4 *440:4 0 -*RES -1 mprj_io_out[34] *440:4 2.93119 -*END - -*D_NET *441 0.000206191 -*CONN -*P mprj_io_slow_sel[34] I -*CAP -1 mprj_io_slow_sel[34] 0.000103095 -2 *441:4 0.000103095 -3 *428:4 *441:4 0 -*RES -1 mprj_io_slow_sel[34] *441:4 2.93119 -*END - -*D_NET *442 0.000206191 -*CONN -*P mprj_io_vtrip_sel[34] I -*CAP -1 mprj_io_vtrip_sel[34] 0.000103095 -2 *442:4 0.000103095 -3 *437:4 *442:4 0 -*RES -1 mprj_io_vtrip_sel[34] *442:4 2.93119 -*END - -*D_NET *443 0.000206191 -*CONN -*P mprj_io_in[34] I -*CAP -1 mprj_io_in[34] 0.000103095 -2 *443:4 0.000103095 -*RES -1 mprj_io_in[34] *443:4 2.93119 -*END - -*D_NET *444 0.000206191 -*CONN -*P mprj_analog_io[28] I -*CAP -1 mprj_analog_io[28] 0.000103095 -2 *444:4 0.000103095 -3 *444:4 *457:4 0 -*RES -1 mprj_analog_io[28] *444:4 2.93119 -*END - -*D_NET *446 0.000206191 -*CONN -*P mprj_io_analog_en[35] I -*CAP -1 mprj_io_analog_en[35] 0.000103095 -2 *446:4 0.000103095 -3 *446:4 *449:4 0 -*RES -1 mprj_io_analog_en[35] *446:4 2.93119 -*END - -*D_NET *447 0.000206191 -*CONN -*P mprj_io_analog_pol[35] I -*CAP -1 mprj_io_analog_pol[35] 0.000103095 -2 *447:4 0.000103095 -3 *447:4 *449:4 0 -4 *447:4 *454:4 0 -*RES -1 mprj_io_analog_pol[35] *447:4 2.93119 -*END - -*D_NET *448 0.000206191 -*CONN -*P mprj_io_analog_sel[35] I -*CAP -1 mprj_io_analog_sel[35] 0.000103095 -2 *448:4 0.000103095 -3 *448:4 *451:4 0 -*RES -1 mprj_io_analog_sel[35] *448:4 2.93119 -*END - -*D_NET *449 0.000206191 -*CONN -*P mprj_io_dm[105] I -*CAP -1 mprj_io_dm[105] 0.000103095 -2 *449:4 0.000103095 -3 *446:4 *449:4 0 -4 *447:4 *449:4 0 -*RES -1 mprj_io_dm[105] *449:4 2.93119 -*END - -*D_NET *450 0.000206191 -*CONN -*P mprj_io_dm[106] I -*CAP -1 mprj_io_dm[106] 0.000103095 -2 *450:4 0.000103095 -*RES -1 mprj_io_dm[106] *450:4 2.93119 -*END - -*D_NET *451 0.000206191 -*CONN -*P mprj_io_dm[107] I -*CAP -1 mprj_io_dm[107] 0.000103095 -2 *451:4 0.000103095 -3 *451:4 *452:4 0 -4 *448:4 *451:4 0 -*RES -1 mprj_io_dm[107] *451:4 2.93119 -*END - -*D_NET *452 0.000206191 -*CONN -*P mprj_io_holdover[35] I -*CAP -1 mprj_io_holdover[35] 0.000103095 -2 *452:4 0.000103095 -3 *452:4 *456:4 0 -4 *451:4 *452:4 0 -*RES -1 mprj_io_holdover[35] *452:4 2.93119 -*END - -*D_NET *453 0.000206191 -*CONN -*P mprj_io_ib_mode_sel[35] I -*CAP -1 mprj_io_ib_mode_sel[35] 0.000103095 -2 *453:4 0.000103095 -3 *453:4 *455:4 0 -4 *453:4 *458:4 0 -*RES -1 mprj_io_ib_mode_sel[35] *453:4 2.93119 -*END - -*D_NET *454 0.000206191 -*CONN -*P mprj_io_inp_dis[35] I -*CAP -1 mprj_io_inp_dis[35] 0.000103095 -2 *454:4 0.000103095 -3 *447:4 *454:4 0 -*RES -1 mprj_io_inp_dis[35] *454:4 2.93119 -*END - -*D_NET *455 0.000206191 -*CONN -*P mprj_io_oeb[35] I -*CAP -1 mprj_io_oeb[35] 0.000103095 -2 *455:4 0.000103095 -3 *453:4 *455:4 0 -*RES -1 mprj_io_oeb[35] *455:4 2.93119 -*END - -*D_NET *456 0.000206191 -*CONN -*P mprj_io_out[35] I -*CAP -1 mprj_io_out[35] 0.000103095 -2 *456:4 0.000103095 -3 *452:4 *456:4 0 -*RES -1 mprj_io_out[35] *456:4 2.93119 -*END - -*D_NET *457 0.000206191 -*CONN -*P mprj_io_slow_sel[35] I -*CAP -1 mprj_io_slow_sel[35] 0.000103095 -2 *457:4 0.000103095 -3 *444:4 *457:4 0 -*RES -1 mprj_io_slow_sel[35] *457:4 2.93119 -*END - -*D_NET *458 0.000206191 -*CONN -*P mprj_io_vtrip_sel[35] I -*CAP -1 mprj_io_vtrip_sel[35] 0.000103095 -2 *458:4 0.000103095 -3 *453:4 *458:4 0 -*RES -1 mprj_io_vtrip_sel[35] *458:4 2.93119 -*END - -*D_NET *459 0.000206191 -*CONN -*P mprj_io_in[35] I -*CAP -1 mprj_io_in[35] 0.000103095 -2 *459:4 0.000103095 -*RES -1 mprj_io_in[35] *459:4 2.93119 -*END - -*D_NET *461 0.000206191 -*CONN -*P mprj_io_analog_en[36] I -*CAP -1 mprj_io_analog_en[36] 0.000103095 -2 *461:4 0.000103095 -3 *461:4 *464:4 0 -*RES -1 mprj_io_analog_en[36] *461:4 2.93119 -*END - -*D_NET *462 0.000206191 -*CONN -*P mprj_io_analog_pol[36] I -*CAP -1 mprj_io_analog_pol[36] 0.000103095 -2 *462:4 0.000103095 -3 *462:4 *464:4 0 -4 *462:4 *469:4 0 -*RES -1 mprj_io_analog_pol[36] *462:4 2.93119 -*END - -*D_NET *463 0.000206191 -*CONN -*P mprj_io_analog_sel[36] I -*CAP -1 mprj_io_analog_sel[36] 0.000103095 -2 *463:4 0.000103095 -3 *463:4 *466:4 0 -*RES -1 mprj_io_analog_sel[36] *463:4 2.93119 -*END - -*D_NET *464 0.000206191 -*CONN -*P mprj_io_dm[108] I -*CAP -1 mprj_io_dm[108] 0.000103095 -2 *464:4 0.000103095 -3 *461:4 *464:4 0 -4 *462:4 *464:4 0 -*RES -1 mprj_io_dm[108] *464:4 2.93119 -*END - -*D_NET *465 0.000206191 -*CONN -*P mprj_io_dm[109] I -*CAP -1 mprj_io_dm[109] 0.000103095 -2 *465:4 0.000103095 -*RES -1 mprj_io_dm[109] *465:4 2.93119 -*END - -*D_NET *466 0.000206191 -*CONN -*P mprj_io_dm[110] I -*CAP -1 mprj_io_dm[110] 0.000103095 -2 *466:4 0.000103095 -3 *466:4 *467:4 0 -4 *463:4 *466:4 0 -*RES -1 mprj_io_dm[110] *466:4 2.93119 -*END - -*D_NET *467 0.000206191 -*CONN -*P mprj_io_holdover[36] I -*CAP -1 mprj_io_holdover[36] 0.000103095 -2 *467:4 0.000103095 -3 *467:4 *471:4 0 -4 *466:4 *467:4 0 -*RES -1 mprj_io_holdover[36] *467:4 2.93119 -*END - -*D_NET *468 0.000206191 -*CONN -*P mprj_io_ib_mode_sel[36] I -*CAP -1 mprj_io_ib_mode_sel[36] 0.000103095 -2 *468:4 0.000103095 -3 *468:4 *470:4 0 -4 *468:4 *473:4 0 -*RES -1 mprj_io_ib_mode_sel[36] *468:4 2.93119 -*END - -*D_NET *469 0.000206191 -*CONN -*P mprj_io_inp_dis[36] I -*CAP -1 mprj_io_inp_dis[36] 0.000103095 -2 *469:4 0.000103095 -3 *462:4 *469:4 0 -*RES -1 mprj_io_inp_dis[36] *469:4 2.93119 -*END - -*D_NET *470 0.000206191 -*CONN -*P mprj_io_oeb[36] I -*CAP -1 mprj_io_oeb[36] 0.000103095 -2 *470:4 0.000103095 -3 *468:4 *470:4 0 -*RES -1 mprj_io_oeb[36] *470:4 2.93119 -*END - -*D_NET *471 0.000206191 -*CONN -*P mprj_io_out[36] I -*CAP -1 mprj_io_out[36] 0.000103095 -2 *471:4 0.000103095 -3 *467:4 *471:4 0 -*RES -1 mprj_io_out[36] *471:4 2.93119 -*END - -*D_NET *472 0.000206191 -*CONN -*P mprj_io_slow_sel[36] I -*CAP -1 mprj_io_slow_sel[36] 0.000103095 -2 *472:4 0.000103095 -*RES -1 mprj_io_slow_sel[36] *472:4 2.93119 -*END - -*D_NET *473 0.000206191 -*CONN -*P mprj_io_vtrip_sel[36] I -*CAP -1 mprj_io_vtrip_sel[36] 0.000103095 -2 *473:4 0.000103095 -3 *468:4 *473:4 0 -*RES -1 mprj_io_vtrip_sel[36] *473:4 2.93119 -*END - -*D_NET *474 0.000206191 -*CONN -*P mprj_io_in[36] I -*CAP -1 mprj_io_in[36] 0.000103095 -2 *474:4 0.000103095 -*RES -1 mprj_io_in[36] *474:4 2.93119 -*END - -*D_NET *476 0.000206191 -*CONN -*P mprj_io_analog_en[37] I -*CAP -1 mprj_io_analog_en[37] 0.000103095 -2 *476:4 0.000103095 -3 *476:4 *479:4 0 -*RES -1 mprj_io_analog_en[37] *476:4 2.93119 -*END - -*D_NET *477 0.000206191 -*CONN -*P mprj_io_analog_pol[37] I -*CAP -1 mprj_io_analog_pol[37] 0.000103095 -2 *477:4 0.000103095 -3 *477:4 *479:4 0 -4 *477:4 *484:4 0 -*RES -1 mprj_io_analog_pol[37] *477:4 2.93119 -*END - -*D_NET *478 0.000206191 -*CONN -*P mprj_io_analog_sel[37] I -*CAP -1 mprj_io_analog_sel[37] 0.000103095 -2 *478:4 0.000103095 -3 *478:4 *481:4 0 -*RES -1 mprj_io_analog_sel[37] *478:4 2.93119 -*END - -*D_NET *479 0.000206191 -*CONN -*P mprj_io_dm[111] I -*CAP -1 mprj_io_dm[111] 0.000103095 -2 *479:4 0.000103095 -3 *476:4 *479:4 0 -4 *477:4 *479:4 0 -*RES -1 mprj_io_dm[111] *479:4 2.93119 -*END - -*D_NET *480 0.000206191 -*CONN -*P mprj_io_dm[112] I -*CAP -1 mprj_io_dm[112] 0.000103095 -2 *480:4 0.000103095 -*RES -1 mprj_io_dm[112] *480:4 2.93119 -*END - -*D_NET *481 0.000206191 -*CONN -*P mprj_io_dm[113] I -*CAP -1 mprj_io_dm[113] 0.000103095 -2 *481:4 0.000103095 -3 *481:4 *482:4 0 -4 *478:4 *481:4 0 -*RES -1 mprj_io_dm[113] *481:4 2.93119 -*END - -*D_NET *482 0.000206191 -*CONN -*P mprj_io_holdover[37] I -*CAP -1 mprj_io_holdover[37] 0.000103095 -2 *482:4 0.000103095 -3 *482:4 *486:4 0 -4 *481:4 *482:4 0 -*RES -1 mprj_io_holdover[37] *482:4 2.93119 -*END - -*D_NET *483 0.000206191 -*CONN -*P mprj_io_ib_mode_sel[37] I -*CAP -1 mprj_io_ib_mode_sel[37] 0.000103095 -2 *483:4 0.000103095 -3 *483:4 *485:4 0 -4 *483:4 *488:4 0 -*RES -1 mprj_io_ib_mode_sel[37] *483:4 2.93119 -*END - -*D_NET *484 0.000206191 -*CONN -*P mprj_io_inp_dis[37] I -*CAP -1 mprj_io_inp_dis[37] 0.000103095 -2 *484:4 0.000103095 -3 *477:4 *484:4 0 -*RES -1 mprj_io_inp_dis[37] *484:4 2.93119 -*END - -*D_NET *485 0.000206191 -*CONN -*P mprj_io_oeb[37] I -*CAP -1 mprj_io_oeb[37] 0.000103095 -2 *485:4 0.000103095 -3 *483:4 *485:4 0 -*RES -1 mprj_io_oeb[37] *485:4 2.93119 -*END - -*D_NET *486 0.000206191 -*CONN -*P mprj_io_out[37] I -*CAP -1 mprj_io_out[37] 0.000103095 -2 *486:4 0.000103095 -3 *482:4 *486:4 0 -*RES -1 mprj_io_out[37] *486:4 2.93119 -*END - -*D_NET *487 0.000206191 -*CONN -*P mprj_io_slow_sel[37] I -*CAP -1 mprj_io_slow_sel[37] 0.000103095 -2 *487:4 0.000103095 -*RES -1 mprj_io_slow_sel[37] *487:4 2.93119 -*END - -*D_NET *488 0.000206191 -*CONN -*P mprj_io_vtrip_sel[37] I -*CAP -1 mprj_io_vtrip_sel[37] 0.000103095 -2 *488:4 0.000103095 -3 *483:4 *488:4 0 -*RES -1 mprj_io_vtrip_sel[37] *488:4 2.93119 -*END - -*D_NET *489 0.000206191 -*CONN -*P mprj_io_in[37] I -*CAP -1 mprj_io_in[37] 0.000103095 -2 *489:4 0.000103095 -*RES -1 mprj_io_in[37] *489:4 2.93119 -*END - -*D_NET *490 2.40556e-05 -*CONN -*P mprj_analog_io[13] I -*CAP -1 mprj_analog_io[13] 1.20278e-05 -2 *490:4 1.20278e-05 -*RES -1 mprj_analog_io[13] *490:4 0.341972 -*END - -*D_NET *492 2.40556e-05 -*CONN -*P mprj_io_analog_en[20] I -*CAP -1 mprj_io_analog_en[20] 1.20278e-05 -2 *492:4 1.20278e-05 -*RES -1 mprj_io_analog_en[20] *492:4 0.341972 -*END - -*D_NET *493 2.40556e-05 -*CONN -*P mprj_io_analog_pol[20] I -*CAP -1 mprj_io_analog_pol[20] 1.20278e-05 -2 *493:4 1.20278e-05 -*RES -1 mprj_io_analog_pol[20] *493:4 0.341972 -*END - -*D_NET *494 2.40556e-05 -*CONN -*P mprj_io_analog_sel[20] I -*CAP -1 mprj_io_analog_sel[20] 1.20278e-05 -2 *494:4 1.20278e-05 -*RES -1 mprj_io_analog_sel[20] *494:4 0.341972 -*END - -*D_NET *495 2.40556e-05 -*CONN -*P mprj_io_dm[60] I -*CAP -1 mprj_io_dm[60] 1.20278e-05 -2 *495:4 1.20278e-05 -*RES -1 mprj_io_dm[60] *495:4 0.341972 -*END - -*D_NET *496 2.40556e-05 -*CONN -*P mprj_io_dm[61] I -*CAP -1 mprj_io_dm[61] 1.20278e-05 -2 *496:4 1.20278e-05 -*RES -1 mprj_io_dm[61] *496:4 0.341972 -*END - -*D_NET *497 2.40556e-05 -*CONN -*P mprj_io_dm[62] I -*CAP -1 mprj_io_dm[62] 1.20278e-05 -2 *497:4 1.20278e-05 -*RES -1 mprj_io_dm[62] *497:4 0.341972 -*END - -*D_NET *498 2.40556e-05 -*CONN -*P mprj_io_holdover[20] I -*CAP -1 mprj_io_holdover[20] 1.20278e-05 -2 *498:4 1.20278e-05 -*RES -1 mprj_io_holdover[20] *498:4 0.341972 -*END - -*D_NET *499 2.40556e-05 -*CONN -*P mprj_io_ib_mode_sel[20] I -*CAP -1 mprj_io_ib_mode_sel[20] 1.20278e-05 -2 *499:4 1.20278e-05 -*RES -1 mprj_io_ib_mode_sel[20] *499:4 0.341972 -*END - -*D_NET *500 2.40556e-05 -*CONN -*P mprj_io_inp_dis[20] I -*CAP -1 mprj_io_inp_dis[20] 1.20278e-05 -2 *500:4 1.20278e-05 -*RES -1 mprj_io_inp_dis[20] *500:4 0.341972 -*END - -*D_NET *501 2.40556e-05 -*CONN -*P mprj_io_oeb[20] I -*CAP -1 mprj_io_oeb[20] 1.20278e-05 -2 *501:4 1.20278e-05 -*RES -1 mprj_io_oeb[20] *501:4 0.341972 -*END - -*D_NET *502 2.40556e-05 -*CONN -*P mprj_io_out[20] I -*CAP -1 mprj_io_out[20] 1.20278e-05 -2 *502:4 1.20278e-05 -*RES -1 mprj_io_out[20] *502:4 0.341972 -*END - -*D_NET *503 2.40556e-05 -*CONN -*P mprj_io_slow_sel[20] I -*CAP -1 mprj_io_slow_sel[20] 1.20278e-05 -2 *503:4 1.20278e-05 -*RES -1 mprj_io_slow_sel[20] *503:4 0.341972 -*END - -*D_NET *504 2.40556e-05 -*CONN -*P mprj_io_vtrip_sel[20] I -*CAP -1 mprj_io_vtrip_sel[20] 1.20278e-05 -2 *504:4 1.20278e-05 -*RES -1 mprj_io_vtrip_sel[20] *504:4 0.341972 -*END - -*D_NET *505 2.40556e-05 -*CONN -*P mprj_io_in[20] I -*CAP -1 mprj_io_in[20] 1.20278e-05 -2 *505:4 1.20278e-05 -*RES -1 mprj_io_in[20] *505:4 0.341972 -*END - -*D_NET *506 2.40556e-05 -*CONN -*P mprj_analog_io[14] I -*CAP -1 mprj_analog_io[14] 1.20278e-05 -2 *506:4 1.20278e-05 -*RES -1 mprj_analog_io[14] *506:4 0.341972 -*END - -*D_NET *508 2.40556e-05 -*CONN -*P mprj_io_analog_en[21] I -*CAP -1 mprj_io_analog_en[21] 1.20278e-05 -2 *508:4 1.20278e-05 -*RES -1 mprj_io_analog_en[21] *508:4 0.341972 -*END - -*D_NET *509 2.40556e-05 -*CONN -*P mprj_io_analog_pol[21] I -*CAP -1 mprj_io_analog_pol[21] 1.20278e-05 -2 *509:4 1.20278e-05 -*RES -1 mprj_io_analog_pol[21] *509:4 0.341972 -*END - -*D_NET *510 2.40556e-05 -*CONN -*P mprj_io_analog_sel[21] I -*CAP -1 mprj_io_analog_sel[21] 1.20278e-05 -2 *510:4 1.20278e-05 -*RES -1 mprj_io_analog_sel[21] *510:4 0.341972 -*END - -*D_NET *511 2.40556e-05 -*CONN -*P mprj_io_dm[63] I -*CAP -1 mprj_io_dm[63] 1.20278e-05 -2 *511:4 1.20278e-05 -*RES -1 mprj_io_dm[63] *511:4 0.341972 -*END - -*D_NET *512 2.40556e-05 -*CONN -*P mprj_io_dm[64] I -*CAP -1 mprj_io_dm[64] 1.20278e-05 -2 *512:4 1.20278e-05 -*RES -1 mprj_io_dm[64] *512:4 0.341972 -*END - -*D_NET *513 2.40556e-05 -*CONN -*P mprj_io_dm[65] I -*CAP -1 mprj_io_dm[65] 1.20278e-05 -2 *513:4 1.20278e-05 -*RES -1 mprj_io_dm[65] *513:4 0.341972 -*END - -*D_NET *514 2.40556e-05 -*CONN -*P mprj_io_holdover[21] I -*CAP -1 mprj_io_holdover[21] 1.20278e-05 -2 *514:4 1.20278e-05 -*RES -1 mprj_io_holdover[21] *514:4 0.341972 -*END - -*D_NET *515 2.40556e-05 -*CONN -*P mprj_io_ib_mode_sel[21] I -*CAP -1 mprj_io_ib_mode_sel[21] 1.20278e-05 -2 *515:4 1.20278e-05 -*RES -1 mprj_io_ib_mode_sel[21] *515:4 0.341972 -*END - -*D_NET *516 2.40556e-05 -*CONN -*P mprj_io_inp_dis[21] I -*CAP -1 mprj_io_inp_dis[21] 1.20278e-05 -2 *516:4 1.20278e-05 -*RES -1 mprj_io_inp_dis[21] *516:4 0.341972 -*END - -*D_NET *517 2.40556e-05 -*CONN -*P mprj_io_oeb[21] I -*CAP -1 mprj_io_oeb[21] 1.20278e-05 -2 *517:4 1.20278e-05 -*RES -1 mprj_io_oeb[21] *517:4 0.341972 -*END - -*D_NET *518 2.40556e-05 -*CONN -*P mprj_io_out[21] I -*CAP -1 mprj_io_out[21] 1.20278e-05 -2 *518:4 1.20278e-05 -*RES -1 mprj_io_out[21] *518:4 0.341972 -*END - -*D_NET *519 2.40556e-05 -*CONN -*P mprj_io_slow_sel[21] I -*CAP -1 mprj_io_slow_sel[21] 1.20278e-05 -2 *519:4 1.20278e-05 -*RES -1 mprj_io_slow_sel[21] *519:4 0.341972 -*END - -*D_NET *520 2.40556e-05 -*CONN -*P mprj_io_vtrip_sel[21] I -*CAP -1 mprj_io_vtrip_sel[21] 1.20278e-05 -2 *520:4 1.20278e-05 -*RES -1 mprj_io_vtrip_sel[21] *520:4 0.341972 -*END - -*D_NET *521 2.40556e-05 -*CONN -*P mprj_io_in[21] I -*CAP -1 mprj_io_in[21] 1.20278e-05 -2 *521:4 1.20278e-05 -*RES -1 mprj_io_in[21] *521:4 0.341972 -*END - -*D_NET *522 2.40556e-05 -*CONN -*P mprj_analog_io[15] I -*CAP -1 mprj_analog_io[15] 1.20278e-05 -2 *522:4 1.20278e-05 -*RES -1 mprj_analog_io[15] *522:4 0.341972 -*END - -*D_NET *524 2.40556e-05 -*CONN -*P mprj_io_analog_en[22] I -*CAP -1 mprj_io_analog_en[22] 1.20278e-05 -2 *524:4 1.20278e-05 -*RES -1 mprj_io_analog_en[22] *524:4 0.341972 -*END - -*D_NET *525 2.40556e-05 -*CONN -*P mprj_io_analog_pol[22] I -*CAP -1 mprj_io_analog_pol[22] 1.20278e-05 -2 *525:4 1.20278e-05 -*RES -1 mprj_io_analog_pol[22] *525:4 0.341972 -*END - -*D_NET *526 2.40556e-05 -*CONN -*P mprj_io_analog_sel[22] I -*CAP -1 mprj_io_analog_sel[22] 1.20278e-05 -2 *526:4 1.20278e-05 -*RES -1 mprj_io_analog_sel[22] *526:4 0.341972 -*END - -*D_NET *527 2.40556e-05 -*CONN -*P mprj_io_dm[66] I -*CAP -1 mprj_io_dm[66] 1.20278e-05 -2 *527:4 1.20278e-05 -*RES -1 mprj_io_dm[66] *527:4 0.341972 -*END - -*D_NET *528 2.40556e-05 -*CONN -*P mprj_io_dm[67] I -*CAP -1 mprj_io_dm[67] 1.20278e-05 -2 *528:4 1.20278e-05 -*RES -1 mprj_io_dm[67] *528:4 0.341972 -*END - -*D_NET *529 2.40556e-05 -*CONN -*P mprj_io_dm[68] I -*CAP -1 mprj_io_dm[68] 1.20278e-05 -2 *529:4 1.20278e-05 -*RES -1 mprj_io_dm[68] *529:4 0.341972 -*END - -*D_NET *530 2.40556e-05 -*CONN -*P mprj_io_holdover[22] I -*CAP -1 mprj_io_holdover[22] 1.20278e-05 -2 *530:4 1.20278e-05 -*RES -1 mprj_io_holdover[22] *530:4 0.341972 -*END - -*D_NET *531 2.40556e-05 -*CONN -*P mprj_io_ib_mode_sel[22] I -*CAP -1 mprj_io_ib_mode_sel[22] 1.20278e-05 -2 *531:4 1.20278e-05 -*RES -1 mprj_io_ib_mode_sel[22] *531:4 0.341972 -*END - -*D_NET *532 2.40556e-05 -*CONN -*P mprj_io_inp_dis[22] I -*CAP -1 mprj_io_inp_dis[22] 1.20278e-05 -2 *532:4 1.20278e-05 -*RES -1 mprj_io_inp_dis[22] *532:4 0.341972 -*END - -*D_NET *533 2.40556e-05 -*CONN -*P mprj_io_oeb[22] I -*CAP -1 mprj_io_oeb[22] 1.20278e-05 -2 *533:4 1.20278e-05 -*RES -1 mprj_io_oeb[22] *533:4 0.341972 -*END - -*D_NET *534 2.40556e-05 -*CONN -*P mprj_io_out[22] I -*CAP -1 mprj_io_out[22] 1.20278e-05 -2 *534:4 1.20278e-05 -*RES -1 mprj_io_out[22] *534:4 0.341972 -*END - -*D_NET *535 2.40556e-05 -*CONN -*P mprj_io_slow_sel[22] I -*CAP -1 mprj_io_slow_sel[22] 1.20278e-05 -2 *535:4 1.20278e-05 -*RES -1 mprj_io_slow_sel[22] *535:4 0.341972 -*END - -*D_NET *536 2.40556e-05 -*CONN -*P mprj_io_vtrip_sel[22] I -*CAP -1 mprj_io_vtrip_sel[22] 1.20278e-05 -2 *536:4 1.20278e-05 -*RES -1 mprj_io_vtrip_sel[22] *536:4 0.341972 -*END - -*D_NET *537 2.40556e-05 -*CONN -*P mprj_io_in[22] I -*CAP -1 mprj_io_in[22] 1.20278e-05 -2 *537:4 1.20278e-05 -*RES -1 mprj_io_in[22] *537:4 0.341972 -*END - -*D_NET *538 2.40556e-05 -*CONN -*P mprj_analog_io[16] I -*CAP -1 mprj_analog_io[16] 1.20278e-05 -2 *538:4 1.20278e-05 -*RES -1 mprj_analog_io[16] *538:4 0.341972 -*END - -*D_NET *540 2.40556e-05 -*CONN -*P mprj_io_analog_en[23] I -*CAP -1 mprj_io_analog_en[23] 1.20278e-05 -2 *540:4 1.20278e-05 -*RES -1 mprj_io_analog_en[23] *540:4 0.341972 -*END - -*D_NET *541 2.40556e-05 -*CONN -*P mprj_io_analog_pol[23] I -*CAP -1 mprj_io_analog_pol[23] 1.20278e-05 -2 *541:4 1.20278e-05 -*RES -1 mprj_io_analog_pol[23] *541:4 0.341972 -*END - -*D_NET *542 2.40556e-05 -*CONN -*P mprj_io_analog_sel[23] I -*CAP -1 mprj_io_analog_sel[23] 1.20278e-05 -2 *542:4 1.20278e-05 -*RES -1 mprj_io_analog_sel[23] *542:4 0.341972 -*END - -*D_NET *543 2.40556e-05 -*CONN -*P mprj_io_dm[69] I -*CAP -1 mprj_io_dm[69] 1.20278e-05 -2 *543:4 1.20278e-05 -*RES -1 mprj_io_dm[69] *543:4 0.341972 -*END - -*D_NET *544 2.40556e-05 -*CONN -*P mprj_io_dm[70] I -*CAP -1 mprj_io_dm[70] 1.20278e-05 -2 *544:4 1.20278e-05 -*RES -1 mprj_io_dm[70] *544:4 0.341972 -*END - -*D_NET *545 2.40556e-05 -*CONN -*P mprj_io_dm[71] I -*CAP -1 mprj_io_dm[71] 1.20278e-05 -2 *545:4 1.20278e-05 -*RES -1 mprj_io_dm[71] *545:4 0.341972 -*END - -*D_NET *546 2.40556e-05 -*CONN -*P mprj_io_holdover[23] I -*CAP -1 mprj_io_holdover[23] 1.20278e-05 -2 *546:4 1.20278e-05 -*RES -1 mprj_io_holdover[23] *546:4 0.341972 -*END - -*D_NET *547 2.40556e-05 -*CONN -*P mprj_io_ib_mode_sel[23] I -*CAP -1 mprj_io_ib_mode_sel[23] 1.20278e-05 -2 *547:4 1.20278e-05 -*RES -1 mprj_io_ib_mode_sel[23] *547:4 0.341972 -*END - -*D_NET *548 2.40556e-05 -*CONN -*P mprj_io_inp_dis[23] I -*CAP -1 mprj_io_inp_dis[23] 1.20278e-05 -2 *548:4 1.20278e-05 -*RES -1 mprj_io_inp_dis[23] *548:4 0.341972 -*END - -*D_NET *549 2.40556e-05 -*CONN -*P mprj_io_oeb[23] I -*CAP -1 mprj_io_oeb[23] 1.20278e-05 -2 *549:4 1.20278e-05 -*RES -1 mprj_io_oeb[23] *549:4 0.341972 -*END - -*D_NET *550 2.40556e-05 -*CONN -*P mprj_io_out[23] I -*CAP -1 mprj_io_out[23] 1.20278e-05 -2 *550:4 1.20278e-05 -*RES -1 mprj_io_out[23] *550:4 0.341972 -*END - -*D_NET *551 2.40556e-05 -*CONN -*P mprj_io_slow_sel[23] I -*CAP -1 mprj_io_slow_sel[23] 1.20278e-05 -2 *551:4 1.20278e-05 -*RES -1 mprj_io_slow_sel[23] *551:4 0.341972 -*END - -*D_NET *552 2.40556e-05 -*CONN -*P mprj_io_vtrip_sel[23] I -*CAP -1 mprj_io_vtrip_sel[23] 1.20278e-05 -2 *552:4 1.20278e-05 -*RES -1 mprj_io_vtrip_sel[23] *552:4 0.341972 -*END - -*D_NET *553 2.40556e-05 -*CONN -*P mprj_io_in[23] I -*CAP -1 mprj_io_in[23] 1.20278e-05 -2 *553:4 1.20278e-05 -*RES -1 mprj_io_in[23] *553:4 0.341972 -*END - -*D_NET *554 0.000206191 -*CONN -*P mprj_analog_io[17] I -*CAP -1 mprj_analog_io[17] 0.000103095 -2 *554:4 0.000103095 -3 *554:4 *567:4 0 -*RES -1 mprj_analog_io[17] *554:4 2.93119 -*END - -*D_NET *556 0.000206191 -*CONN -*P mprj_io_analog_en[24] I -*CAP -1 mprj_io_analog_en[24] 0.000103095 -2 *556:4 0.000103095 -3 *556:4 *559:4 0 -*RES -1 mprj_io_analog_en[24] *556:4 2.93119 -*END - -*D_NET *557 0.000206191 -*CONN -*P mprj_io_analog_pol[24] I -*CAP -1 mprj_io_analog_pol[24] 0.000103095 -2 *557:4 0.000103095 -3 *557:4 *559:4 0 -4 *557:4 *564:4 0 -*RES -1 mprj_io_analog_pol[24] *557:4 2.93119 -*END - -*D_NET *558 0.000206191 -*CONN -*P mprj_io_analog_sel[24] I -*CAP -1 mprj_io_analog_sel[24] 0.000103095 -2 *558:4 0.000103095 -3 *558:4 *561:4 0 -*RES -1 mprj_io_analog_sel[24] *558:4 2.93119 -*END - -*D_NET *559 0.000206191 -*CONN -*P mprj_io_dm[72] I -*CAP -1 mprj_io_dm[72] 0.000103095 -2 *559:4 0.000103095 -3 *556:4 *559:4 0 -4 *557:4 *559:4 0 -*RES -1 mprj_io_dm[72] *559:4 2.93119 -*END - -*D_NET *560 0.000206191 -*CONN -*P mprj_io_dm[73] I -*CAP -1 mprj_io_dm[73] 0.000103095 -2 *560:4 0.000103095 -*RES -1 mprj_io_dm[73] *560:4 2.93119 -*END - -*D_NET *561 0.000206191 -*CONN -*P mprj_io_dm[74] I -*CAP -1 mprj_io_dm[74] 0.000103095 -2 *561:4 0.000103095 -3 *561:4 *562:4 0 -4 *558:4 *561:4 0 -*RES -1 mprj_io_dm[74] *561:4 2.93119 -*END - -*D_NET *562 0.000206191 -*CONN -*P mprj_io_holdover[24] I -*CAP -1 mprj_io_holdover[24] 0.000103095 -2 *562:4 0.000103095 -3 *562:4 *566:4 0 -4 *561:4 *562:4 0 -*RES -1 mprj_io_holdover[24] *562:4 2.93119 -*END - -*D_NET *563 0.000206191 -*CONN -*P mprj_io_ib_mode_sel[24] I -*CAP -1 mprj_io_ib_mode_sel[24] 0.000103095 -2 *563:4 0.000103095 -3 *563:4 *565:4 0 -4 *563:4 *568:4 0 -*RES -1 mprj_io_ib_mode_sel[24] *563:4 2.93119 -*END - -*D_NET *564 0.000206191 -*CONN -*P mprj_io_inp_dis[24] I -*CAP -1 mprj_io_inp_dis[24] 0.000103095 -2 *564:4 0.000103095 -3 *557:4 *564:4 0 -*RES -1 mprj_io_inp_dis[24] *564:4 2.93119 -*END - -*D_NET *565 0.000206191 -*CONN -*P mprj_io_oeb[24] I -*CAP -1 mprj_io_oeb[24] 0.000103095 -2 *565:4 0.000103095 -3 *563:4 *565:4 0 -*RES -1 mprj_io_oeb[24] *565:4 2.93119 -*END - -*D_NET *566 0.000206191 -*CONN -*P mprj_io_out[24] I -*CAP -1 mprj_io_out[24] 0.000103095 -2 *566:4 0.000103095 -3 *562:4 *566:4 0 -*RES -1 mprj_io_out[24] *566:4 2.93119 -*END - -*D_NET *567 0.000206191 -*CONN -*P mprj_io_slow_sel[24] I -*CAP -1 mprj_io_slow_sel[24] 0.000103095 -2 *567:4 0.000103095 -3 *554:4 *567:4 0 -*RES -1 mprj_io_slow_sel[24] *567:4 2.93119 -*END - -*D_NET *568 0.000206191 -*CONN -*P mprj_io_vtrip_sel[24] I -*CAP -1 mprj_io_vtrip_sel[24] 0.000103095 -2 *568:4 0.000103095 -3 *563:4 *568:4 0 -*RES -1 mprj_io_vtrip_sel[24] *568:4 2.93119 -*END - -*D_NET *569 0.000206191 -*CONN -*P mprj_io_in[24] I -*CAP -1 mprj_io_in[24] 0.000103095 -2 *569:4 0.000103095 -*RES -1 mprj_io_in[24] *569:4 2.93119 -*END - -*D_NET *570 0.000206191 -*CONN -*P mprj_analog_io[18] I -*CAP -1 mprj_analog_io[18] 0.000103095 -2 *570:4 0.000103095 -3 *570:4 *583:4 0 -*RES -1 mprj_analog_io[18] *570:4 2.93119 -*END - -*D_NET *572 0.000206191 -*CONN -*P mprj_io_analog_en[25] I -*CAP -1 mprj_io_analog_en[25] 0.000103095 -2 *572:4 0.000103095 -3 *572:4 *575:4 0 -*RES -1 mprj_io_analog_en[25] *572:4 2.93119 -*END - -*D_NET *573 0.000206191 -*CONN -*P mprj_io_analog_pol[25] I -*CAP -1 mprj_io_analog_pol[25] 0.000103095 -2 *573:4 0.000103095 -3 *573:4 *575:4 0 -4 *573:4 *580:4 0 -*RES -1 mprj_io_analog_pol[25] *573:4 2.93119 -*END - -*D_NET *574 0.000206191 -*CONN -*P mprj_io_analog_sel[25] I -*CAP -1 mprj_io_analog_sel[25] 0.000103095 -2 *574:4 0.000103095 -3 *574:4 *577:4 0 -*RES -1 mprj_io_analog_sel[25] *574:4 2.93119 -*END - -*D_NET *575 0.000206191 -*CONN -*P mprj_io_dm[75] I -*CAP -1 mprj_io_dm[75] 0.000103095 -2 *575:4 0.000103095 -3 *572:4 *575:4 0 -4 *573:4 *575:4 0 -*RES -1 mprj_io_dm[75] *575:4 2.93119 -*END - -*D_NET *576 0.000206191 -*CONN -*P mprj_io_dm[76] I -*CAP -1 mprj_io_dm[76] 0.000103095 -2 *576:4 0.000103095 -*RES -1 mprj_io_dm[76] *576:4 2.93119 -*END - -*D_NET *577 0.000206191 -*CONN -*P mprj_io_dm[77] I -*CAP -1 mprj_io_dm[77] 0.000103095 -2 *577:4 0.000103095 -3 *577:4 *578:4 0 -4 *574:4 *577:4 0 -*RES -1 mprj_io_dm[77] *577:4 2.93119 -*END - -*D_NET *578 0.000206191 -*CONN -*P mprj_io_holdover[25] I -*CAP -1 mprj_io_holdover[25] 0.000103095 -2 *578:4 0.000103095 -3 *578:4 *582:4 0 -4 *577:4 *578:4 0 -*RES -1 mprj_io_holdover[25] *578:4 2.93119 -*END - -*D_NET *579 0.000206191 -*CONN -*P mprj_io_ib_mode_sel[25] I -*CAP -1 mprj_io_ib_mode_sel[25] 0.000103095 -2 *579:4 0.000103095 -3 *579:4 *581:4 0 -4 *579:4 *584:4 0 -*RES -1 mprj_io_ib_mode_sel[25] *579:4 2.93119 -*END - -*D_NET *580 0.000206191 -*CONN -*P mprj_io_inp_dis[25] I -*CAP -1 mprj_io_inp_dis[25] 0.000103095 -2 *580:4 0.000103095 -3 *573:4 *580:4 0 -*RES -1 mprj_io_inp_dis[25] *580:4 2.93119 -*END - -*D_NET *581 0.000206191 -*CONN -*P mprj_io_oeb[25] I -*CAP -1 mprj_io_oeb[25] 0.000103095 -2 *581:4 0.000103095 -3 *579:4 *581:4 0 -*RES -1 mprj_io_oeb[25] *581:4 2.93119 -*END - -*D_NET *582 0.000206191 -*CONN -*P mprj_io_out[25] I -*CAP -1 mprj_io_out[25] 0.000103095 -2 *582:4 0.000103095 -3 *578:4 *582:4 0 -*RES -1 mprj_io_out[25] *582:4 2.93119 -*END - -*D_NET *583 0.000206191 -*CONN -*P mprj_io_slow_sel[25] I -*CAP -1 mprj_io_slow_sel[25] 0.000103095 -2 *583:4 0.000103095 -3 *570:4 *583:4 0 -*RES -1 mprj_io_slow_sel[25] *583:4 2.93119 -*END - -*D_NET *584 0.000206191 -*CONN -*P mprj_io_vtrip_sel[25] I -*CAP -1 mprj_io_vtrip_sel[25] 0.000103095 -2 *584:4 0.000103095 -3 *579:4 *584:4 0 -*RES -1 mprj_io_vtrip_sel[25] *584:4 2.93119 -*END - -*D_NET *585 0.000206191 -*CONN -*P mprj_io_in[25] I -*CAP -1 mprj_io_in[25] 0.000103095 -2 *585:4 0.000103095 -*RES -1 mprj_io_in[25] *585:4 2.93119 -*END - -*D_NET *586 0.000206191 -*CONN -*P mprj_analog_io[19] I -*CAP -1 mprj_analog_io[19] 0.000103095 -2 *586:4 0.000103095 -3 *586:4 *599:4 0 -*RES -1 mprj_analog_io[19] *586:4 2.93119 -*END - -*D_NET *588 0.000206191 -*CONN -*P mprj_io_analog_en[26] I -*CAP -1 mprj_io_analog_en[26] 0.000103095 -2 *588:4 0.000103095 -3 *588:4 *591:4 0 -*RES -1 mprj_io_analog_en[26] *588:4 2.93119 -*END - -*D_NET *589 0.000206191 -*CONN -*P mprj_io_analog_pol[26] I -*CAP -1 mprj_io_analog_pol[26] 0.000103095 -2 *589:4 0.000103095 -3 *589:4 *591:4 0 -4 *589:4 *596:4 0 -*RES -1 mprj_io_analog_pol[26] *589:4 2.93119 -*END - -*D_NET *590 0.000206191 -*CONN -*P mprj_io_analog_sel[26] I -*CAP -1 mprj_io_analog_sel[26] 0.000103095 -2 *590:4 0.000103095 -3 *590:4 *593:4 0 -*RES -1 mprj_io_analog_sel[26] *590:4 2.93119 -*END - -*D_NET *591 0.000206191 -*CONN -*P mprj_io_dm[78] I -*CAP -1 mprj_io_dm[78] 0.000103095 -2 *591:4 0.000103095 -3 *588:4 *591:4 0 -4 *589:4 *591:4 0 -*RES -1 mprj_io_dm[78] *591:4 2.93119 -*END - -*D_NET *592 0.000206191 -*CONN -*P mprj_io_dm[79] I -*CAP -1 mprj_io_dm[79] 0.000103095 -2 *592:4 0.000103095 -*RES -1 mprj_io_dm[79] *592:4 2.93119 -*END - -*D_NET *593 0.000206191 -*CONN -*P mprj_io_dm[80] I -*CAP -1 mprj_io_dm[80] 0.000103095 -2 *593:4 0.000103095 -3 *593:4 *594:4 0 -4 *590:4 *593:4 0 -*RES -1 mprj_io_dm[80] *593:4 2.93119 -*END - -*D_NET *594 0.000206191 -*CONN -*P mprj_io_holdover[26] I -*CAP -1 mprj_io_holdover[26] 0.000103095 -2 *594:4 0.000103095 -3 *594:4 *598:4 0 -4 *593:4 *594:4 0 -*RES -1 mprj_io_holdover[26] *594:4 2.93119 -*END - -*D_NET *595 0.000206191 -*CONN -*P mprj_io_ib_mode_sel[26] I -*CAP -1 mprj_io_ib_mode_sel[26] 0.000103095 -2 *595:4 0.000103095 -3 *595:4 *597:4 0 -4 *595:4 *600:4 0 -*RES -1 mprj_io_ib_mode_sel[26] *595:4 2.93119 -*END - -*D_NET *596 0.000206191 -*CONN -*P mprj_io_inp_dis[26] I -*CAP -1 mprj_io_inp_dis[26] 0.000103095 -2 *596:4 0.000103095 -3 *589:4 *596:4 0 -*RES -1 mprj_io_inp_dis[26] *596:4 2.93119 -*END - -*D_NET *597 0.000206191 -*CONN -*P mprj_io_oeb[26] I -*CAP -1 mprj_io_oeb[26] 0.000103095 -2 *597:4 0.000103095 -3 *595:4 *597:4 0 -*RES -1 mprj_io_oeb[26] *597:4 2.93119 -*END - -*D_NET *598 0.000206191 -*CONN -*P mprj_io_out[26] I -*CAP -1 mprj_io_out[26] 0.000103095 -2 *598:4 0.000103095 -3 *594:4 *598:4 0 -*RES -1 mprj_io_out[26] *598:4 2.93119 -*END - -*D_NET *599 0.000206191 -*CONN -*P mprj_io_slow_sel[26] I -*CAP -1 mprj_io_slow_sel[26] 0.000103095 -2 *599:4 0.000103095 -3 *586:4 *599:4 0 -*RES -1 mprj_io_slow_sel[26] *599:4 2.93119 -*END - -*D_NET *600 0.000206191 -*CONN -*P mprj_io_vtrip_sel[26] I -*CAP -1 mprj_io_vtrip_sel[26] 0.000103095 -2 *600:4 0.000103095 -3 *595:4 *600:4 0 -*RES -1 mprj_io_vtrip_sel[26] *600:4 2.93119 -*END - -*D_NET *601 0.000206191 -*CONN -*P mprj_io_in[26] I -*CAP -1 mprj_io_in[26] 0.000103095 -2 *601:4 0.000103095 -*RES -1 mprj_io_in[26] *601:4 2.93119 -*END - -*D_NET *602 0.000206191 -*CONN -*P mprj_analog_io[20] I -*CAP -1 mprj_analog_io[20] 0.000103095 -2 *602:4 0.000103095 -3 *602:4 *615:4 0 -*RES -1 mprj_analog_io[20] *602:4 2.93119 -*END - -*D_NET *604 0.000206191 -*CONN -*P mprj_io_analog_en[27] I -*CAP -1 mprj_io_analog_en[27] 0.000103095 -2 *604:4 0.000103095 -3 *604:4 *607:4 0 -*RES -1 mprj_io_analog_en[27] *604:4 2.93119 -*END - -*D_NET *605 0.000206191 -*CONN -*P mprj_io_analog_pol[27] I -*CAP -1 mprj_io_analog_pol[27] 0.000103095 -2 *605:4 0.000103095 -3 *605:4 *607:4 0 -4 *605:4 *612:4 0 -*RES -1 mprj_io_analog_pol[27] *605:4 2.93119 -*END - -*D_NET *606 0.000206191 -*CONN -*P mprj_io_analog_sel[27] I -*CAP -1 mprj_io_analog_sel[27] 0.000103095 -2 *606:4 0.000103095 -3 *606:4 *609:4 0 -*RES -1 mprj_io_analog_sel[27] *606:4 2.93119 -*END - -*D_NET *607 0.000206191 -*CONN -*P mprj_io_dm[81] I -*CAP -1 mprj_io_dm[81] 0.000103095 -2 *607:4 0.000103095 -3 *604:4 *607:4 0 -4 *605:4 *607:4 0 -*RES -1 mprj_io_dm[81] *607:4 2.93119 -*END - -*D_NET *608 0.000206191 -*CONN -*P mprj_io_dm[82] I -*CAP -1 mprj_io_dm[82] 0.000103095 -2 *608:4 0.000103095 -*RES -1 mprj_io_dm[82] *608:4 2.93119 -*END - -*D_NET *609 0.000206191 -*CONN -*P mprj_io_dm[83] I -*CAP -1 mprj_io_dm[83] 0.000103095 -2 *609:4 0.000103095 -3 *609:4 *610:4 0 -4 *606:4 *609:4 0 -*RES -1 mprj_io_dm[83] *609:4 2.93119 -*END - -*D_NET *610 0.000206191 -*CONN -*P mprj_io_holdover[27] I -*CAP -1 mprj_io_holdover[27] 0.000103095 -2 *610:4 0.000103095 -3 *610:4 *614:4 0 -4 *609:4 *610:4 0 -*RES -1 mprj_io_holdover[27] *610:4 2.93119 -*END - -*D_NET *611 0.000206191 -*CONN -*P mprj_io_ib_mode_sel[27] I -*CAP -1 mprj_io_ib_mode_sel[27] 0.000103095 -2 *611:4 0.000103095 -3 *611:4 *613:4 0 -4 *611:4 *616:4 0 -*RES -1 mprj_io_ib_mode_sel[27] *611:4 2.93119 -*END - -*D_NET *612 0.000206191 -*CONN -*P mprj_io_inp_dis[27] I -*CAP -1 mprj_io_inp_dis[27] 0.000103095 -2 *612:4 0.000103095 -3 *605:4 *612:4 0 -*RES -1 mprj_io_inp_dis[27] *612:4 2.93119 -*END - -*D_NET *613 0.000206191 -*CONN -*P mprj_io_oeb[27] I -*CAP -1 mprj_io_oeb[27] 0.000103095 -2 *613:4 0.000103095 -3 *611:4 *613:4 0 -*RES -1 mprj_io_oeb[27] *613:4 2.93119 -*END - -*D_NET *614 0.000206191 -*CONN -*P mprj_io_out[27] I -*CAP -1 mprj_io_out[27] 0.000103095 -2 *614:4 0.000103095 -3 *610:4 *614:4 0 -*RES -1 mprj_io_out[27] *614:4 2.93119 -*END - -*D_NET *615 0.000206191 -*CONN -*P mprj_io_slow_sel[27] I -*CAP -1 mprj_io_slow_sel[27] 0.000103095 -2 *615:4 0.000103095 -3 *602:4 *615:4 0 -*RES -1 mprj_io_slow_sel[27] *615:4 2.93119 -*END - -*D_NET *616 0.000206191 -*CONN -*P mprj_io_vtrip_sel[27] I -*CAP -1 mprj_io_vtrip_sel[27] 0.000103095 -2 *616:4 0.000103095 -3 *611:4 *616:4 0 -*RES -1 mprj_io_vtrip_sel[27] *616:4 2.93119 -*END - -*D_NET *617 0.000206191 -*CONN -*P mprj_io_in[27] I -*CAP -1 mprj_io_in[27] 0.000103095 -2 *617:4 0.000103095 -*RES -1 mprj_io_in[27] *617:4 2.93119 -*END - -*D_NET *618 0.000206191 -*CONN -*P mprj_analog_io[21] I -*CAP -1 mprj_analog_io[21] 0.000103095 -2 *618:4 0.000103095 -3 *618:4 *631:4 0 -*RES -1 mprj_analog_io[21] *618:4 2.93119 -*END - -*D_NET *620 0.000206191 -*CONN -*P mprj_io_analog_en[28] I -*CAP -1 mprj_io_analog_en[28] 0.000103095 -2 *620:4 0.000103095 -3 *620:4 *623:4 0 -*RES -1 mprj_io_analog_en[28] *620:4 2.93119 -*END - -*D_NET *621 0.000206191 -*CONN -*P mprj_io_analog_pol[28] I -*CAP -1 mprj_io_analog_pol[28] 0.000103095 -2 *621:4 0.000103095 -3 *621:4 *623:4 0 -4 *621:4 *628:4 0 -*RES -1 mprj_io_analog_pol[28] *621:4 2.93119 -*END - -*D_NET *622 0.000206191 -*CONN -*P mprj_io_analog_sel[28] I -*CAP -1 mprj_io_analog_sel[28] 0.000103095 -2 *622:4 0.000103095 -3 *622:4 *625:4 0 -*RES -1 mprj_io_analog_sel[28] *622:4 2.93119 -*END - -*D_NET *623 0.000206191 -*CONN -*P mprj_io_dm[84] I -*CAP -1 mprj_io_dm[84] 0.000103095 -2 *623:4 0.000103095 -3 *620:4 *623:4 0 -4 *621:4 *623:4 0 -*RES -1 mprj_io_dm[84] *623:4 2.93119 -*END - -*D_NET *624 0.000206191 -*CONN -*P mprj_io_dm[85] I -*CAP -1 mprj_io_dm[85] 0.000103095 -2 *624:4 0.000103095 -*RES -1 mprj_io_dm[85] *624:4 2.93119 -*END - -*D_NET *625 0.000206191 -*CONN -*P mprj_io_dm[86] I -*CAP -1 mprj_io_dm[86] 0.000103095 -2 *625:4 0.000103095 -3 *625:4 *626:4 0 -4 *622:4 *625:4 0 -*RES -1 mprj_io_dm[86] *625:4 2.93119 -*END - -*D_NET *626 0.000206191 -*CONN -*P mprj_io_holdover[28] I -*CAP -1 mprj_io_holdover[28] 0.000103095 -2 *626:4 0.000103095 -3 *626:4 *630:4 0 -4 *625:4 *626:4 0 -*RES -1 mprj_io_holdover[28] *626:4 2.93119 -*END - -*D_NET *627 0.000206191 -*CONN -*P mprj_io_ib_mode_sel[28] I -*CAP -1 mprj_io_ib_mode_sel[28] 0.000103095 -2 *627:4 0.000103095 -3 *627:4 *629:4 0 -4 *627:4 *632:4 0 -*RES -1 mprj_io_ib_mode_sel[28] *627:4 2.93119 -*END - -*D_NET *628 0.000206191 -*CONN -*P mprj_io_inp_dis[28] I -*CAP -1 mprj_io_inp_dis[28] 0.000103095 -2 *628:4 0.000103095 -3 *621:4 *628:4 0 -*RES -1 mprj_io_inp_dis[28] *628:4 2.93119 -*END - -*D_NET *629 0.000206191 -*CONN -*P mprj_io_oeb[28] I -*CAP -1 mprj_io_oeb[28] 0.000103095 -2 *629:4 0.000103095 -3 *627:4 *629:4 0 -*RES -1 mprj_io_oeb[28] *629:4 2.93119 -*END - -*D_NET *630 0.000206191 -*CONN -*P mprj_io_out[28] I -*CAP -1 mprj_io_out[28] 0.000103095 -2 *630:4 0.000103095 -3 *626:4 *630:4 0 -*RES -1 mprj_io_out[28] *630:4 2.93119 -*END - -*D_NET *631 0.000206191 -*CONN -*P mprj_io_slow_sel[28] I -*CAP -1 mprj_io_slow_sel[28] 0.000103095 -2 *631:4 0.000103095 -3 *618:4 *631:4 0 -*RES -1 mprj_io_slow_sel[28] *631:4 2.93119 -*END - -*D_NET *632 0.000206191 -*CONN -*P mprj_io_vtrip_sel[28] I -*CAP -1 mprj_io_vtrip_sel[28] 0.000103095 -2 *632:4 0.000103095 -3 *627:4 *632:4 0 -*RES -1 mprj_io_vtrip_sel[28] *632:4 2.93119 -*END - -*D_NET *633 0.000206191 -*CONN -*P mprj_io_in[28] I -*CAP -1 mprj_io_in[28] 0.000103095 -2 *633:4 0.000103095 -*RES -1 mprj_io_in[28] *633:4 2.93119 -*END - -*D_NET *634 0.00315145 -*CONN -*P resetb I -*CAP -1 resetb 0.00157573 -2 *634:4 0.00157573 -*RES -1 resetb *634:4 0.682487 -*END - -*D_NET *635 8.09526e-05 -*CONN -*P vdda I -*CAP -1 vdda 4.04763e-05 -2 *635:4 4.04763e-05 -*RES -1 vdda *635:4 0.16137 -*END - -*D_NET *636 0.00632442 -*CONN -*P vssa I -*CAP -1 vssa 0.00316221 -2 *636:4 0.00316221 -*RES -1 vssa *636:4 12.607 -*END - -*D_NET *637 0.00570109 -*CONN -*P vccd1_pad I -*CAP -1 vccd1_pad 0.00285055 -2 *637:4 0.00285055 -*RES -1 vccd1_pad *637:4 1.23464 -*END - -*D_NET *638 0.00515522 -*CONN -*P vdda1_pad I -*CAP -1 vdda1_pad 0.00257761 -2 *638:4 0.00257761 -*RES -1 vdda1_pad *638:4 1.11643 -*END - -*D_NET *639 0.00515522 -*CONN -*P vdda1_pad2 I -*CAP -1 vdda1_pad2 0.00257761 -2 *639:4 0.00257761 -*RES -1 vdda1_pad2 *639:4 1.11643 -*END - -*D_NET *640 0.00515692 -*CONN -*P vssa1_pad I -*CAP -1 vssa1_pad 0.00257846 -2 *640:4 0.00257846 -*RES -1 vssa1_pad *640:4 1.1168 -*END - -*D_NET *641 0.00515522 -*CONN -*P vssa1_pad2 I -*CAP -1 vssa1_pad2 0.00257761 -2 *641:4 0.00257761 -*RES -1 vssa1_pad2 *641:4 1.11643 -*END - -*D_NET *642 0.000290923 -*CONN -*P vdda1 I -*CAP -1 vdda1 0.000145462 -2 *642:4 0.000145462 -*RES -1 vdda1 *642:4 0.579924 -*END - -*D_NET *643 2.78274e-05 -*CONN -*P vssa1 I -*CAP -1 vssa1 1.39137e-05 -2 *643:4 1.39137e-05 -*RES -1 vssa1 *643:4 0.055471 -*END - -*D_NET *644 0.00570109 -*CONN -*P vssd1_pad I -*CAP -1 vssd1_pad 0.00285055 -2 *644:4 0.00285055 -*RES -1 vssd1_pad *644:4 1.23464 -*END - -*D_NET *645 0.00570109 -*CONN -*P vccd2_pad I -*CAP -1 vccd2_pad 0.00285055 -2 *645:4 0.00285055 -*RES -1 vccd2_pad *645:4 1.23464 -*END - -*D_NET *646 0.00515522 -*CONN -*P vdda2_pad I -*CAP -1 vdda2_pad 0.00257761 -2 *646:4 0.00257761 -*RES -1 vdda2_pad *646:4 1.11643 -*END - -*D_NET *647 0.00515522 -*CONN -*P vssa2_pad I -*CAP -1 vssa2_pad 0.00257761 -2 *647:4 0.00257761 -*RES -1 vssa2_pad *647:4 1.11643 -*END - -*D_NET *648 0.000459575 -*CONN -*P vccd I -*CAP -1 vccd 0.000229787 -2 *648:4 0.000229787 -*RES -1 vccd *648:4 0.916112 -*END - -*D_NET *649 0.000290923 -*CONN -*P vdda2 I -*CAP -1 vdda2 0.000145462 -2 *649:4 0.000145462 -*RES -1 vdda2 *649:4 0.579924 -*END - -*D_NET *650 0.000290923 -*CONN -*P vddio I -*CAP -1 vddio 0.000145462 -2 *650:4 0.000145462 -*RES -1 vddio *650:4 0.579924 -*END - -*D_NET *651 2.78274e-05 -*CONN -*P vssa2 I -*CAP -1 vssa2 1.39137e-05 -2 *651:4 1.39137e-05 -*RES -1 vssa2 *651:4 0.055471 -*END - -*D_NET *652 0.00570109 -*CONN -*P vssd2_pad I -*CAP -1 vssd2_pad 0.00285055 -2 *652:4 0.00285055 -*RES -1 vssd2_pad *652:4 1.23464 -*END - -*D_NET *653 0.00203899 -*CONN -*P vssio I -*CAP -1 vssio 0.0010195 -2 *653:4 0.0010195 -*RES -1 vssio *653:4 4.06451 -*END - -*D_NET *654 0.000112996 -*CONN -*P vssd I -*CAP -1 vssd 5.64982e-05 -2 *654:4 5.64982e-05 -*RES -1 vssd *654:4 0.225246 -*END - -*D_NET *655 6.27163e-05 -*CONN -*P resetb_core_h I -*CAP -1 resetb_core_h 3.13582e-05 -2 *655:4 3.13582e-05 -*RES -1 resetb_core_h *655:4 0.891571 -*END - -*D_NET *656 0.0432846 -*CONN -*CAP -1 *656:179 0.000725622 -2 *656:178 0.000725622 -3 *656:174 0.00121835 -4 *656:173 0.00121835 -5 *656:169 0.000903627 -6 *656:168 0.000903627 -7 *656:164 4.67886e-05 -8 *656:163 4.67886e-05 -9 *656:159 0.00100923 -10 *656:158 0.00100923 -11 *656:154 2.69842e-05 -12 *656:153 2.69842e-05 -13 *656:149 0.00100923 -14 *656:148 0.00100923 -15 *656:144 0.000721277 -16 *656:143 0.000721277 -17 *656:139 0.000904275 -18 *656:138 0.000904275 -19 *656:134 0.00121835 -20 *656:133 0.00121835 -21 *656:129 4.43685e-05 -22 *656:128 4.43685e-05 -23 *656:124 0.000781075 -24 *656:123 0.000781075 -25 *656:119 2.82491e-05 -26 *656:118 2.82491e-05 -27 *656:114 0.00101193 -28 *656:113 0.00101193 -29 *656:109 0.000719973 -30 *656:108 0.000719973 -31 *656:104 0.000959841 -32 *656:103 0.000959841 -33 *656:99 5.2575e-05 -34 *656:98 5.2575e-05 -35 *656:94 0.000964207 -36 *656:93 0.000964207 -37 *656:89 3.2268e-05 -38 *656:88 3.2268e-05 -39 *656:84 0.000799027 -40 *656:83 0.000799027 -41 *656:79 4.59819e-05 -42 *656:78 4.59819e-05 -43 *656:74 0.00104952 -44 *656:73 0.00104952 -45 *656:69 0.000622538 -46 *656:68 0.000622538 -47 *656:64 0.000233589 -48 *656:59 0 -49 *656:52 0.000473641 -50 *656:51 0.000240052 -51 *656:47 0.000550953 -52 *656:46 0.000550953 -53 *656:42 0.000128191 -54 *656:37 0 -55 *656:30 0.000312985 -56 *656:29 0.000184794 -57 *656:25 0.000483143 -58 *656:24 0.000483143 -59 *656:20 0.00016879 -60 *656:15 0 -61 *656:8 0.00039854 -62 *656:7 0.00022975 -63 *656:3 0.000573949 -64 *656:2 0.000573949 -65 *656:25 *657:174 0.00027762 -66 *656:25 *657:179 0.000527143 -67 *656:25 *657:184 0.00013881 -68 *656:74 *657:104 0.000199818 -69 *656:84 *657:134 0.00041057 -70 *656:94 *657:84 0.00040085 -71 *656:94 *657:104 0.000971155 -72 *656:104 *657:47 0.000151769 -73 *656:104 *657:114 0.000204475 -74 *656:104 *657:134 0.00100867 -75 *656:109 *657:47 0.000576355 -76 *656:124 *657:174 0.000374275 -77 *656:139 *657:154 0.000201651 -78 *656:139 *657:174 0.00093361 -79 *656:149 *657:184 0.000187158 -80 *656:169 *657:164 0.00040452 -81 *656:169 *657:184 0.000939181 -*RES -1 *656:2 *656:3 0.238632 -2 *656:7 *656:8 0.0486442 -3 *656:8 *656:15 0.00168684 -4 *656:8 *656:20 0.0473593 -5 *656:24 *656:25 0.238632 -6 *656:29 *656:30 0.0504798 -7 *656:30 *656:37 0.00168684 -8 *656:30 *656:42 0.0455236 -9 *656:46 *656:47 0.238632 -10 *656:51 *656:52 0.0501127 -11 *656:52 *656:59 0.00181331 -12 *656:52 *656:64 0.0497456 -13 *656:68 *656:69 0.238632 -14 *656:73 *656:74 2.1869 -15 *656:78 *656:79 0.0958136 -16 *656:83 *656:84 2.1869 -17 *656:88 *656:89 0.0672376 -18 *656:93 *656:94 4.95856 -19 *656:98 *656:99 0.201202 -20 *656:103 *656:104 4.95856 -21 *656:108 *656:109 2.75531 -22 *656:113 *656:114 2.19363 -23 *656:118 *656:119 0.112623 -24 *656:123 *656:124 2.19363 -25 *656:128 *656:129 0.0924517 -26 *656:133 *656:134 4.66258 -27 *656:138 *656:139 4.66258 -28 *656:143 *656:144 2.7603 -29 *656:148 *656:149 2.19363 -30 *656:153 *656:154 0.10758 -31 *656:158 *656:159 2.19363 -32 *656:163 *656:164 0.0974945 -33 *656:168 *656:169 4.66258 -34 *656:173 *656:174 4.66258 -35 *656:178 *656:179 2.77693 -*END - -*D_NET *657 0.0444972 -*CONN -*CAP -1 *657:189 2.99808e-05 -2 *657:188 2.99808e-05 -3 *657:184 0.000903627 -4 *657:183 0.000903627 -5 *657:179 0.000666964 -6 *657:178 0.000666964 -7 *657:174 0.000904275 -8 *657:173 0.000904275 -9 *657:169 4.92087e-05 -10 *657:168 4.92087e-05 -11 *657:164 0.000801484 -12 *657:163 0.000801484 -13 *657:159 3.54948e-05 -14 *657:158 3.54948e-05 -15 *657:154 0.00105274 -16 *657:153 0.00105274 -17 *657:149 2.95463e-05 -18 *657:148 2.95463e-05 -19 *657:144 0.00129569 -20 *657:143 0.00129569 -21 *657:139 0.000743002 -22 *657:138 0.000743002 -23 *657:134 0.000959841 -24 *657:133 0.000959841 -25 *657:129 4.59819e-05 -26 *657:128 4.59819e-05 -27 *657:124 0.00104952 -28 *657:123 0.00104952 -29 *657:119 3.2268e-05 -30 *657:118 3.2268e-05 -31 *657:114 0.00104952 -32 *657:113 0.00104952 -33 *657:109 2.52012e-05 -34 *657:108 2.52012e-05 -35 *657:104 0.000964208 -36 *657:103 0.000964208 -37 *657:99 0.000747347 -38 *657:98 0.000747347 -39 *657:94 0.00129569 -40 *657:93 0.00129569 -41 *657:89 3.95283e-05 -42 *657:88 3.95283e-05 -43 *657:84 0.000799027 -44 *657:83 0.000799027 -45 *657:79 3.87216e-05 -46 *657:78 3.87216e-05 -47 *657:74 0.00104952 -48 *657:73 0.00104952 -49 *657:69 0.000550953 -50 *657:68 0.000550953 -51 *657:64 0.00017859 -52 *657:59 0 -53 *657:52 0.000329232 -54 *657:51 0.000150643 -55 *657:47 0.000823112 -56 *657:46 0.000823112 -57 *657:42 0.000230285 -58 *657:37 0 -59 *657:30 0.000426227 -60 *657:29 0.000195942 -61 *657:25 0.000550953 -62 *657:24 0.000550953 -63 *657:20 0.000243266 -64 *657:15 0 -65 *657:8 0.000454974 -66 *657:7 0.000211707 -67 *657:3 0.000550953 -68 *657:2 0.000550953 -69 *656:25 *657:174 0.00027762 -70 *656:25 *657:179 0.000527143 -71 *656:25 *657:184 0.00013881 -72 *656:74 *657:104 0.000199818 -73 *656:84 *657:134 0.00041057 -74 *656:94 *657:84 0.00040085 -75 *656:94 *657:104 0.000971155 -76 *656:104 *657:47 0.000151769 -77 *656:104 *657:114 0.000204475 -78 *656:104 *657:134 0.00100867 -79 *656:109 *657:47 0.000576355 -80 *656:124 *657:174 0.000374275 -81 *656:139 *657:154 0.000201651 -82 *656:139 *657:174 0.00093361 -83 *656:149 *657:184 0.000187158 -84 *656:169 *657:164 0.00040452 -85 *656:169 *657:184 0.000939181 -*RES -1 *657:2 *657:3 0.238632 -2 *657:7 *657:8 0.0488278 -3 *657:8 *657:15 0.00181331 -4 *657:8 *657:20 0.0502963 -5 *657:24 *657:25 0.238632 -6 *657:29 *657:30 0.0480935 -7 *657:30 *657:37 0.00168684 -8 *657:30 *657:42 0.0517648 -9 *657:46 *657:47 0.238632 -10 *657:51 *657:52 0.0499291 -11 *657:52 *657:59 0.00168684 -12 *657:52 *657:64 0.0499291 -13 *657:68 *657:69 0.238632 -14 *657:73 *657:74 2.1869 -15 *657:78 *657:79 0.0806851 -16 *657:83 *657:84 2.1869 -17 *657:88 *657:89 0.0823661 -18 *657:93 *657:94 4.95856 -19 *657:98 *657:99 2.86007 -20 *657:103 *657:104 4.95856 -21 *657:108 *657:109 0.0964441 -22 *657:113 *657:114 2.1869 -23 *657:118 *657:119 0.0672376 -24 *657:123 *657:124 2.1869 -25 *657:128 *657:129 0.0958136 -26 *657:133 *657:134 4.95856 -27 *657:138 *657:139 2.84344 -28 *657:143 *657:144 4.95856 -29 *657:148 *657:149 0.113072 -30 *657:153 *657:154 2.19363 -31 *657:158 *657:159 0.0739614 -32 *657:163 *657:164 2.19363 -33 *657:168 *657:169 0.102537 -34 *657:173 *657:174 4.66258 -35 *657:178 *657:179 2.55244 -36 *657:183 *657:184 4.66258 -37 *657:188 *657:189 0.114735 -*END - -*D_NET *658 0.0438276 -*CONN -*CAP -1 *658:189 0.000777328 -2 *658:188 0.000777328 -3 *658:184 0.00132176 -4 *658:183 0.00132176 -5 *658:179 2.99808e-05 -6 *658:178 2.99808e-05 -7 *658:174 0.00098056 -8 *658:173 0.00098056 -9 *658:169 5.08221e-05 -10 *658:168 5.08221e-05 -11 *658:164 0.00104871 -12 *658:163 0.00104871 -13 *658:159 4.27551e-05 -14 *658:158 4.27551e-05 -15 *658:154 0.00104871 -16 *658:153 0.00104871 -17 *658:149 0.000778631 -18 *658:148 0.000778631 -19 *658:144 0.000988321 -20 *658:143 0.000988321 -21 *658:139 2.86773e-05 -22 *658:138 2.86773e-05 -23 *658:134 0.00132176 -24 *658:133 0.00132176 -25 *658:129 5.24355e-05 -26 *658:128 5.24355e-05 -27 *658:124 0.000798413 -28 *658:123 0.000798413 -29 *658:119 4.11417e-05 -30 *658:118 4.11417e-05 -31 *658:114 0.00104871 -32 *658:113 0.00104871 -33 *658:109 0.000740829 -34 *658:108 0.000740829 -35 *658:104 0.000963414 -36 *658:103 0.000963414 -37 *658:99 4.38849e-05 -38 *658:98 4.38849e-05 -39 *658:94 0.000964048 -40 *658:93 0.000964048 -41 *658:89 4.8402e-05 -42 *658:88 4.8402e-05 -43 *658:84 0.00080087 -44 *658:83 0.00080087 -45 *658:79 5.96958e-05 -46 *658:78 5.96958e-05 -47 *658:74 0.00080087 -48 *658:73 0.00080087 -49 *658:69 0.000550953 -50 *658:68 0.000550953 -51 *658:64 0.000160358 -52 *658:59 0 -53 *658:52 0.000337349 -54 *658:51 0.00017699 -55 *658:47 0.000550953 -56 *658:46 0.000550953 -57 *658:42 0.000183972 -58 *658:37 0 -59 *658:30 0.000402128 -60 *658:29 0.000218156 -61 *658:25 0.000822823 -62 *658:24 0.000822823 -63 *658:20 0.00019518 -64 *658:15 0 -65 *658:8 0.000424591 -66 *658:7 0.000229411 -67 *658:3 0.000550953 -68 *658:2 0.000550953 -69 *658:25 *659:179 0.000576355 -70 *658:25 *659:184 0.000151769 -71 *658:74 *659:104 0.000200075 -72 *658:94 *659:84 0.000198184 -73 *658:94 *659:104 0.000954631 -74 *658:104 *659:114 0.000199198 -75 *658:104 *659:134 0.000959347 -76 *658:109 *659:47 0.000576355 -77 *658:124 *659:174 0.000394299 -78 *658:144 *659:154 0.000195829 -79 *658:144 *659:174 0.000956156 -80 *658:154 *659:184 0.000203104 -81 *658:174 *659:164 0.000404463 -82 *658:174 *659:184 0.00101688 -*RES -1 *658:2 *658:3 0.238632 -2 *658:7 *658:8 0.0477264 -3 *658:8 *658:15 0.00181331 -4 *658:8 *658:20 0.0484606 -5 *658:24 *658:25 0.238632 -6 *658:29 *658:30 0.0471757 -7 *658:30 *658:37 0.00181331 -8 *658:30 *658:42 0.0490113 -9 *658:46 *658:47 0.238632 -10 *658:51 *658:52 0.0490113 -11 *658:52 *658:59 0.00194301 -12 *658:52 *658:64 0.0446058 -13 *658:68 *658:69 0.238632 -14 *658:73 *658:74 2.19195 -15 *658:78 *658:79 0.12439 -16 *658:83 *658:84 2.19195 -17 *658:88 *658:89 0.100856 -18 *658:93 *658:94 4.94858 -19 *658:98 *658:99 0.167946 -20 *658:103 *658:104 4.94858 -21 *658:108 *658:109 2.83513 -22 *658:113 *658:114 2.18522 -23 *658:118 *658:119 0.0857279 -24 *658:123 *658:124 2.18522 -25 *658:128 *658:129 0.109261 -26 *658:133 *658:134 5.05833 -27 *658:138 *658:139 0.109747 -28 *658:143 *658:144 5.05833 -29 *658:148 *658:149 2.97979 -30 *658:153 *658:154 2.18522 -31 *658:158 *658:159 0.0890898 -32 *658:163 *658:164 2.18522 -33 *658:168 *658:169 0.105899 -34 *658:173 *658:174 5.05833 -35 *658:178 *658:179 0.114735 -36 *658:183 *658:184 5.05833 -37 *658:188 *658:189 2.9748 -*END - -*D_NET *659 0.0436336 -*CONN -*CAP -1 *659:189 7.95142e-05 -2 *659:188 7.95142e-05 -3 *659:184 0.00098056 -4 *659:183 0.00098056 -5 *659:179 0.000727794 -6 *659:178 0.000727794 -7 *659:174 0.000988321 -8 *659:173 0.000988321 -9 *659:169 4.27551e-05 -10 *659:168 4.27551e-05 -11 *659:164 0.000796571 -12 *659:163 0.000796571 -13 *659:159 4.8402e-05 -14 *659:158 4.8402e-05 -15 *659:154 0.00104629 -16 *659:153 0.00104629 -17 *659:149 4.77954e-05 -18 *659:148 4.77954e-05 -19 *659:144 0.00129308 -20 *659:143 0.00129308 -21 *659:139 0.000738222 -22 *659:138 0.000738222 -23 *659:134 0.000963414 -24 *659:133 0.000963414 -25 *659:129 4.75953e-05 -26 *659:128 4.75953e-05 -27 *659:124 0.00105113 -28 *659:123 0.00105113 -29 *659:119 6.21159e-05 -30 *659:118 6.21159e-05 -31 *659:114 0.00105113 -32 *659:113 0.00105113 -33 *659:109 4.95335e-05 -34 *659:108 4.95335e-05 -35 *659:104 0.000964048 -36 *659:103 0.000964048 -37 *659:99 0.000730836 -38 *659:98 0.000730836 -39 *659:94 0.00129308 -40 *659:93 0.00129308 -41 *659:89 4.8402e-05 -42 *659:88 4.8402e-05 -43 *659:84 0.000800256 -44 *659:83 0.000800256 -45 *659:79 5.08221e-05 -46 *659:78 5.08221e-05 -47 *659:74 0.00105113 -48 *659:73 0.00105113 -49 *659:69 0.000586472 -50 *659:68 0.000586472 -51 *659:64 0.000142385 -52 *659:59 0 -53 *659:52 0.000271459 -54 *659:51 0.000129074 -55 *659:47 0.000483143 -56 *659:46 0.000483143 -57 *659:42 0.000175703 -58 *659:37 0 -59 *659:30 0.000339018 -60 *659:29 0.000163315 -61 *659:25 0.000632495 -62 *659:24 0.000632495 -63 *659:20 0.000241247 -64 *659:15 0 -65 *659:8 0.000484122 -66 *659:7 0.000242874 -67 *659:3 0.000573949 -68 *659:2 0.000573949 -69 *658:25 *659:179 0.000576355 -70 *658:25 *659:184 0.000151769 -71 *658:74 *659:104 0.000200075 -72 *658:94 *659:84 0.000198184 -73 *658:94 *659:104 0.000954631 -74 *658:104 *659:114 0.000199198 -75 *658:104 *659:134 0.000959347 -76 *658:109 *659:47 0.000576355 -77 *658:124 *659:174 0.000394299 -78 *658:144 *659:154 0.000195829 -79 *658:144 *659:174 0.000956156 -80 *658:154 *659:184 0.000203104 -81 *658:174 *659:164 0.000404463 -82 *658:174 *659:184 0.00101688 -*RES -1 *659:2 *659:3 0.238632 -2 *659:7 *659:8 0.0488278 -3 *659:8 *659:15 0.00181331 -4 *659:8 *659:20 0.0473593 -5 *659:24 *659:25 0.238632 -6 *659:29 *659:30 0.0480935 -7 *659:30 *659:37 0.00194301 -8 *659:30 *659:42 0.0449729 -9 *659:46 *659:47 0.238632 -10 *659:51 *659:52 0.0473593 -11 *659:52 *659:59 0.00181331 -12 *659:52 *659:64 0.0480935 -13 *659:68 *659:69 0.238632 -14 *659:73 *659:74 2.19026 -15 *659:78 *659:79 0.105899 -16 *659:83 *659:84 2.19026 -17 *659:88 *659:89 0.100856 -18 *659:93 *659:94 4.94858 -19 *659:98 *659:99 2.79688 -20 *659:103 *659:104 4.94858 -21 *659:108 *659:109 0.189563 -22 *659:113 *659:114 2.19026 -23 *659:118 *659:119 0.129432 -24 *659:123 *659:124 2.19026 -25 *659:128 *659:129 0.0991755 -26 *659:133 *659:134 4.94858 -27 *659:138 *659:139 2.82515 -28 *659:143 *659:144 4.94858 -29 *659:148 *659:149 0.182911 -30 *659:153 *659:154 2.18018 -31 *659:158 *659:159 0.100856 -32 *659:163 *659:164 2.18018 -33 *659:168 *659:169 0.0890898 -34 *659:173 *659:174 5.05833 -35 *659:178 *659:179 2.78524 -36 *659:183 *659:184 5.05833 -37 *659:188 *659:189 0.304298 -*END diff --git a/spef/constant_block.spef b/spef/constant_block.spef deleted file mode 100644 index df5bed5a..00000000 --- a/spef/constant_block.spef +++ /dev/null @@ -1,84 +0,0 @@ -*SPEF "ieee 1481-1999" -*DESIGN "constant_block" -*DATE "11:11:11 Fri 11 11, 1111" -*VENDOR "OpenRCX" -*PROGRAM "Parallel Extraction" -*VERSION "1.0" -*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" -*DIVIDER / -*DELIMITER : -*BUS_DELIMITER [] -*T_UNIT 1 NS -*C_UNIT 1 PF -*R_UNIT 1 OHM -*L_UNIT 1 HENRY - -*NAME_MAP -*1 one -*4 zero -*5 one_unbuf -*6 zero_unbuf -*7 FILLER_0_0 -*8 FILLER_0_24 -*9 FILLER_0_27 -*10 FILLER_1_0 -*11 FILLER_1_16 -*12 FILLER_1_24 -*13 FILLER_1_4 -*14 FILLER_1_8 -*15 FILLER_2_0 -*16 FILLER_2_24 -*17 FILLER_2_27 -*18 TAP_0 -*19 TAP_1 -*20 const_one_buf -*21 const_source -*22 const_zero_buf - -*PORTS -one O -zero O - -*D_NET *1 0.000496181 -*CONN -*P one O -*I *20:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 one 0.00024809 -2 *20:X 0.00024809 -*RES -1 *20:X one 19.8722 -*END - -*D_NET *4 0.000337027 -*CONN -*P zero O -*I *22:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 zero 0.000168514 -2 *22:X 0.000168514 -*RES -1 *22:X zero 19.5839 -*END - -*D_NET *5 0.000235339 -*CONN -*I *20:A I *D sky130_fd_sc_hd__buf_16 -*I *21:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 *20:A 0.000117669 -2 *21:HI 0.000117669 -*RES -1 *21:HI *20:A 30.1893 -*END - -*D_NET *6 0.000171306 -*CONN -*I *22:A I *D sky130_fd_sc_hd__buf_16 -*I *21:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 *22:A 8.56529e-05 -2 *21:LO 8.56529e-05 -*RES -1 *21:LO *22:A 29.5464 -*END diff --git a/spef/digital_pll.spef b/spef/digital_pll.spef deleted file mode 100644 index fa47f9d9..00000000 --- a/spef/digital_pll.spef +++ /dev/null @@ -1,14066 +0,0 @@ -*SPEF "ieee 1481-1999" -*DESIGN "digital_pll" -*DATE "11:11:11 Fri 11 11, 1111" -*VENDOR "OpenRCX" -*PROGRAM "Parallel Extraction" -*VERSION "1.0" -*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" -*DIVIDER / -*DELIMITER : -*BUS_DELIMITER [] -*T_UNIT 1 NS -*C_UNIT 1 PF -*R_UNIT 1 OHM -*L_UNIT 1 HENRY - -*NAME_MAP -*3 clockp[0] -*4 clockp[1] -*5 dco -*6 div[0] -*7 div[1] -*8 div[2] -*9 div[3] -*10 div[4] -*11 enable -*12 ext_trim[0] -*13 ext_trim[10] -*14 ext_trim[11] -*15 ext_trim[12] -*16 ext_trim[13] -*17 ext_trim[14] -*18 ext_trim[15] -*19 ext_trim[16] -*20 ext_trim[17] -*21 ext_trim[18] -*22 ext_trim[19] -*23 ext_trim[1] -*24 ext_trim[20] -*25 ext_trim[21] -*26 ext_trim[22] -*27 ext_trim[23] -*28 ext_trim[24] -*29 ext_trim[25] -*30 ext_trim[2] -*31 ext_trim[3] -*32 ext_trim[4] -*33 ext_trim[5] -*34 ext_trim[6] -*35 ext_trim[7] -*36 ext_trim[8] -*37 ext_trim[9] -*38 osc -*39 resetb -*40 _000_ -*41 _001_ -*42 _002_ -*43 _003_ -*44 _004_ -*45 _005_ -*46 _006_ -*47 _007_ -*48 _008_ -*49 _009_ -*50 _010_ -*51 _011_ -*52 _012_ -*53 _013_ -*54 _014_ -*55 _015_ -*56 _016_ -*57 _017_ -*58 _018_ -*59 _019_ -*60 _020_ -*61 _021_ -*62 _022_ -*63 _023_ -*64 _024_ -*65 _025_ -*66 _026_ -*67 _027_ -*68 _028_ -*69 _029_ -*70 _030_ -*71 _031_ -*72 _032_ -*73 _033_ -*74 _034_ -*75 _035_ -*76 _036_ -*77 _037_ -*78 _038_ -*79 _039_ -*80 _040_ -*81 _041_ -*82 _042_ -*83 _043_ -*84 _044_ -*85 _045_ -*86 _046_ -*87 _047_ -*88 _048_ -*89 _049_ -*90 _050_ -*91 _051_ -*92 _052_ -*93 _053_ -*94 _054_ -*95 _055_ -*96 _056_ -*97 _057_ -*98 _058_ -*99 _059_ -*100 _060_ -*101 _061_ -*102 _062_ -*103 _063_ -*104 _064_ -*105 _065_ -*106 _066_ -*107 _067_ -*108 _068_ -*109 _069_ -*110 _070_ -*111 _071_ -*112 _072_ -*113 _073_ -*114 _074_ -*115 _075_ -*116 _076_ -*117 _077_ -*118 _078_ -*119 _079_ -*120 _080_ -*121 _081_ -*122 _082_ -*123 _083_ -*124 _084_ -*125 _085_ -*126 _086_ -*127 _087_ -*128 _088_ -*129 _089_ -*130 _090_ -*131 _091_ -*132 _092_ -*133 _093_ -*134 _094_ -*135 _095_ -*136 _096_ -*137 _097_ -*138 _098_ -*139 _099_ -*140 _100_ -*141 _101_ -*142 _102_ -*143 _103_ -*144 _104_ -*145 _105_ -*146 _106_ -*147 _107_ -*148 _108_ -*149 _109_ -*150 _110_ -*151 _111_ -*152 _112_ -*153 _113_ -*154 _114_ -*155 _115_ -*156 _116_ -*157 _117_ -*158 _118_ -*159 _119_ -*160 _120_ -*161 _121_ -*162 _122_ -*163 _123_ -*164 _124_ -*165 _125_ -*166 _126_ -*167 _127_ -*168 _128_ -*169 _129_ -*170 _130_ -*171 _131_ -*172 _132_ -*173 _133_ -*174 _134_ -*175 _135_ -*176 _136_ -*177 _137_ -*178 _138_ -*179 _139_ -*180 _140_ -*181 _141_ -*182 _142_ -*183 _143_ -*184 _144_ -*185 _145_ -*186 _146_ -*187 _147_ -*188 _148_ -*189 _149_ -*190 _150_ -*191 _151_ -*192 _152_ -*193 _153_ -*194 _154_ -*195 _155_ -*196 _156_ -*197 _157_ -*198 _158_ -*199 _159_ -*200 _160_ -*201 _161_ -*202 _162_ -*203 _163_ -*204 _164_ -*205 _165_ -*206 _166_ -*207 _167_ -*208 _168_ -*209 _169_ -*210 _170_ -*211 _171_ -*212 _172_ -*213 _173_ -*214 _174_ -*215 _175_ -*216 _176_ -*217 _177_ -*218 _178_ -*219 _179_ -*220 _180_ -*221 _181_ -*222 _182_ -*223 _183_ -*224 _184_ -*225 _185_ -*226 _186_ -*227 _187_ -*228 _188_ -*229 _189_ -*230 _190_ -*231 _191_ -*232 _192_ -*233 _193_ -*234 _194_ -*235 _195_ -*236 _196_ -*237 _197_ -*238 _198_ -*239 _199_ -*240 _200_ -*241 _201_ -*242 _202_ -*243 _203_ -*244 _204_ -*245 _205_ -*246 _206_ -*247 _207_ -*248 _208_ -*249 _209_ -*250 _210_ -*251 _211_ -*252 _212_ -*253 _213_ -*254 pll_control\.clock -*255 pll_control\.count0\[0\] -*256 pll_control\.count0\[1\] -*257 pll_control\.count0\[2\] -*258 pll_control\.count0\[3\] -*259 pll_control\.count0\[4\] -*260 pll_control\.count1\[0\] -*261 pll_control\.count1\[1\] -*262 pll_control\.count1\[2\] -*263 pll_control\.count1\[3\] -*264 pll_control\.count1\[4\] -*265 pll_control\.oscbuf\[0\] -*266 pll_control\.oscbuf\[1\] -*267 pll_control\.oscbuf\[2\] -*268 pll_control\.prep\[0\] -*269 pll_control\.prep\[1\] -*270 pll_control\.prep\[2\] -*271 pll_control\.tint\[0\] -*272 pll_control\.tint\[1\] -*273 pll_control\.tint\[2\] -*274 pll_control\.tint\[3\] -*275 pll_control\.tint\[4\] -*276 pll_control\.tval\[0\] -*277 pll_control\.tval\[1\] -*278 ringosc\.c\[0\] -*279 ringosc\.c\[1\] -*280 ringosc\.clockp\[1\] -*281 ringosc\.dstage\[0\]\.id\.d0 -*282 ringosc\.dstage\[0\]\.id\.d1 -*283 ringosc\.dstage\[0\]\.id\.d2 -*284 ringosc\.dstage\[0\]\.id\.in -*285 ringosc\.dstage\[0\]\.id\.out -*286 ringosc\.dstage\[0\]\.id\.trim\[0\] -*287 ringosc\.dstage\[0\]\.id\.trim\[1\] -*288 ringosc\.dstage\[0\]\.id\.ts -*289 ringosc\.dstage\[10\]\.id\.d0 -*290 ringosc\.dstage\[10\]\.id\.d1 -*291 ringosc\.dstage\[10\]\.id\.d2 -*292 ringosc\.dstage\[10\]\.id\.in -*293 ringosc\.dstage\[10\]\.id\.out -*294 ringosc\.dstage\[10\]\.id\.trim\[0\] -*295 ringosc\.dstage\[10\]\.id\.trim\[1\] -*296 ringosc\.dstage\[10\]\.id\.ts -*297 ringosc\.dstage\[11\]\.id\.d0 -*298 ringosc\.dstage\[11\]\.id\.d1 -*299 ringosc\.dstage\[11\]\.id\.d2 -*300 ringosc\.dstage\[11\]\.id\.out -*301 ringosc\.dstage\[11\]\.id\.trim\[0\] -*302 ringosc\.dstage\[11\]\.id\.trim\[1\] -*303 ringosc\.dstage\[11\]\.id\.ts -*304 ringosc\.dstage\[1\]\.id\.d0 -*305 ringosc\.dstage\[1\]\.id\.d1 -*306 ringosc\.dstage\[1\]\.id\.d2 -*307 ringosc\.dstage\[1\]\.id\.out -*308 ringosc\.dstage\[1\]\.id\.trim\[0\] -*309 ringosc\.dstage\[1\]\.id\.trim\[1\] -*310 ringosc\.dstage\[1\]\.id\.ts -*311 ringosc\.dstage\[2\]\.id\.d0 -*312 ringosc\.dstage\[2\]\.id\.d1 -*313 ringosc\.dstage\[2\]\.id\.d2 -*314 ringosc\.dstage\[2\]\.id\.out -*315 ringosc\.dstage\[2\]\.id\.trim\[0\] -*316 ringosc\.dstage\[2\]\.id\.trim\[1\] -*317 ringosc\.dstage\[2\]\.id\.ts -*318 ringosc\.dstage\[3\]\.id\.d0 -*319 ringosc\.dstage\[3\]\.id\.d1 -*320 ringosc\.dstage\[3\]\.id\.d2 -*321 ringosc\.dstage\[3\]\.id\.out -*322 ringosc\.dstage\[3\]\.id\.trim\[0\] -*323 ringosc\.dstage\[3\]\.id\.trim\[1\] -*324 ringosc\.dstage\[3\]\.id\.ts -*325 ringosc\.dstage\[4\]\.id\.d0 -*326 ringosc\.dstage\[4\]\.id\.d1 -*327 ringosc\.dstage\[4\]\.id\.d2 -*328 ringosc\.dstage\[4\]\.id\.out -*329 ringosc\.dstage\[4\]\.id\.trim\[0\] -*330 ringosc\.dstage\[4\]\.id\.trim\[1\] -*331 ringosc\.dstage\[4\]\.id\.ts -*332 ringosc\.dstage\[5\]\.id\.d0 -*333 ringosc\.dstage\[5\]\.id\.d1 -*334 ringosc\.dstage\[5\]\.id\.d2 -*335 ringosc\.dstage\[5\]\.id\.out -*336 ringosc\.dstage\[5\]\.id\.trim\[0\] -*337 ringosc\.dstage\[5\]\.id\.trim\[1\] -*338 ringosc\.dstage\[5\]\.id\.ts -*339 ringosc\.dstage\[6\]\.id\.d0 -*340 ringosc\.dstage\[6\]\.id\.d1 -*341 ringosc\.dstage\[6\]\.id\.d2 -*342 ringosc\.dstage\[6\]\.id\.out -*343 ringosc\.dstage\[6\]\.id\.trim\[0\] -*344 ringosc\.dstage\[6\]\.id\.trim\[1\] -*345 ringosc\.dstage\[6\]\.id\.ts -*346 ringosc\.dstage\[7\]\.id\.d0 -*347 ringosc\.dstage\[7\]\.id\.d1 -*348 ringosc\.dstage\[7\]\.id\.d2 -*349 ringosc\.dstage\[7\]\.id\.out -*350 ringosc\.dstage\[7\]\.id\.trim\[0\] -*351 ringosc\.dstage\[7\]\.id\.trim\[1\] -*352 ringosc\.dstage\[7\]\.id\.ts -*353 ringosc\.dstage\[8\]\.id\.d0 -*354 ringosc\.dstage\[8\]\.id\.d1 -*355 ringosc\.dstage\[8\]\.id\.d2 -*356 ringosc\.dstage\[8\]\.id\.out -*357 ringosc\.dstage\[8\]\.id\.trim\[0\] -*358 ringosc\.dstage\[8\]\.id\.trim\[1\] -*359 ringosc\.dstage\[8\]\.id\.ts -*360 ringosc\.dstage\[9\]\.id\.d0 -*361 ringosc\.dstage\[9\]\.id\.d1 -*362 ringosc\.dstage\[9\]\.id\.d2 -*363 ringosc\.dstage\[9\]\.id\.trim\[0\] -*364 ringosc\.dstage\[9\]\.id\.trim\[1\] -*365 ringosc\.dstage\[9\]\.id\.ts -*366 ringosc\.iss\.ctrl0 -*367 ringosc\.iss\.d0 -*368 ringosc\.iss\.d1 -*369 ringosc\.iss\.d2 -*370 ringosc\.iss\.one -*371 ringosc\.iss\.reset -*372 ringosc\.iss\.trim\[0\] -*373 ringosc\.iss\.trim\[1\] -*374 ANTENNA__243__A -*375 ANTENNA__244__A -*376 ANTENNA__252__A -*377 ANTENNA__257__A_N -*378 ANTENNA__258__A -*379 ANTENNA__260__A1 -*380 ANTENNA__266__A -*381 ANTENNA__267__A1 -*382 ANTENNA__270__A1 -*383 ANTENNA__287__B1 -*384 ANTENNA__289__A1 -*385 ANTENNA__348__A -*386 ANTENNA__349__A -*387 ANTENNA__350__A -*388 ANTENNA__358__A -*389 ANTENNA__360__A2 -*390 ANTENNA__361__B -*391 ANTENNA__363__A2 -*392 ANTENNA__364__A2 -*393 ANTENNA__369__A2 -*394 ANTENNA__373__A2 -*395 ANTENNA__374__B1 -*396 ANTENNA__375__A2 -*397 ANTENNA__378__A2 -*398 ANTENNA__379__A -*399 ANTENNA__380__A2 -*400 ANTENNA__383__A2 -*401 ANTENNA__384__A_N -*402 ANTENNA__387__B1 -*403 ANTENNA__389__A2 -*404 ANTENNA__392__A1 -*405 ANTENNA__392__A2 -*406 ANTENNA__396__A2 -*407 ANTENNA__399__A2 -*408 ANTENNA__404__A1 -*409 ANTENNA__404__A2 -*410 ANTENNA__409__B1 -*411 ANTENNA__410__A1 -*412 ANTENNA__410__A2 -*413 ANTENNA__411__A2 -*414 ANTENNA__417__B1 -*415 ANTENNA__419__A1 -*416 ANTENNA__419__A2 -*417 ANTENNA__421__B1 -*418 ANTENNA__422__A1 -*419 ANTENNA__422__A2 -*420 ANTENNA__423__A2 -*421 ANTENNA__424__A2 -*422 ANTENNA__425__A -*423 ANTENNA__425__B -*424 ANTENNA__427__A -*425 ANTENNA__428__A -*426 ANTENNA__447__A -*427 ANTENNA__470__D -*428 ANTENNA_ringosc\.dstage\[0\]\.id\.delaybuf0_A -*429 ANTENNA_ringosc\.ibufp00_A -*430 FILLER_0_111 -*431 FILLER_0_113 -*432 FILLER_0_117 -*433 FILLER_0_122 -*434 FILLER_0_134 -*435 FILLER_0_141 -*436 FILLER_0_145 -*437 FILLER_0_167 -*438 FILLER_0_169 -*439 FILLER_0_175 -*440 FILLER_0_183 -*441 FILLER_0_189 -*442 FILLER_0_24 -*443 FILLER_0_29 -*444 FILLER_0_3 -*445 FILLER_0_39 -*446 FILLER_0_43 -*447 FILLER_0_49 -*448 FILLER_0_55 -*449 FILLER_0_57 -*450 FILLER_0_60 -*451 FILLER_0_72 -*452 FILLER_0_78 -*453 FILLER_0_83 -*454 FILLER_0_85 -*455 FILLER_0_94 -*456 FILLER_10_110 -*457 FILLER_10_125 -*458 FILLER_10_136 -*459 FILLER_10_141 -*460 FILLER_10_155 -*461 FILLER_10_163 -*462 FILLER_10_177 -*463 FILLER_10_186 -*464 FILLER_10_19 -*465 FILLER_10_27 -*466 FILLER_10_29 -*467 FILLER_10_3 -*468 FILLER_10_34 -*469 FILLER_10_49 -*470 FILLER_10_64 -*471 FILLER_10_7 -*472 FILLER_10_79 -*473 FILLER_10_83 -*474 FILLER_10_85 -*475 FILLER_10_99 -*476 FILLER_11_106 -*477 FILLER_11_113 -*478 FILLER_11_123 -*479 FILLER_11_127 -*480 FILLER_11_137 -*481 FILLER_11_143 -*482 FILLER_11_154 -*483 FILLER_11_16 -*484 FILLER_11_160 -*485 FILLER_11_167 -*486 FILLER_11_169 -*487 FILLER_11_181 -*488 FILLER_11_186 -*489 FILLER_11_3 -*490 FILLER_11_30 -*491 FILLER_11_38 -*492 FILLER_11_45 -*493 FILLER_11_50 -*494 FILLER_11_55 -*495 FILLER_11_57 -*496 FILLER_11_6 -*497 FILLER_11_62 -*498 FILLER_11_70 -*499 FILLER_11_81 -*500 FILLER_11_90 -*501 FILLER_11_98 -*502 FILLER_12_107 -*503 FILLER_12_118 -*504 FILLER_12_133 -*505 FILLER_12_139 -*506 FILLER_12_141 -*507 FILLER_12_144 -*508 FILLER_12_159 -*509 FILLER_12_169 -*510 FILLER_12_189 -*511 FILLER_12_24 -*512 FILLER_12_29 -*513 FILLER_12_3 -*514 FILLER_12_35 -*515 FILLER_12_44 -*516 FILLER_12_49 -*517 FILLER_12_53 -*518 FILLER_12_68 -*519 FILLER_12_83 -*520 FILLER_12_85 -*521 FILLER_12_93 -*522 FILLER_12_99 -*523 FILLER_13_102 -*524 FILLER_13_107 -*525 FILLER_13_111 -*526 FILLER_13_113 -*527 FILLER_13_118 -*528 FILLER_13_123 -*529 FILLER_13_128 -*530 FILLER_13_138 -*531 FILLER_13_145 -*532 FILLER_13_15 -*533 FILLER_13_151 -*534 FILLER_13_161 -*535 FILLER_13_166 -*536 FILLER_13_169 -*537 FILLER_13_177 -*538 FILLER_13_185 -*539 FILLER_13_189 -*540 FILLER_13_3 -*541 FILLER_13_34 -*542 FILLER_13_47 -*543 FILLER_13_55 -*544 FILLER_13_57 -*545 FILLER_13_62 -*546 FILLER_13_70 -*547 FILLER_13_74 -*548 FILLER_13_81 -*549 FILLER_13_9 -*550 FILLER_13_90 -*551 FILLER_14_109 -*552 FILLER_14_113 -*553 FILLER_14_117 -*554 FILLER_14_124 -*555 FILLER_14_128 -*556 FILLER_14_137 -*557 FILLER_14_141 -*558 FILLER_14_150 -*559 FILLER_14_160 -*560 FILLER_14_164 -*561 FILLER_14_168 -*562 FILLER_14_17 -*563 FILLER_14_187 -*564 FILLER_14_27 -*565 FILLER_14_29 -*566 FILLER_14_3 -*567 FILLER_14_38 -*568 FILLER_14_44 -*569 FILLER_14_48 -*570 FILLER_14_56 -*571 FILLER_14_63 -*572 FILLER_14_70 -*573 FILLER_14_77 -*574 FILLER_14_83 -*575 FILLER_14_85 -*576 FILLER_14_89 -*577 FILLER_14_97 -*578 FILLER_15_102 -*579 FILLER_15_111 -*580 FILLER_15_113 -*581 FILLER_15_119 -*582 FILLER_15_127 -*583 FILLER_15_13 -*584 FILLER_15_134 -*585 FILLER_15_139 -*586 FILLER_15_151 -*587 FILLER_15_161 -*588 FILLER_15_167 -*589 FILLER_15_169 -*590 FILLER_15_17 -*591 FILLER_15_177 -*592 FILLER_15_186 -*593 FILLER_15_3 -*594 FILLER_15_36 -*595 FILLER_15_40 -*596 FILLER_15_44 -*597 FILLER_15_55 -*598 FILLER_15_57 -*599 FILLER_15_62 -*600 FILLER_15_72 -*601 FILLER_15_81 -*602 FILLER_15_85 -*603 FILLER_15_92 -*604 FILLER_16_100 -*605 FILLER_16_107 -*606 FILLER_16_114 -*607 FILLER_16_122 -*608 FILLER_16_127 -*609 FILLER_16_135 -*610 FILLER_16_139 -*611 FILLER_16_141 -*612 FILLER_16_150 -*613 FILLER_16_160 -*614 FILLER_16_166 -*615 FILLER_16_18 -*616 FILLER_16_181 -*617 FILLER_16_187 -*618 FILLER_16_27 -*619 FILLER_16_29 -*620 FILLER_16_3 -*621 FILLER_16_41 -*622 FILLER_16_46 -*623 FILLER_16_52 -*624 FILLER_16_59 -*625 FILLER_16_68 -*626 FILLER_16_72 -*627 FILLER_16_81 -*628 FILLER_16_85 -*629 FILLER_16_9 -*630 FILLER_16_91 -*631 FILLER_17_110 -*632 FILLER_17_113 -*633 FILLER_17_122 -*634 FILLER_17_129 -*635 FILLER_17_138 -*636 FILLER_17_14 -*637 FILLER_17_142 -*638 FILLER_17_149 -*639 FILLER_17_161 -*640 FILLER_17_167 -*641 FILLER_17_169 -*642 FILLER_17_179 -*643 FILLER_17_18 -*644 FILLER_17_188 -*645 FILLER_17_24 -*646 FILLER_17_29 -*647 FILLER_17_3 -*648 FILLER_17_38 -*649 FILLER_17_44 -*650 FILLER_17_55 -*651 FILLER_17_57 -*652 FILLER_17_62 -*653 FILLER_17_71 -*654 FILLER_17_82 -*655 FILLER_17_90 -*656 FILLER_17_99 -*657 FILLER_18_105 -*658 FILLER_18_115 -*659 FILLER_18_125 -*660 FILLER_18_129 -*661 FILLER_18_139 -*662 FILLER_18_141 -*663 FILLER_18_151 -*664 FILLER_18_158 -*665 FILLER_18_172 -*666 FILLER_18_181 -*667 FILLER_18_186 -*668 FILLER_18_22 -*669 FILLER_18_26 -*670 FILLER_18_29 -*671 FILLER_18_3 -*672 FILLER_18_48 -*673 FILLER_18_61 -*674 FILLER_18_72 -*675 FILLER_18_83 -*676 FILLER_18_85 -*677 FILLER_18_94 -*678 FILLER_18_98 -*679 FILLER_19_100 -*680 FILLER_19_108 -*681 FILLER_19_113 -*682 FILLER_19_122 -*683 FILLER_19_127 -*684 FILLER_19_136 -*685 FILLER_19_14 -*686 FILLER_19_145 -*687 FILLER_19_158 -*688 FILLER_19_162 -*689 FILLER_19_167 -*690 FILLER_19_169 -*691 FILLER_19_18 -*692 FILLER_19_189 -*693 FILLER_19_23 -*694 FILLER_19_3 -*695 FILLER_19_32 -*696 FILLER_19_41 -*697 FILLER_19_46 -*698 FILLER_19_55 -*699 FILLER_19_57 -*700 FILLER_19_60 -*701 FILLER_19_69 -*702 FILLER_19_73 -*703 FILLER_19_82 -*704 FILLER_19_88 -*705 FILLER_1_11 -*706 FILLER_1_111 -*707 FILLER_1_113 -*708 FILLER_1_135 -*709 FILLER_1_158 -*710 FILLER_1_165 -*711 FILLER_1_169 -*712 FILLER_1_186 -*713 FILLER_1_20 -*714 FILLER_1_3 -*715 FILLER_1_45 -*716 FILLER_1_49 -*717 FILLER_1_55 -*718 FILLER_1_57 -*719 FILLER_1_61 -*720 FILLER_1_76 -*721 FILLER_1_99 -*722 FILLER_20_106 -*723 FILLER_20_116 -*724 FILLER_20_120 -*725 FILLER_20_131 -*726 FILLER_20_138 -*727 FILLER_20_14 -*728 FILLER_20_141 -*729 FILLER_20_160 -*730 FILLER_20_167 -*731 FILLER_20_176 -*732 FILLER_20_18 -*733 FILLER_20_185 -*734 FILLER_20_189 -*735 FILLER_20_23 -*736 FILLER_20_27 -*737 FILLER_20_29 -*738 FILLER_20_3 -*739 FILLER_20_37 -*740 FILLER_20_47 -*741 FILLER_20_54 -*742 FILLER_20_74 -*743 FILLER_20_83 -*744 FILLER_20_85 -*745 FILLER_20_95 -*746 FILLER_21_100 -*747 FILLER_21_111 -*748 FILLER_21_113 -*749 FILLER_21_125 -*750 FILLER_21_129 -*751 FILLER_21_141 -*752 FILLER_21_147 -*753 FILLER_21_167 -*754 FILLER_21_169 -*755 FILLER_21_17 -*756 FILLER_21_184 -*757 FILLER_21_189 -*758 FILLER_21_3 -*759 FILLER_21_38 -*760 FILLER_21_51 -*761 FILLER_21_55 -*762 FILLER_21_57 -*763 FILLER_21_67 -*764 FILLER_21_87 -*765 FILLER_22_105 -*766 FILLER_22_109 -*767 FILLER_22_11 -*768 FILLER_22_113 -*769 FILLER_22_117 -*770 FILLER_22_127 -*771 FILLER_22_131 -*772 FILLER_22_139 -*773 FILLER_22_141 -*774 FILLER_22_149 -*775 FILLER_22_15 -*776 FILLER_22_154 -*777 FILLER_22_161 -*778 FILLER_22_166 -*779 FILLER_22_169 -*780 FILLER_22_177 -*781 FILLER_22_182 -*782 FILLER_22_186 -*783 FILLER_22_20 -*784 FILLER_22_27 -*785 FILLER_22_29 -*786 FILLER_22_3 -*787 FILLER_22_32 -*788 FILLER_22_41 -*789 FILLER_22_45 -*790 FILLER_22_51 -*791 FILLER_22_55 -*792 FILLER_22_57 -*793 FILLER_22_65 -*794 FILLER_22_71 -*795 FILLER_22_76 -*796 FILLER_22_83 -*797 FILLER_22_85 -*798 FILLER_22_94 -*799 FILLER_22_99 -*800 FILLER_2_103 -*801 FILLER_2_112 -*802 FILLER_2_139 -*803 FILLER_2_141 -*804 FILLER_2_146 -*805 FILLER_2_150 -*806 FILLER_2_173 -*807 FILLER_2_177 -*808 FILLER_2_187 -*809 FILLER_2_20 -*810 FILLER_2_27 -*811 FILLER_2_29 -*812 FILLER_2_3 -*813 FILLER_2_38 -*814 FILLER_2_48 -*815 FILLER_2_71 -*816 FILLER_2_79 -*817 FILLER_2_83 -*818 FILLER_2_85 -*819 FILLER_2_9 -*820 FILLER_2_92 -*821 FILLER_2_96 -*822 FILLER_3_110 -*823 FILLER_3_113 -*824 FILLER_3_120 -*825 FILLER_3_127 -*826 FILLER_3_131 -*827 FILLER_3_137 -*828 FILLER_3_14 -*829 FILLER_3_144 -*830 FILLER_3_167 -*831 FILLER_3_169 -*832 FILLER_3_176 -*833 FILLER_3_18 -*834 FILLER_3_187 -*835 FILLER_3_3 -*836 FILLER_3_33 -*837 FILLER_3_37 -*838 FILLER_3_47 -*839 FILLER_3_55 -*840 FILLER_3_57 -*841 FILLER_3_64 -*842 FILLER_3_72 -*843 FILLER_3_95 -*844 FILLER_4_102 -*845 FILLER_4_112 -*846 FILLER_4_135 -*847 FILLER_4_139 -*848 FILLER_4_141 -*849 FILLER_4_147 -*850 FILLER_4_151 -*851 FILLER_4_173 -*852 FILLER_4_185 -*853 FILLER_4_189 -*854 FILLER_4_20 -*855 FILLER_4_25 -*856 FILLER_4_29 -*857 FILLER_4_3 -*858 FILLER_4_43 -*859 FILLER_4_47 -*860 FILLER_4_58 -*861 FILLER_4_67 -*862 FILLER_4_74 -*863 FILLER_4_83 -*864 FILLER_4_85 -*865 FILLER_4_9 -*866 FILLER_4_93 -*867 FILLER_5_106 -*868 FILLER_5_113 -*869 FILLER_5_12 -*870 FILLER_5_120 -*871 FILLER_5_127 -*872 FILLER_5_134 -*873 FILLER_5_138 -*874 FILLER_5_144 -*875 FILLER_5_167 -*876 FILLER_5_169 -*877 FILLER_5_174 -*878 FILLER_5_181 -*879 FILLER_5_188 -*880 FILLER_5_3 -*881 FILLER_5_35 -*882 FILLER_5_46 -*883 FILLER_5_53 -*884 FILLER_5_57 -*885 FILLER_5_79 -*886 FILLER_5_85 -*887 FILLER_5_91 -*888 FILLER_5_98 -*889 FILLER_6_100 -*890 FILLER_6_108 -*891 FILLER_6_12 -*892 FILLER_6_130 -*893 FILLER_6_139 -*894 FILLER_6_141 -*895 FILLER_6_146 -*896 FILLER_6_169 -*897 FILLER_6_17 -*898 FILLER_6_177 -*899 FILLER_6_186 -*900 FILLER_6_21 -*901 FILLER_6_27 -*902 FILLER_6_29 -*903 FILLER_6_3 -*904 FILLER_6_32 -*905 FILLER_6_39 -*906 FILLER_6_46 -*907 FILLER_6_53 -*908 FILLER_6_76 -*909 FILLER_6_83 -*910 FILLER_6_85 -*911 FILLER_6_93 -*912 FILLER_7_108 -*913 FILLER_7_113 -*914 FILLER_7_135 -*915 FILLER_7_158 -*916 FILLER_7_162 -*917 FILLER_7_167 -*918 FILLER_7_169 -*919 FILLER_7_17 -*920 FILLER_7_172 -*921 FILLER_7_179 -*922 FILLER_7_186 -*923 FILLER_7_23 -*924 FILLER_7_27 -*925 FILLER_7_3 -*926 FILLER_7_34 -*927 FILLER_7_43 -*928 FILLER_7_51 -*929 FILLER_7_55 -*930 FILLER_7_57 -*931 FILLER_7_62 -*932 FILLER_7_85 -*933 FILLER_8_114 -*934 FILLER_8_126 -*935 FILLER_8_13 -*936 FILLER_8_130 -*937 FILLER_8_135 -*938 FILLER_8_139 -*939 FILLER_8_141 -*940 FILLER_8_147 -*941 FILLER_8_171 -*942 FILLER_8_180 -*943 FILLER_8_185 -*944 FILLER_8_189 -*945 FILLER_8_24 -*946 FILLER_8_29 -*947 FILLER_8_3 -*948 FILLER_8_37 -*949 FILLER_8_46 -*950 FILLER_8_55 -*951 FILLER_8_6 -*952 FILLER_8_61 -*953 FILLER_8_74 -*954 FILLER_8_81 -*955 FILLER_8_85 -*956 FILLER_8_98 -*957 FILLER_9_100 -*958 FILLER_9_107 -*959 FILLER_9_111 -*960 FILLER_9_113 -*961 FILLER_9_12 -*962 FILLER_9_123 -*963 FILLER_9_138 -*964 FILLER_9_145 -*965 FILLER_9_156 -*966 FILLER_9_160 -*967 FILLER_9_167 -*968 FILLER_9_169 -*969 FILLER_9_189 -*970 FILLER_9_22 -*971 FILLER_9_3 -*972 FILLER_9_32 -*973 FILLER_9_39 -*974 FILLER_9_49 -*975 FILLER_9_54 -*976 FILLER_9_57 -*977 FILLER_9_63 -*978 FILLER_9_67 -*979 FILLER_9_7 -*980 FILLER_9_72 -*981 FILLER_9_83 -*982 FILLER_9_94 -*983 PHY_0 -*984 PHY_1 -*985 PHY_10 -*986 PHY_11 -*987 PHY_12 -*988 PHY_13 -*989 PHY_14 -*990 PHY_15 -*991 PHY_16 -*992 PHY_17 -*993 PHY_18 -*994 PHY_19 -*995 PHY_2 -*996 PHY_20 -*997 PHY_21 -*998 PHY_22 -*999 PHY_23 -*1000 PHY_24 -*1001 PHY_25 -*1002 PHY_26 -*1003 PHY_27 -*1004 PHY_28 -*1005 PHY_29 -*1006 PHY_3 -*1007 PHY_30 -*1008 PHY_31 -*1009 PHY_32 -*1010 PHY_33 -*1011 PHY_34 -*1012 PHY_35 -*1013 PHY_36 -*1014 PHY_37 -*1015 PHY_38 -*1016 PHY_39 -*1017 PHY_4 -*1018 PHY_40 -*1019 PHY_41 -*1020 PHY_42 -*1021 PHY_43 -*1022 PHY_44 -*1023 PHY_45 -*1024 PHY_5 -*1025 PHY_6 -*1026 PHY_7 -*1027 PHY_8 -*1028 PHY_9 -*1029 TAP_100 -*1030 TAP_101 -*1031 TAP_102 -*1032 TAP_103 -*1033 TAP_104 -*1034 TAP_105 -*1035 TAP_106 -*1036 TAP_107 -*1037 TAP_108 -*1038 TAP_109 -*1039 TAP_110 -*1040 TAP_111 -*1041 TAP_112 -*1042 TAP_113 -*1043 TAP_114 -*1044 TAP_115 -*1045 TAP_116 -*1046 TAP_117 -*1047 TAP_118 -*1048 TAP_119 -*1049 TAP_120 -*1050 TAP_46 -*1051 TAP_47 -*1052 TAP_48 -*1053 TAP_49 -*1054 TAP_50 -*1055 TAP_51 -*1056 TAP_52 -*1057 TAP_53 -*1058 TAP_54 -*1059 TAP_55 -*1060 TAP_56 -*1061 TAP_57 -*1062 TAP_58 -*1063 TAP_59 -*1064 TAP_60 -*1065 TAP_61 -*1066 TAP_62 -*1067 TAP_63 -*1068 TAP_64 -*1069 TAP_65 -*1070 TAP_66 -*1071 TAP_67 -*1072 TAP_68 -*1073 TAP_69 -*1074 TAP_70 -*1075 TAP_71 -*1076 TAP_72 -*1077 TAP_73 -*1078 TAP_74 -*1079 TAP_75 -*1080 TAP_76 -*1081 TAP_77 -*1082 TAP_78 -*1083 TAP_79 -*1084 TAP_80 -*1085 TAP_81 -*1086 TAP_82 -*1087 TAP_83 -*1088 TAP_84 -*1089 TAP_85 -*1090 TAP_86 -*1091 TAP_87 -*1092 TAP_88 -*1093 TAP_89 -*1094 TAP_90 -*1095 TAP_91 -*1096 TAP_92 -*1097 TAP_93 -*1098 TAP_94 -*1099 TAP_95 -*1100 TAP_96 -*1101 TAP_97 -*1102 TAP_98 -*1103 TAP_99 -*1104 _214_ -*1105 _215_ -*1106 _216_ -*1107 _217_ -*1108 _218_ -*1109 _219_ -*1110 _220_ -*1111 _221_ -*1112 _222_ -*1113 _223_ -*1114 _224_ -*1115 _225_ -*1116 _226_ -*1117 _227_ -*1118 _228_ -*1119 _229_ -*1120 _230_ -*1121 _231_ -*1122 _232_ -*1123 _233_ -*1124 _234_ -*1125 _235_ -*1126 _236_ -*1127 _237_ -*1128 _238_ -*1129 _239_ -*1130 _240_ -*1131 _241_ -*1132 _242_ -*1133 _243_ -*1134 _244_ -*1135 _245_ -*1136 _246_ -*1137 _247_ -*1138 _248_ -*1139 _249_ -*1140 _250_ -*1141 _251_ -*1142 _252_ -*1143 _253_ -*1144 _254_ -*1145 _255_ -*1146 _256_ -*1147 _257_ -*1148 _258_ -*1149 _259_ -*1150 _260_ -*1151 _261_ -*1152 _262_ -*1153 _263_ -*1154 _264_ -*1155 _265_ -*1156 _266_ -*1157 _267_ -*1158 _268_ -*1159 _269_ -*1160 _270_ -*1161 _271_ -*1162 _272_ -*1163 _273_ -*1164 _274_ -*1165 _275_ -*1166 _276_ -*1167 _277_ -*1168 _278_ -*1169 _279_ -*1170 _280_ -*1171 _281_ -*1172 _282_ -*1173 _283_ -*1174 _284_ -*1175 _285_ -*1176 _286_ -*1177 _287_ -*1178 _288_ -*1179 _289_ -*1180 _290_ -*1181 _291_ -*1182 _292_ -*1183 _293_ -*1184 _294_ -*1185 _295_ -*1186 _296_ -*1187 _297_ -*1188 _298_ -*1189 _299_ -*1190 _300_ -*1191 _301_ -*1192 _302_ -*1193 _303_ -*1194 _304_ -*1195 _305_ -*1196 _306_ -*1197 _307_ -*1198 _308_ -*1199 _309_ -*1200 _310_ -*1201 _311_ -*1202 _312_ -*1203 _313_ -*1204 _314_ -*1205 _315_ -*1206 _316_ -*1207 _317_ -*1208 _318_ -*1209 _319_ -*1210 _320_ -*1211 _321_ -*1212 _322_ -*1213 _323_ -*1214 _324_ -*1215 _325_ -*1216 _326_ -*1217 _327_ -*1218 _328_ -*1219 _329_ -*1220 _330_ -*1221 _331_ -*1222 _332_ -*1223 _333_ -*1224 _334_ -*1225 _335_ -*1226 _336_ -*1227 _337_ -*1228 _338_ -*1229 _339_ -*1230 _340_ -*1231 _341_ -*1232 _342_ -*1233 _343_ -*1234 _344_ -*1235 _345_ -*1236 _346_ -*1237 _347_ -*1238 _348_ -*1239 _349_ -*1240 _350_ -*1241 _351_ -*1242 _352_ -*1243 _353_ -*1244 _354_ -*1245 _355_ -*1246 _356_ -*1247 _357_ -*1248 _358_ -*1249 _359_ -*1250 _360_ -*1251 _361_ -*1252 _362_ -*1253 _363_ -*1254 _364_ -*1255 _365_ -*1256 _366_ -*1257 _367_ -*1258 _368_ -*1259 _369_ -*1260 _370_ -*1261 _371_ -*1262 _372_ -*1263 _373_ -*1264 _374_ -*1265 _375_ -*1266 _376_ -*1267 _377_ -*1268 _378_ -*1269 _379_ -*1270 _380_ -*1271 _381_ -*1272 _382_ -*1273 _383_ -*1274 _384_ -*1275 _385_ -*1276 _386_ -*1277 _387_ -*1278 _388_ -*1279 _389_ -*1280 _390_ -*1281 _391_ -*1282 _392_ -*1283 _393_ -*1284 _394_ -*1285 _395_ -*1286 _396_ -*1287 _397_ -*1288 _398_ -*1289 _399_ -*1290 _400_ -*1291 _401_ -*1292 _402_ -*1293 _403_ -*1294 _404_ -*1295 _405_ -*1296 _406_ -*1297 _407_ -*1298 _408_ -*1299 _409_ -*1300 _410_ -*1301 _411_ -*1302 _412_ -*1303 _413_ -*1304 _414_ -*1305 _415_ -*1306 _416_ -*1307 _417_ -*1308 _418_ -*1309 _419_ -*1310 _420_ -*1311 _421_ -*1312 _422_ -*1313 _423_ -*1314 _424_ -*1315 _425_ -*1316 _426_ -*1317 _427_ -*1318 _428_ -*1319 _429_ -*1320 _430_ -*1321 _431_ -*1322 _432_ -*1323 _433_ -*1324 _434_ -*1325 _435_ -*1326 _436_ -*1327 _437_ -*1328 _438_ -*1329 _439_ -*1330 _440_ -*1331 _441_ -*1332 _442_ -*1333 _443_ -*1334 _444_ -*1335 _445_ -*1336 _446_ -*1337 _447_ -*1338 _448_ -*1339 _449_ -*1340 _450_ -*1341 _451_ -*1342 _452_ -*1343 _453_ -*1344 _454_ -*1345 _455_ -*1346 _456_ -*1347 _457_ -*1348 _458_ -*1349 _459_ -*1350 _460_ -*1351 _461_ -*1352 _462_ -*1353 _463_ -*1354 _464_ -*1355 _465_ -*1356 _466_ -*1357 _467_ -*1358 _468_ -*1359 _469_ -*1360 _470_ -*1361 _471_ -*1362 _472_ -*1363 _473_ -*1364 _474_ -*1365 _475_ -*1366 _476_ -*1367 _477_ -*1368 clockp_buffer_0 -*1369 clockp_buffer_1 -*1370 ringosc\.dstage\[0\]\.id\.delaybuf0 -*1371 ringosc\.dstage\[0\]\.id\.delaybuf1 -*1372 ringosc\.dstage\[0\]\.id\.delayen0 -*1373 ringosc\.dstage\[0\]\.id\.delayen1 -*1374 ringosc\.dstage\[0\]\.id\.delayenb0 -*1375 ringosc\.dstage\[0\]\.id\.delayenb1 -*1376 ringosc\.dstage\[0\]\.id\.delayint0 -*1377 ringosc\.dstage\[10\]\.id\.delaybuf0 -*1378 ringosc\.dstage\[10\]\.id\.delaybuf1 -*1379 ringosc\.dstage\[10\]\.id\.delayen0 -*1380 ringosc\.dstage\[10\]\.id\.delayen1 -*1381 ringosc\.dstage\[10\]\.id\.delayenb0 -*1382 ringosc\.dstage\[10\]\.id\.delayenb1 -*1383 ringosc\.dstage\[10\]\.id\.delayint0 -*1384 ringosc\.dstage\[11\]\.id\.delaybuf0 -*1385 ringosc\.dstage\[11\]\.id\.delaybuf1 -*1386 ringosc\.dstage\[11\]\.id\.delayen0 -*1387 ringosc\.dstage\[11\]\.id\.delayen1 -*1388 ringosc\.dstage\[11\]\.id\.delayenb0 -*1389 ringosc\.dstage\[11\]\.id\.delayenb1 -*1390 ringosc\.dstage\[11\]\.id\.delayint0 -*1391 ringosc\.dstage\[1\]\.id\.delaybuf0 -*1392 ringosc\.dstage\[1\]\.id\.delaybuf1 -*1393 ringosc\.dstage\[1\]\.id\.delayen0 -*1394 ringosc\.dstage\[1\]\.id\.delayen1 -*1395 ringosc\.dstage\[1\]\.id\.delayenb0 -*1396 ringosc\.dstage\[1\]\.id\.delayenb1 -*1397 ringosc\.dstage\[1\]\.id\.delayint0 -*1398 ringosc\.dstage\[2\]\.id\.delaybuf0 -*1399 ringosc\.dstage\[2\]\.id\.delaybuf1 -*1400 ringosc\.dstage\[2\]\.id\.delayen0 -*1401 ringosc\.dstage\[2\]\.id\.delayen1 -*1402 ringosc\.dstage\[2\]\.id\.delayenb0 -*1403 ringosc\.dstage\[2\]\.id\.delayenb1 -*1404 ringosc\.dstage\[2\]\.id\.delayint0 -*1405 ringosc\.dstage\[3\]\.id\.delaybuf0 -*1406 ringosc\.dstage\[3\]\.id\.delaybuf1 -*1407 ringosc\.dstage\[3\]\.id\.delayen0 -*1408 ringosc\.dstage\[3\]\.id\.delayen1 -*1409 ringosc\.dstage\[3\]\.id\.delayenb0 -*1410 ringosc\.dstage\[3\]\.id\.delayenb1 -*1411 ringosc\.dstage\[3\]\.id\.delayint0 -*1412 ringosc\.dstage\[4\]\.id\.delaybuf0 -*1413 ringosc\.dstage\[4\]\.id\.delaybuf1 -*1414 ringosc\.dstage\[4\]\.id\.delayen0 -*1415 ringosc\.dstage\[4\]\.id\.delayen1 -*1416 ringosc\.dstage\[4\]\.id\.delayenb0 -*1417 ringosc\.dstage\[4\]\.id\.delayenb1 -*1418 ringosc\.dstage\[4\]\.id\.delayint0 -*1419 ringosc\.dstage\[5\]\.id\.delaybuf0 -*1420 ringosc\.dstage\[5\]\.id\.delaybuf1 -*1421 ringosc\.dstage\[5\]\.id\.delayen0 -*1422 ringosc\.dstage\[5\]\.id\.delayen1 -*1423 ringosc\.dstage\[5\]\.id\.delayenb0 -*1424 ringosc\.dstage\[5\]\.id\.delayenb1 -*1425 ringosc\.dstage\[5\]\.id\.delayint0 -*1426 ringosc\.dstage\[6\]\.id\.delaybuf0 -*1427 ringosc\.dstage\[6\]\.id\.delaybuf1 -*1428 ringosc\.dstage\[6\]\.id\.delayen0 -*1429 ringosc\.dstage\[6\]\.id\.delayen1 -*1430 ringosc\.dstage\[6\]\.id\.delayenb0 -*1431 ringosc\.dstage\[6\]\.id\.delayenb1 -*1432 ringosc\.dstage\[6\]\.id\.delayint0 -*1433 ringosc\.dstage\[7\]\.id\.delaybuf0 -*1434 ringosc\.dstage\[7\]\.id\.delaybuf1 -*1435 ringosc\.dstage\[7\]\.id\.delayen0 -*1436 ringosc\.dstage\[7\]\.id\.delayen1 -*1437 ringosc\.dstage\[7\]\.id\.delayenb0 -*1438 ringosc\.dstage\[7\]\.id\.delayenb1 -*1439 ringosc\.dstage\[7\]\.id\.delayint0 -*1440 ringosc\.dstage\[8\]\.id\.delaybuf0 -*1441 ringosc\.dstage\[8\]\.id\.delaybuf1 -*1442 ringosc\.dstage\[8\]\.id\.delayen0 -*1443 ringosc\.dstage\[8\]\.id\.delayen1 -*1444 ringosc\.dstage\[8\]\.id\.delayenb0 -*1445 ringosc\.dstage\[8\]\.id\.delayenb1 -*1446 ringosc\.dstage\[8\]\.id\.delayint0 -*1447 ringosc\.dstage\[9\]\.id\.delaybuf0 -*1448 ringosc\.dstage\[9\]\.id\.delaybuf1 -*1449 ringosc\.dstage\[9\]\.id\.delayen0 -*1450 ringosc\.dstage\[9\]\.id\.delayen1 -*1451 ringosc\.dstage\[9\]\.id\.delayenb0 -*1452 ringosc\.dstage\[9\]\.id\.delayenb1 -*1453 ringosc\.dstage\[9\]\.id\.delayint0 -*1454 ringosc\.ibufp00 -*1455 ringosc\.ibufp01 -*1456 ringosc\.ibufp10 -*1457 ringosc\.ibufp11 -*1458 ringosc\.iss\.const1 -*1459 ringosc\.iss\.ctrlen0 -*1460 ringosc\.iss\.delaybuf0 -*1461 ringosc\.iss\.delayen0 -*1462 ringosc\.iss\.delayen1 -*1463 ringosc\.iss\.delayenb0 -*1464 ringosc\.iss\.delayenb1 -*1465 ringosc\.iss\.delayint0 -*1466 ringosc\.iss\.reseten0 - -*PORTS -clockp[0] O -clockp[1] O -dco I -div[0] I -div[1] I -div[2] I -div[3] I -div[4] I -enable I -ext_trim[0] I -ext_trim[10] I -ext_trim[11] I -ext_trim[12] I -ext_trim[13] I -ext_trim[14] I -ext_trim[15] I -ext_trim[16] I -ext_trim[17] I -ext_trim[18] I -ext_trim[19] I -ext_trim[1] I -ext_trim[20] I -ext_trim[21] I -ext_trim[22] I -ext_trim[23] I -ext_trim[24] I -ext_trim[25] I -ext_trim[2] I -ext_trim[3] I -ext_trim[4] I -ext_trim[5] I -ext_trim[6] I -ext_trim[7] I -ext_trim[8] I -ext_trim[9] I -osc I -resetb I - -*D_NET *3 0.000506503 -*CONN -*P clockp[0] O -*I *1368:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 clockp[0] 0.000253251 -2 *1368:X 0.000253251 -3 clockp[0] clockp[1] 0 -*RES -1 *1368:X clockp[0] 18.4985 -*END - -*D_NET *4 0.00611638 -*CONN -*P clockp[1] O -*I *1369:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 clockp[1] 0.00174472 -2 *1369:X 0.00174472 -3 clockp[1] *375:DIODE 0 -4 clockp[1] *377:DIODE 7.79781e-06 -5 clockp[1] *1117:A 3.95183e-05 -6 clockp[1] *1145:B 0.000112667 -7 clockp[1] *1146:A 0.0001746 -8 clockp[1] *1147:C 0.000164969 -9 clockp[1] *1161:A3 0 -10 clockp[1] *1177:A2 2.82057e-05 -11 clockp[1] *1180:A_N 3.77051e-05 -12 clockp[1] *1180:B 0.00127812 -13 clockp[1] *6:7 0 -14 clockp[1] *6:8 9.10431e-06 -15 clockp[1] *6:10 9.11365e-06 -16 clockp[1] *11:10 0.000765136 -17 clockp[1] *112:9 0 -18 clockp[1] *154:11 0 -19 clockp[1] *254:8 0 -20 clockp[0] clockp[1] 0 -*RES -1 *1369:X clockp[1] 44.6165 -*END - -*D_NET *5 0.0197584 -*CONN -*P dco I -*I *388:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1238:A I *D sky130_fd_sc_hd__buf_2 -*I *1248:A I *D sky130_fd_sc_hd__nor2_2 -*I *1264:B1 I *D sky130_fd_sc_hd__a21oi_2 -*I *390:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1251:B I *D sky130_fd_sc_hd__nor2_2 -*I *1269:A I *D sky130_fd_sc_hd__buf_2 -*I *398:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *395:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *386:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1239:A I *D sky130_fd_sc_hd__buf_2 -*I *385:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *426:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1337:A I *D sky130_fd_sc_hd__buf_2 -*CAP -1 dco 0.00111937 -2 *388:DIODE 0.000186032 -3 *1238:A 7.76628e-05 -4 *1248:A 0.000141125 -5 *1264:B1 9.14682e-05 -6 *390:DIODE 0.000303131 -7 *1251:B 0 -8 *1269:A 0 -9 *398:DIODE 0 -10 *395:DIODE 0 -11 *386:DIODE 0 -12 *1239:A 0 -13 *385:DIODE 0 -14 *426:DIODE 3.92213e-05 -15 *1337:A 0.000188623 -16 *5:113 0.000750582 -17 *5:96 0.000504441 -18 *5:90 0.000793696 -19 *5:74 0.000960148 -20 *5:65 0.000458932 -21 *5:56 0.000566892 -22 *5:44 0.00056581 -23 *5:18 0.000377723 -24 *5:16 0.000245475 -25 *5:14 0.000465705 -26 *5:13 0.000368292 -27 *5:11 0.000474358 -28 *5:8 0.0017021 -29 *388:DIODE *1395:TE_B 0 -30 *388:DIODE *36:16 2.05938e-05 -31 *388:DIODE *200:28 0.000104474 -32 *390:DIODE *1291:B 0.000113385 -33 *390:DIODE *30:23 5.19775e-05 -34 *390:DIODE *234:7 7.02611e-05 -35 *390:DIODE *275:30 0.000122933 -36 *426:DIODE *135:13 5.52302e-05 -37 *1238:A *1275:B1 0.000125057 -38 *1238:A *37:20 0.000271352 -39 *1248:A *1242:A 0.000127984 -40 *1248:A *1279:A1 2.14658e-05 -41 *1248:A *13:13 8.47107e-05 -42 *1248:A *30:17 5.33433e-05 -43 *1264:B1 *1267:A1 0.000147125 -44 *1264:B1 *1275:A3 0 -45 *1337:A *387:DIODE 0 -46 *1337:A *1158:A 0 -47 *1337:A *135:8 0 -48 *1337:A *135:13 0.000405733 -49 *5:8 *1374:TE_B 0 -50 *5:8 *1395:TE_B 0 -51 *5:8 *11:10 0 -52 *5:8 *12:11 0 -53 *5:8 *307:8 0 -54 *5:11 *1274:A_N 5.52238e-05 -55 *5:11 *36:16 0.000142856 -56 *5:11 *37:20 0.00104609 -57 *5:11 *307:8 3.57488e-05 -58 *5:11 *310:13 0.00026588 -59 *5:14 *401:DIODE 7.92879e-05 -60 *5:14 *1241:A2 2.26973e-05 -61 *5:14 *1241:B1 4.14986e-05 -62 *5:14 *1242:A 1.17968e-05 -63 *5:14 *13:13 1.52978e-05 -64 *5:14 *198:16 0.000175299 -65 *5:14 *287:8 0 -66 *5:16 *387:DIODE 0 -67 *5:16 *1192:A 0 -68 *5:18 *387:DIODE 0 -69 *5:18 *1192:A 0 -70 *5:44 *1240:B 2.11336e-05 -71 *5:44 *198:5 5.98787e-05 -72 *5:56 *1169:A 0.000143563 -73 *5:56 *1188:A 0.000193576 -74 *5:56 *1192:A 2.65939e-05 -75 *5:56 *139:38 5.33005e-05 -76 *5:56 *198:5 0.000100165 -77 *5:65 *1173:A 5.58875e-06 -78 *5:65 *1175:B 7.74135e-05 -79 *5:65 *1241:A2 4.5258e-05 -80 *5:65 *1267:A1 0.00017231 -81 *5:65 *139:38 8.34321e-05 -82 *5:74 *1173:B 0.000363647 -83 *5:74 *1264:A1 2.47433e-05 -84 *5:74 *141:21 0.000306076 -85 *5:74 *294:18 9.41642e-05 -86 *5:90 *416:DIODE 0.000141935 -87 *5:90 *1168:B 5.66971e-05 -88 *5:90 *1168:D 0.000212653 -89 *5:90 *1244:A_N 0.000128176 -90 *5:90 *1284:A2 7.6644e-05 -91 *5:90 *139:34 8.10737e-06 -92 *5:90 *140:33 0.00030783 -93 *5:90 *273:47 0.000317442 -94 *5:90 *294:18 0.000561379 -95 *5:96 *416:DIODE 0.000356682 -96 *5:96 *273:47 0.000341649 -97 *5:113 *416:DIODE 0.000181856 -98 *5:113 *1182:B1 0.000159357 -99 *5:113 *1184:A 9.21418e-06 -100 *5:113 *1196:A 5.65955e-05 -101 *5:113 *1211:B 4.58194e-05 -102 *5:113 *1291:B 3.22325e-05 -103 *5:113 *140:33 0.000287555 -104 *5:113 *145:24 0.000145403 -105 *5:113 *163:57 2.70725e-06 -106 *5:113 *170:7 1.72377e-05 -107 *5:113 *273:47 0.000126109 -108 *5:113 *275:30 0.000366203 -*RES -1 dco *5:8 13.585 -2 *5:8 *5:11 16.9464 -3 *5:11 *5:13 4.5 -4 *5:13 *5:14 5.58929 -5 *5:14 *5:16 1.64286 -6 *5:16 *5:18 2.55357 -7 *5:18 *1337:A 18.3536 -8 *5:18 *426:DIODE 14.3357 -9 *5:16 *385:DIODE 13.8 -10 *5:14 *5:44 7.51786 -11 *5:44 *1239:A 9.3 -12 *5:44 *5:56 6.85714 -13 *5:56 *386:DIODE 9.3 -14 *5:56 *5:65 7.69643 -15 *5:65 *5:74 18.7857 -16 *5:74 *395:DIODE 9.3 -17 *5:74 *5:90 24.25 -18 *5:90 *398:DIODE 9.3 -19 *5:90 *5:96 3.41071 -20 *5:96 *1269:A 9.3 -21 *5:96 *5:113 16.4643 -22 *5:113 *1251:B 13.8 -23 *5:113 *390:DIODE 18.4429 -24 *5:65 *1264:B1 15.7464 -25 *5:13 *1248:A 17.425 -26 *5:11 *1238:A 12.3179 -27 *5:8 *388:DIODE 17.5679 -*END - -*D_NET *6 0.00881164 -*CONN -*P div[0] I -*I *377:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1147:A_N I *D sky130_fd_sc_hd__nand3b_2 -*I *1177:B1 I *D sky130_fd_sc_hd__o21ai_2 -*I *383:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 div[0] 0.000576454 -2 *377:DIODE 0.000702604 -3 *1147:A_N 0 -4 *1177:B1 6.55077e-05 -5 *383:DIODE 0.00155009 -6 *6:10 0.00173115 -7 *6:8 0.000190872 -8 *6:7 0.00135437 -9 *377:DIODE *375:DIODE 0.000104974 -10 *377:DIODE *1138:B 0.000188586 -11 *377:DIODE *1146:A 5.52302e-05 -12 *377:DIODE *1147:C 0.000344013 -13 *377:DIODE *1178:D 3.79812e-05 -14 *377:DIODE *1180:B 4.88212e-05 -15 *377:DIODE *95:8 3.82357e-05 -16 *383:DIODE *1116:S 0.000438788 -17 *383:DIODE *1117:A 0.000125724 -18 *383:DIODE *1368:A 0 -19 *383:DIODE *84:11 0.000493359 -20 *1177:B1 *1144:A 0.000126629 -21 *1177:B1 *1144:B 3.99873e-05 -22 *1177:B1 *1177:A2 2.89016e-05 -23 *1177:B1 *1178:D 5.62995e-05 -24 *6:7 *7:7 0 -25 *6:8 *1147:C 0.000161136 -26 *6:8 *1178:D 9.296e-05 -27 *6:10 *1117:A 0.000165911 -28 *6:10 *1178:D 6.7033e-05 -29 clockp[1] *377:DIODE 7.79781e-06 -30 clockp[1] *6:7 0 -31 clockp[1] *6:8 9.10431e-06 -32 clockp[1] *6:10 9.11365e-06 -*RES -1 div[0] *6:7 5.12707 -2 *6:7 *6:8 2.40179 -3 *6:8 *6:10 2.55357 -4 *6:10 *383:DIODE 44.4607 -5 *6:10 *1177:B1 16.4071 -6 *6:8 *1147:A_N 13.8 -7 *6:7 *377:DIODE 27.4161 -*END - -*D_NET *7 0.0066607 -*CONN -*P div[1] I -*I *378:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *376:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1148:A I *D sky130_fd_sc_hd__and2_2 -*I *1142:A I *D sky130_fd_sc_hd__inv_2 -*CAP -1 div[1] 0.000282431 -2 *378:DIODE 0.000754071 -3 *376:DIODE 0.000424694 -4 *1148:A 4.26984e-05 -5 *1142:A 8.96618e-05 -6 *7:28 0.00163869 -7 *7:19 0.000649331 -8 *7:7 0.000518805 -9 *376:DIODE *1141:B 7.8195e-05 -10 *376:DIODE *1146:A 0 -11 *376:DIODE *1315:B 2.6304e-05 -12 *376:DIODE *112:9 0.000204693 -13 *378:DIODE *1127:A 0.000267877 -14 *378:DIODE *1127:B 3.50409e-05 -15 *378:DIODE *1131:A2 9.60939e-05 -16 *378:DIODE *1153:A 0.000170964 -17 *378:DIODE *1153:B 1.02821e-05 -18 *378:DIODE *112:9 5.91967e-05 -19 *1142:A *1148:B 0 -20 *1142:A *1178:A_N 6.98971e-05 -21 *7:7 *8:7 0 -22 *7:19 *1148:B 0 -23 *7:19 *1150:D1 0.000172726 -24 *7:19 *1178:A_N 0.000140107 -25 *7:19 *122:8 0.00011785 -26 *7:19 *254:8 0 -27 *7:28 *1110:A 0.000143819 -28 *7:28 *1148:B 0.000141935 -29 *7:28 *1315:A 0.000525347 -30 *6:7 *7:7 0 -*RES -1 div[1] *7:7 4.33433 -2 *7:7 *1142:A 15.5232 -3 *7:7 *7:19 8.72321 -4 *7:19 *1148:A 9.83571 -5 *7:19 *7:28 14.8929 -6 *7:28 *376:DIODE 21.9607 -7 *7:28 *378:DIODE 24.1929 -*END - -*D_NET *8 0.00209314 -*CONN -*P div[2] I -*I *375:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1134:A I *D sky130_fd_sc_hd__inv_2 -*CAP -1 div[2] 0.000572898 -2 *375:DIODE 0.000259625 -3 *1134:A 1.04191e-05 -4 *8:7 0.000842942 -5 *375:DIODE *1149:A2 0.000157855 -6 *375:DIODE *1178:D 0.000104974 -7 *375:DIODE *254:8 2.92594e-05 -8 *1134:A *254:8 1.01912e-05 -9 *8:7 *9:5 0 -10 clockp[1] *375:DIODE 0 -11 *377:DIODE *375:DIODE 0.000104974 -12 *7:7 *8:7 0 -*RES -1 div[2] *8:7 4.98293 -2 *8:7 *1134:A 14.0768 -3 *8:7 *375:DIODE 20.6839 -*END - -*D_NET *9 0.00524522 -*CONN -*P div[3] I -*I *1179:A1 I *D sky130_fd_sc_hd__o211a_2 -*I *384:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1133:A I *D sky130_fd_sc_hd__inv_2 -*I *374:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1157:A1 I *D sky130_fd_sc_hd__o21ba_2 -*I *381:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1150:A1 I *D sky130_fd_sc_hd__o2111a_2 -*I *379:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 div[3] 0.000239356 -2 *1179:A1 0.000224767 -3 *384:DIODE 8.24606e-05 -4 *1133:A 0 -5 *374:DIODE 0.000235325 -6 *1157:A1 0 -7 *381:DIODE 8.61686e-05 -8 *1150:A1 0 -9 *379:DIODE 0 -10 *9:57 0.00115664 -11 *9:33 8.61686e-05 -12 *9:31 0.000394093 -13 *9:20 0.000264592 -14 *9:17 0.000283315 -15 *9:10 0.000319733 -16 *9:5 0.00123101 -17 *374:DIODE *1157:A2 4.46186e-06 -18 *374:DIODE *1161:A3 0 -19 *374:DIODE *1369:A 0 -20 *1179:A1 *1132:A 0 -21 *1179:A1 *1132:B 0 -22 *1179:A1 *1160:A1 1.76039e-05 -23 *1179:A1 *1179:B1 4.33438e-05 -24 *1179:A1 *1180:C 5.83304e-05 -25 *1179:A1 *106:5 6.26774e-06 -26 *1179:A1 *112:9 8.28006e-05 -27 *1179:A1 *134:5 6.26774e-06 -28 *1179:A1 *284:45 0 -29 *9:5 *10:10 0 -30 *9:10 *1150:D1 0.000257573 -31 *9:20 *1150:C1 0 -32 *9:20 *1150:D1 6.26653e-05 -33 *9:20 *1157:B1_N 0 -34 *9:20 *113:10 0 -35 *9:20 *114:8 0 -36 *9:31 *1150:D1 7.79781e-06 -37 *9:31 *1157:A2 9.44879e-05 -38 *9:31 *1157:B1_N 0 -39 *9:57 *10:10 0 -40 *8:7 *9:5 0 -*RES -1 div[3] *9:5 0.7802 -2 *9:5 *9:10 11.2225 -3 *9:10 *379:DIODE 9.3 -4 *9:10 *9:17 2.80357 -5 *9:17 *9:20 6.75 -6 *9:20 *1150:A1 13.8 -7 *9:20 *9:31 3.51786 -8 *9:31 *9:33 4.5 -9 *9:33 *381:DIODE 10.6571 -10 *9:33 *1157:A1 9.3 -11 *9:31 *374:DIODE 17.8714 -12 *9:17 *1133:A 9.3 -13 *9:5 *9:57 5.11453 -14 *9:57 *384:DIODE 14.7464 -15 *9:57 *1179:A1 18.3045 -*END - -*D_NET *10 0.00525 -*CONN -*P div[4] I -*I *382:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1156:A I *D sky130_fd_sc_hd__and2_2 -*I *1160:A1 I *D sky130_fd_sc_hd__o211a_2 -*I *380:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 div[4] 0.000358471 -2 *382:DIODE 6.48747e-05 -3 *1156:A 6.10266e-05 -4 *1160:A1 0.000267334 -5 *380:DIODE 0 -6 *10:23 0.000328361 -7 *10:21 0.000773257 -8 *10:10 0.00106685 -9 *382:DIODE *1156:B 9.58181e-05 -10 *1156:A *1156:B 0.00018372 -11 *1156:A *1180:C 1.37292e-05 -12 *1156:A *1180:D 5.41794e-05 -13 *1156:A *284:45 0.00018372 -14 *1160:A1 *1132:A 0.000115958 -15 *1160:A1 *1132:B 8.88263e-05 -16 *1160:A1 *1154:A1 1.2847e-05 -17 *1160:A1 *1160:A2 0.000125638 -18 *1160:A1 *1180:C 3.52562e-05 -19 *1160:A1 *1180:D 0.000100823 -20 *1160:A1 *106:5 4.55099e-05 -21 *1160:A1 *134:5 0.00040349 -22 *1160:A1 *135:8 1.39726e-05 -23 *10:10 *1150:D1 0.000162735 -24 *10:10 *11:10 0 -25 *10:21 *1150:B1 0.00010096 -26 *10:21 *1150:D1 0.000160563 -27 *10:21 *1156:B 0.000281769 -28 *10:21 *1161:A1 5.33005e-05 -29 *10:21 *106:13 2.42516e-05 -30 *10:21 *130:7 3.88059e-05 -31 *10:21 *284:45 1.63453e-05 -32 *1179:A1 *1160:A1 1.76039e-05 -33 *9:5 *10:10 0 -34 *9:57 *10:10 0 -*RES -1 div[4] *10:10 10.7884 -2 *10:10 *380:DIODE 9.3 -3 *10:10 *10:21 11.375 -4 *10:21 *10:23 4.5 -5 *10:23 *1160:A1 22.0232 -6 *10:23 *1156:A 16.3 -7 *10:21 *382:DIODE 10.2464 -*END - -*D_NET *11 0.00475561 -*CONN -*P enable I -*I *422:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1315:A I *D sky130_fd_sc_hd__nand2_2 -*CAP -1 enable 0.00114223 -2 *422:DIODE 0 -3 *1315:A 0.000402643 -4 *11:10 0.00154487 -5 *1315:A *1110:A 5.86384e-05 -6 *11:10 *429:DIODE 4.57473e-05 -7 *11:10 *1139:B2 3.48282e-05 -8 *11:10 *1161:A2 0.000228479 -9 *11:10 *1180:A_N 7.69776e-06 -10 *11:10 *108:8 0 -11 *11:10 *113:10 0 -12 clockp[1] *11:10 0.000765136 -13 *5:8 *11:10 0 -14 *7:28 *1315:A 0.000525347 -15 *10:10 *11:10 0 -*RES -1 enable *11:10 19.7623 -2 *11:10 *1315:A 17.1571 -3 *11:10 *422:DIODE 9.3 -*END - -*D_NET *12 0.00387305 -*CONN -*P ext_trim[0] I -*I *1240:A I *D sky130_fd_sc_hd__nand2_2 -*I *387:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[0] 0.00135975 -2 *1240:A 8.98085e-05 -3 *387:DIODE 0.000204677 -4 *12:11 0.00165424 -5 *387:DIODE *1372:A 0.000126851 -6 *387:DIODE *1373:TE 3.57844e-05 -7 *387:DIODE *288:8 0 -8 *1240:A *1240:B 5.46383e-06 -9 *1240:A *1241:B1 3.11088e-05 -10 *12:11 *1374:A 0.000186765 -11 *12:11 *23:10 0 -12 *12:11 *285:9 2.23958e-05 -13 *12:11 *288:11 0.000156205 -14 *1337:A *387:DIODE 0 -15 *5:8 *12:11 0 -16 *5:16 *387:DIODE 0 -17 *5:18 *387:DIODE 0 -*RES -1 ext_trim[0] *12:11 18.8586 -2 *12:11 *387:DIODE 22.3714 -3 *12:11 *1240:A 11.0857 -*END - -*D_NET *13 0.00572692 -*CONN -*P ext_trim[10] I -*I *1274:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *401:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[10] 0.00115782 -2 *1274:A_N 2.36895e-05 -3 *401:DIODE 0.00079686 -4 *13:13 0.00197837 -5 *401:DIODE *1374:TE_B 0.000129595 -6 *401:DIODE *286:5 0.00040008 -7 *401:DIODE *287:8 0 -8 *401:DIODE *310:8 9.71197e-05 -9 *13:13 *1415:A 0.000472396 -10 *13:13 *1415:Z 1.08359e-05 -11 *13:13 *1427:A 0 -12 *13:13 *1429:A 0.000110163 -13 *13:13 *1431:TE_B 0.000171581 -14 *13:13 *287:8 0 -15 *13:13 *331:12 7.77128e-05 -16 *13:13 *331:17 1.30833e-05 -17 *13:13 *352:21 5.30847e-05 -18 *1248:A *13:13 8.47107e-05 -19 *5:11 *1274:A_N 5.52238e-05 -20 *5:14 *401:DIODE 7.92879e-05 -21 *5:14 *13:13 1.52978e-05 -*RES -1 ext_trim[10] *13:13 23.6071 -2 *13:13 *401:DIODE 25.7286 -3 *13:13 *1274:A_N 14.3357 -*END - -*D_NET *14 0.00364533 -*CONN -*P ext_trim[11] I -*I *402:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1277:B1 I *D sky130_fd_sc_hd__a32o_2 -*CAP -1 ext_trim[11] 0.000402553 -2 *402:DIODE 8.28937e-05 -3 *1277:B1 0.000552299 -4 *14:9 0.00103775 -5 *402:DIODE *1407:TE 0.000182811 -6 *402:DIODE *1427:A 4.23557e-05 -7 *402:DIODE *337:9 2.83425e-05 -8 *402:DIODE *352:21 0.000351476 -9 *1277:B1 *1250:B1 2.60984e-05 -10 *1277:B1 *1254:B1 0.000257619 -11 *1277:B1 *1268:B1 9.63697e-05 -12 *1277:B1 *1277:A2 8.62943e-06 -13 *1277:B1 *1277:A3 0 -14 *1277:B1 *1277:B2 2.59355e-05 -15 *1277:B1 *1427:A 2.50593e-05 -16 *1277:B1 *21:26 1.25275e-05 -17 *1277:B1 *36:27 4.79827e-05 -18 *1277:B1 *206:30 7.51769e-05 -19 *1277:B1 *212:19 8.64516e-07 -20 *1277:B1 *330:21 0.000168001 -21 *1277:B1 *331:17 0 -22 *14:9 *1268:B1 5.74562e-05 -23 *14:9 *1427:A 5.71818e-05 -24 *14:9 *15:14 5.21392e-05 -25 *14:9 *21:26 5.38072e-05 -*RES -1 ext_trim[11] *14:9 7.96429 -2 *14:9 *1277:B1 25.9964 -3 *14:9 *402:DIODE 17.2107 -*END - -*D_NET *15 0.00506277 -*CONN -*P ext_trim[12] I -*I *403:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1279:A2 I *D sky130_fd_sc_hd__a21o_2 -*CAP -1 ext_trim[12] 0.00119347 -2 *403:DIODE 0 -3 *1279:A2 0.000199117 -4 *15:14 0.00139259 -5 *1279:A2 *1242:A 0 -6 *1279:A2 *1279:B1 4.43698e-05 -7 *1279:A2 *1415:TE 0 -8 *1279:A2 *36:27 4.90142e-05 -9 *1279:A2 *197:28 0 -10 *15:14 *1267:B1 0.000261309 -11 *15:14 *1268:B1 0.000492814 -12 *15:14 *1427:A 4.88637e-05 -13 *15:14 *1429:A 0.00036192 -14 *15:14 *1435:TE 5.4677e-05 -15 *15:14 *36:27 4.99283e-06 -16 *15:14 *197:28 0.000122946 -17 *15:14 *197:53 0.000337984 -18 *15:14 *207:20 9.8045e-05 -19 *15:14 *309:21 9.76689e-05 -20 *15:14 *317:18 0.000178847 -21 *15:14 *350:10 7.19954e-05 -22 *14:9 *15:14 5.21392e-05 -*RES -1 ext_trim[12] *15:14 37.9821 -2 *15:14 *1279:A2 21.7643 -3 *15:14 *403:DIODE 9.3 -*END - -*D_NET *16 0.00370768 -*CONN -*P ext_trim[13] I -*I *1282:A2 I *D sky130_fd_sc_hd__a21o_2 -*I *405:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[13] 0.000769212 -2 *1282:A2 0 -3 *405:DIODE 0.000171614 -4 *16:8 0.000940826 -5 *405:DIODE *404:DIODE 0.00023044 -6 *405:DIODE *1267:A1 0.000141935 -7 *405:DIODE *1267:A2 3.58869e-05 -8 *405:DIODE *287:8 2.23592e-05 -9 *16:8 *399:DIODE 0.000186601 -10 *16:8 *413:DIODE 0.000175513 -11 *16:8 *414:DIODE 0.000141941 -12 *16:8 *1304:A2 5.50052e-05 -13 *16:8 *1304:C1 9.67754e-05 -14 *16:8 *1304:D1 4.77002e-05 -15 *16:8 *1307:A2 9.84729e-05 -16 *16:8 *1434:A 8.18801e-05 -17 *16:8 *36:27 4.30759e-05 -18 *16:8 *206:60 2.74737e-05 -19 *16:8 *226:132 0.000440966 -*RES -1 ext_trim[13] *16:8 22.2321 -2 *16:8 *405:DIODE 22.2464 -3 *16:8 *1282:A2 9.3 -*END - -*D_NET *17 0.00477483 -*CONN -*P ext_trim[14] I -*I *406:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1286:A2 I *D sky130_fd_sc_hd__a22o_2 -*CAP -1 ext_trim[14] 0.000895111 -2 *406:DIODE 0.000408957 -3 *1286:A2 6.05121e-05 -4 *17:7 0.00136458 -5 *406:DIODE *1246:B 4.22135e-06 -6 *406:DIODE *1258:A1 5.18775e-05 -7 *406:DIODE *1258:A2 7.92229e-06 -8 *406:DIODE *1261:A 5.33005e-05 -9 *406:DIODE *1273:B1 4.13496e-05 -10 *406:DIODE *164:15 3.47641e-06 -11 *406:DIODE *213:5 4.60311e-05 -12 *406:DIODE *215:8 1.21289e-05 -13 *406:DIODE *221:39 0.000135479 -14 *406:DIODE *317:18 0.000419482 -15 *1286:A2 *1273:B1 0.000242607 -16 *1286:A2 *1286:A1 7.13237e-05 -17 *1286:A2 *317:18 8.25897e-05 -18 *17:7 *1258:C1 5.25192e-06 -19 *17:7 *1261:A 0 -20 *17:7 *1270:B1 5.96181e-05 -21 *17:7 *1270:B2 4.8803e-05 -22 *17:7 *1273:B1 2.34027e-05 -23 *17:7 *1273:B2 0.000191309 -24 *17:7 *1304:C1 0 -25 *17:7 *1406:A 5.74562e-05 -26 *17:7 *1409:A 1.97087e-05 -27 *17:7 *24:22 0 -28 *17:7 *212:55 0.000114854 -29 *17:7 *215:8 5.0047e-05 -30 *17:7 *226:132 0.000279152 -31 *17:7 *324:8 2.42797e-05 -32 *17:7 *357:13 0 -*RES -1 ext_trim[14] *17:7 21.1071 -2 *17:7 *1286:A2 11.6571 -3 *17:7 *406:DIODE 26.4786 -*END - -*D_NET *18 0.00570488 -*CONN -*P ext_trim[15] I -*I *407:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1289:A2 I *D sky130_fd_sc_hd__a22o_2 -*CAP -1 ext_trim[15] 0.000388404 -2 *407:DIODE 0.00113307 -3 *1289:A2 4.37949e-05 -4 *18:5 0.00156527 -5 *407:DIODE *1289:B1 2.71789e-05 -6 *407:DIODE *1309:A2 0.00011863 -7 *407:DIODE *1443:A 0.000100165 -8 *407:DIODE *1443:TE 0.000194577 -9 *407:DIODE *1443:Z 5.4959e-05 -10 *407:DIODE *1445:TE_B 1.853e-05 -11 *407:DIODE *1447:A 9.84229e-05 -12 *407:DIODE *24:22 0.00104006 -13 *407:DIODE *226:88 9.42714e-05 -14 *407:DIODE *226:114 0.000264533 -15 *407:DIODE *316:8 5.66971e-05 -16 *1289:A2 *1261:A 5.20232e-05 -17 *1289:A2 *1289:B1 3.19083e-05 -18 *1289:A2 *24:22 3.10885e-05 -19 *18:5 *1261:A 2.1871e-05 -20 *18:5 *1300:B1 2.34216e-05 -21 *18:5 *1401:TE 8.17359e-05 -22 *18:5 *21:11 9.24299e-05 -23 *18:5 *21:26 9.48385e-05 -24 *18:5 *312:8 0 -25 *18:5 *316:8 7.70015e-05 -*RES -1 ext_trim[15] *18:5 9.01786 -2 *18:5 *1289:A2 14.8357 -3 *18:5 *407:DIODE 39.2643 -*END - -*D_NET *19 0.00497701 -*CONN -*P ext_trim[16] I -*I *1294:A2 I *D sky130_fd_sc_hd__a21o_2 -*I *409:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[16] 0.000584236 -2 *1294:A2 0 -3 *409:DIODE 0.000891993 -4 *19:5 0.00147623 -5 *409:DIODE *391:DIODE 0.000260389 -6 *409:DIODE *1211:B 0.000146533 -7 *409:DIODE *1253:C1 0 -8 *409:DIODE *1271:A 0 -9 *409:DIODE *1287:A 3.80667e-05 -10 *409:DIODE *1287:B 0 -11 *409:DIODE *1297:C 0.000442294 -12 *409:DIODE *1298:B2 0.000148196 -13 *409:DIODE *1308:A3 0.000164566 -14 *409:DIODE *1314:B2 0.000122091 -15 *409:DIODE *139:10 0 -16 *409:DIODE *163:8 0.000100823 -17 *409:DIODE *197:69 0 -18 *409:DIODE *236:8 1.11813e-05 -19 *409:DIODE *315:8 0.000180336 -20 *19:5 *1400:TE 0.000152352 -21 *19:5 *1400:Z 4.08525e-05 -22 *19:5 *24:22 0 -23 *19:5 *226:114 0.000208941 -24 *19:5 *312:8 0 -25 *19:5 *315:8 7.92596e-06 -*RES -1 ext_trim[16] *19:5 11.75 -2 *19:5 *409:DIODE 32.9071 -3 *19:5 *1294:A2 13.8 -*END - -*D_NET *20 0.00724439 -*CONN -*P ext_trim[17] I -*I *1299:B1 I *D sky130_fd_sc_hd__a32o_2 -*I *410:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[17] 0.000599895 -2 *1299:B1 6.90745e-05 -3 *410:DIODE 0.000939851 -4 *20:9 0.00160882 -5 *410:DIODE *1295:C 0.000172021 -6 *410:DIODE *1298:A1 0.000190628 -7 *410:DIODE *1299:A2 2.0279e-05 -8 *410:DIODE *1299:A3 7.57345e-05 -9 *410:DIODE *1309:A2 5.86476e-05 -10 *410:DIODE *1311:B1 4.77562e-05 -11 *410:DIODE *1356:D 0.000698934 -12 *410:DIODE *1461:TE 0.000224592 -13 *410:DIODE *29:10 0.000292453 -14 *410:DIODE *211:40 4.43299e-05 -15 *410:DIODE *272:10 3.01126e-05 -16 *410:DIODE *275:15 0 -17 *410:DIODE *301:17 0.000217209 -18 *410:DIODE *364:9 0.000154762 -19 *410:DIODE *372:14 0.0011058 -20 *1299:B1 *226:102 0.000113771 -21 *1299:B1 *363:17 0.000233458 -22 *20:9 *1309:A2 3.79336e-05 -23 *20:9 *1399:A 0 -24 *20:9 *1402:A 0 -25 *20:9 *1442:TE 0 -26 *20:9 *1442:Z 9.78086e-05 -27 *20:9 *1447:A 7.29381e-05 -28 *20:9 *24:22 0 -29 *20:9 *314:9 1.24735e-05 -30 *20:9 *359:8 0.00012511 -*RES -1 ext_trim[17] *20:9 12.4107 -2 *20:9 *410:DIODE 42.9964 -3 *20:9 *1299:B1 16.0679 -*END - -*D_NET *21 0.0112216 -*CONN -*P ext_trim[18] I -*I *1300:A2 I *D sky130_fd_sc_hd__a21o_2 -*I *412:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[18] 0.0020374 -2 *1300:A2 0 -3 *412:DIODE 2.68304e-05 -4 *21:26 0.0010842 -5 *21:11 0.00309477 -6 *412:DIODE *1268:A1 7.83659e-05 -7 *412:DIODE *331:12 8.00806e-05 -8 *21:11 *1300:B1 2.27129e-05 -9 *21:11 *24:22 0.00013886 -10 *21:26 *1268:B1 7.81709e-05 -11 *21:26 *1300:B1 0.000189735 -12 *21:26 *1407:A 6.07474e-05 -13 *21:26 *1407:Z 0.000224582 -14 *21:26 *1408:A 2.38501e-05 -15 *21:26 *1408:TE 0.000370983 -16 *21:26 *1437:TE_B 5.50052e-05 -17 *21:26 *1438:A 4.34677e-05 -18 *21:26 *319:9 0.000198372 -19 *21:26 *337:9 0.00146426 -20 *21:26 *352:21 0.000788262 -21 *21:26 *352:33 0.000598755 -22 *21:26 *357:13 0.000308602 -23 *1277:B1 *21:26 1.25275e-05 -24 *14:9 *21:26 5.38072e-05 -25 *18:5 *21:11 9.24299e-05 -26 *18:5 *21:26 9.48385e-05 -*RES -1 ext_trim[18] *21:11 34.8571 -2 *21:11 *21:26 47.4643 -3 *21:26 *412:DIODE 19.3357 -4 *21:11 *1300:A2 13.8 -*END - -*D_NET *22 0.0107352 -*CONN -*P ext_trim[19] I -*I *1301:A2 I *D sky130_fd_sc_hd__a22o_2 -*I *413:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[19] 0.00392784 -2 *1301:A2 2.56934e-05 -3 *413:DIODE 0.000362712 -4 *22:8 0.00431624 -5 *413:DIODE *1304:A2 1.06305e-05 -6 *413:DIODE *1304:B1 0.000141639 -7 *413:DIODE *1304:C1 0 -8 *413:DIODE *1409:A 3.7652e-05 -9 *413:DIODE *36:27 2.74981e-06 -10 *413:DIODE *197:66 0.000101926 -11 *413:DIODE *207:15 0.000187935 -12 *1301:A2 *1270:A2 8.55493e-06 -13 *1301:A2 *1304:C1 3.20759e-05 -14 *1301:A2 *1409:A 4.25489e-05 -15 *1301:A2 *24:31 7.13226e-06 -16 *22:8 *1409:A 0.000197691 -17 *22:8 *1443:A 0 -18 *22:8 *24:22 0.000591556 -19 *22:8 *25:7 0 -20 *22:8 *197:66 0.000565157 -21 *22:8 *301:13 0 -22 *16:8 *413:DIODE 0.000175513 -*RES -1 ext_trim[19] *22:8 19.1847 -2 *22:8 *413:DIODE 22.3756 -3 *22:8 *1301:A2 17.9377 -*END - -*D_NET *23 0.00110906 -*CONN -*P ext_trim[1] I -*I *1250:A2 I *D sky130_fd_sc_hd__a21o_2 -*I *389:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[1] 0.000415368 -2 *1250:A2 0.000139161 -3 *389:DIODE 0 -4 *23:10 0.000554529 -5 *23:10 *1456:A 0 -6 *23:10 *30:17 0 -7 *12:11 *23:10 0 -*RES -1 ext_trim[1] *23:10 10.9325 -2 *23:10 *389:DIODE 9.3 -3 *23:10 *1250:A2 11.4964 -*END - -*D_NET *24 0.0111881 -*CONN -*P ext_trim[20] I -*I *414:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1307:B1 I *D sky130_fd_sc_hd__a32o_2 -*CAP -1 ext_trim[20] 0.00229932 -2 *414:DIODE 0.000206883 -3 *1307:B1 5.2272e-06 -4 *24:31 0.000500588 -5 *24:22 0.0025878 -6 *414:DIODE *1307:A3 4.68159e-05 -7 *414:DIODE *1409:A 6.7116e-05 -8 *414:DIODE *197:53 1.38167e-05 -9 *414:DIODE *197:66 2.07809e-05 -10 *414:DIODE *226:132 0.000117673 -11 *1307:B1 *1307:A3 3.28686e-05 -12 *24:22 *1260:B 0.000206026 -13 *24:22 *1261:A 6.86181e-05 -14 *24:22 *1289:B1 0.00045186 -15 *24:22 *1399:A 0.000119098 -16 *24:22 *1400:Z 0 -17 *24:22 *1402:A 5.59687e-05 -18 *24:22 *197:66 0.000581528 -19 *24:31 *1270:A2 1.44036e-05 -20 *24:31 *1301:B2 0 -21 *24:31 *1304:C1 0.000141941 -22 *24:31 *1307:A3 0.000137639 -23 *24:31 *1409:A 0.000357705 -24 *24:31 *226:132 0.000502292 -25 *24:31 *233:27 0.00048708 -26 *24:31 *344:13 0.00011593 -27 *24:31 *357:13 9.84673e-05 -28 *407:DIODE *24:22 0.00104006 -29 *1289:A2 *24:22 3.10885e-05 -30 *1301:A2 *24:31 7.13226e-06 -31 *16:8 *414:DIODE 0.000141941 -32 *17:7 *24:22 0 -33 *19:5 *24:22 0 -34 *20:9 *24:22 0 -35 *21:11 *24:22 0.00013886 -36 *22:8 *24:22 0.000591556 -*RES -1 ext_trim[20] *24:22 45.2039 -2 *24:22 *24:31 11.5 -3 *24:31 *1307:B1 9.63036 -4 *24:31 *414:DIODE 22.2464 -*END - -*D_NET *25 0.0112115 -*CONN -*P ext_trim[21] I -*I *1309:A2 I *D sky130_fd_sc_hd__a21o_2 -*I *416:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[21] 0.00109361 -2 *1309:A2 0.000349662 -3 *416:DIODE 0.00011709 -4 *25:26 0.0017724 -5 *25:7 0.00309858 -6 *416:DIODE *140:33 0.000651461 -7 *1309:A2 *1447:A 0.000100115 -8 *1309:A2 *1452:A 0 -9 *1309:A2 *301:17 4.52779e-05 -10 *1309:A2 *365:11 0.000223288 -11 *25:26 *1196:A 5.77757e-06 -12 *25:26 *1210:A2 0.000588938 -13 *25:26 *1298:A1 9.60939e-05 -14 *25:26 *1312:B1 9.06222e-05 -15 *25:26 *1379:TE 0 -16 *25:26 *1380:TE 0 -17 *25:26 *1383:A 0 -18 *25:26 *1453:A 0 -19 *25:26 *139:34 3.6994e-05 -20 *25:26 *140:8 9.45429e-05 -21 *25:26 *140:17 0.000129062 -22 *25:26 *156:8 0.000107206 -23 *25:26 *198:41 5.66752e-05 -24 *25:26 *208:34 0.000270729 -25 *25:26 *220:81 0.000718872 -26 *25:26 *236:8 3.0912e-05 -27 *25:26 *246:18 0 -28 *25:26 *273:47 2.01732e-05 -29 *25:26 *301:17 0.000617715 -30 *407:DIODE *1309:A2 0.00011863 -31 *410:DIODE *1309:A2 5.86476e-05 -32 *5:90 *416:DIODE 0.000141935 -33 *5:96 *416:DIODE 0.000356682 -34 *5:113 *416:DIODE 0.000181856 -35 *20:9 *1309:A2 3.79336e-05 -36 *22:8 *25:7 0 -*RES -1 ext_trim[21] *25:7 6.06393 -2 *25:7 *25:26 44.2254 -3 *25:26 *416:DIODE 15.5857 -4 *25:7 *1309:A2 21.7554 -*END - -*D_NET *26 0.00779238 -*CONN -*P ext_trim[22] I -*I *1311:B1 I *D sky130_fd_sc_hd__a32o_2 -*I *417:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[22] 0.00151254 -2 *1311:B1 0.000276326 -3 *417:DIODE 0.00105999 -4 *26:9 0.00284886 -5 *417:DIODE *1189:A 2.51174e-05 -6 *417:DIODE *1210:A2 7.0305e-06 -7 *417:DIODE *1210:C1 2.85234e-05 -8 *417:DIODE *1252:B 0.000135028 -9 *417:DIODE *1253:C1 2.30116e-06 -10 *417:DIODE *1313:B1 0.000140243 -11 *417:DIODE *92:43 7.67559e-05 -12 *417:DIODE *140:17 1.68806e-05 -13 *417:DIODE *140:33 0.000256791 -14 *417:DIODE *209:5 0.000224582 -15 *417:DIODE *211:40 3.56825e-05 -16 *417:DIODE *220:81 5.72807e-05 -17 *1311:B1 *1298:A1 0.000429376 -18 *1311:B1 *1299:A1 0.000199698 -19 *1311:B1 *1299:A2 1.59935e-05 -20 *1311:B1 *1310:B 5.42764e-05 -21 *1311:B1 *1311:A3 8.36152e-05 -22 *1311:B1 *1311:B2 9.75337e-06 -23 *1311:B1 *29:10 2.11419e-05 -24 *1311:B1 *92:54 4.04691e-06 -25 *1311:B1 *139:83 0.000179973 -26 *26:9 *211:40 2.90908e-05 -27 *26:9 *372:13 1.37252e-05 -28 *410:DIODE *1311:B1 4.77562e-05 -*RES -1 ext_trim[22] *26:9 3.3934 -2 *26:9 *417:DIODE 30.0178 -3 *26:9 *1311:B1 25.4064 -*END - -*D_NET *27 0.00407961 -*CONN -*P ext_trim[23] I -*I *1312:A2 I *D sky130_fd_sc_hd__a21o_2 -*I *419:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[23] 0.00046362 -2 *1312:A2 0.00069557 -3 *419:DIODE 0.000216435 -4 *27:7 0.00137563 -5 *419:DIODE *1460:A 9.80071e-05 -6 *419:DIODE *1462:A 5.52302e-05 -7 *419:DIODE *284:8 4.1331e-06 -8 *419:DIODE *284:15 4.57445e-05 -9 *419:DIODE *284:33 6.92148e-06 -10 *1312:A2 *1379:A 6.87146e-05 -11 *1312:A2 *1379:TE 0.000144773 -12 *1312:A2 *1379:Z 4.38511e-05 -13 *1312:A2 *1381:A 0.00022287 -14 *1312:A2 *1384:A 7.00271e-05 -15 *1312:A2 *1387:A 2.47319e-05 -16 *1312:A2 *1387:Z 0 -17 *1312:A2 *1460:A 1.49084e-05 -18 *1312:A2 *150:13 0 -19 *1312:A2 *226:78 0 -20 *1312:A2 *284:33 8.7299e-05 -21 *1312:A2 *300:14 9.49225e-05 -22 *1312:A2 *300:16 5.16489e-05 -23 *1312:A2 *303:6 0.000141524 -24 *1312:A2 *303:10 0.000153054 -*RES -1 ext_trim[23] *27:7 4.69467 -2 *27:7 *419:DIODE 18.1839 -3 *27:7 *1312:A2 31.1304 -*END - -*D_NET *28 0.00518493 -*CONN -*P ext_trim[24] I -*I *1313:A2 I *D sky130_fd_sc_hd__a22o_2 -*I *420:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[24] 0.0013353 -2 *1313:A2 0.000638136 -3 *420:DIODE 0 -4 *28:10 0.00197344 -5 *1313:A2 *1165:A 0.000139208 -6 *1313:A2 *1189:A 9.69912e-05 -7 *1313:A2 *1384:A 5.66971e-05 -8 *1313:A2 *1387:A 0.000313209 -9 *1313:A2 *1387:TE 5.77498e-05 -10 *1313:A2 *139:5 2.14888e-05 -11 *1313:A2 *302:5 0.000325894 -12 *28:10 *425:DIODE 1.05311e-05 -13 *28:10 *1106:A 0.000115206 -14 *28:10 *1387:A 0 -15 *28:10 *1460:A 0 -16 *28:10 *1461:A 0 -17 *28:10 *1462:A 0.000101073 -18 *28:10 *1466:A 0 -19 *28:10 *284:8 0 -20 *28:10 *300:14 0 -*RES -1 ext_trim[24] *28:10 29.3063 -2 *28:10 *420:DIODE 9.3 -3 *28:10 *1313:A2 23.7643 -*END - -*D_NET *29 0.00820195 -*CONN -*P ext_trim[25] I -*I *421:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1314:A2 I *D sky130_fd_sc_hd__a22o_2 -*CAP -1 ext_trim[25] 0.00267131 -2 *421:DIODE 0.00010488 -3 *1314:A2 1.83975e-05 -4 *29:10 0.00279458 -5 *421:DIODE *246:18 0.000221641 -6 *1314:A2 *246:18 2.10819e-05 -7 *29:10 *427:DIODE 0 -8 *29:10 *1199:A 0.000196269 -9 *29:10 *1201:A1 6.98669e-05 -10 *29:10 *1313:A1 9.96487e-05 -11 *29:10 *1339:A 0 -12 *29:10 *1356:D 0.000694068 -13 *29:10 *1359:D 0.000191485 -14 *29:10 *1359:RESET_B 9.96553e-05 -15 *29:10 *1361:RESET_B 0 -16 *29:10 *38:5 0 -17 *29:10 *92:8 2.37761e-05 -18 *29:10 *92:30 9.04746e-05 -19 *29:10 *139:83 6.26858e-05 -20 *29:10 *252:79 0.000528537 -21 *29:10 *253:69 0 -22 *29:10 *275:15 0 -23 *29:10 *276:13 0 -24 *410:DIODE *29:10 0.000292453 -25 *1311:B1 *29:10 2.11419e-05 -*RES -1 ext_trim[25] *29:10 45.2011 -2 *29:10 *1314:A2 9.60357 -3 *29:10 *421:DIODE 11.4786 -*END - -*D_NET *30 0.0141782 -*CONN -*P ext_trim[2] I -*I *1253:A2 I *D sky130_fd_sc_hd__a211o_2 -*I *391:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[2] 0.00316138 -2 *1253:A2 9.88957e-05 -3 *391:DIODE 0.000345076 -4 *30:23 0.000954875 -5 *30:17 0.00367228 -6 *391:DIODE *1252:A 7.95201e-05 -7 *391:DIODE *1308:A3 0.000166281 -8 *391:DIODE *1314:B1 5.14314e-05 -9 *391:DIODE *139:10 0 -10 *391:DIODE *198:58 8.43253e-05 -11 *391:DIODE *236:8 0.000399445 -12 *1253:A2 *1253:A1 3.51368e-05 -13 *1253:A2 *315:8 2.89114e-05 -14 *30:17 *1242:A 1.55885e-05 -15 *30:17 *1248:B 1.74352e-05 -16 *30:17 *1257:A 5.74499e-06 -17 *30:17 *1257:B 3.45371e-05 -18 *30:17 *1263:B1 0.000488438 -19 *30:17 *1279:A1 4.99241e-05 -20 *30:17 *1393:Z 5.33005e-05 -21 *30:17 *1394:A 0.000287706 -22 *30:17 *1396:A 9.77074e-05 -23 *30:17 *1398:A 3.40456e-05 -24 *30:17 *31:11 0 -25 *30:17 *37:13 6.74751e-05 -26 *30:17 *200:5 0.00020377 -27 *30:17 *206:8 0.000184797 -28 *30:17 *307:8 3.17148e-05 -29 *30:17 *372:13 0.00196512 -30 *30:23 *1170:A 5.33005e-05 -31 *30:23 *1245:B 0.000198487 -32 *30:23 *1257:A 9.71197e-05 -33 *30:23 *1291:B 5.71472e-05 -34 *30:23 *144:9 0.000134987 -35 *30:23 *144:11 0.000267697 -36 *30:23 *203:10 1.92905e-05 -37 *30:23 *234:7 0.000137983 -38 *30:23 *275:42 0.000225609 -39 *30:23 *315:8 6.05161e-06 -40 *390:DIODE *30:23 5.19775e-05 -41 *409:DIODE *391:DIODE 0.000260389 -42 *1248:A *30:17 5.33433e-05 -43 *23:10 *30:17 0 -*RES -1 ext_trim[2] *30:17 47.8216 -2 *30:17 *30:23 12.5893 -3 *30:23 *391:DIODE 27.8357 -4 *30:23 *1253:A2 11.0857 -*END - -*D_NET *31 0.00485149 -*CONN -*P ext_trim[3] I -*I *392:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1254:A2 I *D sky130_fd_sc_hd__a21o_2 -*CAP -1 ext_trim[3] 0.0011219 -2 *392:DIODE 0.000355687 -3 *1254:A2 4.94749e-05 -4 *31:11 0.00152706 -5 *392:DIODE *1429:A 0 -6 *392:DIODE *1429:Z 6.19117e-05 -7 *1254:A2 *1418:A 0.000143633 -8 *1254:A2 *200:39 1.65914e-05 -9 *1254:A2 *213:37 6.69792e-05 -10 *1254:A2 *287:8 9.84729e-05 -11 *31:11 *1259:B1 0.000315423 -12 *31:11 *1263:B1 0.000264287 -13 *31:11 *1414:A 0.000143633 -14 *31:11 *1416:TE_B 0.000103716 -15 *31:11 *32:8 0 -16 *31:11 *37:13 1.84622e-05 -17 *31:11 *200:39 0.000531064 -18 *31:11 *213:37 3.31828e-05 -19 *30:17 *31:11 0 -*RES -1 ext_trim[3] *31:11 19.0021 -2 *31:11 *1254:A2 11.4964 -3 *31:11 *392:DIODE 24.4964 -*END - -*D_NET *32 0.00202438 -*CONN -*P ext_trim[4] I -*I *1259:A2 I *D sky130_fd_sc_hd__a21o_2 -*I *393:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[4] 0.000808118 -2 *1259:A2 0 -3 *393:DIODE 9.54361e-05 -4 *32:8 0.000903554 -5 *393:DIODE *1265:A1 3.29297e-05 -6 *393:DIODE *37:13 0 -7 *393:DIODE *200:28 0.000149708 -8 *32:8 *1265:A1 3.46386e-05 -9 *32:8 *33:11 0 -10 *32:8 *37:13 0 -11 *31:11 *32:8 0 -*RES -1 ext_trim[4] *32:8 6.21189 -2 *32:8 *393:DIODE 16.05 -3 *32:8 *1259:A2 13.8 -*END - -*D_NET *33 0.00295121 -*CONN -*P ext_trim[5] I -*I *394:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1263:A2 I *D sky130_fd_sc_hd__a21o_2 -*CAP -1 ext_trim[5] 0.00100814 -2 *394:DIODE 0 -3 *1263:A2 0.000102548 -4 *33:11 0.00111068 -5 *1263:A2 *1263:A1 6.05161e-06 -6 *33:11 *1422:A 0.00015926 -7 *33:11 *1423:A 0.000144523 -8 *33:11 *34:8 0 -9 *33:11 *36:16 0.000275625 -10 *33:11 *200:28 0 -11 *33:11 *338:13 0.000144386 -12 *32:8 *33:11 0 -*RES -1 ext_trim[5] *33:11 19.6539 -2 *33:11 *1263:A2 10.6571 -3 *33:11 *394:DIODE 9.3 -*END - -*D_NET *34 0.00269814 -*CONN -*P ext_trim[6] I -*I *396:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1265:A2 I *D sky130_fd_sc_hd__a21o_2 -*CAP -1 ext_trim[6] 0.00106514 -2 *396:DIODE 0 -3 *1265:A2 0.000137977 -4 *34:8 0.00120312 -5 *1265:A2 *1265:A1 0.000122554 -6 *1265:A2 *345:9 1.25924e-05 -7 *34:8 *1420:A 0 -8 *34:8 *35:10 0.000122494 -9 *34:8 *36:16 0 -10 *34:8 *37:13 0 -11 *34:8 *345:9 3.42526e-05 -12 *33:11 *34:8 0 -*RES -1 ext_trim[6] *34:8 10.7655 -2 *34:8 *1265:A2 17.0143 -3 *34:8 *396:DIODE 13.8 -*END - -*D_NET *35 0.00488079 -*CONN -*P ext_trim[7] I -*I *397:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1268:A2 I *D sky130_fd_sc_hd__a21o_2 -*CAP -1 ext_trim[7] 0.00125473 -2 *397:DIODE 0 -3 *1268:A2 0.000480045 -4 *35:10 0.00173478 -5 *1268:A2 *1428:TE 0.000142856 -6 *1268:A2 *1429:A 0.000446603 -7 *1268:A2 *1432:A 0.000272597 -8 *1268:A2 *1433:A 0.000206321 -9 *1268:A2 *331:12 0.000126639 -10 *35:10 *1420:A 1.92789e-05 -11 *35:10 *1428:A 1.00073e-05 -12 *35:10 *1432:A 6.4443e-05 -13 *34:8 *35:10 0.000122494 -*RES -1 ext_trim[7] *35:10 20.9286 -2 *35:10 *1268:A2 22.0857 -3 *35:10 *397:DIODE 9.3 -*END - -*D_NET *36 0.0155073 -*CONN -*P ext_trim[8] I -*I *1270:A2 I *D sky130_fd_sc_hd__a22o_2 -*I *399:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[8] 0.00164362 -2 *1270:A2 0.000623682 -3 *399:DIODE 0.000489751 -4 *36:27 0.00329332 -5 *36:16 0.00382351 -6 *399:DIODE *1307:A1 1.34355e-05 -7 *399:DIODE *1411:A 5.52238e-05 -8 *399:DIODE *1436:A 9.71197e-05 -9 *399:DIODE *1440:A 0.000313017 -10 *399:DIODE *226:132 2.03039e-05 -11 *399:DIODE *347:10 6.16145e-05 -12 *1270:A2 *1270:A1 0.000164649 -13 *1270:A2 *1301:A1 0.000226272 -14 *1270:A2 *1409:A 0.000225367 -15 *1270:A2 *233:27 2.18263e-05 -16 *36:16 *1250:B1 0.000173449 -17 *36:16 *1263:A1 1.78447e-05 -18 *36:16 *1393:A 0.000179391 -19 *36:16 *1395:TE_B 6.19181e-05 -20 *36:16 *1397:A 8.85532e-05 -21 *36:16 *1398:A 6.24351e-05 -22 *36:16 *1420:A 0 -23 *36:16 *1421:A 0.000149898 -24 *36:16 *1422:A 0.00031176 -25 *36:16 *1456:A 0 -26 *36:16 *37:13 4.8476e-05 -27 *36:16 *200:28 0.000223246 -28 *36:16 *335:15 0 -29 *36:27 *1263:B1 0.000101601 -30 *36:27 *1265:B1 5.73264e-05 -31 *36:27 *1267:B1 0.000253645 -32 *36:27 *1279:B1 9.25014e-06 -33 *36:27 *1307:A1 4.70015e-05 -34 *36:27 *1307:A2 7.51975e-05 -35 *36:27 *1394:TE 7.70854e-05 -36 *36:27 *1398:A 7.15905e-06 -37 *36:27 *1415:TE 0 -38 *36:27 *197:28 2.14771e-05 -39 *36:27 *197:66 0.00015518 -40 *36:27 *207:20 0.000110841 -41 *36:27 *226:132 7.37379e-06 -42 *36:27 *309:21 0.00054378 -43 *36:27 *330:21 0.000854268 -44 *388:DIODE *36:16 2.05938e-05 -45 *413:DIODE *36:27 2.74981e-06 -46 *1277:B1 *36:27 4.79827e-05 -47 *1279:A2 *36:27 4.90142e-05 -48 *1301:A2 *1270:A2 8.55493e-06 -49 *5:11 *36:16 0.000142856 -50 *15:14 *36:27 4.99283e-06 -51 *16:8 *399:DIODE 0.000186601 -52 *16:8 *36:27 4.30759e-05 -53 *24:31 *1270:A2 1.44036e-05 -54 *33:11 *36:16 0.000275625 -55 *34:8 *36:16 0 -*RES -1 ext_trim[8] *36:16 47.0179 -2 *36:16 *36:27 32.3511 -3 *36:27 *399:DIODE 24.55 -4 *36:27 *1270:A2 22.4071 -*END - -*D_NET *37 0.0140255 -*CONN -*P ext_trim[9] I -*I *400:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1273:A2 I *D sky130_fd_sc_hd__a22o_2 -*CAP -1 ext_trim[9] 0.00118784 -2 *400:DIODE 0 -3 *1273:A2 9.95873e-05 -4 *37:24 0.000719655 -5 *37:20 0.00310603 -6 *37:13 0.0036738 -7 *1273:A2 *1261:A 0.000114847 -8 *1273:A2 *1272:A2 4.19624e-06 -9 *1273:A2 *1289:A1 1.46576e-05 -10 *1273:A2 *1293:A 9.59532e-06 -11 *1273:A2 *330:21 6.25394e-06 -12 *37:13 *1265:A1 0 -13 *37:13 *1393:A 5.69036e-05 -14 *37:13 *1398:A 0.000128969 -15 *37:13 *1416:TE_B 0.000200356 -16 *37:13 *200:28 0 -17 *37:13 *307:8 7.252e-05 -18 *37:13 *342:10 0 -19 *37:20 *1275:A2 0.000658072 -20 *37:20 *1275:B1 0.000122047 -21 *37:20 *1285:C 1.64343e-05 -22 *37:20 *1286:B2 2.59355e-05 -23 *37:20 *1306:A 0.000353086 -24 *37:20 *146:7 0.000349783 -25 *37:20 *197:21 3.61629e-06 -26 *37:20 *197:28 1.74352e-05 -27 *37:20 *211:10 5.52238e-05 -28 *37:20 *211:40 0.000297855 -29 *37:20 *217:22 5.96516e-05 -30 *37:20 *294:18 2.95726e-05 -31 *37:24 *1247:B 0.000132341 -32 *37:24 *1258:C1 0.000232075 -33 *37:24 *1261:A 0.000466194 -34 *37:24 *1273:B1 5.14012e-05 -35 *37:24 *144:22 0.000121871 -36 *37:24 *203:10 6.26205e-05 -37 *37:24 *212:55 5.31349e-05 -38 *37:24 *221:39 4.21517e-05 -39 *37:24 *232:10 0 -40 *37:24 *233:27 2.79421e-05 -41 *393:DIODE *37:13 0 -42 *1238:A *37:20 0.000271352 -43 *5:11 *37:20 0.00104609 -44 *30:17 *37:13 6.74751e-05 -45 *31:11 *37:13 1.84622e-05 -46 *32:8 *37:13 0 -47 *34:8 *37:13 0 -48 *36:16 *37:13 4.8476e-05 -*RES -1 ext_trim[9] *37:13 27.375 -2 *37:13 *37:20 41.3214 -3 *37:20 *37:24 16.5 -4 *37:24 *1273:A2 20.0902 -5 *37:24 *400:DIODE 9.3 -*END - -*D_NET *38 0.0012177 -*CONN -*P osc I -*I *427:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1360:D I *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 osc 0.000255041 -2 *427:DIODE 0.000163621 -3 *1360:D 8.0677e-05 -4 *38:5 0.000499339 -5 *427:DIODE *1346:CLK 1.92789e-05 -6 *427:DIODE *1361:CLK 0 -7 *427:DIODE *254:122 0 -8 *1360:D *1360:CLK 7.15962e-05 -9 *38:5 *1361:CLK 0 -10 *38:5 *1361:D 0.000128146 -11 *29:10 *427:DIODE 0 -12 *29:10 *38:5 0 -*RES -1 osc *38:5 5.07143 -2 *38:5 *1360:D 15.5679 -3 *38:5 *427:DIODE 16.2821 -*END - -*D_NET *39 0.0041548 -*CONN -*P resetb I -*I *1315:B I *D sky130_fd_sc_hd__nand2_2 -*I *423:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 resetb 0.000838732 -2 *1315:B 3.47928e-05 -3 *423:DIODE 9.84953e-05 -4 *39:16 0.00097202 -5 *423:DIODE *1110:A 0.00013926 -6 *1315:B *1110:A 8.18233e-06 -7 *39:16 *1110:A 0.000140088 -8 *39:16 *1116:A1 0.000320745 -9 *39:16 *1116:S 4.15113e-05 -10 *39:16 *1138:A 0.000337651 -11 *39:16 *1145:A 0.000189441 -12 *39:16 *1363:RESET_B 0 -13 *39:16 *84:26 0 -14 *39:16 *90:22 0.000126439 -15 *39:16 *95:8 0.000287051 -16 *39:16 *97:12 6.8646e-06 -17 *39:16 *97:25 7.81834e-05 -18 *39:16 *100:6 0.000455742 -19 *39:16 *248:5 5.33005e-05 -20 *376:DIODE *1315:B 2.6304e-05 -*RES -1 resetb *39:16 26.2321 -2 *39:16 *423:DIODE 10.6571 -3 *39:16 *1315:B 19.0321 -*END - -*D_NET *40 0.00127056 -*CONN -*I *1345:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1317:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1345:RESET_B 0.00022228 -2 *1317:Y 0.00022228 -3 *1345:RESET_B *1104:B 2.49484e-05 -4 *1345:RESET_B *1237:B 9.27562e-05 -5 *1345:RESET_B *1345:D 0.000403459 -6 *1345:RESET_B *85:11 0.000294837 -7 *1345:RESET_B *268:7 9.99644e-06 -*RES -1 *1317:Y *1345:RESET_B 24.9875 -*END - -*D_NET *41 0.00248227 -*CONN -*I *1346:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1318:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1346:RESET_B 0.000607477 -2 *1318:Y 0.000607477 -3 *1346:RESET_B *1176:A_N 6.80593e-05 -4 *1346:RESET_B *1176:B 0.000148903 -5 *1346:RESET_B *1233:A0 2.89114e-05 -6 *1346:RESET_B *1233:A1 0.000265059 -7 *1346:RESET_B *1235:A1 3.25078e-05 -8 *1346:RESET_B *1237:A_N 0.000213069 -9 *1346:RESET_B *1346:D 0.000260493 -10 *1346:RESET_B *1466:A 1.0609e-05 -11 *1346:RESET_B *84:60 0 -12 *1346:RESET_B *150:13 0 -13 *1346:RESET_B *269:5 0.000239702 -14 *1346:RESET_B *284:8 0 -*RES -1 *1318:Y *1346:RESET_B 33.0768 -*END - -*D_NET *42 0.000859425 -*CONN -*I *1347:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1320:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1347:RESET_B 0.000124899 -2 *1320:Y 0.000124899 -3 *1347:RESET_B *1347:D 0.000125877 -4 *1347:RESET_B *371:56 0.000483749 -*RES -1 *1320:Y *1347:RESET_B 13.8804 -*END - -*D_NET *43 0.000178873 -*CONN -*I *1348:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1321:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1348:RESET_B 8.94365e-05 -2 *1321:Y 8.94365e-05 -3 *1348:RESET_B *191:8 0 -*RES -1 *1321:Y *1348:RESET_B 19.6393 -*END - -*D_NET *44 0.000714077 -*CONN -*I *1349:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1322:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1349:RESET_B 0.000307869 -2 *1322:Y 0.000307869 -3 *1349:RESET_B *1228:B 0 -4 *1349:RESET_B *1229:A 0 -5 *1349:RESET_B *1350:D 0 -6 *1349:RESET_B *249:40 9.83388e-05 -*RES -1 *1322:Y *1349:RESET_B 23.3179 -*END - -*D_NET *45 0.000266479 -*CONN -*I *1350:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1323:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1350:RESET_B 0.00013324 -2 *1323:Y 0.00013324 -3 *1350:RESET_B *1328:A 0 -*RES -1 *1323:Y *1350:RESET_B 20.55 -*END - -*D_NET *46 0.000309233 -*CONN -*I *1351:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1325:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1351:RESET_B 0.000154616 -2 *1325:Y 0.000154616 -3 *1351:RESET_B *249:24 0 -4 *1351:RESET_B *251:23 0 -*RES -1 *1325:Y *1351:RESET_B 20.8536 -*END - -*D_NET *47 0.000460856 -*CONN -*I *1352:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1326:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1352:RESET_B 0.000130896 -2 *1326:Y 0.000130896 -3 *1352:RESET_B *1321:B 0 -4 *1352:RESET_B *1326:A 0.000103253 -5 *1352:RESET_B *259:11 9.58126e-05 -6 *1352:RESET_B *371:8 0 -*RES -1 *1326:Y *1352:RESET_B 20.5857 -*END - -*D_NET *48 0.000986339 -*CONN -*I *1353:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1327:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1353:RESET_B 0.000196004 -2 *1327:Y 0.000196004 -3 *1353:RESET_B *1319:A 7.36469e-05 -4 *1353:RESET_B *1327:A 5.33005e-05 -5 *1353:RESET_B *1331:A 6.90255e-05 -6 *1353:RESET_B *1356:CLK 1.91512e-05 -7 *1353:RESET_B *249:5 0.000254706 -8 *1353:RESET_B *254:202 7.14526e-05 -9 *1353:RESET_B *254:205 5.30472e-05 -*RES -1 *1327:Y *1353:RESET_B 24.2643 -*END - -*D_NET *49 0.000885778 -*CONN -*I *1354:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1329:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1354:RESET_B 0.000304558 -2 *1329:Y 0.000304558 -3 *1354:RESET_B *1107:S 7.88521e-05 -4 *1354:RESET_B *253:69 2.11336e-05 -5 *1354:RESET_B *254:64 0.000170625 -6 *1354:RESET_B *254:70 6.05161e-06 -*RES -1 *1329:Y *1354:RESET_B 24.6304 -*END - -*D_NET *50 0.000166934 -*CONN -*I *1355:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1330:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1355:RESET_B 8.34671e-05 -2 *1330:Y 8.34671e-05 -*RES -1 *1330:Y *1355:RESET_B 19.6393 -*END - -*D_NET *51 0.00102657 -*CONN -*I *1356:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1331:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1356:RESET_B 0.000419682 -2 *1331:Y 0.000419682 -3 *1356:RESET_B *1356:CLK 4.87953e-05 -4 *1356:RESET_B *1356:D 0.000109539 -5 *1356:RESET_B *226:7 2.88677e-05 -*RES -1 *1331:Y *1356:RESET_B 15.2554 -*END - -*D_NET *52 0.000292418 -*CONN -*I *1357:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1332:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1357:RESET_B 0.000146209 -2 *1332:Y 0.000146209 -3 *1357:RESET_B *1107:S 0 -4 *1357:RESET_B *254:75 0 -*RES -1 *1332:Y *1357:RESET_B 20.8536 -*END - -*D_NET *53 0.000703986 -*CONN -*I *1358:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1334:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1358:RESET_B 0.00021758 -2 *1334:Y 0.00021758 -3 *1358:RESET_B *1355:D 0.000268826 -4 *1358:RESET_B *254:190 0 -*RES -1 *1334:Y *1358:RESET_B 22.9786 -*END - -*D_NET *54 0.00131512 -*CONN -*I *1359:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1335:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1359:RESET_B 0.000523439 -2 *1335:Y 0.000523439 -3 *1359:RESET_B *1359:D 4.27842e-06 -4 *1359:RESET_B *1362:D 0.000127353 -5 *1359:RESET_B *252:57 3.69047e-06 -6 *1359:RESET_B *252:70 3.32631e-05 -7 *29:10 *1359:RESET_B 9.96553e-05 -*RES -1 *1335:Y *1359:RESET_B 25.5143 -*END - -*D_NET *55 0.000901169 -*CONN -*I *1360:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1336:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1360:RESET_B 0.000233291 -2 *1336:Y 0.000233291 -3 *1360:RESET_B *1328:A 0 -4 *1360:RESET_B *1361:CLK 1.19309e-05 -5 *1360:RESET_B *251:8 0.000266829 -6 *1360:RESET_B *254:122 5.29554e-05 -7 *1360:RESET_B *254:131 6.07532e-05 -8 *1360:RESET_B *254:138 4.21185e-05 -*RES -1 *1336:Y *1360:RESET_B 24.4964 -*END - -*D_NET *56 0.000275661 -*CONN -*I *1361:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1338:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1361:RESET_B 9.58806e-05 -2 *1338:Y 9.58806e-05 -3 *1361:RESET_B *1339:A 8.39e-05 -4 *1361:RESET_B *266:8 0 -5 *29:10 *1361:RESET_B 0 -*RES -1 *1338:Y *1361:RESET_B 20.55 -*END - -*D_NET *57 0.0031888 -*CONN -*I *1362:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1339:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1362:RESET_B 0.000591379 -2 *1339:Y 0.000591379 -3 *1362:RESET_B *1104:B 0.000690669 -4 *1362:RESET_B *1339:A 2.89114e-05 -5 *1362:RESET_B *1339:B 7.7017e-05 -6 *1362:RESET_B *1345:D 4.89044e-05 -7 *1362:RESET_B *1347:D 0 -8 *1362:RESET_B *1362:D 0.000903217 -9 *1362:RESET_B *253:69 0 -10 *1362:RESET_B *266:8 0.0002452 -11 *1362:RESET_B *371:56 1.21258e-05 -*RES -1 *1339:Y *1362:RESET_B 35.6661 -*END - -*D_NET *58 0.000749899 -*CONN -*I *1363:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1340:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1363:RESET_B 0.000184799 -2 *1340:Y 0.000184799 -3 *1363:RESET_B *1122:B 4.18895e-05 -4 *1363:RESET_B *1144:A 1.25672e-06 -5 *1363:RESET_B *1145:A 0 -6 *1363:RESET_B *1340:B 0.000108848 -7 *1363:RESET_B *84:26 1.78353e-05 -8 *1363:RESET_B *90:22 0 -9 *1363:RESET_B *97:12 7.67762e-05 -10 *1363:RESET_B *260:5 0.000133695 -11 *39:16 *1363:RESET_B 0 -*RES -1 *1340:Y *1363:RESET_B 23.1661 -*END - -*D_NET *59 0.000717734 -*CONN -*I *1364:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1341:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1364:RESET_B 0.000218565 -2 *1341:Y 0.000218565 -3 *1364:RESET_B *1121:B 5.01213e-05 -4 *1364:RESET_B *1364:D 0 -5 *1364:RESET_B *252:13 0.000230482 -*RES -1 *1341:Y *1364:RESET_B 21.8179 -*END - -*D_NET *60 0.000342376 -*CONN -*I *1365:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1342:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1365:RESET_B 0.000140331 -2 *1342:Y 0.000140331 -3 *1365:RESET_B *1342:A 0 -4 *1365:RESET_B *1365:D 0 -5 *1365:RESET_B *252:47 6.17134e-05 -6 *1365:RESET_B *262:8 0 -*RES -1 *1342:Y *1365:RESET_B 21.1571 -*END - -*D_NET *61 0.00193693 -*CONN -*I *1366:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1343:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1366:RESET_B 0.00051231 -2 *1343:Y 0.00051231 -3 *1366:RESET_B *1109:A0 5.30637e-06 -4 *1366:RESET_B *1109:A1 4.17702e-05 -5 *1366:RESET_B *1110:A 1.58163e-05 -6 *1366:RESET_B *100:6 1.03904e-05 -7 *1366:RESET_B *248:5 0.000313208 -8 *1366:RESET_B *258:28 9.8126e-05 -9 *1366:RESET_B *263:5 0.000350278 -10 *1366:RESET_B *263:8 7.74135e-05 -*RES -1 *1343:Y *1366:RESET_B 27.6304 -*END - -*D_NET *62 0.000588401 -*CONN -*I *1367:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1344:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1367:RESET_B 6.7826e-05 -2 *1344:Y 6.7826e-05 -3 *1367:RESET_B *248:17 0.000304741 -4 *1367:RESET_B *253:48 2.8524e-05 -5 *1367:RESET_B *253:60 0.000119485 -*RES -1 *1344:Y *1367:RESET_B 12.2375 -*END - -*D_NET *63 0.00241464 -*CONN -*I *1345:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1237:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *1345:D 0.000804393 -2 *1237:Y 0.000804393 -3 *1345:D *1104:B 0.000126439 -4 *1345:D *1237:B 0.000131929 -5 *1345:D *1459:A 6.93626e-06 -6 *1345:D *252:79 7.32173e-05 -7 *1345:D *268:7 6.05161e-06 -8 *1345:D *371:72 8.91963e-06 -9 *1345:RESET_B *1345:D 0.000403459 -10 *1362:RESET_B *1345:D 4.89044e-05 -*RES -1 *1237:Y *1345:D 43.1 -*END - -*D_NET *64 0.00137803 -*CONN -*I *1346:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1236:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1346:D 0.000535893 -2 *1236:X 0.000535893 -3 *1346:D *1104:B 4.57538e-05 -4 *1346:D *1347:D 0 -5 *1346:RESET_B *1346:D 0.000260493 -*RES -1 *1236:X *1346:D 36.7607 -*END - -*D_NET *65 0.00310117 -*CONN -*I *1347:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1234:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1347:D 0.00105958 -2 *1234:X 0.00105958 -3 *1347:D *1104:B 0 -4 *1347:D *1234:A 0.000442586 -5 *1347:D *1339:B 0 -6 *1347:D *84:51 0 -7 *1347:D *150:13 2.89016e-05 -8 *1347:D *269:8 5.16489e-05 -9 *1347:D *270:5 0.000189337 -10 *1347:D *371:56 0.000143665 -11 *1346:D *1347:D 0 -12 *1347:RESET_B *1347:D 0.000125877 -13 *1362:RESET_B *1347:D 0 -*RES -1 *1234:X *1347:D 49.0107 -*END - -*D_NET *66 0.000610382 -*CONN -*I *1348:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1232:Y O *D sky130_fd_sc_hd__nand3_2 -*CAP -1 *1348:D 0.000179076 -2 *1232:Y 0.000179076 -3 *1348:D *1232:B 0.000189582 -4 *1348:D *191:8 6.26466e-05 -*RES -1 *1232:Y *1348:D 32.0286 -*END - -*D_NET *67 0.00174719 -*CONN -*I *1349:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1231:Y O *D sky130_fd_sc_hd__a21boi_2 -*CAP -1 *1349:D 0.000444113 -2 *1231:Y 0.000444113 -3 *1349:D *1348:CLK 0.000151616 -4 *1349:D *254:104 0.000261781 -5 *1349:D *256:7 0.000445571 -*RES -1 *1231:Y *1349:D 29.9571 -*END - -*D_NET *68 0.00101127 -*CONN -*I *1350:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1229:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1350:D 0.000335268 -2 *1229:X 0.000335268 -3 *1350:D *1229:A 0.000299729 -4 *1350:D *1350:CLK 6.05161e-06 -5 *1350:D *257:11 3.4954e-05 -6 *1349:RESET_B *1350:D 0 -*RES -1 *1229:X *1350:D 33.6714 -*END - -*D_NET *69 0.000368007 -*CONN -*I *1351:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1224:X O *D sky130_fd_sc_hd__o211a_2 -*CAP -1 *1351:D 0.000137279 -2 *1224:X 0.000137279 -3 *1351:D *1351:CLK 2.89114e-05 -4 *1351:D *258:5 6.45381e-05 -*RES -1 *1224:X *1351:D 21.1893 -*END - -*D_NET *70 0.000195942 -*CONN -*I *1352:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1222:X O *D sky130_fd_sc_hd__o21a_2 -*CAP -1 *1352:D 5.29572e-05 -2 *1222:X 5.29572e-05 -3 *1352:D *1321:B 1.19309e-05 -4 *1352:D *191:8 5.24274e-05 -5 *1352:D *371:8 2.56694e-05 -*RES -1 *1222:X *1352:D 28.9393 -*END - -*D_NET *71 0.00101024 -*CONN -*I *1353:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1219:X O *D sky130_fd_sc_hd__o21a_2 -*CAP -1 *1353:D 0.000266212 -2 *1219:X 0.000266212 -3 *1353:D *1219:B1 9.76491e-05 -4 *1353:D *1331:A 8.06427e-05 -5 *1353:D *198:58 0.000148903 -6 *1353:D *198:60 0.000150618 -7 *1353:D *276:13 0 -*RES -1 *1219:X *1353:D 33.1357 -*END - -*D_NET *72 0.000656082 -*CONN -*I *1354:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1217:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1354:D 0.000238878 -2 *1217:X 0.000238878 -3 *1354:D *1108:A 0 -4 *1354:D *259:42 0 -5 *1354:D *259:48 0.000105622 -6 *1354:D *264:9 0 -7 *1354:D *264:14 7.27028e-05 -*RES -1 *1217:X *1354:D 32.3143 -*END - -*D_NET *73 0.00169364 -*CONN -*I *1355:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1213:X O *D sky130_fd_sc_hd__o2bb2a_2 -*CAP -1 *1355:D 0.000456956 -2 *1213:X 0.000456956 -3 *1355:D *157:39 4.87953e-05 -4 *1355:D *271:8 0 -5 *1355:D *274:8 0.000320024 -6 *1355:D *274:20 0.000142082 -7 *1358:RESET_B *1355:D 0.000268826 -*RES -1 *1213:X *1355:D 38.0821 -*END - -*D_NET *74 0.00214555 -*CONN -*I *1356:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1211:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1356:D 0.000262732 -2 *1211:Y 0.000262732 -3 *1356:D *226:7 0.000117543 -4 *410:DIODE *1356:D 0.000698934 -5 *1356:RESET_B *1356:D 0.000109539 -6 *29:10 *1356:D 0.000694068 -*RES -1 *1211:Y *1356:D 38.7071 -*END - -*D_NET *75 0.0012639 -*CONN -*I *1357:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1208:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1357:D 0.000391572 -2 *1208:X 0.000391572 -3 *1357:D *1107:S 4.35141e-05 -4 *1357:D *1164:A 7.83659e-05 -5 *1357:D *1174:A 8.15095e-05 -6 *1357:D *1174:B 5.35423e-05 -7 *1357:D *1208:A 4.70078e-05 -8 *1357:D *254:70 7.77751e-05 -9 *1357:D *273:8 0 -10 *1357:D *276:21 9.90431e-05 -*RES -1 *1208:X *1357:D 34.9036 -*END - -*D_NET *76 0.000579925 -*CONN -*I *1358:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1205:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1358:D 0.000209231 -2 *1205:X 0.000209231 -3 *1358:D *254:178 8.07313e-05 -4 *1358:D *254:190 8.07313e-05 -5 *1358:D *273:8 0 -*RES -1 *1205:X *1358:D 31.5286 -*END - -*D_NET *77 0.00114522 -*CONN -*I *1359:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1201:X O *D sky130_fd_sc_hd__o22a_2 -*CAP -1 *1359:D 0.00040291 -2 *1201:X 0.00040291 -3 *1359:D *1356:CLK 0 -4 *1359:D *253:69 0.000143633 -5 *1359:D *254:205 0 -6 *1359:D *276:13 0 -7 *1359:RESET_B *1359:D 4.27842e-06 -8 *29:10 *1359:D 0.000191485 -*RES -1 *1201:X *1359:D 36.0643 -*END - -*D_NET *78 0.00211544 -*CONN -*I *1363:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1117:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1363:D 0.00077178 -2 *1117:X 0.00077178 -3 *1363:D *1117:A 0.000484518 -4 *1363:D *1145:A 2.89016e-05 -5 *1363:D *1145:B 2.59355e-05 -6 *1363:D *1177:A1 0 -7 *1363:D *254:16 3.25247e-05 -*RES -1 *1117:X *1363:D 37.6893 -*END - -*D_NET *79 0.000850259 -*CONN -*I *1364:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1114:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1364:D 0.000268107 -2 *1114:X 0.000268107 -3 *1364:D *1120:A 0 -4 *1364:D *1340:A 0.000150165 -5 *1364:D *90:13 1.32552e-05 -6 *1364:D *253:24 0.000150625 -7 *1364:RESET_B *1364:D 0 -*RES -1 *1114:X *1364:D 31.9036 -*END - -*D_NET *80 0.00106821 -*CONN -*I *1365:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1112:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1365:D 0.000424522 -2 *1112:X 0.000424522 -3 *1365:D *1108:A 6.5185e-05 -4 *1365:D *1112:A 0 -5 *1365:D *1367:D 1.72381e-05 -6 *1365:D *253:8 0.000136744 -7 *1365:RESET_B *1365:D 0 -*RES -1 *1112:X *1365:D 35.35 -*END - -*D_NET *81 0.000652486 -*CONN -*I *1366:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1110:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1366:D 0.000287092 -2 *1110:X 0.000287092 -3 *1366:D *1180:B 7.83027e-05 -4 *1366:D *112:9 0 -*RES -1 *1110:X *1366:D 32.5464 -*END - -*D_NET *82 0.00042892 -*CONN -*I *1367:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1108:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1367:D 9.11661e-05 -2 *1108:X 9.11661e-05 -3 *1367:D *1112:A 0.00012677 -4 *1367:D *253:8 7.6644e-05 -5 *1367:D *254:58 2.59355e-05 -6 *1365:D *1367:D 1.72381e-05 -*RES -1 *1108:X *1367:D 29.7786 -*END - -*D_NET *83 0.00134396 -*CONN -*I *1176:A_N I *D sky130_fd_sc_hd__and4b_2 -*I *1105:A I *D sky130_fd_sc_hd__buf_2 -*I *1104:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1176:A_N 0.000126898 -2 *1105:A 0.000159944 -3 *1104:Y 5.05962e-05 -4 *83:7 0.000337438 -5 *1105:A *1234:A 0 -6 *1105:A *84:51 0.000274738 -7 *1176:A_N *1233:A1 6.2589e-06 -8 *1176:A_N *1234:A 0 -9 *1176:A_N *84:51 0.000101545 -10 *1176:A_N *84:60 0.000218486 -11 *1176:A_N *269:8 0 -12 *1346:RESET_B *1176:A_N 6.80593e-05 -*RES -1 *1104:Y *83:7 14.3357 -2 *83:7 *1105:A 17.8 -3 *83:7 *1176:A_N 17.8714 -*END - -*D_NET *84 0.015328 -*CONN -*I *1106:A I *D sky130_fd_sc_hd__buf_2 -*I *1235:S I *D sky130_fd_sc_hd__mux2_2 -*I *1233:S I *D sky130_fd_sc_hd__mux2_2 -*I *1113:S I *D sky130_fd_sc_hd__mux2_2 -*I *1111:S I *D sky130_fd_sc_hd__mux2_2 -*I *1109:S I *D sky130_fd_sc_hd__mux2_2 -*I *1116:S I *D sky130_fd_sc_hd__mux2_2 -*I *1105:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1106:A 0.000356624 -2 *1235:S 0.000103905 -3 *1233:S 7.48367e-05 -4 *1113:S 0.000101919 -5 *1111:S 5.82687e-05 -6 *1109:S 0.000150203 -7 *1116:S 0.000263152 -8 *1105:X 0 -9 *84:60 0.000682401 -10 *84:51 0.000511641 -11 *84:26 0.000790525 -12 *84:11 0.00132191 -13 *84:9 0.00369579 -14 *84:8 0.00320142 -15 *84:5 0.000299188 -16 *1106:A *425:DIODE 0.000126439 -17 *1106:A *1176:B 6.8646e-06 -18 *1106:A *1237:A_N 1.78447e-05 -19 *1106:A *1318:B 0.000388789 -20 *1106:A *1466:TE 5.91094e-05 -21 *1106:A *85:7 6.05161e-06 -22 *1106:A *226:37 7.06479e-05 -23 *1106:A *284:8 5.33433e-05 -24 *1106:A *371:56 2.59355e-05 -25 *1109:S *1138:B 0.00014285 -26 *1109:S *95:8 0.000140933 -27 *1109:S *100:6 0 -28 *1109:S *258:28 3.34366e-05 -29 *1111:S *1138:B 4.08637e-05 -30 *1111:S *95:8 0.000135028 -31 *1113:S *1113:A1 1.02504e-05 -32 *1116:S *1116:A1 3.27526e-05 -33 *1235:S *425:DIODE 1.92789e-05 -34 *1235:S *268:15 5.33005e-05 -35 *84:26 *1122:B 6.74911e-05 -36 *84:26 *1126:C1 0.000148196 -37 *84:26 *1137:B 0.000103041 -38 *84:26 *1138:B 3.17148e-05 -39 *84:26 *1340:A 0 -40 *84:26 *1340:B 0.000200406 -41 *84:26 *1364:CLK 5.26091e-05 -42 *84:26 *97:25 0 -43 *84:26 *100:6 0 -44 *84:26 *253:24 0 -45 *84:26 *254:45 4.038e-06 -46 *84:60 *1176:B 4.35048e-05 -47 *383:DIODE *1116:S 0.000438788 -48 *383:DIODE *84:11 0.000493359 -49 *1105:A *84:51 0.000274738 -50 *1176:A_N *84:51 0.000101545 -51 *1176:A_N *84:60 0.000218486 -52 *1346:RESET_B *84:60 0 -53 *1347:D *84:51 0 -54 *1363:RESET_B *84:26 1.78353e-05 -55 *28:10 *1106:A 0.000115206 -56 *39:16 *1116:S 4.15113e-05 -57 *39:16 *84:26 0 -*RES -1 *1105:X *84:5 13.8 -2 *84:5 *84:8 5.83929 -3 *84:8 *84:9 48.1786 -4 *84:9 *84:11 9.57143 -5 *84:11 *1116:S 24.175 -6 *84:11 *84:26 20.9643 -7 *84:26 *1109:S 21.9071 -8 *84:26 *1111:S 10.6571 -9 *84:9 *1113:S 19.9429 -10 *84:5 *84:51 5.28571 -11 *84:51 *1233:S 14.7464 -12 *84:51 *84:60 5.28571 -13 *84:60 *1235:S 15.5679 -14 *84:60 *1106:A 22.7821 -*END - -*D_NET *85 0.0144075 -*CONN -*I *1237:B I *D sky130_fd_sc_hd__nand2b_2 -*I *1228:A I *D sky130_fd_sc_hd__and2_2 -*I *1107:S I *D sky130_fd_sc_hd__mux2_2 -*I *1222:B1 I *D sky130_fd_sc_hd__o21a_2 -*I *1224:C1 I *D sky130_fd_sc_hd__o211a_2 -*I *1231:B1_N I *D sky130_fd_sc_hd__a21boi_2 -*I *1232:B I *D sky130_fd_sc_hd__nand3_2 -*I *1106:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1237:B 0.00021831 -2 *1228:A 1.10248e-05 -3 *1107:S 0.000794427 -4 *1222:B1 3.71008e-05 -5 *1224:C1 0 -6 *1231:B1_N 0 -7 *1232:B 0.000614652 -8 *1106:X 8.84252e-05 -9 *85:52 0.00101129 -10 *85:43 0.000306469 -11 *85:19 0.000900009 -12 *85:15 0.000859498 -13 *85:11 0.00279068 -14 *85:7 0.00263896 -15 *1107:S *1214:A 0.000445899 -16 *1107:S *1214:B 4.73286e-05 -17 *1107:S *191:8 4.71964e-05 -18 *1107:S *250:16 7.84584e-05 -19 *1107:S *250:58 0.00014852 -20 *1107:S *254:75 0 -21 *1107:S *259:42 0.00014285 -22 *1107:S *264:9 9.90367e-05 -23 *1107:S *277:8 0 -24 *1107:S *371:8 4.43046e-05 -25 *1222:B1 *1222:A2 5.71472e-05 -26 *1222:B1 *191:8 1.65558e-05 -27 *1222:B1 *259:23 5.52238e-05 -28 *1222:B1 *371:8 3.07555e-05 -29 *1228:A *1228:B 4.6025e-06 -30 *1228:A *254:89 2.06112e-05 -31 *1232:B *1348:CLK 5.03682e-05 -32 *1232:B *191:8 0 -33 *1232:B *256:7 1.24368e-05 -34 *1237:B *371:72 0.000100248 -35 *85:7 *371:56 1.65169e-05 -36 *85:11 *1104:B 4.09651e-05 -37 *85:11 *1236:A 5.59597e-05 -38 *85:11 *150:13 0 -39 *85:11 *371:56 0.000101601 -40 *85:11 *371:59 8.02298e-05 -41 *85:11 *371:72 2.28343e-05 -42 *85:19 *1220:A 0 -43 *85:19 *1227:A2 0 -44 *85:19 *1227:B1 0 -45 *85:19 *1348:CLK 9.71197e-05 -46 *85:19 *187:10 0.000205065 -47 *85:19 *254:89 0 -48 *85:19 *254:93 0 -49 *85:19 *256:7 3.30352e-05 -50 *85:19 *256:15 9.65171e-05 -51 *85:43 *1221:B 5.59013e-05 -52 *85:43 *1351:CLK 0 -53 *85:43 *187:10 0.000101091 -54 *85:52 *1222:A2 6.84283e-05 -55 *85:52 *1223:A_N 2.89114e-05 -56 *85:52 *1224:A1 0 -57 *85:52 *1224:B1 4.92997e-05 -58 *85:52 *188:9 5.33334e-05 -59 *85:52 *258:17 0.000610071 -60 *85:52 *259:23 0.0001807 -61 *1106:A *85:7 6.05161e-06 -62 *1345:D *1237:B 0.000131929 -63 *1345:RESET_B *1237:B 9.27562e-05 -64 *1345:RESET_B *85:11 0.000294837 -65 *1348:D *1232:B 0.000189582 -66 *1354:RESET_B *1107:S 7.88521e-05 -67 *1357:D *1107:S 4.35141e-05 -68 *1357:RESET_B *1107:S 0 -*RES -1 *1106:X *85:7 14.7464 -2 *85:7 *85:11 13.1464 -3 *85:11 *85:15 4.17767 -4 *85:15 *85:19 10.5804 -5 *85:19 *1232:B 27.4071 -6 *85:19 *1231:B1_N 9.3 -7 *85:15 *85:43 6.59821 -8 *85:43 *1224:C1 9.3 -9 *85:43 *85:52 11.1071 -10 *85:52 *1222:B1 15.0679 -11 *85:52 *1107:S 30.2464 -12 *85:11 *1228:A 17.4868 -13 *85:7 *1237:B 18.1571 -*END - -*D_NET *86 0.000788267 -*CONN -*I *1108:A I *D sky130_fd_sc_hd__buf_2 -*I *1107:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1108:A 0.000244315 -2 *1107:X 0.000244315 -3 *1108:A *253:8 0 -4 *1108:A *254:58 9.90431e-05 -5 *1108:A *264:14 0.000135408 -6 *1354:D *1108:A 0 -7 *1365:D *1108:A 6.5185e-05 -*RES -1 *1107:X *1108:A 32.7607 -*END - -*D_NET *87 0.00141487 -*CONN -*I *1110:A I *D sky130_fd_sc_hd__buf_2 -*I *1109:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1110:A 0.000359304 -2 *1109:X 0.000359304 -3 *1110:A *95:8 0.000190459 -4 *423:DIODE *1110:A 0.00013926 -5 *1315:A *1110:A 5.86384e-05 -6 *1315:B *1110:A 8.18233e-06 -7 *1366:RESET_B *1110:A 1.58163e-05 -8 *7:28 *1110:A 0.000143819 -9 *39:16 *1110:A 0.000140088 -*RES -1 *1109:X *1110:A 35.7607 -*END - -*D_NET *88 0.00141485 -*CONN -*I *1112:A I *D sky130_fd_sc_hd__buf_2 -*I *1111:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1112:A 0.000407194 -2 *1111:X 0.000407194 -3 *1112:A *1129:B 0 -4 *1112:A *95:8 5.71472e-05 -5 *1112:A *253:8 0.000131247 -6 *1112:A *253:24 0 -7 *1112:A *254:48 0.000102097 -8 *1112:A *254:58 0.000104833 -9 *1112:A *258:17 7.83659e-05 -10 *1365:D *1112:A 0 -11 *1367:D *1112:A 0.00012677 -*RES -1 *1111:X *1112:A 37.0643 -*END - -*D_NET *89 0.00070155 -*CONN -*I *1114:A I *D sky130_fd_sc_hd__buf_2 -*I *1113:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1114:A 0.000141969 -2 *1113:X 0.000141969 -3 *1114:A *90:13 0.000417611 -*RES -1 *1113:X *1114:A 22.7518 -*END - -*D_NET *90 0.0106161 -*CONN -*I *1230:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1225:A2 I *D sky130_fd_sc_hd__a21oi_2 -*I *1220:C I *D sky130_fd_sc_hd__and3_2 -*I *1232:A I *D sky130_fd_sc_hd__nand3_2 -*I *1145:A I *D sky130_fd_sc_hd__nor2_2 -*I *1144:A I *D sky130_fd_sc_hd__nand2_2 -*I *1116:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1115:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1230:B 2.28706e-05 -2 *1225:A2 0.000198397 -3 *1220:C 0 -4 *1232:A 1.46627e-05 -5 *1145:A 0.000500594 -6 *1144:A 0.00104995 -7 *1116:A0 0.000114524 -8 *1115:X 0 -9 *90:71 0.000405054 -10 *90:68 0.00053445 -11 *90:52 0.000474654 -12 *90:22 0.00162643 -13 *90:13 0.00137822 -14 *90:4 0.00134287 -15 *1116:A0 *1116:A1 3.04311e-05 -16 *1144:A *1144:B 6.92563e-05 -17 *1144:A *1147:B 2.44318e-05 -18 *1144:A *1177:A1 0.000340721 -19 *1144:A *1177:A2 5.74499e-06 -20 *1144:A *260:5 5.67058e-06 -21 *1144:A *260:7 1.87132e-05 -22 *1145:A *1135:A2 0.000433458 -23 *1145:A *97:12 8.91534e-05 -24 *1225:A2 *1225:A1 8.76234e-05 -25 *1225:A2 *191:8 0 -26 *1225:A2 *254:84 6.87574e-05 -27 *1225:A2 *256:15 4.89174e-05 -28 *1225:A2 *257:32 0.000181796 -29 *1230:B *191:20 2.44318e-05 -30 *90:13 *1113:A0 5.23142e-05 -31 *90:13 *1115:A 4.57092e-05 -32 *90:13 *1340:A 0.000188586 -33 *90:52 *1115:A 1.32056e-05 -34 *90:68 *1232:C 5.52238e-05 -35 *90:68 *191:20 0.000152357 -36 *90:71 *1230:A 4.35048e-05 -37 *90:71 *191:8 0 -38 *90:71 *256:15 6.98762e-05 -39 *1114:A *90:13 0.000417611 -40 *1177:B1 *1144:A 0.000126629 -41 *1363:D *1145:A 2.89016e-05 -42 *1363:RESET_B *1144:A 1.25672e-06 -43 *1363:RESET_B *1145:A 0 -44 *1363:RESET_B *90:22 0 -45 *1364:D *90:13 1.32552e-05 -46 *39:16 *1145:A 0.000189441 -47 *39:16 *90:22 0.000126439 -*RES -1 *1115:X *90:4 9.3 -2 *90:4 *90:13 18.375 -3 *90:13 *1116:A0 11.0679 -4 *90:13 *90:22 6.14286 -5 *90:22 *1144:A 28.3536 -6 *90:22 *1145:A 23.0857 -7 *90:4 *90:52 2.60714 -8 *90:52 *1232:A 9.72857 -9 *90:52 *90:68 6.57143 -10 *90:68 *90:71 8.57143 -11 *90:71 *1220:C 13.8 -12 *90:71 *1225:A2 18.7286 -13 *90:68 *1230:B 9.83571 -*END - -*D_NET *91 0.00255647 -*CONN -*I *1117:A I *D sky130_fd_sc_hd__buf_2 -*I *1116:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1117:A 0.000763791 -2 *1116:X 0.000763791 -3 *1117:A *1145:B 2.30116e-06 -4 *1117:A *1147:B 0.000142856 -5 *1117:A *1147:C 4.87854e-05 -6 *1117:A *1177:A2 0 -7 *1117:A *1368:A 1.92789e-05 -8 *1117:A *254:16 0 -9 clockp[1] *1117:A 3.95183e-05 -10 *383:DIODE *1117:A 0.000125724 -11 *1363:D *1117:A 0.000484518 -12 *6:10 *1117:A 0.000165911 -*RES -1 *1116:X *1117:A 41.4929 -*END - -*D_NET *92 0.0101701 -*CONN -*I *1284:A1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1306:A I *D sky130_fd_sc_hd__nand2_2 -*I *1295:A I *D sky130_fd_sc_hd__nand3_2 -*I *1310:A I *D sky130_fd_sc_hd__nand3_2 -*I *1313:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *1198:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1201:A1 I *D sky130_fd_sc_hd__o22a_2 -*I *1118:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1284:A1 0 -2 *1306:A 0.000201606 -3 *1295:A 0 -4 *1310:A 0 -5 *1313:B2 1.5859e-05 -6 *1198:A 0.00013326 -7 *1201:A1 0.0002733 -8 *1118:X 9.70537e-05 -9 *92:67 0.00165459 -10 *92:54 0.00157439 -11 *92:43 0.000386719 -12 *92:30 0.000489359 -13 *92:8 0.00052276 -14 *92:7 0.000421444 -15 *1198:A *1199:B 5.52238e-05 -16 *1198:A *284:33 0.000142053 -17 *1201:A1 *1199:A 4.46186e-06 -18 *1201:A1 *1201:A2 3.93247e-05 -19 *1201:A1 *1201:B1 5.62995e-05 -20 *1201:A1 *1201:B2 6.58195e-05 -21 *1201:A1 *157:13 0 -22 *1201:A1 *276:13 0 -23 *1306:A *1244:A_N 1.95625e-05 -24 *1306:A *1247:B 6.87834e-06 -25 *1306:A *1284:A2 6.73101e-05 -26 *1306:A *1285:A_N 5.52302e-05 -27 *1306:A *1285:C 0.000122091 -28 *1306:A *1306:B 5.33005e-05 -29 *1306:A *146:7 0.000129871 -30 *1313:B2 *1313:B1 5.49995e-05 -31 *1313:B2 *139:10 1.84776e-05 -32 *92:7 *275:30 0.000140933 -33 *92:8 *1199:A 0.000100969 -34 *92:8 *1200:A2 0.000196269 -35 *92:8 *1201:B2 4.98055e-06 -36 *92:30 *1189:A 4.27842e-06 -37 *92:30 *1211:A 0 -38 *92:30 *139:10 0 -39 *92:30 *139:83 8.02652e-05 -40 *92:43 *1189:A 4.34381e-05 -41 *92:43 *1253:C1 0 -42 *92:43 *1310:B 2.2355e-05 -43 *92:43 *139:83 0.000260357 -44 *92:54 *1253:C1 4.56209e-05 -45 *92:54 *1310:B 4.6025e-06 -46 *92:54 *1311:A3 8.6872e-05 -47 *92:67 *1244:A_N 9.59532e-06 -48 *92:67 *1247:B 0.000916588 -49 *92:67 *1253:A1 1.90936e-05 -50 *92:67 *1311:A3 2.28378e-05 -51 *92:67 *164:15 0.000385015 -52 *92:67 *221:24 0 -53 *92:67 *301:13 0 -54 *92:67 *317:24 0.000180142 -55 *92:67 *372:13 0.000366623 -56 *417:DIODE *92:43 7.67559e-05 -57 *1311:B1 *92:54 4.04691e-06 -58 *29:10 *1201:A1 6.98669e-05 -59 *29:10 *92:8 2.37761e-05 -60 *29:10 *92:30 9.04746e-05 -61 *37:20 *1306:A 0.000353086 -*RES -1 *1118:X *92:7 15.1571 -2 *92:7 *92:8 3.46429 -3 *92:8 *1201:A1 19.8 -4 *92:8 *1198:A 16.8 -5 *92:7 *92:30 4.375 -6 *92:30 *1313:B2 14.3357 -7 *92:30 *92:43 5.64286 -8 *92:43 *1310:A 13.8 -9 *92:43 *92:54 2.91071 -10 *92:54 *1295:A 13.8 -11 *92:54 *92:67 11.6878 -12 *92:67 *1306:A 19.8893 -13 *92:67 *1284:A1 13.8 -*END - -*D_NET *93 0.011562 -*CONN -*I *1252:A I *D sky130_fd_sc_hd__nor2_2 -*I *1287:A I *D sky130_fd_sc_hd__nand2_2 -*I *1245:B I *D sky130_fd_sc_hd__and3_2 -*I *1182:A1 I *D sky130_fd_sc_hd__o31a_2 -*I *1184:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1243:B I *D sky130_fd_sc_hd__nor2_2 -*I *1256:A I *D sky130_fd_sc_hd__and2_2 -*I *1119:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1252:A 0.000216869 -2 *1287:A 0.000801499 -3 *1245:B 0.00050789 -4 *1182:A1 0 -5 *1184:A 0.000207651 -6 *1243:B 0.000105326 -7 *1256:A 0 -8 *1119:Y 0 -9 *93:55 0.00156141 -10 *93:48 0.000505003 -11 *93:42 0.000153688 -12 *93:30 0.000688009 -13 *93:8 0.000508116 -14 *93:4 0.000765572 -15 *1184:A *1182:B1 0.000315925 -16 *1184:A *1211:B 9.97901e-05 -17 *1184:A *163:57 4.21517e-05 -18 *1184:A *274:20 0.000109423 -19 *1184:A *275:30 2.35827e-05 -20 *1243:B *1284:B1 5.33005e-05 -21 *1243:B *1286:B2 3.46786e-05 -22 *1243:B *162:63 9.98233e-05 -23 *1243:B *212:13 5.1588e-05 -24 *1243:B *275:60 8.6229e-06 -25 *1243:B *275:67 5.33005e-05 -26 *1245:B *275:42 0.000225609 -27 *1252:A *1308:A2 0.000178847 -28 *1252:A *1314:B1 5.30196e-05 -29 *1252:A *156:8 2.24718e-05 -30 *1252:A *198:41 0.000123687 -31 *1252:A *198:58 4.60265e-05 -32 *1252:A *294:23 6.77822e-05 -33 *1287:A *1260:A 7.6644e-05 -34 *1287:A *1287:B 0.000242347 -35 *1287:A *1292:B2 6.74867e-05 -36 *1287:A *1296:C 0.000238466 -37 *1287:A *1297:B 8.03349e-06 -38 *1287:A *1298:A1 6.87574e-05 -39 *1287:A *1298:C1 9.69778e-05 -40 *1287:A *1299:A3 8.46829e-05 -41 *1287:A *1450:TE 0 -42 *1287:A *233:11 6.30482e-05 -43 *1287:A *234:29 0.00010379 -44 *1287:A *240:5 0.000139907 -45 *1287:A *330:21 0.000517573 -46 *93:8 *1256:B 5.33005e-05 -47 *93:8 *1283:C1 0.000116318 -48 *93:8 *1285:B 2.56601e-05 -49 *93:8 *1286:B2 2.8266e-06 -50 *93:8 *137:47 2.28499e-05 -51 *93:8 *162:63 0.000148196 -52 *93:8 *274:34 3.57729e-06 -53 *93:30 *1182:A3 4.43256e-05 -54 *93:30 *1196:A 2.95642e-05 -55 *93:30 *1245:C 1.19582e-05 -56 *93:30 *145:5 0.000193388 -57 *93:30 *145:24 0.00021275 -58 *93:30 *274:20 0.000183023 -59 *93:30 *274:30 9.16074e-05 -60 *93:30 *274:34 3.01245e-06 -61 *93:42 *1182:A2 2.21991e-05 -62 *93:42 *1182:A3 3.97677e-05 -63 *93:42 *145:24 5.52302e-05 -64 *93:55 *1166:A 2.64856e-05 -65 *93:55 *1296:C 0.000190554 -66 *93:55 *140:8 3.24462e-05 -67 *93:55 *211:40 0 -68 *93:55 *234:11 7.9524e-05 -69 *93:55 *234:29 3.04495e-05 -70 *93:55 *236:8 0 -71 *93:55 *271:25 0.000190134 -72 *93:55 *271:31 1.08691e-05 -73 *93:55 *294:18 7.83587e-05 -74 *391:DIODE *1252:A 7.95201e-05 -75 *409:DIODE *1287:A 3.80667e-05 -76 *5:113 *1184:A 9.21418e-06 -77 *30:23 *1245:B 0.000198487 -*RES -1 *1119:Y *93:4 9.3 -2 *93:4 *93:8 10.6786 -3 *93:8 *1256:A 13.8 -4 *93:8 *1243:B 16.5857 -5 *93:4 *93:30 15.7321 -6 *93:30 *1184:A 23.5857 -7 *93:30 *93:42 2.19643 -8 *93:42 *1182:A1 9.3 -9 *93:42 *93:48 0.535714 -10 *93:48 *93:55 11.0536 -11 *93:55 *1245:B 20.4964 -12 *93:55 *1287:A 39.2286 -13 *93:48 *1252:A 23.8 -*END - -*D_NET *94 0.00124298 -*CONN -*I *1121:B I *D sky130_fd_sc_hd__nand2b_2 -*I *1120:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1121:B 0.000316759 -2 *1120:Y 0.000316759 -3 *1121:B *1120:A 0.000338344 -4 *1121:B *252:13 5.71472e-05 -5 *1121:B *253:24 0 -6 *1121:B *262:8 0.000163847 -7 *1364:RESET_B *1121:B 5.01213e-05 -*RES -1 *1120:Y *1121:B 34.6357 -*END - -*D_NET *95 0.00423338 -*CONN -*I *1154:A2 I *D sky130_fd_sc_hd__a31o_2 -*I *1130:A I *D sky130_fd_sc_hd__and3_2 -*I *1131:A1 I *D sky130_fd_sc_hd__a21oi_2 -*I *1121:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *1154:A2 0.00010317 -2 *1130:A 6.37508e-05 -3 *1131:A1 2.17614e-05 -4 *1121:Y 0.00080283 -5 *95:23 0.000268701 -6 *95:8 0.000926372 -7 *1130:A *1139:A3 9.83388e-05 -8 *1130:A *103:7 7.49267e-05 -9 *1131:A1 *1127:B 9.71197e-05 -10 *1131:A1 *101:10 9.71197e-05 -11 *1154:A2 *1154:A3 5.52302e-05 -12 *1154:A2 *103:7 2.58706e-05 -13 *95:8 *1111:A0 0.000132565 -14 *95:8 *1111:A1 1.07719e-05 -15 *95:8 *1127:A 0.000100823 -16 *95:8 *1131:B1 2.38651e-06 -17 *95:8 *1138:B 7.32955e-05 -18 *95:8 *100:6 5.7988e-05 -19 *95:8 *100:17 2.16719e-05 -20 *95:8 *112:9 0 -21 *95:8 *258:17 0.00014285 -22 *95:23 *1131:B1 2.89791e-06 -23 *95:23 *1132:B 9.25014e-06 -24 *95:23 *100:17 0.000118195 -25 *95:23 *105:8 7.6644e-05 -26 *377:DIODE *95:8 3.82357e-05 -27 *1109:S *95:8 0.000140933 -28 *1110:A *95:8 0.000190459 -29 *1111:S *95:8 0.000135028 -30 *1112:A *95:8 5.71472e-05 -31 *39:16 *95:8 0.000287051 -*RES -1 *1121:Y *95:8 30.4786 -2 *95:8 *1131:A1 14.7464 -3 *95:8 *95:23 6.80357 -4 *95:23 *1130:A 11.0857 -5 *95:23 *1154:A2 10.6571 -*END - -*D_NET *96 0.00407243 -*CONN -*I *1135:A1 I *D sky130_fd_sc_hd__a21oi_2 -*I *1126:A1 I *D sky130_fd_sc_hd__a211o_2 -*I *1141:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1177:A1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1122:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1135:A1 0 -2 *1126:A1 0.000104285 -3 *1141:A 6.87702e-05 -4 *1177:A1 0.00031719 -5 *1122:X 0.000112956 -6 *96:27 0.000392396 -7 *96:7 0.00053653 -8 *96:5 0.000112956 -9 *1126:A1 *1126:B1 1.97695e-05 -10 *1126:A1 *1141:B 0.000396227 -11 *1126:A1 *254:34 0.000427456 -12 *1141:A *1141:B 9.86146e-05 -13 *1141:A *254:34 0.000185367 -14 *1177:A1 *1177:A2 0.000102207 -15 *1177:A1 *1368:A 0 -16 *1177:A1 *254:16 0 -17 *1177:A1 *260:7 0.000164097 -18 *96:5 *1135:A2 0.000399176 -19 *96:5 *1135:B1 0.000270051 -20 *96:5 *1138:A 2.36643e-05 -21 *96:27 *1146:A 0 -22 *96:27 *254:16 0 -23 *1144:A *1177:A1 0.000340721 -24 *1363:D *1177:A1 0 -*RES -1 *1122:X *96:5 13.5321 -2 *96:5 *96:7 4.5 -3 *96:7 *1177:A1 22.2286 -4 *96:7 *96:27 8.375 -5 *96:27 *1141:A 11.4964 -6 *96:27 *1126:A1 13.9607 -7 *96:5 *1135:A1 9.3 -*END - -*D_NET *97 0.00439319 -*CONN -*I *1126:A2 I *D sky130_fd_sc_hd__a211o_2 -*I *1141:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1135:A2 I *D sky130_fd_sc_hd__a21oi_2 -*I *1123:X O *D sky130_fd_sc_hd__xor2_2 -*CAP -1 *1126:A2 0 -2 *1141:B 0.000173736 -3 *1135:A2 0.000141368 -4 *1123:X 0.000541322 -5 *97:25 0.000347055 -6 *97:12 0.000856009 -7 *97:12 *1120:A 0.000144773 -8 *97:12 *1122:B 4.49953e-05 -9 *97:12 *1340:B 0.000320709 -10 *97:12 *252:13 0.000156885 -11 *97:25 *1122:B 9.69119e-06 -12 *97:25 *1126:C1 0 -13 *376:DIODE *1141:B 7.8195e-05 -14 *1126:A1 *1141:B 0.000396227 -15 *1141:A *1141:B 9.86146e-05 -16 *1145:A *1135:A2 0.000433458 -17 *1145:A *97:12 8.91534e-05 -18 *1363:RESET_B *97:12 7.67762e-05 -19 *39:16 *97:12 6.8646e-06 -20 *39:16 *97:25 7.81834e-05 -21 *84:26 *97:25 0 -22 *96:5 *1135:A2 0.000399176 -*RES -1 *1123:X *97:12 25.6571 -2 *97:12 *1135:A2 18.8714 -3 *97:12 *97:25 7.66071 -4 *97:25 *1141:B 13.9429 -5 *97:25 *1126:A2 9.3 -*END - -*D_NET *98 0.00210639 -*CONN -*I *1137:B I *D sky130_fd_sc_hd__nor2_2 -*I *1126:B1 I *D sky130_fd_sc_hd__a211o_2 -*I *1124:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1137:B 0.00012836 -2 *1126:B1 9.51327e-05 -3 *1124:X 0.000182376 -4 *98:5 0.000405869 -5 *1126:B1 *254:34 0.000182694 -6 *1126:B1 *262:32 0 -7 *1137:B *1137:A 1.36591e-05 -8 *1137:B *1138:B 0.000195562 -9 *1137:B *254:45 0.000389868 -10 *1137:B *258:28 0 -11 *98:5 *1136:A 0.000232399 -12 *98:5 *1136:B 4.38128e-05 -13 *98:5 *254:34 4.18895e-05 -14 *98:5 *262:32 7.19536e-05 -15 *1126:A1 *1126:B1 1.97695e-05 -16 *84:26 *1137:B 0.000103041 -*RES -1 *1124:X *98:5 13.5321 -2 *98:5 *1126:B1 11.4964 -3 *98:5 *1137:B 23.5857 -*END - -*D_NET *99 0.0032329 -*CONN -*I *1126:C1 I *D sky130_fd_sc_hd__a211o_2 -*I *1135:B1 I *D sky130_fd_sc_hd__a21oi_2 -*I *1125:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1126:C1 0.000101846 -2 *1135:B1 0.000212615 -3 *1125:X 0.000968881 -4 *99:5 0.00128334 -5 *1135:B1 *1138:A 5.68722e-05 -6 *99:5 *1122:A 0.000100222 -7 *99:5 *1125:B 7.15962e-05 -8 *99:5 *261:7 1.92789e-05 -9 *84:26 *1126:C1 0.000148196 -10 *96:5 *1135:B1 0.000270051 -11 *97:25 *1126:C1 0 -*RES -1 *1125:X *99:5 20.925 -2 *99:5 *1135:B1 13.8536 -3 *99:5 *1126:C1 20.2464 -*END - -*D_NET *100 0.00305818 -*CONN -*I *1130:B I *D sky130_fd_sc_hd__and3_2 -*I *1154:A3 I *D sky130_fd_sc_hd__a31o_2 -*I *1131:A2 I *D sky130_fd_sc_hd__a21oi_2 -*I *1126:X O *D sky130_fd_sc_hd__a211o_2 -*CAP -1 *1130:B 0.000239601 -2 *1154:A3 1.40355e-05 -3 *1131:A2 4.62044e-05 -4 *1126:X 0.000283349 -5 *100:17 0.000373976 -6 *100:6 0.000449893 -7 *1130:B *1130:C 1.03037e-05 -8 *1130:B *1132:B 3.2293e-05 -9 *1130:B *1154:A1 3.66495e-05 -10 *1130:B *103:7 0.000120057 -11 *1130:B *128:6 3.34295e-05 -12 *1131:A2 *1127:B 6.37237e-05 -13 *1131:A2 *1153:B 2.28598e-05 -14 *1154:A3 *103:7 2.89114e-05 -15 *100:6 *1127:A 9.91086e-05 -16 *100:6 *258:28 2.78242e-05 -17 *100:6 *263:8 0.000124019 -18 *100:6 *263:17 0.000161926 -19 *100:17 *1132:B 1.08359e-05 -20 *100:17 *1154:A1 9.69119e-06 -21 *100:17 *1154:B1 0 -22 *100:17 *101:10 0 -23 *100:17 *263:17 5.41794e-05 -24 *378:DIODE *1131:A2 9.60939e-05 -25 *1109:S *100:6 0 -26 *1154:A2 *1154:A3 5.52302e-05 -27 *1366:RESET_B *100:6 1.03904e-05 -28 *39:16 *100:6 0.000455742 -29 *84:26 *100:6 0 -30 *95:8 *100:6 5.7988e-05 -31 *95:8 *100:17 2.16719e-05 -32 *95:23 *100:17 0.000118195 -*RES -1 *1126:X *100:6 22.425 -2 *100:6 *1131:A2 15.175 -3 *100:6 *100:17 2.55357 -4 *100:17 *1154:A3 14.3357 -5 *100:17 *1130:B 17.7286 -*END - -*D_NET *101 0.00276692 -*CONN -*I *1152:A I *D sky130_fd_sc_hd__inv_2 -*I *1129:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *1127:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1152:A 0.000305842 -2 *1129:A_N 0.000188041 -3 *1127:Y 0.000364438 -4 *101:10 0.000858321 -5 *1129:A_N *103:7 4.87953e-05 -6 *1152:A *1129:B 3.34366e-05 -7 *1152:A *1154:A1 1.00073e-05 -8 *1152:A *1160:A2 0 -9 *1152:A *1160:B1 3.51442e-05 -10 *1152:A *1160:C1 8.47274e-05 -11 *1152:A *135:8 0 -12 *101:10 *1127:B 0.000119869 -13 *101:10 *1129:B 0.000126446 -14 *101:10 *1131:B1 5.49544e-05 -15 *101:10 *1153:B 0.000274294 -16 *101:10 *1154:B1 0.000110535 -17 *101:10 *105:8 5.49489e-05 -18 *1131:A1 *101:10 9.71197e-05 -19 *100:17 *101:10 0 -*RES -1 *1127:Y *101:10 22.1571 -2 *101:10 *1129:A_N 16.8179 -3 *101:10 *1152:A 19.4607 -*END - -*D_NET *102 0.000868459 -*CONN -*I *1129:B I *D sky130_fd_sc_hd__nand2b_2 -*I *1128:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1129:B 0.000314884 -2 *1128:Y 0.000314884 -3 *1129:B *1153:A 0 -4 *1129:B *248:5 7.88091e-05 -5 *1129:B *254:58 0 -6 *1129:B *258:35 0 -7 *1112:A *1129:B 0 -8 *1152:A *1129:B 3.34366e-05 -9 *101:10 *1129:B 0.000126446 -*RES -1 *1128:Y *1129:B 33.6357 -*END - -*D_NET *103 0.00143163 -*CONN -*I *1131:B1 I *D sky130_fd_sc_hd__a21oi_2 -*I *1130:C I *D sky130_fd_sc_hd__and3_2 -*I *1129:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *1131:B1 0.000122626 -2 *1130:C 1.53757e-05 -3 *1129:Y 0.000310347 -4 *103:7 0.000448349 -5 *1130:C *1139:A3 5.49489e-05 -6 *1131:B1 *1127:A 5.37741e-06 -7 *1131:B1 *1127:B 5.65955e-05 -8 *1131:B1 *1132:B 5.79582e-06 -9 *1131:B1 *105:8 2.16315e-05 -10 *1131:B1 *112:9 0 -11 *103:7 *1139:A2 1.17091e-05 -12 *103:7 *1139:A3 9.77264e-06 -13 *1129:A_N *103:7 4.87953e-05 -14 *1130:A *103:7 7.49267e-05 -15 *1130:B *1130:C 1.03037e-05 -16 *1130:B *103:7 0.000120057 -17 *1154:A2 *103:7 2.58706e-05 -18 *1154:A3 *103:7 2.89114e-05 -19 *95:8 *1131:B1 2.38651e-06 -20 *95:23 *1131:B1 2.89791e-06 -21 *101:10 *1131:B1 5.49544e-05 -*RES -1 *1129:Y *103:7 15.9071 -2 *103:7 *1130:C 9.83571 -3 *103:7 *1131:B1 20.7821 -*END - -*D_NET *104 0.00150727 -*CONN -*I *1132:A I *D sky130_fd_sc_hd__nor2_2 -*I *1139:A2 I *D sky130_fd_sc_hd__o32a_2 -*I *1130:X O *D sky130_fd_sc_hd__and3_2 -*CAP -1 *1132:A 0.000150998 -2 *1139:A2 0.000222759 -3 *1130:X 0 -4 *104:4 0.000373758 -5 *1132:A *106:5 5.20751e-05 -6 *1139:A2 *1139:A1 5.52238e-05 -7 *1139:A2 *1139:A3 0.00030319 -8 *1139:A2 *112:9 0.000221598 -9 *1160:A1 *1132:A 0.000115958 -10 *1179:A1 *1132:A 0 -11 *103:7 *1139:A2 1.17091e-05 -*RES -1 *1130:X *104:4 9.3 -2 *104:4 *1139:A2 15.8 -3 *104:4 *1132:A 21.1929 -*END - -*D_NET *105 0.00304569 -*CONN -*I *1132:B I *D sky130_fd_sc_hd__nor2_2 -*I *1139:A3 I *D sky130_fd_sc_hd__o32a_2 -*I *1131:Y O *D sky130_fd_sc_hd__a21oi_2 -*CAP -1 *1132:B 0.000272477 -2 *1139:A3 0.000663789 -3 *1131:Y 8.14957e-05 -4 *105:8 0.00101776 -5 *1132:B *1180:D 0 -6 *1132:B *112:9 0 -7 *1132:B *128:6 0.000193118 -8 *1139:A3 *429:DIODE 1.24368e-05 -9 *1139:A3 *1139:A1 2.44318e-05 -10 *1139:A3 *1140:A 1.3701e-05 -11 *1130:A *1139:A3 9.83388e-05 -12 *1130:B *1132:B 3.2293e-05 -13 *1130:C *1139:A3 5.49489e-05 -14 *1131:B1 *1132:B 5.79582e-06 -15 *1131:B1 *105:8 2.16315e-05 -16 *1139:A2 *1139:A3 0.00030319 -17 *1160:A1 *1132:B 8.88263e-05 -18 *1179:A1 *1132:B 0 -19 *95:23 *1132:B 9.25014e-06 -20 *95:23 *105:8 7.6644e-05 -21 *100:17 *1132:B 1.08359e-05 -22 *101:10 *105:8 5.49489e-05 -23 *103:7 *1139:A3 9.77264e-06 -*RES -1 *1131:Y *105:8 15.3714 -2 *105:8 *1139:A3 23.1214 -3 *105:8 *1132:B 18.9429 -*END - -*D_NET *106 0.00311964 -*CONN -*I *1179:A2 I *D sky130_fd_sc_hd__o211a_2 -*I *1150:A2 I *D sky130_fd_sc_hd__o2111a_2 -*I *1157:A2 I *D sky130_fd_sc_hd__o21ba_2 -*I *1132:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1179:A2 5.37514e-05 -2 *1150:A2 0 -3 *1157:A2 0.00013078 -4 *1132:Y 0.000291323 -5 *106:13 0.000627147 -6 *106:5 0.000841442 -7 *1157:A2 *1150:D1 5.71472e-05 -8 *1157:A2 *1157:B1_N 0 -9 *1157:A2 *1161:A3 0 -10 *1179:A2 *1161:B1 1.58163e-05 -11 *1179:A2 *1179:C1 1.5471e-05 -12 *1179:A2 *134:5 3.69047e-06 -13 *106:5 *134:5 2.64043e-05 -14 *106:13 *1150:D1 0.000172309 -15 *106:13 *1161:A1 7.6644e-05 -16 *106:13 *1161:A2 8.20693e-05 -17 *106:13 *1161:A3 0.000175519 -18 *106:13 *1161:B1 2.07382e-05 -19 *106:13 *1179:C1 0.000302336 -20 *374:DIODE *1157:A2 4.46186e-06 -21 *1132:A *106:5 5.20751e-05 -22 *1160:A1 *106:5 4.55099e-05 -23 *1179:A1 *106:5 6.26774e-06 -24 *9:31 *1157:A2 9.44879e-05 -25 *10:21 *106:13 2.42516e-05 -*RES -1 *1132:Y *106:5 13.1214 -2 *106:5 *106:13 17.6607 -3 *106:13 *1157:A2 21.0857 -4 *106:13 *1150:A2 9.3 -5 *106:5 *1179:A2 10.6929 -*END - -*D_NET *107 0.00114449 -*CONN -*I *1139:A1 I *D sky130_fd_sc_hd__o32a_2 -*I *1133:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1139:A1 0.000348256 -2 *1133:Y 0.000348256 -3 *1139:A1 *429:DIODE 3.30237e-05 -4 *1139:A1 *1139:B2 1.52978e-05 -5 *1139:A1 *1140:B 9.71197e-05 -6 *1139:A1 *1150:D1 0 -7 *1139:A1 *1161:B1 5.33005e-05 -8 *1139:A1 *1179:C1 8.25843e-06 -9 *1139:A1 *112:9 8.46829e-05 -10 *1139:A1 *113:10 7.6644e-05 -11 *1139:A2 *1139:A1 5.52238e-05 -12 *1139:A3 *1139:A1 2.44318e-05 -*RES -1 *1133:Y *1139:A1 33.6 -*END - -*D_NET *108 0.00104028 -*CONN -*I *1139:B1 I *D sky130_fd_sc_hd__o32a_2 -*I *1140:A I *D sky130_fd_sc_hd__nand2_2 -*I *1134:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1139:B1 0 -2 *1140:A 7.64291e-05 -3 *1134:Y 0.000222623 -4 *108:8 0.000299053 -5 *1140:A *429:DIODE 0.000230475 -6 *1140:A *1140:B 6.39116e-05 -7 *1140:A *114:8 1.32552e-05 -8 *108:8 *429:DIODE 3.02733e-05 -9 *108:8 *1139:B2 1.21955e-05 -10 *108:8 *1150:D1 0 -11 *108:8 *254:8 7.83587e-05 -12 *1139:A3 *1140:A 1.3701e-05 -13 *11:10 *108:8 0 -*RES -1 *1134:Y *108:8 22.3714 -2 *108:8 *1140:A 12.3357 -3 *108:8 *1139:B1 9.3 -*END - -*D_NET *109 0.000891688 -*CONN -*I *1138:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1135:Y O *D sky130_fd_sc_hd__a21oi_2 -*CAP -1 *1138:A 0.00023675 -2 *1135:Y 0.00023675 -3 *1135:B1 *1138:A 5.68722e-05 -4 *39:16 *1138:A 0.000337651 -5 *96:5 *1138:A 2.36643e-05 -*RES -1 *1135:Y *1138:A 32.9214 -*END - -*D_NET *110 0.000773291 -*CONN -*I *1137:A I *D sky130_fd_sc_hd__nor2_2 -*I *1136:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1137:A 0.000114335 -2 *1136:Y 0.000114335 -3 *1137:A *1111:A1 7.83587e-05 -4 *1137:A *253:24 0.000137431 -5 *1137:A *254:45 0.000315172 -6 *1137:B *1137:A 1.36591e-05 -*RES -1 *1136:Y *1137:A 31.975 -*END - -*D_NET *111 0.00104506 -*CONN -*I *1138:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1137:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1138:B 0.000186097 -2 *1137:Y 0.000186097 -3 *377:DIODE *1138:B 0.000188586 -4 *1109:S *1138:B 0.00014285 -5 *1111:S *1138:B 4.08637e-05 -6 *1137:B *1138:B 0.000195562 -7 *84:26 *1138:B 3.17148e-05 -8 *95:8 *1138:B 7.32955e-05 -*RES -1 *1137:Y *1138:B 33.1536 -*END - -*D_NET *112 0.00324831 -*CONN -*I *1139:B2 I *D sky130_fd_sc_hd__o32a_2 -*I *1140:B I *D sky130_fd_sc_hd__nand2_2 -*I *1138:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1139:B2 8.79012e-05 -2 *1140:B 0.000100497 -3 *1138:Y 0.000686243 -4 *112:9 0.000874641 -5 *1140:B *429:DIODE 7.49387e-06 -6 *1140:B *113:10 0.000139907 -7 *1140:B *114:8 0.00010096 -8 *112:9 *1127:A 2.42082e-05 -9 *112:9 *1179:B1 0.000171283 -10 *112:9 *1180:B 0 -11 *112:9 *113:10 0.000178847 -12 *112:9 *284:45 0 -13 clockp[1] *112:9 0 -14 *376:DIODE *112:9 0.000204693 -15 *378:DIODE *112:9 5.91967e-05 -16 *1131:B1 *112:9 0 -17 *1132:B *112:9 0 -18 *1139:A1 *1139:B2 1.52978e-05 -19 *1139:A1 *1140:B 9.71197e-05 -20 *1139:A1 *112:9 8.46829e-05 -21 *1139:A2 *112:9 0.000221598 -22 *1140:A *1140:B 6.39116e-05 -23 *1179:A1 *112:9 8.28006e-05 -24 *1366:D *112:9 0 -25 *11:10 *1139:B2 3.48282e-05 -26 *95:8 *112:9 0 -27 *108:8 *1139:B2 1.21955e-05 -*RES -1 *1138:Y *112:9 33.4964 -2 *112:9 *1140:B 12.6214 -3 *112:9 *1139:B2 19.9964 -*END - -*D_NET *113 0.00298843 -*CONN -*I *1179:B1 I *D sky130_fd_sc_hd__o211a_2 -*I *1157:B1_N I *D sky130_fd_sc_hd__o21ba_2 -*I *1150:B1 I *D sky130_fd_sc_hd__o2111a_2 -*I *1139:X O *D sky130_fd_sc_hd__o32a_2 -*CAP -1 *1179:B1 0.000198959 -2 *1157:B1_N 0.000125096 -3 *1150:B1 1.37855e-05 -4 *1139:X 0 -5 *113:10 0.000563685 -6 *113:4 0.000623762 -7 *1150:B1 *1150:D1 9.90367e-05 -8 *1157:B1_N *1161:A3 9.34787e-05 -9 *1179:B1 *1180:C 5.83304e-05 -10 *1179:B1 *284:45 4.94362e-05 -11 *113:10 *1150:C1 0.000103267 -12 *113:10 *1161:A2 0 -13 *113:10 *1161:A3 0.000174812 -14 *113:10 *114:8 0.000173797 -15 *1139:A1 *113:10 7.6644e-05 -16 *1140:B *113:10 0.000139907 -17 *1157:A2 *1157:B1_N 0 -18 *1179:A1 *1179:B1 4.33438e-05 -19 *9:20 *1157:B1_N 0 -20 *9:20 *113:10 0 -21 *9:31 *1157:B1_N 0 -22 *10:21 *1150:B1 0.00010096 -23 *11:10 *113:10 0 -24 *112:9 *1179:B1 0.000171283 -25 *112:9 *113:10 0.000178847 -*RES -1 *1139:X *113:4 9.3 -2 *113:4 *113:10 12.1071 -3 *113:10 *1150:B1 14.7464 -4 *113:10 *1157:B1_N 16.3536 -5 *113:4 *1179:B1 22.0143 -*END - -*D_NET *114 0.00173707 -*CONN -*I *1150:C1 I *D sky130_fd_sc_hd__o2111a_2 -*I *1179:C1 I *D sky130_fd_sc_hd__o211a_2 -*I *1140:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1150:C1 5.24516e-05 -2 *1179:C1 0.000197713 -3 *1140:Y 0.000184195 -4 *114:8 0.000434359 -5 *1179:C1 *1161:A2 5.43956e-05 -6 *1179:C1 *1161:B1 9.66113e-05 -7 *114:8 *1150:D1 0 -8 *1139:A1 *1179:C1 8.25843e-06 -9 *1140:A *114:8 1.32552e-05 -10 *1140:B *114:8 0.00010096 -11 *1179:A2 *1179:C1 1.5471e-05 -12 *9:20 *1150:C1 0 -13 *9:20 *114:8 0 -14 *106:13 *1179:C1 0.000302336 -15 *113:10 *1150:C1 0.000103267 -16 *113:10 *114:8 0.000173797 -*RES -1 *1140:Y *114:8 17.4071 -2 *114:8 *1179:C1 18.4607 -3 *114:8 *1150:C1 15.1393 -*END - -*D_NET *115 0.00195768 -*CONN -*I *1143:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *1148:B I *D sky130_fd_sc_hd__and2_2 -*I *1141:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1143:A_N 0 -2 *1148:B 0.000327736 -3 *1141:Y 0.00019152 -4 *115:5 0.000519255 -5 *1148:B *1143:B 0 -6 *1148:B *1149:A1 0.00019172 -7 *1148:B *1178:A_N 7.50773e-05 -8 *1148:B *117:10 0.000208278 -9 *1148:B *122:8 9.31245e-05 -10 *1148:B *254:8 4.81374e-05 -11 *1148:B *254:13 1.46717e-05 -12 *115:5 *117:10 1.33343e-05 -13 *115:5 *254:13 0.000132889 -14 *1142:A *1148:B 0 -15 *7:19 *1148:B 0 -16 *7:28 *1148:B 0.000141935 -*RES -1 *1141:Y *115:5 12.7107 -2 *115:5 *1148:B 27.3 -3 *115:5 *1143:A_N 9.3 -*END - -*D_NET *116 0.000186505 -*CONN -*I *1143:B I *D sky130_fd_sc_hd__nand2b_2 -*I *1142:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1143:B 9.32525e-05 -2 *1142:Y 9.32525e-05 -3 *1148:B *1143:B 0 -*RES -1 *1142:Y *1143:B 29.2429 -*END - -*D_NET *117 0.00128347 -*CONN -*I *1149:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1178:B I *D sky130_fd_sc_hd__and4b_2 -*I *1143:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *1149:A1 0.000115127 -2 *1178:B 6.71205e-05 -3 *1143:Y 0.00013178 -4 *117:10 0.000314027 -5 *1149:A1 *1149:A2 5.33005e-05 -6 *1149:A1 *254:13 0.000107189 -7 *117:10 *254:13 8.15939e-05 -8 *1148:B *1149:A1 0.00019172 -9 *1148:B *117:10 0.000208278 -10 *115:5 *117:10 1.33343e-05 -*RES -1 *1143:Y *117:10 17.1214 -2 *117:10 *1178:B 14.7464 -3 *117:10 *1149:A1 17.3 -*END - -*D_NET *118 0.000843077 -*CONN -*I *1147:B I *D sky130_fd_sc_hd__nand3b_2 -*I *1144:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1147:B 0.000309321 -2 *1144:Y 0.000309321 -3 *1147:B *1144:B 5.71472e-05 -4 *1147:B *1178:D 0 -5 *1117:A *1147:B 0.000142856 -6 *1144:A *1147:B 2.44318e-05 -*RES -1 *1144:Y *1147:B 32.6714 -*END - -*D_NET *119 0.00179879 -*CONN -*I *1146:A I *D sky130_fd_sc_hd__inv_2 -*I *1177:A2 I *D sky130_fd_sc_hd__o21ai_2 -*I *1145:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1146:A 0.00018805 -2 *1177:A2 0.000155842 -3 *1145:Y 0 -4 *119:5 0.000343893 -5 *1146:A *254:16 0.000128154 -6 *1177:A2 *1144:B 0.000226507 -7 *1177:A2 *1145:B 0.00012401 -8 *1177:A2 *254:16 0.000195555 -9 *1177:A2 *260:7 4.18895e-05 -10 clockp[1] *1146:A 0.0001746 -11 clockp[1] *1177:A2 2.82057e-05 -12 *376:DIODE *1146:A 0 -13 *377:DIODE *1146:A 5.52302e-05 -14 *1117:A *1177:A2 0 -15 *1144:A *1177:A2 5.74499e-06 -16 *1177:A1 *1177:A2 0.000102207 -17 *1177:B1 *1177:A2 2.89016e-05 -18 *96:27 *1146:A 0 -*RES -1 *1145:Y *119:5 13.8 -2 *119:5 *1177:A2 19.3714 -3 *119:5 *1146:A 18.7107 -*END - -*D_NET *120 0.00136081 -*CONN -*I *1147:C I *D sky130_fd_sc_hd__nand3b_2 -*I *1146:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1147:C 0.000320952 -2 *1146:Y 0.000320952 -3 clockp[1] *1147:C 0.000164969 -4 *377:DIODE *1147:C 0.000344013 -5 *1117:A *1147:C 4.87854e-05 -6 *6:8 *1147:C 0.000161136 -*RES -1 *1146:Y *1147:C 34.8143 -*END - -*D_NET *121 0.00172253 -*CONN -*I *1149:A2 I *D sky130_fd_sc_hd__a21o_2 -*I *1178:C I *D sky130_fd_sc_hd__and4b_2 -*I *1147:Y O *D sky130_fd_sc_hd__nand3b_2 -*CAP -1 *1149:A2 0.000248373 -2 *1178:C 0 -3 *1147:Y 0.00010879 -4 *121:6 0.000357163 -5 *1149:A2 *1178:D 3.51442e-05 -6 *1149:A2 *254:8 5.66943e-05 -7 *1149:A2 *254:13 0.000266281 -8 *121:6 *1178:D 0.000266243 -9 *121:6 *254:13 0.000172681 -10 *375:DIODE *1149:A2 0.000157855 -11 *1149:A1 *1149:A2 5.33005e-05 -*RES -1 *1147:Y *121:6 17.2643 -2 *121:6 *1178:C 13.8 -3 *121:6 *1149:A2 20.0679 -*END - -*D_NET *122 0.0010358 -*CONN -*I *1149:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1178:A_N I *D sky130_fd_sc_hd__and4b_2 -*I *1148:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1149:B1 0 -2 *1178:A_N 0.000153992 -3 *1148:X 0.000115881 -4 *122:8 0.000269872 -5 *1142:A *1178:A_N 6.98971e-05 -6 *1148:B *1178:A_N 7.50773e-05 -7 *1148:B *122:8 9.31245e-05 -8 *7:19 *1178:A_N 0.000140107 -9 *7:19 *122:8 0.00011785 -*RES -1 *1148:X *122:8 16.3893 -2 *122:8 *1178:A_N 17.7107 -3 *122:8 *1149:B1 13.8 -*END - -*D_NET *123 0.00248392 -*CONN -*I *1150:D1 I *D sky130_fd_sc_hd__o2111a_2 -*I *1149:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1150:D1 0.000665683 -2 *1149:X 0.000665683 -3 *1150:D1 *254:8 0 -4 *1139:A1 *1150:D1 0 -5 *1150:B1 *1150:D1 9.90367e-05 -6 *1157:A2 *1150:D1 5.71472e-05 -7 *7:19 *1150:D1 0.000172726 -8 *9:10 *1150:D1 0.000257573 -9 *9:20 *1150:D1 6.26653e-05 -10 *9:31 *1150:D1 7.79781e-06 -11 *10:10 *1150:D1 0.000162735 -12 *10:21 *1150:D1 0.000160563 -13 *106:13 *1150:D1 0.000172309 -14 *108:8 *1150:D1 0 -15 *114:8 *1150:D1 0 -*RES -1 *1149:X *1150:D1 43.3857 -*END - -*D_NET *124 0.000465337 -*CONN -*I *1161:A1 I *D sky130_fd_sc_hd__o31a_2 -*I *1150:X O *D sky130_fd_sc_hd__o2111a_2 -*CAP -1 *1161:A1 9.35781e-05 -2 *1150:X 9.35781e-05 -3 *1161:A1 *1161:A2 0.000123288 -4 *1161:A1 *1161:A3 2.49484e-05 -5 *10:21 *1161:A1 5.33005e-05 -6 *106:13 *1161:A1 7.6644e-05 -*RES -1 *1150:X *1161:A1 29.7786 -*END - -*D_NET *125 0.000955052 -*CONN -*I *1155:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1158:A I *D sky130_fd_sc_hd__nand2_2 -*I *1151:X O *D sky130_fd_sc_hd__xor2_2 -*CAP -1 *1155:A 0 -2 *1158:A 0.000117155 -3 *1151:X 0.000109093 -4 *125:5 0.000226248 -5 *1158:A *1160:B1 7.27028e-05 -6 *1158:A *135:8 0.000227021 -7 *1158:A *284:35 5.33433e-05 -8 *125:5 *284:35 0.000149489 -9 *1337:A *1158:A 0 -*RES -1 *1151:X *125:5 11.8893 -2 *125:5 *1158:A 22.1036 -3 *125:5 *1155:A 9.3 -*END - -*D_NET *126 0.00106423 -*CONN -*I *1154:A1 I *D sky130_fd_sc_hd__a31o_2 -*I *1152:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1154:A1 0.000421278 -2 *1152:Y 0.000421278 -3 *1154:A1 *1154:B1 1.99099e-05 -4 *1154:A1 *1160:A2 1.81539e-05 -5 *1154:A1 *1160:C1 0.000105163 -6 *1154:A1 *135:8 9.25014e-06 -7 *1130:B *1154:A1 3.66495e-05 -8 *1152:A *1154:A1 1.00073e-05 -9 *1160:A1 *1154:A1 1.2847e-05 -10 *100:17 *1154:A1 9.69119e-06 -*RES -1 *1152:Y *1154:A1 33.975 -*END - -*D_NET *127 0.000313918 -*CONN -*I *1154:B1 I *D sky130_fd_sc_hd__a31o_2 -*I *1153:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1154:B1 9.17362e-05 -2 *1153:X 9.17362e-05 -3 *1154:A1 *1154:B1 1.99099e-05 -4 *100:17 *1154:B1 0 -5 *101:10 *1154:B1 0.000110535 -*RES -1 *1153:X *1154:B1 29.475 -*END - -*D_NET *128 0.00136109 -*CONN -*I *1158:B I *D sky130_fd_sc_hd__nand2_2 -*I *1155:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1154:X O *D sky130_fd_sc_hd__a31o_2 -*CAP -1 *1158:B 0.000125825 -2 *1155:B 1.40355e-05 -3 *1154:X 0.000184921 -4 *128:6 0.000324782 -5 *1155:B *1160:A2 5.52302e-05 -6 *1155:B *284:35 2.89114e-05 -7 *1158:B *428:DIODE 9.25014e-06 -8 *1158:B *1180:D 0 -9 *1158:B *135:8 8.05006e-05 -10 *1158:B *284:66 0.000176234 -11 *1158:B *288:8 2.89114e-05 -12 *128:6 *1160:A2 6.74911e-05 -13 *128:6 *1180:D 0 -14 *128:6 *135:8 3.84478e-05 -15 *1130:B *128:6 3.34295e-05 -16 *1132:B *128:6 0.000193118 -*RES -1 *1154:X *128:6 18.175 -2 *128:6 *1155:B 14.3357 -3 *128:6 *1158:B 17.1929 -*END - -*D_NET *129 0.00210896 -*CONN -*I *1160:A2 I *D sky130_fd_sc_hd__o211a_2 -*I *1156:B I *D sky130_fd_sc_hd__and2_2 -*I *1155:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1160:A2 0.00026926 -2 *1156:B 0.000173561 -3 *1155:Y 0 -4 *129:4 0.000442821 -5 *1156:B *284:45 0.000185764 -6 *1160:A2 *1160:C1 3.99614e-06 -7 *1160:A2 *135:8 0.000126446 -8 *1160:A2 *284:35 2.42547e-05 -9 *1160:A2 *284:45 5.5033e-05 -10 *382:DIODE *1156:B 9.58181e-05 -11 *1152:A *1160:A2 0 -12 *1154:A1 *1160:A2 1.81539e-05 -13 *1155:B *1160:A2 5.52302e-05 -14 *1156:A *1156:B 0.00018372 -15 *1160:A1 *1160:A2 0.000125638 -16 *10:21 *1156:B 0.000281769 -17 *128:6 *1160:A2 6.74911e-05 -*RES -1 *1155:Y *129:4 9.3 -2 *129:4 *1156:B 14.7821 -3 *129:4 *1160:A2 24.3179 -*END - -*D_NET *130 0.00147593 -*CONN -*I *1180:A_N I *D sky130_fd_sc_hd__nand4b_2 -*I *1161:A2 I *D sky130_fd_sc_hd__o31a_2 -*I *1156:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1180:A_N 5.61291e-05 -2 *1161:A2 0.000148751 -3 *1156:X 0.000159528 -4 *130:7 0.000364408 -5 *1161:A2 *1161:A3 1.10866e-05 -6 *1161:A2 *1161:B1 0.000102865 -7 *1180:A_N *1161:A3 6.07202e-05 -8 clockp[1] *1180:A_N 3.77051e-05 -9 *1161:A1 *1161:A2 0.000123288 -10 *1179:C1 *1161:A2 5.43956e-05 -11 *10:21 *130:7 3.88059e-05 -12 *11:10 *1161:A2 0.000228479 -13 *11:10 *1180:A_N 7.69776e-06 -14 *106:13 *1161:A2 8.20693e-05 -15 *113:10 *1161:A2 0 -*RES -1 *1156:X *130:7 15.5679 -2 *130:7 *1161:A2 18.8893 -3 *130:7 *1180:A_N 15.4429 -*END - -*D_NET *131 0.00115149 -*CONN -*I *1161:A3 I *D sky130_fd_sc_hd__o31a_2 -*I *1157:X O *D sky130_fd_sc_hd__o21ba_2 -*CAP -1 *1161:A3 0.000305465 -2 *1157:X 0.000305465 -3 clockp[1] *1161:A3 0 -4 *374:DIODE *1161:A3 0 -5 *1157:A2 *1161:A3 0 -6 *1157:B1_N *1161:A3 9.34787e-05 -7 *1161:A1 *1161:A3 2.49484e-05 -8 *1161:A2 *1161:A3 1.10866e-05 -9 *1180:A_N *1161:A3 6.07202e-05 -10 *106:13 *1161:A3 0.000175519 -11 *113:10 *1161:A3 0.000174812 -*RES -1 *1157:X *1161:A3 34.5464 -*END - -*D_NET *132 0.000747378 -*CONN -*I *1160:B1 I *D sky130_fd_sc_hd__o211a_2 -*I *1158:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1160:B1 0.000293099 -2 *1158:Y 0.000293099 -3 *1160:B1 *135:8 0 -4 *1160:B1 *135:13 5.33334e-05 -5 *1152:A *1160:B1 3.51442e-05 -6 *1158:A *1160:B1 7.27028e-05 -*RES -1 *1158:Y *1160:B1 33.225 -*END - -*D_NET *133 0.000600608 -*CONN -*I *1160:C1 I *D sky130_fd_sc_hd__o211a_2 -*I *1159:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1160:C1 0.00020336 -2 *1159:Y 0.00020336 -3 *1152:A *1160:C1 8.47274e-05 -4 *1154:A1 *1160:C1 0.000105163 -5 *1160:A2 *1160:C1 3.99614e-06 -*RES -1 *1159:Y *1160:C1 23.2607 -*END - -*D_NET *134 0.00323578 -*CONN -*I *1180:C I *D sky130_fd_sc_hd__nand4b_2 -*I *1161:B1 I *D sky130_fd_sc_hd__o31a_2 -*I *1160:X O *D sky130_fd_sc_hd__o211a_2 -*CAP -1 *1180:C 0.000346601 -2 *1161:B1 0.00023378 -3 *1160:X 0.000127983 -4 *134:5 0.000708364 -5 *1161:B1 *135:8 0.000306317 -6 *1180:C *1180:B 0 -7 *1180:C *1180:D 0 -8 *1180:C *154:11 1.99099e-05 -9 *1180:C *284:45 0 -10 *134:5 *135:8 0.000597993 -11 *1139:A1 *1161:B1 5.33005e-05 -12 *1156:A *1180:C 1.37292e-05 -13 *1160:A1 *1180:C 3.52562e-05 -14 *1160:A1 *134:5 0.00040349 -15 *1161:A2 *1161:B1 0.000102865 -16 *1179:A1 *1180:C 5.83304e-05 -17 *1179:A1 *134:5 6.26774e-06 -18 *1179:A2 *1161:B1 1.58163e-05 -19 *1179:A2 *134:5 3.69047e-06 -20 *1179:B1 *1180:C 5.83304e-05 -21 *1179:C1 *1161:B1 9.66113e-05 -22 *106:5 *134:5 2.64043e-05 -23 *106:13 *1161:B1 2.07382e-05 -*RES -1 *1160:X *134:5 15.175 -2 *134:5 *1161:B1 15.0857 -3 *134:5 *1180:C 24.425 -*END - -*D_NET *135 0.0100294 -*CONN -*I *1192:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1162:A I *D sky130_fd_sc_hd__buf_2 -*I *1175:A I *D sky130_fd_sc_hd__and3_2 -*I *1186:B I *D sky130_fd_sc_hd__and2_2 -*I *1185:B I *D sky130_fd_sc_hd__nor2_2 -*I *1194:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1161:X O *D sky130_fd_sc_hd__o31a_2 -*CAP -1 *1192:B 0.000464655 -2 *1162:A 0 -3 *1175:A 0.000117439 -4 *1186:B 1.13184e-05 -5 *1185:B 0 -6 *1194:A1 9.37154e-05 -7 *1161:X 0.000908473 -8 *135:41 0.000647895 -9 *135:19 0.000977652 -10 *135:13 0.000919917 -11 *135:8 0.00192825 -12 *1175:A *1181:C 6.56683e-05 -13 *1175:A *148:24 5.08844e-05 -14 *1175:A *273:30 9.98928e-05 -15 *1186:B *148:24 9.59532e-06 -16 *1186:B *273:8 9.54798e-06 -17 *1186:B *273:30 2.06112e-05 -18 *1192:B *428:DIODE 0 -19 *1192:B *1373:A 5.59013e-05 -20 *1192:B *1376:A 0.000181796 -21 *1192:B *154:11 0.000181192 -22 *1192:B *288:8 0.000171375 -23 *1194:A1 *1207:A0 5.80706e-06 -24 *1194:A1 *137:8 6.32633e-05 -25 *1194:A1 *138:25 0 -26 *135:8 *428:DIODE 0 -27 *135:13 *136:7 5.7661e-06 -28 *135:13 *288:8 5.52302e-05 -29 *135:19 *1195:A3 0.00010096 -30 *135:19 *1195:B1 2.28589e-05 -31 *135:19 *1206:B 0.000172654 -32 *135:19 *136:7 2.89016e-05 -33 *135:19 *136:17 0.000147232 -34 *135:19 *136:31 5.94231e-05 -35 *135:19 *182:16 0.000117621 -36 *135:41 *1163:A 7.69776e-06 -37 *135:41 *1187:A 0.000120509 -38 *135:41 *1206:B 1.21289e-05 -39 *135:41 *138:25 9.87983e-06 -40 *135:41 *162:42 0 -41 *135:41 *182:16 0.000269428 -42 *426:DIODE *135:13 5.52302e-05 -43 *1152:A *135:8 0 -44 *1154:A1 *135:8 9.25014e-06 -45 *1158:A *135:8 0.000227021 -46 *1158:B *135:8 8.05006e-05 -47 *1160:A1 *135:8 1.39726e-05 -48 *1160:A2 *135:8 0.000126446 -49 *1160:B1 *135:8 0 -50 *1160:B1 *135:13 5.33334e-05 -51 *1161:B1 *135:8 0.000306317 -52 *1337:A *135:8 0 -53 *1337:A *135:13 0.000405733 -54 *128:6 *135:8 3.84478e-05 -55 *134:5 *135:8 0.000597993 -*RES -1 *1161:X *135:8 29.1571 -2 *135:8 *135:13 14.9107 -3 *135:13 *135:19 9.60714 -4 *135:19 *1194:A1 19.9429 -5 *135:19 *1185:B 9.3 -6 *135:19 *135:41 14.8817 -7 *135:41 *1186:B 14.0768 -8 *135:41 *1175:A 16.2554 -9 *135:13 *1162:A 9.3 -10 *135:8 *1192:B 23.0679 -*END - -*D_NET *136 0.00943184 -*CONN -*I *1197:B2 I *D sky130_fd_sc_hd__a32o_2 -*I *1209:S I *D sky130_fd_sc_hd__mux2_2 -*I *1198:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1182:A2 I *D sky130_fd_sc_hd__o31a_2 -*I *1184:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1195:B2 I *D sky130_fd_sc_hd__a32o_2 -*I *1215:A I *D sky130_fd_sc_hd__xor2_2 -*I *1162:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1197:B2 0 -2 *1209:S 0 -3 *1198:B 0.000473627 -4 *1182:A2 0.000472406 -5 *1184:B 0 -6 *1195:B2 0 -7 *1215:A 0.000188065 -8 *1162:X 5.12845e-05 -9 *136:56 0.000503384 -10 *136:38 0.000593265 -11 *136:35 0.00022851 -12 *136:31 0.00120746 -13 *136:17 0.00128803 -14 *136:7 0.000397808 -15 *1182:A2 *1182:A3 0.000253904 -16 *1182:A2 *1196:A 5.12831e-05 -17 *1182:A2 *1197:B1 0 -18 *1182:A2 *170:19 0 -19 *1182:A2 *273:47 0 -20 *1182:A2 *274:20 0.000323716 -21 *1198:B *1209:A0 7.91257e-05 -22 *1198:B *157:32 0.000181796 -23 *1198:B *171:9 9.07969e-05 -24 *1198:B *182:16 5.52238e-05 -25 *1198:B *198:58 4.71964e-05 -26 *1198:B *271:8 5.80706e-06 -27 *1198:B *271:12 4.11876e-05 -28 *1198:B *284:33 3.30352e-05 -29 *1215:A *1195:A3 0 -30 *1215:A *253:8 0 -31 *136:17 *1195:A1 9.58181e-05 -32 *136:17 *1195:A3 9.90367e-05 -33 *136:17 *1195:B1 2.13202e-05 -34 *136:31 *1197:A1 5.52238e-05 -35 *136:31 *1197:A2 0.000318107 -36 *136:31 *1197:A3 4.43256e-05 -37 *136:31 *1197:B1 3.28686e-05 -38 *136:31 *158:9 0.000127194 -39 *136:31 *182:16 0.00105556 -40 *136:35 *1209:A1 2.36643e-05 -41 *136:35 *171:9 0.000185118 -42 *136:35 *182:16 0.000146376 -43 *136:38 *1197:B1 0 -44 *136:38 *1203:A 0 -45 *136:38 *274:20 0.000195562 -46 *136:56 *171:9 0.000139907 -47 *136:56 *182:16 6.13217e-05 -48 *93:42 *1182:A2 2.21991e-05 -49 *135:13 *136:7 5.7661e-06 -50 *135:19 *136:7 2.89016e-05 -51 *135:19 *136:17 0.000147232 -52 *135:19 *136:31 5.94231e-05 -*RES -1 *1162:X *136:7 10.2643 -2 *136:7 *1215:A 21.1571 -3 *136:7 *136:17 3.82143 -4 *136:17 *1195:B2 9.3 -5 *136:17 *136:31 18.7857 -6 *136:31 *136:35 3.01786 -7 *136:35 *136:38 7.05357 -8 *136:38 *1184:B 13.8 -9 *136:38 *1182:A2 21.4964 -10 *136:35 *136:56 1.35714 -11 *136:56 *1198:B 27.2286 -12 *136:56 *1209:S 9.3 -13 *136:31 *1197:B2 9.3 -*END - -*D_NET *137 0.00647293 -*CONN -*I *1168:B I *D sky130_fd_sc_hd__nand4_2 -*I *1283:B1 I *D sky130_fd_sc_hd__a211o_2 -*I *1256:B I *D sky130_fd_sc_hd__and2_2 -*I *1305:A1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1266:A I *D sky130_fd_sc_hd__nand2_2 -*I *1185:A I *D sky130_fd_sc_hd__nor2_2 -*I *1207:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1163:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1168:B 0.000234453 -2 *1283:B1 3.04995e-05 -3 *1256:B 0.000108193 -4 *1305:A1 0 -5 *1266:A 0 -6 *1185:A 0 -7 *1207:A0 0.000358927 -8 *1163:X 0.000339961 -9 *137:47 0.000343593 -10 *137:40 0.000278031 -11 *137:35 0.000470001 -12 *137:27 0.000301502 -13 *137:8 0.00052724 -14 *137:7 0.000647358 -15 *1168:B *1168:D 3.75024e-05 -16 *1168:B *1266:B 0.000123498 -17 *1168:B *140:33 4.77002e-05 -18 *1207:A0 *1207:A1 5.56943e-05 -19 *1207:A0 *1214:B 0 -20 *1207:A0 *1216:S 5.52302e-05 -21 *1207:A0 *138:25 0 -22 *1207:A0 *157:48 2.44318e-05 -23 *1256:B *162:63 0.000169597 -24 *1256:B *220:12 8.4233e-05 -25 *1283:B1 *1283:A1 5.02464e-06 -26 *1283:B1 *274:34 1.95343e-05 -27 *137:7 *1175:B 0.000534229 -28 *137:8 *138:25 0 -29 *137:8 *182:16 3.2534e-05 -30 *137:27 *1168:C 0 -31 *137:27 *1191:B 9.15842e-06 -32 *137:27 *162:42 5.50052e-05 -33 *137:27 *218:8 2.29031e-05 -34 *137:35 *1266:B 6.86784e-05 -35 *137:35 *162:42 3.96138e-05 -36 *137:40 *1266:B 1.16455e-05 -37 *137:40 *139:34 3.54498e-05 -38 *137:40 *163:39 1.02504e-05 -39 *137:40 *218:8 5.93172e-05 -40 *137:47 *1193:A 0.000457314 -41 *137:47 *1283:A2 2.14658e-05 -42 *137:47 *1305:B1 1.46199e-05 -43 *137:47 *141:21 8.70594e-05 -44 *137:47 *163:26 0.000475677 -45 *137:47 *163:39 5.52302e-05 -46 *137:47 *274:34 1.86538e-05 -47 *1194:A1 *1207:A0 5.80706e-06 -48 *1194:A1 *137:8 6.32633e-05 -49 *5:90 *1168:B 5.66971e-05 -50 *93:8 *1256:B 5.33005e-05 -51 *93:8 *137:47 2.28499e-05 -*RES -1 *1163:X *137:7 18.8536 -2 *137:7 *137:8 3.16071 -3 *137:8 *1207:A0 20.0321 -4 *137:8 *1185:A 13.8 -5 *137:7 *137:27 6.98214 -6 *137:27 *1266:A 9.3 -7 *137:27 *137:35 2.5 -8 *137:35 *137:40 10.6429 -9 *137:40 *1305:A1 9.3 -10 *137:40 *137:47 7.125 -11 *137:47 *1256:B 21.5143 -12 *137:47 *1283:B1 10.5188 -13 *137:35 *1168:B 13.1571 -*END - -*D_NET *138 0.00433478 -*CONN -*I *1168:C I *D sky130_fd_sc_hd__nand4_2 -*I *1194:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1214:B I *D sky130_fd_sc_hd__nor2_2 -*I *1164:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1168:C 0.000350714 -2 *1194:B1 4.05491e-05 -3 *1214:B 0.000363479 -4 *1164:X 0.00021712 -5 *138:25 0.000611974 -6 *138:13 0.000801311 -7 *1168:C *1163:A 0 -8 *1168:C *1168:D 3.20759e-05 -9 *1168:C *139:34 3.60162e-05 -10 *1168:C *273:30 0 -11 *1194:B1 *1181:C 5.33334e-05 -12 *1194:B1 *154:11 3.99614e-06 -13 *1194:B1 *168:5 9.90367e-05 -14 *1214:B *1164:A 0.000381318 -15 *1214:B *1174:A 5.66157e-05 -16 *1214:B *1207:A1 0 -17 *1214:B *1214:A 0.000491635 -18 *1214:B *1216:A0 7.64988e-05 -19 *1214:B *277:8 0 -20 *1214:B *277:21 0.000190917 -21 *138:13 *1164:B 7.6644e-05 -22 *138:13 *1187:A 5.33005e-05 -23 *138:13 *1206:B 3.69697e-05 -24 *138:13 *284:35 0.00018077 -25 *138:25 *1163:A 0 -26 *138:25 *1164:A 0.000123295 -27 *1107:S *1214:B 4.73286e-05 -28 *1194:A1 *138:25 0 -29 *1207:A0 *1214:B 0 -30 *1207:A0 *138:25 0 -31 *135:41 *138:25 9.87983e-06 -32 *137:8 *138:25 0 -33 *137:27 *1168:C 0 -*RES -1 *1164:X *138:13 26.1393 -2 *138:13 *1214:B 25.2821 -3 *138:13 *138:25 3.76786 -4 *138:25 *1194:B1 15.175 -5 *138:25 *1168:C 18.4429 -*END - -*D_NET *139 0.0160065 -*CONN -*I *1310:B I *D sky130_fd_sc_hd__nand3_2 -*I *1298:A1 I *D sky130_fd_sc_hd__o221a_2 -*I *1302:A1 I *D sky130_fd_sc_hd__o22a_2 -*I *1264:A1 I *D sky130_fd_sc_hd__a21oi_2 -*I *1167:A I *D sky130_fd_sc_hd__nor2_2 -*I *1169:A I *D sky130_fd_sc_hd__nand2_2 -*I *1211:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1165:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1310:B 2.4245e-05 -2 *1298:A1 0.000516699 -3 *1302:A1 0.000232729 -4 *1264:A1 0.000300908 -5 *1167:A 0.000109215 -6 *1169:A 5.16783e-05 -7 *1211:A 3.6301e-05 -8 *1165:Y 0.000305602 -9 *139:83 0.000726219 -10 *139:60 0.000556115 -11 *139:38 0.000415637 -12 *139:34 0.00250225 -13 *139:10 0.0025562 -14 *139:5 0.000785749 -15 *1167:A *1167:B 0 -16 *1167:A *1173:A 0.000144765 -17 *1167:A *141:21 3.67142e-05 -18 *1167:A *162:11 0.000126649 -19 *1169:A *1173:A 2.98207e-05 -20 *1169:A *1188:A 0.000112146 -21 *1169:A *162:11 5.50052e-05 -22 *1211:A *198:58 0 -23 *1264:A1 *1264:A2 3.4846e-06 -24 *1264:A1 *165:23 0.000102892 -25 *1298:A1 *1287:B 0.00018372 -26 *1298:A1 *1295:C 0.000162473 -27 *1298:A1 *1298:B2 1.3701e-05 -28 *1298:A1 *1299:A1 2.14378e-05 -29 *1298:A1 *1299:A2 0.000101545 -30 *1298:A1 *1299:A3 2.42516e-05 -31 *1298:A1 *1312:B1 2.79421e-05 -32 *1298:A1 *211:40 4.38058e-05 -33 *1302:A1 *1173:B 0.000166094 -34 *1302:A1 *1191:B 0.000386241 -35 *1302:A1 *1248:B 5.49489e-05 -36 *1302:A1 *1302:A2 0.000242377 -37 *1302:A1 *146:19 5.41794e-05 -38 *1302:A1 *205:5 9.41642e-05 -39 *1302:A1 *372:13 1.98839e-05 -40 *139:5 *1189:A 8.20625e-06 -41 *139:5 *1313:B1 2.51343e-06 -42 *139:5 *302:5 0.000218409 -43 *139:10 *1189:A 3.73361e-05 -44 *139:10 *1313:B1 2.33028e-05 -45 *139:10 *209:5 2.51343e-06 -46 *139:34 *1168:D 3.35685e-06 -47 *139:34 *1193:A 0.000436727 -48 *139:34 *1197:B1 0 -49 *139:34 *1210:A2 0.000396116 -50 *139:34 *1244:A_N 5.23735e-05 -51 *139:34 *1266:B 9.72196e-05 -52 *139:34 *140:33 0.000269747 -53 *139:34 *162:42 4.31391e-05 -54 *139:34 *220:12 0.000182799 -55 *139:34 *220:81 0.000107754 -56 *139:34 *273:47 0.000178217 -57 *139:38 *1191:B 0.000146371 -58 *139:38 *1194:A2 0.000101233 -59 *139:38 *162:11 0.000166972 -60 *139:38 *162:32 0.000139208 -61 *139:38 *162:42 4.01264e-05 -62 *139:60 *1191:B 1.59504e-05 -63 *139:83 *1313:A1 9.8126e-05 -64 *391:DIODE *139:10 0 -65 *409:DIODE *139:10 0 -66 *410:DIODE *1298:A1 0.000190628 -67 *1168:C *139:34 3.60162e-05 -68 *1287:A *1298:A1 6.87574e-05 -69 *1311:B1 *1298:A1 0.000429376 -70 *1311:B1 *1310:B 5.42764e-05 -71 *1311:B1 *139:83 0.000179973 -72 *1313:A2 *139:5 2.14888e-05 -73 *1313:B2 *139:10 1.84776e-05 -74 *5:56 *1169:A 0.000143563 -75 *5:56 *139:38 5.33005e-05 -76 *5:65 *139:38 8.34321e-05 -77 *5:74 *1264:A1 2.47433e-05 -78 *5:90 *139:34 8.10737e-06 -79 *25:26 *1298:A1 9.60939e-05 -80 *25:26 *139:34 3.6994e-05 -81 *29:10 *139:83 6.26858e-05 -82 *92:30 *1211:A 0 -83 *92:30 *139:10 0 -84 *92:30 *139:83 8.02652e-05 -85 *92:43 *1310:B 2.2355e-05 -86 *92:43 *139:83 0.000260357 -87 *92:54 *1310:B 4.6025e-06 -88 *137:40 *139:34 3.54498e-05 -*RES -1 *1165:Y *139:5 13.1214 -2 *139:5 *139:10 8.58036 -3 *139:10 *1211:A 14.3804 -4 *139:10 *139:34 44.4882 -5 *139:34 *139:38 10.4821 -6 *139:38 *1169:A 11.6214 -7 *139:38 *1167:A 21.1929 -8 *139:34 *139:60 0.580357 -9 *139:60 *1264:A1 18.5768 -10 *139:60 *1302:A1 20.8268 -11 *139:5 *139:83 9.78571 -12 *139:83 *1298:A1 35.4071 -13 *139:83 *1310:B 14.5321 -*END - -*D_NET *140 0.00987815 -*CONN -*I *1308:A2 I *D sky130_fd_sc_hd__o31a_2 -*I *1210:A1 I *D sky130_fd_sc_hd__o211a_2 -*I *1167:B I *D sky130_fd_sc_hd__nor2_2 -*I *1169:B I *D sky130_fd_sc_hd__nand2_2 -*I *1192:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1166:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1308:A2 0.000167853 -2 *1210:A1 0 -3 *1167:B 0.000223768 -4 *1169:B 3.19502e-05 -5 *1192:A 0.000242433 -6 *1166:Y 0.000128348 -7 *140:35 0.000298748 -8 *140:33 0.00188742 -9 *140:17 0.00193982 -10 *140:8 0.000596737 -11 *1167:B *1188:A 0 -12 *1169:B *1188:A 3.50746e-05 -13 *1192:A *1188:A 5.35386e-05 -14 *1308:A2 *1308:A3 1.39726e-05 -15 *1308:A2 *1308:B1 9.60939e-05 -16 *1308:A2 *1314:B1 0.000190281 -17 *1308:A2 *1314:B2 7.43578e-06 -18 *1308:A2 *156:8 9.41642e-05 -19 *1308:A2 *294:23 1.32389e-05 -20 *140:8 *208:34 2.89114e-05 -21 *140:8 *271:25 3.35685e-06 -22 *140:8 *294:18 7.6644e-05 -23 *140:17 *1183:A 4.2144e-05 -24 *140:17 *1210:A2 6.63645e-05 -25 *140:17 *1210:B1 3.38789e-05 -26 *140:17 *156:8 9.86249e-07 -27 *140:17 *271:25 4.37765e-05 -28 *140:33 *1168:D 0.000150307 -29 *140:33 *1173:A 0.000130403 -30 *140:33 *1188:A 0.000141941 -31 *140:33 *1196:A 2.84026e-05 -32 *140:33 *1244:A_N 7.7069e-05 -33 *140:33 *1266:B 9.85599e-06 -34 *140:33 *162:11 6.50098e-05 -35 *140:33 *162:32 5.87052e-05 -36 *140:33 *162:42 0.000570313 -37 *140:33 *273:30 2.53112e-06 -38 *140:35 *1188:A 2.7224e-05 -39 *416:DIODE *140:33 0.000651461 -40 *417:DIODE *140:17 1.68806e-05 -41 *417:DIODE *140:33 0.000256791 -42 *1167:A *1167:B 0 -43 *1168:B *140:33 4.77002e-05 -44 *1252:A *1308:A2 0.000178847 -45 *5:16 *1192:A 0 -46 *5:18 *1192:A 0 -47 *5:56 *1192:A 2.65939e-05 -48 *5:90 *140:33 0.00030783 -49 *5:113 *140:33 0.000287555 -50 *25:26 *140:8 9.45429e-05 -51 *25:26 *140:17 0.000129062 -52 *93:55 *140:8 3.24462e-05 -53 *139:34 *140:33 0.000269747 -*RES -1 *1166:Y *140:8 16.5857 -2 *140:8 *140:17 9.97768 -3 *140:17 *140:33 39.1116 -4 *140:33 *140:35 0.535714 -5 *140:35 *1192:A 22.7286 -6 *140:35 *1169:B 10.0321 -7 *140:33 *1167:B 21.1571 -8 *140:17 *1210:A1 9.3 -9 *140:8 *1308:A2 18.05 -*END - -*D_NET *141 0.00472418 -*CONN -*I *1284:A2 I *D sky130_fd_sc_hd__o21ai_2 -*I *1168:D I *D sky130_fd_sc_hd__nand4_2 -*I *1275:A3 I *D sky130_fd_sc_hd__o31a_2 -*I *1167:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1284:A2 0.000183974 -2 *1168:D 0.0002125 -3 *1275:A3 0.000153555 -4 *1167:Y 0.000122557 -5 *141:21 0.0013259 -6 *141:7 0.00120553 -7 *1168:D *1193:A 7.40526e-05 -8 *1168:D *1266:B 9.67516e-05 -9 *1275:A3 *1241:A1 0 -10 *1284:A2 *1244:A_N 0 -11 *1284:A2 *1244:B 1.62539e-05 -12 *1284:A2 *1247:B 2.06178e-05 -13 *1284:A2 *1266:B 6.50156e-05 -14 *1284:A2 *201:8 5.86416e-05 -15 *141:7 *162:11 8.72365e-06 -16 *141:21 *1173:B 2.12005e-05 -17 *141:21 *1193:A 0.000141871 -18 *141:21 *162:11 7.34285e-06 -19 *1167:A *141:21 3.67142e-05 -20 *1168:B *1168:D 3.75024e-05 -21 *1168:C *1168:D 3.20759e-05 -22 *1264:B1 *1275:A3 0 -23 *1306:A *1284:A2 6.73101e-05 -24 *5:74 *141:21 0.000306076 -25 *5:90 *1168:D 0.000212653 -26 *5:90 *1284:A2 7.6644e-05 -27 *137:47 *141:21 8.70594e-05 -28 *139:34 *1168:D 3.35685e-06 -29 *140:33 *1168:D 0.000150307 -*RES -1 *1167:Y *141:7 10.9786 -2 *141:7 *1275:A3 20.175 -3 *141:7 *141:21 16.125 -4 *141:21 *1168:D 19.05 -5 *141:21 *1284:A2 17.8714 -*END - -*D_NET *142 0.00364602 -*CONN -*I *1182:A3 I *D sky130_fd_sc_hd__o31a_2 -*I *1168:Y O *D sky130_fd_sc_hd__nand4_2 -*CAP -1 *1182:A3 0.000840546 -2 *1168:Y 0.000840546 -3 *1182:A3 *1168:A 0.000106997 -4 *1182:A3 *1210:A2 4.23233e-05 -5 *1182:A3 *1244:A_N 0.000108558 -6 *1182:A3 *162:42 5.73955e-05 -7 *1182:A3 *162:63 0.000108211 -8 *1182:A3 *163:26 0.000645594 -9 *1182:A3 *170:19 4.21517e-05 -10 *1182:A3 *198:41 6.14328e-05 -11 *1182:A3 *220:81 0.000118774 -12 *1182:A3 *274:20 0.000226412 -13 *1182:A3 *274:30 0.000109082 -14 *1182:A2 *1182:A3 0.000253904 -15 *93:30 *1182:A3 4.43256e-05 -16 *93:42 *1182:A3 3.97677e-05 -*RES -1 *1168:Y *1182:A3 44.0671 -*END - -*D_NET *143 0.00183612 -*CONN -*I *1173:A I *D sky130_fd_sc_hd__nor2_2 -*I *1188:A I *D sky130_fd_sc_hd__buf_2 -*I *1169:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1173:A 0.000102047 -2 *1188:A 0.0002061 -3 *1169:Y 0 -4 *143:4 0.000308147 -5 *1173:A *1175:B 0.000144139 -6 *1173:A *1241:A2 3.51693e-05 -7 *1173:A *162:11 0.000108858 -8 *1188:A *198:41 5.75842e-05 -9 *1167:A *1173:A 0.000144765 -10 *1167:B *1188:A 0 -11 *1169:A *1173:A 2.98207e-05 -12 *1169:A *1188:A 0.000112146 -13 *1169:B *1188:A 3.50746e-05 -14 *1192:A *1188:A 5.35386e-05 -15 *5:56 *1188:A 0.000193576 -16 *5:65 *1173:A 5.58875e-06 -17 *140:33 *1173:A 0.000130403 -18 *140:33 *1188:A 0.000141941 -19 *140:35 *1188:A 2.7224e-05 -*RES -1 *1169:Y *143:4 9.3 -2 *143:4 *1188:A 24.1036 -3 *143:4 *1173:A 22.2107 -*END - -*D_NET *144 0.00331017 -*CONN -*I *1251:A I *D sky130_fd_sc_hd__nor2_2 -*I *1245:A I *D sky130_fd_sc_hd__and3_2 -*I *1172:A I *D sky130_fd_sc_hd__nand2_2 -*I *1283:C1 I *D sky130_fd_sc_hd__a211o_2 -*I *1257:A I *D sky130_fd_sc_hd__nand2_2 -*I *1170:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1251:A 0.000136026 -2 *1245:A 0 -3 *1172:A 0 -4 *1283:C1 0.000245296 -5 *1257:A 8.87753e-05 -6 *1170:Y 0 -7 *144:22 0.000325777 -8 *144:11 0.000301957 -9 *144:9 0.00026389 -10 *144:4 0.000267215 -11 *1251:A *1172:B 0.000180777 -12 *1251:A *1245:C 0 -13 *1251:A *1288:B 8.6229e-06 -14 *1251:A *211:40 0.000180777 -15 *1251:A *232:10 0.000119899 -16 *1257:A *1257:B 3.89749e-05 -17 *1257:A *212:5 1.73088e-05 -18 *1257:A *212:55 9.60875e-05 -19 *1283:C1 *1247:B 1.7845e-05 -20 *1283:C1 *170:19 5.74707e-05 -21 *1283:C1 *220:12 0 -22 *1283:C1 *274:34 0.000167144 -23 *144:22 *1247:B 1.03403e-05 -24 *144:22 *212:55 1.36181e-05 -25 *144:22 *220:12 0 -26 *144:22 *372:13 2.86273e-05 -27 *30:17 *1257:A 5.74499e-06 -28 *30:23 *1257:A 9.71197e-05 -29 *30:23 *144:9 0.000134987 -30 *30:23 *144:11 0.000267697 -31 *37:24 *144:22 0.000121871 -32 *93:8 *1283:C1 0.000116318 -*RES -1 *1170:Y *144:4 9.3 -2 *144:4 *144:9 2.32143 -3 *144:9 *144:11 2.58929 -4 *144:11 *1257:A 11.4964 -5 *144:11 *144:22 6.44643 -6 *144:22 *1283:C1 19.6036 -7 *144:22 *1172:A 13.8 -8 *144:9 *1245:A 9.3 -9 *144:4 *1251:A 21.7107 -*END - -*D_NET *145 0.00372669 -*CONN -*I *1291:B I *D sky130_fd_sc_hd__nand2_2 -*I *1172:B I *D sky130_fd_sc_hd__nand2_2 -*I *1196:A I *D sky130_fd_sc_hd__inv_2 -*I *1171:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1291:B 0.000151813 -2 *1172:B 0.0001497 -3 *1196:A 0.00025145 -4 *1171:Y 5.35024e-05 -5 *145:24 0.000504303 -6 *145:5 0.000507742 -7 *1172:B *1288:A 0.000139208 -8 *1172:B *1288:B 0.000192932 -9 *1172:B *211:40 0.000148838 -10 *1172:B *232:10 5.33005e-05 -11 *1172:B *294:18 0.00014701 -12 *1196:A *273:47 2.89791e-06 -13 *1196:A *274:20 1.03447e-05 -14 *1291:B *163:57 6.5838e-05 -15 *145:5 *274:20 1.64621e-05 -16 *145:5 *274:30 9.83442e-05 -17 *145:24 *163:57 7.10717e-05 -18 *390:DIODE *1291:B 0.000113385 -19 *1182:A2 *1196:A 5.12831e-05 -20 *1251:A *1172:B 0.000180777 -21 *5:113 *1196:A 5.65955e-05 -22 *5:113 *1291:B 3.22325e-05 -23 *5:113 *145:24 0.000145403 -24 *25:26 *1196:A 5.77757e-06 -25 *30:23 *1291:B 5.71472e-05 -26 *93:30 *1196:A 2.95642e-05 -27 *93:30 *145:5 0.000193388 -28 *93:30 *145:24 0.00021275 -29 *93:42 *145:24 5.52302e-05 -30 *140:33 *1196:A 2.84026e-05 -*RES -1 *1171:Y *145:5 11.0679 -2 *145:5 *1196:A 22.3536 -3 *145:5 *145:24 9.03571 -4 *145:24 *1172:B 19.4071 -5 *145:24 *1291:B 17.55 -*END - -*D_NET *146 0.00613103 -*CONN -*I *1302:A2 I *D sky130_fd_sc_hd__o22a_2 -*I *1275:A2 I *D sky130_fd_sc_hd__o31a_2 -*I *1173:B I *D sky130_fd_sc_hd__nor2_2 -*I *1172:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1302:A2 0.000108546 -2 *1275:A2 0.000171862 -3 *1173:B 0.000252097 -4 *1172:Y 0.000320092 -5 *146:19 0.000306627 -6 *146:7 0.000598409 -7 *1173:B *1193:A 5.20669e-05 -8 *1275:A2 *1275:A1 4.22908e-05 -9 *1275:A2 *197:28 1.7859e-05 -10 *1275:A2 *217:22 0.000212646 -11 *1275:A2 *294:18 5.95413e-05 -12 *1302:A2 *1191:B 1.08359e-05 -13 *1302:A2 *1248:B 2.36643e-05 -14 *1302:A2 *1264:A2 0.000110627 -15 *1302:A2 *372:13 0.000141729 -16 *146:7 *1285:A_N 5.52302e-05 -17 *146:7 *1285:C 9.82585e-06 -18 *146:7 *1286:B2 5.52302e-05 -19 *146:7 *1306:B 5.33005e-05 -20 *146:7 *217:22 0.000136958 -21 *146:7 *294:18 0.00137513 -22 *146:19 *1264:A2 3.12359e-05 -23 *1302:A1 *1173:B 0.000166094 -24 *1302:A1 *1302:A2 0.000242377 -25 *1302:A1 *146:19 5.41794e-05 -26 *1306:A *146:7 0.000129871 -27 *5:74 *1173:B 0.000363647 -28 *37:20 *1275:A2 0.000658072 -29 *37:20 *146:7 0.000349783 -30 *141:21 *1173:B 2.12005e-05 -*RES -1 *1172:Y *146:7 27.0679 -2 *146:7 *1173:B 19.4786 -3 *146:7 *146:19 0.732143 -4 *146:19 *1275:A2 20.5143 -5 *146:19 *1302:A2 17.4071 -*END - -*D_NET *147 0.00531173 -*CONN -*I *1241:A2 I *D sky130_fd_sc_hd__o21ai_2 -*I *1175:B I *D sky130_fd_sc_hd__and3_2 -*I *1173:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1241:A2 0.00057584 -2 *1175:B 0.000624515 -3 *1173:Y 0 -4 *147:5 0.00120035 -5 *1175:B *1195:A3 9.41642e-05 -6 *1175:B *1195:B1 8.75301e-05 -7 *1175:B *1210:A2 0.000531286 -8 *1175:B *1267:A1 1.65025e-05 -9 *1175:B *154:11 8.43535e-06 -10 *1175:B *166:7 1.33343e-05 -11 *1175:B *168:5 0.00065911 -12 *1241:A2 *198:16 2.11419e-05 -13 *1241:A2 *198:41 0.000620606 -14 *1173:A *1175:B 0.000144139 -15 *1173:A *1241:A2 3.51693e-05 -16 *5:14 *1241:A2 2.26973e-05 -17 *5:65 *1175:B 7.74135e-05 -18 *5:65 *1241:A2 4.5258e-05 -19 *137:7 *1175:B 0.000534229 -*RES -1 *1173:Y *147:5 13.8 -2 *147:5 *1175:B 30.4429 -3 *147:5 *1241:A2 22.9655 -*END - -*D_NET *148 0.00964994 -*CONN -*I *1193:A I *D sky130_fd_sc_hd__inv_2 -*I *1209:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1175:C I *D sky130_fd_sc_hd__and3_2 -*I *1214:A I *D sky130_fd_sc_hd__nor2_2 -*I *1174:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1193:A 0.000893681 -2 *1209:A1 0.000763507 -3 *1175:C 4.08545e-05 -4 *1214:A 0.000279466 -5 *1174:Y 0 -6 *148:26 0.000804362 -7 *148:24 0.00145915 -8 *148:4 0.000844933 -9 *1175:C *1181:C 3.52867e-05 -10 *1193:A *1168:A 1.42049e-05 -11 *1193:A *1210:A2 0 -12 *1193:A *1244:A_N 0 -13 *1193:A *1266:B 7.55769e-05 -14 *1193:A *1283:A2 5.60705e-05 -15 *1193:A *162:63 8.45414e-05 -16 *1193:A *163:26 0.000101847 -17 *1193:A *163:39 5.71472e-05 -18 *1193:A *220:12 0 -19 *1193:A *273:30 6.81526e-05 -20 *1209:A1 *1181:B 0.000134925 -21 *1209:A1 *1181:C 0.000453832 -22 *1209:A1 *1197:B1 1.37292e-05 -23 *1209:A1 *158:9 7.70035e-05 -24 *1209:A1 *171:9 0.000356401 -25 *1214:A *1174:A 5.52238e-05 -26 *1214:A *277:21 9.22103e-06 -27 *148:24 *1187:A 0.000337651 -28 *148:24 *1187:B 0.000195555 -29 *148:24 *1197:A2 0 -30 *148:24 *1197:A3 2.37817e-05 -31 *148:24 *1205:A 0 -32 *148:24 *160:6 0.000116481 -33 *148:24 *273:8 0.000113647 -34 *1107:S *1214:A 0.000445899 -35 *1168:D *1193:A 7.40526e-05 -36 *1173:B *1193:A 5.20669e-05 -37 *1175:A *148:24 5.08844e-05 -38 *1186:B *148:24 9.59532e-06 -39 *1214:B *1214:A 0.000491635 -40 *136:35 *1209:A1 2.36643e-05 -41 *137:47 *1193:A 0.000457314 -42 *139:34 *1193:A 0.000436727 -43 *141:21 *1193:A 0.000141871 -*RES -1 *1174:Y *148:4 9.3 -2 *148:4 *1214:A 17.6929 -3 *148:4 *148:24 16.6786 -4 *148:24 *148:26 4.5 -5 *148:26 *1175:C 9.83571 -6 *148:26 *1209:A1 31.425 -7 *148:24 *1193:A 40.5679 -*END - -*D_NET *149 0.000418718 -*CONN -*I *1181:A_N I *D sky130_fd_sc_hd__and3b_2 -*I *1175:X O *D sky130_fd_sc_hd__and3_2 -*CAP -1 *1181:A_N 2.07731e-05 -2 *1175:X 2.07731e-05 -3 *1181:A_N *1181:C 0.000188586 -4 *1181:A_N *1210:A2 0.000188586 -*RES -1 *1175:X *1181:A_N 20.3679 -*END - -*D_NET *150 0.00815989 -*CONN -*I *1181:B I *D sky130_fd_sc_hd__and3b_2 -*I *1176:X O *D sky130_fd_sc_hd__and4b_2 -*CAP -1 *1181:B 0.00127017 -2 *1176:X 0.00112161 -3 *150:13 0.00239178 -4 *1181:B *1181:C 9.82585e-06 -5 *1181:B *1211:B 0.000340287 -6 *1181:B *1388:TE_B 0.000225988 -7 *1181:B *155:5 0.000450915 -8 *1181:B *155:18 2.60984e-05 -9 *1181:B *301:17 2.28499e-05 -10 *150:13 *1236:A 5.01117e-05 -11 *150:13 *1386:Z 6.63007e-05 -12 *150:13 *1387:Z 5.10822e-05 -13 *150:13 *1388:TE_B 8.80828e-05 -14 *150:13 *1459:A 4.98055e-06 -15 *150:13 *1465:A 0.000536787 -16 *150:13 *226:24 0.000133152 -17 *150:13 *226:37 6.13903e-05 -18 *150:13 *226:78 0 -19 *150:13 *270:5 5.52302e-05 -20 *150:13 *284:8 0.000677494 -21 *150:13 *284:15 0.000119618 -22 *150:13 *284:33 0.000259978 -23 *150:13 *371:59 2.53785e-05 -24 *150:13 *371:72 6.94441e-06 -25 *1209:A1 *1181:B 0.000134925 -26 *1312:A2 *150:13 0 -27 *1346:RESET_B *150:13 0 -28 *1347:D *150:13 2.89016e-05 -29 *85:11 *150:13 0 -*RES -1 *1176:X *150:13 44.2643 -2 *150:13 *1181:B 32.7821 -*END - -*D_NET *151 0.0012726 -*CONN -*I *1178:D I *D sky130_fd_sc_hd__and4b_2 -*I *1177:Y O *D sky130_fd_sc_hd__o21ai_2 -*CAP -1 *1178:D 0.000256464 -2 *1177:Y 0.000256464 -3 *1178:D *1144:B 9.90367e-05 -4 *375:DIODE *1178:D 0.000104974 -5 *377:DIODE *1178:D 3.79812e-05 -6 *1147:B *1178:D 0 -7 *1149:A2 *1178:D 3.51442e-05 -8 *1177:B1 *1178:D 5.62995e-05 -9 *6:8 *1178:D 9.296e-05 -10 *6:10 *1178:D 6.7033e-05 -11 *121:6 *1178:D 0.000266243 -*RES -1 *1177:Y *1178:D 35.0464 -*END - -*D_NET *152 0.00334602 -*CONN -*I *1180:B I *D sky130_fd_sc_hd__nand4b_2 -*I *1178:X O *D sky130_fd_sc_hd__and4b_2 -*CAP -1 *1180:B 0.000681364 -2 *1178:X 0.000681364 -3 *1180:B *154:11 1.41706e-05 -4 *1180:B *284:45 0.000563877 -5 clockp[1] *1180:B 0.00127812 -6 *377:DIODE *1180:B 4.88212e-05 -7 *1180:C *1180:B 0 -8 *1366:D *1180:B 7.83027e-05 -9 *112:9 *1180:B 0 -*RES -1 *1178:X *1180:B 45.8857 -*END - -*D_NET *153 0.000785182 -*CONN -*I *1180:D I *D sky130_fd_sc_hd__nand4b_2 -*I *1179:X O *D sky130_fd_sc_hd__o211a_2 -*CAP -1 *1180:D 0.000315089 -2 *1179:X 0.000315089 -3 *1180:D *284:66 0 -4 *1132:B *1180:D 0 -5 *1156:A *1180:D 5.41794e-05 -6 *1158:B *1180:D 0 -7 *1160:A1 *1180:D 0.000100823 -8 *1180:C *1180:D 0 -9 *128:6 *1180:D 0 -*RES -1 *1179:X *1180:D 33.225 -*END - -*D_NET *154 0.00678145 -*CONN -*I *1181:C I *D sky130_fd_sc_hd__and3b_2 -*I *1180:Y O *D sky130_fd_sc_hd__nand4b_2 -*CAP -1 *1181:C 0.000541172 -2 *1180:Y 0.00155944 -3 *154:11 0.00210061 -4 *1181:C *1210:A2 0.000416917 -5 *1181:C *168:5 1.21289e-05 -6 *154:11 *428:DIODE 0.000185118 -7 *154:11 *1194:A2 0.000229181 -8 *154:11 *1195:A3 4.58194e-05 -9 *154:11 *1373:A 0.000188311 -10 *154:11 *1373:TE 9.90431e-05 -11 *154:11 *1376:A 5.97299e-05 -12 *154:11 *166:7 0.000151061 -13 *154:11 *168:5 0.000158678 -14 clockp[1] *154:11 0 -15 *1175:A *1181:C 6.56683e-05 -16 *1175:B *154:11 8.43535e-06 -17 *1175:C *1181:C 3.52867e-05 -18 *1180:B *154:11 1.41706e-05 -19 *1180:C *154:11 1.99099e-05 -20 *1181:A_N *1181:C 0.000188586 -21 *1181:B *1181:C 9.82585e-06 -22 *1192:B *154:11 0.000181192 -23 *1194:B1 *1181:C 5.33334e-05 -24 *1194:B1 *154:11 3.99614e-06 -25 *1209:A1 *1181:C 0.000453832 -*RES -1 *1180:Y *154:11 46.9786 -2 *154:11 *1181:C 21.5545 -*END - -*D_NET *155 0.00371839 -*CONN -*I *1213:B2 I *D sky130_fd_sc_hd__o2bb2a_2 -*I *1219:A2 I *D sky130_fd_sc_hd__o21a_2 -*I *1182:B1 I *D sky130_fd_sc_hd__o31a_2 -*I *1181:X O *D sky130_fd_sc_hd__and3b_2 -*CAP -1 *1213:B2 2.54882e-05 -2 *1219:A2 0.00013933 -3 *1182:B1 0.000158867 -4 *1181:X 0.000277752 -5 *155:18 0.000391694 -6 *155:5 0.000663495 -7 *1182:B1 *275:30 0.000143389 -8 *1213:B2 *157:32 5.67624e-05 -9 *1213:B2 *284:33 9.71197e-05 -10 *1219:A2 *182:16 5.89612e-05 -11 *1219:A2 *271:8 6.98669e-05 -12 *1219:A2 *271:12 2.94103e-05 -13 *1219:A2 *274:20 0 -14 *155:5 *1211:B 9.60875e-05 -15 *155:18 *1211:B 9.41642e-05 -16 *155:18 *182:16 4.43046e-05 -17 *155:18 *271:12 7.2754e-05 -18 *155:18 *271:25 0.000150789 -19 *155:18 *274:20 0 -20 *155:18 *275:30 0.000195856 -21 *1181:B *155:5 0.000450915 -22 *1181:B *155:18 2.60984e-05 -23 *1184:A *1182:B1 0.000315925 -24 *5:113 *1182:B1 0.000159357 -*RES -1 *1181:X *155:5 15.175 -2 *155:5 *1182:B1 22.675 -3 *155:5 *155:18 10.4286 -4 *155:18 *1219:A2 16.9607 -5 *155:18 *1213:B2 14.7464 -*END - -*D_NET *156 0.00158421 -*CONN -*I *1210:C1 I *D sky130_fd_sc_hd__o211a_2 -*I *1183:A I *D sky130_fd_sc_hd__buf_2 -*I *1182:X O *D sky130_fd_sc_hd__o31a_2 -*CAP -1 *1210:C1 2.6246e-05 -2 *1183:A 0.000199161 -3 *1182:X 0.000164408 -4 *156:8 0.000389815 -5 *1183:A *1210:B1 9.56159e-05 -6 *1183:A *171:9 5.71472e-05 -7 *1183:A *198:58 0.000192693 -8 *1183:A *275:30 5.52302e-05 -9 *156:8 *198:41 9.71324e-05 -10 *156:8 *198:58 1.12669e-05 -11 *417:DIODE *1210:C1 2.85234e-05 -12 *1252:A *156:8 2.24718e-05 -13 *1308:A2 *156:8 9.41642e-05 -14 *25:26 *156:8 0.000107206 -15 *140:17 *1183:A 4.2144e-05 -16 *140:17 *156:8 9.86249e-07 -*RES -1 *1182:X *156:8 16.8 -2 *156:8 *1183:A 19.3179 -3 *156:8 *1210:C1 14.3357 -*END - -*D_NET *157 0.00663411 -*CONN -*I *1200:B1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1213:A1_N I *D sky130_fd_sc_hd__o2bb2a_2 -*I *1204:S I *D sky130_fd_sc_hd__mux2_2 -*I *1207:S I *D sky130_fd_sc_hd__mux2_2 -*I *1216:S I *D sky130_fd_sc_hd__mux2_2 -*I *1201:A2 I *D sky130_fd_sc_hd__o22a_2 -*I *1218:B I *D sky130_fd_sc_hd__nand2_2 -*I *1183:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1200:B1 7.5094e-05 -2 *1213:A1_N 0 -3 *1204:S 0 -4 *1207:S 0 -5 *1216:S 0.000118435 -6 *1201:A2 9.21565e-05 -7 *1218:B 0 -8 *1183:X 0 -9 *157:48 0.000880798 -10 *157:39 0.000992348 -11 *157:32 0.000455466 -12 *157:13 0.000296414 -13 *157:6 0.000501036 -14 *157:5 0.000146391 -15 *1200:B1 *1201:B2 0 -16 *1200:B1 *171:9 0.000274294 -17 *1200:B1 *198:58 7.22594e-05 -18 *1200:B1 *275:30 0.000274294 -19 *1201:A2 *276:13 0.000274288 -20 *1216:S *1216:A1 7.20217e-06 -21 *157:6 *1201:B2 0 -22 *157:6 *198:58 0.000116481 -23 *157:13 *1201:B2 0 -24 *157:13 *198:58 0.000221163 -25 *157:13 *276:13 2.74192e-05 -26 *157:13 *276:15 5.52302e-05 -27 *157:32 *284:33 0.000196874 -28 *157:39 *1203:A 0 -29 *157:39 *1204:A1 0.000152736 -30 *157:39 *284:33 0.000109454 -31 *157:39 *284:35 4.0243e-05 -32 *157:48 *1204:A0 5.65955e-05 -33 *157:48 *1208:A 0.000184745 -34 *157:48 *161:8 0.00010096 -35 *157:48 *276:21 0.00050539 -36 *1198:B *157:32 0.000181796 -37 *1201:A1 *1201:A2 3.93247e-05 -38 *1201:A1 *157:13 0 -39 *1207:A0 *1216:S 5.52302e-05 -40 *1207:A0 *157:48 2.44318e-05 -41 *1213:B2 *157:32 5.67624e-05 -42 *1355:D *157:39 4.87953e-05 -*RES -1 *1183:X *157:5 13.8 -2 *157:5 *157:6 1.64286 -3 *157:6 *157:13 8.73214 -4 *157:13 *1218:B 9.3 -5 *157:13 *1201:A2 12.3179 -6 *157:6 *157:32 9.97321 -7 *157:32 *157:39 14.4911 -8 *157:39 *157:48 15.9107 -9 *157:48 *1216:S 11.4786 -10 *157:48 *1207:S 9.3 -11 *157:39 *1204:S 9.3 -12 *157:32 *1213:A1_N 9.3 -13 *157:5 *1200:B1 17.425 -*END - -*D_NET *158 0.00112645 -*CONN -*I *1203:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1197:A1 I *D sky130_fd_sc_hd__a32o_2 -*I *1184:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1203:A 0.000210187 -2 *1197:A1 3.92359e-05 -3 *1184:Y 0.000137662 -4 *158:9 0.000387084 -5 *1203:A *1197:A2 0 -6 *1203:A *1197:B1 5.58941e-05 -7 *1203:A *274:20 0 -8 *158:9 *1197:B1 3.69697e-05 -9 *1209:A1 *158:9 7.70035e-05 -10 *136:31 *1197:A1 5.52238e-05 -11 *136:31 *158:9 0.000127194 -12 *136:38 *1203:A 0 -13 *157:39 *1203:A 0 -*RES -1 *1184:Y *158:9 20.6929 -2 *158:9 *1197:A1 9.83571 -3 *158:9 *1203:A 21.7643 -*END - -*D_NET *159 0.00206718 -*CONN -*I *1187:A I *D sky130_fd_sc_hd__nor2_2 -*I *1185:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1187:A 0.000336731 -2 *1185:Y 0.000336731 -3 *1187:A *1163:A 0.000271345 -4 *1187:A *1164:B 2.44318e-05 -5 *1187:A *1206:B 0.000224577 -6 *1187:A *182:16 2.42547e-05 -7 *1187:A *273:8 0.000337651 -8 *135:41 *1187:A 0.000120509 -9 *138:13 *1187:A 5.33005e-05 -10 *148:24 *1187:A 0.000337651 -*RES -1 *1185:Y *1187:A 37.85 -*END - -*D_NET *160 0.0008778 -*CONN -*I *1202:B1 I *D sky130_fd_sc_hd__a21oi_2 -*I *1187:B I *D sky130_fd_sc_hd__nor2_2 -*I *1186:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1202:B1 1.69531e-05 -2 *1187:B 0.000122969 -3 *1186:X 4.98942e-05 -4 *160:6 0.000189816 -5 *1187:B *1197:A3 1.52978e-05 -6 *1187:B *161:8 0 -7 *1202:B1 *169:20 5.33005e-05 -8 *1202:B1 *284:35 5.33005e-05 -9 *160:6 *1197:A3 6.42338e-05 -10 *148:24 *1187:B 0.000195555 -11 *148:24 *160:6 0.000116481 -*RES -1 *1186:X *160:6 15.4429 -2 *160:6 *1187:B 16.3536 -3 *160:6 *1202:B1 14.3357 -*END - -*D_NET *161 0.00254283 -*CONN -*I *1197:A2 I *D sky130_fd_sc_hd__a32o_2 -*I *1202:A1 I *D sky130_fd_sc_hd__a21oi_2 -*I *1206:A I *D sky130_fd_sc_hd__xor2_2 -*I *1187:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1197:A2 0.000180533 -2 *1202:A1 0 -3 *1206:A 0.000257181 -4 *1187:Y 0.000181014 -5 *161:11 0.000272616 -6 *161:8 0.000376981 -7 *1197:A2 *1197:A3 0.000370812 -8 *1197:A2 *182:16 1.74352e-05 -9 *1206:A *1197:A3 2.19064e-05 -10 *1206:A *1203:B 1.33343e-05 -11 *1206:A *169:20 0.000364996 -12 *1206:A *284:35 1.21289e-05 -13 *161:8 *1197:A3 4.46186e-06 -14 *161:11 *1203:B 2.14658e-05 -15 *161:11 *284:35 2.89016e-05 -16 *1187:B *161:8 0 -17 *1203:A *1197:A2 0 -18 *136:31 *1197:A2 0.000318107 -19 *148:24 *1197:A2 0 -20 *157:48 *161:8 0.00010096 -*RES -1 *1187:Y *161:8 16.6929 -2 *161:8 *161:11 5.03571 -3 *161:11 *1206:A 14.3893 -4 *161:11 *1202:A1 9.3 -5 *161:8 *1197:A2 19.6571 -*END - -*D_NET *162 0.0172124 -*CONN -*I *1191:A I *D sky130_fd_sc_hd__nand2_2 -*I *1272:A1 I *D sky130_fd_sc_hd__o22a_2 -*I *1292:B2 I *D sky130_fd_sc_hd__o22a_2 -*I *1296:C I *D sky130_fd_sc_hd__nand3b_2 -*I *1283:A2 I *D sky130_fd_sc_hd__a211o_2 -*I *1267:A1 I *D sky130_fd_sc_hd__o21a_2 -*I *1195:B1 I *D sky130_fd_sc_hd__a32o_2 -*I *1188:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1191:A 0 -2 *1272:A1 3.16807e-05 -3 *1292:B2 2.38262e-05 -4 *1296:C 0.000544083 -5 *1283:A2 0.000118792 -6 *1267:A1 0.000640236 -7 *1195:B1 0.00016576 -8 *1188:X 0.0004973 -9 *162:68 0.00100932 -10 *162:63 0.00114082 -11 *162:42 0.00134002 -12 *162:32 0.000587201 -13 *162:13 0.000805996 -14 *162:11 0.000531005 -15 *1195:B1 *1195:A1 0.000311432 -16 *1195:B1 *1195:A3 0.00019384 -17 *1195:B1 *165:23 2.28343e-05 -18 *1267:A1 *404:DIODE 0.000160224 -19 *1267:A1 *1267:A2 4.25186e-05 -20 *1267:A1 *1281:B1 4.65158e-06 -21 *1267:A1 *1302:B2 1.65046e-05 -22 *1267:A1 *1303:C1 7.87495e-05 -23 *1267:A1 *163:39 0.000259108 -24 *1267:A1 *165:23 0.000664092 -25 *1267:A1 *197:28 0 -26 *1267:A1 *197:53 0 -27 *1267:A1 *206:17 0 -28 *1267:A1 *217:22 0 -29 *1267:A1 *218:38 3.43988e-06 -30 *1267:A1 *224:14 0.00012401 -31 *1267:A1 *287:8 0.000315806 -32 *1272:A1 *1246:B 2.57188e-05 -33 *1272:A1 *220:21 6.47471e-05 -34 *1283:A2 *1168:A 0.000129617 -35 *1283:A2 *1283:A1 3.51486e-05 -36 *1283:A2 *1305:B1 9.67754e-05 -37 *1283:A2 *163:26 5.52302e-05 -38 *1292:B2 *233:11 5.55935e-05 -39 *1296:C *1290:A_N 1.11775e-05 -40 *1296:C *208:34 1.21258e-05 -41 *1296:C *211:40 0.000268403 -42 *1296:C *233:11 8.53839e-05 -43 *1296:C *234:11 5.96516e-05 -44 *1296:C *271:31 0.000300647 -45 *162:11 *1241:A1 3.29246e-05 -46 *162:42 *1191:B 0.000184453 -47 *162:42 *1266:B 5.23735e-05 -48 *162:42 *198:41 7.61845e-05 -49 *162:42 *218:8 1.5077e-05 -50 *162:63 *1168:A 0 -51 *162:63 *1246:B 2.32644e-05 -52 *162:63 *211:10 9.80405e-05 -53 *162:63 *212:13 3.13634e-05 -54 *162:63 *220:12 0.000231272 -55 *162:63 *220:21 7.32947e-05 -56 *162:63 *275:60 0.000141445 -57 *162:68 *1246:B 0.000607657 -58 *162:68 *164:15 4.45973e-06 -59 *162:68 *218:56 0.001116 -60 *162:68 *301:13 4.49626e-05 -61 *162:68 *317:24 0.000310099 -62 *405:DIODE *1267:A1 0.000141935 -63 *1167:A *162:11 0.000126649 -64 *1169:A *162:11 5.50052e-05 -65 *1173:A *162:11 0.000108858 -66 *1175:B *1195:B1 8.75301e-05 -67 *1175:B *1267:A1 1.65025e-05 -68 *1182:A3 *162:42 5.73955e-05 -69 *1182:A3 *162:63 0.000108211 -70 *1193:A *1283:A2 5.60705e-05 -71 *1193:A *162:63 8.45414e-05 -72 *1243:B *162:63 9.98233e-05 -73 *1256:B *162:63 0.000169597 -74 *1264:B1 *1267:A1 0.000147125 -75 *1287:A *1292:B2 6.74867e-05 -76 *1287:A *1296:C 0.000238466 -77 *5:65 *1267:A1 0.00017231 -78 *93:8 *162:63 0.000148196 -79 *93:55 *1296:C 0.000190554 -80 *135:19 *1195:B1 2.28589e-05 -81 *135:41 *162:42 0 -82 *136:17 *1195:B1 2.13202e-05 -83 *137:27 *162:42 5.50052e-05 -84 *137:35 *162:42 3.96138e-05 -85 *137:47 *1283:A2 2.14658e-05 -86 *139:34 *162:42 4.31391e-05 -87 *139:38 *162:11 0.000166972 -88 *139:38 *162:32 0.000139208 -89 *139:38 *162:42 4.01264e-05 -90 *140:33 *162:11 6.50098e-05 -91 *140:33 *162:32 5.87052e-05 -92 *140:33 *162:42 0.000570313 -93 *141:7 *162:11 8.72365e-06 -94 *141:21 *162:11 7.34285e-06 -*RES -1 *1188:X *162:11 26.3536 -2 *162:11 *162:13 4.5 -3 *162:13 *1195:B1 18.9964 -4 *162:13 *1267:A1 31.4964 -5 *162:11 *162:32 1.35714 -6 *162:32 *162:42 15.2229 -7 *162:42 *1283:A2 20.3796 -8 *162:42 *162:63 15.4596 -9 *162:63 *162:68 8.8128 -10 *162:68 *1296:C 24.5054 -11 *162:68 *1292:B2 14.6839 -12 *162:63 *1272:A1 14.6839 -13 *162:32 *1191:A 9.3 -*END - -*D_NET *163 0.0145757 -*CONN -*I *1260:A I *D sky130_fd_sc_hd__nor2_2 -*I *1258:A1 I *D sky130_fd_sc_hd__o211a_2 -*I *1190:A I *D sky130_fd_sc_hd__nand2_2 -*I *1305:A2 I *D sky130_fd_sc_hd__o21ai_2 -*I *1281:A1 I *D sky130_fd_sc_hd__o21a_2 -*I *1278:A1 I *D sky130_fd_sc_hd__o21a_2 -*I *1308:A1 I *D sky130_fd_sc_hd__o31a_2 -*I *1189:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1260:A 0.000640192 -2 *1258:A1 0.000216055 -3 *1190:A 0 -4 *1305:A2 0 -5 *1281:A1 2.27214e-05 -6 *1278:A1 5.33366e-05 -7 *1308:A1 0 -8 *1189:X 0.000221971 -9 *163:63 0.00029332 -10 *163:57 0.00108249 -11 *163:39 0.000947778 -12 *163:26 0.00148061 -13 *163:18 0.00134415 -14 *163:8 0.000592197 -15 *1258:A1 *1258:A2 4.57852e-05 -16 *1258:A1 *164:15 2.15339e-05 -17 *1258:A1 *232:10 0.000165058 -18 *1260:A *1260:B 0.000222475 -19 *1260:A *1293:C 8.76142e-05 -20 *1260:A *1297:B 4.46186e-06 -21 *1260:A *1298:A2 0.000309273 -22 *1260:A *213:37 5.33072e-05 -23 *1260:A *232:10 6.35519e-05 -24 *1260:A *271:31 4.23791e-05 -25 *1260:A *323:15 2.96122e-05 -26 *1278:A1 *309:21 6.62752e-05 -27 *1278:A1 *317:18 9.76491e-05 -28 *1281:A1 *309:21 1.21258e-05 -29 *1281:A1 *317:18 2.59355e-05 -30 *163:8 *1253:C1 3.53217e-05 -31 *163:8 *209:5 3.4689e-05 -32 *163:18 *198:41 0.000516334 -33 *163:18 *211:40 7.11377e-05 -34 *163:18 *220:81 0.000383635 -35 *163:18 *372:13 2.29253e-06 -36 *163:26 *1168:A 1.05524e-05 -37 *163:26 *1305:B1 0.000131224 -38 *163:26 *198:41 0.00114673 -39 *163:26 *220:81 0.000231311 -40 *163:39 *1247:A 5.52238e-05 -41 *163:39 *1247:B 3.14193e-05 -42 *163:39 *1248:B 0.000307064 -43 *163:39 *1266:B 1.93639e-05 -44 *163:39 *1303:C1 0.000189277 -45 *163:39 *1306:B 0.000167018 -46 *163:39 *197:28 0 -47 *163:39 *201:8 5.41797e-05 -48 *163:39 *205:5 0.000206248 -49 *163:39 *218:8 0.000103432 -50 *163:39 *372:13 1.57414e-05 -51 *163:57 *1170:A 5.1588e-05 -52 *163:57 *1288:B 4.29471e-05 -53 *163:57 *232:10 0.000108948 -54 *163:57 *271:31 0 -55 *163:57 *274:20 1.90936e-05 -56 *163:57 *275:30 0 -57 *163:57 *275:42 0 -58 *163:57 *275:60 9.8045e-05 -59 *163:63 *164:15 4.19535e-05 -60 *163:63 *221:39 0.000137983 -61 *163:63 *232:10 5.41195e-05 -62 *163:63 *275:60 9.58126e-05 -63 *406:DIODE *1258:A1 5.18775e-05 -64 *409:DIODE *163:8 0.000100823 -65 *1182:A3 *163:26 0.000645594 -66 *1184:A *163:57 4.21517e-05 -67 *1193:A *163:26 0.000101847 -68 *1193:A *163:39 5.71472e-05 -69 *1267:A1 *163:39 0.000259108 -70 *1283:A2 *163:26 5.52302e-05 -71 *1287:A *1260:A 7.6644e-05 -72 *1291:B *163:57 6.5838e-05 -73 *5:113 *163:57 2.70725e-06 -74 *137:40 *163:39 1.02504e-05 -75 *137:47 *163:26 0.000475677 -76 *137:47 *163:39 5.52302e-05 -77 *145:24 *163:57 7.10717e-05 -*RES -1 *1189:X *163:8 17.6036 -2 *163:8 *1308:A1 13.8 -3 *163:8 *163:18 4.7542 -4 *163:18 *163:26 14.6783 -5 *163:26 *163:39 33.4821 -6 *163:39 *1278:A1 11.0679 -7 *163:39 *1281:A1 9.83571 -8 *163:26 *1305:A2 9.3 -9 *163:18 *163:57 10.6689 -10 *163:57 *163:63 11.0893 -11 *163:63 *1190:A 13.8 -12 *163:63 *1258:A1 17.7911 -13 *163:57 *1260:A 34.3 -*END - -*D_NET *164 0.0118057 -*CONN -*I *1271:A I *D sky130_fd_sc_hd__buf_2 -*I *1292:A1 I *D sky130_fd_sc_hd__o22a_2 -*I *1191:B I *D sky130_fd_sc_hd__nand2_2 -*I *1302:B1 I *D sky130_fd_sc_hd__o22a_2 -*I *1190:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1271:A 0.00113834 -2 *1292:A1 8.46376e-05 -3 *1191:B 0.000418424 -4 *1302:B1 0.000349499 -5 *1190:Y 0 -6 *164:47 0.00139659 -7 *164:15 0.00190901 -8 *164:4 0.00131471 -9 *1191:B *1194:A2 5.79582e-06 -10 *1191:B *165:23 1.90936e-05 -11 *1191:B *217:22 0.000308592 -12 *1191:B *218:8 0 -13 *1271:A *1253:A1 0.00015742 -14 *1271:A *1295:B 0 -15 *1271:A *1297:A 0.000216755 -16 *1271:A *1297:C 0 -17 *1271:A *1298:C1 9.41642e-05 -18 *1271:A *197:69 0.000120325 -19 *1271:A *221:7 0.000203933 -20 *1271:A *221:12 4.35452e-05 -21 *1271:A *221:24 3.63775e-05 -22 *1271:A *221:26 2.42662e-05 -23 *1271:A *221:39 2.95641e-05 -24 *1271:A *233:11 5.33005e-05 -25 *1271:A *239:9 9.90367e-05 -26 *1292:A1 *1260:B 0.000143407 -27 *1292:A1 *1290:A_N 0.000129383 -28 *1292:A1 *1292:A2 1.1594e-05 -29 *1302:B1 *1248:B 6.64554e-05 -30 *1302:B1 *1302:B2 6.26207e-05 -31 *1302:B1 *309:21 0.000144135 -32 *1302:B1 *372:13 9.80545e-05 -33 *164:15 *1244:B 1.01417e-05 -34 *164:15 *1246:B 0.000122006 -35 *164:15 *1247:B 3.47795e-05 -36 *164:15 *203:10 0 -37 *164:15 *207:15 0.000345563 -38 *164:15 *216:25 0.000243677 -39 *164:15 *218:8 1.31235e-05 -40 *164:15 *218:56 1.45117e-05 -41 *164:15 *221:39 0.000709714 -42 *164:15 *309:21 4.13595e-05 -43 *164:15 *317:18 2.74981e-06 -44 *164:15 *317:24 0.000155537 -45 *164:47 *1190:B 0.0001399 -46 *164:47 *221:39 8.41032e-05 -47 *406:DIODE *164:15 3.47641e-06 -48 *409:DIODE *1271:A 0 -49 *1258:A1 *164:15 2.15339e-05 -50 *1302:A1 *1191:B 0.000386241 -51 *1302:A2 *1191:B 1.08359e-05 -52 *92:67 *164:15 0.000385015 -53 *137:27 *1191:B 9.15842e-06 -54 *139:38 *1191:B 0.000146371 -55 *139:60 *1191:B 1.59504e-05 -56 *162:42 *1191:B 0.000184453 -57 *162:68 *164:15 4.45973e-06 -58 *163:63 *164:15 4.19535e-05 -*RES -1 *1190:Y *164:4 9.3 -2 *164:4 *164:15 22.7095 -3 *164:15 *1302:B1 24.3625 -4 *164:15 *1191:B 28.9964 -5 *164:4 *164:47 3 -6 *164:47 *1292:A1 20.2464 -7 *164:47 *1271:A 35.425 -*END - -*D_NET *165 0.00519383 -*CONN -*I *1262:A1 I *D sky130_fd_sc_hd__o211a_2 -*I *1303:A1 I *D sky130_fd_sc_hd__o211a_2 -*I *1195:A1 I *D sky130_fd_sc_hd__a32o_2 -*I *1191:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1262:A1 7.35347e-05 -2 *1303:A1 5.23739e-05 -3 *1195:A1 0.000262962 -4 *1191:Y 0 -5 *165:23 0.00100023 -6 *165:5 0.00113729 -7 *1262:A1 *206:46 0.000249262 -8 *1262:A1 *212:17 0.000117808 -9 *1303:A1 *206:46 0.000151396 -10 *1303:A1 *212:17 8.2003e-05 -11 *165:23 *1263:B1 0.000323302 -12 *165:23 *1282:B1 4.22135e-06 -13 *165:23 *1302:B2 0.000129381 -14 *165:23 *198:41 0 -15 *165:23 *217:22 0.000206643 -16 *165:23 *218:38 0.000187267 -17 *1191:B *165:23 1.90936e-05 -18 *1195:B1 *1195:A1 0.000311432 -19 *1195:B1 *165:23 2.28343e-05 -20 *1264:A1 *165:23 0.000102892 -21 *1267:A1 *165:23 0.000664092 -22 *136:17 *1195:A1 9.58181e-05 -*RES -1 *1191:Y *165:5 13.8 -2 *165:5 *1195:A1 18.8179 -3 *165:5 *165:23 24.2643 -4 *165:23 *1303:A1 10.9161 -5 *165:23 *1262:A1 11.7375 -*END - -*D_NET *166 0.000693194 -*CONN -*I *1212:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1195:A2 I *D sky130_fd_sc_hd__a32o_2 -*I *1192:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1212:A 0 -2 *1195:A2 7.4301e-05 -3 *1192:Y 0.000126375 -4 *166:7 0.000200676 -5 *1195:A2 *1195:A3 0.000127446 -6 *1175:B *166:7 1.33343e-05 -7 *154:11 *166:7 0.000151061 -*RES -1 *1192:Y *166:7 12.3179 -2 *166:7 *1195:A2 19.9429 -3 *166:7 *1212:A 9.3 -*END - -*D_NET *167 0.00115735 -*CONN -*I *1194:A2 I *D sky130_fd_sc_hd__a21o_2 -*I *1193:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1194:A2 0.000296801 -2 *1193:Y 0.000296801 -3 *1194:A2 *168:5 0.000227539 -4 *1191:B *1194:A2 5.79582e-06 -5 *139:38 *1194:A2 0.000101233 -6 *154:11 *1194:A2 0.000229181 -*RES -1 *1193:Y *1194:A2 34.1536 -*END - -*D_NET *168 0.0067449 -*CONN -*I *1210:A2 I *D sky130_fd_sc_hd__o211a_2 -*I *1212:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1195:A3 I *D sky130_fd_sc_hd__a32o_2 -*I *1194:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1210:A2 0.000974924 -2 *1212:B 0 -3 *1195:A3 0.000143328 -4 *1194:X 0 -5 *168:5 0.000253991 -6 *168:4 0.00108559 -7 *1210:A2 *1210:B1 6.49512e-05 -8 *1210:A2 *170:19 0 -9 *1210:A2 *220:12 5.60463e-06 -10 *1210:A2 *220:81 0.000140057 -11 *1210:A2 *271:25 2.11419e-05 -12 *417:DIODE *1210:A2 7.0305e-06 -13 *1175:B *1195:A3 9.41642e-05 -14 *1175:B *1210:A2 0.000531286 -15 *1175:B *168:5 0.00065911 -16 *1181:A_N *1210:A2 0.000188586 -17 *1181:C *1210:A2 0.000416917 -18 *1181:C *168:5 1.21289e-05 -19 *1182:A3 *1210:A2 4.23233e-05 -20 *1193:A *1210:A2 0 -21 *1194:A2 *168:5 0.000227539 -22 *1194:B1 *168:5 9.90367e-05 -23 *1195:A2 *1195:A3 0.000127446 -24 *1195:B1 *1195:A3 0.00019384 -25 *1215:A *1195:A3 0 -26 *25:26 *1210:A2 0.000588938 -27 *135:19 *1195:A3 0.00010096 -28 *136:17 *1195:A3 9.90367e-05 -29 *139:34 *1210:A2 0.000396116 -30 *140:17 *1210:A2 6.63645e-05 -31 *154:11 *1195:A3 4.58194e-05 -32 *154:11 *168:5 0.000158678 -*RES -1 *1194:X *168:4 9.3 -2 *168:4 *168:5 6.28571 -3 *168:5 *1195:A3 22.7464 -4 *168:5 *1212:B 9.3 -5 *168:4 *1210:A2 38.6944 -*END - -*D_NET *169 0.00380847 -*CONN -*I *1197:A3 I *D sky130_fd_sc_hd__a32o_2 -*I *1202:A2 I *D sky130_fd_sc_hd__a21oi_2 -*I *1206:B I *D sky130_fd_sc_hd__xor2_2 -*I *1195:X O *D sky130_fd_sc_hd__a32o_2 -*CAP -1 *1197:A3 0.000162608 -2 *1202:A2 0 -3 *1206:B 0.00066237 -4 *1195:X 0.000475698 -5 *169:20 0.00034928 -6 *1197:A3 *182:16 0.000195396 -7 *1197:A3 *284:35 1.66856e-05 -8 *1206:B *1164:A 0.000148182 -9 *1206:B *1164:B 2.28335e-05 -10 *169:20 *284:35 0.000365968 -11 *1187:A *1206:B 0.000224577 -12 *1187:B *1197:A3 1.52978e-05 -13 *1197:A2 *1197:A3 0.000370812 -14 *1202:B1 *169:20 5.33005e-05 -15 *1206:A *1197:A3 2.19064e-05 -16 *1206:A *169:20 0.000364996 -17 *135:19 *1206:B 0.000172654 -18 *135:41 *1206:B 1.21289e-05 -19 *136:31 *1197:A3 4.43256e-05 -20 *138:13 *1206:B 3.69697e-05 -21 *148:24 *1197:A3 2.37817e-05 -22 *160:6 *1197:A3 6.42338e-05 -23 *161:8 *1197:A3 4.46186e-06 -*RES -1 *1195:X *1206:B 25.7107 -2 *1206:B *169:20 6.17857 -3 *169:20 *1202:A2 9.3 -4 *169:20 *1197:A3 24.5946 -*END - -*D_NET *170 0.0057809 -*CONN -*I *1247:B I *D sky130_fd_sc_hd__nor2_2 -*I *1288:A I *D sky130_fd_sc_hd__and2_2 -*I *1197:B1 I *D sky130_fd_sc_hd__a32o_2 -*I *1196:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1247:B 0.000819243 -2 *1288:A 4.54335e-05 -3 *1197:B1 0.000277884 -4 *1196:Y 0.00010084 -5 *170:19 0.00118089 -6 *170:7 0.000694936 -7 *1197:B1 *182:16 1.32276e-05 -8 *1247:B *1244:B 4.53834e-05 -9 *1247:B *1245:C 6.80326e-05 -10 *1247:B *1247:A 0.000106391 -11 *1247:B *198:41 0 -12 *1247:B *232:10 0 -13 *1247:B *372:13 0.000360836 -14 *1288:A *211:40 1.21258e-05 -15 *1288:A *294:18 0.000181995 -16 *170:7 *273:47 5.20426e-05 -17 *170:19 *1245:C 0.000150055 -18 *170:19 *220:12 0 -19 *170:19 *220:81 2.57223e-05 -20 *170:19 *273:47 7.95238e-05 -21 *1172:B *1288:A 0.000139208 -22 *1182:A2 *1197:B1 0 -23 *1182:A2 *170:19 0 -24 *1182:A3 *170:19 4.21517e-05 -25 *1203:A *1197:B1 5.58941e-05 -26 *1209:A1 *1197:B1 1.37292e-05 -27 *1210:A2 *170:19 0 -28 *1283:C1 *1247:B 1.7845e-05 -29 *1283:C1 *170:19 5.74707e-05 -30 *1284:A2 *1247:B 2.06178e-05 -31 *1306:A *1247:B 6.87834e-06 -32 *5:113 *170:7 1.72377e-05 -33 *37:24 *1247:B 0.000132341 -34 *92:67 *1247:B 0.000916588 -35 *136:31 *1197:B1 3.28686e-05 -36 *136:38 *1197:B1 0 -37 *139:34 *1197:B1 0 -38 *144:22 *1247:B 1.03403e-05 -39 *158:9 *1197:B1 3.69697e-05 -40 *163:39 *1247:B 3.14193e-05 -41 *164:15 *1247:B 3.47795e-05 -*RES -1 *1196:Y *170:7 15.1571 -2 *170:7 *1197:B1 18.2018 -3 *170:7 *170:19 6.125 -4 *170:19 *1288:A 15.5679 -5 *170:19 *1247:B 28.3245 -*END - -*D_NET *171 0.00340606 -*CONN -*I *1199:A I *D sky130_fd_sc_hd__and2_2 -*I *1200:A1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1197:X O *D sky130_fd_sc_hd__a32o_2 -*CAP -1 *1199:A 0.000247087 -2 *1200:A1 0 -3 *1197:X 0.000366332 -4 *171:9 0.000613419 -5 *1199:A *1199:B 5.33005e-05 -6 *1199:A *1200:A2 9.90367e-05 -7 *1199:A *275:30 0.000113073 -8 *171:9 *1209:A0 0.000139907 -9 *171:9 *275:30 0.000368535 -10 *1183:A *171:9 5.71472e-05 -11 *1198:B *171:9 9.07969e-05 -12 *1200:B1 *171:9 0.000274294 -13 *1201:A1 *1199:A 4.46186e-06 -14 *1209:A1 *171:9 0.000356401 -15 *29:10 *1199:A 0.000196269 -16 *92:8 *1199:A 0.000100969 -17 *136:35 *171:9 0.000185118 -18 *136:56 *171:9 0.000139907 -*RES -1 *1197:X *171:9 21.0679 -2 *171:9 *1200:A1 9.3 -3 *171:9 *1199:A 24.3893 -*END - -*D_NET *172 0.00129056 -*CONN -*I *1199:B I *D sky130_fd_sc_hd__and2_2 -*I *1200:A2 I *D sky130_fd_sc_hd__o21ai_2 -*I *1198:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1199:B 0.000126404 -2 *1200:A2 9.6835e-05 -3 *1198:Y 0 -4 *172:4 0.000223239 -5 *1199:B *1462:TE 0 -6 *1199:B *284:33 0.000260574 -7 *1200:A2 *1201:B2 8.06427e-05 -8 *1200:A2 *275:30 9.90367e-05 -9 *1198:A *1199:B 5.52238e-05 -10 *1199:A *1199:B 5.33005e-05 -11 *1199:A *1200:A2 9.90367e-05 -12 *92:8 *1200:A2 0.000196269 -*RES -1 *1198:Y *172:4 9.3 -2 *172:4 *1200:A2 21.8 -3 *172:4 *1199:B 12.3179 -*END - -*D_NET *173 0.000944184 -*CONN -*I *1201:B1 I *D sky130_fd_sc_hd__o22a_2 -*I *1199:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1201:B1 0.00027943 -2 *1199:X 0.00027943 -3 *1201:B1 *418:DIODE 1.98839e-05 -4 *1201:B1 *1459:B 5.33005e-05 -5 *1201:B1 *1461:TE 3.17148e-05 -6 *1201:B1 *272:10 0.000123295 -7 *1201:B1 *372:14 0.000100831 -8 *1201:A1 *1201:B1 5.62995e-05 -*RES -1 *1199:X *1201:B1 33.0643 -*END - -*D_NET *174 0.000569506 -*CONN -*I *1201:B2 I *D sky130_fd_sc_hd__o22a_2 -*I *1200:Y O *D sky130_fd_sc_hd__o21ai_2 -*CAP -1 *1201:B2 0.000201383 -2 *1200:Y 0.000201383 -3 *1201:B2 *276:13 1.52978e-05 -4 *1200:A2 *1201:B2 8.06427e-05 -5 *1200:B1 *1201:B2 0 -6 *1201:A1 *1201:B2 6.58195e-05 -7 *92:8 *1201:B2 4.98055e-06 -8 *157:6 *1201:B2 0 -9 *157:13 *1201:B2 0 -*RES -1 *1200:Y *1201:B2 31.975 -*END - -*D_NET *175 0.000556986 -*CONN -*I *1203:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1202:Y O *D sky130_fd_sc_hd__a21oi_2 -*CAP -1 *1203:B 0.000228819 -2 *1202:Y 0.000228819 -3 *1203:B *284:35 6.4549e-05 -4 *1206:A *1203:B 1.33343e-05 -5 *161:11 *1203:B 2.14658e-05 -*RES -1 *1202:Y *1203:B 21.9214 -*END - -*D_NET *176 0.000538864 -*CONN -*I *1204:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1203:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1204:A1 0.000188935 -2 *1203:Y 0.000188935 -3 *1204:A1 *284:35 8.25843e-06 -4 *157:39 *1204:A1 0.000152736 -*RES -1 *1203:Y *1204:A1 30.4036 -*END - -*D_NET *177 0.00045626 -*CONN -*I *1205:A I *D sky130_fd_sc_hd__buf_2 -*I *1204:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1205:A 0.000178609 -2 *1204:X 0.000178609 -3 *1205:A *276:21 9.90431e-05 -4 *148:24 *1205:A 0 -*RES -1 *1204:X *1205:A 30.4929 -*END - -*D_NET *178 0.00026108 -*CONN -*I *1207:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1206:X O *D sky130_fd_sc_hd__xor2_2 -*CAP -1 *1207:A1 9.30532e-05 -2 *1206:X 9.30532e-05 -3 *1207:A1 *284:35 1.92789e-05 -4 *1207:A0 *1207:A1 5.56943e-05 -5 *1214:B *1207:A1 0 -*RES -1 *1206:X *1207:A1 29.475 -*END - -*D_NET *179 0.000421512 -*CONN -*I *1208:A I *D sky130_fd_sc_hd__buf_2 -*I *1207:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1208:A 9.18538e-05 -2 *1207:X 9.18538e-05 -3 *1208:A *276:21 6.05161e-06 -4 *1357:D *1208:A 4.70078e-05 -5 *157:48 *1208:A 0.000184745 -*RES -1 *1207:X *1208:A 21.1 -*END - -*D_NET *180 0.00099811 -*CONN -*I *1210:B1 I *D sky130_fd_sc_hd__o211a_2 -*I *1209:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1210:B1 0.000253898 -2 *1209:X 0.000253898 -3 *1210:B1 *198:58 0 -4 *1210:B1 *271:12 3.34295e-05 -5 *1210:B1 *271:25 0.000262438 -6 *1183:A *1210:B1 9.56159e-05 -7 *1210:A2 *1210:B1 6.49512e-05 -8 *140:17 *1210:B1 3.38789e-05 -*RES -1 *1209:X *1210:B1 33.3143 -*END - -*D_NET *181 0.0020642 -*CONN -*I *1211:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1210:X O *D sky130_fd_sc_hd__o211a_2 -*CAP -1 *1211:B 0.000569216 -2 *1210:X 0.000569216 -3 *1211:B *274:20 0.000103091 -4 *409:DIODE *1211:B 0.000146533 -5 *1181:B *1211:B 0.000340287 -6 *1184:A *1211:B 9.97901e-05 -7 *5:113 *1211:B 4.58194e-05 -8 *155:5 *1211:B 9.60875e-05 -9 *155:18 *1211:B 9.41642e-05 -*RES -1 *1210:X *1211:B 39.0821 -*END - -*D_NET *182 0.00481625 -*CONN -*I *1213:A2_N I *D sky130_fd_sc_hd__o2bb2a_2 -*I *1212:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1213:A2_N 0 -2 *1212:Y 0.00122181 -3 *182:16 0.00122181 -4 *182:16 *1163:A 0.000117621 -5 *182:16 *1164:B 9.60875e-05 -6 *182:16 *274:20 6.72808e-05 -7 *1187:A *182:16 2.42547e-05 -8 *1197:A2 *182:16 1.74352e-05 -9 *1197:A3 *182:16 0.000195396 -10 *1197:B1 *182:16 1.32276e-05 -11 *1198:B *182:16 5.52238e-05 -12 *1219:A2 *182:16 5.89612e-05 -13 *135:19 *182:16 0.000117621 -14 *135:41 *182:16 0.000269428 -15 *136:31 *182:16 0.00105556 -16 *136:35 *182:16 0.000146376 -17 *136:56 *182:16 6.13217e-05 -18 *137:8 *182:16 3.2534e-05 -19 *155:18 *182:16 4.43046e-05 -*RES -1 *1212:Y *182:16 47.9964 -2 *182:16 *1213:A2_N 13.8 -*END - -*D_NET *183 0.000728874 -*CONN -*I *1215:B I *D sky130_fd_sc_hd__xor2_2 -*I *1214:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1215:B 0.000339629 -2 *1214:Y 0.000339629 -3 *1215:B *259:48 0 -4 *1215:B *284:35 4.96155e-05 -*RES -1 *1214:Y *1215:B 23.8625 -*END - -*D_NET *184 0.000491807 -*CONN -*I *1216:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1215:X O *D sky130_fd_sc_hd__xor2_2 -*CAP -1 *1216:A1 0.000217212 -2 *1215:X 0.000217212 -3 *1216:A1 *284:35 5.018e-05 -4 *1216:S *1216:A1 7.20217e-06 -*RES -1 *1215:X *1216:A1 30.8321 -*END - -*D_NET *185 0.000286881 -*CONN -*I *1217:A I *D sky130_fd_sc_hd__buf_2 -*I *1216:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1217:A 0.000143441 -2 *1216:X 0.000143441 -*RES -1 *1216:X *1217:A 21.1 -*END - -*D_NET *186 0.000526344 -*CONN -*I *1219:B1 I *D sky130_fd_sc_hd__o21a_2 -*I *1218:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1219:B1 8.01495e-05 -2 *1218:Y 8.01495e-05 -3 *1219:B1 *276:15 0.000268396 -4 *1353:D *1219:B1 9.76491e-05 -*RES -1 *1218:Y *1219:B1 21.1893 -*END - -*D_NET *187 0.00214208 -*CONN -*I *1227:A1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1221:B I *D sky130_fd_sc_hd__and2_2 -*I *1224:A2 I *D sky130_fd_sc_hd__o211a_2 -*I *1220:X O *D sky130_fd_sc_hd__and3_2 -*CAP -1 *1227:A1 4.88504e-05 -2 *1221:B 0.000152838 -3 *1224:A2 0.000160004 -4 *1220:X 5.02282e-05 -5 *187:10 0.000462265 -6 *187:7 0.000248502 -7 *1221:B *1221:A 6.42338e-05 -8 *1221:B *1226:B 4.22378e-05 -9 *1221:B *1351:CLK 0 -10 *1221:B *188:9 7.50697e-05 -11 *1221:B *251:42 9.24843e-06 -12 *1221:B *254:75 0 -13 *1224:A2 *1224:A1 5.7661e-06 -14 *1224:A2 *1224:B1 0.000175643 -15 *1224:A2 *258:7 5.19842e-06 -16 *1227:A1 *1220:A 4.43256e-05 -17 *187:7 *1220:A 2.89114e-05 -18 *187:7 *256:18 6.05161e-06 -19 *187:10 *1225:A1 0.000144038 -20 *187:10 *254:75 0 -21 *187:10 *256:15 5.66157e-05 -22 *85:19 *187:10 0.000205065 -23 *85:43 *1221:B 5.59013e-05 -24 *85:43 *187:10 0.000101091 -*RES -1 *1220:X *187:7 10.2643 -2 *187:7 *187:10 8.57143 -3 *187:10 *1224:A2 16.3 -4 *187:10 *1221:B 17.2643 -5 *187:7 *1227:A1 10.2464 -*END - -*D_NET *188 0.00195471 -*CONN -*I *1226:B I *D sky130_fd_sc_hd__nand2_2 -*I *1223:B I *D sky130_fd_sc_hd__nand2b_2 -*I *1222:A2 I *D sky130_fd_sc_hd__o21a_2 -*I *1221:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1226:B 7.30039e-05 -2 *1223:B 0 -3 *1222:A2 0.000278372 -4 *1221:X 0 -5 *188:9 0.000392723 -6 *188:5 0.000187356 -7 *1222:A2 *1223:A_N 4.38128e-05 -8 *1222:A2 *1224:B1 5.71472e-05 -9 *1222:A2 *259:11 3.57366e-05 -10 *1222:A2 *259:23 0.000215121 -11 *1226:B *1226:A 0 -12 *1226:B *250:19 0.000185403 -13 *1226:B *252:47 0.000102197 -14 *188:9 *1224:B1 8.76257e-05 -15 *188:9 *1226:A 0 -16 *1221:B *1226:B 4.22378e-05 -17 *1221:B *188:9 7.50697e-05 -18 *1222:B1 *1222:A2 5.71472e-05 -19 *85:52 *1222:A2 6.84283e-05 -20 *85:52 *188:9 5.33334e-05 -*RES -1 *1221:X *188:5 13.8 -2 *188:5 *188:9 7.39286 -3 *188:9 *1222:A2 15.3357 -4 *188:9 *1223:B 9.3 -5 *188:5 *1226:B 16.6036 -*END - -*D_NET *189 0.000694526 -*CONN -*I *1224:B1 I *D sky130_fd_sc_hd__o211a_2 -*I *1223:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *1224:B1 0.000150013 -2 *1223:Y 0.000150013 -3 *1224:B1 *258:7 1.32552e-05 -4 *1224:B1 *258:17 1.15281e-05 -5 *1222:A2 *1224:B1 5.71472e-05 -6 *1224:A2 *1224:B1 0.000175643 -7 *85:52 *1224:B1 4.92997e-05 -8 *188:9 *1224:B1 8.76257e-05 -*RES -1 *1223:Y *1224:B1 22.4393 -*END - -*D_NET *190 0.000464796 -*CONN -*I *1227:A2 I *D sky130_fd_sc_hd__o21ai_2 -*I *1225:Y O *D sky130_fd_sc_hd__a21oi_2 -*CAP -1 *1227:A2 0.000116065 -2 *1225:Y 0.000116065 -3 *1227:A2 *1220:A 0.000115478 -4 *1227:A2 *257:23 0.000117189 -5 *85:19 *1227:A2 0 -*RES -1 *1225:Y *1227:A2 30.3857 -*END - -*D_NET *191 0.00380143 -*CONN -*I *1227:B1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1231:A1 I *D sky130_fd_sc_hd__a21boi_2 -*I *1232:C I *D sky130_fd_sc_hd__nand3_2 -*I *1226:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1227:B1 0.000219832 -2 *1231:A1 0 -3 *1232:C 1.8757e-05 -4 *1226:Y 0.000624628 -5 *191:20 0.00074516 -6 *191:8 0.00116871 -7 *1227:B1 *1220:A 5.52302e-05 -8 *1227:B1 *1229:A 0 -9 *1227:B1 *254:93 0 -10 *1227:B1 *257:11 2.65105e-05 -11 *191:8 *1225:A1 0 -12 *191:8 *1321:B 0 -13 *191:8 *254:75 0.000356958 -14 *191:8 *371:8 0 -15 *191:20 *1229:A 0 -16 *191:20 *1230:A 0 -17 *191:20 *1231:A2 0.000174797 -18 *191:20 *256:15 0 -19 *1107:S *191:8 4.71964e-05 -20 *1222:B1 *191:8 1.65558e-05 -21 *1225:A2 *191:8 0 -22 *1230:B *191:20 2.44318e-05 -23 *1232:B *191:8 0 -24 *1348:D *191:8 6.26466e-05 -25 *1348:RESET_B *191:8 0 -26 *1352:D *191:8 5.24274e-05 -27 *85:19 *1227:B1 0 -28 *90:68 *1232:C 5.52238e-05 -29 *90:68 *191:20 0.000152357 -30 *90:71 *191:8 0 -*RES -1 *1226:Y *191:8 30.5679 -2 *191:8 *1232:C 9.83571 -3 *191:8 *191:20 14.375 -4 *191:20 *1231:A1 13.8 -5 *191:20 *1227:B1 17.2286 -*END - -*D_NET *192 0.000993843 -*CONN -*I *1228:B I *D sky130_fd_sc_hd__and2_2 -*I *1227:Y O *D sky130_fd_sc_hd__o21ai_2 -*CAP -1 *1228:B 0.000290926 -2 *1227:Y 0.000290926 -3 *1228:B *1229:A 7.6644e-05 -4 *1228:B *254:89 5.74756e-05 -5 *1228:B *257:11 0.000273269 -6 *1228:A *1228:B 4.6025e-06 -7 *1349:RESET_B *1228:B 0 -*RES -1 *1227:Y *1228:B 32.1357 -*END - -*D_NET *193 0.00126112 -*CONN -*I *1229:A I *D sky130_fd_sc_hd__buf_2 -*I *1228:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1229:A 0.000390849 -2 *1228:X 0.000390849 -3 *1229:A *1231:A2 0 -4 *1229:A *249:40 2.89114e-05 -5 *1229:A *254:89 1.37292e-05 -6 *1229:A *254:93 6.04069e-05 -7 *1227:B1 *1229:A 0 -8 *1228:B *1229:A 7.6644e-05 -9 *1349:RESET_B *1229:A 0 -10 *1350:D *1229:A 0.000299729 -11 *191:20 *1229:A 0 -*RES -1 *1228:X *1229:A 35.475 -*END - -*D_NET *194 0.000362148 -*CONN -*I *1231:A2 I *D sky130_fd_sc_hd__a21boi_2 -*I *1230:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1231:A2 9.3675e-05 -2 *1230:Y 9.3675e-05 -3 *1229:A *1231:A2 0 -4 *191:20 *1231:A2 0.000174797 -*RES -1 *1230:Y *1231:A2 29.85 -*END - -*D_NET *195 0.000880169 -*CONN -*I *1234:A I *D sky130_fd_sc_hd__buf_2 -*I *1233:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1234:A 0.000190459 -2 *1233:X 0.000190459 -3 *1234:A *269:8 5.66647e-05 -4 *1105:A *1234:A 0 -5 *1176:A_N *1234:A 0 -6 *1347:D *1234:A 0.000442586 -*RES -1 *1233:X *1234:A 33.1893 -*END - -*D_NET *196 0.000403113 -*CONN -*I *1236:A I *D sky130_fd_sc_hd__buf_2 -*I *1235:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1236:A 0.000144218 -2 *1235:X 0.000144218 -3 *1236:A *1235:A1 0 -4 *1236:A *268:7 8.6054e-06 -5 *85:11 *1236:A 5.59597e-05 -6 *150:13 *1236:A 5.01117e-05 -*RES -1 *1235:X *1236:A 30.1089 -*END - -*D_NET *197 0.0153627 -*CONN -*I *1275:A1 I *D sky130_fd_sc_hd__o31a_2 -*I *1307:B2 I *D sky130_fd_sc_hd__a32o_2 -*I *1299:B2 I *D sky130_fd_sc_hd__a32o_2 -*I *1311:B2 I *D sky130_fd_sc_hd__a32o_2 -*I *1253:A1 I *D sky130_fd_sc_hd__a211o_2 -*I *1277:B2 I *D sky130_fd_sc_hd__a32o_2 -*I *1241:A1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1238:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1275:A1 3.0865e-05 -2 *1307:B2 0 -3 *1299:B2 0 -4 *1311:B2 0.000314128 -5 *1253:A1 0.000182687 -6 *1277:B2 3.68998e-05 -7 *1241:A1 0.000332341 -8 *1238:X 9.72438e-05 -9 *197:69 0.000737106 -10 *197:66 0.00197897 -11 *197:53 0.00276645 -12 *197:28 0.00141808 -13 *197:21 0.000545397 -14 *197:5 0.00059071 -15 *1241:A1 *198:16 0 -16 *1253:A1 *1311:A3 3.22831e-05 -17 *1253:A1 *221:12 9.60771e-05 -18 *1311:B2 *1299:A2 3.6692e-06 -19 *1311:B2 *1311:A3 3.67142e-05 -20 *1311:B2 *239:9 1.00073e-05 -21 *197:5 *1275:B1 7.77652e-05 -22 *197:21 *1275:B1 5.33433e-05 -23 *197:28 *1275:B1 3.57366e-05 -24 *197:28 *1279:B1 7.50601e-05 -25 *197:28 *206:8 0.000188555 -26 *197:28 *206:17 0.000138165 -27 *197:28 *207:20 4.75152e-06 -28 *197:53 *1265:B1 0.000270646 -29 *197:53 *1307:A3 4.34049e-05 -30 *197:53 *1435:Z 2.00258e-05 -31 *197:53 *206:17 7.90803e-05 -32 *197:53 *226:132 1.54716e-05 -33 *197:53 *321:6 1.6806e-05 -34 *197:53 *344:13 0.000657971 -35 *197:53 *351:8 2.02794e-05 -36 *197:66 *1272:A2 1.81922e-05 -37 *197:66 *1293:A 0.000779082 -38 *197:66 *1304:A2 9.68015e-05 -39 *197:66 *1307:A1 0.000203565 -40 *197:66 *1307:A3 4.53841e-05 -41 *197:66 *213:37 8.23878e-05 -42 *197:66 *226:102 0.000308906 -43 *197:66 *236:5 6.05161e-06 -44 *197:66 *301:13 0 -45 *197:66 *315:8 6.32026e-05 -46 *197:66 *317:24 6.47254e-05 -47 *197:66 *330:21 7.47629e-06 -48 *197:66 *363:17 4.73384e-05 -49 *197:69 *1287:B 8.02057e-05 -50 *197:69 *1289:B1 5.83088e-05 -51 *197:69 *1299:A1 0 -52 *197:69 *1311:A2 8.18231e-05 -53 *197:69 *1311:A3 3.20153e-05 -54 *197:69 *226:102 7.80059e-05 -55 *409:DIODE *197:69 0 -56 *413:DIODE *197:66 0.000101926 -57 *414:DIODE *197:53 1.38167e-05 -58 *414:DIODE *197:66 2.07809e-05 -59 *1253:A2 *1253:A1 3.51368e-05 -60 *1267:A1 *197:28 0 -61 *1267:A1 *197:53 0 -62 *1271:A *1253:A1 0.00015742 -63 *1271:A *197:69 0.000120325 -64 *1275:A2 *1275:A1 4.22908e-05 -65 *1275:A2 *197:28 1.7859e-05 -66 *1275:A3 *1241:A1 0 -67 *1277:B1 *1277:B2 2.59355e-05 -68 *1279:A2 *197:28 0 -69 *1311:B1 *1311:B2 9.75337e-06 -70 *15:14 *197:28 0.000122946 -71 *15:14 *197:53 0.000337984 -72 *22:8 *197:66 0.000565157 -73 *24:22 *197:66 0.000581528 -74 *36:27 *197:28 2.14771e-05 -75 *36:27 *197:66 0.00015518 -76 *37:20 *197:21 3.61629e-06 -77 *37:20 *197:28 1.74352e-05 -78 *92:67 *1253:A1 1.90936e-05 -79 *162:11 *1241:A1 3.29246e-05 -80 *163:39 *197:28 0 -*RES -1 *1238:X *197:5 10.6571 -2 *197:5 *1241:A1 23.4786 -3 *197:5 *197:21 2.5 -4 *197:21 *197:28 12.2679 -5 *197:28 *1277:B2 14.3357 -6 *197:28 *197:53 35.5 -7 *197:53 *197:66 21.3115 -8 *197:66 *197:69 10.6964 -9 *197:69 *1253:A1 18.05 -10 *197:69 *1311:B2 17.9607 -11 *197:66 *1299:B2 9.3 -12 *197:53 *1307:B2 13.8 -13 *197:21 *1275:A1 10.2643 -*END - -*D_NET *198 0.015712 -*CONN -*I *1280:A I *D sky130_fd_sc_hd__buf_2 -*I *1319:A I *D sky130_fd_sc_hd__buf_2 -*I *1328:A I *D sky130_fd_sc_hd__buf_2 -*I *1252:B I *D sky130_fd_sc_hd__nor2_2 -*I *1242:A I *D sky130_fd_sc_hd__buf_2 -*I *1274:B I *D sky130_fd_sc_hd__nand2b_2 -*I *1240:B I *D sky130_fd_sc_hd__nand2_2 -*I *1239:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1280:A 0.000154175 -2 *1319:A 3.27826e-05 -3 *1328:A 0.000439722 -4 *1252:B 8.70882e-05 -5 *1242:A 0.000189687 -6 *1274:B 0 -7 *1240:B 7.39141e-05 -8 *1239:X 0 -9 *198:60 0.000626967 -10 *198:58 0.000875963 -11 *198:41 0.00324943 -12 *198:16 0.000365169 -13 *198:5 0.000428398 -14 *198:4 0.00277402 -15 *1242:A *1279:A1 9.80173e-05 -16 *1280:A *1331:B 9.71197e-05 -17 *1280:A *226:7 0.000101157 -18 *1319:A *254:202 0.000140243 -19 *1328:A *1331:A 4.93636e-05 -20 *1328:A *249:20 0.000170762 -21 *1328:A *249:24 0.000385395 -22 *1328:A *251:8 0.0001164 -23 *1328:A *251:15 5.16396e-05 -24 *1328:A *251:62 2.5236e-05 -25 *198:41 *236:8 1.59371e-05 -26 *198:41 *372:13 0.000269623 -27 *198:58 *271:8 0 -28 *198:58 *271:12 0 -29 *198:58 *276:13 0 -30 *198:60 *1331:A 7.99147e-05 -31 *198:60 *1331:B 0.000149662 -32 *198:60 *249:20 6.97424e-05 -33 *198:60 *271:8 0 -34 *391:DIODE *198:58 8.43253e-05 -35 *417:DIODE *1252:B 0.000135028 -36 *1182:A3 *198:41 6.14328e-05 -37 *1183:A *198:58 0.000192693 -38 *1188:A *198:41 5.75842e-05 -39 *1198:B *198:58 4.71964e-05 -40 *1200:B1 *198:58 7.22594e-05 -41 *1210:B1 *198:58 0 -42 *1211:A *198:58 0 -43 *1240:A *1240:B 5.46383e-06 -44 *1241:A1 *198:16 0 -45 *1241:A2 *198:16 2.11419e-05 -46 *1241:A2 *198:41 0.000620606 -47 *1247:B *198:41 0 -48 *1248:A *1242:A 0.000127984 -49 *1252:A *198:41 0.000123687 -50 *1252:A *198:58 4.60265e-05 -51 *1279:A2 *1242:A 0 -52 *1350:RESET_B *1328:A 0 -53 *1353:D *198:58 0.000148903 -54 *1353:D *198:60 0.000150618 -55 *1353:RESET_B *1319:A 7.36469e-05 -56 *1360:RESET_B *1328:A 0 -57 *5:14 *1242:A 1.17968e-05 -58 *5:14 *198:16 0.000175299 -59 *5:44 *1240:B 2.11336e-05 -60 *5:44 *198:5 5.98787e-05 -61 *5:56 *198:5 0.000100165 -62 *25:26 *198:41 5.66752e-05 -63 *30:17 *1242:A 1.55885e-05 -64 *156:8 *198:41 9.71324e-05 -65 *156:8 *198:58 1.12669e-05 -66 *157:6 *198:58 0.000116481 -67 *157:13 *198:58 0.000221163 -68 *162:42 *198:41 7.61845e-05 -69 *163:18 *198:41 0.000516334 -70 *163:26 *198:41 0.00114673 -71 *165:23 *198:41 0 -*RES -1 *1239:X *198:4 9.3 -2 *198:4 *198:5 2.58929 -3 *198:5 *1240:B 10.5679 -4 *198:5 *198:16 8.875 -5 *198:16 *1274:B 13.8 -6 *198:16 *1242:A 17.5321 -7 *198:4 *198:41 21.5603 -8 *198:41 *1252:B 15.5857 -9 *198:41 *198:58 14.0714 -10 *198:58 *198:60 4.98214 -11 *198:60 *1328:A 24.9964 -12 *198:60 *1319:A 15.1571 -13 *198:58 *1280:A 17.2107 -*END - -*D_NET *199 0.000303579 -*CONN -*I *1241:B1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1240:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1241:B1 8.30754e-05 -2 *1240:Y 8.30754e-05 -3 *1241:B1 *1372:A 6.48209e-05 -4 *1240:A *1241:B1 3.11088e-05 -5 *5:14 *1241:B1 4.14986e-05 -*RES -1 *1240:Y *1241:B1 29.7786 -*END - -*D_NET *200 0.0112732 -*CONN -*I *1279:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1265:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1268:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1254:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1259:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1263:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1250:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1242:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1279:A1 0.000372381 -2 *1265:A1 0.000322394 -3 *1268:A1 0.000533019 -4 *1254:A1 0 -5 *1259:A1 0 -6 *1263:A1 0.00014028 -7 *1250:A1 5.37718e-05 -8 *1242:X 0 -9 *200:39 0.00122575 -10 *200:31 0.000742992 -11 *200:28 0.000734436 -12 *200:7 0.000581713 -13 *200:5 0.00154206 -14 *200:4 0.001165 -15 *1263:A1 *1250:B1 0.0001967 -16 *1263:A1 *1456:A 0.00024478 -17 *1265:A1 *1423:A 0 -18 *1265:A1 *338:13 0 -19 *1268:A1 *1254:B1 1.38323e-05 -20 *1268:A1 *1407:TE 0.000184438 -21 *1268:A1 *1418:A 0.000123498 -22 *1268:A1 *1427:A 0 -23 *1268:A1 *322:8 0.000222492 -24 *1268:A1 *331:12 0.000314475 -25 *1268:A1 *331:17 6.13903e-05 -26 *1279:A1 *1248:B 0.000135494 -27 *200:5 *1393:Z 4.4787e-05 -28 *200:5 *1396:A 0.0001839 -29 *200:7 *1393:TE 4.72917e-05 -30 *200:7 *308:5 5.03772e-05 -31 *200:28 *1423:A 0 -32 *200:31 *1419:A 4.84159e-05 -33 *200:39 *1259:B1 9.00671e-05 -34 *200:39 *1418:A 0.0001871 -35 *200:39 *1419:A 1.97617e-05 -36 *388:DIODE *200:28 0.000104474 -37 *393:DIODE *1265:A1 3.29297e-05 -38 *393:DIODE *200:28 0.000149708 -39 *412:DIODE *1268:A1 7.83659e-05 -40 *1242:A *1279:A1 9.80173e-05 -41 *1248:A *1279:A1 2.14658e-05 -42 *1254:A2 *200:39 1.65914e-05 -43 *1263:A2 *1263:A1 6.05161e-06 -44 *1265:A2 *1265:A1 0.000122554 -45 *30:17 *1279:A1 4.99241e-05 -46 *30:17 *200:5 0.00020377 -47 *31:11 *200:39 0.000531064 -48 *32:8 *1265:A1 3.46386e-05 -49 *33:11 *200:28 0 -50 *36:16 *1263:A1 1.78447e-05 -51 *36:16 *200:28 0.000223246 -52 *37:13 *1265:A1 0 -53 *37:13 *200:28 0 -*RES -1 *1242:X *200:4 9.3 -2 *200:4 *200:5 10.3929 -3 *200:5 *200:7 4.64286 -4 *200:7 *1250:A1 9.83571 -5 *200:7 *1263:A1 22.425 -6 *200:5 *200:28 12.8214 -7 *200:28 *200:31 5.44643 -8 *200:31 *1259:A1 9.3 -9 *200:31 *200:39 10.3036 -10 *200:39 *1254:A1 9.3 -11 *200:39 *1268:A1 28.55 -12 *200:28 *1265:A1 18.4429 -13 *200:4 *1279:A1 15.7464 -*END - -*D_NET *201 0.00319417 -*CONN -*I *1244:B I *D sky130_fd_sc_hd__and2b_2 -*I *1285:A_N I *D sky130_fd_sc_hd__and3b_2 -*I *1266:B I *D sky130_fd_sc_hd__nand2_2 -*I *1243:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1244:B 0.000199705 -2 *1285:A_N 1.16062e-05 -3 *1266:B 0.000241026 -4 *1243:Y 0.000326598 -5 *201:8 0.000320797 -6 *201:7 0.000594468 -7 *1244:B *1276:B 1.55485e-05 -8 *1244:B *216:25 2.64924e-05 -9 *1244:B *218:8 0 -10 *1266:B *1306:B 0.000167018 -11 *201:7 *1247:A 0.000195095 -12 *201:7 *1284:B1 0.000180777 -13 *1168:B *1266:B 0.000123498 -14 *1168:D *1266:B 9.67516e-05 -15 *1193:A *1266:B 7.55769e-05 -16 *1247:B *1244:B 4.53834e-05 -17 *1284:A2 *1244:B 1.62539e-05 -18 *1284:A2 *1266:B 6.50156e-05 -19 *1284:A2 *201:8 5.86416e-05 -20 *1306:A *1285:A_N 5.52302e-05 -21 *137:35 *1266:B 6.86784e-05 -22 *137:40 *1266:B 1.16455e-05 -23 *139:34 *1266:B 9.72196e-05 -24 *140:33 *1266:B 9.85599e-06 -25 *146:7 *1285:A_N 5.52302e-05 -26 *162:42 *1266:B 5.23735e-05 -27 *163:39 *1266:B 1.93639e-05 -28 *163:39 *201:8 5.41797e-05 -29 *164:15 *1244:B 1.01417e-05 -*RES -1 *1243:Y *201:7 18.0321 -2 *201:7 *201:8 1.64286 -3 *201:8 *1266:B 20.675 -4 *201:8 *1285:A_N 14.3357 -5 *201:7 *1244:B 17.1929 -*END - -*D_NET *202 0.00505394 -*CONN -*I *1255:A I *D sky130_fd_sc_hd__inv_2 -*I *1246:A I *D sky130_fd_sc_hd__nor2_2 -*I *1276:B I *D sky130_fd_sc_hd__nand2_2 -*I *1244:X O *D sky130_fd_sc_hd__and2b_2 -*CAP -1 *1255:A 0.000498621 -2 *1246:A 0 -3 *1276:B 0.000126815 -4 *1244:X 7.23452e-05 -5 *202:20 0.000838051 -6 *202:5 0.00053859 -7 *1255:A *1261:A 5.14767e-05 -8 *1255:A *1272:A2 1.83606e-05 -9 *1255:A *1273:B1 1.05731e-05 -10 *1255:A *1289:A1 0.000140908 -11 *1255:A *1293:A 4.35597e-05 -12 *1255:A *1304:A1 4.13114e-05 -13 *1255:A *204:8 4.28249e-05 -14 *1255:A *210:33 0 -15 *1255:A *213:37 0.000287938 -16 *1255:A *218:56 0.000149133 -17 *1255:A *220:21 0 -18 *1255:A *301:13 0.00056551 -19 *1255:A *330:21 0.000312732 -20 *1255:A *363:17 0.000189267 -21 *1276:B *1244:A_N 0 -22 *1276:B *1276:A 0.000271345 -23 *1276:B *216:25 1.78275e-05 -24 *1276:B *309:21 0.000271345 -25 *202:5 *309:21 5.67624e-05 -26 *202:20 *1244:A_N 2.20608e-05 -27 *202:20 *1286:B2 7.40536e-05 -28 *202:20 *1304:A1 2.39664e-05 -29 *202:20 *212:13 3.96289e-05 -30 *202:20 *221:39 2.06178e-05 -31 *202:20 *221:50 4.33438e-05 -32 *202:20 *309:21 0.000269428 -33 *1244:B *1276:B 1.55485e-05 -*RES -1 *1244:X *202:5 10.2464 -2 *202:5 *1276:B 21.925 -3 *202:5 *202:20 10.25 -4 *202:20 *1246:A 13.8 -5 *202:20 *1255:A 27.2499 -*END - -*D_NET *203 0.0029001 -*CONN -*I *1246:B I *D sky130_fd_sc_hd__nor2_2 -*I *1261:B I *D sky130_fd_sc_hd__nand2_2 -*I *1245:X O *D sky130_fd_sc_hd__and3_2 -*CAP -1 *1246:B 0.000354642 -2 *1261:B 0 -3 *1245:X 0.000247222 -4 *203:10 0.000601864 -5 *1246:B *1261:A 1.44428e-05 -6 *1246:B *1272:B2 1.98839e-05 -7 *1246:B *212:13 0.000142032 -8 *1246:B *215:15 7.8613e-05 -9 *1246:B *218:56 4.97144e-05 -10 *1246:B *221:39 0.000475795 -11 *1246:B *317:18 4.18606e-05 -12 *203:10 *1261:A 9.25014e-06 -13 *203:10 *232:10 0 -14 *406:DIODE *1246:B 4.22135e-06 -15 *1272:A1 *1246:B 2.57188e-05 -16 *30:23 *203:10 1.92905e-05 -17 *37:24 *203:10 6.26205e-05 -18 *162:63 *1246:B 2.32644e-05 -19 *162:68 *1246:B 0.000607657 -20 *164:15 *1246:B 0.000122006 -21 *164:15 *203:10 0 -*RES -1 *1245:X *203:10 17.2286 -2 *203:10 *1261:B 13.8 -3 *203:10 *1246:B 25.0694 -*END - -*D_NET *204 0.00151682 -*CONN -*I *1304:A2 I *D sky130_fd_sc_hd__o2111a_2 -*I *1249:A I *D sky130_fd_sc_hd__and2_2 -*I *1246:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1304:A2 0.000236282 -2 *1249:A 0 -3 *1246:Y 0.00012093 -4 *204:8 0.000357212 -5 *1304:A2 *1304:B1 2.06178e-05 -6 *1304:A2 *1304:C1 2.12647e-05 -7 *1304:A2 *1307:A3 0 -8 *1304:A2 *206:60 4.84073e-05 -9 *1304:A2 *330:21 0.000337651 -10 *204:8 *1272:A2 3.27093e-05 -11 *204:8 *1304:A1 2.41104e-05 -12 *204:8 *215:15 5.71472e-05 -13 *204:8 *301:13 5.52302e-05 -14 *413:DIODE *1304:A2 1.06305e-05 -15 *1255:A *204:8 4.28249e-05 -16 *16:8 *1304:A2 5.50052e-05 -17 *197:66 *1304:A2 9.68015e-05 -*RES -1 *1246:Y *204:8 16.2821 -2 *204:8 *1249:A 13.8 -3 *204:8 *1304:A2 22.6983 -*END - -*D_NET *205 0.00275494 -*CONN -*I *1264:A2 I *D sky130_fd_sc_hd__a21oi_2 -*I *1248:B I *D sky130_fd_sc_hd__nor2_2 -*I *1247:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1264:A2 0.00014935 -2 *1248:B 0.000379621 -3 *1247:Y 7.27898e-05 -4 *205:5 0.000601761 -5 *1248:B *1303:C1 2.89016e-05 -6 *1248:B *206:8 0.000303264 -7 *1248:B *372:13 0.000128581 -8 *205:5 *372:13 3.98517e-05 -9 *1264:A1 *1264:A2 3.4846e-06 -10 *1279:A1 *1248:B 0.000135494 -11 *1302:A1 *1248:B 5.49489e-05 -12 *1302:A1 *205:5 9.41642e-05 -13 *1302:A2 *1248:B 2.36643e-05 -14 *1302:A2 *1264:A2 0.000110627 -15 *1302:B1 *1248:B 6.64554e-05 -16 *30:17 *1248:B 1.74352e-05 -17 *146:19 *1264:A2 3.12359e-05 -18 *163:39 *1248:B 0.000307064 -19 *163:39 *205:5 0.000206248 -*RES -1 *1247:Y *205:5 11.4786 -2 *205:5 *1248:B 19.8357 -3 *205:5 *1264:A2 20.7821 -*END - -*D_NET *206 0.00944659 -*CONN -*I *1258:C1 I *D sky130_fd_sc_hd__o211a_2 -*I *1270:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *1249:B I *D sky130_fd_sc_hd__and2_2 -*I *1262:B1 I *D sky130_fd_sc_hd__o211a_2 -*I *1277:A2 I *D sky130_fd_sc_hd__a32o_2 -*I *1254:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1278:B1 I *D sky130_fd_sc_hd__o21a_2 -*I *1248:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1258:C1 0.000232785 -2 *1270:B1 9.27384e-05 -3 *1249:B 0 -4 *1262:B1 0 -5 *1277:A2 2.00911e-05 -6 *1254:B1 0.000419702 -7 *1278:B1 0 -8 *1248:Y 0.000212885 -9 *206:68 0.00045972 -10 *206:60 0.000498821 -11 *206:46 0.000597696 -12 *206:30 0.000279735 -13 *206:17 0.000554658 -14 *206:8 0.000321268 -15 *1254:B1 *1415:TE 0.000151071 -16 *1254:B1 *1418:A 5.33005e-05 -17 *1254:B1 *287:8 0.000135028 -18 *1254:B1 *301:13 9.41642e-05 -19 *1254:B1 *322:8 5.33005e-05 -20 *1254:B1 *330:21 2.44318e-05 -21 *1254:B1 *331:17 0.000172238 -22 *1258:C1 *1258:B1 6.84663e-05 -23 *1258:C1 *1272:B1 7.25275e-05 -24 *1258:C1 *1273:B1 0.000177013 -25 *1258:C1 *1273:B2 7.53968e-05 -26 *1258:C1 *220:26 0.000224582 -27 *1258:C1 *330:21 2.89967e-05 -28 *1270:B1 *1270:B2 0.000138019 -29 *1277:A2 *1277:A3 0 -30 *206:8 *1278:A2 4.6025e-06 -31 *206:8 *1303:C1 0 -32 *206:8 *372:13 5.33005e-05 -33 *206:17 *1278:A2 6.15485e-05 -34 *206:17 *1303:C1 0 -35 *206:30 *212:19 1.36824e-05 -36 *206:30 *301:13 0.000142856 -37 *206:46 *1262:A2 1.25581e-05 -38 *206:46 *1262:C1 1.68226e-05 -39 *206:46 *1277:A3 0.00022918 -40 *206:46 *1303:A2 8.88922e-06 -41 *206:46 *1303:B1 2.23592e-05 -42 *206:46 *212:13 4.15032e-05 -43 *206:46 *212:17 2.80804e-05 -44 *206:46 *212:19 1.63801e-05 -45 *206:46 *301:13 0.000693755 -46 *206:60 *1304:B1 0 -47 *206:60 *1304:C1 5.74499e-06 -48 *206:60 *1304:D1 9.84729e-05 -49 *206:60 *1307:A3 0 -50 *206:60 *207:5 8.10737e-06 -51 *206:60 *207:15 7.51573e-05 -52 *206:60 *212:13 4.76227e-07 -53 *206:60 *213:37 0.000566251 -54 *206:60 *301:13 3.82227e-06 -55 *206:68 *207:5 5.10039e-05 -56 *206:68 *213:37 2.60984e-05 -57 *206:68 *220:26 4.11572e-05 -58 *206:68 *330:21 4.35421e-05 -59 *1248:B *206:8 0.000303264 -60 *1262:A1 *206:46 0.000249262 -61 *1267:A1 *206:17 0 -62 *1268:A1 *1254:B1 1.38323e-05 -63 *1277:B1 *1254:B1 0.000257619 -64 *1277:B1 *1277:A2 8.62943e-06 -65 *1277:B1 *206:30 7.51769e-05 -66 *1303:A1 *206:46 0.000151396 -67 *1304:A2 *206:60 4.84073e-05 -68 *16:8 *206:60 2.74737e-05 -69 *17:7 *1258:C1 5.25192e-06 -70 *17:7 *1270:B1 5.96181e-05 -71 *30:17 *206:8 0.000184797 -72 *37:24 *1258:C1 0.000232075 -73 *197:28 *206:8 0.000188555 -74 *197:28 *206:17 0.000138165 -75 *197:53 *206:17 7.90803e-05 -*RES -1 *1248:Y *206:8 20.175 -2 *206:8 *1278:B1 13.8 -3 *206:8 *206:17 7.35714 -4 *206:17 *1254:B1 27.3714 -5 *206:17 *206:30 1.35714 -6 *206:30 *1277:A2 9.72857 -7 *206:30 *206:46 9.85268 -8 *206:46 *1262:B1 9.3 -9 *206:46 *206:60 17.5759 -10 *206:60 *1249:B 9.3 -11 *206:60 *206:68 3.01786 -12 *206:68 *1270:B1 20.2464 -13 *206:68 *1258:C1 25.2821 -*END - -*D_NET *207 0.0143463 -*CONN -*I *1293:A I *D sky130_fd_sc_hd__and3_2 -*I *1301:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *1281:B1 I *D sky130_fd_sc_hd__o21a_2 -*I *1267:B1 I *D sky130_fd_sc_hd__o21a_2 -*I *1250:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1249:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1293:A 0.000614103 -2 *1301:B1 9.56419e-05 -3 *1281:B1 2.48683e-05 -4 *1267:B1 0.000110454 -5 *1250:B1 0.00182047 -6 *1249:X 9.9885e-05 -7 *207:52 0.000709745 -8 *207:20 0.00234756 -9 *207:15 0.00130197 -10 *207:5 0.00096035 -11 *1250:B1 *1415:TE 0.000151071 -12 *1250:B1 *1416:A 0.000184745 -13 *1250:B1 *1456:A 7.64448e-05 -14 *1250:B1 *330:21 4.88637e-05 -15 *1250:B1 *331:17 0.000124521 -16 *1250:B1 *335:7 4.55625e-05 -17 *1267:B1 *404:DIODE 5.33005e-05 -18 *1267:B1 *287:8 5.33005e-05 -19 *1281:B1 *224:14 8.23597e-06 -20 *1281:B1 *309:21 9.50968e-06 -21 *1281:B1 *317:18 9.50968e-06 -22 *1293:A *1272:A2 0.000685121 -23 *1293:A *1289:A1 0.00010351 -24 *1293:A *330:21 3.09417e-05 -25 *1293:A *363:17 8.22514e-05 -26 *1301:B1 *1304:C1 0.000119316 -27 *1301:B1 *220:58 0 -28 *207:15 *1263:B1 0.000345407 -29 *207:15 *1267:A2 2.74554e-05 -30 *207:15 *1304:A1 0.000246947 -31 *207:15 *1304:B1 1.18064e-05 -32 *207:15 *1304:C1 0.000185408 -33 *207:15 *216:25 1.78465e-05 -34 *207:15 *218:8 0 -35 *207:15 *218:9 8.79752e-05 -36 *207:15 *218:56 0.000164598 -37 *207:15 *221:39 4.22135e-06 -38 *207:15 *221:50 9.97669e-05 -39 *207:20 *1263:B1 0.000654174 -40 *207:20 *330:21 0 -41 *413:DIODE *207:15 0.000187935 -42 *1255:A *1293:A 4.35597e-05 -43 *1263:A1 *1250:B1 0.0001967 -44 *1267:A1 *1281:B1 4.65158e-06 -45 *1273:A2 *1293:A 9.59532e-06 -46 *1277:B1 *1250:B1 2.60984e-05 -47 *15:14 *1267:B1 0.000261309 -48 *15:14 *207:20 9.8045e-05 -49 *36:16 *1250:B1 0.000173449 -50 *36:27 *1267:B1 0.000253645 -51 *36:27 *207:20 0.000110841 -52 *164:15 *207:15 0.000345563 -53 *197:28 *207:20 4.75152e-06 -54 *197:66 *1293:A 0.000779082 -55 *206:60 *207:5 8.10737e-06 -56 *206:60 *207:15 7.51573e-05 -57 *206:68 *207:5 5.10039e-05 -*RES -1 *1249:X *207:5 10.6571 -2 *207:5 *207:15 17.1394 -3 *207:15 *207:20 5.957 -4 *207:20 *1250:B1 47.8357 -5 *207:20 *1267:B1 17.8 -6 *207:15 *1281:B1 17.6832 -7 *207:5 *207:52 4.5 -8 *207:52 *1301:B1 15.4429 -9 *207:52 *1293:A 26.8296 -*END - -*D_NET *208 0.00506585 -*CONN -*I *1253:B1 I *D sky130_fd_sc_hd__a211o_2 -*I *1312:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1288:B I *D sky130_fd_sc_hd__and2_2 -*I *1251:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1253:B1 4.28075e-05 -2 *1312:B1 0.000669256 -3 *1288:B 0.000356123 -4 *1251:Y 0 -5 *208:34 0.00101722 -6 *208:4 0.00066128 -7 *1253:B1 *1253:C1 0.000125731 -8 *1253:B1 *1296:B 4.98872e-05 -9 *1253:B1 *221:24 1.99099e-05 -10 *1288:B *1245:C 0 -11 *1288:B *211:40 8.76359e-05 -12 *1288:B *232:10 3.98858e-05 -13 *1288:B *294:18 0.000260574 -14 *1312:B1 *1379:A 9.41114e-05 -15 *1312:B1 *1379:TE 0.000183726 -16 *1312:B1 *1383:A 0.000144075 -17 *1312:B1 *295:8 5.71472e-05 -18 *208:34 *1166:A 9.60939e-05 -19 *208:34 *1253:C1 0.000100147 -20 *208:34 *1296:B 2.90311e-05 -21 *208:34 *1297:C 1.14483e-05 -22 *208:34 *1314:B2 2.83284e-06 -23 *208:34 *211:40 3.68799e-05 -24 *208:34 *234:11 0.000265441 -25 *208:34 *294:18 3.97677e-05 -26 *1172:B *1288:B 0.000192932 -27 *1251:A *1288:B 8.6229e-06 -28 *1296:C *208:34 1.21258e-05 -29 *1298:A1 *1312:B1 2.79421e-05 -30 *25:26 *1312:B1 9.06222e-05 -31 *25:26 *208:34 0.000270729 -32 *140:8 *208:34 2.89114e-05 -33 *163:57 *1288:B 4.29471e-05 -*RES -1 *1251:Y *208:4 9.3 -2 *208:4 *1288:B 25.2286 -3 *208:4 *208:34 12.6786 -4 *208:34 *1312:B1 25.3 -5 *208:34 *1253:B1 15.4429 -*END - -*D_NET *209 0.00203375 -*CONN -*I *1313:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *1253:C1 I *D sky130_fd_sc_hd__a211o_2 -*I *1252:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1313:B1 8.10527e-05 -2 *1253:C1 0.000353679 -3 *1252:Y 7.48106e-05 -4 *209:5 0.000509542 -5 *1253:C1 *1189:A 0 -6 *1253:C1 *1314:B2 0 -7 *1253:C1 *220:81 3.00686e-05 -8 *1313:B1 *1189:A 0.000192631 -9 *409:DIODE *1253:C1 0 -10 *417:DIODE *1253:C1 2.30116e-06 -11 *417:DIODE *1313:B1 0.000140243 -12 *417:DIODE *209:5 0.000224582 -13 *1253:B1 *1253:C1 0.000125731 -14 *1313:B2 *1313:B1 5.49995e-05 -15 *92:43 *1253:C1 0 -16 *92:54 *1253:C1 4.56209e-05 -17 *139:5 *1313:B1 2.51343e-06 -18 *139:10 *1313:B1 2.33028e-05 -19 *139:10 *209:5 2.51343e-06 -20 *163:8 *1253:C1 3.53217e-05 -21 *163:8 *209:5 3.4689e-05 -22 *208:34 *1253:C1 0.000100147 -*RES -1 *1252:Y *209:5 11.4786 -2 *209:5 *1253:C1 25.1036 -3 *209:5 *1313:B1 11.8893 -*END - -*D_NET *210 0.00568992 -*CONN -*I *1298:A2 I *D sky130_fd_sc_hd__o221a_2 -*I *1258:A2 I *D sky130_fd_sc_hd__o211a_2 -*I *1272:A2 I *D sky130_fd_sc_hd__o22a_2 -*I *1255:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1298:A2 0.00044148 -2 *1258:A2 0.000158485 -3 *1272:A2 0.000728251 -4 *1255:Y 0 -5 *210:33 0.00066376 -6 *210:5 0.000792046 -7 *1258:A2 *1258:B1 1.00733e-05 -8 *1258:A2 *213:5 5.65955e-05 -9 *1272:A2 *1272:B2 3.14048e-05 -10 *1272:A2 *1289:B2 7.26533e-05 -11 *1272:A2 *1304:A1 0 -12 *1272:A2 *213:37 0.000725049 -13 *1272:A2 *215:15 9.71197e-05 -14 *1272:A2 *220:21 2.81204e-05 -15 *1272:A2 *301:13 2.38243e-05 -16 *1272:A2 *330:21 2.70401e-05 -17 *1298:A2 *1292:B1 4.43256e-05 -18 *1298:A2 *1297:A 8.3037e-05 -19 *1298:A2 *1298:B1 0.000284864 -20 *1298:A2 *1298:B2 1.81043e-05 -21 *1298:A2 *213:5 0.000126426 -22 *1298:A2 *235:7 5.52238e-05 -23 *210:33 *232:10 0.000100474 -24 *406:DIODE *1258:A2 7.92229e-06 -25 *1255:A *1272:A2 1.83606e-05 -26 *1255:A *210:33 0 -27 *1258:A1 *1258:A2 4.57852e-05 -28 *1260:A *1298:A2 0.000309273 -29 *1273:A2 *1272:A2 4.19624e-06 -30 *1293:A *1272:A2 0.000685121 -31 *197:66 *1272:A2 1.81922e-05 -32 *204:8 *1272:A2 3.27093e-05 -*RES -1 *1255:Y *210:5 13.8 -2 *210:5 *1272:A2 29.3379 -3 *210:5 *210:33 5.83929 -4 *210:33 *1258:A2 12.2107 -5 *210:33 *1298:A2 18.4786 -*END - -*D_NET *211 0.00715428 -*CONN -*I *1295:C I *D sky130_fd_sc_hd__nand3_2 -*I *1310:C I *D sky130_fd_sc_hd__nand3_2 -*I *1257:B I *D sky130_fd_sc_hd__nand2_2 -*I *1284:B1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1256:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1295:C 5.64594e-05 -2 *1310:C 0 -3 *1257:B 8.2294e-05 -4 *1284:B1 0.000117165 -5 *1256:X 0 -6 *211:40 0.00130652 -7 *211:10 0.000291577 -8 *211:4 0.00134218 -9 *1257:B *212:5 3.45371e-05 -10 *1257:B *212:13 1.21258e-05 -11 *1257:B *212:55 3.14193e-05 -12 *1257:B *275:67 1.73088e-05 -13 *1257:B *372:13 0.00018372 -14 *1284:B1 *1247:A 9.71197e-05 -15 *1284:B1 *212:13 0.000178847 -16 *1284:B1 *275:67 8.10177e-05 -17 *1284:B1 *372:13 2.24079e-05 -18 *211:10 *220:12 9.80405e-05 -19 *211:40 *1166:A 9.60939e-05 -20 *211:40 *234:11 0.00014743 -21 *211:40 *236:8 6.13706e-05 -22 *211:40 *294:18 9.22103e-06 -23 *211:40 *372:13 0.000835516 -24 *410:DIODE *1295:C 0.000172021 -25 *410:DIODE *211:40 4.43299e-05 -26 *417:DIODE *211:40 3.56825e-05 -27 *1172:B *211:40 0.000148838 -28 *1243:B *1284:B1 5.33005e-05 -29 *1251:A *211:40 0.000180777 -30 *1257:A *1257:B 3.89749e-05 -31 *1288:A *211:40 1.21258e-05 -32 *1288:B *211:40 8.76359e-05 -33 *1296:C *211:40 0.000268403 -34 *1298:A1 *1295:C 0.000162473 -35 *1298:A1 *211:40 4.38058e-05 -36 *26:9 *211:40 2.90908e-05 -37 *30:17 *1257:B 3.45371e-05 -38 *37:20 *211:10 5.52238e-05 -39 *37:20 *211:40 0.000297855 -40 *93:55 *211:40 0 -41 *162:63 *211:10 9.80405e-05 -42 *163:18 *211:40 7.11377e-05 -43 *201:7 *1284:B1 0.000180777 -44 *208:34 *211:40 3.68799e-05 -*RES -1 *1256:X *211:4 9.3 -2 *211:4 *211:10 10.875 -3 *211:10 *1284:B1 13.1214 -4 *211:10 *1257:B 12.2286 -5 *211:4 *211:40 27.5392 -6 *211:40 *1310:C 13.8 -7 *211:40 *1295:C 16.05 -*END - -*D_NET *212 0.00694694 -*CONN -*I *1258:B1 I *D sky130_fd_sc_hd__o211a_2 -*I *1272:B1 I *D sky130_fd_sc_hd__o22a_2 -*I *1262:A2 I *D sky130_fd_sc_hd__o211a_2 -*I *1303:A2 I *D sky130_fd_sc_hd__o211a_2 -*I *1277:A1 I *D sky130_fd_sc_hd__a32o_2 -*I *1278:A2 I *D sky130_fd_sc_hd__o21a_2 -*I *1257:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1258:B1 9.7352e-05 -2 *1272:B1 0.000121195 -3 *1262:A2 2.1345e-05 -4 *1303:A2 3.70474e-05 -5 *1277:A1 0 -6 *1278:A2 8.8368e-05 -7 *1257:Y 2.61307e-05 -8 *212:55 0.000729535 -9 *212:19 0.000387253 -10 *212:17 0.000757613 -11 *212:13 0.00110346 -12 *212:5 0.00119756 -13 *1272:B1 *1272:B2 1.51184e-05 -14 *1278:A2 *1303:C1 0 -15 *1303:A2 *1277:A3 1.15381e-06 -16 *212:13 *1262:C1 8.07313e-05 -17 *212:13 *1272:B2 0.000225616 -18 *212:13 *1286:B2 0.000115494 -19 *212:13 *215:15 0.000149963 -20 *212:13 *275:60 6.18576e-05 -21 *212:13 *301:13 2.24763e-05 -22 *212:19 *1277:A3 4.14591e-05 -23 *212:19 *1303:B1 2.78576e-05 -24 *212:19 *1303:C1 5.03772e-05 -25 *212:55 *1273:B1 0.000136388 -26 *212:55 *220:12 0 -27 *212:55 *372:13 9.55875e-05 -28 *1243:B *212:13 5.1588e-05 -29 *1246:B *212:13 0.000142032 -30 *1257:A *212:5 1.73088e-05 -31 *1257:A *212:55 9.60875e-05 -32 *1257:B *212:5 3.45371e-05 -33 *1257:B *212:13 1.21258e-05 -34 *1257:B *212:55 3.14193e-05 -35 *1258:A2 *1258:B1 1.00733e-05 -36 *1258:C1 *1258:B1 6.84663e-05 -37 *1258:C1 *1272:B1 7.25275e-05 -38 *1262:A1 *212:17 0.000117808 -39 *1277:B1 *212:19 8.64516e-07 -40 *1284:B1 *212:13 0.000178847 -41 *1303:A1 *212:17 8.2003e-05 -42 *17:7 *212:55 0.000114854 -43 *37:24 *212:55 5.31349e-05 -44 *144:22 *212:55 1.36181e-05 -45 *162:63 *212:13 3.13634e-05 -46 *202:20 *212:13 3.96289e-05 -47 *206:8 *1278:A2 4.6025e-06 -48 *206:17 *1278:A2 6.15485e-05 -49 *206:30 *212:19 1.36824e-05 -50 *206:46 *1262:A2 1.25581e-05 -51 *206:46 *1303:A2 8.88922e-06 -52 *206:46 *212:13 4.15032e-05 -53 *206:46 *212:17 2.80804e-05 -54 *206:46 *212:19 1.63801e-05 -55 *206:60 *212:13 4.76227e-07 -*RES -1 *1257:Y *212:5 10.0321 -2 *212:5 *212:13 22.3393 -3 *212:13 *212:17 5.48214 -4 *212:17 *212:19 3.82143 -5 *212:19 *1278:A2 19.9429 -6 *212:19 *1277:A1 9.3 -7 *212:17 *1303:A2 10.117 -8 *212:13 *1262:A2 9.72857 -9 *212:5 *212:55 17.5357 -10 *212:55 *1272:B1 12.8714 -11 *212:55 *1258:B1 11.3357 -*END - -*D_NET *213 0.0121479 -*CONN -*I *1259:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1297:A I *D sky130_fd_sc_hd__and3_2 -*I *1258:X O *D sky130_fd_sc_hd__o211a_2 -*CAP -1 *1259:B1 9.63121e-05 -2 *1297:A 0.000427069 -3 *1258:X 5.33606e-05 -4 *213:37 0.00276403 -5 *213:5 0.00314814 -6 *1259:B1 *1414:TE 0.000182683 -7 *1259:B1 *1419:A 0.000153727 -8 *1297:A *1292:B1 9.71197e-05 -9 *1297:A *1297:B 0.00012051 -10 *1297:A *1298:B1 1.98839e-05 -11 *1297:A *1298:C1 9.41642e-05 -12 *1297:A *221:24 6.05161e-06 -13 *1297:A *221:26 0.000112995 -14 *1297:A *234:29 0.000122089 -15 *213:37 *404:DIODE 2.54304e-06 -16 *213:37 *1260:B 4.68462e-05 -17 *213:37 *1267:A2 0.000447821 -18 *213:37 *1282:B1 0.000140088 -19 *213:37 *1304:D1 0.000206272 -20 *213:37 *1414:A 0.000143633 -21 *213:37 *1419:A 1.32293e-05 -22 *213:37 *220:21 1.59371e-05 -23 *213:37 *226:132 8.43535e-06 -24 *213:37 *232:10 5.43512e-05 -25 *213:37 *233:27 4.11173e-05 -26 *213:37 *287:8 0.000464662 -27 *213:37 *301:13 7.84399e-05 -28 *213:37 *328:9 0.00022887 -29 *213:37 *330:21 8.194e-05 -30 *406:DIODE *213:5 4.60311e-05 -31 *1254:A2 *213:37 6.69792e-05 -32 *1255:A *213:37 0.000287938 -33 *1258:A2 *213:5 5.65955e-05 -34 *1260:A *213:37 5.33072e-05 -35 *1271:A *1297:A 0.000216755 -36 *1272:A2 *213:37 0.000725049 -37 *1298:A2 *1297:A 8.3037e-05 -38 *1298:A2 *213:5 0.000126426 -39 *31:11 *1259:B1 0.000315423 -40 *31:11 *213:37 3.31828e-05 -41 *197:66 *213:37 8.23878e-05 -42 *200:39 *1259:B1 9.00671e-05 -43 *206:60 *213:37 0.000566251 -44 *206:68 *213:37 2.60984e-05 -*RES -1 *1258:X *213:5 11.0679 -2 *213:5 *1297:A 27.0857 -3 *213:5 *213:37 49.0596 -4 *213:37 *1259:B1 13.9429 -*END - -*D_NET *214 0.00645933 -*CONN -*I *1287:B I *D sky130_fd_sc_hd__nand2_2 -*I *1261:A I *D sky130_fd_sc_hd__nand2_2 -*I *1260:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1287:B 0.000678795 -2 *1261:A 0.00101069 -3 *1260:Y 0 -4 *214:4 0.00168949 -5 *1261:A *1260:B 6.90348e-06 -6 *1261:A *1289:B1 0.000398453 -7 *1261:A *1300:B1 9.58189e-06 -8 *1261:A *221:39 5.52238e-05 -9 *1261:A *233:27 0.000518235 -10 *1261:A *357:13 9.84729e-05 -11 *1287:B *1289:B1 0.000559303 -12 *1287:B *226:102 7.58841e-05 -13 *406:DIODE *1261:A 5.33005e-05 -14 *409:DIODE *1287:B 0 -15 *1246:B *1261:A 1.44428e-05 -16 *1255:A *1261:A 5.14767e-05 -17 *1273:A2 *1261:A 0.000114847 -18 *1287:A *1287:B 0.000242347 -19 *1289:A2 *1261:A 5.20232e-05 -20 *1298:A1 *1287:B 0.00018372 -21 *17:7 *1261:A 0 -22 *18:5 *1261:A 2.1871e-05 -23 *24:22 *1261:A 6.86181e-05 -24 *37:24 *1261:A 0.000466194 -25 *197:69 *1287:B 8.02057e-05 -26 *203:10 *1261:A 9.25014e-06 -*RES -1 *1260:Y *214:4 9.3 -2 *214:4 *1261:A 45.8 -3 *214:4 *1287:B 29.9071 -*END - -*D_NET *215 0.00462164 -*CONN -*I *1270:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *1304:B1 I *D sky130_fd_sc_hd__o2111a_2 -*I *1262:C1 I *D sky130_fd_sc_hd__o211a_2 -*I *1261:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1270:B2 0.000206735 -2 *1304:B1 0.000112736 -3 *1262:C1 4.3507e-05 -4 *1261:Y 0.000304191 -5 *215:15 0.00036191 -6 *215:8 0.000716593 -7 *1262:C1 *301:13 7.77652e-05 -8 *1270:B2 *1270:A1 5.33005e-05 -9 *1270:B2 *220:21 0.000200196 -10 *1270:B2 *220:58 9.33179e-05 -11 *1270:B2 *233:27 5.49995e-05 -12 *215:8 *1273:B1 0.000227539 -13 *215:8 *220:12 7.14469e-05 -14 *215:8 *220:21 0.000180517 -15 *215:8 *221:39 0.000226237 -16 *215:8 *275:60 0.000484156 -17 *215:15 *1272:B2 1.90737e-05 -18 *215:15 *301:13 0.000283958 -19 *406:DIODE *215:8 1.21289e-05 -20 *413:DIODE *1304:B1 0.000141639 -21 *1246:B *215:15 7.8613e-05 -22 *1270:B1 *1270:B2 0.000138019 -23 *1272:A2 *215:15 9.71197e-05 -24 *1304:A2 *1304:B1 2.06178e-05 -25 *17:7 *1270:B2 4.8803e-05 -26 *17:7 *215:8 5.0047e-05 -27 *204:8 *215:15 5.71472e-05 -28 *206:46 *1262:C1 1.68226e-05 -29 *206:60 *1304:B1 0 -30 *207:15 *1304:B1 1.18064e-05 -31 *212:13 *1262:C1 8.07313e-05 -32 *212:13 *215:15 0.000149963 -*RES -1 *1261:Y *215:8 21.9071 -2 *215:8 *215:15 10.5179 -3 *215:15 *1262:C1 11.0857 -4 *215:15 *1304:B1 20.55 -5 *215:8 *1270:B2 18.7107 -*END - -*D_NET *216 0.0119921 -*CONN -*I *1263:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1273:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *1286:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *1262:X O *D sky130_fd_sc_hd__o211a_2 -*CAP -1 *1263:B1 0.00254529 -2 *1273:B1 0.000380376 -3 *1286:B1 1.78392e-05 -4 *1262:X 8.97581e-05 -5 *216:25 0.000846197 -6 *216:6 0.00308303 -7 *1263:B1 *1456:A 2.34423e-05 -8 *1263:B1 *330:21 0 -9 *1273:B1 *1273:B2 0.000150985 -10 *1273:B1 *1286:A1 3.82411e-05 -11 *1273:B1 *220:26 8.25843e-06 -12 *1273:B1 *221:39 4.21517e-05 -13 *1273:B1 *275:60 1.38323e-05 -14 *1273:B1 *309:21 0.000169359 -15 *1273:B1 *317:18 1.39841e-05 -16 *1273:B1 *330:21 9.41642e-05 -17 *216:6 *1307:A3 0.000177806 -18 *216:6 *217:22 7.75518e-05 -19 *216:25 *1244:A_N 5.52238e-05 -20 *216:25 *1276:A 0.000142856 -21 *216:25 *1307:A3 1.90936e-05 -22 *216:25 *217:22 2.06112e-05 -23 *216:25 *218:8 0 -24 *216:25 *309:21 0.000508582 -25 *216:25 *317:18 8.01028e-05 -26 *406:DIODE *1273:B1 4.13496e-05 -27 *1244:B *216:25 2.64924e-05 -28 *1255:A *1273:B1 1.05731e-05 -29 *1258:C1 *1273:B1 0.000177013 -30 *1276:B *216:25 1.78275e-05 -31 *1286:A2 *1273:B1 0.000242607 -32 *17:7 *1273:B1 2.34027e-05 -33 *30:17 *1263:B1 0.000488438 -34 *31:11 *1263:B1 0.000264287 -35 *36:27 *1263:B1 0.000101601 -36 *37:24 *1273:B1 5.14012e-05 -37 *164:15 *216:25 0.000243677 -38 *165:23 *1263:B1 0.000323302 -39 *207:15 *1263:B1 0.000345407 -40 *207:15 *216:25 1.78465e-05 -41 *207:20 *1263:B1 0.000654174 -42 *212:55 *1273:B1 0.000136388 -43 *215:8 *1273:B1 0.000227539 -*RES -1 *1262:X *216:6 16.2018 -2 *216:6 *216:25 24.1696 -3 *216:25 *1286:B1 9.72857 -4 *216:25 *1273:B1 28.8893 -5 *216:6 *1263:B1 26.7244 -*END - -*D_NET *217 0.0077946 -*CONN -*I *1307:A1 I *D sky130_fd_sc_hd__a32o_2 -*I *1265:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1264:Y O *D sky130_fd_sc_hd__a21oi_2 -*CAP -1 *1307:A1 0.00030899 -2 *1265:B1 0.00117608 -3 *1264:Y 0.000709277 -4 *217:22 0.00219435 -5 *1265:B1 *1409:A 0.000357271 -6 *1265:B1 *1429:A 0.000139146 -7 *1265:B1 *1429:TE 0.000251821 -8 *1265:B1 *1435:TE 9.40222e-05 -9 *1265:B1 *343:10 3.78085e-05 -10 *1265:B1 *344:13 0.00032991 -11 *1307:A1 *1307:A2 9.54798e-06 -12 *1307:A1 *226:132 4.15526e-05 -13 *217:22 *1282:B1 9.63738e-06 -14 *217:22 *1304:D1 6.93074e-05 -15 *217:22 *1307:A3 0.000252037 -16 *217:22 *1435:Z 1.90936e-05 -17 *217:22 *218:8 7.80714e-06 -18 *217:22 *294:18 0.000172303 -19 *399:DIODE *1307:A1 1.34355e-05 -20 *1191:B *217:22 0.000308592 -21 *1267:A1 *217:22 0 -22 *1275:A2 *217:22 0.000212646 -23 *36:27 *1265:B1 5.73264e-05 -24 *36:27 *1307:A1 4.70015e-05 -25 *37:20 *217:22 5.96516e-05 -26 *146:7 *217:22 0.000136958 -27 *165:23 *217:22 0.000206643 -28 *197:53 *1265:B1 0.000270646 -29 *197:66 *1307:A1 0.000203565 -30 *216:6 *217:22 7.75518e-05 -31 *216:25 *217:22 2.06112e-05 -*RES -1 *1264:Y *217:22 35.0225 -2 *217:22 *1265:B1 37.6792 -3 *217:22 *1307:A1 18.4139 -*END - -*D_NET *218 0.0114151 -*CONN -*I *1292:A2 I *D sky130_fd_sc_hd__o22a_2 -*I *1290:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *1281:A2 I *D sky130_fd_sc_hd__o21a_2 -*I *1302:B2 I *D sky130_fd_sc_hd__o22a_2 -*I *1267:A2 I *D sky130_fd_sc_hd__o21a_2 -*I *1266:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1292:A2 1.51778e-05 -2 *1290:A_N 8.15e-05 -3 *1281:A2 1.99536e-05 -4 *1302:B2 0.000105373 -5 *1267:A2 0.00051107 -6 *1266:Y 0.000698919 -7 *218:56 0.000957233 -8 *218:38 0.000439077 -9 *218:9 0.000992987 -10 *218:8 0.00172764 -11 *1267:A2 *1282:B1 3.09304e-05 -12 *1267:A2 *287:8 0.000401791 -13 *1267:A2 *330:21 6.73932e-05 -14 *1281:A2 *309:21 5.33005e-05 -15 *1281:A2 *317:18 1.28171e-05 -16 *1290:A_N *1260:B 8.44271e-06 -17 *1290:A_N *233:11 0.000130963 -18 *1290:A_N *271:31 3.47641e-06 -19 *1292:A2 *1260:B 1.11775e-05 -20 *1292:A2 *233:11 4.38058e-05 -21 *218:8 *1307:A3 0.000500748 -22 *218:9 *330:21 9.70862e-05 -23 *218:38 *1282:B1 0.000109434 -24 *218:38 *224:14 5.55644e-05 -25 *218:56 *221:39 7.47348e-05 -26 *218:56 *301:13 0.00113426 -27 *218:56 *330:21 0.00022156 -28 *405:DIODE *1267:A2 3.58869e-05 -29 *1191:B *218:8 0 -30 *1244:B *218:8 0 -31 *1246:B *218:56 4.97144e-05 -32 *1255:A *218:56 0.000149133 -33 *1267:A1 *1267:A2 4.25186e-05 -34 *1267:A1 *1302:B2 1.65046e-05 -35 *1267:A1 *218:38 3.43988e-06 -36 *1292:A1 *1290:A_N 0.000129383 -37 *1292:A1 *1292:A2 1.1594e-05 -38 *1296:C *1290:A_N 1.11775e-05 -39 *1302:B1 *1302:B2 6.26207e-05 -40 *137:27 *218:8 2.29031e-05 -41 *137:40 *218:8 5.93172e-05 -42 *162:42 *218:8 1.5077e-05 -43 *162:68 *218:56 0.001116 -44 *163:39 *218:8 0.000103432 -45 *164:15 *218:8 1.31235e-05 -46 *164:15 *218:56 1.45117e-05 -47 *165:23 *1302:B2 0.000129381 -48 *165:23 *218:38 0.000187267 -49 *207:15 *1267:A2 2.74554e-05 -50 *207:15 *218:8 0 -51 *207:15 *218:9 8.79752e-05 -52 *207:15 *218:56 0.000164598 -53 *213:37 *1267:A2 0.000447821 -54 *216:25 *218:8 0 -55 *217:22 *218:8 7.80714e-06 -*RES -1 *1266:Y *218:8 29.9332 -2 *218:8 *218:9 0.4794 -3 *218:9 *1267:A2 24.7369 -4 *218:9 *218:38 8.54393 -5 *218:38 *1302:B2 16.05 -6 *218:38 *1281:A2 14.3357 -7 *218:8 *218:56 6.62793 -8 *218:56 *1290:A_N 15.8982 -9 *218:56 *1292:A2 14.3804 -*END - -*D_NET *219 0.00156869 -*CONN -*I *1268:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1267:X O *D sky130_fd_sc_hd__o21a_2 -*CAP -1 *1268:B1 0.000274709 -2 *1267:X 0.000274709 -3 *1268:B1 *404:DIODE 5.50052e-05 -4 *1268:B1 *1427:A 0.000139907 -5 *1268:B1 *331:12 4.43256e-05 -6 *1268:B1 *350:10 5.52238e-05 -7 *1277:B1 *1268:B1 9.63697e-05 -8 *14:9 *1268:B1 5.74562e-05 -9 *15:14 *1268:B1 0.000492814 -10 *21:26 *1268:B1 7.81709e-05 -*RES -1 *1267:X *1268:B1 35.9929 -*END - -*D_NET *220 0.0117462 -*CONN -*I *1313:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *1314:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *1270:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *1301:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *1289:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *1273:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *1286:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *1269:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1313:A1 8.39365e-05 -2 *1314:A1 2.25507e-05 -3 *1270:A1 5.05993e-05 -4 *1301:A1 4.84466e-05 -5 *1289:A1 0.000367287 -6 *1273:A1 0 -7 *1286:A1 3.10988e-05 -8 *1269:X 0 -9 *220:81 0.00113423 -10 *220:58 0.000241135 -11 *220:26 0.000692493 -12 *220:21 0.000692129 -13 *220:12 0.000975806 -14 *220:4 0.00174761 -15 *1270:A1 *233:27 6.40584e-05 -16 *1286:A1 *317:18 0.0001399 -17 *1289:A1 *1289:B1 5.33005e-05 -18 *1289:A1 *1289:B2 0.000153049 -19 *1289:A1 *1300:B1 4.00349e-05 -20 *1289:A1 *233:27 0.000100152 -21 *1289:A1 *330:21 1.67699e-05 -22 *1301:A1 *1304:C1 7.2266e-05 -23 *1301:A1 *233:27 4.77002e-05 -24 *1313:A1 *246:18 1.07719e-05 -25 *220:12 *273:47 0.000268049 -26 *220:12 *372:13 5.79892e-05 -27 *220:21 *301:13 4.00349e-05 -28 *220:21 *330:21 1.90936e-05 -29 *220:26 *330:21 2.13481e-06 -30 *220:81 *1314:B1 0.000137639 -31 *220:81 *246:18 8.25843e-06 -32 *417:DIODE *220:81 5.72807e-05 -33 *1182:A3 *220:81 0.000118774 -34 *1193:A *220:12 0 -35 *1210:A2 *220:12 5.60463e-06 -36 *1210:A2 *220:81 0.000140057 -37 *1253:C1 *220:81 3.00686e-05 -38 *1255:A *1289:A1 0.000140908 -39 *1255:A *220:21 0 -40 *1256:B *220:12 8.4233e-05 -41 *1258:C1 *220:26 0.000224582 -42 *1270:A2 *1270:A1 0.000164649 -43 *1270:A2 *1301:A1 0.000226272 -44 *1270:B2 *1270:A1 5.33005e-05 -45 *1270:B2 *220:21 0.000200196 -46 *1270:B2 *220:58 9.33179e-05 -47 *1272:A1 *220:21 6.47471e-05 -48 *1272:A2 *220:21 2.81204e-05 -49 *1273:A2 *1289:A1 1.46576e-05 -50 *1273:B1 *1286:A1 3.82411e-05 -51 *1273:B1 *220:26 8.25843e-06 -52 *1283:C1 *220:12 0 -53 *1286:A2 *1286:A1 7.13237e-05 -54 *1293:A *1289:A1 0.00010351 -55 *1301:B1 *220:58 0 -56 *25:26 *220:81 0.000718872 -57 *29:10 *1313:A1 9.96487e-05 -58 *139:34 *220:12 0.000182799 -59 *139:34 *220:81 0.000107754 -60 *139:83 *1313:A1 9.8126e-05 -61 *144:22 *220:12 0 -62 *162:63 *220:12 0.000231272 -63 *162:63 *220:21 7.32947e-05 -64 *163:18 *220:81 0.000383635 -65 *163:26 *220:81 0.000231311 -66 *170:19 *220:12 0 -67 *170:19 *220:81 2.57223e-05 -68 *206:68 *220:26 4.11572e-05 -69 *211:10 *220:12 9.80405e-05 -70 *212:55 *220:12 0 -71 *213:37 *220:21 1.59371e-05 -72 *215:8 *220:12 7.14469e-05 -73 *215:8 *220:21 0.000180517 -*RES -1 *1269:X *220:4 9.3 -2 *220:4 *220:12 18.5 -3 *220:12 *1286:A1 15.1571 -4 *220:12 *220:21 5.28571 -5 *220:21 *220:26 8.75 -6 *220:26 *1273:A1 9.3 -7 *220:26 *1289:A1 25.8179 -8 *220:21 *220:58 6.375 -9 *220:58 *1301:A1 11.4786 -10 *220:58 *1270:A1 11.0545 -11 *220:4 *220:81 22.6043 -12 *220:81 *1314:A1 9.72857 -13 *220:81 *1313:A1 20.175 -*END - -*D_NET *221 0.010393 -*CONN -*I *1295:B I *D sky130_fd_sc_hd__nand3_2 -*I *1298:B2 I *D sky130_fd_sc_hd__o221a_2 -*I *1290:B I *D sky130_fd_sc_hd__nand2b_2 -*I *1304:A1 I *D sky130_fd_sc_hd__o2111a_2 -*I *1272:B2 I *D sky130_fd_sc_hd__o22a_2 -*I *1276:A I *D sky130_fd_sc_hd__nand2_2 -*I *1296:B I *D sky130_fd_sc_hd__nand3b_2 -*I *1271:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1295:B 2.23854e-05 -2 *1298:B2 0.000138478 -3 *1290:B 0 -4 *1304:A1 0.000138291 -5 *1272:B2 0.000403832 -6 *1276:A 0.000129253 -7 *1296:B 5.02156e-05 -8 *1271:X 0.00029194 -9 *221:50 0.000590016 -10 *221:39 0.000787569 -11 *221:26 0.00075464 -12 *221:24 0.000473623 -13 *221:12 0.000448799 -14 *221:7 0.00052198 -15 *1276:A *309:21 5.16532e-05 -16 *1276:A *317:18 0.000523464 -17 *1296:B *1297:C 0.000151857 -18 *1298:B2 *1298:B1 5.25507e-05 -19 *1298:B2 *1298:C1 0 -20 *1298:B2 *315:8 0.000148196 -21 *221:24 *1297:B 4.13496e-05 -22 *221:24 *1297:C 0.000209334 -23 *221:26 *1297:B 9.31209e-05 -24 *221:26 *1298:C1 2.89114e-05 -25 *221:39 *1190:B 0.0001399 -26 *221:39 *1298:C1 1.98839e-05 -27 *221:39 *233:11 2.89016e-05 -28 *221:39 *275:60 0.000108025 -29 *406:DIODE *221:39 0.000135479 -30 *409:DIODE *1298:B2 0.000148196 -31 *1246:B *1272:B2 1.98839e-05 -32 *1246:B *221:39 0.000475795 -33 *1253:A1 *221:12 9.60771e-05 -34 *1253:B1 *1296:B 4.98872e-05 -35 *1253:B1 *221:24 1.99099e-05 -36 *1255:A *1304:A1 4.13114e-05 -37 *1261:A *221:39 5.52238e-05 -38 *1271:A *1295:B 0 -39 *1271:A *221:7 0.000203933 -40 *1271:A *221:12 4.35452e-05 -41 *1271:A *221:24 3.63775e-05 -42 *1271:A *221:26 2.42662e-05 -43 *1271:A *221:39 2.95641e-05 -44 *1272:A2 *1272:B2 3.14048e-05 -45 *1272:A2 *1304:A1 0 -46 *1272:B1 *1272:B2 1.51184e-05 -47 *1273:B1 *221:39 4.21517e-05 -48 *1276:B *1276:A 0.000271345 -49 *1297:A *221:24 6.05161e-06 -50 *1297:A *221:26 0.000112995 -51 *1298:A1 *1298:B2 1.3701e-05 -52 *1298:A2 *1298:B2 1.81043e-05 -53 *37:24 *221:39 4.21517e-05 -54 *92:67 *221:24 0 -55 *163:63 *221:39 0.000137983 -56 *164:15 *221:39 0.000709714 -57 *164:47 *221:39 8.41032e-05 -58 *202:20 *1304:A1 2.39664e-05 -59 *202:20 *221:39 2.06178e-05 -60 *202:20 *221:50 4.33438e-05 -61 *204:8 *1304:A1 2.41104e-05 -62 *207:15 *1304:A1 0.000246947 -63 *207:15 *221:39 4.22135e-06 -64 *207:15 *221:50 9.97669e-05 -65 *208:34 *1296:B 2.90311e-05 -66 *212:13 *1272:B2 0.000225616 -67 *215:8 *221:39 0.000226237 -68 *215:15 *1272:B2 1.90737e-05 -69 *216:25 *1276:A 0.000142856 -70 *218:56 *221:39 7.47348e-05 -*RES -1 *1271:X *221:7 13.9607 -2 *221:7 *221:12 8.03571 -3 *221:12 *1296:B 15.7464 -4 *221:12 *221:24 8.71429 -5 *221:24 *221:26 3.41071 -6 *221:26 *221:39 22.1801 -7 *221:39 *1276:A 19.2821 -8 *221:39 *221:50 1.33929 -9 *221:50 *1272:B2 19.425 -10 *221:50 *1304:A1 17.2643 -11 *221:26 *1290:B 9.3 -12 *221:24 *1298:B2 22.05 -13 *221:7 *1295:B 9.72857 -*END - -*D_NET *222 0.000628929 -*CONN -*I *1273:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *1272:X O *D sky130_fd_sc_hd__o22a_2 -*CAP -1 *1273:B2 9.95565e-05 -2 *1272:X 9.95565e-05 -3 *1273:B2 *330:21 1.21258e-05 -4 *1258:C1 *1273:B2 7.53968e-05 -5 *1273:B1 *1273:B2 0.000150985 -6 *17:7 *1273:B2 0.000191309 -*RES -1 *1272:X *1273:B2 30.6893 -*END - -*D_NET *223 0.00079235 -*CONN -*I *1275:B1 I *D sky130_fd_sc_hd__o31a_2 -*I *1274:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *1275:B1 0.000189201 -2 *1274:Y 0.000189201 -3 *1238:A *1275:B1 0.000125057 -4 *37:20 *1275:B1 0.000122047 -5 *197:5 *1275:B1 7.77652e-05 -6 *197:21 *1275:B1 5.33433e-05 -7 *197:28 *1275:B1 3.57366e-05 -*RES -1 *1274:Y *1275:B1 24.0821 -*END - -*D_NET *224 0.00188915 -*CONN -*I *1303:B1 I *D sky130_fd_sc_hd__o211a_2 -*I *1277:A3 I *D sky130_fd_sc_hd__a32o_2 -*I *1276:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1303:B1 1.5955e-05 -2 *1277:A3 6.91821e-05 -3 *1276:Y 0.000350077 -4 *224:14 0.000435214 -5 *1277:A3 *1303:C1 9.71197e-05 -6 *224:14 *1282:B1 8.6229e-06 -7 *224:14 *309:21 0.00022286 -8 *224:14 *317:18 0.000180305 -9 *1267:A1 *224:14 0.00012401 -10 *1277:A2 *1277:A3 0 -11 *1277:B1 *1277:A3 0 -12 *1281:B1 *224:14 8.23597e-06 -13 *1303:A2 *1277:A3 1.15381e-06 -14 *206:46 *1277:A3 0.00022918 -15 *206:46 *1303:B1 2.23592e-05 -16 *212:19 *1277:A3 4.14591e-05 -17 *212:19 *1303:B1 2.78576e-05 -18 *218:38 *224:14 5.55644e-05 -*RES -1 *1276:Y *224:14 24.6214 -2 *224:14 *1277:A3 11.9071 -3 *224:14 *1303:B1 9.83571 -*END - -*D_NET *225 0.000395972 -*CONN -*I *1279:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1278:X O *D sky130_fd_sc_hd__o21a_2 -*CAP -1 *1279:B1 0.00011919 -2 *1278:X 0.00011919 -3 *1279:B1 *309:21 2.89114e-05 -4 *1279:A2 *1279:B1 4.43698e-05 -5 *36:27 *1279:B1 9.25014e-06 -6 *197:28 *1279:B1 7.50601e-05 -*RES -1 *1278:X *1279:B1 30.0821 -*END - -*D_NET *226 0.025826 -*CONN -*I *411:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1309:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *408:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1294:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1300:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1282:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *404:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1312:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *418:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *415:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1317:A I *D sky130_fd_sc_hd__nor2_2 -*I *1318:A I *D sky130_fd_sc_hd__nor2_2 -*I *425:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *424:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1280:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *411:DIODE 0.000527763 -2 *1309:A1 0 -3 *408:DIODE 0 -4 *1294:A1 0 -5 *1300:A1 0 -6 *1282:A1 0 -7 *404:DIODE 0.000573271 -8 *1312:A1 0 -9 *418:DIODE 0.000305423 -10 *415:DIODE 0 -11 *1317:A 0 -12 *1318:A 0 -13 *425:DIODE 0.000105231 -14 *424:DIODE 8.31342e-05 -15 *1280:X 0.00115189 -16 *226:132 0.00194788 -17 *226:114 0.00221589 -18 *226:102 0.00119969 -19 *226:94 0.000617783 -20 *226:88 0.000430081 -21 *226:85 0.00116797 -22 *226:78 0.00141311 -23 *226:64 0.00139582 -24 *226:37 0.000520638 -25 *226:24 0.000659977 -26 *226:17 0.000320496 -27 *226:10 0.000319751 -28 *226:7 0.00145936 -29 *404:DIODE *1282:B1 5.02977e-05 -30 *404:DIODE *287:8 0.000168907 -31 *411:DIODE *1442:A 8.07313e-05 -32 *411:DIODE *1442:TE 0 -33 *411:DIODE *1442:Z 6.05161e-06 -34 *411:DIODE *1443:Z 0 -35 *411:DIODE *1445:TE_B 7.80183e-05 -36 *411:DIODE *1446:A 0 -37 *411:DIODE *246:18 1.70057e-05 -38 *418:DIODE *1459:A 0.000185118 -39 *418:DIODE *1459:B 9.41642e-05 -40 *425:DIODE *1237:A_N 7.80222e-05 -41 *226:7 *1331:B 5.45662e-05 -42 *226:7 *1356:CLK 3.14163e-05 -43 *226:7 *1461:TE 0.000184814 -44 *226:10 *1459:A 0 -45 *226:10 *275:15 0 -46 *226:24 *1459:A 0.000239076 -47 *226:37 *1318:B 0.000341116 -48 *226:37 *1459:A 1.00887e-05 -49 *226:37 *371:72 0.000125542 -50 *226:64 *1459:A 0 -51 *226:64 *275:15 0 -52 *226:78 *1381:A 0 -53 *226:78 *1387:Z 0.000165727 -54 *226:78 *1389:TE_B 0 -55 *226:78 *1459:A 0.000218045 -56 *226:78 *1465:A 5.26184e-05 -57 *226:78 *246:18 9.64695e-05 -58 *226:78 *275:15 0 -59 *226:85 *1378:A 0 -60 *226:85 *1380:A 6.40869e-05 -61 *226:85 *1380:Z 0.000109809 -62 *226:85 *1381:A 0 -63 *226:85 *1449:TE 0 -64 *226:85 *1450:A 0.000125724 -65 *226:85 *246:18 0.000247267 -66 *226:94 *1450:A 0.000187888 -67 *226:94 *1452:A 9.15842e-06 -68 *226:94 *246:18 7.87601e-05 -69 *226:94 *363:17 4.4545e-05 -70 *226:94 *365:11 2.37867e-05 -71 *226:94 *365:15 0.000272055 -72 *226:102 *363:17 0.00028535 -73 *226:114 *1300:B1 6.05161e-06 -74 *226:114 *1400:TE 7.48906e-05 -75 *226:114 *1401:TE 5.33433e-05 -76 *226:114 *1440:A 0.000151294 -77 *226:114 *312:8 0.000100547 -78 *226:114 *315:8 0.000145662 -79 *226:114 *323:15 7.27183e-05 -80 *226:132 *1282:B1 2.12005e-05 -81 *226:132 *1300:B1 5.20669e-05 -82 *226:132 *1304:D1 0.000397553 -83 *226:132 *1307:A2 2.94412e-05 -84 *226:132 *1307:A3 0 -85 *226:132 *1406:A 9.90431e-05 -86 *226:132 *1408:A 0.000170946 -87 *226:132 *1440:A 0.000186132 -88 *226:132 *344:13 0.000351482 -89 *226:132 *351:8 4.27842e-06 -90 *399:DIODE *226:132 2.03039e-05 -91 *405:DIODE *404:DIODE 0.00023044 -92 *407:DIODE *226:88 9.42714e-05 -93 *407:DIODE *226:114 0.000264533 -94 *414:DIODE *226:132 0.000117673 -95 *1106:A *425:DIODE 0.000126439 -96 *1106:A *226:37 7.06479e-05 -97 *1201:B1 *418:DIODE 1.98839e-05 -98 *1235:S *425:DIODE 1.92789e-05 -99 *1267:A1 *404:DIODE 0.000160224 -100 *1267:B1 *404:DIODE 5.33005e-05 -101 *1268:B1 *404:DIODE 5.50052e-05 -102 *1280:A *226:7 0.000101157 -103 *1287:B *226:102 7.58841e-05 -104 *1299:B1 *226:102 0.000113771 -105 *1307:A1 *226:132 4.15526e-05 -106 *1312:A2 *226:78 0 -107 *1356:D *226:7 0.000117543 -108 *1356:RESET_B *226:7 2.88677e-05 -109 *16:8 *226:132 0.000440966 -110 *17:7 *226:132 0.000279152 -111 *19:5 *226:114 0.000208941 -112 *24:31 *226:132 0.000502292 -113 *28:10 *425:DIODE 1.05311e-05 -114 *36:27 *226:132 7.37379e-06 -115 *150:13 *226:24 0.000133152 -116 *150:13 *226:37 6.13903e-05 -117 *150:13 *226:78 0 -118 *197:53 *226:132 1.54716e-05 -119 *197:66 *226:102 0.000308906 -120 *197:69 *226:102 7.80059e-05 -121 *213:37 *404:DIODE 2.54304e-06 -122 *213:37 *226:132 8.43535e-06 -*RES -1 *1280:X *226:7 28.3 -2 *226:7 *226:10 7.05357 -3 *226:10 *424:DIODE 10.2464 -4 *226:10 *226:17 0.946429 -5 *226:17 *226:24 10.2679 -6 *226:24 *226:37 11.8929 -7 *226:37 *425:DIODE 20.7821 -8 *226:37 *1318:A 9.3 -9 *226:24 *1317:A 13.8 -10 *226:17 *415:DIODE 9.3 -11 *226:7 *226:64 7.05357 -12 *226:64 *418:DIODE 13.1214 -13 *226:64 *226:78 22.1429 -14 *226:78 *1312:A1 13.8 -15 *226:78 *226:85 11.0536 -16 *226:85 *226:88 6.67857 -17 *226:88 *226:94 15.4821 -18 *226:94 *226:102 17.2679 -19 *226:102 *226:114 31.9643 -20 *226:114 *226:132 44.3393 -21 *226:132 *404:DIODE 19.4607 -22 *226:132 *1282:A1 9.3 -23 *226:114 *1300:A1 9.3 -24 *226:102 *1294:A1 9.3 -25 *226:94 *408:DIODE 9.3 -26 *226:88 *1309:A1 9.3 -27 *226:85 *411:DIODE 23.5143 -*END - -*D_NET *227 0.00119733 -*CONN -*I *1282:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1281:X O *D sky130_fd_sc_hd__o21a_2 -*CAP -1 *1282:B1 0.000324523 -2 *1281:X 0.000324523 -3 *1282:B1 *1304:D1 0.000118899 -4 *1282:B1 *317:18 5.49544e-05 -5 *404:DIODE *1282:B1 5.02977e-05 -6 *1267:A2 *1282:B1 3.09304e-05 -7 *165:23 *1282:B1 4.22135e-06 -8 *213:37 *1282:B1 0.000140088 -9 *217:22 *1282:B1 9.63738e-06 -10 *218:38 *1282:B1 0.000109434 -11 *224:14 *1282:B1 8.6229e-06 -12 *226:132 *1282:B1 2.12005e-05 -*RES -1 *1281:X *1282:B1 33.9929 -*END - -*D_NET *228 0.000624225 -*CONN -*I *1285:B I *D sky130_fd_sc_hd__and3b_2 -*I *1283:X O *D sky130_fd_sc_hd__a211o_2 -*CAP -1 *1285:B 0.000169718 -2 *1283:X 0.000169718 -3 *1285:B *1168:A 7.83587e-05 -4 *1285:B *294:18 0.00018077 -5 *93:8 *1285:B 2.56601e-05 -*RES -1 *1283:X *1285:B 30.4036 -*END - -*D_NET *229 0.000381156 -*CONN -*I *1285:C I *D sky130_fd_sc_hd__and3b_2 -*I *1284:Y O *D sky130_fd_sc_hd__o21ai_2 -*CAP -1 *1285:C 5.5357e-05 -2 *1284:Y 5.5357e-05 -3 *1285:C *1244:A_N 0.000122091 -4 *1306:A *1285:C 0.000122091 -5 *37:20 *1285:C 1.64343e-05 -6 *146:7 *1285:C 9.82585e-06 -*RES -1 *1284:Y *1285:C 29.408 -*END - -*D_NET *230 0.000988685 -*CONN -*I *1286:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *1285:X O *D sky130_fd_sc_hd__and3b_2 -*CAP -1 *1286:B2 0.000231972 -2 *1285:X 0.000231972 -3 *1286:B2 *1168:A 0.000187289 -4 *1286:B2 *1244:A_N 2.92343e-05 -5 *1243:B *1286:B2 3.46786e-05 -6 *37:20 *1286:B2 2.59355e-05 -7 *93:8 *1286:B2 2.8266e-06 -8 *146:7 *1286:B2 5.52302e-05 -9 *202:20 *1286:B2 7.40536e-05 -10 *212:13 *1286:B2 0.000115494 -*RES -1 *1285:X *1286:B2 33.1179 -*END - -*D_NET *231 0.00306969 -*CONN -*I *1289:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *1287:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1289:B1 0.00054418 -2 *1287:Y 0.00054418 -3 *1289:B1 *1260:B 0.000185408 -4 *1289:B1 *1299:A1 0.000195721 -5 *1289:B1 *233:27 1.98839e-05 -6 *407:DIODE *1289:B1 2.71789e-05 -7 *1261:A *1289:B1 0.000398453 -8 *1287:B *1289:B1 0.000559303 -9 *1289:A1 *1289:B1 5.33005e-05 -10 *1289:A2 *1289:B1 3.19083e-05 -11 *24:22 *1289:B1 0.00045186 -12 *197:69 *1289:B1 5.83088e-05 -*RES -1 *1287:Y *1289:B1 43.4036 -*END - -*D_NET *232 0.00393001 -*CONN -*I *1300:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1289:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *1288:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1300:B1 0.000370859 -2 *1289:B2 0.00014622 -3 *1288:X 0.000495051 -4 *232:10 0.00101213 -5 *1300:B1 *1440:A 9.83388e-05 -6 *1300:B1 *233:27 0.0002137 -7 *1300:B1 *337:8 0 -8 *232:10 *1170:A 5.31122e-05 -9 *232:10 *233:27 6.6399e-05 -10 *232:10 *275:60 9.00763e-05 -11 *232:10 *294:18 5.52302e-05 -12 *1172:B *232:10 5.33005e-05 -13 *1247:B *232:10 0 -14 *1251:A *232:10 0.000119899 -15 *1258:A1 *232:10 0.000165058 -16 *1260:A *232:10 6.35519e-05 -17 *1261:A *1300:B1 9.58189e-06 -18 *1272:A2 *1289:B2 7.26533e-05 -19 *1288:B *232:10 3.98858e-05 -20 *1289:A1 *1289:B2 0.000153049 -21 *1289:A1 *1300:B1 4.00349e-05 -22 *18:5 *1300:B1 2.34216e-05 -23 *21:11 *1300:B1 2.27129e-05 -24 *21:26 *1300:B1 0.000189735 -25 *37:24 *232:10 0 -26 *163:57 *232:10 0.000108948 -27 *163:63 *232:10 5.41195e-05 -28 *203:10 *232:10 0 -29 *210:33 *232:10 0.000100474 -30 *213:37 *232:10 5.43512e-05 -31 *226:114 *1300:B1 6.05161e-06 -32 *226:132 *1300:B1 5.20669e-05 -*RES -1 *1288:X *232:10 25.0143 -2 *232:10 *1289:B2 17.3 -3 *232:10 *1300:B1 22.1571 -*END - -*D_NET *233 0.00690365 -*CONN -*I *1298:C1 I *D sky130_fd_sc_hd__o221a_2 -*I *1293:B I *D sky130_fd_sc_hd__and3_2 -*I *1301:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *1304:C1 I *D sky130_fd_sc_hd__o2111a_2 -*I *1290:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *1298:C1 0.000301598 -2 *1293:B 0 -3 *1301:B2 2.25507e-05 -4 *1304:C1 0.000379317 -5 *1290:Y 0 -6 *233:27 0.00108483 -7 *233:11 0.00088476 -8 *233:4 0.000503393 -9 *1298:C1 *1298:B1 0.000149229 -10 *1298:C1 *234:29 9.8045e-05 -11 *1304:C1 *1409:A 1.12669e-05 -12 *233:11 *1260:B 3.20609e-05 -13 *233:11 *330:21 5.52238e-05 -14 *233:27 *1260:B 9.96698e-06 -15 *233:27 *330:21 0.00022459 -16 *233:27 *357:13 1.38323e-05 -17 *413:DIODE *1304:C1 0 -18 *1261:A *233:27 0.000518235 -19 *1270:A1 *233:27 6.40584e-05 -20 *1270:A2 *233:27 2.18263e-05 -21 *1270:B2 *233:27 5.49995e-05 -22 *1271:A *1298:C1 9.41642e-05 -23 *1271:A *233:11 5.33005e-05 -24 *1287:A *1298:C1 9.69778e-05 -25 *1287:A *233:11 6.30482e-05 -26 *1289:A1 *233:27 0.000100152 -27 *1289:B1 *233:27 1.98839e-05 -28 *1290:A_N *233:11 0.000130963 -29 *1292:A2 *233:11 4.38058e-05 -30 *1292:B2 *233:11 5.55935e-05 -31 *1296:C *233:11 8.53839e-05 -32 *1297:A *1298:C1 9.41642e-05 -33 *1298:B2 *1298:C1 0 -34 *1300:B1 *233:27 0.0002137 -35 *1301:A1 *1304:C1 7.2266e-05 -36 *1301:A1 *233:27 4.77002e-05 -37 *1301:A2 *1304:C1 3.20759e-05 -38 *1301:B1 *1304:C1 0.000119316 -39 *1304:A2 *1304:C1 2.12647e-05 -40 *16:8 *1304:C1 9.67754e-05 -41 *17:7 *1304:C1 0 -42 *24:31 *1301:B2 0 -43 *24:31 *1304:C1 0.000141941 -44 *24:31 *233:27 0.00048708 -45 *37:24 *233:27 2.79421e-05 -46 *206:60 *1304:C1 5.74499e-06 -47 *207:15 *1304:C1 0.000185408 -48 *213:37 *233:27 4.11173e-05 -49 *221:26 *1298:C1 2.89114e-05 -50 *221:39 *1298:C1 1.98839e-05 -51 *221:39 *233:11 2.89016e-05 -52 *232:10 *233:27 6.6399e-05 -*RES -1 *1290:Y *233:4 9.3 -2 *233:4 *233:11 13.8393 -3 *233:11 *233:27 25.7321 -4 *233:27 *1304:C1 25.0143 -5 *233:27 *1301:B2 9.72857 -6 *233:11 *1293:B 9.3 -7 *233:4 *1298:C1 24.0321 -*END - -*D_NET *234 0.00360634 -*CONN -*I *1298:B1 I *D sky130_fd_sc_hd__o221a_2 -*I *1292:B1 I *D sky130_fd_sc_hd__o22a_2 -*I *1296:A_N I *D sky130_fd_sc_hd__nand3b_2 -*I *1308:A3 I *D sky130_fd_sc_hd__o31a_2 -*I *1291:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1298:B1 0.000124689 -2 *1292:B1 2.44993e-05 -3 *1296:A_N 0 -4 *1308:A3 9.25443e-05 -5 *1291:Y 4.1975e-05 -6 *234:29 0.00035886 -7 *234:11 0.000288134 -8 *234:7 0.000447236 -9 *1308:A3 *1308:B1 7.43578e-06 -10 *1308:A3 *294:23 5.33005e-05 -11 *234:11 *236:8 0 -12 *234:29 *1297:B 6.02043e-05 -13 *234:29 *236:8 0 -14 *390:DIODE *234:7 7.02611e-05 -15 *391:DIODE *1308:A3 0.000166281 -16 *409:DIODE *1308:A3 0.000164566 -17 *1287:A *234:29 0.00010379 -18 *1296:C *234:11 5.96516e-05 -19 *1297:A *1292:B1 9.71197e-05 -20 *1297:A *1298:B1 1.98839e-05 -21 *1297:A *234:29 0.000122089 -22 *1298:A2 *1292:B1 4.43256e-05 -23 *1298:A2 *1298:B1 0.000284864 -24 *1298:B2 *1298:B1 5.25507e-05 -25 *1298:C1 *1298:B1 0.000149229 -26 *1298:C1 *234:29 9.8045e-05 -27 *1308:A2 *1308:A3 1.39726e-05 -28 *30:23 *234:7 0.000137983 -29 *93:55 *234:11 7.9524e-05 -30 *93:55 *234:29 3.04495e-05 -31 *208:34 *234:11 0.000265441 -32 *211:40 *234:11 0.00014743 -*RES -1 *1291:Y *234:7 15.1571 -2 *234:7 *234:11 9.33929 -3 *234:11 *1308:A3 21.0857 -4 *234:11 *1296:A_N 9.3 -5 *234:7 *234:29 9.48214 -6 *234:29 *1292:B1 10.2464 -7 *234:29 *1298:B1 13.1973 -*END - -*D_NET *235 0.00247996 -*CONN -*I *1293:C I *D sky130_fd_sc_hd__and3_2 -*I *1297:B I *D sky130_fd_sc_hd__and3_2 -*I *1292:X O *D sky130_fd_sc_hd__o22a_2 -*CAP -1 *1293:C 0.000291682 -2 *1297:B 0.000437272 -3 *1292:X 3.92359e-05 -4 *235:7 0.00076819 -5 *1293:C *236:5 7.22686e-05 -6 *1293:C *317:24 7.52701e-05 -7 *1293:C *323:15 3.2923e-05 -8 *1293:C *363:17 4.86074e-05 -9 *1297:B *236:8 4.14832e-05 -10 *1297:B *317:24 0.000202513 -11 *1260:A *1293:C 8.76142e-05 -12 *1260:A *1297:B 4.46186e-06 -13 *1287:A *1297:B 8.03349e-06 -14 *1297:A *1297:B 0.00012051 -15 *1298:A2 *235:7 5.52238e-05 -16 *221:24 *1297:B 4.13496e-05 -17 *221:26 *1297:B 9.31209e-05 -18 *234:29 *1297:B 6.02043e-05 -*RES -1 *1292:X *235:7 14.3357 -2 *235:7 *1297:B 21.1214 -3 *235:7 *1293:C 18.9429 -*END - -*D_NET *236 0.00442455 -*CONN -*I *1294:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1308:B1 I *D sky130_fd_sc_hd__o31a_2 -*I *1314:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *1293:X O *D sky130_fd_sc_hd__and3_2 -*CAP -1 *1294:B1 0 -2 *1308:B1 3.25833e-05 -3 *1314:B1 0.000359851 -4 *1293:X 9.55395e-05 -5 *236:8 0.00085618 -6 *236:5 0.000559286 -7 *1308:B1 *294:23 2.61099e-05 -8 *1314:B1 *1314:B2 0.000156389 -9 *1314:B1 *246:18 2.60984e-05 -10 *236:5 *363:17 0.00026454 -11 *236:8 *315:8 0.000513731 -12 *236:8 *317:24 0.000359692 -13 *391:DIODE *1314:B1 5.14314e-05 -14 *391:DIODE *236:8 0.000399445 -15 *409:DIODE *236:8 1.11813e-05 -16 *1252:A *1314:B1 5.30196e-05 -17 *1293:C *236:5 7.22686e-05 -18 *1297:B *236:8 4.14832e-05 -19 *1308:A2 *1308:B1 9.60939e-05 -20 *1308:A2 *1314:B1 0.000190281 -21 *1308:A3 *1308:B1 7.43578e-06 -22 *25:26 *236:8 3.0912e-05 -23 *93:55 *236:8 0 -24 *197:66 *236:5 6.05161e-06 -25 *198:41 *236:8 1.59371e-05 -26 *211:40 *236:8 6.13706e-05 -27 *220:81 *1314:B1 0.000137639 -28 *234:11 *236:8 0 -29 *234:29 *236:8 0 -*RES -1 *1293:X *236:5 11.8893 -2 *236:5 *236:8 17.0714 -3 *236:8 *1314:B1 20.55 -4 *236:8 *1308:B1 14.7464 -5 *236:5 *1294:B1 9.3 -*END - -*D_NET *237 0.0015509 -*CONN -*I *1299:A1 I *D sky130_fd_sc_hd__a32o_2 -*I *1295:Y O *D sky130_fd_sc_hd__nand3_2 -*CAP -1 *1299:A1 0.000272545 -2 *1295:Y 0.000272545 -3 *1299:A1 *1299:A2 0.000309143 -4 *1299:A1 *1311:A2 8.18231e-05 -5 *1299:A1 *1311:A3 0.000197984 -6 *1289:B1 *1299:A1 0.000195721 -7 *1298:A1 *1299:A1 2.14378e-05 -8 *1311:B1 *1299:A1 0.000199698 -9 *197:69 *1299:A1 0 -*RES -1 *1295:Y *1299:A1 35.6179 -*END - -*D_NET *238 0.00212864 -*CONN -*I *1297:C I *D sky130_fd_sc_hd__and3_2 -*I *1314:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *1296:Y O *D sky130_fd_sc_hd__nand3b_2 -*CAP -1 *1297:C 0.000190054 -2 *1314:B2 0.000192957 -3 *1296:Y 0 -4 *238:5 0.000383011 -5 *1314:B2 *246:18 0.000185643 -6 *1314:B2 *294:23 7.32955e-05 -7 *409:DIODE *1297:C 0.000442294 -8 *409:DIODE *1314:B2 0.000122091 -9 *1253:C1 *1314:B2 0 -10 *1271:A *1297:C 0 -11 *1296:B *1297:C 0.000151857 -12 *1308:A2 *1314:B2 7.43578e-06 -13 *1314:B1 *1314:B2 0.000156389 -14 *208:34 *1297:C 1.14483e-05 -15 *208:34 *1314:B2 2.83284e-06 -16 *221:24 *1297:C 0.000209334 -*RES -1 *1296:Y *238:5 13.8 -2 *238:5 *1314:B2 19.3 -3 *238:5 *1297:C 19.6929 -*END - -*D_NET *239 0.00154176 -*CONN -*I *1299:A2 I *D sky130_fd_sc_hd__a32o_2 -*I *1311:A1 I *D sky130_fd_sc_hd__a32o_2 -*I *1297:X O *D sky130_fd_sc_hd__and3_2 -*CAP -1 *1299:A2 0.000197212 -2 *1311:A1 0 -3 *1297:X 0.000169819 -4 *239:9 0.000367031 -5 *1299:A2 *1299:A3 0.000148989 -6 *239:9 *1311:A3 9.90367e-05 -7 *410:DIODE *1299:A2 2.0279e-05 -8 *1271:A *239:9 9.90367e-05 -9 *1298:A1 *1299:A2 0.000101545 -10 *1299:A1 *1299:A2 0.000309143 -11 *1311:B1 *1299:A2 1.59935e-05 -12 *1311:B2 *1299:A2 3.6692e-06 -13 *1311:B2 *239:9 1.00073e-05 -*RES -1 *1297:X *239:9 12.7464 -2 *239:9 *1311:A1 9.3 -3 *239:9 *1299:A2 23.3179 -*END - -*D_NET *240 0.00129988 -*CONN -*I *1299:A3 I *D sky130_fd_sc_hd__a32o_2 -*I *1311:A2 I *D sky130_fd_sc_hd__a32o_2 -*I *1298:X O *D sky130_fd_sc_hd__o221a_2 -*CAP -1 *1299:A3 0.000167018 -2 *1311:A2 9.21139e-05 -3 *1298:X 7.22029e-05 -4 *240:5 0.000331335 -5 *410:DIODE *1299:A3 7.57345e-05 -6 *1287:A *1299:A3 8.46829e-05 -7 *1287:A *240:5 0.000139907 -8 *1298:A1 *1299:A3 2.42516e-05 -9 *1299:A1 *1311:A2 8.18231e-05 -10 *1299:A2 *1299:A3 0.000148989 -11 *197:69 *1311:A2 8.18231e-05 -*RES -1 *1298:X *240:5 10.6571 -2 *240:5 *1311:A2 20.55 -3 *240:5 *1299:A3 22.0143 -*END - -*D_NET *241 0.00105281 -*CONN -*I *1303:C1 I *D sky130_fd_sc_hd__o211a_2 -*I *1302:X O *D sky130_fd_sc_hd__o22a_2 -*CAP -1 *1303:C1 0.00027754 -2 *1302:X 0.00027754 -3 *1303:C1 *372:13 5.33005e-05 -4 *1248:B *1303:C1 2.89016e-05 -5 *1267:A1 *1303:C1 7.87495e-05 -6 *1277:A3 *1303:C1 9.71197e-05 -7 *1278:A2 *1303:C1 0 -8 *163:39 *1303:C1 0.000189277 -9 *206:8 *1303:C1 0 -10 *206:17 *1303:C1 0 -11 *212:19 *1303:C1 5.03772e-05 -*RES -1 *1302:X *1303:C1 33.7607 -*END - -*D_NET *242 0.00129458 -*CONN -*I *1304:D1 I *D sky130_fd_sc_hd__o2111a_2 -*I *1303:X O *D sky130_fd_sc_hd__o211a_2 -*CAP -1 *1304:D1 0.000178188 -2 *1303:X 0.000178188 -3 *1282:B1 *1304:D1 0.000118899 -4 *16:8 *1304:D1 4.77002e-05 -5 *206:60 *1304:D1 9.84729e-05 -6 *213:37 *1304:D1 0.000206272 -7 *217:22 *1304:D1 6.93074e-05 -8 *226:132 *1304:D1 0.000397553 -*RES -1 *1303:X *1304:D1 34.1893 -*END - -*D_NET *243 0.000431574 -*CONN -*I *1307:A2 I *D sky130_fd_sc_hd__a32o_2 -*I *1304:X O *D sky130_fd_sc_hd__o2111a_2 -*CAP -1 *1307:A2 0.000109457 -2 *1304:X 0.000109457 -3 *1307:A1 *1307:A2 9.54798e-06 -4 *16:8 *1307:A2 9.84729e-05 -5 *36:27 *1307:A2 7.51975e-05 -6 *226:132 *1307:A2 2.94412e-05 -*RES -1 *1304:X *1307:A2 29.5821 -*END - -*D_NET *244 0.000616331 -*CONN -*I *1306:B I *D sky130_fd_sc_hd__nand2_2 -*I *1305:Y O *D sky130_fd_sc_hd__o21ai_2 -*CAP -1 *1306:B 8.78467e-05 -2 *1305:Y 8.78467e-05 -3 *1266:B *1306:B 0.000167018 -4 *1306:A *1306:B 5.33005e-05 -5 *146:7 *1306:B 5.33005e-05 -6 *163:39 *1306:B 0.000167018 -*RES -1 *1305:Y *1306:B 30.3857 -*END - -*D_NET *245 0.00289204 -*CONN -*I *1307:A3 I *D sky130_fd_sc_hd__a32o_2 -*I *1306:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1307:A3 0.000596161 -2 *1306:Y 0.000596161 -3 *1307:A3 *1409:A 0.000111082 -4 *1307:A3 *1435:Z 2.39301e-05 -5 *1307:A3 *344:13 0.000308913 -6 *414:DIODE *1307:A3 4.68159e-05 -7 *1304:A2 *1307:A3 0 -8 *1307:B1 *1307:A3 3.28686e-05 -9 *24:31 *1307:A3 0.000137639 -10 *197:53 *1307:A3 4.34049e-05 -11 *197:66 *1307:A3 4.53841e-05 -12 *206:60 *1307:A3 0 -13 *216:6 *1307:A3 0.000177806 -14 *216:25 *1307:A3 1.90936e-05 -15 *217:22 *1307:A3 0.000252037 -16 *218:8 *1307:A3 0.000500748 -17 *226:132 *1307:A3 0 -*RES -1 *1306:Y *1307:A3 42.5643 -*END - -*D_NET *246 0.00439118 -*CONN -*I *1309:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1308:X O *D sky130_fd_sc_hd__o31a_2 -*CAP -1 *1309:B1 0 -2 *1308:X 0.00101426 -3 *246:18 0.00101426 -4 *246:18 *1379:TE 6.88635e-05 -5 *246:18 *1380:TE 0.0001098 -6 *246:18 *1450:A 6.42242e-05 -7 *246:18 *1452:A 0.000194208 -8 *246:18 *1453:A 3.06451e-05 -9 *246:18 *294:23 0.000681946 -10 *246:18 *295:8 7.83462e-05 -11 *246:18 *373:11 0.000221634 -12 *411:DIODE *246:18 1.70057e-05 -13 *421:DIODE *246:18 0.000221641 -14 *1313:A1 *246:18 1.07719e-05 -15 *1314:A2 *246:18 2.10819e-05 -16 *1314:B1 *246:18 2.60984e-05 -17 *1314:B2 *246:18 0.000185643 -18 *25:26 *246:18 0 -19 *220:81 *246:18 8.25843e-06 -20 *226:78 *246:18 9.64695e-05 -21 *226:85 *246:18 0.000247267 -22 *226:94 *246:18 7.87601e-05 -*RES -1 *1308:X *246:18 44.6929 -2 *246:18 *1309:B1 9.3 -*END - -*D_NET *247 0.000976933 -*CONN -*I *1311:A3 I *D sky130_fd_sc_hd__a32o_2 -*I *1310:Y O *D sky130_fd_sc_hd__nand3_2 -*CAP -1 *1311:A3 0.000192787 -2 *1310:Y 0.000192787 -3 *1253:A1 *1311:A3 3.22831e-05 -4 *1299:A1 *1311:A3 0.000197984 -5 *1311:B1 *1311:A3 8.36152e-05 -6 *1311:B2 *1311:A3 3.67142e-05 -7 *92:54 *1311:A3 8.6872e-05 -8 *92:67 *1311:A3 2.28378e-05 -9 *197:69 *1311:A3 3.20153e-05 -10 *239:9 *1311:A3 9.90367e-05 -*RES -1 *1310:Y *1311:A3 33.225 -*END - -*D_NET *248 0.00553393 -*CONN -*I *1324:A I *D sky130_fd_sc_hd__buf_2 -*I *1316:A I *D sky130_fd_sc_hd__buf_2 -*I *1342:B I *D sky130_fd_sc_hd__nor2_2 -*I *1333:A I *D sky130_fd_sc_hd__buf_2 -*I *1344:B I *D sky130_fd_sc_hd__nor2_2 -*I *1343:B I *D sky130_fd_sc_hd__nor2_2 -*I *1315:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1324:A 0.000100289 -2 *1316:A 0 -3 *1342:B 0 -4 *1333:A 9.38528e-05 -5 *1344:B 3.04014e-05 -6 *1343:B 2.13113e-05 -7 *1315:Y 0.000180781 -8 *248:49 0.000100289 -9 *248:35 0.000395654 -10 *248:28 0.000470237 -11 *248:17 0.0010663 -12 *248:5 0.00106956 -13 *1324:A *252:47 0.000274294 -14 *1333:A *252:13 2.19684e-05 -15 *1333:A *252:47 0.000128154 -16 *1333:A *257:53 0 -17 *1344:B *253:69 1.94416e-05 -18 *248:5 *1127:A 4.11756e-05 -19 *248:17 *1343:A 5.49995e-05 -20 *248:17 *253:11 6.19926e-05 -21 *248:17 *253:48 8.56585e-05 -22 *248:17 *253:60 1.47972e-05 -23 *248:28 *253:60 3.23614e-05 -24 *248:35 *1111:A0 0 -25 *248:35 *1326:B 0.000146071 -26 *248:35 *258:17 0.000227547 -27 *248:35 *259:11 0.000146732 -28 *248:35 *259:42 0 -29 *1129:B *248:5 7.88091e-05 -30 *1366:RESET_B *248:5 0.000313208 -31 *1367:RESET_B *248:17 0.000304741 -32 *39:16 *248:5 5.33005e-05 -*RES -1 *1315:Y *248:5 13.9429 -2 *248:5 *1343:B 9.72857 -3 *248:5 *248:17 13 -4 *248:17 *1344:B 10.0321 -5 *248:17 *248:28 7.08929 -6 *248:28 *248:35 11.7143 -7 *248:35 *1333:A 20.55 -8 *248:35 *1342:B 9.3 -9 *248:28 *248:49 4.5 -10 *248:49 *1316:A 9.3 -11 *248:49 *1324:A 11.8893 -*END - -*D_NET *249 0.00860782 -*CONN -*I *1325:A I *D sky130_fd_sc_hd__nor2_2 -*I *1320:A I *D sky130_fd_sc_hd__nor2_2 -*I *1323:A I *D sky130_fd_sc_hd__nor2_2 -*I *1322:A I *D sky130_fd_sc_hd__nor2_2 -*I *1326:A I *D sky130_fd_sc_hd__nor2_2 -*I *1321:A I *D sky130_fd_sc_hd__nor2_2 -*I *1327:A I *D sky130_fd_sc_hd__nor2_2 -*I *1319:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1325:A 4.16892e-05 -2 *1320:A 2.28556e-05 -3 *1323:A 0 -4 *1322:A 2.17274e-05 -5 *1326:A 0.00016422 -6 *1321:A 0.00020415 -7 *1327:A 0.000135942 -8 *1319:X 0.000184685 -9 *249:40 0.00163756 -10 *249:29 0.00148138 -11 *249:25 0.000221673 -12 *249:24 0.000267536 -13 *249:20 0.000342814 -14 *249:5 0.000408285 -15 *1320:A *371:40 5.33005e-05 -16 *1321:A *1321:B 0 -17 *1321:A *256:22 8.90314e-05 -18 *1322:A *1322:B 3.08382e-06 -19 *1325:A *250:38 0.0001871 -20 *1325:A *252:57 0.000230475 -21 *1326:A *1321:B 0 -22 *1326:A *1326:B 5.37741e-06 -23 *1326:A *259:6 0 -24 *1326:A *259:42 0 -25 *1326:A *371:8 0 -26 *1327:A *254:202 7.31131e-05 -27 *1327:A *271:8 7.25873e-06 -28 *249:20 *1331:B 7.98311e-05 -29 *249:20 *250:38 0.000146261 -30 *249:25 *371:40 9.90367e-05 -31 *249:29 *1323:B 3.08382e-06 -32 *249:29 *371:32 0.000178459 -33 *249:29 *371:40 6.58597e-05 -34 *249:40 *254:84 4.8035e-05 -35 *249:40 *257:32 0.00083091 -36 *249:40 *371:24 0.000137183 -37 *249:40 *371:32 7.14951e-05 -38 *1229:A *249:40 2.89114e-05 -39 *1328:A *249:20 0.000170762 -40 *1328:A *249:24 0.000385395 -41 *1349:RESET_B *249:40 9.83388e-05 -42 *1351:RESET_B *249:24 0 -43 *1352:RESET_B *1326:A 0.000103253 -44 *1353:RESET_B *1327:A 5.33005e-05 -45 *1353:RESET_B *249:5 0.000254706 -46 *198:60 *249:20 6.97424e-05 -*RES -1 *1319:X *249:5 11.8893 -2 *249:5 *1327:A 11.9429 -3 *249:5 *249:20 7.66071 -4 *249:20 *249:24 9.48214 -5 *249:24 *249:25 0.946429 -6 *249:25 *249:29 4.66071 -7 *249:29 *249:40 23.9554 -8 *249:40 *1321:A 17.7732 -9 *249:40 *1326:A 17.1125 -10 *249:29 *1322:A 9.72857 -11 *249:25 *1323:A 9.3 -12 *249:24 *1320:A 9.83571 -13 *249:20 *1325:A 15.9786 -*END - -*D_NET *250 0.00753417 -*CONN -*I *1332:B I *D sky130_fd_sc_hd__nor2_2 -*I *1329:B I *D sky130_fd_sc_hd__nor2_2 -*I *1331:B I *D sky130_fd_sc_hd__nor2_2 -*I *1327:B I *D sky130_fd_sc_hd__nor2_2 -*I *1325:B I *D sky130_fd_sc_hd__nor2_2 -*I *1330:B I *D sky130_fd_sc_hd__nor2_2 -*I *1326:B I *D sky130_fd_sc_hd__nor2_2 -*I *1324:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1332:B 0.000199212 -2 *1329:B 0 -3 *1331:B 0.000396238 -4 *1327:B 0 -5 *1325:B 1.9105e-05 -6 *1330:B 1.98239e-05 -7 *1326:B 0.000222763 -8 *1324:X 0 -9 *250:58 0.000280349 -10 *250:38 0.000607107 -11 *250:27 0.000519963 -12 *250:19 0.000898314 -13 *250:16 0.000722777 -14 *250:4 0.000275901 -15 *1325:B *252:57 1.02301e-05 -16 *1326:B *252:47 0.00040086 -17 *1326:B *259:42 0 -18 *1326:B *371:8 0.000230475 -19 *1330:B *251:42 3.49456e-06 -20 *1331:B *271:8 0.000159687 -21 *1332:B *1329:A 0.000184503 -22 *1332:B *251:42 3.40802e-05 -23 *1332:B *253:69 4.18836e-05 -24 *250:19 *251:42 0.000248798 -25 *250:19 *252:47 0.000194363 -26 *250:27 *251:30 0.000184817 -27 *250:27 *251:42 6.58597e-05 -28 *250:27 *252:47 3.88213e-05 -29 *250:27 *252:57 0.00025495 -30 *250:38 *252:57 4.14279e-05 -31 *1107:S *250:16 7.84584e-05 -32 *1107:S *250:58 0.00014852 -33 *1226:B *250:19 0.000185403 -34 *1280:A *1331:B 9.71197e-05 -35 *1325:A *250:38 0.0001871 -36 *1326:A *1326:B 5.37741e-06 -37 *198:60 *1331:B 0.000149662 -38 *226:7 *1331:B 5.45662e-05 -39 *248:35 *1326:B 0.000146071 -40 *249:20 *1331:B 7.98311e-05 -41 *249:20 *250:38 0.000146261 -*RES -1 *1324:X *250:4 9.3 -2 *250:4 *1326:B 24.3714 -3 *250:4 *250:16 5.53571 -4 *250:16 *250:19 15.7143 -5 *250:19 *1330:B 9.72857 -6 *250:19 *250:27 6.28571 -7 *250:27 *1325:B 9.72857 -8 *250:27 *250:38 9.03571 -9 *250:38 *1327:B 13.8 -10 *250:38 *1331:B 21.9071 -11 *250:16 *250:58 6.44643 -12 *250:58 *1329:B 9.3 -13 *250:58 *1332:B 13.5679 -*END - -*D_NET *251 0.00705319 -*CONN -*I *1331:A I *D sky130_fd_sc_hd__nor2_2 -*I *1335:A I *D sky130_fd_sc_hd__nor2_2 -*I *1334:A I *D sky130_fd_sc_hd__nor2_2 -*I *1330:A I *D sky130_fd_sc_hd__nor2_2 -*I *1332:A I *D sky130_fd_sc_hd__nor2_2 -*I *1329:A I *D sky130_fd_sc_hd__nor2_2 -*I *1336:A I *D sky130_fd_sc_hd__nor2_2 -*I *1328:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1331:A 0.000326609 -2 *1335:A 6.65618e-05 -3 *1334:A 0 -4 *1330:A 0 -5 *1332:A 0 -6 *1329:A 3.53453e-05 -7 *1336:A 0 -8 *1328:X 0.000243886 -9 *251:62 0.000439038 -10 *251:42 0.000416528 -11 *251:30 0.000415916 -12 *251:23 0.000709651 -13 *251:15 0.000777941 -14 *251:8 0.000301042 -15 *1329:A *253:69 9.14454e-05 -16 *1331:A *1356:CLK 0 -17 *1335:A *252:57 5.71472e-05 -18 *251:8 *252:70 5.80706e-06 -19 *251:8 *254:138 0 -20 *251:15 *252:70 8.15843e-05 -21 *251:23 *252:47 5.18205e-05 -22 *251:23 *252:57 0.00036462 -23 *251:30 *252:47 0.000125625 -24 *251:42 *1221:A 1.02504e-05 -25 *251:42 *252:47 0.000586897 -26 *251:42 *253:69 0.000446209 -27 *251:62 *252:70 2.94103e-05 -28 *1221:B *251:42 9.24843e-06 -29 *1328:A *1331:A 4.93636e-05 -30 *1328:A *251:8 0.0001164 -31 *1328:A *251:15 5.16396e-05 -32 *1328:A *251:62 2.5236e-05 -33 *1330:B *251:42 3.49456e-06 -34 *1332:B *1329:A 0.000184503 -35 *1332:B *251:42 3.40802e-05 -36 *1351:RESET_B *251:23 0 -37 *1353:D *1331:A 8.06427e-05 -38 *1353:RESET_B *1331:A 6.90255e-05 -39 *1360:RESET_B *251:8 0.000266829 -40 *198:60 *1331:A 7.99147e-05 -41 *250:19 *251:42 0.000248798 -42 *250:27 *251:30 0.000184817 -43 *250:27 *251:42 6.58597e-05 -*RES -1 *1328:X *251:8 18.8179 -2 *251:8 *1336:A 13.8 -3 *251:8 *251:15 1.94643 -4 *251:15 *251:23 22.4643 -5 *251:23 *251:30 2.16071 -6 *251:30 *251:42 21.8036 -7 *251:42 *1329:A 11.0679 -8 *251:42 *1332:A 9.3 -9 *251:30 *1330:A 9.3 -10 *251:23 *1334:A 9.3 -11 *251:15 *251:62 1.03571 -12 *251:62 *1335:A 14.8714 -13 *251:62 *1331:A 20.9071 -*END - -*D_NET *252 0.0133118 -*CONN -*I *1336:B I *D sky130_fd_sc_hd__nor2_2 -*I *1339:B I *D sky130_fd_sc_hd__nor2_2 -*I *1338:B I *D sky130_fd_sc_hd__nor2_2 -*I *1335:B I *D sky130_fd_sc_hd__nor2_2 -*I *1334:B I *D sky130_fd_sc_hd__nor2_2 -*I *1341:B I *D sky130_fd_sc_hd__nor2_2 -*I *1340:B I *D sky130_fd_sc_hd__nor2_2 -*I *1333:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1336:B 0 -2 *1339:B 0.00047347 -3 *1338:B 0 -4 *1335:B 2.654e-05 -5 *1334:B 0 -6 *1341:B 0 -7 *1340:B 0.000193978 -8 *1333:X 0 -9 *252:79 0.00118556 -10 *252:70 0.000837223 -11 *252:57 0.000331365 -12 *252:47 0.00147295 -13 *252:13 0.000783765 -14 *252:4 0.00188305 -15 *1339:B *1104:A 4.13595e-05 -16 *1339:B *1104:B 0.000112418 -17 *1339:B *1360:CLK 0 -18 *1340:B *1341:A 5.52238e-05 -19 *252:13 *1120:A 0.00014285 -20 *252:13 *257:32 1.92905e-05 -21 *252:13 *257:53 3.20153e-05 -22 *252:13 *261:10 7.83366e-05 -23 *252:47 *1342:A 0.000195555 -24 *252:47 *257:32 5.23057e-05 -25 *252:47 *259:6 3.5707e-05 -26 *252:47 *259:42 5.90443e-06 -27 *252:47 *262:8 0.000116285 -28 *252:70 *1362:CLK 0.000103993 -29 *252:70 *254:138 5.80706e-06 -30 *252:79 *1345:CLK 0.000274294 -31 *252:79 *253:69 0 -32 *252:79 *253:71 0 -33 *1121:B *252:13 5.71472e-05 -34 *1226:B *252:47 0.000102197 -35 *1324:A *252:47 0.000274294 -36 *1325:A *252:57 0.000230475 -37 *1325:B *252:57 1.02301e-05 -38 *1326:B *252:47 0.00040086 -39 *1333:A *252:13 2.19684e-05 -40 *1333:A *252:47 0.000128154 -41 *1335:A *252:57 5.71472e-05 -42 *1345:D *252:79 7.32173e-05 -43 *1347:D *1339:B 0 -44 *1359:RESET_B *252:57 3.69047e-06 -45 *1359:RESET_B *252:70 3.32631e-05 -46 *1362:RESET_B *1339:B 7.7017e-05 -47 *1363:RESET_B *1340:B 0.000108848 -48 *1364:RESET_B *252:13 0.000230482 -49 *1365:RESET_B *252:47 6.17134e-05 -50 *29:10 *252:79 0.000528537 -51 *84:26 *1340:B 0.000200406 -52 *97:12 *1340:B 0.000320709 -53 *97:12 *252:13 0.000156885 -54 *250:19 *252:47 0.000194363 -55 *250:27 *252:47 3.88213e-05 -56 *250:27 *252:57 0.00025495 -57 *250:38 *252:57 4.14279e-05 -58 *251:8 *252:70 5.80706e-06 -59 *251:15 *252:70 8.15843e-05 -60 *251:23 *252:47 5.18205e-05 -61 *251:23 *252:57 0.00036462 -62 *251:30 *252:47 0.000125625 -63 *251:42 *252:47 0.000586897 -64 *251:62 *252:70 2.94103e-05 -*RES -1 *1333:X *252:4 9.3 -2 *252:4 *252:13 21.5357 -3 *252:13 *1340:B 24.2821 -4 *252:13 *1341:B 9.3 -5 *252:4 *252:47 37.8571 -6 *252:47 *1334:B 9.3 -7 *252:47 *252:57 7.66071 -8 *252:57 *1335:B 9.72857 -9 *252:57 *252:70 12.5 -10 *252:70 *252:79 23.4643 -11 *252:79 *1338:B 9.3 -12 *252:79 *1339:B 26.5321 -13 *252:70 *1336:B 9.3 -*END - -*D_NET *253 0.0161882 -*CONN -*I *1344:A I *D sky130_fd_sc_hd__nor2_2 -*I *1338:A I *D sky130_fd_sc_hd__nor2_2 -*I *1339:A I *D sky130_fd_sc_hd__nor2_2 -*I *1342:A I *D sky130_fd_sc_hd__nor2_2 -*I *1341:A I *D sky130_fd_sc_hd__nor2_2 -*I *1340:A I *D sky130_fd_sc_hd__nor2_2 -*I *1343:A I *D sky130_fd_sc_hd__nor2_2 -*I *1337:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1344:A 0 -2 *1338:A 0 -3 *1339:A 0.000307778 -4 *1342:A 0.0002077 -5 *1341:A 2.52229e-05 -6 *1340:A 0.000197688 -7 *1343:A 2.03056e-05 -8 *1337:X 0.000519686 -9 *253:71 0.000525884 -10 *253:69 0.00317367 -11 *253:60 0.00315884 -12 *253:48 0.00086951 -13 *253:24 0.00081709 -14 *253:11 0.00087795 -15 *253:8 0.00124168 -16 *1339:A *1362:D 0 -17 *1339:A *266:8 5.34362e-05 -18 *1342:A *259:42 0.000205888 -19 *253:8 *1151:A 0 -20 *253:8 *1151:B 0 -21 *253:8 *264:14 0 -22 *253:24 *1111:A1 0.000126439 -23 *253:24 *1364:CLK 5.63157e-05 -24 *253:24 *254:45 1.46717e-05 -25 *253:24 *254:48 9.9951e-05 -26 *253:24 *262:8 0 -27 *253:69 *1362:D 0 -28 *253:69 *254:190 0.000175126 -29 *253:69 *254:202 0.000687457 -30 *253:69 *271:8 3.42063e-05 -31 *253:69 *371:56 0.000295137 -32 *253:71 *1362:D 0 -33 *1108:A *253:8 0 -34 *1112:A *253:8 0.000131247 -35 *1112:A *253:24 0 -36 *1121:B *253:24 0 -37 *1137:A *253:24 0.000137431 -38 *1215:A *253:8 0 -39 *1329:A *253:69 9.14454e-05 -40 *1332:B *253:69 4.18836e-05 -41 *1340:B *1341:A 5.52238e-05 -42 *1344:B *253:69 1.94416e-05 -43 *1354:RESET_B *253:69 2.11336e-05 -44 *1359:D *253:69 0.000143633 -45 *1361:RESET_B *1339:A 8.39e-05 -46 *1362:RESET_B *1339:A 2.89114e-05 -47 *1362:RESET_B *253:69 0 -48 *1364:D *1340:A 0.000150165 -49 *1364:D *253:24 0.000150625 -50 *1365:D *253:8 0.000136744 -51 *1365:RESET_B *1342:A 0 -52 *1367:D *253:8 7.6644e-05 -53 *1367:RESET_B *253:48 2.8524e-05 -54 *1367:RESET_B *253:60 0.000119485 -55 *29:10 *1339:A 0 -56 *29:10 *253:69 0 -57 *84:26 *1340:A 0 -58 *84:26 *253:24 0 -59 *90:13 *1340:A 0.000188586 -60 *248:17 *1343:A 5.49995e-05 -61 *248:17 *253:11 6.19926e-05 -62 *248:17 *253:48 8.56585e-05 -63 *248:17 *253:60 1.47972e-05 -64 *248:28 *253:60 3.23614e-05 -65 *251:42 *253:69 0.000446209 -66 *252:47 *1342:A 0.000195555 -67 *252:79 *253:69 0 -68 *252:79 *253:71 0 -*RES -1 *1337:X *253:8 29.05 -2 *253:8 *253:11 3.625 -3 *253:11 *1343:A 9.83571 -4 *253:11 *253:24 17.125 -5 *253:24 *1340:A 18.425 -6 *253:24 *1341:A 14.3357 -7 *253:8 *253:48 6.85714 -8 *253:48 *1342:A 23.5857 -9 *253:48 *253:60 4.25 -10 *253:60 *253:69 46.4375 -11 *253:69 *253:71 3.79464 -12 *253:71 *1339:A 19.9071 -13 *253:71 *1338:A 13.8 -14 *253:60 *1344:A 9.3 -*END - -*D_NET *254 0.0272576 -*CONN -*I *1366:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1365:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1359:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1356:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1353:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1355:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1358:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1351:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1350:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1360:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1362:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1345:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1347:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1346:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1361:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1349:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1348:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1352:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1357:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1354:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1367:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1364:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1363:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1368:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *1455:Y O *D sky130_fd_sc_hd__clkinv_8 -*CAP -1 *1366:CLK 0 -2 *1365:CLK 5.65864e-05 -3 *1359:CLK 0 -4 *1356:CLK 0.00014519 -5 *1353:CLK 0.000334299 -6 *1355:CLK 0 -7 *1358:CLK 0 -8 *1351:CLK 0.00015978 -9 *1350:CLK 7.6341e-05 -10 *1360:CLK 0.000418603 -11 *1362:CLK 0.000102112 -12 *1345:CLK 9.32117e-05 -13 *1347:CLK 0 -14 *1346:CLK 0.000155777 -15 *1361:CLK 0.000152662 -16 *1349:CLK 3.82418e-05 -17 *1348:CLK 0.000383858 -18 *1352:CLK 0 -19 *1357:CLK 0 -20 *1354:CLK 0 -21 *1367:CLK 0 -22 *1364:CLK 7.13206e-05 -23 *1363:CLK 0 -24 *1368:A 0.000199953 -25 *1455:Y 0.000229353 -26 *254:205 0.000591228 -27 *254:202 0.000367219 -28 *254:190 0.000595535 -29 *254:178 0.000779772 -30 *254:138 0.000342647 -31 *254:131 0.000245554 -32 *254:122 0.000340921 -33 *254:114 0.000239575 -34 *254:112 0.000834738 -35 *254:104 0.00110692 -36 *254:93 0.00117191 -37 *254:89 0.000426046 -38 *254:84 0.000558702 -39 *254:75 0.000671189 -40 *254:70 0.00109092 -41 *254:64 0.000697039 -42 *254:58 0.00089591 -43 *254:48 0.000442412 -44 *254:45 0.000359129 -45 *254:34 0.000896848 -46 *254:16 0.00037001 -47 *254:13 0.00121539 -48 *254:8 0.000672894 -49 *1348:CLK *256:7 9.22103e-06 -50 *1350:CLK *257:11 0.000163792 -51 *1351:CLK *258:5 2.89114e-05 -52 *1356:CLK *276:13 0.000125724 -53 *1360:CLK *1361:D 1.34703e-05 -54 *1361:CLK *1361:D 4.57352e-05 -55 *1365:CLK *258:17 0.000128496 -56 *254:8 *1455:A 3.69047e-06 -57 *254:45 *1111:A1 2.82057e-05 -58 *254:45 *258:17 0.00022459 -59 *254:75 *1225:A1 0 -60 *254:75 *1226:A 0.000220178 -61 *254:84 *1225:A1 0.000136958 -62 *254:84 *257:32 0.000180091 -63 *254:84 *371:24 0.000836046 -64 *254:89 *1220:A 0 -65 *254:89 *257:23 0 -66 *254:93 *1220:A 0 -67 *254:112 *1361:D 4.17806e-05 -68 *254:178 *273:8 9.24825e-05 -69 *254:190 *271:8 0.000258184 -70 *254:202 *271:8 0.000134617 -71 clockp[1] *254:8 0 -72 *375:DIODE *254:8 2.92594e-05 -73 *383:DIODE *1368:A 0 -74 *427:DIODE *1346:CLK 1.92789e-05 -75 *427:DIODE *1361:CLK 0 -76 *427:DIODE *254:122 0 -77 *1107:S *254:75 0 -78 *1108:A *254:58 9.90431e-05 -79 *1112:A *254:48 0.000102097 -80 *1112:A *254:58 0.000104833 -81 *1117:A *1368:A 1.92789e-05 -82 *1117:A *254:16 0 -83 *1126:A1 *254:34 0.000427456 -84 *1126:B1 *254:34 0.000182694 -85 *1129:B *254:58 0 -86 *1134:A *254:8 1.01912e-05 -87 *1137:A *254:45 0.000315172 -88 *1137:B *254:45 0.000389868 -89 *1141:A *254:34 0.000185367 -90 *1146:A *254:16 0.000128154 -91 *1148:B *254:8 4.81374e-05 -92 *1148:B *254:13 1.46717e-05 -93 *1149:A1 *254:13 0.000107189 -94 *1149:A2 *254:8 5.66943e-05 -95 *1149:A2 *254:13 0.000266281 -96 *1150:D1 *254:8 0 -97 *1177:A1 *1368:A 0 -98 *1177:A1 *254:16 0 -99 *1177:A2 *254:16 0.000195555 -100 *1221:B *1351:CLK 0 -101 *1221:B *254:75 0 -102 *1225:A2 *254:84 6.87574e-05 -103 *1227:B1 *254:93 0 -104 *1228:A *254:89 2.06112e-05 -105 *1228:B *254:89 5.74756e-05 -106 *1229:A *254:89 1.37292e-05 -107 *1229:A *254:93 6.04069e-05 -108 *1232:B *1348:CLK 5.03682e-05 -109 *1319:A *254:202 0.000140243 -110 *1327:A *254:202 7.31131e-05 -111 *1331:A *1356:CLK 0 -112 *1339:B *1360:CLK 0 -113 *1349:D *1348:CLK 0.000151616 -114 *1349:D *254:104 0.000261781 -115 *1350:D *1350:CLK 6.05161e-06 -116 *1351:D *1351:CLK 2.89114e-05 -117 *1353:RESET_B *1356:CLK 1.91512e-05 -118 *1353:RESET_B *254:202 7.14526e-05 -119 *1353:RESET_B *254:205 5.30472e-05 -120 *1354:RESET_B *254:64 0.000170625 -121 *1354:RESET_B *254:70 6.05161e-06 -122 *1356:RESET_B *1356:CLK 4.87953e-05 -123 *1357:D *254:70 7.77751e-05 -124 *1357:RESET_B *254:75 0 -125 *1358:D *254:178 8.07313e-05 -126 *1358:D *254:190 8.07313e-05 -127 *1358:RESET_B *254:190 0 -128 *1359:D *1356:CLK 0 -129 *1359:D *254:205 0 -130 *1360:D *1360:CLK 7.15962e-05 -131 *1360:RESET_B *1361:CLK 1.19309e-05 -132 *1360:RESET_B *254:122 5.29554e-05 -133 *1360:RESET_B *254:131 6.07532e-05 -134 *1360:RESET_B *254:138 4.21185e-05 -135 *1363:D *254:16 3.25247e-05 -136 *1367:D *254:58 2.59355e-05 -137 *7:19 *254:8 0 -138 *38:5 *1361:CLK 0 -139 *84:26 *1364:CLK 5.26091e-05 -140 *84:26 *254:45 4.038e-06 -141 *85:19 *1348:CLK 9.71197e-05 -142 *85:19 *254:89 0 -143 *85:19 *254:93 0 -144 *85:43 *1351:CLK 0 -145 *96:27 *254:16 0 -146 *98:5 *254:34 4.18895e-05 -147 *108:8 *254:8 7.83587e-05 -148 *115:5 *254:13 0.000132889 -149 *117:10 *254:13 8.15939e-05 -150 *121:6 *254:13 0.000172681 -151 *187:10 *254:75 0 -152 *191:8 *254:75 0.000356958 -153 *226:7 *1356:CLK 3.14163e-05 -154 *249:40 *254:84 4.8035e-05 -155 *251:8 *254:138 0 -156 *252:70 *1362:CLK 0.000103993 -157 *252:70 *254:138 5.80706e-06 -158 *252:79 *1345:CLK 0.000274294 -159 *253:24 *1364:CLK 5.63157e-05 -160 *253:24 *254:45 1.46717e-05 -161 *253:24 *254:48 9.9951e-05 -162 *253:69 *254:190 0.000175126 -163 *253:69 *254:202 0.000687457 -*RES -1 *1455:Y *254:8 18.4071 -2 *254:8 *254:13 14.3214 -3 *254:13 *254:16 8.875 -4 *254:16 *1368:A 17.1929 -5 *254:16 *1363:CLK 13.8 -6 *254:13 *254:34 15.3036 -7 *254:34 *1364:CLK 15.7464 -8 *254:34 *254:45 11.9643 -9 *254:45 *254:48 7.05357 -10 *254:48 *1367:CLK 13.8 -11 *254:48 *254:58 10.875 -12 *254:58 *1354:CLK 9.3 -13 *254:58 *254:64 9.57143 -14 *254:64 *1357:CLK 9.3 -15 *254:64 *254:70 3 -16 *254:70 *254:75 18.5357 -17 *254:75 *1352:CLK 9.3 -18 *254:75 *254:84 12.8571 -19 *254:84 *254:89 3.51786 -20 *254:89 *254:93 6.75 -21 *254:93 *1348:CLK 16.425 -22 *254:93 *254:104 8.76786 -23 *254:104 *1349:CLK 10.0321 -24 *254:104 *254:112 5.05357 -25 *254:112 *254:114 4.5 -26 *254:114 *1361:CLK 16.9607 -27 *254:114 *254:122 1.94643 -28 *254:122 *1346:CLK 16.3893 -29 *254:122 *254:131 2.25 -30 *254:131 *1347:CLK 13.8 -31 *254:131 *254:138 2.55357 -32 *254:138 *1345:CLK 16.3893 -33 *254:138 *1362:CLK 16.3536 -34 *254:112 *1360:CLK 14.675 -35 *254:89 *1350:CLK 15.5679 -36 *254:84 *1351:CLK 16.5857 -37 *254:70 *254:178 8.33929 -38 *254:178 *1358:CLK 9.3 -39 *254:178 *254:190 17.6607 -40 *254:190 *1355:CLK 9.3 -41 *254:190 *254:202 9.51786 -42 *254:202 *254:205 6.75 -43 *254:205 *1353:CLK 18.8714 -44 *254:205 *1356:CLK 17.3 -45 *254:202 *1359:CLK 9.3 -46 *254:45 *1365:CLK 10.6571 -47 *254:8 *1366:CLK 13.8 -*END - -*D_NET *255 0.00366406 -*CONN -*I *1122:A I *D sky130_fd_sc_hd__and2_2 -*I *1115:A I *D sky130_fd_sc_hd__buf_2 -*I *1348:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1122:A 0.00127364 -2 *1115:A 0.000236851 -3 *1348:Q 8.63156e-05 -4 *255:7 0.0015968 -5 *1115:A *1113:A0 0 -6 *1115:A *256:29 0 -7 *1122:A *1125:B 3.8274e-05 -8 *1122:A *256:22 1.4722e-05 -9 *1122:A *256:29 0.000229418 -10 *1122:A *261:7 2.89016e-05 -11 *90:13 *1115:A 4.57092e-05 -12 *90:52 *1115:A 1.32056e-05 -13 *99:5 *1122:A 0.000100222 -*RES -1 *1348:Q *255:7 14.7464 -2 *255:7 *1115:A 18.2107 -3 *255:7 *1122:A 29.6571 -*END - -*D_NET *256 0.00612381 -*CONN -*I *1225:A1 I *D sky130_fd_sc_hd__a21oi_2 -*I *1220:B I *D sky130_fd_sc_hd__and3_2 -*I *1125:A I *D sky130_fd_sc_hd__and2_2 -*I *1123:A I *D sky130_fd_sc_hd__xor2_2 -*I *1113:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1230:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1349:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1225:A1 0.000116622 -2 *1220:B 0 -3 *1125:A 0 -4 *1123:A 0 -5 *1113:A0 0.000166434 -6 *1230:A 0.000100758 -7 *1349:Q 0.000379571 -8 *256:29 0.000323967 -9 *256:22 0.000964576 -10 *256:18 0.000911516 -11 *256:15 0.000419309 -12 *256:7 0.000678544 -13 *1113:A0 *1113:A1 6.58289e-05 -14 *1225:A1 *257:32 6.90625e-05 -15 *256:18 *1220:A 3.22663e-05 -16 *256:22 *1220:A 2.72859e-05 -17 *256:22 *1321:B 1.92905e-05 -18 *256:29 *1113:A1 5.90443e-06 -19 *256:29 *1125:B 0.000186393 -20 *256:29 *261:10 8.06331e-05 -21 *1115:A *1113:A0 0 -22 *1115:A *256:29 0 -23 *1122:A *256:22 1.4722e-05 -24 *1122:A *256:29 0.000229418 -25 *1225:A2 *1225:A1 8.76234e-05 -26 *1225:A2 *256:15 4.89174e-05 -27 *1232:B *256:7 1.24368e-05 -28 *1321:A *256:22 8.90314e-05 -29 *1348:CLK *256:7 9.22103e-06 -30 *1349:D *256:7 0.000445571 -31 *85:19 *256:7 3.30352e-05 -32 *85:19 *256:15 9.65171e-05 -33 *90:13 *1113:A0 5.23142e-05 -34 *90:71 *1230:A 4.35048e-05 -35 *90:71 *256:15 6.98762e-05 -36 *187:7 *256:18 6.05161e-06 -37 *187:10 *1225:A1 0.000144038 -38 *187:10 *256:15 5.66157e-05 -39 *191:8 *1225:A1 0 -40 *191:20 *1230:A 0 -41 *191:20 *256:15 0 -42 *254:75 *1225:A1 0 -43 *254:84 *1225:A1 0.000136958 -*RES -1 *1349:Q *256:7 19.2643 -2 *256:7 *1230:A 15.7464 -3 *256:7 *256:15 4.07143 -4 *256:15 *256:18 5.85714 -5 *256:18 *256:22 10.1964 -6 *256:22 *256:29 9.35714 -7 *256:29 *1113:A0 17.4071 -8 *256:29 *1123:A 13.8 -9 *256:22 *1125:A 9.3 -10 *256:18 *1220:B 9.3 -11 *256:15 *1225:A1 17.1036 -*END - -*D_NET *257 0.00844597 -*CONN -*I *1225:B1 I *D sky130_fd_sc_hd__a21oi_2 -*I *1121:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *1111:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1124:A I *D sky130_fd_sc_hd__and2_2 -*I *1136:A I *D sky130_fd_sc_hd__nor2_2 -*I *1220:A I *D sky130_fd_sc_hd__and3_2 -*I *1350:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1225:B1 0 -2 *1121:A_N 0 -3 *1111:A0 0.000303202 -4 *1124:A 0 -5 *1136:A 0.000145033 -6 *1220:A 0.000326177 -7 *1350:Q 0.000832489 -8 *257:53 0.000462652 -9 *257:34 0.000200296 -10 *257:32 0.000977659 -11 *257:23 0.000853702 -12 *257:11 0.00124942 -13 *1111:A0 *258:17 4.8035e-05 -14 *1136:A *262:32 0.000304595 -15 *257:32 *371:24 9.30626e-05 -16 *257:53 *1120:A 0 -17 *257:53 *262:8 0 -18 *1225:A1 *257:32 6.90625e-05 -19 *1225:A2 *257:32 0.000181796 -20 *1227:A1 *1220:A 4.43256e-05 -21 *1227:A2 *1220:A 0.000115478 -22 *1227:A2 *257:23 0.000117189 -23 *1227:B1 *1220:A 5.52302e-05 -24 *1227:B1 *257:11 2.65105e-05 -25 *1228:B *257:11 0.000273269 -26 *1333:A *257:53 0 -27 *1350:CLK *257:11 0.000163792 -28 *1350:D *257:11 3.4954e-05 -29 *85:19 *1220:A 0 -30 *95:8 *1111:A0 0.000132565 -31 *98:5 *1136:A 0.000232399 -32 *187:7 *1220:A 2.89114e-05 -33 *248:35 *1111:A0 0 -34 *249:40 *257:32 0.00083091 -35 *252:13 *257:32 1.92905e-05 -36 *252:13 *257:53 3.20153e-05 -37 *252:47 *257:32 5.23057e-05 -38 *254:84 *257:32 0.000180091 -39 *254:89 *1220:A 0 -40 *254:89 *257:23 0 -41 *254:93 *1220:A 0 -42 *256:18 *1220:A 3.22663e-05 -43 *256:22 *1220:A 2.72859e-05 -*RES -1 *1350:Q *257:11 25.9786 -2 *257:11 *1220:A 19.8893 -3 *257:11 *257:23 6.44643 -4 *257:23 *257:32 19.3036 -5 *257:32 *257:34 0.946429 -6 *257:34 *1136:A 13.675 -7 *257:34 *1124:A 9.3 -8 *257:32 *257:53 11.5536 -9 *257:53 *1111:A0 14.7821 -10 *257:53 *1121:A_N 9.3 -11 *257:23 *1225:B1 9.3 -*END - -*D_NET *258 0.0107636 -*CONN -*I *1224:A1 I *D sky130_fd_sc_hd__o211a_2 -*I *1221:A I *D sky130_fd_sc_hd__and2_2 -*I *1153:A I *D sky130_fd_sc_hd__and2_2 -*I *1127:A I *D sky130_fd_sc_hd__nor2_2 -*I *1128:A I *D sky130_fd_sc_hd__nand2_2 -*I *1109:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1351:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1224:A1 7.12824e-05 -2 *1221:A 7.83098e-05 -3 *1153:A 0.000177432 -4 *1127:A 0.000275587 -5 *1128:A 0 -6 *1109:A0 5.35103e-05 -7 *1351:Q 0.000820408 -8 *258:35 0.000491107 -9 *258:28 0.000175656 -10 *258:17 0.00212034 -11 *258:7 0.00213659 -12 *258:5 0.00102071 -13 *1109:A0 *1109:A1 9.99644e-06 -14 *1127:A *1127:B 0.000267731 -15 *1153:A *1153:B 0.000228558 -16 *1153:A *263:17 5.41797e-05 -17 *258:17 *1109:A1 2.51343e-06 -18 *258:17 *259:11 5.66107e-05 -19 *258:17 *259:23 9.16074e-05 -20 *258:17 *262:8 2.56694e-05 -21 *258:28 *263:8 2.37944e-05 -22 *258:28 *263:17 5.54817e-06 -23 *258:35 *263:17 3.8562e-05 -24 *378:DIODE *1127:A 0.000267877 -25 *378:DIODE *1153:A 0.000170964 -26 *1109:S *258:28 3.34366e-05 -27 *1111:A0 *258:17 4.8035e-05 -28 *1112:A *258:17 7.83659e-05 -29 *1129:B *1153:A 0 -30 *1129:B *258:35 0 -31 *1131:B1 *1127:A 5.37741e-06 -32 *1137:B *258:28 0 -33 *1221:B *1221:A 6.42338e-05 -34 *1224:A2 *1224:A1 5.7661e-06 -35 *1224:A2 *258:7 5.19842e-06 -36 *1224:B1 *258:7 1.32552e-05 -37 *1224:B1 *258:17 1.15281e-05 -38 *1351:CLK *258:5 2.89114e-05 -39 *1351:D *258:5 6.45381e-05 -40 *1365:CLK *258:17 0.000128496 -41 *1366:RESET_B *1109:A0 5.30637e-06 -42 *1366:RESET_B *258:28 9.8126e-05 -43 *85:52 *1224:A1 0 -44 *85:52 *258:17 0.000610071 -45 *95:8 *1127:A 0.000100823 -46 *95:8 *258:17 0.00014285 -47 *100:6 *1127:A 9.91086e-05 -48 *100:6 *258:28 2.78242e-05 -49 *112:9 *1127:A 2.42082e-05 -50 *248:5 *1127:A 4.11756e-05 -51 *248:35 *258:17 0.000227547 -52 *251:42 *1221:A 1.02504e-05 -53 *254:45 *258:17 0.00022459 -*RES -1 *1351:Q *258:5 19.2821 -2 *258:5 *258:7 1.76786 -3 *258:7 *258:17 36.1786 -4 *258:17 *1109:A0 10.2643 -5 *258:17 *258:28 7.35714 -6 *258:28 *1128:A 13.8 -7 *258:28 *258:35 1.03571 -8 *258:35 *1127:A 38.2286 -9 *258:35 *1153:A 18.4429 -10 *258:7 *1221:A 19.9429 -11 *258:5 *1224:A1 10.7955 -*END - -*D_NET *259 0.00567208 -*CONN -*I *1107:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1151:A I *D sky130_fd_sc_hd__xor2_2 -*I *1159:A I *D sky130_fd_sc_hd__nand2_2 -*I *1223:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *1226:A I *D sky130_fd_sc_hd__nand2_2 -*I *1222:A1 I *D sky130_fd_sc_hd__o21a_2 -*I *1352:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1107:A0 0 -2 *1151:A 0.000103718 -3 *1159:A 0.000101451 -4 *1223:A_N 1.06454e-05 -5 *1226:A 0.000124419 -6 *1222:A1 0 -7 *1352:Q 6.68651e-05 -8 *259:48 0.0005259 -9 *259:42 0.000891517 -10 *259:23 0.00027475 -11 *259:11 0.000373929 -12 *259:6 0.000871893 -13 *1151:A *1151:B 0.00018167 -14 *1151:A *284:35 2.89016e-05 -15 *1159:A *1159:B 5.71472e-05 -16 *259:42 *264:9 0.000294668 -17 *259:42 *264:14 5.62995e-05 -18 *259:42 *277:8 0 -19 *259:48 *1159:B 4.18895e-05 -20 *259:48 *264:14 0 -21 *1107:S *259:42 0.00014285 -22 *1215:B *259:48 0 -23 *1222:A2 *1223:A_N 4.38128e-05 -24 *1222:A2 *259:11 3.57366e-05 -25 *1222:A2 *259:23 0.000215121 -26 *1222:B1 *259:23 5.52238e-05 -27 *1226:B *1226:A 0 -28 *1326:A *259:6 0 -29 *1326:A *259:42 0 -30 *1326:B *259:42 0 -31 *1342:A *259:42 0.000205888 -32 *1352:RESET_B *259:11 9.58126e-05 -33 *1354:D *259:42 0 -34 *1354:D *259:48 0.000105622 -35 *85:52 *1223:A_N 2.89114e-05 -36 *85:52 *259:23 0.0001807 -37 *188:9 *1226:A 0 -38 *248:35 *259:11 0.000146732 -39 *248:35 *259:42 0 -40 *252:47 *259:6 3.5707e-05 -41 *252:47 *259:42 5.90443e-06 -42 *253:8 *1151:A 0 -43 *254:75 *1226:A 0.000220178 -44 *258:17 *259:11 5.66107e-05 -45 *258:17 *259:23 9.16074e-05 -*RES -1 *1352:Q *259:6 15.1393 -2 *259:6 *259:11 9.57143 -3 *259:11 *1222:A1 9.3 -4 *259:11 *259:23 4.80357 -5 *259:23 *1226:A 21.1571 -6 *259:23 *1223:A_N 9.83571 -7 *259:6 *259:42 17.2321 -8 *259:42 *259:48 14.75 -9 *259:48 *1159:A 11.0857 -10 *259:48 *1151:A 20.7821 -11 *259:42 *1107:A0 9.3 -*END - -*D_NET *260 0.00472329 -*CONN -*I *1122:B I *D sky130_fd_sc_hd__and2_2 -*I *1116:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1145:B I *D sky130_fd_sc_hd__nor2_2 -*I *1144:B I *D sky130_fd_sc_hd__nand2_2 -*I *1363:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1122:B 0.000133693 -2 *1116:A1 0.000233407 -3 *1145:B 8.22698e-05 -4 *1144:B 0.000378382 -5 *1363:Q 0 -6 *260:7 0.00098172 -7 *260:5 0.000932847 -8 *260:4 0.000312063 -9 clockp[1] *1145:B 0.000112667 -10 *1116:A0 *1116:A1 3.04311e-05 -11 *1116:S *1116:A1 3.27526e-05 -12 *1117:A *1145:B 2.30116e-06 -13 *1144:A *1144:B 6.92563e-05 -14 *1144:A *260:5 5.67058e-06 -15 *1144:A *260:7 1.87132e-05 -16 *1147:B *1144:B 5.71472e-05 -17 *1177:A1 *260:7 0.000164097 -18 *1177:A2 *1144:B 0.000226507 -19 *1177:A2 *1145:B 0.00012401 -20 *1177:A2 *260:7 4.18895e-05 -21 *1177:B1 *1144:B 3.99873e-05 -22 *1178:D *1144:B 9.90367e-05 -23 *1363:D *1145:B 2.59355e-05 -24 *1363:RESET_B *1122:B 4.18895e-05 -25 *1363:RESET_B *260:5 0.000133695 -26 *39:16 *1116:A1 0.000320745 -27 *84:26 *1122:B 6.74911e-05 -28 *97:12 *1122:B 4.49953e-05 -29 *97:25 *1122:B 9.69119e-06 -*RES -1 *1363:Q *260:4 9.3 -2 *260:4 *260:5 2.17857 -3 *260:5 *260:7 6.69643 -4 *260:7 *1144:B 15.6214 -5 *260:7 *1145:B 20.4786 -6 *260:5 *1116:A1 23.3179 -7 *260:4 *1122:B 20.8893 -*END - -*D_NET *261 0.00129429 -*CONN -*I *1125:B I *D sky130_fd_sc_hd__and2_2 -*I *1123:B I *D sky130_fd_sc_hd__xor2_2 -*I *1113:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1364:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1125:B 6.85967e-05 -2 *1123:B 0 -3 *1113:A1 0.000168114 -4 *1364:Q 4.04016e-05 -5 *261:10 0.000245449 -6 *261:7 0.000186334 -7 *1113:A0 *1113:A1 6.58289e-05 -8 *1113:S *1113:A1 1.02504e-05 -9 *1122:A *1125:B 3.8274e-05 -10 *1122:A *261:7 2.89016e-05 -11 *99:5 *1125:B 7.15962e-05 -12 *99:5 *261:7 1.92789e-05 -13 *252:13 *261:10 7.83366e-05 -14 *256:29 *1113:A1 5.90443e-06 -15 *256:29 *1125:B 0.000186393 -16 *256:29 *261:10 8.06331e-05 -*RES -1 *1364:Q *261:7 10.2643 -2 *261:7 *261:10 6.75 -3 *261:10 *1113:A1 17.4964 -4 *261:10 *1123:B 4.5 -5 *261:7 *1125:B 11.8893 -*END - -*D_NET *262 0.00432791 -*CONN -*I *1111:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1136:B I *D sky130_fd_sc_hd__nor2_2 -*I *1124:B I *D sky130_fd_sc_hd__and2_2 -*I *1120:A I *D sky130_fd_sc_hd__inv_2 -*I *1365:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1111:A1 9.30252e-05 -2 *1136:B 2.18239e-05 -3 *1124:B 0 -4 *1120:A 0.000242259 -5 *1365:Q 0.000776158 -6 *262:32 0.000347584 -7 *262:19 0.000232735 -8 *262:8 0.00101842 -9 *1121:B *1120:A 0.000338344 -10 *1121:B *262:8 0.000163847 -11 *1126:B1 *262:32 0 -12 *1136:A *262:32 0.000304595 -13 *1137:A *1111:A1 7.83587e-05 -14 *1364:D *1120:A 0 -15 *1365:RESET_B *262:8 0 -16 *95:8 *1111:A1 1.07719e-05 -17 *97:12 *1120:A 0.000144773 -18 *98:5 *1136:B 4.38128e-05 -19 *98:5 *262:32 7.19536e-05 -20 *252:13 *1120:A 0.00014285 -21 *252:47 *262:8 0.000116285 -22 *253:24 *1111:A1 0.000126439 -23 *253:24 *262:8 0 -24 *254:45 *1111:A1 2.82057e-05 -25 *257:53 *1120:A 0 -26 *257:53 *262:8 0 -27 *258:17 *262:8 2.56694e-05 -*RES -1 *1365:Q *262:8 24.5679 -2 *262:8 *1120:A 20.1393 -3 *262:8 *262:19 4.5 -4 *262:19 *1124:B 9.3 -5 *262:19 *262:32 4.94643 -6 *262:32 *1136:B 9.83571 -7 *262:32 *1111:A1 20.4786 -*END - -*D_NET *263 0.00356117 -*CONN -*I *1109:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1153:B I *D sky130_fd_sc_hd__and2_2 -*I *1127:B I *D sky130_fd_sc_hd__nor2_2 -*I *1128:B I *D sky130_fd_sc_hd__nand2_2 -*I *1366:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1109:A1 8.01412e-05 -2 *1153:B 6.30999e-05 -3 *1127:B 0.000164704 -4 *1128:B 0 -5 *1366:Q 0.000252901 -6 *263:17 0.000341355 -7 *263:8 0.000159612 -8 *263:5 0.000379103 -9 *378:DIODE *1127:B 3.50409e-05 -10 *378:DIODE *1153:B 1.02821e-05 -11 *1109:A0 *1109:A1 9.99644e-06 -12 *1127:A *1127:B 0.000267731 -13 *1131:A1 *1127:B 9.71197e-05 -14 *1131:A2 *1127:B 6.37237e-05 -15 *1131:A2 *1153:B 2.28598e-05 -16 *1131:B1 *1127:B 5.65955e-05 -17 *1153:A *1153:B 0.000228558 -18 *1153:A *263:17 5.41797e-05 -19 *1366:RESET_B *1109:A1 4.17702e-05 -20 *1366:RESET_B *263:5 0.000350278 -21 *1366:RESET_B *263:8 7.74135e-05 -22 *100:6 *263:8 0.000124019 -23 *100:6 *263:17 0.000161926 -24 *100:17 *263:17 5.41794e-05 -25 *101:10 *1127:B 0.000119869 -26 *101:10 *1153:B 0.000274294 -27 *258:17 *1109:A1 2.51343e-06 -28 *258:28 *263:8 2.37944e-05 -29 *258:28 *263:17 5.54817e-06 -30 *258:35 *263:17 3.8562e-05 -*RES -1 *1366:Q *263:5 12.7107 -2 *263:5 *263:8 6.14286 -3 *263:8 *1128:B 13.8 -4 *263:8 *263:17 7.35714 -5 *263:17 *1127:B 14.3893 -6 *263:17 *1153:B 12.3 -7 *263:5 *1109:A1 10.2464 -*END - -*D_NET *264 0.00261238 -*CONN -*I *1107:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1151:B I *D sky130_fd_sc_hd__xor2_2 -*I *1159:B I *D sky130_fd_sc_hd__nand2_2 -*I *1367:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1107:A1 0 -2 *1151:B 0.000183889 -3 *1159:B 3.75977e-05 -4 *1367:Q 0.00022773 -5 *264:14 0.00059427 -6 *264:9 0.000600514 -7 *1151:B *284:35 2.9561e-05 -8 *1107:S *264:9 9.90367e-05 -9 *1108:A *264:14 0.000135408 -10 *1151:A *1151:B 0.00018167 -11 *1159:A *1159:B 5.71472e-05 -12 *1354:D *264:9 0 -13 *1354:D *264:14 7.27028e-05 -14 *253:8 *1151:B 0 -15 *253:8 *264:14 0 -16 *259:42 *264:9 0.000294668 -17 *259:42 *264:14 5.62995e-05 -18 *259:48 *1159:B 4.18895e-05 -19 *259:48 *264:14 0 -*RES -1 *1367:Q *264:9 23.1214 -2 *264:9 *264:14 10.9643 -3 *264:14 *1159:B 14.7464 -4 *264:14 *1151:B 8.16964 -5 *264:9 *1107:A1 9.3 -*END - -*D_NET *265 0.00108817 -*CONN -*I *1361:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1360:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1361:D 0.000429519 -2 *1360:Q 0.000429519 -3 *1360:CLK *1361:D 1.34703e-05 -4 *1361:CLK *1361:D 4.57352e-05 -5 *38:5 *1361:D 0.000128146 -6 *254:112 *1361:D 4.17806e-05 -*RES -1 *1360:Q *1361:D 33.8857 -*END - -*D_NET *266 0.00418845 -*CONN -*I *1362:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1104:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1361:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1362:D 0.000752668 -2 *1104:A 0.000193946 -3 *1361:Q 0.000256073 -4 *266:8 0.00120269 -5 *1104:A *1104:B 0.000185643 -6 *1362:D *371:56 0.000226867 -7 *1339:A *1362:D 0 -8 *1339:A *266:8 5.34362e-05 -9 *1339:B *1104:A 4.13595e-05 -10 *1359:RESET_B *1362:D 0.000127353 -11 *1361:RESET_B *266:8 0 -12 *1362:RESET_B *1362:D 0.000903217 -13 *1362:RESET_B *266:8 0.0002452 -14 *253:69 *1362:D 0 -15 *253:71 *1362:D 0 -*RES -1 *1361:Q *266:8 18.3179 -2 *266:8 *1104:A 17.6393 -3 *266:8 *1362:D 31.5679 -*END - -*D_NET *267 0.00247025 -*CONN -*I *1104:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1362:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1104:B 0.000509978 -2 *1362:Q 0.000509978 -3 *1104:B *371:56 0.000223456 -4 *1104:A *1104:B 0.000185643 -5 *1339:B *1104:B 0.000112418 -6 *1345:D *1104:B 0.000126439 -7 *1345:RESET_B *1104:B 2.49484e-05 -8 *1346:D *1104:B 4.57538e-05 -9 *1347:D *1104:B 0 -10 *1362:RESET_B *1104:B 0.000690669 -11 *85:11 *1104:B 4.09651e-05 -*RES -1 *1362:Q *1104:B 40.475 -*END - -*D_NET *268 0.00225902 -*CONN -*I *1237:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *1176:B I *D sky130_fd_sc_hd__and4b_2 -*I *1235:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1345:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1237:A_N 0.000210179 -2 *1176:B 0.000116537 -3 *1235:A0 0 -4 *1345:Q 0.000452511 -5 *268:15 0.000379359 -6 *268:7 0.000505153 -7 *268:7 *1235:A1 3.81416e-06 -8 *268:15 *1235:A1 5.30637e-06 -9 *425:DIODE *1237:A_N 7.80222e-05 -10 *1106:A *1176:B 6.8646e-06 -11 *1106:A *1237:A_N 1.78447e-05 -12 *1235:S *268:15 5.33005e-05 -13 *1236:A *268:7 8.6054e-06 -14 *1345:D *268:7 6.05161e-06 -15 *1345:RESET_B *268:7 9.99644e-06 -16 *1346:RESET_B *1176:B 0.000148903 -17 *1346:RESET_B *1237:A_N 0.000213069 -18 *84:60 *1176:B 4.35048e-05 -*RES -1 *1345:Q *268:7 14.675 -2 *268:7 *1235:A0 9.3 -3 *268:7 *268:15 5.44643 -4 *268:15 *1176:B 16.2821 -5 *268:15 *1237:A_N 18.4786 -*END - -*D_NET *269 0.00190583 -*CONN -*I *1233:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1235:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1176:D I *D sky130_fd_sc_hd__and4b_2 -*I *1346:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1233:A0 5.57857e-05 -2 *1235:A1 0.00013361 -3 *1176:D 0 -4 *1346:Q 0.00030667 -5 *269:8 0.00022876 -6 *269:5 0.000457605 -7 *1235:A1 *1233:A1 8.32171e-05 -8 *269:8 *1233:A1 0.000221626 -9 *1176:A_N *269:8 0 -10 *1234:A *269:8 5.66647e-05 -11 *1236:A *1235:A1 0 -12 *1346:RESET_B *1233:A0 2.89114e-05 -13 *1346:RESET_B *1235:A1 3.25078e-05 -14 *1346:RESET_B *269:5 0.000239702 -15 *1347:D *269:8 5.16489e-05 -16 *268:7 *1235:A1 3.81416e-06 -17 *268:15 *1235:A1 5.30637e-06 -*RES -1 *1346:Q *269:5 13.5321 -2 *269:5 *269:8 7.66071 -3 *269:8 *1176:D 13.8 -4 *269:8 *1235:A1 16.2821 -5 *269:5 *1233:A0 10.2643 -*END - -*D_NET *270 0.00229483 -*CONN -*I *1176:C I *D sky130_fd_sc_hd__and4b_2 -*I *1233:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1347:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1176:C 0 -2 *1233:A1 0.000164179 -3 *1347:Q 0.00057287 -4 *270:5 0.000737049 -5 *1176:A_N *1233:A1 6.2589e-06 -6 *1235:A1 *1233:A1 8.32171e-05 -7 *1346:RESET_B *1233:A1 0.000265059 -8 *1347:D *270:5 0.000189337 -9 *150:13 *270:5 5.52302e-05 -10 *269:8 *1233:A1 0.000221626 -*RES -1 *1347:Q *270:5 16.4071 -2 *270:5 *1233:A1 23.2643 -3 *270:5 *1176:C 9.3 -*END - -*D_NET *271 0.00921507 -*CONN -*I *1213:B1 I *D sky130_fd_sc_hd__o2bb2a_2 -*I *1166:A I *D sky130_fd_sc_hd__inv_2 -*I *1260:B I *D sky130_fd_sc_hd__nor2_2 -*I *1190:B I *D sky130_fd_sc_hd__nand2_2 -*I *1209:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1355:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1213:B1 0 -2 *1166:A 6.06252e-05 -3 *1260:B 0.000391736 -4 *1190:B 2.94474e-05 -5 *1209:A0 3.52682e-05 -6 *1355:Q 0.00120101 -7 *271:31 0.00067623 -8 *271:25 0.00072425 -9 *271:12 0.000598123 -10 *271:8 0.00135528 -11 *271:8 *274:8 0 -12 *271:25 *275:30 0.000472767 -13 *271:31 *275:30 0.000151402 -14 *271:31 *275:42 5.32956e-05 -15 *1198:B *1209:A0 7.91257e-05 -16 *1198:B *271:8 5.80706e-06 -17 *1198:B *271:12 4.11876e-05 -18 *1210:A2 *271:25 2.11419e-05 -19 *1210:B1 *271:12 3.34295e-05 -20 *1210:B1 *271:25 0.000262438 -21 *1219:A2 *271:8 6.98669e-05 -22 *1219:A2 *271:12 2.94103e-05 -23 *1260:A *1260:B 0.000222475 -24 *1260:A *271:31 4.23791e-05 -25 *1261:A *1260:B 6.90348e-06 -26 *1289:B1 *1260:B 0.000185408 -27 *1290:A_N *1260:B 8.44271e-06 -28 *1290:A_N *271:31 3.47641e-06 -29 *1292:A1 *1260:B 0.000143407 -30 *1292:A2 *1260:B 1.11775e-05 -31 *1296:C *271:31 0.000300647 -32 *1327:A *271:8 7.25873e-06 -33 *1331:B *271:8 0.000159687 -34 *1355:D *271:8 0 -35 *24:22 *1260:B 0.000206026 -36 *93:55 *1166:A 2.64856e-05 -37 *93:55 *271:25 0.000190134 -38 *93:55 *271:31 1.08691e-05 -39 *140:8 *271:25 3.35685e-06 -40 *140:17 *271:25 4.37765e-05 -41 *155:18 *271:12 7.2754e-05 -42 *155:18 *271:25 0.000150789 -43 *163:57 *271:31 0 -44 *164:47 *1190:B 0.0001399 -45 *171:9 *1209:A0 0.000139907 -46 *198:58 *271:8 0 -47 *198:58 *271:12 0 -48 *198:60 *271:8 0 -49 *208:34 *1166:A 9.60939e-05 -50 *211:40 *1166:A 9.60939e-05 -51 *213:37 *1260:B 4.68462e-05 -52 *221:39 *1190:B 0.0001399 -53 *233:11 *1260:B 3.20609e-05 -54 *233:27 *1260:B 9.96698e-06 -55 *253:69 *271:8 3.42063e-05 -56 *254:190 *271:8 0.000258184 -57 *254:202 *271:8 0.000134617 -*RES -1 *1355:Q *271:8 33.1214 -2 *271:8 *271:12 3.08929 -3 *271:12 *1209:A0 15.1571 -4 *271:12 *271:25 10.9554 -5 *271:25 *271:31 6.3125 -6 *271:31 *1190:B 15.1571 -7 *271:31 *1260:B 22.675 -8 *271:25 *1166:A 15.5589 -9 *271:8 *1213:B1 13.8 -*END - -*D_NET *272 0.00455037 -*CONN -*I *1165:A I *D sky130_fd_sc_hd__inv_2 -*I *1189:A I *D sky130_fd_sc_hd__buf_2 -*I *1356:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1165:A 6.09477e-05 -2 *1189:A 0.000442363 -3 *1356:Q 0.000601348 -4 *272:10 0.00110466 -5 *272:10 *1461:TE 0.000202625 -6 *272:10 *275:15 0.00040983 -7 *272:10 *301:17 0.000192792 -8 *272:10 *372:14 0.000239327 -9 *272:10 *373:11 0.000595867 -10 *410:DIODE *272:10 3.01126e-05 -11 *417:DIODE *1189:A 2.51174e-05 -12 *1201:B1 *272:10 0.000123295 -13 *1253:C1 *1189:A 0 -14 *1313:A2 *1165:A 0.000139208 -15 *1313:A2 *1189:A 9.69912e-05 -16 *1313:B1 *1189:A 0.000192631 -17 *92:30 *1189:A 4.27842e-06 -18 *92:43 *1189:A 4.34381e-05 -19 *139:5 *1189:A 8.20625e-06 -20 *139:10 *1189:A 3.73361e-05 -*RES -1 *1356:Q *272:10 34.4786 -2 *272:10 *1189:A 25.1929 -3 *272:10 *1165:A 10.6571 -*END - -*D_NET *273 0.00810315 -*CONN -*I *1245:C I *D sky130_fd_sc_hd__and3_2 -*I *1171:B I *D sky130_fd_sc_hd__nor2_2 -*I *1244:A_N I *D sky130_fd_sc_hd__and2b_2 -*I *1186:A I *D sky130_fd_sc_hd__and2_2 -*I *1163:A I *D sky130_fd_sc_hd__buf_2 -*I *1357:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1245:C 0.000253733 -2 *1171:B 0 -3 *1244:A_N 0.000499951 -4 *1186:A 0 -5 *1163:A 0.000210782 -6 *1357:Q 0.000619155 -7 *273:47 0.000604033 -8 *273:30 0.00109826 -9 *273:10 0.000210782 -10 *273:8 0.000867163 -11 *1163:A *1164:A 4.1331e-06 -12 *1163:A *1164:B 8.39471e-05 -13 *1244:A_N *1168:A 0.000214714 -14 *1244:A_N *309:21 5.52238e-05 -15 *273:8 *1164:B 0 -16 *273:8 *1174:B 0 -17 *1168:C *1163:A 0 -18 *1168:C *273:30 0 -19 *1175:A *273:30 9.98928e-05 -20 *1182:A2 *273:47 0 -21 *1182:A3 *1244:A_N 0.000108558 -22 *1186:B *273:8 9.54798e-06 -23 *1186:B *273:30 2.06112e-05 -24 *1187:A *1163:A 0.000271345 -25 *1187:A *273:8 0.000337651 -26 *1193:A *1244:A_N 0 -27 *1193:A *273:30 6.81526e-05 -28 *1196:A *273:47 2.89791e-06 -29 *1247:B *1245:C 6.80326e-05 -30 *1251:A *1245:C 0 -31 *1276:B *1244:A_N 0 -32 *1284:A2 *1244:A_N 0 -33 *1285:C *1244:A_N 0.000122091 -34 *1286:B2 *1244:A_N 2.92343e-05 -35 *1288:B *1245:C 0 -36 *1306:A *1244:A_N 1.95625e-05 -37 *1357:D *273:8 0 -38 *1358:D *273:8 0 -39 *5:90 *1244:A_N 0.000128176 -40 *5:90 *273:47 0.000317442 -41 *5:96 *273:47 0.000341649 -42 *5:113 *273:47 0.000126109 -43 *25:26 *273:47 2.01732e-05 -44 *92:67 *1244:A_N 9.59532e-06 -45 *93:30 *1245:C 1.19582e-05 -46 *135:41 *1163:A 7.69776e-06 -47 *138:25 *1163:A 0 -48 *139:34 *1244:A_N 5.23735e-05 -49 *139:34 *273:47 0.000178217 -50 *140:33 *1244:A_N 7.7069e-05 -51 *140:33 *273:30 2.53112e-06 -52 *148:24 *273:8 0.000113647 -53 *170:7 *273:47 5.20426e-05 -54 *170:19 *1245:C 0.000150055 -55 *170:19 *273:47 7.95238e-05 -56 *182:16 *1163:A 0.000117621 -57 *202:20 *1244:A_N 2.20608e-05 -58 *216:25 *1244:A_N 5.52238e-05 -59 *220:12 *273:47 0.000268049 -60 *254:178 *273:8 9.24825e-05 -*RES -1 *1357:Q *273:8 25.2107 -2 *273:8 *273:10 4.5 -3 *273:10 *1163:A 23.8714 -4 *273:10 *1186:A 9.3 -5 *273:8 *273:30 9.30357 -6 *273:30 *1244:A_N 29.6214 -7 *273:30 *273:47 14.9821 -8 *273:47 *1171:B 13.8 -9 *273:47 *1245:C 18.8357 -*END - -*D_NET *274 0.00668522 -*CONN -*I *1119:A I *D sky130_fd_sc_hd__inv_2 -*I *1283:A1 I *D sky130_fd_sc_hd__a211o_2 -*I *1305:B1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1171:A I *D sky130_fd_sc_hd__nor2_2 -*I *1204:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1358:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1119:A 0 -2 *1283:A1 3.10217e-05 -3 *1305:B1 0.000318476 -4 *1171:A 0 -5 *1204:A0 0.00010683 -6 *1358:Q 0.000384789 -7 *274:34 0.000721971 -8 *274:30 0.000482488 -9 *274:20 0.000689212 -10 *274:8 0.00107082 -11 *1204:A0 *276:21 0.000312762 -12 *274:20 *275:30 0 -13 *1182:A2 *274:20 0.000323716 -14 *1182:A3 *274:20 0.000226412 -15 *1182:A3 *274:30 0.000109082 -16 *1184:A *274:20 0.000109423 -17 *1196:A *274:20 1.03447e-05 -18 *1203:A *274:20 0 -19 *1211:B *274:20 0.000103091 -20 *1219:A2 *274:20 0 -21 *1283:A2 *1283:A1 3.51486e-05 -22 *1283:A2 *1305:B1 9.67754e-05 -23 *1283:B1 *1283:A1 5.02464e-06 -24 *1283:B1 *274:34 1.95343e-05 -25 *1283:C1 *274:34 0.000167144 -26 *1355:D *274:8 0.000320024 -27 *1355:D *274:20 0.000142082 -28 *93:8 *274:34 3.57729e-06 -29 *93:30 *274:20 0.000183023 -30 *93:30 *274:30 9.16074e-05 -31 *93:30 *274:34 3.01245e-06 -32 *136:38 *274:20 0.000195562 -33 *137:47 *1305:B1 1.46199e-05 -34 *137:47 *274:34 1.86538e-05 -35 *145:5 *274:20 1.64621e-05 -36 *145:5 *274:30 9.83442e-05 -37 *155:18 *274:20 0 -38 *157:48 *1204:A0 5.65955e-05 -39 *163:26 *1305:B1 0.000131224 -40 *163:57 *274:20 1.90936e-05 -41 *182:16 *274:20 6.72808e-05 -42 *271:8 *274:8 0 -*RES -1 *1358:Q *274:8 20.4607 -2 *274:8 *1204:A0 16.8 -3 *274:8 *274:20 18.9464 -4 *274:20 *1171:A 9.3 -5 *274:20 *274:30 3.44643 -6 *274:30 *274:34 5.37946 -7 *274:34 *1305:B1 13.5455 -8 *274:34 *1283:A1 10.0321 -9 *274:30 *1119:A 9.3 -*END - -*D_NET *275 0.0137414 -*CONN -*I *1168:A I *D sky130_fd_sc_hd__nand4_2 -*I *1247:A I *D sky130_fd_sc_hd__nor2_2 -*I *1243:A I *D sky130_fd_sc_hd__nor2_2 -*I *1170:A I *D sky130_fd_sc_hd__inv_2 -*I *1291:A I *D sky130_fd_sc_hd__nand2_2 -*I *1118:A I *D sky130_fd_sc_hd__buf_2 -*I *1359:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1168:A 0.00031622 -2 *1247:A 0.000196464 -3 *1243:A 0 -4 *1170:A 5.57662e-05 -5 *1291:A 0 -6 *1118:A 0 -7 *1359:Q 0.000895294 -8 *275:67 0.000584755 -9 *275:60 0.00085182 -10 *275:42 0.00091627 -11 *275:30 0.00119269 -12 *275:15 0.00200723 -13 *1247:A *372:13 0.000135767 -14 *275:15 *373:11 0.000223599 -15 *275:60 *309:21 9.41642e-05 -16 *275:67 *372:13 0.000222666 -17 *390:DIODE *275:30 0.000122933 -18 *410:DIODE *275:15 0 -19 *1182:A3 *1168:A 0.000106997 -20 *1182:B1 *275:30 0.000143389 -21 *1183:A *275:30 5.52302e-05 -22 *1184:A *275:30 2.35827e-05 -23 *1193:A *1168:A 1.42049e-05 -24 *1199:A *275:30 0.000113073 -25 *1200:A2 *275:30 9.90367e-05 -26 *1200:B1 *275:30 0.000274294 -27 *1243:B *275:60 8.6229e-06 -28 *1243:B *275:67 5.33005e-05 -29 *1244:A_N *1168:A 0.000214714 -30 *1245:B *275:42 0.000225609 -31 *1247:B *1247:A 0.000106391 -32 *1257:B *275:67 1.73088e-05 -33 *1273:B1 *275:60 1.38323e-05 -34 *1283:A2 *1168:A 0.000129617 -35 *1284:B1 *1247:A 9.71197e-05 -36 *1284:B1 *275:67 8.10177e-05 -37 *1285:B *1168:A 7.83587e-05 -38 *1286:B2 *1168:A 0.000187289 -39 *5:113 *275:30 0.000366203 -40 *29:10 *275:15 0 -41 *30:23 *1170:A 5.33005e-05 -42 *30:23 *275:42 0.000225609 -43 *92:7 *275:30 0.000140933 -44 *155:18 *275:30 0.000195856 -45 *162:63 *1168:A 0 -46 *162:63 *275:60 0.000141445 -47 *163:26 *1168:A 1.05524e-05 -48 *163:39 *1247:A 5.52238e-05 -49 *163:57 *1170:A 5.1588e-05 -50 *163:57 *275:30 0 -51 *163:57 *275:42 0 -52 *163:57 *275:60 9.8045e-05 -53 *163:63 *275:60 9.58126e-05 -54 *171:9 *275:30 0.000368535 -55 *201:7 *1247:A 0.000195095 -56 *212:13 *275:60 6.18576e-05 -57 *215:8 *275:60 0.000484156 -58 *221:39 *275:60 0.000108025 -59 *226:10 *275:15 0 -60 *226:64 *275:15 0 -61 *226:78 *275:15 0 -62 *232:10 *1170:A 5.31122e-05 -63 *232:10 *275:60 9.00763e-05 -64 *271:25 *275:30 0.000472767 -65 *271:31 *275:30 0.000151402 -66 *271:31 *275:42 5.32956e-05 -67 *272:10 *275:15 0.00040983 -68 *274:20 *275:30 0 -*RES -1 *1359:Q *275:15 34.5679 -2 *275:15 *1118:A 9.3 -3 *275:15 *275:30 28.875 -4 *275:30 *1291:A 13.8 -5 *275:30 *275:42 11.9107 -6 *275:42 *1170:A 15.0679 -7 *275:42 *275:60 25.5357 -8 *275:60 *1243:A 9.3 -9 *275:60 *275:67 2.60714 -10 *275:67 *1247:A 15.3536 -11 *275:67 *1168:A 25.7107 -*END - -*D_NET *276 0.00646245 -*CONN -*I *1218:A I *D sky130_fd_sc_hd__nand2_2 -*I *1219:A1 I *D sky130_fd_sc_hd__o21a_2 -*I *1164:B I *D sky130_fd_sc_hd__and2_2 -*I *1174:B I *D sky130_fd_sc_hd__nor2_2 -*I *1353:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1218:A 0 -2 *1219:A1 0 -3 *1164:B 0.000358543 -4 *1174:B 0.000121908 -5 *1353:Q 0.000701426 -6 *276:21 0.00122578 -7 *276:15 0.00097628 -8 *276:13 0.000932378 -9 *1164:B *1164:A 0 -10 *1174:B *1164:A 0 -11 *1163:A *1164:B 8.39471e-05 -12 *1187:A *1164:B 2.44318e-05 -13 *1201:A1 *276:13 0 -14 *1201:A2 *276:13 0.000274288 -15 *1201:B2 *276:13 1.52978e-05 -16 *1204:A0 *276:21 0.000312762 -17 *1205:A *276:21 9.90431e-05 -18 *1206:B *1164:B 2.28335e-05 -19 *1208:A *276:21 6.05161e-06 -20 *1219:B1 *276:15 0.000268396 -21 *1353:D *276:13 0 -22 *1356:CLK *276:13 0.000125724 -23 *1357:D *1174:B 5.35423e-05 -24 *1357:D *276:21 9.90431e-05 -25 *1359:D *276:13 0 -26 *29:10 *276:13 0 -27 *138:13 *1164:B 7.6644e-05 -28 *157:13 *276:13 2.74192e-05 -29 *157:13 *276:15 5.52302e-05 -30 *157:48 *276:21 0.00050539 -31 *182:16 *1164:B 9.60875e-05 -32 *198:58 *276:13 0 -33 *273:8 *1164:B 0 -34 *273:8 *1174:B 0 -*RES -1 *1353:Q *276:13 29.4071 -2 *276:13 *276:15 4.64286 -3 *276:15 *276:21 19.7321 -4 *276:21 *1174:B 16.05 -5 *276:21 *1164:B 20.3357 -6 *276:15 *1219:A1 9.3 -7 *276:13 *1218:A 9.3 -*END - -*D_NET *277 0.00303505 -*CONN -*I *1164:A I *D sky130_fd_sc_hd__and2_2 -*I *1174:A I *D sky130_fd_sc_hd__nor2_2 -*I *1216:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1354:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1164:A 0.000245786 -2 *1174:A 5.2349e-05 -3 *1216:A0 0.000195173 -4 *1354:Q 0.000150831 -5 *277:21 0.000568884 -6 *277:8 0.000616753 -7 *1107:S *277:8 0 -8 *1163:A *1164:A 4.1331e-06 -9 *1164:B *1164:A 0 -10 *1174:B *1164:A 0 -11 *1206:B *1164:A 0.000148182 -12 *1214:A *1174:A 5.52238e-05 -13 *1214:A *277:21 9.22103e-06 -14 *1214:B *1164:A 0.000381318 -15 *1214:B *1174:A 5.66157e-05 -16 *1214:B *1216:A0 7.64988e-05 -17 *1214:B *277:8 0 -18 *1214:B *277:21 0.000190917 -19 *1357:D *1164:A 7.83659e-05 -20 *1357:D *1174:A 8.15095e-05 -21 *138:25 *1164:A 0.000123295 -22 *259:42 *277:8 0 -*RES -1 *1354:Q *277:8 20.8536 -2 *277:8 *1216:A0 21.3 -3 *277:8 *277:21 8.32143 -4 *277:21 *1174:A 15.3714 -5 *277:21 *1164:A 20.3 -*END - -*D_NET *278 0.000251402 -*CONN -*I *1455:A I *D sky130_fd_sc_hd__clkinv_8 -*I *1454:Y O *D sky130_fd_sc_hd__clkinv_2 -*CAP -1 *1455:A 9.52824e-05 -2 *1454:Y 9.52824e-05 -3 *1455:A *429:DIODE 5.71472e-05 -4 *254:8 *1455:A 3.69047e-06 -*RES -1 *1454:Y *1455:A 20.3679 -*END - -*D_NET *279 0.000216212 -*CONN -*I *1457:A I *D sky130_fd_sc_hd__clkinv_8 -*I *1456:Y O *D sky130_fd_sc_hd__clkinv_2 -*CAP -1 *1457:A 0.000108106 -2 *1456:Y 0.000108106 -3 *1457:A *1374:TE_B 0 -*RES -1 *1456:Y *1457:A 29.5464 -*END - -*D_NET *280 0.0005241 -*CONN -*I *1369:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *1457:Y O *D sky130_fd_sc_hd__clkinv_8 -*CAP -1 *1369:A 0.00026205 -2 *1457:Y 0.00026205 -3 *374:DIODE *1369:A 0 -*RES -1 *1457:Y *1369:A 32.2786 -*END - -*D_NET *281 0.00133355 -*CONN -*I *1373:A I *D sky130_fd_sc_hd__einvp_2 -*I *1371:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1373:A 0.000324428 -2 *1371:X 0.000324428 -3 *1373:A *1371:A 0.000139779 -4 *1373:A *1376:A 0.00014285 -5 *1373:A *282:8 6.03639e-05 -6 *1373:A *288:8 9.74936e-05 -7 *1192:B *1373:A 5.59013e-05 -8 *154:11 *1373:A 0.000188311 -*RES -1 *1371:X *1373:A 36.1714 -*END - -*D_NET *282 0.00109022 -*CONN -*I *1373:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1376:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1375:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1373:Z 0 -2 *1376:A 0.000163375 -3 *1375:Z 0.000109846 -4 *282:8 0.000273221 -5 *1376:A *1373:TE 9.90431e-05 -6 *1192:B *1376:A 0.000181796 -7 *1373:A *1376:A 0.00014285 -8 *1373:A *282:8 6.03639e-05 -9 *154:11 *1376:A 5.97299e-05 -*RES -1 *1375:Z *282:8 20.55 -2 *282:8 *1376:A 13.5679 -3 *282:8 *1373:Z 9.3 -*END - -*D_NET *283 0.00146013 -*CONN -*I *1372:A I *D sky130_fd_sc_hd__einvp_2 -*I *1376:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1372:A 0.00032374 -2 *1376:Y 0.00032374 -3 *1372:A *1373:TE 0.000150622 -4 *1372:A *1391:A 0.000186387 -5 *1372:A *286:5 0.000132967 -6 *1372:A *287:8 0.000151003 -7 *387:DIODE *1372:A 0.000126851 -8 *1241:B1 *1372:A 6.48209e-05 -*RES -1 *1376:Y *1372:A 35.6 -*END - -*D_NET *284 0.017937 -*CONN -*I *428:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1370:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *429:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1454:A I *D sky130_fd_sc_hd__clkinv_2 -*I *1463:Z O *D sky130_fd_sc_hd__einvn_8 -*I *1461:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1466:Z O *D sky130_fd_sc_hd__einvp_1 -*CAP -1 *428:DIODE 0.000223822 -2 *1370:A 4.2758e-05 -3 *429:DIODE 0.00063555 -4 *1454:A 0 -5 *1463:Z 0.000221373 -6 *1461:Z 0 -7 *1466:Z 0.000325999 -8 *284:66 0.000359704 -9 *284:45 0.00133639 -10 *284:35 0.00286588 -11 *284:33 0.00373369 -12 *284:15 0.00192677 -13 *284:8 0.000369625 -14 *1370:A *288:8 5.20669e-05 -15 *284:8 *1460:A 0 -16 *284:8 *1461:A 0.000128161 -17 *284:8 *1466:A 0.000183875 -18 *284:33 *1462:TE 0.000126862 -19 *284:33 *1462:Z 9.41642e-05 -20 *284:33 *368:7 9.90367e-05 -21 *284:33 *373:11 7.1959e-05 -22 *419:DIODE *284:8 4.1331e-06 -23 *419:DIODE *284:15 4.57445e-05 -24 *419:DIODE *284:33 6.92148e-06 -25 *1106:A *284:8 5.33433e-05 -26 *1139:A1 *429:DIODE 3.30237e-05 -27 *1139:A3 *429:DIODE 1.24368e-05 -28 *1140:A *429:DIODE 0.000230475 -29 *1140:B *429:DIODE 7.49387e-06 -30 *1151:A *284:35 2.89016e-05 -31 *1151:B *284:35 2.9561e-05 -32 *1155:B *284:35 2.89114e-05 -33 *1156:A *284:45 0.00018372 -34 *1156:B *284:45 0.000185764 -35 *1158:A *284:35 5.33433e-05 -36 *1158:B *428:DIODE 9.25014e-06 -37 *1158:B *284:66 0.000176234 -38 *1160:A2 *284:35 2.42547e-05 -39 *1160:A2 *284:45 5.5033e-05 -40 *1179:A1 *284:45 0 -41 *1179:B1 *284:45 4.94362e-05 -42 *1180:B *284:45 0.000563877 -43 *1180:C *284:45 0 -44 *1180:D *284:66 0 -45 *1192:B *428:DIODE 0 -46 *1197:A3 *284:35 1.66856e-05 -47 *1198:A *284:33 0.000142053 -48 *1198:B *284:33 3.30352e-05 -49 *1199:B *284:33 0.000260574 -50 *1202:B1 *284:35 5.33005e-05 -51 *1203:B *284:35 6.4549e-05 -52 *1204:A1 *284:35 8.25843e-06 -53 *1206:A *284:35 1.21289e-05 -54 *1207:A1 *284:35 1.92789e-05 -55 *1213:B2 *284:33 9.71197e-05 -56 *1215:B *284:35 4.96155e-05 -57 *1216:A1 *284:35 5.018e-05 -58 *1312:A2 *284:33 8.7299e-05 -59 *1346:RESET_B *284:8 0 -60 *1455:A *429:DIODE 5.71472e-05 -61 *10:21 *284:45 1.63453e-05 -62 *11:10 *429:DIODE 4.57473e-05 -63 *28:10 *284:8 0 -64 *108:8 *429:DIODE 3.02733e-05 -65 *112:9 *284:45 0 -66 *125:5 *284:35 0.000149489 -67 *135:8 *428:DIODE 0 -68 *138:13 *284:35 0.00018077 -69 *150:13 *284:8 0.000677494 -70 *150:13 *284:15 0.000119618 -71 *150:13 *284:33 0.000259978 -72 *154:11 *428:DIODE 0.000185118 -73 *157:32 *284:33 0.000196874 -74 *157:39 *284:33 0.000109454 -75 *157:39 *284:35 4.0243e-05 -76 *161:11 *284:35 2.89016e-05 -77 *169:20 *284:35 0.000365968 -*RES -1 *1466:Z *284:8 23.3714 -2 *284:8 *1461:Z 13.8 -3 *284:8 *284:15 1.64286 -4 *284:15 *1463:Z 16.8 -5 *284:15 *284:33 31.8661 -6 *284:33 *284:35 34.8304 -7 *284:35 *284:45 23.6607 -8 *284:45 *1454:A 9.3 -9 *284:45 *429:DIODE 27.3 -10 *284:35 *284:66 6.75 -11 *284:66 *1370:A 14.7464 -12 *284:66 *428:DIODE 17.2107 -*END - -*D_NET *285 0.000963618 -*CONN -*I *1391:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1372:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1374:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1391:A 6.12567e-05 -2 *1372:Z 0 -3 *1374:Z 0.000167004 -4 *285:9 0.00022826 -5 *1391:A *286:5 0.000229777 -6 *285:9 *286:5 6.85372e-05 -7 *1372:A *1391:A 0.000186387 -8 *12:11 *285:9 2.23958e-05 -*RES -1 *1374:Z *285:9 21.3 -2 *285:9 *1372:Z 9.3 -3 *285:9 *1391:A 11.9071 -*END - -*D_NET *286 0.00244622 -*CONN -*I *1372:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1374:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1241:Y O *D sky130_fd_sc_hd__o21ai_2 -*CAP -1 *1372:TE 0 -2 *1374:TE_B 0.000478573 -3 *1241:Y 0.00021454 -4 *286:5 0.000693113 -5 *286:5 *310:8 9.90431e-05 -6 *401:DIODE *1374:TE_B 0.000129595 -7 *401:DIODE *286:5 0.00040008 -8 *1372:A *286:5 0.000132967 -9 *1391:A *286:5 0.000229777 -10 *1457:A *1374:TE_B 0 -11 *5:8 *1374:TE_B 0 -12 *285:9 *286:5 6.85372e-05 -*RES -1 *1241:Y *286:5 17.2286 -2 *286:5 *1374:TE_B 27.1393 -3 *286:5 *1372:TE 9.3 -*END - -*D_NET *287 0.00531392 -*CONN -*I *1375:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1373:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1282:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1375:TE_B 0 -2 *1373:TE 0.000161727 -3 *1282:X 0.00106936 -4 *287:8 0.00123109 -5 *1373:TE *288:8 7.18627e-05 -6 *287:8 *1371:A 6.02083e-05 -7 *287:8 *1392:A 0.000195555 -8 *287:8 *1396:TE_B 0 -9 *287:8 *1418:A 5.89471e-05 -10 *287:8 *288:8 6.93626e-06 -11 *287:8 *310:8 0.000148903 -12 *287:8 *322:8 4.63305e-05 -13 *287:8 *328:9 6.71766e-05 -14 *387:DIODE *1373:TE 3.57844e-05 -15 *401:DIODE *287:8 0 -16 *404:DIODE *287:8 0.000168907 -17 *405:DIODE *287:8 2.23592e-05 -18 *1254:A2 *287:8 9.84729e-05 -19 *1254:B1 *287:8 0.000135028 -20 *1267:A1 *287:8 0.000315806 -21 *1267:A2 *287:8 0.000401791 -22 *1267:B1 *287:8 5.33005e-05 -23 *1372:A *1373:TE 0.000150622 -24 *1372:A *287:8 0.000151003 -25 *1376:A *1373:TE 9.90431e-05 -26 *5:14 *287:8 0 -27 *13:13 *287:8 0 -28 *154:11 *1373:TE 9.90431e-05 -29 *213:37 *287:8 0.000464662 -*RES -1 *1282:X *287:8 40.6393 -2 *287:8 *1373:TE 18.1393 -3 *287:8 *1375:TE_B 13.8 -*END - -*D_NET *288 0.00287793 -*CONN -*I *1371:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1375:A I *D sky130_fd_sc_hd__einvn_4 -*I *1374:A I *D sky130_fd_sc_hd__einvn_8 -*I *1370:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1371:A 0.000175753 -2 *1375:A 0 -3 *1374:A 8.69257e-05 -4 *1370:X 0.000456878 -5 *288:11 0.000248333 -6 *288:8 0.000794037 -7 *1371:A *1392:A 1.31516e-05 -8 *1371:A *310:8 7.6021e-05 -9 *387:DIODE *288:8 0 -10 *1158:B *288:8 2.89114e-05 -11 *1192:B *288:8 0.000171375 -12 *1370:A *288:8 5.20669e-05 -13 *1373:A *1371:A 0.000139779 -14 *1373:A *288:8 9.74936e-05 -15 *1373:TE *288:8 7.18627e-05 -16 *12:11 *1374:A 0.000186765 -17 *12:11 *288:11 0.000156205 -18 *135:13 *288:8 5.52302e-05 -19 *287:8 *1371:A 6.02083e-05 -20 *287:8 *288:8 6.93626e-06 -*RES -1 *1370:X *288:8 21.8893 -2 *288:8 *288:11 7.5 -3 *288:11 *1374:A 11.4964 -4 *288:11 *1375:A 9.3 -5 *288:8 *1371:A 18.4786 -*END - -*D_NET *289 0.0007187 -*CONN -*I *1380:A I *D sky130_fd_sc_hd__einvp_2 -*I *1378:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1380:A 0.000228401 -2 *1378:X 0.000228401 -3 *1380:A *1449:TE 0.000100691 -4 *1380:A *1450:TE 9.71197e-05 -5 *226:85 *1380:A 6.40869e-05 -*RES -1 *1378:X *1380:A 32.3321 -*END - -*D_NET *290 0.00136586 -*CONN -*I *1380:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1383:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1382:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1380:Z 0.000100574 -2 *1383:A 0.000386222 -3 *1382:Z 0 -4 *290:4 0.000486796 -5 *1380:Z *1377:A 0 -6 *1380:Z *1378:A 0 -7 *1380:Z *1381:A 1.19402e-05 -8 *1383:A *295:8 0.000126446 -9 *1312:B1 *1383:A 0.000144075 -10 *25:26 *1383:A 0 -11 *226:85 *1380:Z 0.000109809 -*RES -1 *1382:Z *290:4 9.3 -2 *290:4 *1383:A 24.7107 -3 *290:4 *1380:Z 20.8536 -*END - -*D_NET *291 0.00153618 -*CONN -*I *1379:A I *D sky130_fd_sc_hd__einvp_2 -*I *1383:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1379:A 0.000464847 -2 *1383:Y 0.000464847 -3 *1379:A *1379:TE 0.00044366 -4 *1312:A2 *1379:A 6.87146e-05 -5 *1312:B1 *1379:A 9.41114e-05 -*RES -1 *1383:Y *1379:A 27.4929 -*END - -*D_NET *292 0.00115525 -*CONN -*I *1449:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1377:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1451:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1449:Z 2.84912e-05 -2 *1377:A 0.000153451 -3 *1451:Z 0.00020948 -4 *292:6 0.000391422 -5 *1377:A *1378:A 0.000177234 -6 *1377:A *1381:A 0 -7 *1449:Z *1449:A 5.52302e-05 -8 *292:6 *1378:A 0.000139948 -9 *292:6 *1449:TE 0 -10 *1380:Z *1377:A 0 -*RES -1 *1451:Z *292:6 17.8714 -2 *292:6 *1377:A 16.9607 -3 *292:6 *1449:Z 14.3357 -*END - -*D_NET *293 0.000683976 -*CONN -*I *1379:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1384:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1381:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1379:Z 7.28785e-05 -2 *1384:A 0.000144131 -3 *1381:Z 3.96904e-05 -4 *293:7 0.0002567 -5 *1312:A2 *1379:Z 4.38511e-05 -6 *1312:A2 *1384:A 7.00271e-05 -7 *1313:A2 *1384:A 5.66971e-05 -*RES -1 *1381:Z *293:7 14.3357 -2 *293:7 *1384:A 16.8893 -3 *293:7 *1379:Z 15.4429 -*END - -*D_NET *294 0.0108305 -*CONN -*I *1381:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1379:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1275:X O *D sky130_fd_sc_hd__o31a_2 -*CAP -1 *1381:TE_B 0 -2 *1379:TE 0.000226418 -3 *1275:X 0.00147985 -4 *294:23 0.00128539 -5 *294:18 0.00253882 -6 *1379:TE *373:11 0 -7 *294:23 *373:11 0.000221634 -8 *1172:B *294:18 0.00014701 -9 *1252:A *294:23 6.77822e-05 -10 *1275:A2 *294:18 5.95413e-05 -11 *1285:B *294:18 0.00018077 -12 *1288:A *294:18 0.000181995 -13 *1288:B *294:18 0.000260574 -14 *1308:A2 *294:23 1.32389e-05 -15 *1308:A3 *294:23 5.33005e-05 -16 *1308:B1 *294:23 2.61099e-05 -17 *1312:A2 *1379:TE 0.000144773 -18 *1312:B1 *1379:TE 0.000183726 -19 *1314:B2 *294:23 7.32955e-05 -20 *1379:A *1379:TE 0.00044366 -21 *5:74 *294:18 9.41642e-05 -22 *5:90 *294:18 0.000561379 -23 *25:26 *1379:TE 0 -24 *37:20 *294:18 2.95726e-05 -25 *93:55 *294:18 7.83587e-05 -26 *140:8 *294:18 7.6644e-05 -27 *146:7 *294:18 0.00137513 -28 *208:34 *294:18 3.97677e-05 -29 *211:40 *294:18 9.22103e-06 -30 *217:22 *294:18 0.000172303 -31 *232:10 *294:18 5.52302e-05 -32 *246:18 *1379:TE 6.88635e-05 -33 *246:18 *294:23 0.000681946 -*RES -1 *1275:X *294:18 47.2643 -2 *294:18 *294:23 15.25 -3 *294:23 *1379:TE 25.0857 -4 *294:23 *1381:TE_B 9.3 -*END - -*D_NET *295 0.000933423 -*CONN -*I *1380:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1382:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1312:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1380:TE 0.000144511 -2 *1382:TE_B 0 -3 *1312:X 8.7771e-05 -4 *295:8 0.000232282 -5 *1380:TE *1449:A 9.71197e-05 -6 *1312:B1 *295:8 5.71472e-05 -7 *1383:A *295:8 0.000126446 -8 *25:26 *1380:TE 0 -9 *246:18 *1380:TE 0.0001098 -10 *246:18 *295:8 7.83462e-05 -*RES -1 *1312:X *295:8 16.2821 -2 *295:8 *1382:TE_B 13.8 -3 *295:8 *1380:TE 17.3 -*END - -*D_NET *296 0.00180251 -*CONN -*I *1378:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1382:A I *D sky130_fd_sc_hd__einvn_4 -*I *1381:A I *D sky130_fd_sc_hd__einvn_8 -*I *1377:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1378:A 0.000214776 -2 *1382:A 0 -3 *1381:A 0.000245046 -4 *1377:X 0 -5 *296:9 0.000410482 -6 *296:4 0.000380212 -7 *1378:A *1449:TE 0 -8 *1312:A2 *1381:A 0.00022287 -9 *1377:A *1378:A 0.000177234 -10 *1377:A *1381:A 0 -11 *1380:Z *1378:A 0 -12 *1380:Z *1381:A 1.19402e-05 -13 *226:78 *1381:A 0 -14 *226:85 *1378:A 0 -15 *226:85 *1381:A 0 -16 *292:6 *1378:A 0.000139948 -*RES -1 *1377:X *296:4 9.3 -2 *296:4 *296:9 2.92857 -3 *296:9 *1381:A 23.2821 -4 *296:9 *1382:A 9.3 -5 *296:4 *1378:A 22.9071 -*END - -*D_NET *297 0.0012986 -*CONN -*I *1387:A I *D sky130_fd_sc_hd__einvp_2 -*I *1385:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1387:A 0.000250536 -2 *1385:X 0.000250536 -3 *1387:A *300:14 0.000177941 -4 *1387:A *303:6 0.000151775 -5 *1387:A *303:10 0.000129868 -6 *1312:A2 *1387:A 2.47319e-05 -7 *1313:A2 *1387:A 0.000313209 -8 *28:10 *1387:A 0 -*RES -1 *1385:X *1387:A 34.6714 -*END - -*D_NET *298 0.00127554 -*CONN -*I *1387:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1390:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1389:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1387:Z 0.000163063 -2 *1390:A 0.000222993 -3 *1389:Z 6.55616e-05 -4 *298:5 0.000451618 -5 *1390:A *1386:A 0.000100262 -6 *1390:A *1389:TE_B 5.52302e-05 -7 *1312:A2 *1387:Z 0 -8 *150:13 *1387:Z 5.10822e-05 -9 *226:78 *1387:Z 0.000165727 -*RES -1 *1389:Z *298:5 10.2464 -2 *298:5 *1390:A 13.1214 -3 *298:5 *1387:Z 22.3714 -*END - -*D_NET *299 0.000553504 -*CONN -*I *1386:A I *D sky130_fd_sc_hd__einvp_2 -*I *1390:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1386:A 0.000176206 -2 *1390:Y 0.000176206 -3 *1386:A *1389:TE_B 0.000100831 -4 *1386:A *373:11 0 -5 *1390:A *1386:A 0.000100262 -*RES -1 *1390:Y *1386:A 30.2964 -*END - -*D_NET *300 0.00344757 -*CONN -*I *1464:A I *D sky130_fd_sc_hd__einvn_4 -*I *1463:A I *D sky130_fd_sc_hd__einvn_8 -*I *1460:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1386:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1388:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1464:A 0.000190558 -2 *1463:A 0 -3 *1460:A 0.000160376 -4 *1386:Z 0.000458378 -5 *1388:Z 3.52567e-05 -6 *300:16 0.000210503 -7 *300:14 0.000481325 -8 *300:5 0.000734274 -9 *1386:Z *1388:TE_B 0.000100126 -10 *1460:A *1462:A 0.000147332 -11 *1464:A *1462:TE 0.000136958 -12 *1464:A *1462:Z 0.000114655 -13 *300:14 *1462:A 9.25014e-06 -14 *300:14 *303:10 1.18064e-05 -15 *300:16 *1462:A 0.000153047 -16 *419:DIODE *1460:A 9.80071e-05 -17 *1312:A2 *1460:A 1.49084e-05 -18 *1312:A2 *300:14 9.49225e-05 -19 *1312:A2 *300:16 5.16489e-05 -20 *1387:A *300:14 0.000177941 -21 *28:10 *1460:A 0 -22 *28:10 *300:14 0 -23 *150:13 *1386:Z 6.63007e-05 -24 *284:8 *1460:A 0 -*RES -1 *1388:Z *300:5 9.83571 -2 *300:5 *1386:Z 16.4071 -3 *300:5 *300:14 10.3929 -4 *300:14 *300:16 1.94643 -5 *300:16 *1460:A 17.6036 -6 *300:16 *1463:A 13.8 -7 *300:14 *1464:A 17.2107 -*END - -*D_NET *301 0.0125274 -*CONN -*I *1386:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1388:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1277:X O *D sky130_fd_sc_hd__a32o_2 -*CAP -1 *1386:TE 0 -2 *1388:TE_B 0.000151212 -3 *1277:X 0.00279844 -4 *301:17 0.000633977 -5 *301:13 0.0032812 -6 *301:13 *317:24 6.6313e-05 -7 *301:17 *364:9 0.000153047 -8 *301:17 *372:14 0.000572998 -9 *301:17 *373:11 9.91655e-05 -10 *410:DIODE *301:17 0.000217209 -11 *1181:B *1388:TE_B 0.000225988 -12 *1181:B *301:17 2.28499e-05 -13 *1254:B1 *301:13 9.41642e-05 -14 *1255:A *301:13 0.00056551 -15 *1262:C1 *301:13 7.77652e-05 -16 *1272:A2 *301:13 2.38243e-05 -17 *1309:A2 *301:17 4.52779e-05 -18 *1386:Z *1388:TE_B 0.000100126 -19 *22:8 *301:13 0 -20 *25:26 *301:17 0.000617715 -21 *92:67 *301:13 0 -22 *150:13 *1388:TE_B 8.80828e-05 -23 *162:68 *301:13 4.49626e-05 -24 *197:66 *301:13 0 -25 *204:8 *301:13 5.52302e-05 -26 *206:30 *301:13 0.000142856 -27 *206:46 *301:13 0.000693755 -28 *206:60 *301:13 3.82227e-06 -29 *212:13 *301:13 2.24763e-05 -30 *213:37 *301:13 7.84399e-05 -31 *215:15 *301:13 0.000283958 -32 *218:56 *301:13 0.00113426 -33 *220:21 *301:13 4.00349e-05 -34 *272:10 *301:17 0.000192792 -*RES -1 *1277:X *301:13 42.514 -2 *301:13 *301:17 19.8839 -3 *301:17 *1388:TE_B 13.55 -4 *301:17 *1386:TE 9.3 -*END - -*D_NET *302 0.00172315 -*CONN -*I *1387:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1389:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1313:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *1387:TE 3.77071e-05 -2 *1389:TE_B 0.000274873 -3 *1313:X 0.000169941 -4 *302:5 0.000482521 -5 *1389:TE_B *373:11 0 -6 *1313:A2 *1387:TE 5.77498e-05 -7 *1313:A2 *302:5 0.000325894 -8 *1386:A *1389:TE_B 0.000100831 -9 *1390:A *1389:TE_B 5.52302e-05 -10 *139:5 *302:5 0.000218409 -11 *226:78 *1389:TE_B 0 -*RES -1 *1313:X *302:5 13.5321 -2 *302:5 *1389:TE_B 23.2107 -3 *302:5 *1387:TE 10.242 -*END - -*D_NET *303 0.00111733 -*CONN -*I *1388:A I *D sky130_fd_sc_hd__einvn_8 -*I *1385:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1389:A I *D sky130_fd_sc_hd__einvn_4 -*I *1384:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1388:A 0 -2 *1385:A 0.000108216 -3 *1389:A 6.8404e-05 -4 *1384:X 4.13186e-05 -5 *303:10 0.000223332 -6 *303:6 8.80306e-05 -7 *1312:A2 *303:6 0.000141524 -8 *1312:A2 *303:10 0.000153054 -9 *1387:A *303:6 0.000151775 -10 *1387:A *303:10 0.000129868 -11 *300:14 *303:10 1.18064e-05 -*RES -1 *1384:X *303:6 15.7464 -2 *303:6 *303:10 6.44643 -3 *303:10 *1389:A 10.2464 -4 *303:10 *1385:A 11.0857 -5 *303:6 *1388:A 13.8 -*END - -*D_NET *304 0.00136323 -*CONN -*I *1394:A I *D sky130_fd_sc_hd__einvp_2 -*I *1392:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1394:A 0.000415364 -2 *1392:X 0.000415364 -3 *1394:A *1398:A 0.000121866 -4 *1394:A *305:8 0.000122935 -5 *30:17 *1394:A 0.000287706 -*RES -1 *1392:X *1394:A 34.2964 -*END - -*D_NET *305 0.000801469 -*CONN -*I *1394:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1397:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1396:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1394:Z 0 -2 *1397:A 7.77579e-05 -3 *1396:Z 0.000143507 -4 *305:8 0.000221265 -5 *1397:A *1398:A 9.71197e-05 -6 *305:8 *1396:TE_B 0 -7 *305:8 *1398:A 2.1891e-05 -8 *305:8 *307:8 2.84398e-05 -9 *1394:A *305:8 0.000122935 -10 *36:16 *1397:A 8.85532e-05 -*RES -1 *1396:Z *305:8 21.1571 -2 *305:8 *1397:A 11.0679 -3 *305:8 *1394:Z 9.3 -*END - -*D_NET *306 0.000429416 -*CONN -*I *1393:A I *D sky130_fd_sc_hd__einvp_2 -*I *1397:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1393:A 9.65606e-05 -2 *1397:Y 9.65606e-05 -3 *36:16 *1393:A 0.000179391 -4 *37:13 *1393:A 5.69036e-05 -*RES -1 *1397:Y *1393:A 29.7786 -*END - -*D_NET *307 0.00223485 -*CONN -*I *1398:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1393:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1395:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1398:A 0.00032973 -2 *1393:Z 0.000137308 -3 *1395:Z 0.000227301 -4 *307:8 0.000694339 -5 *1398:A *1394:TE 7.72663e-05 -6 *1398:A *309:21 2.89114e-05 -7 *307:8 *1396:A 0 -8 *1394:A *1398:A 0.000121866 -9 *1397:A *1398:A 9.71197e-05 -10 *5:8 *307:8 0 -11 *5:11 *307:8 3.57488e-05 -12 *30:17 *1393:Z 5.33005e-05 -13 *30:17 *1398:A 3.40456e-05 -14 *30:17 *307:8 3.17148e-05 -15 *36:16 *1398:A 6.24351e-05 -16 *36:27 *1398:A 7.15905e-06 -17 *37:13 *1398:A 0.000128969 -18 *37:13 *307:8 7.252e-05 -19 *200:5 *1393:Z 4.4787e-05 -20 *305:8 *1398:A 2.1891e-05 -21 *305:8 *307:8 2.84398e-05 -*RES -1 *1395:Z *307:8 18.2107 -2 *307:8 *1393:Z 15.9786 -3 *307:8 *1398:A 20.9964 -*END - -*D_NET *308 0.000564314 -*CONN -*I *1393:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1395:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1250:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1393:TE 3.82715e-05 -2 *1395:TE_B 0.000116424 -3 *1250:X 4.76687e-05 -4 *308:5 0.000202364 -5 *1395:TE_B *1456:A 0 -6 *388:DIODE *1395:TE_B 0 -7 *5:8 *1395:TE_B 0 -8 *36:16 *1395:TE_B 6.19181e-05 -9 *200:7 *1393:TE 4.72917e-05 -10 *200:7 *308:5 5.03772e-05 -*RES -1 *1250:X *308:5 10.2464 -2 *308:5 *1395:TE_B 20.55 -3 *308:5 *1393:TE 10.2464 -*END - -*D_NET *309 0.00617217 -*CONN -*I *1396:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1394:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1286:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *1396:TE_B 0.000167382 -2 *1394:TE 3.1319e-05 -3 *1286:X 0.00120903 -4 *309:21 0.00140773 -5 *1396:TE_B *1392:A 0 -6 *309:21 *1415:A 2.44318e-05 -7 *309:21 *1415:Z 0.00014183 -8 *309:21 *317:18 0.000310744 -9 *1244:A_N *309:21 5.52238e-05 -10 *1273:B1 *309:21 0.000169359 -11 *1276:A *309:21 5.16532e-05 -12 *1276:B *309:21 0.000271345 -13 *1278:A1 *309:21 6.62752e-05 -14 *1279:B1 *309:21 2.89114e-05 -15 *1281:A1 *309:21 1.21258e-05 -16 *1281:A2 *309:21 5.33005e-05 -17 *1281:B1 *309:21 9.50968e-06 -18 *1302:B1 *309:21 0.000144135 -19 *1398:A *1394:TE 7.72663e-05 -20 *1398:A *309:21 2.89114e-05 -21 *15:14 *309:21 9.76689e-05 -22 *36:27 *1394:TE 7.70854e-05 -23 *36:27 *309:21 0.00054378 -24 *164:15 *309:21 4.13595e-05 -25 *202:5 *309:21 5.67624e-05 -26 *202:20 *309:21 0.000269428 -27 *216:25 *309:21 0.000508582 -28 *224:14 *309:21 0.00022286 -29 *275:60 *309:21 9.41642e-05 -30 *287:8 *1396:TE_B 0 -31 *305:8 *1396:TE_B 0 -*RES -1 *1286:X *309:21 39.8714 -2 *309:21 *1394:TE 10.6571 -3 *309:21 *1396:TE_B 20.8536 -*END - -*D_NET *310 0.00238867 -*CONN -*I *1392:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1395:A I *D sky130_fd_sc_hd__einvn_8 -*I *1396:A I *D sky130_fd_sc_hd__einvn_4 -*I *1391:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1392:A 0.000104554 -2 *1395:A 0 -3 *1396:A 0.000179122 -4 *1391:X 7.81573e-05 -5 *310:13 0.000422985 -6 *310:8 0.000426575 -7 *401:DIODE *310:8 9.71197e-05 -8 *1371:A *1392:A 1.31516e-05 -9 *1371:A *310:8 7.6021e-05 -10 *1396:TE_B *1392:A 0 -11 *5:11 *310:13 0.00026588 -12 *30:17 *1396:A 9.77074e-05 -13 *200:5 *1396:A 0.0001839 -14 *286:5 *310:8 9.90431e-05 -15 *287:8 *1392:A 0.000195555 -16 *287:8 *310:8 0.000148903 -17 *307:8 *1396:A 0 -*RES -1 *1391:X *310:8 16.6929 -2 *310:8 *310:13 9.57143 -3 *310:13 *1396:A 22.0143 -4 *310:13 *1395:A 9.3 -5 *310:8 *1392:A 16.3536 -*END - -*D_NET *311 0.00112731 -*CONN -*I *1401:A I *D sky130_fd_sc_hd__einvp_2 -*I *1399:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1401:A 0.000427535 -2 *1399:X 0.000427535 -3 *1401:A *1440:A 0.000272242 -*RES -1 *1399:X *1401:A 24.4929 -*END - -*D_NET *312 0.00286865 -*CONN -*I *1404:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1401:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1403:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1404:A 0.000576211 -2 *1401:Z 2.85076e-05 -3 *1403:Z 0.000154948 -4 *312:8 0.000759667 -5 *1401:Z *1440:A 5.52238e-05 -6 *1404:A *1400:A 0.00076505 -7 *1404:A *1440:A 0.00032823 -8 *1404:A *1442:Z 0.000100261 -9 *312:8 *1400:TE 0 -10 *312:8 *1401:TE 0 -11 *18:5 *312:8 0 -12 *19:5 *312:8 0 -13 *226:114 *312:8 0.000100547 -*RES -1 *1403:Z *312:8 20.8536 -2 *312:8 *1401:Z 9.83571 -3 *312:8 *1404:A 22.1929 -*END - -*D_NET *313 0.00144952 -*CONN -*I *1400:A I *D sky130_fd_sc_hd__einvp_2 -*I *1404:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1400:A 0.000151055 -2 *1404:Y 0.000151055 -3 *1400:A *1399:A 9.54221e-05 -4 *1400:A *1440:A 0.000265461 -5 *1400:A *1442:Z 2.14757e-05 -6 *1404:A *1400:A 0.00076505 -*RES -1 *1404:Y *1400:A 25.2964 -*END - -*D_NET *314 0.00151989 -*CONN -*I *1400:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1405:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1402:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1400:Z 8.65484e-05 -2 *1405:A 4.66437e-05 -3 *1402:Z 0.000291 -4 *314:9 0.000424192 -5 *1405:A *357:13 5.4959e-05 -6 *314:9 *1399:A 0.000102507 -7 *314:9 *1402:A 0.000100986 -8 *314:9 *1442:TE 0.000310605 -9 *314:9 *357:13 4.04415e-05 -10 *314:9 *359:8 8.67814e-06 -11 *19:5 *1400:Z 4.08525e-05 -12 *20:9 *314:9 1.24735e-05 -13 *24:22 *1400:Z 0 -*RES -1 *1402:Z *314:9 24.675 -2 *314:9 *1405:A 10.2464 -3 *314:9 *1400:Z 19.9429 -*END - -*D_NET *315 0.00254893 -*CONN -*I *1400:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1402:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1253:X O *D sky130_fd_sc_hd__a211o_2 -*CAP -1 *1400:TE 0.00021214 -2 *1402:TE_B 0 -3 *1253:X 0.000328176 -4 *315:8 0.000540316 -5 *1400:TE *1403:A 4.06094e-05 -6 *1400:TE *317:24 5.93726e-05 -7 *315:8 *317:24 4.70547e-05 -8 *409:DIODE *315:8 0.000180336 -9 *1253:A2 *315:8 2.89114e-05 -10 *1298:B2 *315:8 0.000148196 -11 *19:5 *1400:TE 0.000152352 -12 *19:5 *315:8 7.92596e-06 -13 *30:23 *315:8 6.05161e-06 -14 *197:66 *315:8 6.32026e-05 -15 *226:114 *1400:TE 7.48906e-05 -16 *226:114 *315:8 0.000145662 -17 *236:8 *315:8 0.000513731 -18 *312:8 *1400:TE 0 -*RES -1 *1253:X *315:8 23.2643 -2 *315:8 *1402:TE_B 13.8 -3 *315:8 *1400:TE 18.7821 -*END - -*D_NET *316 0.000879696 -*CONN -*I *1401:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1403:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1289:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *1401:TE 0.000131034 -2 *1403:TE_B 0 -3 *1289:X 0.000124904 -4 *316:8 0.000255938 -5 *1401:TE *1440:A 9.90431e-05 -6 *407:DIODE *316:8 5.66971e-05 -7 *18:5 *1401:TE 8.17359e-05 -8 *18:5 *316:8 7.70015e-05 -9 *226:114 *1401:TE 5.33433e-05 -10 *312:8 *1401:TE 0 -*RES -1 *1289:X *316:8 16.2821 -2 *316:8 *1403:TE_B 13.8 -3 *316:8 *1401:TE 16.9964 -*END - -*D_NET *317 0.0131342 -*CONN -*I *1403:A I *D sky130_fd_sc_hd__einvn_4 -*I *1399:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1402:A I *D sky130_fd_sc_hd__einvn_8 -*I *1398:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1403:A 2.72783e-05 -2 *1399:A 0.00015819 -3 *1402:A 8.38499e-05 -4 *1398:X 0.00251239 -5 *317:29 0.000710887 -6 *317:24 0.0012703 -7 *317:18 0.00328657 -8 *1399:A *1440:A 6.33085e-05 -9 *1399:A *359:8 0 -10 *1403:A *323:15 6.95774e-05 -11 *317:18 *1415:A 0.0001399 -12 *317:18 *1415:Z 2.14658e-05 -13 *317:24 *323:15 0.000278396 -14 *317:29 *1442:TE 9.04963e-05 -15 *317:29 *357:13 0.000211423 -16 *406:DIODE *317:18 0.000419482 -17 *1246:B *317:18 4.18606e-05 -18 *1273:B1 *317:18 1.39841e-05 -19 *1276:A *317:18 0.000523464 -20 *1278:A1 *317:18 9.76491e-05 -21 *1281:A1 *317:18 2.59355e-05 -22 *1281:A2 *317:18 1.28171e-05 -23 *1281:B1 *317:18 9.50968e-06 -24 *1282:B1 *317:18 5.49544e-05 -25 *1286:A1 *317:18 0.0001399 -26 *1286:A2 *317:18 8.25897e-05 -27 *1293:C *317:24 7.52701e-05 -28 *1297:B *317:24 0.000202513 -29 *1400:A *1399:A 9.54221e-05 -30 *1400:TE *1403:A 4.06094e-05 -31 *1400:TE *317:24 5.93726e-05 -32 *15:14 *317:18 0.000178847 -33 *20:9 *1399:A 0 -34 *20:9 *1402:A 0 -35 *24:22 *1399:A 0.000119098 -36 *24:22 *1402:A 5.59687e-05 -37 *92:67 *317:24 0.000180142 -38 *162:68 *317:24 0.000310099 -39 *164:15 *317:18 2.74981e-06 -40 *164:15 *317:24 0.000155537 -41 *197:66 *317:24 6.47254e-05 -42 *216:25 *317:18 8.01028e-05 -43 *224:14 *317:18 0.000180305 -44 *236:8 *317:24 0.000359692 -45 *301:13 *317:24 6.6313e-05 -46 *309:21 *317:18 0.000310744 -47 *314:9 *1399:A 0.000102507 -48 *314:9 *1402:A 0.000100986 -49 *315:8 *317:24 4.70547e-05 -*RES -1 *1398:X *317:18 48.0768 -2 *317:18 *317:24 17.0131 -3 *317:24 *317:29 15.2857 -4 *317:29 *1402:A 15.7464 -5 *317:29 *1399:A 18.3179 -6 *317:24 *1403:A 14.8357 -*END - -*D_NET *318 0.00110375 -*CONN -*I *1408:A I *D sky130_fd_sc_hd__einvp_2 -*I *1406:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1408:A 0.00034352 -2 *1406:X 0.00034352 -3 *1408:A *1411:A 3.29628e-05 -4 *1408:A *1440:A 4.70078e-05 -5 *1408:A *319:9 0.000141941 -6 *21:26 *1408:A 2.38501e-05 -7 *226:132 *1408:A 0.000170946 -*RES -1 *1406:X *1408:A 33.2964 -*END - -*D_NET *319 0.00131624 -*CONN -*I *1408:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1411:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1410:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1408:Z 0 -2 *1411:A 0.000115752 -3 *1410:Z 0.000189997 -4 *319:9 0.000305749 -5 *1411:A *1407:A 3.92854e-05 -6 *1411:A *1434:A 0 -7 *319:9 *1408:TE 0.000138483 -8 *319:9 *324:7 9.84729e-05 -9 *399:DIODE *1411:A 5.52238e-05 -10 *1408:A *1411:A 3.29628e-05 -11 *1408:A *319:9 0.000141941 -12 *21:26 *319:9 0.000198372 -*RES -1 *1410:Z *319:9 14.4964 -2 *319:9 *1411:A 20.4786 -3 *319:9 *1408:Z 9.3 -*END - -*D_NET *320 0.0037721 -*CONN -*I *1407:A I *D sky130_fd_sc_hd__einvp_2 -*I *1411:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1407:A 0.00116064 -2 *1411:Y 0.00116064 -3 *1407:A *1434:A 0 -4 *1407:A *1438:A 2.85321e-05 -5 *1407:A *347:10 0.000181114 -6 *1407:A *352:21 0.000573504 -7 *1407:A *352:33 0.000567642 -8 *1411:A *1407:A 3.92854e-05 -9 *21:26 *1407:A 6.07474e-05 -*RES -1 *1411:Y *1407:A 44.3857 -*END - -*D_NET *321 0.00121419 -*CONN -*I *1412:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1407:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1409:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1412:A 0.000172299 -2 *1407:Z 4.50498e-05 -3 *1409:Z 8.26e-05 -4 *321:6 0.000299949 -5 *1407:Z *337:9 0.000224582 -6 *1412:A *1427:A 2.89016e-05 -7 *1412:A *1435:TE 2.07809e-05 -8 *1412:A *350:10 4.02704e-05 -9 *321:6 *1435:TE 5.83677e-05 -10 *21:26 *1407:Z 0.000224582 -11 *197:53 *321:6 1.6806e-05 -*RES -1 *1409:Z *321:6 15.4429 -2 *321:6 *1407:Z 15.9786 -3 *321:6 *1412:A 16.8893 -*END - -*D_NET *322 0.00141406 -*CONN -*I *1407:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1409:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1254:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1407:TE 0.000140965 -2 *1409:TE_B 0 -3 *1254:X 0.000131917 -4 *322:8 0.000272883 -5 *1407:TE *1427:A 0.000148921 -6 *1407:TE *337:9 2.38501e-05 -7 *322:8 *331:17 6.14702e-06 -8 *402:DIODE *1407:TE 0.000182811 -9 *1254:B1 *322:8 5.33005e-05 -10 *1268:A1 *1407:TE 0.000184438 -11 *1268:A1 *322:8 0.000222492 -12 *287:8 *322:8 4.63305e-05 -*RES -1 *1254:X *322:8 17.1036 -2 *322:8 *1409:TE_B 13.8 -3 *322:8 *1407:TE 18.1214 -*END - -*D_NET *323 0.00340167 -*CONN -*I *1410:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1408:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1294:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1410:TE_B 0 -2 *1408:TE 0.000151554 -3 *1294:X 0.000361041 -4 *323:15 0.000512594 -5 *1408:TE *324:7 0.000165999 -6 *1408:TE *357:13 0.000225995 -7 *323:15 *324:7 0.000491319 -8 *323:15 *357:13 0.000500473 -9 *1260:A *323:15 2.96122e-05 -10 *1293:C *323:15 3.2923e-05 -11 *1403:A *323:15 6.95774e-05 -12 *21:26 *1408:TE 0.000370983 -13 *226:114 *323:15 7.27183e-05 -14 *317:24 *323:15 0.000278396 -15 *319:9 *1408:TE 0.000138483 -*RES -1 *1294:X *323:15 30.2464 -2 *323:15 *1408:TE 15.7286 -3 *323:15 *1410:TE_B 9.3 -*END - -*D_NET *324 0.00743907 -*CONN -*I *1406:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1410:A I *D sky130_fd_sc_hd__einvn_4 -*I *1409:A I *D sky130_fd_sc_hd__einvn_8 -*I *1405:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1406:A 0.000102737 -2 *1410:A 0 -3 *1409:A 0.0010798 -4 *1405:X 0.000921261 -5 *324:8 0.00111222 -6 *324:7 0.00105643 -7 *1406:A *1440:A 9.90431e-05 -8 *1409:A *1435:A 5.66472e-05 -9 *1409:A *1435:TE 0.000185358 -10 *1409:A *1435:Z 0.000182749 -11 *1409:A *337:8 0 -12 *1409:A *344:13 0.000210043 -13 *324:7 *357:13 6.88108e-05 -14 *324:8 *337:8 0 -15 *413:DIODE *1409:A 3.7652e-05 -16 *414:DIODE *1409:A 6.7116e-05 -17 *1265:B1 *1409:A 0.000357271 -18 *1270:A2 *1409:A 0.000225367 -19 *1301:A2 *1409:A 4.25489e-05 -20 *1304:C1 *1409:A 1.12669e-05 -21 *1307:A3 *1409:A 0.000111082 -22 *1408:TE *324:7 0.000165999 -23 *17:7 *1406:A 5.74562e-05 -24 *17:7 *1409:A 1.97087e-05 -25 *17:7 *324:8 2.42797e-05 -26 *22:8 *1409:A 0.000197691 -27 *24:31 *1409:A 0.000357705 -28 *226:132 *1406:A 9.90431e-05 -29 *319:9 *324:7 9.84729e-05 -30 *323:15 *324:7 0.000491319 -*RES -1 *1405:X *324:7 26.2464 -2 *324:7 *324:8 0.732143 -3 *324:8 *1409:A 37.1402 -4 *324:8 *1410:A 13.8 -5 *324:7 *1406:A 16.3893 -*END - -*D_NET *325 0.00155253 -*CONN -*I *1415:A I *D sky130_fd_sc_hd__einvp_2 -*I *1413:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1415:A 0.000197152 -2 *1413:X 0.000197152 -3 *1415:A *1415:Z 0.000243777 -4 *1415:A *1418:A 0.000234017 -5 *1415:A *331:17 4.37079e-05 -6 *13:13 *1415:A 0.000472396 -7 *309:21 *1415:A 2.44318e-05 -8 *317:18 *1415:A 0.0001399 -*RES -1 *1413:X *1415:A 35.1536 -*END - -*D_NET *326 0.00204531 -*CONN -*I *1418:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1415:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1417:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1418:A 0.00013593 -2 *1415:Z 0.000104523 -3 *1417:Z 0 -4 *326:5 0.000240453 -5 *1415:Z *1415:TE 6.82922e-05 -6 *1415:Z *331:17 3.34366e-05 -7 *1418:A *331:17 0.000244268 -8 *1254:A2 *1418:A 0.000143633 -9 *1254:B1 *1418:A 5.33005e-05 -10 *1268:A1 *1418:A 0.000123498 -11 *1415:A *1415:Z 0.000243777 -12 *1415:A *1418:A 0.000234017 -13 *13:13 *1415:Z 1.08359e-05 -14 *200:39 *1418:A 0.0001871 -15 *287:8 *1418:A 5.89471e-05 -16 *309:21 *1415:Z 0.00014183 -17 *317:18 *1415:Z 2.14658e-05 -*RES -1 *1417:Z *326:5 13.8 -2 *326:5 *1415:Z 17.4071 -3 *326:5 *1418:A 19.9607 -*END - -*D_NET *327 0.000320608 -*CONN -*I *1414:A I *D sky130_fd_sc_hd__einvp_2 -*I *1418:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1414:A 1.66714e-05 -2 *1418:Y 1.66714e-05 -3 *31:11 *1414:A 0.000143633 -4 *213:37 *1414:A 0.000143633 -*RES -1 *1418:Y *1414:A 19.9571 -*END - -*D_NET *328 0.00199277 -*CONN -*I *1414:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1419:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1416:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1414:Z 0 -2 *1419:A 0.000469388 -3 *1416:Z 0.000170063 -4 *328:9 0.000639451 -5 *1419:A *1414:TE 0.000182683 -6 *1259:B1 *1419:A 0.000153727 -7 *200:31 *1419:A 4.84159e-05 -8 *200:39 *1419:A 1.97617e-05 -9 *213:37 *1419:A 1.32293e-05 -10 *213:37 *328:9 0.00022887 -11 *287:8 *328:9 6.71766e-05 -*RES -1 *1416:Z *328:9 22.1214 -2 *328:9 *1419:A 17.55 -3 *328:9 *1414:Z 9.3 -*END - -*D_NET *329 0.000870128 -*CONN -*I *1414:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1416:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1259:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1414:TE 3.5871e-05 -2 *1416:TE_B 6.44741e-05 -3 *1259:X 0 -4 *329:4 0.000100345 -5 *1259:B1 *1414:TE 0.000182683 -6 *1419:A *1414:TE 0.000182683 -7 *31:11 *1416:TE_B 0.000103716 -8 *37:13 *1416:TE_B 0.000200356 -*RES -1 *1259:X *329:4 9.3 -2 *329:4 *1416:TE_B 20.8536 -3 *329:4 *1414:TE 11.0679 -*END - -*D_NET *330 0.00985149 -*CONN -*I *1417:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1415:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1299:X O *D sky130_fd_sc_hd__a32o_2 -*CAP -1 *1417:TE_B 0 -2 *1415:TE 0.000159101 -3 *1299:X 0.00231713 -4 *330:21 0.00247623 -5 *1415:TE *331:17 3.34366e-05 -6 *330:21 *331:17 0 -7 *330:21 *363:17 0.0011953 -8 *1250:B1 *1415:TE 0.000151071 -9 *1250:B1 *330:21 4.88637e-05 -10 *1254:B1 *1415:TE 0.000151071 -11 *1254:B1 *330:21 2.44318e-05 -12 *1255:A *330:21 0.000312732 -13 *1258:C1 *330:21 2.89967e-05 -14 *1263:B1 *330:21 0 -15 *1267:A2 *330:21 6.73932e-05 -16 *1272:A2 *330:21 2.70401e-05 -17 *1273:A2 *330:21 6.25394e-06 -18 *1273:B1 *330:21 9.41642e-05 -19 *1273:B2 *330:21 1.21258e-05 -20 *1277:B1 *330:21 0.000168001 -21 *1279:A2 *1415:TE 0 -22 *1287:A *330:21 0.000517573 -23 *1289:A1 *330:21 1.67699e-05 -24 *1293:A *330:21 3.09417e-05 -25 *1304:A2 *330:21 0.000337651 -26 *1415:Z *1415:TE 6.82922e-05 -27 *36:27 *1415:TE 0 -28 *36:27 *330:21 0.000854268 -29 *197:66 *330:21 7.47629e-06 -30 *206:68 *330:21 4.35421e-05 -31 *207:20 *330:21 0 -32 *213:37 *330:21 8.194e-05 -33 *218:9 *330:21 9.70862e-05 -34 *218:56 *330:21 0.00022156 -35 *220:21 *330:21 1.90936e-05 -36 *220:26 *330:21 2.13481e-06 -37 *233:11 *330:21 5.52238e-05 -38 *233:27 *330:21 0.00022459 -*RES -1 *1299:X *330:21 49.3564 -2 *330:21 *1415:TE 23.1393 -3 *330:21 *1417:TE_B 9.3 -*END - -*D_NET *331 0.00370091 -*CONN -*I *1413:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1417:A I *D sky130_fd_sc_hd__einvn_4 -*I *1416:A I *D sky130_fd_sc_hd__einvn_8 -*I *1412:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1413:A 0 -2 *1417:A 0 -3 *1416:A 9.13102e-05 -4 *1412:X 0.000521346 -5 *331:17 0.000328363 -6 *331:12 0.000758399 -7 *331:12 *1427:A 5.66403e-05 -8 *331:12 *1429:A 1.02821e-05 -9 *331:12 *1431:TE_B 0.000193596 -10 *331:12 *350:10 0.00018077 -11 *412:DIODE *331:12 8.00806e-05 -12 *1250:B1 *1416:A 0.000184745 -13 *1250:B1 *331:17 0.000124521 -14 *1254:B1 *331:17 0.000172238 -15 *1268:A1 *331:12 0.000314475 -16 *1268:A1 *331:17 6.13903e-05 -17 *1268:A2 *331:12 0.000126639 -18 *1268:B1 *331:12 4.43256e-05 -19 *1277:B1 *331:17 0 -20 *1415:A *331:17 4.37079e-05 -21 *1415:TE *331:17 3.34366e-05 -22 *1415:Z *331:17 3.34366e-05 -23 *1418:A *331:17 0.000244268 -24 *13:13 *331:12 7.77128e-05 -25 *13:13 *331:17 1.30833e-05 -26 *322:8 *331:17 6.14702e-06 -27 *330:21 *331:17 0 -*RES -1 *1412:X *331:12 25.3179 -2 *331:12 *331:17 11.1429 -3 *331:17 *1416:A 11.4964 -4 *331:17 *1417:A 9.3 -5 *331:12 *1413:A 13.8 -*END - -*D_NET *332 0.00102231 -*CONN -*I *1422:A I *D sky130_fd_sc_hd__einvp_2 -*I *1420:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1422:A 0.000201886 -2 *1420:X 0.000201886 -3 *1422:A *1420:A 4.15742e-05 -4 *1422:A *1426:A 9.61273e-05 -5 *1422:A *338:13 9.81169e-06 -6 *33:11 *1422:A 0.00015926 -7 *36:16 *1422:A 0.00031176 -*RES -1 *1420:X *1422:A 33.4393 -*END - -*D_NET *333 0.00072255 -*CONN -*I *1425:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1422:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1424:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1425:A 5.77446e-05 -2 *1422:Z 0.000108796 -3 *1424:Z 4.03093e-05 -4 *333:7 0.00020685 -5 *1422:Z *1421:A 0.000194968 -6 *1425:A *1421:A 0.00010311 -7 *333:7 *337:9 1.07719e-05 -*RES -1 *1424:Z *333:7 14.3357 -2 *333:7 *1422:Z 16.3536 -3 *333:7 *1425:A 15.1393 -*END - -*D_NET *334 0.00099973 -*CONN -*I *1421:A I *D sky130_fd_sc_hd__einvp_2 -*I *1425:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1421:A 0.00021404 -2 *1425:Y 0.00021404 -3 *1421:A *1426:A 0.000123675 -4 *1422:Z *1421:A 0.000194968 -5 *1425:A *1421:A 0.00010311 -6 *36:16 *1421:A 0.000149898 -*RES -1 *1425:Y *1421:A 33.7964 -*END - -*D_NET *335 0.00257815 -*CONN -*I *1426:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1421:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1456:A I *D sky130_fd_sc_hd__clkinv_2 -*I *1423:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1426:A 0.000342188 -2 *1421:Z 0 -3 *1456:A 0.000379487 -4 *1423:Z 0.000170232 -5 *335:15 0.000434343 -6 *335:7 0.000641874 -7 *1456:A *1421:TE 0 -8 *1456:A *336:8 0 -9 *335:15 *1421:TE 0 -10 *1250:B1 *1456:A 7.64448e-05 -11 *1250:B1 *335:7 4.55625e-05 -12 *1263:A1 *1456:A 0.00024478 -13 *1263:B1 *1456:A 2.34423e-05 -14 *1395:TE_B *1456:A 0 -15 *1421:A *1426:A 0.000123675 -16 *1422:A *1426:A 9.61273e-05 -17 *23:10 *1456:A 0 -18 *36:16 *1456:A 0 -19 *36:16 *335:15 0 -*RES -1 *1423:Z *335:7 16.3893 -2 *335:7 *1456:A 21.5143 -3 *335:7 *335:15 1.64286 -4 *335:15 *1421:Z 13.8 -5 *335:15 *1426:A 19.7643 -*END - -*D_NET *336 0.000932168 -*CONN -*I *1421:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1423:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1263:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1421:TE 0.000229729 -2 *1423:TE_B 0 -3 *1263:X 0.000236355 -4 *336:8 0.000466084 -5 *1456:A *1421:TE 0 -6 *1456:A *336:8 0 -7 *335:15 *1421:TE 0 -*RES -1 *1263:X *336:8 17.5143 -2 *336:8 *1423:TE_B 13.8 -3 *336:8 *1421:TE 17.7107 -*END - -*D_NET *337 0.0110515 -*CONN -*I *1422:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1424:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1300:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1422:TE 9.59959e-05 -2 *1424:TE_B 0.000105228 -3 *1300:X 0.000193126 -4 *337:9 0.00367493 -5 *337:8 0.00366683 -6 *337:8 *357:13 2.50593e-05 -7 *337:9 *1424:A 5.62846e-05 -8 *337:9 *1427:A 0.00081832 -9 *337:9 *342:10 0.0005286 -10 *337:9 *345:9 2.12733e-05 -11 *337:9 *345:17 0.000105982 -12 *337:9 *352:21 8.10737e-06 -13 *402:DIODE *337:9 2.83425e-05 -14 *1300:B1 *337:8 0 -15 *1407:TE *337:9 2.38501e-05 -16 *1407:Z *337:9 0.000224582 -17 *1409:A *337:8 0 -18 *21:26 *337:9 0.00146426 -19 *324:8 *337:8 0 -20 *333:7 *337:9 1.07719e-05 -*RES -1 *1300:X *337:8 21.1571 -2 *337:8 *337:9 46.9464 -3 *337:9 *1424:TE_B 10.8714 -4 *337:9 *1422:TE 19.9429 -*END - -*D_NET *338 0.00162909 -*CONN -*I *1420:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1424:A I *D sky130_fd_sc_hd__einvn_4 -*I *1423:A I *D sky130_fd_sc_hd__einvn_8 -*I *1419:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1420:A 0.000135544 -2 *1424:A 0.0001041 -3 *1423:A 0.000149835 -4 *1419:X 0 -5 *338:13 0.000418244 -6 *338:5 0.000328435 -7 *338:13 *345:9 7.70717e-05 -8 *1265:A1 *1423:A 0 -9 *1265:A1 *338:13 0 -10 *1422:A *1420:A 4.15742e-05 -11 *1422:A *338:13 9.81169e-06 -12 *33:11 *1423:A 0.000144523 -13 *33:11 *338:13 0.000144386 -14 *34:8 *1420:A 0 -15 *35:10 *1420:A 1.92789e-05 -16 *36:16 *1420:A 0 -17 *200:28 *1423:A 0 -18 *337:9 *1424:A 5.62846e-05 -*RES -1 *1419:X *338:5 13.8 -2 *338:5 *1423:A 17.2643 -3 *338:5 *338:13 4.375 -4 *338:13 *1424:A 15.5679 -5 *338:13 *1420:A 16.5857 -*END - -*D_NET *339 0.00343725 -*CONN -*I *1429:A I *D sky130_fd_sc_hd__einvp_2 -*I *1427:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1429:A 0.000772679 -2 *1427:X 0.000772679 -3 *1429:A *1427:A 0.000618912 -4 *1429:A *1429:TE 0.000100165 -5 *1429:A *352:21 0.000104698 -6 *392:DIODE *1429:A 0 -7 *1265:B1 *1429:A 0.000139146 -8 *1268:A2 *1429:A 0.000446603 -9 *13:13 *1429:A 0.000110163 -10 *15:14 *1429:A 0.00036192 -11 *331:12 *1429:A 1.02821e-05 -*RES -1 *1427:X *1429:A 46.6893 -*END - -*D_NET *340 0.00209642 -*CONN -*I *1429:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1432:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1431:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1429:Z 0.000124019 -2 *1432:A 0.000267625 -3 *1431:Z 2.93113e-05 -4 *340:5 0.000420955 -5 *1429:Z *343:10 4.40806e-05 -6 *1432:A *1427:A 1.35465e-05 -7 *1432:A *1428:A 0.000359773 -8 *1432:A *1428:TE 0.000146071 -9 *1432:A *1433:A 5.33005e-05 -10 *1432:A *342:10 0.000125593 -11 *1432:A *345:17 9.83975e-05 -12 *340:5 *1427:A 1.4796e-05 -13 *392:DIODE *1429:Z 6.19117e-05 -14 *1268:A2 *1432:A 0.000272597 -15 *35:10 *1432:A 6.4443e-05 -*RES -1 *1431:Z *340:5 9.83571 -2 *340:5 *1432:A 26.6571 -3 *340:5 *1429:Z 20.8536 -*END - -*D_NET *341 0.000743389 -*CONN -*I *1428:A I *D sky130_fd_sc_hd__einvp_2 -*I *1432:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1428:A 0.000186804 -2 *1432:Y 0.000186804 -3 *1432:A *1428:A 0.000359773 -4 *35:10 *1428:A 1.00073e-05 -*RES -1 *1432:Y *1428:A 22.4214 -*END - -*D_NET *342 0.00256788 -*CONN -*I *1433:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1428:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1430:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1433:A 0.000280376 -2 *1428:Z 0 -3 *1430:Z 0.00019866 -4 *342:10 0.000479036 -5 *1433:A *1428:TE 0.000142856 -6 *342:10 *1428:TE 1.30594e-05 -7 *342:10 *343:10 1.23589e-05 -8 *342:10 *345:9 0.000184503 -9 *342:10 *345:17 0.000343215 -10 *1268:A2 *1433:A 0.000206321 -11 *1432:A *1433:A 5.33005e-05 -12 *1432:A *342:10 0.000125593 -13 *37:13 *342:10 0 -14 *337:9 *342:10 0.0005286 -*RES -1 *1430:Z *342:10 25.9071 -2 *342:10 *1428:Z 9.3 -3 *342:10 *1433:A 14.7643 -*END - -*D_NET *343 0.00125498 -*CONN -*I *1428:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1430:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1265:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1428:TE 0.000121598 -2 *1430:TE_B 0 -3 *1265:X 0.000236347 -4 *343:10 0.000357945 -5 *1265:B1 *343:10 3.78085e-05 -6 *1268:A2 *1428:TE 0.000142856 -7 *1429:Z *343:10 4.40806e-05 -8 *1432:A *1428:TE 0.000146071 -9 *1433:A *1428:TE 0.000142856 -10 *342:10 *1428:TE 1.30594e-05 -11 *342:10 *343:10 1.23589e-05 -*RES -1 *1265:X *343:10 17.6393 -2 *343:10 *1430:TE_B 13.8 -3 *343:10 *1428:TE 17.4071 -*END - -*D_NET *344 0.00520638 -*CONN -*I *1431:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1429:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1301:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *1431:TE_B 9.95293e-05 -2 *1429:TE 0.000102867 -3 *1301:X 0.000779498 -4 *344:13 0.000981895 -5 *1431:TE_B *1427:A 4.84073e-05 -6 *1431:TE_B *352:21 9.58632e-05 -7 *344:13 *1435:A 5.50052e-05 -8 *344:13 *1435:TE 0.000296895 -9 *344:13 *351:8 5.50052e-05 -10 *1265:B1 *1429:TE 0.000251821 -11 *1265:B1 *344:13 0.00032991 -12 *1307:A3 *344:13 0.000308913 -13 *1409:A *344:13 0.000210043 -14 *1429:A *1429:TE 0.000100165 -15 *13:13 *1431:TE_B 0.000171581 -16 *24:31 *344:13 0.00011593 -17 *197:53 *344:13 0.000657971 -18 *226:132 *344:13 0.000351482 -19 *331:12 *1431:TE_B 0.000193596 -*RES -1 *1301:X *344:13 30.1393 -2 *344:13 *1429:TE 12.4429 -3 *344:13 *1431:TE_B 21.8 -*END - -*D_NET *345 0.00512415 -*CONN -*I *1431:A I *D sky130_fd_sc_hd__einvn_4 -*I *1427:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1430:A I *D sky130_fd_sc_hd__einvn_8 -*I *1426:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1431:A 2.13113e-05 -2 *1427:A 0.00065579 -3 *1430:A 2.13113e-05 -4 *1426:X 0.000156833 -5 *345:17 0.000863179 -6 *345:9 0.000364221 -7 *1427:A *350:10 6.42095e-05 -8 *1427:A *352:21 3.81931e-05 -9 *402:DIODE *1427:A 4.23557e-05 -10 *1265:A2 *345:9 1.25924e-05 -11 *1268:A1 *1427:A 0 -12 *1268:B1 *1427:A 0.000139907 -13 *1277:B1 *1427:A 2.50593e-05 -14 *1407:TE *1427:A 0.000148921 -15 *1412:A *1427:A 2.89016e-05 -16 *1429:A *1427:A 0.000618912 -17 *1431:TE_B *1427:A 4.84073e-05 -18 *1432:A *1427:A 1.35465e-05 -19 *1432:A *345:17 9.83975e-05 -20 *13:13 *1427:A 0 -21 *14:9 *1427:A 5.71818e-05 -22 *15:14 *1427:A 4.88637e-05 -23 *34:8 *345:9 3.42526e-05 -24 *331:12 *1427:A 5.66403e-05 -25 *337:9 *1427:A 0.00081832 -26 *337:9 *345:9 2.12733e-05 -27 *337:9 *345:17 0.000105982 -28 *338:13 *345:9 7.70717e-05 -29 *340:5 *1427:A 1.4796e-05 -30 *342:10 *345:9 0.000184503 -31 *342:10 *345:17 0.000343215 -*RES -1 *1426:X *345:9 22.425 -2 *345:9 *1430:A 9.72857 -3 *345:9 *345:17 5.46429 -4 *345:17 *1427:A 36.9429 -5 *345:17 *1431:A 9.72857 -*END - -*D_NET *346 0.000361573 -*CONN -*I *1436:A I *D sky130_fd_sc_hd__einvp_2 -*I *1434:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1436:A 0.000124432 -2 *1434:X 0.000124432 -3 *1436:A *1440:A 1.55885e-05 -4 *399:DIODE *1436:A 9.71197e-05 -*RES -1 *1434:X *1436:A 20.3857 -*END - -*D_NET *347 0.00136961 -*CONN -*I *1436:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1439:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1438:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1436:Z 0 -2 *1439:A 0.000240102 -3 *1438:Z 0.000105166 -4 *347:10 0.000345268 -5 *1439:A *1436:TE 9.90367e-05 -6 *1439:A *1440:A 0.000114665 -7 *347:10 *1436:TE 3.98368e-05 -8 *347:10 *352:33 0.000182806 -9 *399:DIODE *347:10 6.16145e-05 -10 *1407:A *347:10 0.000181114 -*RES -1 *1438:Z *347:10 21.7107 -2 *347:10 *1439:A 13.5321 -3 *347:10 *1436:Z 9.3 -*END - -*D_NET *348 0.000699131 -*CONN -*I *1435:A I *D sky130_fd_sc_hd__einvp_2 -*I *1439:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1435:A 0.000293739 -2 *1439:Y 0.000293739 -3 *1409:A *1435:A 5.66472e-05 -4 *344:13 *1435:A 5.50052e-05 -*RES -1 *1439:Y *1435:A 32.2071 -*END - -*D_NET *349 0.00609327 -*CONN -*I *1440:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1435:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1437:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1440:A 0.00157642 -2 *1435:Z 0.000243503 -3 *1437:Z 0 -4 *349:5 0.00181992 -5 *1440:A *1436:TE 9.90367e-05 -6 *399:DIODE *1440:A 0.000313017 -7 *1300:B1 *1440:A 9.83388e-05 -8 *1307:A3 *1435:Z 2.39301e-05 -9 *1399:A *1440:A 6.33085e-05 -10 *1400:A *1440:A 0.000265461 -11 *1401:A *1440:A 0.000272242 -12 *1401:TE *1440:A 9.90431e-05 -13 *1401:Z *1440:A 5.52238e-05 -14 *1404:A *1440:A 0.00032823 -15 *1406:A *1440:A 9.90431e-05 -16 *1408:A *1440:A 4.70078e-05 -17 *1409:A *1435:Z 0.000182749 -18 *1436:A *1440:A 1.55885e-05 -19 *1439:A *1440:A 0.000114665 -20 *197:53 *1435:Z 2.00258e-05 -21 *217:22 *1435:Z 1.90936e-05 -22 *226:114 *1440:A 0.000151294 -23 *226:132 *1440:A 0.000186132 -*RES -1 *1437:Z *349:5 13.8 -2 *349:5 *1435:Z 18.1214 -3 *349:5 *1440:A 49.4607 -*END - -*D_NET *350 0.00202215 -*CONN -*I *1437:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1435:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1268:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1437:TE_B 1.17492e-05 -2 *1435:TE 0.000173762 -3 *1268:X 0.000209272 -4 *350:10 0.000394783 -5 *1437:TE_B *352:21 5.50052e-05 -6 *1265:B1 *1435:TE 9.40222e-05 -7 *1268:B1 *350:10 5.52238e-05 -8 *1409:A *1435:TE 0.000185358 -9 *1412:A *1435:TE 2.07809e-05 -10 *1412:A *350:10 4.02704e-05 -11 *1427:A *350:10 6.42095e-05 -12 *15:14 *1435:TE 5.4677e-05 -13 *15:14 *350:10 7.19954e-05 -14 *21:26 *1437:TE_B 5.50052e-05 -15 *321:6 *1435:TE 5.83677e-05 -16 *331:12 *350:10 0.00018077 -17 *344:13 *1435:TE 0.000296895 -*RES -1 *1268:X *350:10 18.05 -2 *350:10 *1435:TE 19.7643 -3 *350:10 *1437:TE_B 14.3357 -*END - -*D_NET *351 0.000918066 -*CONN -*I *1436:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1438:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1307:X O *D sky130_fd_sc_hd__a32o_2 -*CAP -1 *1436:TE 0.000139382 -2 *1438:TE_B 0 -3 *1307:X 0.000160914 -4 *351:8 0.000300296 -5 *1439:A *1436:TE 9.90367e-05 -6 *1440:A *1436:TE 9.90367e-05 -7 *197:53 *351:8 2.02794e-05 -8 *226:132 *351:8 4.27842e-06 -9 *344:13 *351:8 5.50052e-05 -10 *347:10 *1436:TE 3.98368e-05 -*RES -1 *1307:X *351:8 16.2821 -2 *351:8 *1438:TE_B 13.8 -3 *351:8 *1436:TE 16.9964 -*END - -*D_NET *352 0.00511952 -*CONN -*I *1438:A I *D sky130_fd_sc_hd__einvn_4 -*I *1434:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1437:A I *D sky130_fd_sc_hd__einvn_8 -*I *1433:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1438:A 1.08791e-05 -2 *1434:A 0.000115848 -3 *1437:A 2.22847e-05 -4 *1433:X 0.000440559 -5 *352:33 0.000311276 -6 *352:21 0.000647393 -7 *402:DIODE *352:21 0.000351476 -8 *1407:A *1434:A 0 -9 *1407:A *1438:A 2.85321e-05 -10 *1407:A *352:21 0.000573504 -11 *1407:A *352:33 0.000567642 -12 *1411:A *1434:A 0 -13 *1427:A *352:21 3.81931e-05 -14 *1429:A *352:21 0.000104698 -15 *1431:TE_B *352:21 9.58632e-05 -16 *1437:TE_B *352:21 5.50052e-05 -17 *13:13 *352:21 5.30847e-05 -18 *16:8 *1434:A 8.18801e-05 -19 *21:26 *1438:A 4.34677e-05 -20 *21:26 *352:21 0.000788262 -21 *21:26 *352:33 0.000598755 -22 *337:9 *352:21 8.10737e-06 -23 *347:10 *352:33 0.000182806 -*RES -1 *1433:X *352:21 33.5321 -2 *352:21 *1437:A 9.72857 -3 *352:21 *352:33 8.48214 -4 *352:33 *1434:A 20.55 -5 *352:33 *1438:A 9.83571 -*END - -*D_NET *353 0.000386004 -*CONN -*I *1443:A I *D sky130_fd_sc_hd__einvp_2 -*I *1441:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1443:A 0.00014292 -2 *1441:X 0.00014292 -3 *1443:A *1443:Z 0 -4 *407:DIODE *1443:A 0.000100165 -5 *22:8 *1443:A 0 -*RES -1 *1441:X *1443:A 30.1893 -*END - -*D_NET *354 0.000591267 -*CONN -*I *1446:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1443:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1445:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1446:A 9.6135e-05 -2 *1443:Z 0.000172019 -3 *1445:Z 0 -4 *354:5 0.000268154 -5 *407:DIODE *1443:Z 5.4959e-05 -6 *411:DIODE *1443:Z 0 -7 *411:DIODE *1446:A 0 -8 *1443:A *1443:Z 0 -*RES -1 *1445:Z *354:5 13.8 -2 *354:5 *1443:Z 16.9964 -3 *354:5 *1446:A 15.4429 -*END - -*D_NET *355 0.000207302 -*CONN -*I *1442:A I *D sky130_fd_sc_hd__einvp_2 -*I *1446:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1442:A 6.32853e-05 -2 *1446:Y 6.32853e-05 -3 *411:DIODE *1442:A 8.07313e-05 -*RES -1 *1446:Y *1442:A 19.9571 -*END - -*D_NET *356 0.00179182 -*CONN -*I *1442:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1447:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1444:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1442:Z 0.00047494 -2 *1447:A 0.000127189 -3 *1444:Z 3.0979e-05 -4 *356:7 0.000633109 -5 *1442:Z *1442:TE 0 -6 *356:7 *359:17 2.85321e-05 -7 *407:DIODE *1447:A 9.84229e-05 -8 *411:DIODE *1442:Z 6.05161e-06 -9 *1309:A2 *1447:A 0.000100115 -10 *1400:A *1442:Z 2.14757e-05 -11 *1404:A *1442:Z 0.000100261 -12 *20:9 *1442:Z 9.78086e-05 -13 *20:9 *1447:A 7.29381e-05 -*RES -1 *1444:Z *356:7 14.3357 -2 *356:7 *1447:A 16.9964 -3 *356:7 *1442:Z 21.8179 -*END - -*D_NET *357 0.00510197 -*CONN -*I *1442:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1444:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1270:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *1442:TE 0.000653765 -2 *1444:TE_B 0 -3 *1270:X 0.0007167 -4 *357:13 0.00137047 -5 *1442:TE *359:17 0.000313402 -6 *411:DIODE *1442:TE 0 -7 *1261:A *357:13 9.84729e-05 -8 *1405:A *357:13 5.4959e-05 -9 *1408:TE *357:13 0.000225995 -10 *1442:Z *1442:TE 0 -11 *17:7 *357:13 0 -12 *20:9 *1442:TE 0 -13 *21:26 *357:13 0.000308602 -14 *24:31 *357:13 9.84673e-05 -15 *233:27 *357:13 1.38323e-05 -16 *314:9 *1442:TE 0.000310605 -17 *314:9 *357:13 4.04415e-05 -18 *317:29 *1442:TE 9.04963e-05 -19 *317:29 *357:13 0.000211423 -20 *323:15 *357:13 0.000500473 -21 *324:7 *357:13 6.88108e-05 -22 *337:8 *357:13 2.50593e-05 -*RES -1 *1270:X *357:13 34.9964 -2 *357:13 *1444:TE_B 9.3 -3 *357:13 *1442:TE 29.55 -*END - -*D_NET *358 0.00074967 -*CONN -*I *1443:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1445:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1309:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1443:TE 0.00010723 -2 *1445:TE_B 0.000122043 -3 *1309:X 0 -4 *358:4 0.000229273 -5 *407:DIODE *1443:TE 0.000194577 -6 *407:DIODE *1445:TE_B 1.853e-05 -7 *411:DIODE *1445:TE_B 7.80183e-05 -*RES -1 *1309:X *358:4 9.3 -2 *358:4 *1445:TE_B 20.7821 -3 *358:4 *1443:TE 11.8 -*END - -*D_NET *359 0.00206985 -*CONN -*I *1441:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1445:A I *D sky130_fd_sc_hd__einvn_4 -*I *1444:A I *D sky130_fd_sc_hd__einvn_8 -*I *1440:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1441:A 0.000161279 -2 *1445:A 0 -3 *1444:A 0 -4 *1440:X 7.1726e-05 -5 *359:17 0.000725336 -6 *359:8 0.000635783 -7 *1399:A *359:8 0 -8 *1442:TE *359:17 0.000313402 -9 *20:9 *359:8 0.00012511 -10 *314:9 *359:8 8.67814e-06 -11 *356:7 *359:17 2.85321e-05 -*RES -1 *1440:X *359:8 19.9429 -2 *359:8 *1444:A 9.3 -3 *359:8 *359:17 10.5357 -4 *359:17 *1445:A 9.3 -5 *359:17 *1441:A 11.9071 -*END - -*D_NET *360 0.00106159 -*CONN -*I *1450:A I *D sky130_fd_sc_hd__einvp_2 -*I *1448:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1450:A 0.000299039 -2 *1448:X 0.000299039 -3 *1450:A *1451:A 6.42095e-05 -4 *1450:A *365:15 2.14658e-05 -5 *226:85 *1450:A 0.000125724 -6 *226:94 *1450:A 0.000187888 -7 *246:18 *1450:A 6.42242e-05 -*RES -1 *1448:X *1450:A 32.6536 -*END - -*D_NET *361 0.000899444 -*CONN -*I *1450:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1453:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1452:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1450:Z 0.000130398 -2 *1453:A 0.000184659 -3 *1452:Z 0 -4 *361:4 0.000315057 -5 *1450:Z *1450:TE 0.000145404 -6 *1453:A *1449:A 7.94648e-05 -7 *1453:A *1452:A 1.38167e-05 -8 *25:26 *1453:A 0 -9 *246:18 *1453:A 3.06451e-05 -*RES -1 *1452:Z *361:4 9.3 -2 *361:4 *1453:A 21.3 -3 *361:4 *1450:Z 11.8893 -*END - -*D_NET *362 0.00132016 -*CONN -*I *1449:A I *D sky130_fd_sc_hd__einvp_2 -*I *1453:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1449:A 0.000516558 -2 *1453:Y 0.000516558 -3 *1449:A *1449:TE 5.52302e-05 -4 *1380:TE *1449:A 9.71197e-05 -5 *1449:Z *1449:A 5.52302e-05 -6 *1453:A *1449:A 7.94648e-05 -*RES -1 *1453:Y *1449:A 27.7607 -*END - -*D_NET *363 0.00583301 -*CONN -*I *1449:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1451:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1273:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *1449:TE 0.000470168 -2 *1451:TE_B 0 -3 *1273:X 0.000862623 -4 *363:17 0.00133279 -5 *1449:TE *1451:A 0.000397548 -6 *1449:TE *365:15 1.32293e-05 -7 *363:17 *365:11 5.49544e-05 -8 *363:17 *365:15 0.000155116 -9 *1255:A *363:17 0.000189267 -10 *1293:A *363:17 8.22514e-05 -11 *1293:C *363:17 4.86074e-05 -12 *1299:B1 *363:17 0.000233458 -13 *1378:A *1449:TE 0 -14 *1380:A *1449:TE 0.000100691 -15 *1449:A *1449:TE 5.52302e-05 -16 *197:66 *363:17 4.73384e-05 -17 *226:85 *1449:TE 0 -18 *226:94 *363:17 4.4545e-05 -19 *226:102 *363:17 0.00028535 -20 *236:5 *363:17 0.00026454 -21 *292:6 *1449:TE 0 -22 *330:21 *363:17 0.0011953 -*RES -1 *1273:X *363:17 32.3357 -2 *363:17 *1451:TE_B 9.3 -3 *363:17 *1449:TE 27.9429 -*END - -*D_NET *364 0.00182094 -*CONN -*I *1450:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1452:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1311:X O *D sky130_fd_sc_hd__a32o_2 -*CAP -1 *1450:TE 0.000558284 -2 *1452:TE_B 0 -3 *1311:X 7.70217e-05 -4 *364:9 0.000635305 -5 *410:DIODE *364:9 0.000154762 -6 *1287:A *1450:TE 0 -7 *1380:A *1450:TE 9.71197e-05 -8 *1450:Z *1450:TE 0.000145404 -9 *301:17 *364:9 0.000153047 -*RES -1 *1311:X *364:9 20.7821 -2 *364:9 *1452:TE_B 9.3 -3 *364:9 *1450:TE 18.5143 -*END - -*D_NET *365 0.00255767 -*CONN -*I *1448:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1451:A I *D sky130_fd_sc_hd__einvn_8 -*I *1452:A I *D sky130_fd_sc_hd__einvn_4 -*I *1447:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1448:A 0 -2 *1451:A 0.000189581 -3 *1452:A 0.000131647 -4 *1447:X 0.000156075 -5 *365:15 0.000401341 -6 *365:11 0.000236189 -7 *1309:A2 *1452:A 0 -8 *1309:A2 *365:11 0.000223288 -9 *1449:TE *1451:A 0.000397548 -10 *1449:TE *365:15 1.32293e-05 -11 *1450:A *1451:A 6.42095e-05 -12 *1450:A *365:15 2.14658e-05 -13 *1453:A *1452:A 1.38167e-05 -14 *226:94 *1452:A 9.15842e-06 -15 *226:94 *365:11 2.37867e-05 -16 *226:94 *365:15 0.000272055 -17 *246:18 *1452:A 0.000194208 -18 *363:17 *365:11 5.49544e-05 -19 *363:17 *365:15 0.000155116 -*RES -1 *1447:X *365:11 21.425 -2 *365:11 *365:15 3.42857 -3 *365:15 *1452:A 21.2821 -4 *365:15 *1451:A 13.9607 -5 *365:11 *1448:A 9.3 -*END - -*D_NET *366 0.000394737 -*CONN -*I *1463:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1459:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *1463:TE_B 8.29895e-05 -2 *1459:X 8.29895e-05 -3 *1463:TE_B *1459:A 0.000228758 -*RES -1 *1459:X *1463:TE_B 20.7786 -*END - -*D_NET *367 0.000783488 -*CONN -*I *1462:A I *D sky130_fd_sc_hd__einvp_2 -*I *1460:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1462:A 0.000158777 -2 *1460:X 0.000158777 -3 *419:DIODE *1462:A 5.52302e-05 -4 *1460:A *1462:A 0.000147332 -5 *28:10 *1462:A 0.000101073 -6 *300:14 *1462:A 9.25014e-06 -7 *300:16 *1462:A 0.000153047 -*RES -1 *1460:X *1462:A 31.9036 -*END - -*D_NET *368 0.00203697 -*CONN -*I *1462:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1465:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1464:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1462:Z 0.000143953 -2 *1465:A 0.000180113 -3 *1464:Z 3.74908e-05 -4 *368:7 0.000361557 -5 *1462:Z *1462:TE 0.000124521 -6 *1465:A *1459:A 0.000201995 -7 *368:7 *1462:TE 9.00789e-05 -8 *1464:A *1462:Z 0.000114655 -9 *150:13 *1465:A 0.000536787 -10 *226:78 *1465:A 5.26184e-05 -11 *284:33 *1462:Z 9.41642e-05 -12 *284:33 *368:7 9.90367e-05 -*RES -1 *1464:Z *368:7 10.675 -2 *368:7 *1465:A 25.1036 -3 *368:7 *1462:Z 12.7107 -*END - -*D_NET *369 0.000346757 -*CONN -*I *1461:A I *D sky130_fd_sc_hd__einvp_2 -*I *1465:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1461:A 0.000109298 -2 *1465:Y 0.000109298 -3 *28:10 *1461:A 0 -4 *284:8 *1461:A 0.000128161 -*RES -1 *1465:Y *1461:A 29.7786 -*END - -*D_NET *370 0.000840775 -*CONN -*I *1466:A I *D sky130_fd_sc_hd__einvp_1 -*I *1458:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 *1466:A 0.000323145 -2 *1458:HI 0.000323145 -3 *1346:RESET_B *1466:A 1.0609e-05 -4 *28:10 *1466:A 0 -5 *284:8 *1466:A 0.000183875 -*RES -1 *1458:HI *1466:A 33.6357 -*END - -*D_NET *371 0.0134696 -*CONN -*I *1466:TE I *D sky130_fd_sc_hd__einvp_1 -*I *1459:A I *D sky130_fd_sc_hd__or2_2 -*I *1317:B I *D sky130_fd_sc_hd__nor2_2 -*I *1318:B I *D sky130_fd_sc_hd__nor2_2 -*I *1320:B I *D sky130_fd_sc_hd__nor2_2 -*I *1323:B I *D sky130_fd_sc_hd__nor2_2 -*I *1322:B I *D sky130_fd_sc_hd__nor2_2 -*I *1321:B I *D sky130_fd_sc_hd__nor2_2 -*I *1316:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1466:TE 6.34126e-05 -2 *1459:A 0.000449649 -3 *1317:B 0 -4 *1318:B 0.000130127 -5 *1320:B 2.37855e-05 -6 *1323:B 2.24275e-05 -7 *1322:B 1.94084e-05 -8 *1321:B 0.000231364 -9 *1316:X 0.000356773 -10 *371:72 0.00050217 -11 *371:59 0.000215814 -12 *371:56 0.00116982 -13 *371:40 0.00127964 -14 *371:32 0.000404423 -15 *371:24 0.0012726 -16 *371:8 0.00164194 -17 *418:DIODE *1459:A 0.000185118 -18 *1104:B *371:56 0.000223456 -19 *1106:A *1318:B 0.000388789 -20 *1106:A *1466:TE 5.91094e-05 -21 *1106:A *371:56 2.59355e-05 -22 *1107:S *371:8 4.43046e-05 -23 *1222:B1 *371:8 3.07555e-05 -24 *1237:B *371:72 0.000100248 -25 *1320:A *371:40 5.33005e-05 -26 *1321:A *1321:B 0 -27 *1322:A *1322:B 3.08382e-06 -28 *1326:A *1321:B 0 -29 *1326:A *371:8 0 -30 *1326:B *371:8 0.000230475 -31 *1345:D *1459:A 6.93626e-06 -32 *1345:D *371:72 8.91963e-06 -33 *1347:D *371:56 0.000143665 -34 *1347:RESET_B *371:56 0.000483749 -35 *1352:D *1321:B 1.19309e-05 -36 *1352:D *371:8 2.56694e-05 -37 *1352:RESET_B *1321:B 0 -38 *1352:RESET_B *371:8 0 -39 *1362:D *371:56 0.000226867 -40 *1362:RESET_B *371:56 1.21258e-05 -41 *1463:TE_B *1459:A 0.000228758 -42 *1465:A *1459:A 0.000201995 -43 *85:7 *371:56 1.65169e-05 -44 *85:11 *371:56 0.000101601 -45 *85:11 *371:59 8.02298e-05 -46 *85:11 *371:72 2.28343e-05 -47 *150:13 *1459:A 4.98055e-06 -48 *150:13 *371:59 2.53785e-05 -49 *150:13 *371:72 6.94441e-06 -50 *191:8 *1321:B 0 -51 *191:8 *371:8 0 -52 *226:10 *1459:A 0 -53 *226:24 *1459:A 0.000239076 -54 *226:37 *1318:B 0.000341116 -55 *226:37 *1459:A 1.00887e-05 -56 *226:37 *371:72 0.000125542 -57 *226:64 *1459:A 0 -58 *226:78 *1459:A 0.000218045 -59 *249:25 *371:40 9.90367e-05 -60 *249:29 *1323:B 3.08382e-06 -61 *249:29 *371:32 0.000178459 -62 *249:29 *371:40 6.58597e-05 -63 *249:40 *371:24 0.000137183 -64 *249:40 *371:32 7.14951e-05 -65 *253:69 *371:56 0.000295137 -66 *254:84 *371:24 0.000836046 -67 *256:22 *1321:B 1.92905e-05 -68 *257:32 *371:24 9.30626e-05 -*RES -1 *1316:X *371:8 19.4429 -2 *371:8 *1321:B 17.8 -3 *371:8 *371:24 19.5536 -4 *371:24 *1322:B 9.72857 -5 *371:24 *371:32 4.23214 -6 *371:32 *1323:B 9.72857 -7 *371:32 *371:40 3.41071 -8 *371:40 *1320:B 9.72857 -9 *371:40 *371:56 27 -10 *371:56 *371:59 5.53571 -11 *371:59 *1318:B 18.5857 -12 *371:59 *371:72 1.94643 -13 *371:72 *1317:B 13.8 -14 *371:72 *1459:A 26.05 -15 *371:56 *1466:TE 10.675 -*END - -*D_NET *372 0.0134791 -*CONN -*I *1459:B I *D sky130_fd_sc_hd__or2_2 -*I *1461:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1279:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1459:B 3.74757e-05 -2 *1461:TE 0.000312667 -3 *1279:X 0.00205327 -4 *372:14 0.000725736 -5 *372:13 0.00242886 -6 *410:DIODE *1461:TE 0.000224592 -7 *410:DIODE *372:14 0.0011058 -8 *418:DIODE *1459:B 9.41642e-05 -9 *1201:B1 *1459:B 5.33005e-05 -10 *1201:B1 *1461:TE 3.17148e-05 -11 *1201:B1 *372:14 0.000100831 -12 *1247:A *372:13 0.000135767 -13 *1247:B *372:13 0.000360836 -14 *1248:B *372:13 0.000128581 -15 *1257:B *372:13 0.00018372 -16 *1284:B1 *372:13 2.24079e-05 -17 *1302:A1 *372:13 1.98839e-05 -18 *1302:A2 *372:13 0.000141729 -19 *1302:B1 *372:13 9.80545e-05 -20 *1303:C1 *372:13 5.33005e-05 -21 *26:9 *372:13 1.37252e-05 -22 *30:17 *372:13 0.00196512 -23 *92:67 *372:13 0.000366623 -24 *144:22 *372:13 2.86273e-05 -25 *163:18 *372:13 2.29253e-06 -26 *163:39 *372:13 1.57414e-05 -27 *198:41 *372:13 0.000269623 -28 *205:5 *372:13 3.98517e-05 -29 *206:8 *372:13 5.33005e-05 -30 *211:40 *372:13 0.000835516 -31 *212:55 *372:13 9.55875e-05 -32 *220:12 *372:13 5.79892e-05 -33 *226:7 *1461:TE 0.000184814 -34 *272:10 *1461:TE 0.000202625 -35 *272:10 *372:14 0.000239327 -36 *275:67 *372:13 0.000222666 -37 *301:17 *372:14 0.000572998 -*RES -1 *1279:X *372:13 45.8494 -2 *372:13 *372:14 14.5446 -3 *372:14 *1461:TE 22.1214 -4 *372:14 *1459:B 14.7464 -*END - -*D_NET *373 0.00368142 -*CONN -*I *1464:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1462:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1314:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *1464:TE_B 0 -2 *1462:TE 0.000293845 -3 *1314:X 0.000590726 -4 *373:11 0.000884572 -5 *1199:B *1462:TE 0 -6 *1379:TE *373:11 0 -7 *1386:A *373:11 0 -8 *1389:TE_B *373:11 0 -9 *1462:Z *1462:TE 0.000124521 -10 *1464:A *1462:TE 0.000136958 -11 *246:18 *373:11 0.000221634 -12 *272:10 *373:11 0.000595867 -13 *275:15 *373:11 0.000223599 -14 *284:33 *1462:TE 0.000126862 -15 *284:33 *373:11 7.1959e-05 -16 *294:23 *373:11 0.000221634 -17 *301:17 *373:11 9.91655e-05 -18 *368:7 *1462:TE 9.00789e-05 -*RES -1 *1314:X *373:11 32.2821 -2 *373:11 *1462:TE 15.7464 -3 *373:11 *1464:TE_B 9.3 -*END diff --git a/spef/gpio_control_block.spef b/spef/gpio_control_block.spef deleted file mode 100644 index f93449cc..00000000 --- a/spef/gpio_control_block.spef +++ /dev/null @@ -1,5623 +0,0 @@ -*SPEF "ieee 1481-1999" -*DESIGN "gpio_control_block" -*DATE "11:11:11 Fri 11 11, 1111" -*VENDOR "OpenRCX" -*PROGRAM "Parallel Extraction" -*VERSION "1.0" -*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" -*DIVIDER / -*DELIMITER : -*BUS_DELIMITER [] -*T_UNIT 1 NS -*C_UNIT 1 PF -*R_UNIT 1 OHM -*L_UNIT 1 HENRY - -*NAME_MAP -*1 gpio_defaults[0] -*2 gpio_defaults[10] -*3 gpio_defaults[11] -*4 gpio_defaults[12] -*5 gpio_defaults[1] -*6 gpio_defaults[2] -*7 gpio_defaults[3] -*8 gpio_defaults[4] -*9 gpio_defaults[5] -*10 gpio_defaults[6] -*11 gpio_defaults[7] -*12 gpio_defaults[8] -*13 gpio_defaults[9] -*14 mgmt_gpio_in -*15 mgmt_gpio_oeb -*16 mgmt_gpio_out -*17 one -*18 pad_gpio_ana_en -*19 pad_gpio_ana_pol -*20 pad_gpio_ana_sel -*21 pad_gpio_dm[0] -*22 pad_gpio_dm[1] -*23 pad_gpio_dm[2] -*24 pad_gpio_holdover -*25 pad_gpio_ib_mode_sel -*26 pad_gpio_in -*27 pad_gpio_inenb -*28 pad_gpio_out -*29 pad_gpio_outenb -*30 pad_gpio_slow_sel -*31 pad_gpio_vtrip_sel -*32 resetn -*33 resetn_out -*34 serial_clock -*35 serial_clock_out -*36 serial_data_in -*37 serial_data_out -*38 serial_load -*39 serial_load_out -*40 user_gpio_in -*41 user_gpio_oeb -*42 user_gpio_out -*47 zero -*48 _000_ -*49 _001_ -*50 _002_ -*51 _003_ -*52 _004_ -*53 _005_ -*54 _006_ -*55 _007_ -*56 _008_ -*57 _009_ -*58 _010_ -*59 _011_ -*60 _012_ -*61 _013_ -*62 _014_ -*63 _015_ -*64 _016_ -*65 _017_ -*66 _018_ -*67 _019_ -*68 _020_ -*69 _021_ -*70 _022_ -*71 _023_ -*72 _024_ -*73 _025_ -*74 _026_ -*75 _041_ -*76 _042_ -*77 _043_ -*78 _044_ -*79 _045_ -*80 _046_ -*81 _047_ -*82 _048_ -*83 _049_ -*84 _050_ -*85 _051_ -*86 _052_ -*87 _053_ -*88 _054_ -*89 _055_ -*90 _056_ -*91 _057_ -*92 clknet_0_serial_clock -*93 clknet_0_serial_load -*94 clknet_1_0__leaf_serial_clock -*95 clknet_1_0__leaf_serial_load -*96 gpio_logic1 -*97 gpio_outenb -*98 mgmt_ena -*99 net1 -*100 net10 -*101 net11 -*102 net12 -*103 net13 -*104 net14 -*105 net15 -*106 net16 -*107 net17 -*108 net18 -*109 net19 -*110 net2 -*111 net20 -*112 net21 -*113 net22 -*114 net23 -*115 net24 -*116 net25 -*117 net26 -*118 net27 -*119 net28 -*120 net29 -*121 net3 -*122 net30 -*123 net31 -*124 net32 -*125 net33 -*126 net34 -*127 net35 -*128 net36 -*129 net37 -*130 net38 -*131 net39 -*132 net4 -*133 net40 -*134 net41 -*135 net42 -*136 net43 -*137 net44 -*138 net45 -*139 net46 -*140 net47 -*141 net48 -*142 net49 -*143 net5 -*144 net50 -*145 net51 -*146 net52 -*147 net53 -*148 net54 -*149 net55 -*150 net56 -*151 net57 -*152 net58 -*153 net6 -*154 net7 -*155 net8 -*156 net9 -*157 one_buffered -*158 serial_clock_out_buffered -*159 serial_load_out_buffered -*160 shift_register\[0\] -*161 shift_register\[10\] -*162 shift_register\[11\] -*163 shift_register\[12\] -*164 shift_register\[1\] -*165 shift_register\[2\] -*166 shift_register\[3\] -*167 shift_register\[4\] -*168 shift_register\[5\] -*169 shift_register\[6\] -*170 shift_register\[7\] -*171 shift_register\[8\] -*172 shift_register\[9\] -*173 zero_buffered -*174 ANTENNA__061__A0 -*175 ANTENNA__062__B -*176 ANTENNA__068__B -*177 ANTENNA__069__B -*178 ANTENNA__070__B -*179 ANTENNA__071__B -*180 ANTENNA__072__B -*181 ANTENNA__073__B -*182 ANTENNA__074__B -*183 ANTENNA__075__B -*184 ANTENNA__076__B -*185 ANTENNA__077__B -*186 ANTENNA__078__B -*187 ANTENNA__079__B -*188 ANTENNA__080__B -*189 ANTENNA__081__B -*190 ANTENNA__082__A -*191 ANTENNA__082__B -*192 ANTENNA__083__B -*193 ANTENNA__084__B -*194 ANTENNA__085__B -*195 ANTENNA__086__B -*196 ANTENNA__087__B -*197 ANTENNA__088__B -*198 ANTENNA__089__B -*199 ANTENNA__090__B -*200 ANTENNA__091__B -*201 ANTENNA__092__B -*202 ANTENNA__093__B -*203 ANTENNA_clkbuf_0_serial_clock_A -*204 ANTENNA_clkbuf_0_serial_load_A -*205 ANTENNA_fanout27_A -*206 ANTENNA_fanout28_A -*207 ANTENNA_fanout29_A -*208 ANTENNA_input1_A -*209 ANTENNA_input2_A -*210 ANTENNA_input3_A -*211 ANTENNA_input4_A -*212 ANTENNA_input5_A -*213 FILLER_0_27 -*214 FILLER_0_31 -*215 FILLER_0_57 -*216 FILLER_0_85 -*217 FILLER_0_95 -*218 FILLER_12_29 -*219 FILLER_13_99 -*220 FILLER_15_57 -*221 FILLER_15_71 -*222 FILLER_17_57 -*223 FILLER_17_99 -*224 FILLER_1_34 -*225 FILLER_3_80 -*226 FILLER_3_89 -*227 FILLER_3_99 -*228 FILLER_4_60 -*229 FILLER_5_80 -*230 FILLER_5_99 -*231 PHY_0 -*232 PHY_1 -*233 PHY_10 -*234 PHY_11 -*235 PHY_12 -*236 PHY_13 -*237 PHY_14 -*238 PHY_15 -*239 PHY_16 -*240 PHY_17 -*241 PHY_18 -*242 PHY_19 -*243 PHY_2 -*244 PHY_20 -*245 PHY_21 -*246 PHY_22 -*247 PHY_23 -*248 PHY_24 -*249 PHY_25 -*250 PHY_26 -*251 PHY_27 -*252 PHY_28 -*253 PHY_29 -*254 PHY_3 -*255 PHY_30 -*256 PHY_31 -*257 PHY_32 -*258 PHY_33 -*259 PHY_34 -*260 PHY_35 -*261 PHY_36 -*262 PHY_37 -*263 PHY_38 -*264 PHY_39 -*265 PHY_4 -*266 PHY_40 -*267 PHY_41 -*268 PHY_5 -*269 PHY_6 -*270 PHY_7 -*271 PHY_8 -*272 PHY_9 -*273 TAP_42 -*274 TAP_43 -*275 TAP_44 -*276 TAP_45 -*277 TAP_46 -*278 TAP_47 -*279 TAP_48 -*280 TAP_49 -*281 TAP_50 -*282 TAP_51 -*283 TAP_52 -*284 TAP_53 -*285 TAP_54 -*286 TAP_55 -*287 TAP_56 -*288 TAP_57 -*289 TAP_58 -*290 TAP_59 -*291 TAP_60 -*292 TAP_61 -*293 TAP_62 -*294 TAP_63 -*295 TAP_64 -*296 TAP_65 -*297 TAP_66 -*298 TAP_67 -*299 TAP_68 -*300 TAP_69 -*301 TAP_70 -*302 TAP_71 -*303 TAP_72 -*304 TAP_73 -*305 _058__1 -*306 _059__14 -*307 _060_ -*308 _061_ -*309 _062_ -*310 _063_ -*311 _064_ -*312 _065_ -*313 _066_ -*314 _067_ -*315 _068_ -*316 _069_ -*317 _070_ -*318 _071_ -*319 _072_ -*320 _073_ -*321 _074_ -*322 _075_ -*323 _076_ -*324 _077_ -*325 _078_ -*326 _079_ -*327 _080_ -*328 _081_ -*329 _082_ -*330 _083_ -*331 _084_ -*332 _085_ -*333 _086_ -*334 _087_ -*335 _088_ -*336 _089_ -*337 _090_ -*338 _091_ -*339 _092_ -*340 _093_ -*341 _094__2 -*342 _095__3 -*343 _096__4 -*344 _097__5 -*345 _098__6 -*346 _099__7 -*347 _100__8 -*348 _101__9 -*349 _102__10 -*350 _103__11 -*351 _104__12 -*352 _105__13 -*353 _106_ -*354 _107_ -*355 _108_ -*356 _109_ -*357 _110_ -*358 _111_ -*359 _112_ -*360 _113_ -*361 _114_ -*362 _115_ -*363 _116_ -*364 _117_ -*365 _118_ -*366 _119_ -*367 _120_ -*368 _121_ -*369 _122_ -*370 _123_ -*371 _124_ -*372 _125_ -*373 _126_ -*374 _127_ -*375 _128_ -*376 _129_ -*377 _130_ -*378 _131_ -*379 _132_ -*380 _133_ -*381 _134_ -*382 clkbuf_0_serial_clock -*383 clkbuf_0_serial_load -*384 clkbuf_1_0__f_serial_clock -*385 clkbuf_1_0__f_serial_load -*386 clkbuf_1_1__f_serial_clock -*387 clkbuf_1_1__f_serial_load -*388 const_source -*389 fanout23 -*390 fanout24 -*391 fanout25 -*392 fanout26 -*393 fanout27 -*394 fanout28 -*395 fanout29 -*396 fanout30 -*397 fanout31 -*398 gpio_logic_high -*399 hold1 -*400 hold10 -*401 hold11 -*402 hold12 -*403 hold13 -*404 hold2 -*405 hold3 -*406 hold4 -*407 hold5 -*408 hold6 -*409 hold7 -*410 hold8 -*411 hold9 -*412 input1 -*413 input2 -*414 input3 -*415 input4 -*416 input5 -*417 one_buffer -*418 output10 -*419 output11 -*420 output12 -*421 output13 -*422 output14 -*423 output15 -*424 output16 -*425 output17 -*426 output18 -*427 output19 -*428 output20 -*429 output21 -*430 output22 -*431 output6 -*432 output7 -*433 output8 -*434 output9 -*435 serial_clock_out_buffer -*436 serial_load_out_buffer -*437 spare_cell -*438 zero_buffer - -*PORTS -gpio_defaults[0] I -gpio_defaults[10] I -gpio_defaults[11] I -gpio_defaults[12] I -gpio_defaults[1] I -gpio_defaults[2] I -gpio_defaults[3] I -gpio_defaults[4] I -gpio_defaults[5] I -gpio_defaults[6] I -gpio_defaults[7] I -gpio_defaults[8] I -gpio_defaults[9] I -mgmt_gpio_in O -mgmt_gpio_oeb I -mgmt_gpio_out I -one O -pad_gpio_ana_en O -pad_gpio_ana_pol O -pad_gpio_ana_sel O -pad_gpio_dm[0] O -pad_gpio_dm[1] O -pad_gpio_dm[2] O -pad_gpio_holdover O -pad_gpio_ib_mode_sel O -pad_gpio_in I -pad_gpio_inenb O -pad_gpio_out O -pad_gpio_outenb O -pad_gpio_slow_sel O -pad_gpio_vtrip_sel O -resetn I -resetn_out O -serial_clock I -serial_clock_out O -serial_data_in I -serial_data_out O -serial_load I -serial_load_out O -user_gpio_in O -user_gpio_oeb I -user_gpio_out I -zero O - -*D_NET *1 0.0223182 -*CONN -*P gpio_defaults[0] I -*I *315:B I *D sky130_fd_sc_hd__or2_0 -*I *316:B I *D sky130_fd_sc_hd__nand2b_2 -*I *176:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *177:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 gpio_defaults[0] 0.00319374 -2 *315:B 0.000152028 -3 *316:B 0.000157728 -4 *176:DIODE 0.000900748 -5 *177:DIODE 3.6336e-06 -6 *1:38 0.00113925 -7 *1:27 0.00177897 -8 *1:16 0.00504033 -9 *176:DIODE *208:DIODE 0.00011795 -10 *176:DIODE *209:DIODE 1.64621e-05 -11 *176:DIODE *333:A 0.000263707 -12 *176:DIODE *419:A 9.76123e-05 -13 *176:DIODE *433:A 6.40203e-06 -14 *176:DIODE *3:29 0.000346947 -15 *176:DIODE *3:37 0.000631265 -16 *176:DIODE *18:9 0.000312104 -17 *176:DIODE *39:8 4.82947e-05 -18 *176:DIODE *119:38 0.000237049 -19 *176:DIODE *173:15 0.000494996 -20 *177:DIODE *361:SET_B 2.18021e-05 -21 *315:B *315:A 0.000360209 -22 *315:B *353:RESET_B 0.000180195 -23 *315:B *42:23 0.000245396 -24 *315:B *164:15 5.58875e-06 -25 *316:B *321:A 5.49489e-05 -26 *316:B *332:A_N 1.52217e-05 -27 *316:B *353:SET_B 5.50052e-05 -28 *316:B *361:SET_B 9.58632e-05 -29 *316:B *119:33 0.000171034 -30 *316:B *143:11 0.000560141 -31 *1:16 serial_clock_out 6.13969e-05 -32 *1:16 serial_data_out 0.00148728 -33 *1:16 *369:D 0.00013 -34 *1:16 *430:A 1.41123e-05 -35 *1:16 *5:11 0.000164022 -36 *1:16 *6:7 2.06655e-06 -37 *1:16 *8:19 1.25306e-05 -38 *1:16 *13:13 0.000438323 -39 *1:16 *38:8 1.36429e-05 -40 *1:16 *116:38 2.15536e-05 -41 *1:16 *116:76 0.000283095 -42 *1:16 *118:9 0.00027029 -43 *1:27 pad_gpio_dm[1] 0 -44 *1:27 *326:A_N 4.65519e-05 -45 *1:27 *347:A 2.97629e-05 -46 *1:27 *356:RESET_B 0.000139944 -47 *1:27 *360:CLK_N 0.000781306 -48 *1:27 *361:SET_B 0.000243165 -49 *1:27 *404:A 5.23577e-05 -50 *1:27 *419:A 0.000117383 -51 *1:27 *425:A 0.000186275 -52 *1:27 *3:24 0.000777345 -53 *1:27 *65:12 6.12016e-05 -54 *1:27 *105:17 1.80253e-05 -55 *1:27 *164:15 8.92945e-05 -56 *1:38 *361:SET_B 1.73789e-05 -57 *1:38 *419:A 0.000110779 -58 *1:38 *3:29 4.44838e-05 -*RES -1 gpio_defaults[0] *1:16 21.8578 -2 *1:16 *1:27 25.527 -3 *1:27 *177:DIODE 14.0768 -4 *1:27 *1:38 2.02679 -5 *1:38 *176:DIODE 44.2643 -6 *1:38 *316:B 19.6929 -7 *1:16 *315:B 19.2732 -*END - -*D_NET *2 0.0108416 -*CONN -*P gpio_defaults[10] I -*I *192:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *191:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *329:B I *D sky130_fd_sc_hd__or2_0 -*I *330:B I *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 gpio_defaults[10] 0.00197007 -2 *192:DIODE 0.000121345 -3 *191:DIODE 0.000573404 -4 *329:B 6.09659e-05 -5 *330:B 0 -6 *2:33 0.000722 -7 *2:24 0.000108942 -8 *2:22 0.00211272 -9 *191:DIODE *310:C 7.37323e-05 -10 *191:DIODE *329:A 6.09764e-05 -11 *191:DIODE *360:RESET_B 9.41642e-05 -12 *191:DIODE *29:7 6.3594e-05 -13 *191:DIODE *77:7 1.98839e-05 -14 *192:DIODE *330:A_N 2.2324e-05 -15 *192:DIODE *360:SET_B 9.82423e-05 -16 *192:DIODE *100:22 4.11387e-05 -17 *329:B *329:A 6.02449e-05 -18 *329:B *361:SET_B 8.35922e-05 -19 *329:B *425:A 3.02801e-05 -20 *329:B *29:7 0.000182147 -21 *2:22 pad_gpio_slow_sel 0.000391078 -22 *2:22 pad_gpio_vtrip_sel 2.58939e-06 -23 *2:22 serial_clock_out 0.000318931 -24 *2:22 serial_data_out 0.000493288 -25 *2:22 *320:B 0 -26 *2:22 *321:A 4.58194e-05 -27 *2:22 *326:A_N 0.000586493 -28 *2:22 *330:A_N 3.97677e-05 -29 *2:22 *342:A 0.000154304 -30 *2:22 *377:D 0.000287377 -31 *2:22 *378:CLK 0.000363904 -32 *2:22 *3:16 0 -33 *2:22 *11:19 0 -34 *2:22 *13:13 0 -35 *2:22 *13:20 0.000156318 -36 *2:22 *57:14 0 -37 *2:22 *100:22 0.000137099 -38 *2:22 *109:22 9.10121e-05 -39 *2:22 *118:34 5.59032e-05 -40 *2:22 *118:58 0.000351157 -41 *2:22 *145:25 4.81965e-05 -42 *2:22 *158:32 7.87452e-05 -43 *2:22 *158:47 0.000439919 -44 *2:22 *165:18 0 -45 *2:33 *330:A_N 9.41642e-05 -46 *2:33 *361:SET_B 0.000136166 -47 *2:33 *425:A 6.96346e-05 -*RES -1 gpio_defaults[10] *2:22 46.8772 -2 *2:22 *2:24 0.428571 -3 *2:24 *330:B 9.3 -4 *2:24 *2:33 7.39286 -5 *2:33 *329:B 16.6036 -6 *2:33 *191:DIODE 20.9071 -7 *2:22 *192:DIODE 11.8893 -*END - -*D_NET *3 0.0129051 -*CONN -*P gpio_defaults[11] I -*I *332:B I *D sky130_fd_sc_hd__nand2b_2 -*I *331:B I *D sky130_fd_sc_hd__or2_0 -*I *193:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *194:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 gpio_defaults[11] 0.00160836 -2 *332:B 0 -3 *331:B 0 -4 *193:DIODE 0 -5 *194:DIODE 2.93925e-05 -6 *3:37 0.000376635 -7 *3:29 0.000576349 -8 *3:24 0.00089313 -9 *3:16 0.00227239 -10 *194:DIODE *188:DIODE 2.84109e-05 -11 *3:16 *317:A 0.000264533 -12 *3:16 *354:SET_B 0.000266853 -13 *3:16 *4:17 0.000154875 -14 *3:16 *109:22 5.4216e-05 -15 *3:16 *115:15 0.00072744 -16 *3:16 *118:34 7.67491e-05 -17 *3:16 *123:34 0.000377134 -18 *3:16 *139:10 0.000142206 -19 *3:24 *329:A 0.000376153 -20 *3:24 *332:A_N 5.33005e-05 -21 *3:24 *404:A 0.000236967 -22 *3:24 *425:A 0.000413797 -23 *3:24 *426:A 6.49189e-05 -24 *3:24 *65:12 0.00103576 -25 *3:24 *143:11 1.46231e-05 -26 *3:24 *148:9 6.25005e-05 -27 *3:29 *332:A_N 0.000135028 -28 *3:29 *361:SET_B 0.000125564 -29 *3:29 *419:A 0.000120188 -30 *3:29 *119:38 4.94175e-05 -31 *3:29 *143:11 1.21258e-05 -32 *3:37 *208:DIODE 3.19935e-05 -33 *3:37 *329:A 0.000178162 -34 *3:37 *419:A 0.000345852 -35 *176:DIODE *3:29 0.000346947 -36 *176:DIODE *3:37 0.000631265 -37 *1:27 *3:24 0.000777345 -38 *1:38 *3:29 4.44838e-05 -39 *2:22 *3:16 0 -*RES -1 gpio_defaults[11] *3:16 44.4643 -2 *3:16 *3:24 25.8571 -3 *3:24 *3:29 11.1429 -4 *3:29 *3:37 15 -5 *3:37 *194:DIODE 9.83571 -6 *3:37 *193:DIODE 9.3 -7 *3:29 *331:B 13.8 -8 *3:24 *332:B 9.3 -*END - -*D_NET *4 0.0154032 -*CONN -*P gpio_defaults[12] I -*I *334:B I *D sky130_fd_sc_hd__nand2b_2 -*I *333:B I *D sky130_fd_sc_hd__or2_0 -*I *196:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *195:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 gpio_defaults[12] 0.00205538 -2 *334:B 0.000154051 -3 *333:B 7.3896e-05 -4 *196:DIODE 0.000331469 -5 *195:DIODE 7.00356e-05 -6 *4:44 0.000506431 -7 *4:27 0.00102092 -8 *4:17 0.00253638 -9 *195:DIODE *431:A 2.48421e-05 -10 *196:DIODE *329:A 0.000174366 -11 *196:DIODE *5:40 0.000376112 -12 *196:DIODE *122:15 9.86082e-05 -13 *333:B *333:A 2.83425e-05 -14 *333:B *26:10 0.000178503 -15 *333:B *67:7 6.05161e-06 -16 *334:B *333:A 7.58841e-05 -17 *334:B *414:A 2.45012e-05 -18 *334:B *67:10 0.000131136 -19 *334:B *99:8 5.24684e-06 -20 *334:B *120:8 0.000192548 -21 *4:17 *182:DIODE 2.84749e-05 -22 *4:17 *211:DIODE 0.000280366 -23 *4:17 *320:B 4.03721e-05 -24 *4:17 *354:RESET_B 1.13968e-05 -25 *4:17 *355:SET_B 9.77697e-05 -26 *4:17 *364:CLK_N 0.00013625 -27 *4:17 *365:SET_B 0.000536544 -28 *4:17 *378:D 0 -29 *4:17 *406:A 0.000321247 -30 *4:17 *422:A 0.00125322 -31 *4:17 *434:A 6.91846e-05 -32 *4:17 *11:19 1.59347e-05 -33 *4:17 *32:12 0.000848198 -34 *4:17 *61:10 6.45108e-05 -35 *4:17 *115:15 3.11713e-05 -36 *4:17 *115:20 2.70725e-06 -37 *4:17 *123:34 0.000990606 -38 *4:17 *139:10 0.000141693 -39 *4:27 *380:A 9.66977e-05 -40 *4:27 *397:A 9.76435e-06 -41 *4:27 *419:A 6.2127e-05 -42 *4:27 *431:A 0.00111717 -43 *4:27 *119:16 4.53378e-05 -44 *4:27 *173:15 0.000618523 -45 *4:44 *210:DIODE 3.14003e-05 -46 *4:44 *380:A 9.58689e-05 -47 *4:44 *414:A 3.29297e-05 -48 *4:44 *26:10 5.33005e-05 -49 *4:44 *67:7 1.04766e-05 -50 *4:44 *120:8 2.50593e-05 -51 *4:44 *121:7 0.000115352 -52 *3:16 *4:17 0.000154875 -*RES -1 gpio_defaults[12] *4:17 48.1607 -2 *4:17 *195:DIODE 15.1393 -3 *4:17 *4:27 18.1786 -4 *4:27 *196:DIODE 26.0143 -5 *4:27 *4:44 9.19643 -6 *4:44 *333:B 15.5679 -7 *4:44 *334:B 18.7821 -*END - -*D_NET *5 0.0284019 -*CONN -*P gpio_defaults[1] I -*I *328:B I *D sky130_fd_sc_hd__nand2b_2 -*I *189:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *188:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *327:B I *D sky130_fd_sc_hd__or2_0 -*CAP -1 gpio_defaults[1] 0.000412568 -2 *328:B 0.0010813 -3 *189:DIODE 0 -4 *188:DIODE 0.00173517 -5 *327:B 7.89811e-05 -6 *5:40 0.00489863 -7 *5:28 0.00608974 -8 *5:11 0.00233653 -9 *188:DIODE one 0.00016036 -10 *188:DIODE pad_gpio_ana_pol 9.97875e-06 -11 *188:DIODE zero 9.97164e-05 -12 *188:DIODE *205:DIODE 4.7812e-05 -13 *188:DIODE *208:DIODE 1.21258e-05 -14 *188:DIODE *209:DIODE 0.000618847 -15 *188:DIODE *384:A 7.60395e-05 -16 *188:DIODE *94:8 0.000271155 -17 *327:B *327:A 5.66971e-05 -18 *328:B serial_clock_out 0.000305742 -19 *328:B *313:B1 6.30931e-05 -20 *328:B *359:SET_B 5.33005e-05 -21 *328:B *34:11 0.000146021 -22 *328:B *79:9 6.46173e-05 -23 *328:B *97:15 6.57032e-05 -24 *5:11 *179:DIODE 2.02794e-05 -25 *5:11 *367:CLK 0.000201442 -26 *5:11 *403:A 8.58741e-05 -27 *5:11 *6:7 0.000514029 -28 *5:11 *6:27 0.000785296 -29 *5:11 *94:64 0.000197543 -30 *5:11 *94:71 0.000198261 -31 *5:11 *118:9 0.000266363 -32 *5:11 *152:10 5.19522e-06 -33 *5:28 pad_gpio_vtrip_sel 0.00288005 -34 *5:28 *183:DIODE 9.00639e-05 -35 *5:28 *326:B 7.44091e-05 -36 *5:28 *327:A 0.000253908 -37 *5:28 *346:A 7.91714e-05 -38 *5:28 *377:D 0.00117164 -39 *5:28 *379:RESET_B 0 -40 *5:28 *6:27 7.48217e-06 -41 *5:28 *32:12 6.20218e-05 -42 *5:28 *61:10 0.000133812 -43 *5:28 *93:8 0.000311284 -44 *5:28 *94:60 0.00036301 -45 *5:28 *117:13 0.000352147 -46 *5:28 *148:9 0.000335319 -47 *5:40 one 0 -48 *5:40 pad_gpio_ana_pol 2.15327e-05 -49 *5:40 *311:A_N 0.000118315 -50 *5:40 *314:B 4.3588e-05 -51 *5:40 *329:A 1.71144e-05 -52 *5:40 *396:A 7.11037e-05 -53 *5:40 *15:10 0.000113416 -54 *5:40 *67:10 0.000102503 -55 *5:40 *99:8 0.000135449 -56 *5:40 *122:7 4.08637e-05 -57 *5:40 *122:11 9.66977e-05 -58 *194:DIODE *188:DIODE 2.84109e-05 -59 *196:DIODE *5:40 0.000376112 -60 *1:16 *5:11 0.000164022 -*RES -1 gpio_defaults[1] *5:11 22.375 -2 *5:11 *327:B 10.6571 -3 *5:11 *5:28 25.044 -4 *5:28 *5:40 23.3102 -5 *5:40 *188:DIODE 43.7107 -6 *5:40 *189:DIODE 9.3 -7 *5:28 *328:B 24.4888 -*END - -*D_NET *6 0.0147075 -*CONN -*P gpio_defaults[2] I -*I *318:B I *D sky130_fd_sc_hd__nand2b_2 -*I *178:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *317:B I *D sky130_fd_sc_hd__or2_0 -*I *179:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 gpio_defaults[2] 0.000363518 -2 *318:B 0.000350469 -3 *178:DIODE 0.000354162 -4 *317:B 5.74147e-05 -5 *179:DIODE 9.95333e-05 -6 *6:41 0.000886733 -7 *6:27 0.00231988 -8 *6:7 0.00254341 -9 *178:DIODE *326:B 0.00024243 -10 *178:DIODE *353:SET_B 6.19639e-06 -11 *178:DIODE *355:D 4.26759e-05 -12 *178:DIODE *358:SET_B 0.000344491 -13 *178:DIODE *404:A 5.33005e-05 -14 *178:DIODE *32:12 0.000237828 -15 *178:DIODE *42:23 0.000143364 -16 *178:DIODE *105:17 3.05829e-05 -17 *178:DIODE *134:8 0.000141711 -18 *317:B *317:A 2.59355e-05 -19 *317:B *109:22 2.59355e-05 -20 *317:B *115:15 6.30931e-05 -21 *317:B *123:34 6.30931e-05 -22 *318:B *318:A_N 0.000142703 -23 *318:B *42:23 0.000478075 -24 *318:B *134:8 0.000782023 -25 *6:7 *369:D 4.7659e-05 -26 *6:7 *7:12 0 -27 *6:27 resetn_out 0.00157231 -28 *6:27 *183:DIODE 0.000146197 -29 *6:27 *326:B 0.000148695 -30 *6:27 *369:D 0.000235036 -31 *6:27 *7:12 0 -32 *6:27 *8:19 0 -33 *6:27 *11:19 0.000743254 -34 *6:27 *94:60 5.09917e-05 -35 *6:27 *152:10 0.000111398 -36 *6:41 resetn_out 0.000366878 -37 *6:41 *326:B 0.000157405 -38 *1:16 *6:7 2.06655e-06 -39 *5:11 *179:DIODE 2.02794e-05 -40 *5:11 *6:7 0.000514029 -41 *5:11 *6:27 0.000785296 -42 *5:28 *6:27 7.48217e-06 -*RES -1 gpio_defaults[2] *6:7 8.50893 -2 *6:7 *179:DIODE 15.0232 -3 *6:7 *6:27 18.6378 -4 *6:27 *317:B 18.6296 -5 *6:27 *6:41 4.03353 -6 *6:41 *178:DIODE 27.2684 -7 *6:41 *318:B 24.3982 -*END - -*D_NET *7 0.0119744 -*CONN -*P gpio_defaults[3] I -*I *323:B I *D sky130_fd_sc_hd__or2_0 -*I *184:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *185:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *324:B I *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 gpio_defaults[3] 0.00167518 -2 *323:B 3.45353e-05 -3 *184:DIODE 0.000184623 -4 *185:DIODE 0.000565185 -5 *324:B 2.76949e-05 -6 *7:35 0.000950789 -7 *7:28 0.000517175 -8 *7:14 0.000802423 -9 *7:12 0.00216824 -10 *184:DIODE *435:A 0.000267965 -11 *185:DIODE *345:A 7.21379e-05 -12 *185:DIODE *435:A 0.000306309 -13 *185:DIODE *9:38 0.00019286 -14 *185:DIODE *122:30 0.000146853 -15 *185:DIODE *125:13 0.000131967 -16 *185:DIODE *133:10 4.85758e-05 -17 *185:DIODE *133:21 5.60463e-06 -18 *323:B *323:A 6.45059e-05 -19 *323:B *39:8 5.65955e-05 -20 *323:B *57:14 7.01566e-05 -21 *324:B *364:CLK_N 2.04681e-05 -22 *7:12 *369:D 0.000103605 -23 *7:12 *379:RESET_B 0 -24 *7:12 *391:A 0.00163604 -25 *7:12 *393:A 0.000469337 -26 *7:12 *9:24 2.12733e-05 -27 *7:12 *152:10 0.00021896 -28 *7:14 *324:A_N 1.65169e-05 -29 *7:14 *357:SET_B 1.28171e-05 -30 *7:14 *391:A 0.000126332 -31 *7:14 *436:A 0 -32 *7:28 *323:A 0.000151793 -33 *7:28 *357:SET_B 1.90303e-05 -34 *7:28 *12:32 0.000132169 -35 *7:28 *57:14 1.32293e-05 -36 *7:28 *125:13 4.04224e-05 -37 *7:28 *135:15 7.27183e-05 -38 *7:35 *345:A 5.51716e-05 -39 *7:35 *363:SET_B 0.000402081 -40 *7:35 *364:SET_B 0.000173021 -41 *6:7 *7:12 0 -42 *6:27 *7:12 0 -*RES -1 gpio_defaults[3] *7:12 45.1339 -2 *7:12 *7:14 6.08036 -3 *7:14 *324:B 10.0321 -4 *7:14 *7:28 14.8929 -5 *7:28 *7:35 14.7143 -6 *7:35 *185:DIODE 29.4607 -7 *7:35 *184:DIODE 11.8893 -8 *7:28 *323:B 10.6571 -*END - -*D_NET *8 0.0184896 -*CONN -*P gpio_defaults[4] I -*I *326:B I *D sky130_fd_sc_hd__nand2b_2 -*I *187:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *325:B I *D sky130_fd_sc_hd__or2_0 -*I *186:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 gpio_defaults[4] 0.000795975 -2 *326:B 0.00219367 -3 *187:DIODE 0 -4 *325:B 3.68251e-05 -5 *186:DIODE 0.00152749 -6 *8:23 0.00218628 -7 *8:19 0.00149484 -8 *8:15 0.00386253 -9 *186:DIODE *335:A 6.05207e-05 -10 *186:DIODE *384:A 3.11088e-05 -11 *186:DIODE *94:8 0.00066478 -12 *326:B resetn_out 0.00018805 -13 *326:B *312:A2 1.94945e-05 -14 *326:B *326:A_N 0.000145239 -15 *326:B *357:SET_B 0.00032482 -16 *326:B *358:SET_B 0.0001691 -17 *326:B *377:D 0.000116364 -18 *326:B *11:19 0.000746065 -19 *326:B *32:12 0.000401666 -20 *326:B *34:11 5.26821e-05 -21 *326:B *65:12 2.11419e-05 -22 *326:B *116:76 0.000404016 -23 *326:B *123:23 4.8817e-05 -24 *326:B *133:21 0.000150264 -25 *8:15 *319:A 2.05484e-05 -26 *8:15 *392:A 0.000326069 -27 *8:15 *410:A 2.11419e-05 -28 *8:15 *429:A 0 -29 *8:15 *430:A 5.49995e-05 -30 *8:15 *9:13 0.000161383 -31 *8:15 *10:21 0.000381552 -32 *8:15 *130:18 0.000103056 -33 *8:15 *138:9 0.000162304 -34 *8:15 *165:18 5.29529e-05 -35 *8:19 resetn_out 6.87106e-05 -36 *8:19 *94:64 2.11419e-05 -37 *8:19 *116:38 0.000132503 -38 *8:19 *116:76 6.48889e-05 -39 *8:23 *183:DIODE 0.000405046 -40 *8:23 *403:A 0.0001829 -41 *8:23 *94:64 5.31751e-05 -42 *178:DIODE *326:B 0.00024243 -43 *1:16 *8:19 1.25306e-05 -44 *5:28 *326:B 7.44091e-05 -45 *6:27 *326:B 0.000148695 -46 *6:27 *8:19 0 -47 *6:41 *326:B 0.000157405 -*RES -1 gpio_defaults[4] *8:15 28.8832 -2 *8:15 *8:19 5.46339 -3 *8:19 *8:23 15.0357 -4 *8:23 *186:DIODE 46.6571 -5 *8:23 *325:B 14.3357 -6 *8:19 *187:DIODE 13.8 -7 *8:15 *326:B 38.0991 -*END - -*D_NET *9 0.0123615 -*CONN -*P gpio_defaults[5] I -*I *335:B I *D sky130_fd_sc_hd__or2_0 -*I *198:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *197:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *336:B I *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 gpio_defaults[5] 0.00124089 -2 *335:B 0 -3 *198:DIODE 5.23783e-05 -4 *197:DIODE 0 -5 *336:B 0 -6 *9:38 0.000299358 -7 *9:24 0.00117806 -8 *9:13 0.00217197 -9 *198:DIODE *12:32 5.4959e-05 -10 *198:DIODE *159:43 0.000171223 -11 *9:13 *335:A 8.82593e-05 -12 *9:13 *342:A 0.000576812 -13 *9:13 *346:A 0.00125103 -14 *9:13 *355:CLK_N 0.000686558 -15 *9:13 *367:RESET_B 0.000112622 -16 *9:13 *368:RESET_B 6.51343e-05 -17 *9:13 *403:A 7.29082e-05 -18 *9:13 *10:21 0.000184843 -19 *9:13 *94:60 0.000291758 -20 *9:13 *116:48 0.000197452 -21 *9:13 *117:13 0.000119077 -22 *9:13 *152:10 0.000433467 -23 *9:24 *336:A_N 0.00032321 -24 *9:24 *391:A 0.000523266 -25 *9:24 *10:26 0.000430819 -26 *9:24 *10:29 6.94441e-06 -27 *9:24 *119:16 0.000346253 -28 *9:24 *159:8 0.000129628 -29 *9:24 *159:15 0.000320403 -30 *9:38 *200:DIODE 9.84729e-05 -31 *9:38 *363:SET_B 0.000180151 -32 *9:38 *12:32 3.11088e-05 -33 *9:38 *119:16 1.51571e-05 -34 *9:38 *133:10 0.000144732 -35 *9:38 *159:43 0.0001871 -36 *185:DIODE *9:38 0.00019286 -37 *7:12 *9:24 2.12733e-05 -38 *8:15 *9:13 0.000161383 -*RES -1 gpio_defaults[5] *9:13 46.4286 -2 *9:13 *9:24 38.8393 -3 *9:24 *336:B 9.3 -4 *9:24 *9:38 15.9286 -5 *9:38 *197:DIODE 9.3 -6 *9:38 *198:DIODE 11.0679 -7 *9:13 *335:B 13.8 -*END - -*D_NET *10 0.0108587 -*CONN -*P gpio_defaults[6] I -*I *337:B I *D sky130_fd_sc_hd__or2_0 -*I *338:B I *D sky130_fd_sc_hd__nand2b_2 -*I *199:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *200:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 gpio_defaults[6] 0.00150206 -2 *337:B 1.69531e-05 -3 *338:B 9.522e-05 -4 *199:DIODE 0 -5 *200:DIODE 0.000303034 -6 *10:29 0.000442223 -7 *10:26 0.000439568 -8 *10:21 0.00172418 -9 *200:DIODE *119:16 5.33005e-05 -10 *200:DIODE *129:10 9.96553e-05 -11 *200:DIODE *133:10 5.50052e-05 -12 *200:DIODE *159:15 0.000220988 -13 *200:DIODE *159:21 6.99965e-05 -14 *200:DIODE *159:27 2.85234e-05 -15 *200:DIODE *159:43 2.12733e-05 -16 *337:B *337:A 5.33005e-05 -17 *337:B *120:38 5.33005e-05 -18 *338:B *314:A 0.000204318 -19 *338:B *338:A_N 9.41642e-05 -20 *10:21 *203:DIODE 0.000353078 -21 *10:21 *337:A 0.000136166 -22 *10:21 *346:A 1.71164e-05 -23 *10:21 *371:D 0.00013063 -24 *10:21 *371:RESET_B 0.000489282 -25 *10:21 *402:A 4.47273e-05 -26 *10:21 *410:A 8.09004e-06 -27 *10:21 *13:20 2.68192e-05 -28 *10:21 *93:8 0.000279625 -29 *10:21 *94:60 0.0001125 -30 *10:21 *109:22 9.61478e-05 -31 *10:21 *117:13 0.000766796 -32 *10:21 *147:11 0.000133691 -33 *10:21 *165:18 5.45477e-05 -34 *10:26 *203:DIODE 0.000524167 -35 *10:26 *314:A 9.58632e-05 -36 *10:26 *336:A_N 3.14003e-05 -37 *10:26 *337:A 3.29297e-05 -38 *10:26 *338:A_N 4.08637e-05 -39 *10:26 *114:13 5.33005e-05 -40 *10:26 *129:10 1.33329e-05 -41 *10:26 *159:8 4.90115e-05 -42 *10:29 *336:A_N 0.000360311 -43 *10:29 *129:10 0.000428559 -44 *8:15 *10:21 0.000381552 -45 *9:13 *10:21 0.000184843 -46 *9:24 *10:26 0.000430819 -47 *9:24 *10:29 6.94441e-06 -48 *9:38 *200:DIODE 9.84729e-05 -*RES -1 gpio_defaults[6] *10:21 39.8705 -2 *10:21 *10:26 13.1607 -3 *10:26 *10:29 10.0893 -4 *10:29 *200:DIODE 20.1929 -5 *10:29 *199:DIODE 13.8 -6 *10:26 *338:B 11.4786 -7 *10:21 *337:B 14.3357 -*END - -*D_NET *11 0.0109702 -*CONN -*P gpio_defaults[7] I -*I *340:B I *D sky130_fd_sc_hd__nand2b_2 -*I *202:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *201:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *339:B I *D sky130_fd_sc_hd__or2_0 -*CAP -1 gpio_defaults[7] 0.00137091 -2 *340:B 0 -3 *202:DIODE 8.04636e-05 -4 *201:DIODE 0.000453557 -5 *339:B 8.08221e-05 -6 *11:27 0.000694922 -7 *11:21 0.000424636 -8 *11:19 0.00155453 -9 *201:DIODE *316:A_N 6.05161e-06 -10 *201:DIODE *365:RESET_B 0.000257619 -11 *201:DIODE *41:45 0.000336085 -12 *201:DIODE *119:33 0.000108566 -13 *201:DIODE *124:6 0.000337608 -14 *202:DIODE *347:A 0.000175892 -15 *202:DIODE *401:A 4.58194e-05 -16 *339:B *339:A 9.41642e-05 -17 *11:19 serial_data_out 0 -18 *11:19 *319:A 0 -19 *11:19 *319:B 0.000141935 -20 *11:19 *366:RESET_B 0 -21 *11:19 *392:A 5.96516e-05 -22 *11:19 *430:A 0.000135501 -23 *11:19 *12:7 7.28217e-05 -24 *11:19 *13:13 0.000429101 -25 *11:19 *32:12 9.48286e-05 -26 *11:19 *53:10 2.42516e-05 -27 *11:19 *57:14 0.000493183 -28 *11:19 *109:22 2.18747e-05 -29 *11:19 *115:15 0.000238881 -30 *11:19 *123:34 0.000101245 -31 *11:19 *130:18 3.20731e-05 -32 *11:19 *145:25 0 -33 *11:19 *165:18 0.000167774 -34 *11:21 *322:B 1.1594e-05 -35 *11:21 *400:A 1.02366e-05 -36 *11:21 *13:31 0.000265145 -37 *11:21 *32:12 0.00033536 -38 *11:21 *115:15 3.10885e-05 -39 *11:27 pad_gpio_slow_sel 1.19432e-05 -40 *11:27 *13:31 6.5788e-05 -41 *11:27 *32:12 0.000385186 -42 *11:27 *154:10 0.000313843 -43 *326:B *11:19 0.000746065 -44 *2:22 *11:19 0 -45 *4:17 *11:19 1.59347e-05 -46 *6:27 *11:19 0.000743254 -*RES -1 gpio_defaults[7] *11:19 40.9314 -2 *11:19 *11:21 4.67857 -3 *11:21 *11:27 10.3214 -4 *11:27 *339:B 10.6571 -5 *11:27 *201:DIODE 27.8536 -6 *11:21 *202:DIODE 15.5679 -7 *11:19 *340:B 13.8 -*END - -*D_NET *12 0.0159902 -*CONN -*P gpio_defaults[8] I -*I *319:B I *D sky130_fd_sc_hd__or2_0 -*I *320:B I *D sky130_fd_sc_hd__nand2b_2 -*I *180:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *181:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 gpio_defaults[8] 0.000146488 -2 *319:B 0.000114585 -3 *320:B 0.000583705 -4 *180:DIODE 0 -5 *181:DIODE 0.00111084 -6 *12:32 0.000893008 -7 *12:14 0.00218734 -8 *12:11 0.00148703 -9 *12:7 0.000980903 -10 *181:DIODE *343:A 2.13481e-06 -11 *181:DIODE *371:CLK 2.42516e-05 -12 *181:DIODE *371:RESET_B 0.000216853 -13 *181:DIODE *374:CLK 3.2687e-05 -14 *181:DIODE *402:A 0.000219289 -15 *181:DIODE *403:A 2.11336e-05 -16 *319:B *392:A 0.000526026 -17 *319:B *429:A 9.8396e-05 -18 *319:B *430:A 9.86486e-06 -19 *319:B *130:18 0.000173182 -20 *320:B *342:A 0.000224341 -21 *320:B *355:SET_B 2.51903e-05 -22 *320:B *358:SET_B 9.29338e-05 -23 *320:B *370:RESET_B 0.00019454 -24 *320:B *374:D 6.57032e-05 -25 *320:B *375:CLK 9.83388e-05 -26 *320:B *422:A 9.18069e-05 -27 *320:B *57:14 0.00018935 -28 *320:B *59:15 9.69399e-05 -29 *320:B *103:8 8.08608e-06 -30 *320:B *115:19 2.59355e-05 -31 *320:B *115:20 5.26224e-05 -32 *320:B *118:34 9.11048e-06 -33 *12:7 *430:A 7.58644e-05 -34 *12:7 *13:13 8.85437e-05 -35 *12:11 *366:D 0.00100495 -36 *12:11 *430:A 0.000678832 -37 *12:11 *57:14 0.000255471 -38 *12:11 *59:15 0.000235726 -39 *12:11 *130:18 0 -40 *12:14 *343:A 2.34465e-05 -41 *12:14 *374:CLK 7.4854e-05 -42 *12:14 *374:D 6.05161e-06 -43 *12:32 *323:A 4.3304e-06 -44 *12:32 *357:SET_B 0.000849159 -45 *12:32 *363:SET_B 0.000227347 -46 *12:32 *113:8 0.000312969 -47 *12:32 *125:13 0.00101089 -48 *12:32 *133:10 0.000117016 -49 *12:32 *133:21 0.000518423 -50 *12:32 *135:15 3.03305e-05 -51 *198:DIODE *12:32 5.4959e-05 -52 *2:22 *320:B 0 -53 *4:17 *320:B 4.03721e-05 -54 *7:28 *12:32 0.000132169 -55 *9:38 *12:32 3.11088e-05 -56 *11:19 *319:B 0.000141935 -57 *11:19 *12:7 7.28217e-05 -*RES -1 gpio_defaults[8] *12:7 3.78571 -2 *12:7 *12:11 24.0536 -3 *12:11 *12:14 3.01786 -4 *12:14 *181:DIODE 22.1571 -5 *12:14 *12:32 44.5536 -6 *12:32 *180:DIODE 9.3 -7 *12:11 *320:B 40.0679 -8 *12:7 *319:B 19.2821 -*END - -*D_NET *13 0.0248128 -*CONN -*P gpio_defaults[9] I -*I *183:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *322:B I *D sky130_fd_sc_hd__nand2b_2 -*I *321:B I *D sky130_fd_sc_hd__or2_0 -*I *182:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 gpio_defaults[9] 0.00205772 -2 *183:DIODE 0.000908225 -3 *322:B 0.00112778 -4 *321:B 0.000657847 -5 *182:DIODE 0.000691615 -6 *13:31 0.00248244 -7 *13:20 0.00371739 -8 *13:13 0.00442259 -9 *182:DIODE *351:A 5.45307e-05 -10 *182:DIODE *364:CLK_N 0.000439515 -11 *182:DIODE *364:RESET_B 5.93614e-05 -12 *182:DIODE *365:CLK_N 4.2628e-05 -13 *182:DIODE *422:A 0.000170953 -14 *182:DIODE *35:7 7.79102e-05 -15 *182:DIODE *103:8 0.000177223 -16 *183:DIODE pad_gpio_vtrip_sel 0.000108056 -17 *183:DIODE *403:A 0.00021211 -18 *321:B *321:A 0.000147718 -19 *321:B *353:SET_B 4.21415e-05 -20 *321:B *120:22 0.000758265 -21 *322:B *322:A_N 9.40409e-05 -22 *322:B *355:CLK_N 3.50949e-06 -23 *322:B *355:D 0.000113062 -24 *322:B *355:RESET_B 0.000127943 -25 *322:B *358:SET_B 1.0484e-05 -26 *322:B *400:A 7.69776e-06 -27 *322:B *426:A 0.000220309 -28 *322:B *115:15 6.05161e-06 -29 *322:B *118:45 3.51224e-06 -30 *13:13 serial_data_out 0 -31 *13:13 *366:RESET_B 1.05998e-05 -32 *13:13 *369:D 3.68477e-05 -33 *13:13 *430:A 0.000475401 -34 *13:13 *38:8 0.000242806 -35 *13:13 *116:35 0.000233128 -36 *13:20 pad_gpio_vtrip_sel 0.00167753 -37 *13:20 *116:35 5.87752e-05 -38 *13:20 *120:38 8.57736e-06 -39 *13:31 pad_gpio_slow_sel 0.000234491 -40 *13:31 *326:A_N 4.13349e-05 -41 *13:31 *364:RESET_B 1.08847e-05 -42 *13:31 *400:A 4.16331e-05 -43 *13:31 *401:A 0.000139429 -44 *13:31 *422:A 0.000419522 -45 *13:31 *103:8 6.37939e-05 -46 *13:31 *115:26 2.3953e-05 -47 *1:16 *13:13 0.000438323 -48 *2:22 *13:13 0 -49 *2:22 *13:20 0.000156318 -50 *4:17 *182:DIODE 2.84749e-05 -51 *5:28 *183:DIODE 9.00639e-05 -52 *6:27 *183:DIODE 0.000146197 -53 *8:23 *183:DIODE 0.000405046 -54 *10:21 *13:20 2.68192e-05 -55 *11:19 *13:13 0.000429101 -56 *11:21 *322:B 1.1594e-05 -57 *11:21 *13:31 0.000265145 -58 *11:27 *13:31 6.5788e-05 -59 *12:7 *13:13 8.85437e-05 -*RES -1 gpio_defaults[9] *13:13 19.7114 -2 *13:13 *13:20 10.0802 -3 *13:20 *13:31 17.632 -4 *13:31 *182:DIODE 27.8357 -5 *13:31 *321:B 32.2643 -6 *13:20 *322:B 29.1482 -7 *13:13 *183:DIODE 27.4068 -*END - -*D_NET *14 0.00768425 -*CONN -*P mgmt_gpio_in O -*I *431:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 mgmt_gpio_in 0.00152694 -2 *431:X 0.00152694 -3 mgmt_gpio_in one 0.0014494 -4 mgmt_gpio_in zero 0.00185875 -5 mgmt_gpio_in *208:DIODE 0.000160191 -6 mgmt_gpio_in *209:DIODE 0.000378566 -7 mgmt_gpio_in *16:8 0.000406492 -8 mgmt_gpio_in *18:9 0.000376968 -*RES -1 *431:X mgmt_gpio_in 26.0699 -*END - -*D_NET *15 0.00718821 -*CONN -*P mgmt_gpio_oeb I -*I *412:A I *D sky130_fd_sc_hd__buf_2 -*I *208:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 mgmt_gpio_oeb 0.00110879 -2 *412:A 0 -3 *208:DIODE 0.000642261 -4 *15:10 0.00175105 -5 *208:DIODE *209:DIODE 0.000920498 -6 *208:DIODE *329:A 2.2443e-05 -7 *208:DIODE *122:7 9.58689e-05 -8 *208:DIODE *122:11 9.66977e-05 -9 *208:DIODE *122:15 0.000124439 -10 *208:DIODE *173:15 9.93918e-06 -11 *15:10 one 0.000947655 -12 *15:10 pad_gpio_ana_pol 0 -13 *15:10 *396:A 5.5333e-05 -14 *15:10 *20:9 0.000655624 -15 *15:10 *23:11 0.00032194 -16 mgmt_gpio_in *208:DIODE 0.000160191 -17 *176:DIODE *208:DIODE 0.00011795 -18 *188:DIODE *208:DIODE 1.21258e-05 -19 *3:37 *208:DIODE 3.19935e-05 -20 *5:40 *15:10 0.000113416 -*RES -1 mgmt_gpio_oeb *15:10 13.3522 -2 *15:10 *208:DIODE 32.1393 -3 *15:10 *412:A 9.3 -*END - -*D_NET *16 0.0106823 -*CONN -*P mgmt_gpio_out I -*I *209:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *413:A I *D sky130_fd_sc_hd__buf_2 -*CAP -1 mgmt_gpio_out 0.00133038 -2 *209:DIODE 0.000649433 -3 *413:A 0 -4 *16:23 3.01099e-05 -5 *16:8 0.0019497 -6 *209:DIODE *205:DIODE 5.2254e-05 -7 *209:DIODE *212:DIODE 6.62783e-05 -8 *209:DIODE *417:A 0.00064235 -9 *209:DIODE *18:9 0.000700717 -10 *209:DIODE *122:19 0.000324143 -11 *209:DIODE *173:15 0.000527647 -12 *16:8 pad_gpio_ana_en 0.00018008 -13 *16:8 pad_gpio_ana_sel 0.000140973 -14 *16:8 *18:9 0.00174737 -15 mgmt_gpio_in *209:DIODE 0.000378566 -16 mgmt_gpio_in *16:8 0.000406492 -17 *176:DIODE *209:DIODE 1.64621e-05 -18 *188:DIODE *209:DIODE 0.000618847 -19 *208:DIODE *209:DIODE 0.000920498 -*RES -1 mgmt_gpio_out *16:8 43.2404 -2 *16:8 *413:A 9.3 -3 *16:8 *209:DIODE 36.9607 -4 mgmt_gpio_out *16:23 0.0595333 -*END - -*D_NET *17 0.00660989 -*CONN -*P one O -*I *417:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 one 0.00202085 -2 *417:X 0.00202085 -3 one zero 1.07898e-05 -4 mgmt_gpio_in one 0.0014494 -5 *188:DIODE one 0.00016036 -6 *5:40 one 0 -7 *15:10 one 0.000947655 -*RES -1 *417:X one 25.7511 -*END - -*D_NET *18 0.00706318 -*CONN -*P pad_gpio_ana_en O -*I *432:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_ana_en 0.000228017 -2 *432:X 0.00127493 -3 *18:16 2.67943e-05 -4 *18:9 0.00147615 -5 pad_gpio_ana_en pad_gpio_ana_sel 0.00028997 -6 pad_gpio_ana_en pad_gpio_dm[2] 0.000265449 -7 *18:9 *110:7 0.000184624 -8 mgmt_gpio_in *18:9 0.000376968 -9 *176:DIODE *18:9 0.000312104 -10 *209:DIODE *18:9 0.000700717 -11 *16:8 pad_gpio_ana_en 0.00018008 -12 *16:8 *18:9 0.00174737 -*RES -1 *432:X *18:9 46.5321 -2 *18:9 pad_gpio_ana_en 15.4725 -3 pad_gpio_ana_en *18:16 0.0595333 -*END - -*D_NET *19 0.00590003 -*CONN -*P pad_gpio_ana_pol O -*I *433:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_ana_pol 0.00238048 -2 *433:X 0.00238048 -3 pad_gpio_ana_pol pad_gpio_dm[0] 0.000413586 -4 pad_gpio_ana_pol pad_gpio_dm[1] 0.00017976 -5 pad_gpio_ana_pol *24:9 0.000101025 -6 pad_gpio_ana_pol *123:23 0.000135763 -7 pad_gpio_ana_pol *154:10 0.00014727 -8 pad_gpio_ana_pol *159:43 0.000130149 -9 *188:DIODE pad_gpio_ana_pol 9.97875e-06 -10 *5:40 pad_gpio_ana_pol 2.15327e-05 -11 *15:10 pad_gpio_ana_pol 0 -*RES -1 *433:X pad_gpio_ana_pol 30.1854 -*END - -*D_NET *20 0.00688983 -*CONN -*P pad_gpio_ana_sel O -*I *434:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_ana_sel 0.000221207 -2 *434:X 0.00166645 -3 *20:9 0.00188766 -4 pad_gpio_ana_sel pad_gpio_dm[2] 0.000165707 -5 *20:9 *210:DIODE 0.000207304 -6 *20:9 *23:11 0.00165494 -7 pad_gpio_ana_en pad_gpio_ana_sel 0.00028997 -8 *15:10 *20:9 0.000655624 -9 *16:8 pad_gpio_ana_sel 0.000140973 -*RES -1 *434:X *20:9 48.5857 -2 *20:9 pad_gpio_ana_sel 15.1689 -*END - -*D_NET *21 0.00655059 -*CONN -*P pad_gpio_dm[0] O -*I *418:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_dm[0] 0.00250609 -2 *418:X 0.00250609 -3 pad_gpio_dm[0] pad_gpio_dm[1] 0.000965348 -4 pad_gpio_dm[0] *24:9 0.000114301 -5 pad_gpio_dm[0] *95:8 4.51632e-05 -6 pad_gpio_ana_pol pad_gpio_dm[0] 0.000413586 -*RES -1 *418:X pad_gpio_dm[0] 24.8247 -*END - -*D_NET *22 0.00707462 -*CONN -*P pad_gpio_dm[1] O -*I *419:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_dm[1] 0.0023715 -2 *419:X 0.0023715 -3 pad_gpio_dm[1] serial_clock_out 0.000102847 -4 pad_gpio_dm[1] *25:9 0.000299497 -5 pad_gpio_dm[1] *100:22 0.000178353 -6 pad_gpio_dm[1] *119:86 8.57736e-06 -7 pad_gpio_dm[1] *154:10 0.000592782 -8 pad_gpio_dm[1] *159:43 4.45982e-06 -9 pad_gpio_ana_pol pad_gpio_dm[1] 0.00017976 -10 pad_gpio_dm[0] pad_gpio_dm[1] 0.000965348 -11 *1:27 pad_gpio_dm[1] 0 -*RES -1 *419:X pad_gpio_dm[1] 30.8289 -*END - -*D_NET *23 0.00661804 -*CONN -*P pad_gpio_dm[2] O -*I *420:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_dm[2] 0.000256175 -2 *420:X 0.00131576 -3 *23:11 0.00157193 -4 pad_gpio_dm[2] pad_gpio_holdover 0.000193899 -5 pad_gpio_dm[2] *26:7 0.000815535 -6 *23:11 *210:DIODE 5.66971e-05 -7 *23:11 *26:10 0 -8 pad_gpio_ana_en pad_gpio_dm[2] 0.000265449 -9 pad_gpio_ana_sel pad_gpio_dm[2] 0.000165707 -10 *15:10 *23:11 0.00032194 -11 *20:9 *23:11 0.00165494 -*RES -1 *420:X *23:11 48.05 -2 *23:11 pad_gpio_dm[2] 15.8296 -*END - -*D_NET *24 0.00598291 -*CONN -*P pad_gpio_holdover O -*I *421:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_holdover 0.000161372 -2 *421:X 0.00204172 -3 *24:9 0.00220309 -4 pad_gpio_holdover pad_gpio_ib_mode_sel 0.000319715 -5 pad_gpio_holdover *26:7 5.64173e-05 -6 *24:9 *314:A 6.82968e-05 -7 *24:9 *331:A 8.95645e-05 -8 *24:9 *334:A_N 2.59355e-05 -9 *24:9 *25:9 1.58213e-06 -10 *24:9 *68:7 8.7058e-05 -11 *24:9 *113:8 0.000518929 -12 pad_gpio_ana_pol *24:9 0.000101025 -13 pad_gpio_dm[0] *24:9 0.000114301 -14 pad_gpio_dm[2] pad_gpio_holdover 0.000193899 -*RES -1 *421:X *24:9 48.7821 -2 *24:9 pad_gpio_holdover 13.9546 -*END - -*D_NET *25 0.00613176 -*CONN -*P pad_gpio_ib_mode_sel O -*I *422:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_ib_mode_sel 0.000253099 -2 *422:X 0.00204469 -3 *25:16 3.00948e-05 -4 *25:9 0.00226769 -5 pad_gpio_ib_mode_sel *26:7 0 -6 *25:9 pad_gpio_inenb 0.000313563 -7 *25:9 *423:A 5.50052e-05 -8 *25:9 *39:8 0.000546821 -9 pad_gpio_dm[1] *25:9 0.000299497 -10 pad_gpio_holdover pad_gpio_ib_mode_sel 0.000319715 -11 *24:9 *25:9 1.58213e-06 -*RES -1 *422:X *25:9 48.4786 -2 *25:9 pad_gpio_ib_mode_sel 13.6511 -3 pad_gpio_ib_mode_sel *25:16 0.0595333 -*END - -*D_NET *26 0.009444 -*CONN -*P pad_gpio_in I -*I *414:A I *D sky130_fd_sc_hd__buf_2 -*I *210:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 pad_gpio_in 0.000601752 -2 *414:A 1.64115e-05 -3 *210:DIODE 0.000922163 -4 *26:28 3.0663e-05 -5 *26:10 0.0027566 -6 *26:7 0.00238911 -7 *210:DIODE *175:DIODE 0.000608509 -8 *210:DIODE *99:8 6.13903e-05 -9 *210:DIODE *120:8 2.73164e-05 -10 *210:DIODE *159:43 0.00053758 -11 *414:A *99:8 2.37944e-05 -12 *26:10 *67:7 1.21258e-05 -13 pad_gpio_dm[2] *26:7 0.000815535 -14 pad_gpio_holdover *26:7 5.64173e-05 -15 pad_gpio_ib_mode_sel *26:7 0 -16 *333:B *26:10 0.000178503 -17 *334:B *414:A 2.45012e-05 -18 *4:44 *210:DIODE 3.14003e-05 -19 *4:44 *414:A 3.29297e-05 -20 *4:44 *26:10 5.33005e-05 -21 *20:9 *210:DIODE 0.000207304 -22 *23:11 *210:DIODE 5.66971e-05 -23 *23:11 *26:10 0 -*RES -1 pad_gpio_in *26:7 23.3654 -2 *26:7 *26:10 37.8929 -3 *26:10 *210:DIODE 33.2286 -4 *26:10 *414:A 14.5321 -5 pad_gpio_in *26:28 0.0595333 -*END - -*D_NET *27 0.00351073 -*CONN -*P pad_gpio_inenb O -*I *423:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_inenb 0.00128301 -2 *423:X 0.00128301 -3 pad_gpio_inenb pad_gpio_out 0.000319504 -4 pad_gpio_inenb pad_gpio_slow_sel 0 -5 pad_gpio_inenb *362:SET_B 0 -6 pad_gpio_inenb *39:8 0.00031164 -7 *25:9 pad_gpio_inenb 0.000313563 -*RES -1 *423:X pad_gpio_inenb 32.5514 -*END - -*D_NET *28 0.00321977 -*CONN -*P pad_gpio_out O -*I *424:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_out 0.00140138 -2 *424:X 0.00140138 -3 pad_gpio_out pad_gpio_slow_sel 0 -4 pad_gpio_out *29:7 0 -5 pad_gpio_out *120:22 9.7497e-05 -6 pad_gpio_inenb pad_gpio_out 0.000319504 -*RES -1 *424:X pad_gpio_out 38.5215 -*END - -*D_NET *29 0.00739138 -*CONN -*P pad_gpio_outenb O -*I *425:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_outenb 0.00034682 -2 *425:X 2.34247e-05 -3 *29:14 2.67704e-05 -4 *29:7 0.00260151 -5 *29:5 0.00230489 -6 *29:7 *310:C 2.18792e-05 -7 *29:7 *329:A 4.22431e-05 -8 *29:7 *360:RESET_B 9.76435e-06 -9 *29:7 *419:A 0.000423607 -10 *29:7 *39:13 0.0012989 -11 *29:7 *77:7 4.58194e-05 -12 pad_gpio_out *29:7 0 -13 *191:DIODE *29:7 6.3594e-05 -14 *329:B *29:7 0.000182147 -*RES -1 *425:X *29:5 9.72857 -2 *29:5 *29:7 51.0536 -3 *29:7 pad_gpio_outenb 14.2582 -4 pad_gpio_outenb *29:14 0.0595333 -*END - -*D_NET *30 0.00813542 -*CONN -*P pad_gpio_slow_sel O -*I *426:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_slow_sel 0.00188673 -2 *426:X 0.00188673 -3 pad_gpio_slow_sel pad_gpio_vtrip_sel 0.00106068 -4 pad_gpio_slow_sel serial_clock_out 5.96749e-05 -5 pad_gpio_slow_sel *326:A_N 6.25739e-05 -6 pad_gpio_slow_sel *400:A 1.94945e-05 -7 pad_gpio_slow_sel *426:A 0.000320851 -8 pad_gpio_slow_sel *41:15 0.000390142 -9 pad_gpio_slow_sel *41:45 0.000994482 -10 pad_gpio_slow_sel *100:22 0.000314636 -11 pad_gpio_slow_sel *154:10 0.000501912 -12 pad_gpio_inenb pad_gpio_slow_sel 0 -13 pad_gpio_out pad_gpio_slow_sel 0 -14 *2:22 pad_gpio_slow_sel 0.000391078 -15 *11:27 pad_gpio_slow_sel 1.19432e-05 -16 *13:31 pad_gpio_slow_sel 0.000234491 -*RES -1 *426:X pad_gpio_slow_sel 32.9539 -*END - -*D_NET *31 0.0115101 -*CONN -*P pad_gpio_vtrip_sel O -*I *427:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_vtrip_sel 0.00236196 -2 *427:X 0.00236196 -3 pad_gpio_vtrip_sel *379:RESET_B 1.19071e-05 -4 pad_gpio_vtrip_sel *426:A 0.000148407 -5 pad_gpio_vtrip_sel *32:12 0.000890685 -6 pad_gpio_vtrip_sel *120:38 6.23252e-06 -7 pad_gpio_slow_sel pad_gpio_vtrip_sel 0.00106068 -8 *183:DIODE pad_gpio_vtrip_sel 0.000108056 -9 *2:22 pad_gpio_vtrip_sel 2.58939e-06 -10 *5:28 pad_gpio_vtrip_sel 0.00288005 -11 *13:20 pad_gpio_vtrip_sel 0.00167753 -*RES -1 *427:X pad_gpio_vtrip_sel 26.4826 -*END - -*D_NET *32 0.0111455 -*CONN -*P resetn I -*I *415:A I *D sky130_fd_sc_hd__buf_2 -*I *211:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 resetn 0.00199213 -2 *415:A 8.09693e-05 -3 *211:DIODE 0.000115203 -4 *32:12 0.0021883 -5 *211:DIODE *434:A 0.000280366 -6 *415:A *397:A 0.000178425 -7 *415:A *39:8 8.93791e-05 -8 *32:12 resetn_out 0.000613683 -9 *32:12 *365:SET_B 0.000534335 -10 *32:12 *377:D 0.000741983 -11 *32:12 *434:A 0.000171954 -12 *32:12 *123:23 0.000253109 -13 *32:12 *148:9 0.000363202 -14 *32:12 *154:10 6.30722e-06 -15 pad_gpio_vtrip_sel *32:12 0.000890685 -16 *178:DIODE *32:12 0.000237828 -17 *326:B *32:12 0.000401666 -18 *4:17 *211:DIODE 0.000280366 -19 *4:17 *32:12 0.000848198 -20 *5:28 *32:12 6.20218e-05 -21 *11:19 *32:12 9.48286e-05 -22 *11:21 *32:12 0.00033536 -23 *11:27 *32:12 0.000385186 -*RES -1 resetn *32:12 29.1379 -2 *32:12 *211:DIODE 17.5679 -3 *32:12 *415:A 15.9964 -*END - -*D_NET *33 0.0120528 -*CONN -*P resetn_out O -*I *428:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 resetn_out 0.00242307 -2 *428:X 0.00242307 -3 resetn_out *346:A 4.19624e-06 -4 resetn_out *379:RESET_B 7.14196e-06 -5 resetn_out *34:11 0.00433076 -6 resetn_out *94:64 5.49544e-05 -7 *326:B resetn_out 0.00018805 -8 *6:27 resetn_out 0.00157231 -9 *6:41 resetn_out 0.000366878 -10 *8:19 resetn_out 6.87106e-05 -11 *32:12 resetn_out 0.000613683 -*RES -1 *428:X resetn_out 27.2533 -*END - -*D_NET *34 0.0157551 -*CONN -*P serial_clock I -*I *382:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *203:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 serial_clock 0.00236408 -2 *382:A 0 -3 *203:DIODE 0.000309913 -4 *34:11 0.00267399 -5 *203:DIODE *323:A 0.000316895 -6 *203:DIODE *385:A 0.000217505 -7 *203:DIODE *59:15 2.59355e-05 -8 *203:DIODE *92:7 2.59355e-05 -9 *203:DIODE *93:8 0.000136166 -10 *203:DIODE *116:35 1.17134e-05 -11 *203:DIODE *129:10 9.3151e-05 -12 *34:11 serial_clock_out 0.000908252 -13 *34:11 *377:RESET_B 0.000557649 -14 *34:11 *383:A 0.00022369 -15 *34:11 *59:15 0.000129147 -16 *34:11 *92:7 3.97677e-05 -17 *34:11 *94:26 0.000146071 -18 *34:11 *94:37 0.000263285 -19 *34:11 *94:60 0.000241488 -20 *34:11 *116:76 0.000125506 -21 *34:11 *119:102 0.000539618 -22 *34:11 *125:13 0.000955932 -23 *34:11 *128:6 4.27295e-05 -24 resetn_out *34:11 0.00433076 -25 *326:B *34:11 5.26821e-05 -26 *328:B *34:11 0.000146021 -27 *10:21 *203:DIODE 0.000353078 -28 *10:26 *203:DIODE 0.000524167 -*RES -1 serial_clock *34:11 31.1373 -2 *34:11 *203:DIODE 30.1929 -3 *34:11 *382:A 9.3 -*END - -*D_NET *35 0.0132892 -*CONN -*P serial_clock_out O -*I *435:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 serial_clock_out 0.00239606 -2 *435:X 0.000366618 -3 *35:7 0.00276268 -4 serial_clock_out serial_data_out 0 -5 serial_clock_out *400:A 0.000517723 -6 serial_clock_out *36:11 0.000761549 -7 serial_clock_out *100:22 0.000844011 -8 serial_clock_out *115:15 3.86398e-05 -9 serial_clock_out *116:76 0.000113391 -10 serial_clock_out *119:16 2.16573e-05 -11 serial_clock_out *119:86 0.00021905 -12 serial_clock_out *119:102 0.000132282 -13 serial_clock_out *124:6 0.00212317 -14 serial_clock_out *145:25 0.000368906 -15 *35:7 *345:A 0.000143375 -16 *35:7 *351:A 0.000140856 -17 *35:7 *365:CLK_N 3.11088e-05 -18 *35:7 *41:45 0.000137639 -19 *35:7 *95:9 5.49489e-05 -20 *35:7 *95:29 0.000226222 -21 *35:7 *135:15 5.45307e-05 -22 pad_gpio_dm[1] serial_clock_out 0.000102847 -23 pad_gpio_slow_sel serial_clock_out 5.96749e-05 -24 *182:DIODE *35:7 7.79102e-05 -25 *328:B serial_clock_out 0.000305742 -26 *1:16 serial_clock_out 6.13969e-05 -27 *2:22 serial_clock_out 0.000318931 -28 *34:11 serial_clock_out 0.000908252 -*RES -1 *435:X *35:7 22.1393 -2 *35:7 serial_clock_out 37.8312 -*END - -*D_NET *36 0.0102843 -*CONN -*P serial_data_in I -*I *416:A I *D sky130_fd_sc_hd__buf_2 -*I *212:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 serial_data_in 0.00100218 -2 *416:A 0 -3 *212:DIODE 0.000988441 -4 *36:11 0.00199062 -5 *212:DIODE *417:A 0.000232399 -6 *212:DIODE *420:A 0.000962595 -7 *212:DIODE *110:8 0.000395747 -8 *212:DIODE *120:8 5.16626e-05 -9 *36:11 serial_data_out 0.00076101 -10 *36:11 *356:CLK_N 0.000501666 -11 *36:11 *420:A 5.31751e-05 -12 *36:11 *424:A 0.000363501 -13 *36:11 *97:15 0.000255596 -14 *36:11 *102:8 8.60486e-05 -15 *36:11 *110:8 0.00181184 -16 serial_clock_out *36:11 0.000761549 -17 *209:DIODE *212:DIODE 6.62783e-05 -*RES -1 serial_data_in *36:11 34.8803 -2 *36:11 *212:DIODE 40.6929 -3 *36:11 *416:A 9.3 -*END - -*D_NET *37 0.00837196 -*CONN -*P serial_data_out O -*I *429:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 serial_data_out 0.00207441 -2 *429:X 0.00207441 -3 serial_data_out *366:RESET_B 8.48784e-05 -4 serial_data_out *38:8 0.0013967 -5 serial_clock_out serial_data_out 0 -6 *1:16 serial_data_out 0.00148728 -7 *2:22 serial_data_out 0.000493288 -8 *11:19 serial_data_out 0 -9 *13:13 serial_data_out 0 -10 *36:11 serial_data_out 0.00076101 -*RES -1 *429:X serial_data_out 30.6235 -*END - -*D_NET *38 0.0125826 -*CONN -*P serial_load I -*I *204:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *383:A I *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 serial_load 0.00279106 -2 *204:DIODE 0.00107423 -3 *383:A 0.00031677 -4 *38:8 0.00418206 -5 *204:DIODE *357:CLK_N 6.94952e-05 -6 *204:DIODE *357:D 6.05161e-06 -7 *204:DIODE *369:RESET_B 2.7601e-05 -8 *204:DIODE *409:A 6.02827e-06 -9 *383:A *61:10 6.44554e-05 -10 *383:A *93:8 6.05161e-06 -11 *383:A *109:22 0.000111738 -12 *383:A *125:13 0.000132838 -13 *383:A *128:6 0.000508261 -14 *38:8 user_gpio_in 0 -15 *38:8 *366:RESET_B 0.000722091 -16 *38:8 *125:13 0.000126007 -17 *38:8 *128:6 4.6355e-05 -18 *38:8 *138:9 0.000100418 -19 *38:8 *144:9 0.000414258 -20 serial_data_out *38:8 0.0013967 -21 *1:16 *38:8 1.36429e-05 -22 *13:13 *38:8 0.000242806 -23 *34:11 *383:A 0.00022369 -*RES -1 serial_load *38:8 15.0129 -2 *38:8 *383:A 22.7821 -3 *38:8 *204:DIODE 25.425 -*END - -*D_NET *39 0.0119353 -*CONN -*P serial_load_out O -*I *436:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 serial_load_out 0.00155611 -2 *436:X 0.00178049 -3 *39:24 2.67704e-05 -4 *39:13 0.00268391 -5 *39:8 0.00293507 -6 *39:8 *323:A 2.95944e-05 -7 *39:8 *397:A 0.000249867 -8 *39:8 *423:A 9.58689e-05 -9 *39:8 *57:14 0.000225982 -10 pad_gpio_inenb *39:8 0.00031164 -11 *176:DIODE *39:8 4.82947e-05 -12 *323:B *39:8 5.65955e-05 -13 *415:A *39:8 8.93791e-05 -14 *25:9 *39:8 0.000546821 -15 *29:7 *39:13 0.0012989 -*RES -1 *436:X *39:8 48.3357 -2 *39:8 *39:13 39.9286 -3 *39:13 serial_load_out 42.4725 -4 serial_load_out *39:24 0.0595333 -*END - -*D_NET *40 0.00953496 -*CONN -*P user_gpio_in O -*I *430:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 user_gpio_in 0.00437054 -2 *430:X 0.00437054 -3 user_gpio_in *346:A 0 -4 user_gpio_in *369:D 0.000126793 -5 user_gpio_in *42:8 0.000243279 -6 user_gpio_in *144:9 0.000423804 -7 *38:8 user_gpio_in 0 -*RES -1 *430:X user_gpio_in 27.0588 -*END - -*D_NET *41 0.0154691 -*CONN -*P user_gpio_oeb I -*I *174:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *308:A0 I *D sky130_fd_sc_hd__mux2_4 -*CAP -1 user_gpio_oeb 0.00104495 -2 *174:DIODE 0 -3 *308:A0 0 -4 *41:45 0.0013212 -5 *41:15 0.00395862 -6 *41:10 0.00368237 -7 *41:15 *356:CLK_N 6.22482e-05 -8 *41:45 *175:DIODE 0.000890504 -9 *41:45 *308:S 1.59935e-05 -10 *41:45 *326:A_N 0.000825706 -11 *41:45 *349:A 2.85321e-05 -12 *41:45 *356:CLK_N 0.000134489 -13 *41:45 *365:RESET_B 0.000146261 -14 *41:45 *376:D 2.11419e-05 -15 *41:45 *411:A 1.24368e-05 -16 *41:45 *42:23 0.000482784 -17 *41:45 *67:10 2.06112e-05 -18 *41:45 *118:48 1.1594e-05 -19 *41:45 *118:58 0.000284496 -20 *41:45 *119:16 0.000538962 -21 *41:45 *119:86 1.94945e-05 -22 *41:45 *124:6 3.02267e-05 -23 *41:45 *134:8 5.21738e-05 -24 *41:45 *159:43 2.59355e-05 -25 pad_gpio_slow_sel *41:15 0.000390142 -26 pad_gpio_slow_sel *41:45 0.000994482 -27 *201:DIODE *41:45 0.000336085 -28 *35:7 *41:45 0.000137639 -*RES -1 user_gpio_oeb *41:10 28.5856 -2 *41:10 *41:15 49.5536 -3 *41:15 *308:A0 9.3 -4 *41:15 *41:45 43.3281 -5 *41:45 *174:DIODE 9.3 -*END - -*D_NET *42 0.0149302 -*CONN -*P user_gpio_out I -*I *309:B I *D sky130_fd_sc_hd__nand2b_2 -*I *175:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 user_gpio_out 0.00119612 -2 *309:B 0 -3 *175:DIODE 0.00104464 -4 *42:23 0.00296921 -5 *42:8 0.00312069 -6 *175:DIODE *365:RESET_B 1.32293e-05 -7 *175:DIODE *397:A 0 -8 *175:DIODE *119:16 8.80457e-05 -9 *175:DIODE *134:8 0.000863881 -10 *175:DIODE *159:43 4.57574e-05 -11 *42:8 *79:9 0 -12 *42:8 *102:8 8.12822e-05 -13 *42:8 *110:8 0.000195043 -14 *42:23 *315:A 1.65183e-05 -15 *42:23 *317:A 0.000168201 -16 *42:23 *318:A_N 0.000225784 -17 *42:23 *353:RESET_B 0.000346273 -18 *42:23 *361:D 4.58194e-05 -19 *42:23 *365:RESET_B 9.58126e-05 -20 *42:23 *102:8 6.12382e-05 -21 *42:23 *105:17 2.14185e-05 -22 *42:23 *110:8 6.12382e-05 -23 *42:23 *118:45 9.33179e-05 -24 *42:23 *118:48 0.000164914 -25 *42:23 *124:6 0.000763207 -26 *42:23 *134:8 3.8528e-05 -27 *42:23 *149:10 0.000118129 -28 user_gpio_in *42:8 0.000243279 -29 *178:DIODE *42:23 0.000143364 -30 *210:DIODE *175:DIODE 0.000608509 -31 *315:B *42:23 0.000245396 -32 *318:B *42:23 0.000478075 -33 *41:45 *175:DIODE 0.000890504 -34 *41:45 *42:23 0.000482784 -*RES -1 user_gpio_out *42:8 14.4345 -2 *42:8 *42:23 49.5714 -3 *42:23 *175:DIODE 42.0857 -4 *42:8 *309:B 13.8 -*END - -*D_NET *47 0.00700477 -*CONN -*P zero O -*I *438:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 zero 0.00229841 -2 *438:X 0.00229841 -3 zero *417:A 0.000201213 -4 zero *122:15 0.000237484 -5 mgmt_gpio_in zero 0.00185875 -6 one zero 1.07898e-05 -7 *188:DIODE zero 9.97164e-05 -*RES -1 *438:X zero 34.0699 -*END - -*D_NET *48 0.00183809 -*CONN -*I *311:A_N I *D sky130_fd_sc_hd__and2b_2 -*I *360:Q_N O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *311:A_N 0.000209578 -2 *360:Q_N 0.000209578 -3 *311:A_N *307:B 1.9516e-05 -4 *311:A_N *311:B 0.000359712 -5 *311:A_N *312:A2 0.000310854 -6 *311:A_N *313:A1 0.000495285 -7 *311:A_N *99:8 1.34741e-05 -8 *311:A_N *100:22 0.000101777 -9 *5:40 *311:A_N 0.000118315 -*RES -1 *360:Q_N *311:A_N 36.225 -*END - -*D_NET *49 0.00209874 -*CONN -*I *353:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *315:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *353:RESET_B 0.000356452 -2 *315:X 0.000356452 -3 *353:RESET_B *312:A2 6.79343e-05 -4 *353:RESET_B *315:A 4.37451e-05 -5 *353:RESET_B *407:A 0.000215335 -6 *353:RESET_B *67:10 6.57667e-05 -7 *353:RESET_B *98:7 0.000350577 -8 *353:RESET_B *164:15 0.000116014 -9 *315:B *353:RESET_B 0.000180195 -10 *42:23 *353:RESET_B 0.000346273 -*RES -1 *315:X *353:RESET_B 38.8321 -*END - -*D_NET *50 0.00468058 -*CONN -*I *353:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *316:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *353:SET_B 0.00119525 -2 *316:Y 0.00119525 -3 *353:SET_B *355:D 5.11397e-05 -4 *353:SET_B *355:SET_B 9.39059e-05 -5 *353:SET_B *53:10 0 -6 *353:SET_B *105:17 0 -7 *353:SET_B *119:33 5.33005e-05 -8 *353:SET_B *134:8 0.00197879 -9 *353:SET_B *145:25 9.60337e-06 -10 *178:DIODE *353:SET_B 6.19639e-06 -11 *316:B *353:SET_B 5.50052e-05 -12 *321:B *353:SET_B 4.21415e-05 -*RES -1 *316:Y *353:SET_B 45.3714 -*END - -*D_NET *51 0.00300066 -*CONN -*I *354:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *317:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *354:RESET_B 0.000605364 -2 *317:X 0.000605364 -3 *354:RESET_B *328:A_N 0.000276493 -4 *354:RESET_B *354:SET_B 0.000348659 -5 *354:RESET_B *379:D 7.14966e-05 -6 *354:RESET_B *399:A 0.000219273 -7 *354:RESET_B *406:A 8.55614e-05 -8 *354:RESET_B *61:10 0.000228065 -9 *354:RESET_B *103:8 3.44617e-05 -10 *354:RESET_B *109:22 9.58181e-05 -11 *354:RESET_B *118:34 0.000228066 -12 *354:RESET_B *123:34 0.000154295 -13 *354:RESET_B *145:25 2.0067e-05 -14 *354:RESET_B *165:18 1.62833e-05 -15 *4:17 *354:RESET_B 1.13968e-05 -*RES -1 *317:X *354:RESET_B 42.7071 -*END - -*D_NET *52 0.00183965 -*CONN -*I *354:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *318:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *354:SET_B 0.000488027 -2 *318:Y 0.000488027 -3 *354:SET_B *318:A_N 5.49995e-05 -4 *354:SET_B *379:D 0.000170727 -5 *354:SET_B *399:A 2.23592e-05 -6 *354:RESET_B *354:SET_B 0.000348659 -7 *3:16 *354:SET_B 0.000266853 -*RES -1 *318:Y *354:SET_B 19.2196 -*END - -*D_NET *53 0.00711706 -*CONN -*I *355:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *319:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *355:RESET_B 0.000313263 -2 *319:X 0.00202041 -3 *53:10 0.00233367 -4 *355:RESET_B *322:A_N 0.000125355 -5 *355:RESET_B *356:RESET_B 0.000370778 -6 *355:RESET_B *360:D 6.47405e-05 -7 *355:RESET_B *361:SET_B 2.11366e-05 -8 *355:RESET_B *105:17 0.000168861 -9 *355:RESET_B *119:102 8.6229e-06 -10 *53:10 *315:A 2.18087e-05 -11 *53:10 *362:D 0.000149939 -12 *53:10 *378:CLK 0.00023038 -13 *53:10 *378:D 1.29901e-05 -14 *53:10 *378:RESET_B 0.000205256 -15 *53:10 *379:D 0.000144456 -16 *53:10 *392:A 2.36104e-05 -17 *53:10 *430:A 0.000397491 -18 *53:10 *105:17 0.000245194 -19 *53:10 *115:15 3.50949e-06 -20 *53:10 *119:102 0.0001034 -21 *53:10 *134:8 0 -22 *322:B *355:RESET_B 0.000127943 -23 *353:SET_B *53:10 0 -24 *11:19 *53:10 2.42516e-05 -*RES -1 *319:X *53:10 44.6482 -2 *53:10 *355:RESET_B 22.1304 -*END - -*D_NET *54 0.00232671 -*CONN -*I *355:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *320:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *355:SET_B 0.000647363 -2 *320:Y 0.000647363 -3 *355:SET_B *355:D 0.000158626 -4 *355:SET_B *375:CLK 0.000139202 -5 *355:SET_B *375:D 1.84523e-06 -6 *355:SET_B *375:RESET_B 0.000373992 -7 *355:SET_B *61:10 9.66977e-05 -8 *355:SET_B *118:34 4.47553e-05 -9 *320:B *355:SET_B 2.51903e-05 -10 *353:SET_B *355:SET_B 9.39059e-05 -11 *4:17 *355:SET_B 9.77697e-05 -*RES -1 *320:Y *355:SET_B 37.2107 -*END - -*D_NET *55 0.00426461 -*CONN -*I *356:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *321:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *356:RESET_B 0.000638328 -2 *321:X 0.000638328 -3 *356:RESET_B *332:A_N 1.32293e-05 -4 *356:RESET_B *360:D 5.46855e-05 -5 *356:RESET_B *361:SET_B 0.00145088 -6 *356:RESET_B *426:A 0.000831165 -7 *356:RESET_B *433:A 7.05769e-05 -8 *356:RESET_B *119:33 5.66971e-05 -9 *355:RESET_B *356:RESET_B 0.000370778 -10 *1:27 *356:RESET_B 0.000139944 -*RES -1 *321:X *356:RESET_B 47.3857 -*END - -*D_NET *56 0.00147603 -*CONN -*I *356:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *322:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *356:SET_B 0.000307715 -2 *322:Y 0.000307715 -3 *356:SET_B *313:B1 0.000400825 -4 *356:SET_B *356:D 6.12715e-05 -5 *356:SET_B *79:9 0.000398501 -*RES -1 *322:Y *356:SET_B 25.8446 -*END - -*D_NET *57 0.00589551 -*CONN -*I *357:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *323:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *357:RESET_B 6.52499e-05 -2 *323:X 0.00111082 -3 *57:14 0.00117607 -4 *357:RESET_B *409:A 3.97677e-05 -5 *357:RESET_B *133:21 0.000115507 -6 *357:RESET_B *165:18 0.000141734 -7 *57:14 *363:SET_B 0.000975129 -8 *57:14 *364:SET_B 0.000222024 -9 *57:14 *430:A 0.000179457 -10 *57:14 *59:15 0.000329096 -11 *57:14 *69:17 0.000293268 -12 *57:14 *109:22 0 -13 *320:B *57:14 0.00018935 -14 *323:B *57:14 7.01566e-05 -15 *2:22 *57:14 0 -16 *7:28 *57:14 1.32293e-05 -17 *11:19 *57:14 0.000493183 -18 *12:11 *57:14 0.000255471 -19 *39:8 *57:14 0.000225982 -*RES -1 *323:X *57:14 48.6929 -2 *57:14 *357:RESET_B 11.4786 -*END - -*D_NET *58 0.00482363 -*CONN -*I *357:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *324:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *357:SET_B 0.000823215 -2 *324:Y 0.000823215 -3 *357:SET_B *357:D 0.000235071 -4 *357:SET_B *364:D 0.000380019 -5 *357:SET_B *370:D 0.000303806 -6 *357:SET_B *125:13 0.000230676 -7 *357:SET_B *130:18 0.000216974 -8 *357:SET_B *133:21 0.000136418 -9 *357:SET_B *151:6 0.00046841 -10 *326:B *357:SET_B 0.00032482 -11 *7:14 *357:SET_B 1.28171e-05 -12 *7:28 *357:SET_B 1.90303e-05 -13 *12:32 *357:SET_B 0.000849159 -*RES -1 *324:Y *357:SET_B 44.0679 -*END - -*D_NET *59 0.00429676 -*CONN -*I *358:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *325:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *358:RESET_B 0 -2 *325:X 0.00122967 -3 *59:15 0.00122967 -4 *59:15 *342:A 2.42516e-05 -5 *59:15 *355:CLK_N 4.46936e-05 -6 *59:15 *358:SET_B 0.000180162 -7 *59:15 *363:SET_B 0.000199782 -8 *59:15 *366:D 0.000271098 -9 *59:15 *92:7 9.57351e-05 -10 *59:15 *114:34 0.00020486 -11 *203:DIODE *59:15 2.59355e-05 -12 *320:B *59:15 9.69399e-05 -13 *12:11 *59:15 0.000235726 -14 *34:11 *59:15 0.000129147 -15 *57:14 *59:15 0.000329096 -*RES -1 *325:X *59:15 42.7464 -2 *59:15 *358:RESET_B 9.3 -*END - -*D_NET *60 0.00543856 -*CONN -*I *358:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *326:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *358:SET_B 0.00145046 -2 *326:Y 0.00145046 -3 *358:SET_B *355:CLK_N 0.00080159 -4 *358:SET_B *355:D 0.000129147 -5 *358:SET_B *375:D 8.55871e-05 -6 *358:SET_B *400:A 0.000205011 -7 *358:SET_B *404:A 5.96516e-05 -8 *358:SET_B *115:15 0.000320274 -9 *358:SET_B *115:19 0.000139208 -10 *178:DIODE *358:SET_B 0.000344491 -11 *320:B *358:SET_B 9.29338e-05 -12 *322:B *358:SET_B 1.0484e-05 -13 *326:B *358:SET_B 0.0001691 -14 *59:15 *358:SET_B 0.000180162 -*RES -1 *326:Y *358:SET_B 42.2911 -*END - -*D_NET *61 0.0054138 -*CONN -*I *359:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *327:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *359:RESET_B 0 -2 *327:X 0.00182521 -3 *61:10 0.00182521 -4 *61:10 *370:D 0.000290291 -5 *61:10 *370:RESET_B 2.33546e-05 -6 *61:10 *375:CLK 8.46407e-05 -7 *61:10 *406:A 0.000139936 -8 *61:10 *93:8 0.000602382 -9 *61:10 *109:22 9.12406e-06 -10 *61:10 *118:34 2.61076e-05 -11 *354:RESET_B *61:10 0.000228065 -12 *355:SET_B *61:10 9.66977e-05 -13 *383:A *61:10 6.44554e-05 -14 *4:17 *61:10 6.45108e-05 -15 *5:28 *61:10 0.000133812 -*RES -1 *327:X *61:10 43.0321 -2 *61:10 *359:RESET_B 9.3 -*END - -*D_NET *62 0.00375479 -*CONN -*I *359:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *328:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *359:SET_B 0.000897934 -2 *328:Y 0.000897934 -3 *359:SET_B *328:A_N 0.00115571 -4 *359:SET_B *347:A 5.49544e-05 -5 *359:SET_B *97:15 8.43535e-06 -6 *359:SET_B *118:34 8.79458e-05 -7 *359:SET_B *140:8 9.67754e-05 -8 *359:SET_B *146:7 0.000501798 -9 *328:B *359:SET_B 5.33005e-05 -*RES -1 *328:Y *359:SET_B 27.0232 -*END - -*D_NET *63 0.00117399 -*CONN -*I *360:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *329:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *360:RESET_B 0.000142051 -2 *329:X 0.000142051 -3 *360:RESET_B *312:A2 0.000360162 -4 *360:RESET_B *329:A 1.32056e-05 -5 *360:RESET_B *65:12 0.000359295 -6 *360:RESET_B *77:7 5.33005e-05 -7 *191:DIODE *360:RESET_B 9.41642e-05 -8 *29:7 *360:RESET_B 9.76435e-06 -*RES -1 *329:X *360:RESET_B 33.225 -*END - -*D_NET *64 0.000648539 -*CONN -*I *360:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *330:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *360:SET_B 0.000124802 -2 *330:Y 0.000124802 -3 *360:SET_B *360:CLK_N 0.000105852 -4 *360:SET_B *361:SET_B 2.0372e-05 -5 *360:SET_B *425:A 0.000174469 -6 *192:DIODE *360:SET_B 9.82423e-05 -*RES -1 *330:Y *360:SET_B 21.4964 -*END - -*D_NET *65 0.00537778 -*CONN -*I *361:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *331:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *361:RESET_B 0 -2 *331:X 0.0011297 -3 *65:12 0.0011297 -4 *65:12 *311:B 0.000213789 -5 *65:12 *312:A2 0.000331453 -6 *65:12 *314:A 6.56458e-05 -7 *65:12 *326:A_N 0.000397815 -8 *65:12 *329:A 0.000154931 -9 *65:12 *404:A 0.000351445 -10 *65:12 *426:A 6.34022e-05 -11 *65:12 *99:8 0 -12 *65:12 *148:9 6.25005e-05 -13 *326:B *65:12 2.11419e-05 -14 *360:RESET_B *65:12 0.000359295 -15 *1:27 *65:12 6.12016e-05 -16 *3:24 *65:12 0.00103576 -*RES -1 *331:X *65:12 47.925 -2 *65:12 *361:RESET_B 9.3 -*END - -*D_NET *66 0.0053571 -*CONN -*I *361:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *332:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *361:SET_B 0.000814534 -2 *332:Y 0.000814534 -3 *361:SET_B *332:A_N 2.6269e-05 -4 *361:SET_B *347:A 0.000832484 -5 *361:SET_B *360:CLK_N 6.42168e-05 -6 *361:SET_B *378:RESET_B 0.000115315 -7 *361:SET_B *419:A 4.45982e-06 -8 *361:SET_B *425:A 3.07351e-06 -9 *361:SET_B *433:A 1.13495e-05 -10 *361:SET_B *119:38 1.00887e-05 -11 *361:SET_B *119:102 0.000231813 -12 *361:SET_B *143:11 1.98839e-05 -13 *361:SET_B *164:15 0.000193162 -14 *177:DIODE *361:SET_B 2.18021e-05 -15 *316:B *361:SET_B 9.58632e-05 -16 *329:B *361:SET_B 8.35922e-05 -17 *355:RESET_B *361:SET_B 2.11366e-05 -18 *356:RESET_B *361:SET_B 0.00145088 -19 *360:SET_B *361:SET_B 2.0372e-05 -20 *1:27 *361:SET_B 0.000243165 -21 *1:38 *361:SET_B 1.73789e-05 -22 *2:33 *361:SET_B 0.000136166 -23 *3:29 *361:SET_B 0.000125564 -*RES -1 *332:Y *361:SET_B 44.675 -*END - -*D_NET *67 0.00725406 -*CONN -*I *362:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *333:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *362:RESET_B 0 -2 *333:X 4.48578e-05 -3 *67:10 0.00138099 -4 *67:7 0.00142585 -5 *67:7 *333:A 5.49995e-05 -6 *67:10 *307:B 0.000336085 -7 *67:10 *308:S 0.00111158 -8 *67:10 *312:A2 0 -9 *67:10 *312:B1 0.000213234 -10 *67:10 *333:A 7.74068e-05 -11 *67:10 *376:D 0.000111398 -12 *67:10 *411:A 0.000350115 -13 *67:10 *79:9 6.74479e-05 -14 *67:10 *99:8 0.0011257 -15 *67:10 *101:14 0.000539195 -16 *67:10 *120:8 0 -17 *67:10 *120:22 0 -18 *67:10 *140:8 6.65364e-05 -19 *333:B *67:7 6.05161e-06 -20 *334:B *67:10 0.000131136 -21 *353:RESET_B *67:10 6.57667e-05 -22 *4:44 *67:7 1.04766e-05 -23 *5:40 *67:10 0.000102503 -24 *26:10 *67:7 1.21258e-05 -25 *41:45 *67:10 2.06112e-05 -*RES -1 *333:X *67:7 14.7464 -2 *67:7 *67:10 43.1786 -3 *67:10 *362:RESET_B 9.3 -*END - -*D_NET *68 0.0067222 -*CONN -*I *362:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *334:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *362:SET_B 0.00175952 -2 *334:Y 0.000182495 -3 *68:7 0.00194201 -4 *362:SET_B *102:8 1.98954e-05 -5 *362:SET_B *110:8 0.00267793 -6 *68:7 *334:A_N 5.33005e-05 -7 pad_gpio_inenb *362:SET_B 0 -8 *24:9 *68:7 8.7058e-05 -*RES -1 *334:Y *68:7 16.8 -2 *68:7 *362:SET_B 44.4375 -*END - -*D_NET *69 0.00413971 -*CONN -*I *363:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *335:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *363:RESET_B 0 -2 *335:X 0.00155361 -3 *69:17 0.00155361 -4 *69:17 *373:CLK 1.83136e-05 -5 *69:17 *373:D 5.33005e-05 -6 *69:17 *114:7 7.7449e-05 -7 *69:17 *115:31 0.000143243 -8 *69:17 *115:35 4.885e-05 -9 *69:17 *159:7 0.000398063 -10 *57:14 *69:17 0.000293268 -*RES -1 *335:X *69:17 42.0857 -2 *69:17 *363:RESET_B 9.3 -*END - -*D_NET *70 0.00477468 -*CONN -*I *363:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *336:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *363:SET_B 0.000688529 -2 *336:Y 0.000688529 -3 *363:SET_B *345:A 4.90246e-05 -4 *363:SET_B *364:SET_B 1.82462e-05 -5 *363:SET_B *366:D 2.53754e-05 -6 *363:SET_B *113:8 0.000972566 -7 *363:SET_B *119:16 0.000347922 -8 *7:35 *363:SET_B 0.000402081 -9 *9:38 *363:SET_B 0.000180151 -10 *12:32 *363:SET_B 0.000227347 -11 *57:14 *363:SET_B 0.000975129 -12 *59:15 *363:SET_B 0.000199782 -*RES -1 *336:Y *363:SET_B 42.5321 -*END - -*D_NET *71 0.00224565 -*CONN -*I *364:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *337:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *364:RESET_B 0.00079757 -2 *337:X 0.00079757 -3 *364:RESET_B *364:CLK_N 3.04311e-05 -4 *364:RESET_B *385:A 2.59355e-05 -5 *364:RESET_B *103:8 0.000148514 -6 *364:RESET_B *120:38 0.000305883 -7 *364:RESET_B *143:11 6.94952e-05 -8 *182:DIODE *364:RESET_B 5.93614e-05 -9 *13:31 *364:RESET_B 1.08847e-05 -*RES -1 *337:X *364:RESET_B 40.8857 -*END - -*D_NET *72 0.00195167 -*CONN -*I *364:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *338:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *364:SET_B 0.000502941 -2 *338:Y 0.000502941 -3 *364:SET_B *314:A 0.000473059 -4 *364:SET_B *364:CLK_N 1.10909e-05 -5 *364:SET_B *143:11 4.83516e-05 -6 *363:SET_B *364:SET_B 1.82462e-05 -7 *7:35 *364:SET_B 0.000173021 -8 *57:14 *364:SET_B 0.000222024 -*RES -1 *338:Y *364:SET_B 29.0054 -*END - -*D_NET *73 0.0011549 -*CONN -*I *365:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *339:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *365:RESET_B 0.000247857 -2 *339:X 0.000247857 -3 *365:RESET_B *134:8 0.000146261 -4 *175:DIODE *365:RESET_B 1.32293e-05 -5 *201:DIODE *365:RESET_B 0.000257619 -6 *41:45 *365:RESET_B 0.000146261 -7 *42:23 *365:RESET_B 9.58126e-05 -*RES -1 *339:X *365:RESET_B 32.9571 -*END - -*D_NET *74 0.00146887 -*CONN -*I *365:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *340:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *365:SET_B 0.000198994 -2 *340:Y 0.000198994 -3 *4:17 *365:SET_B 0.000536544 -4 *32:12 *365:SET_B 0.000534335 -*RES -1 *340:Y *365:SET_B 25.4071 -*END - -*D_NET *75 0.000681476 -*CONN -*I *308:A1 I *D sky130_fd_sc_hd__mux2_4 -*I *307:X O *D sky130_fd_sc_hd__and2_0 -*CAP -1 *308:A1 0.000195328 -2 *307:X 0.000195328 -3 *308:A1 *307:B 3.06035e-05 -4 *308:A1 *313:B1 0.000126416 -5 *308:A1 *79:9 0.000125542 -6 *308:A1 *97:15 8.25843e-06 -*RES -1 *307:X *308:A1 31.0107 -*END - -*D_NET *76 0.00363923 -*CONN -*I *313:B1 I *D sky130_fd_sc_hd__o21ai_4 -*I *309:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *313:B1 0.000577143 -2 *309:Y 0.000577143 -3 *313:B1 *79:9 0.000428575 -4 *313:B1 *102:8 0.00146603 -5 *308:A1 *313:B1 0.000126416 -6 *328:B *313:B1 6.30931e-05 -7 *356:SET_B *313:B1 0.000400825 -*RES -1 *309:Y *313:B1 46.85 -*END - -*D_NET *77 0.00666878 -*CONN -*I *312:A2 I *D sky130_fd_sc_hd__o21ai_2 -*I *311:B I *D sky130_fd_sc_hd__and2b_2 -*I *310:X O *D sky130_fd_sc_hd__and3b_2 -*CAP -1 *312:A2 0.00122852 -2 *311:B 0.000249483 -3 *310:X 3.87904e-05 -4 *77:7 0.0015168 -5 *311:B *313:A1 2.12485e-05 -6 *311:B *99:8 2.47837e-05 -7 *311:B *143:11 0.000182671 -8 *312:A2 *307:B 1.78393e-05 -9 *312:A2 *312:B1 0 -10 *312:A2 *326:A_N 0.000396233 -11 *312:A2 *378:RESET_B 0 -12 *312:A2 *405:A 0.000141941 -13 *312:A2 *101:14 0.00102907 -14 *312:A2 *144:9 3.89994e-05 -15 *312:A2 *164:15 0 -16 *191:DIODE *77:7 1.98839e-05 -17 *311:A_N *311:B 0.000359712 -18 *311:A_N *312:A2 0.000310854 -19 *326:B *312:A2 1.94945e-05 -20 *353:RESET_B *312:A2 6.79343e-05 -21 *360:RESET_B *312:A2 0.000360162 -22 *360:RESET_B *77:7 5.33005e-05 -23 *29:7 *77:7 4.58194e-05 -24 *65:12 *311:B 0.000213789 -25 *65:12 *312:A2 0.000331453 -26 *67:10 *312:A2 0 -*RES -1 *310:X *77:7 14.7464 -2 *77:7 *311:B 21.1571 -3 *77:7 *312:A2 44.1571 -*END - -*D_NET *78 0.00173948 -*CONN -*I *313:A1 I *D sky130_fd_sc_hd__o21ai_4 -*I *311:X O *D sky130_fd_sc_hd__and2b_2 -*CAP -1 *313:A1 0.000346451 -2 *311:X 0.000346451 -3 *313:A1 *307:B 0.000138425 -4 *313:A1 *99:8 0.000357459 -5 *313:A1 *100:22 2.59355e-05 -6 *313:A1 *143:11 8.22661e-06 -7 *311:A_N *313:A1 0.000495285 -8 *311:B *313:A1 2.12485e-05 -*RES -1 *311:X *313:A1 36.4036 -*END - -*D_NET *79 0.00453834 -*CONN -*I *313:A2 I *D sky130_fd_sc_hd__o21ai_4 -*I *312:Y O *D sky130_fd_sc_hd__o21ai_2 -*CAP -1 *313:A2 0 -2 *312:Y 0.000848131 -3 *79:9 0.000848131 -4 *79:9 *308:S 0.00111963 -5 *79:9 *312:B1 0.000360763 -6 *79:9 *101:14 0.000218098 -7 *79:9 *102:8 5.89096e-05 -8 *308:A1 *79:9 0.000125542 -9 *313:B1 *79:9 0.000428575 -10 *328:B *79:9 6.46173e-05 -11 *356:SET_B *79:9 0.000398501 -12 *42:8 *79:9 0 -13 *67:10 *79:9 6.74479e-05 -*RES -1 *312:Y *79:9 42.9429 -2 *79:9 *313:A2 9.3 -*END - -*D_NET *92 0.00653974 -*CONN -*I *386:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *384:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *382:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *386:A 0 -2 *384:A 0.000894338 -3 *382:X 0.000578022 -4 *92:7 0.00147236 -5 *384:A *94:8 9.07033e-05 -6 *384:A *94:17 1.07319e-05 -7 *384:A *129:10 0.00157161 -8 *384:A *138:9 0.00157974 -9 *92:7 *114:34 7.36469e-05 -10 *186:DIODE *384:A 3.11088e-05 -11 *188:DIODE *384:A 7.60395e-05 -12 *203:DIODE *92:7 2.59355e-05 -13 *34:11 *92:7 3.97677e-05 -14 *59:15 *92:7 9.57351e-05 -*RES -1 *382:X *92:7 16.425 -2 *92:7 *384:A 45.4071 -3 *92:7 *386:A 9.3 -*END - -*D_NET *93 0.00483767 -*CONN -*I *387:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *385:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *383:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *387:A 0 -2 *385:A 0.00016657 -3 *383:X 0.000561225 -4 *93:8 0.000727795 -5 *385:A *337:A 5.49489e-05 -6 *385:A *120:38 0.000175892 -7 *385:A *129:10 0.000217505 -8 *93:8 *371:D 4.45982e-06 -9 *93:8 *402:A 0.000104713 -10 *93:8 *109:22 0.000102877 -11 *93:8 *116:35 0.000147552 -12 *93:8 *117:13 0.000103211 -13 *93:8 *129:10 0.000694009 -14 *93:8 *138:9 8.61547e-06 -15 *93:8 *147:11 0.000189347 -16 *203:DIODE *385:A 0.000217505 -17 *203:DIODE *93:8 0.000136166 -18 *364:RESET_B *385:A 2.59355e-05 -19 *383:A *93:8 6.05161e-06 -20 *5:28 *93:8 0.000311284 -21 *10:21 *93:8 0.000279625 -22 *61:10 *93:8 0.000602382 -*RES -1 *383:X *93:8 31.9429 -2 *93:8 *385:A 18.8536 -3 *93:8 *387:A 13.8 -*END - -*D_NET *94 0.0163048 -*CONN -*I *377:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *367:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *369:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *368:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *374:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *371:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *372:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *373:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *306:A I *D sky130_fd_sc_hd__inv_2 -*I *384:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *377:CLK 0.000278927 -2 *367:CLK 8.99339e-05 -3 *369:CLK 0 -4 *368:CLK 0 -5 *374:CLK 8.97662e-05 -6 *371:CLK 9.02699e-05 -7 *372:CLK 0.000960105 -8 *373:CLK 1.63605e-05 -9 *306:A 2.39758e-05 -10 *384:X 0.00074848 -11 *94:71 0.000189018 -12 *94:64 0.000693433 -13 *94:60 0.00134706 -14 *94:39 0.000180036 -15 *94:37 0.000562604 -16 *94:26 0.00117407 -17 *94:17 0.000801983 -18 *94:8 0.00143293 -19 *306:A *345:A 5.49489e-05 -20 *371:CLK *343:A 0.000109263 -21 *371:CLK *402:A 6.94952e-05 -22 *372:CLK *363:D 0.000610496 -23 *373:CLK *115:31 5.49544e-05 -24 *374:CLK *343:A 0.000135895 -25 *377:CLK *111:19 5.33005e-05 -26 *94:8 *122:30 4.51198e-05 -27 *94:17 *122:30 3.39905e-05 -28 *94:17 *125:13 0.000615917 -29 *94:17 *128:6 0.00123917 -30 *94:17 *138:9 8.92073e-05 -31 *94:26 *125:13 9.22338e-05 -32 *94:26 *128:6 0.000323379 -33 *94:37 *128:6 0.000254174 -34 *94:60 *117:13 0.000166317 -35 *94:60 *128:6 0.000232377 -36 *94:64 *403:A 1.00887e-05 -37 *94:64 *152:10 5.23822e-05 -38 resetn_out *94:64 5.49544e-05 -39 *181:DIODE *371:CLK 2.42516e-05 -40 *181:DIODE *374:CLK 3.2687e-05 -41 *186:DIODE *94:8 0.00066478 -42 *188:DIODE *94:8 0.000271155 -43 *384:A *94:8 9.07033e-05 -44 *384:A *94:17 1.07319e-05 -45 *5:11 *367:CLK 0.000201442 -46 *5:11 *94:64 0.000197543 -47 *5:11 *94:71 0.000198261 -48 *5:28 *94:60 0.00036301 -49 *6:27 *94:60 5.09917e-05 -50 *8:19 *94:64 2.11419e-05 -51 *8:23 *94:64 5.31751e-05 -52 *9:13 *94:60 0.000291758 -53 *10:21 *94:60 0.0001125 -54 *12:14 *374:CLK 7.4854e-05 -55 *34:11 *94:26 0.000146071 -56 *34:11 *94:37 0.000263285 -57 *34:11 *94:60 0.000241488 -58 *69:17 *373:CLK 1.83136e-05 -*RES -1 *384:X *94:8 25.7286 -2 *94:8 *306:A 14.3357 -3 *94:8 *94:17 19.25 -4 *94:17 *373:CLK 14.3357 -5 *94:17 *94:26 4.375 -6 *94:26 *372:CLK 25.7464 -7 *94:26 *94:37 3.46429 -8 *94:37 *94:39 4.5 -9 *94:39 *371:CLK 11.4786 -10 *94:39 *374:CLK 11.8893 -11 *94:37 *94:60 19.1757 -12 *94:60 *94:64 14.9821 -13 *94:64 *368:CLK 13.8 -14 *94:64 *94:71 2.55357 -15 *94:71 *369:CLK 13.8 -16 *94:71 *367:CLK 16.3536 -17 *94:60 *377:CLK 13.1214 -*END - -*D_NET *95 0.0162239 -*CONN -*I *342:A I *D sky130_fd_sc_hd__inv_2 -*I *347:A I *D sky130_fd_sc_hd__inv_2 -*I *351:A I *D sky130_fd_sc_hd__inv_2 -*I *352:A I *D sky130_fd_sc_hd__inv_2 -*I *350:A I *D sky130_fd_sc_hd__inv_2 -*I *345:A I *D sky130_fd_sc_hd__inv_2 -*I *385:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *342:A 0.00150756 -2 *347:A 0.00163273 -3 *351:A 3.14759e-05 -4 *352:A 0 -5 *350:A 0 -6 *345:A 0.000917548 -7 *385:X 0 -8 *95:41 0.0034793 -9 *95:29 0.000111819 -10 *95:9 0.00092648 -11 *95:8 0.000539487 -12 *95:5 0.00078923 -13 *342:A *355:CLK_N 8.24167e-05 -14 *342:A *358:D 5.23296e-05 -15 *342:A *371:D 9.35042e-05 -16 *342:A *158:17 7.70035e-05 -17 *342:A *158:32 0.000179887 -18 *345:A *435:A 0.000185124 -19 *345:A *129:10 5.6647e-05 -20 *345:A *135:15 1.34631e-05 -21 *347:A *328:A_N 5.33005e-05 -22 *347:A *360:CLK_N 0.000819443 -23 *347:A *360:D 9.17062e-05 -24 *347:A *401:A 5.69386e-05 -25 *347:A *150:7 2.59355e-05 -26 *347:A *154:10 2.66851e-06 -27 *95:8 *435:A 0.00077345 -28 *95:9 *435:A 4.33899e-05 -29 *95:29 *435:A 4.17433e-05 -30 *95:41 *373:RESET_B 1.01912e-05 -31 *95:41 *435:A 0.000379729 -32 *95:41 *114:57 4.35973e-05 -33 *95:41 *158:17 0.000236667 -34 pad_gpio_dm[0] *95:8 4.51632e-05 -35 *182:DIODE *351:A 5.45307e-05 -36 *185:DIODE *345:A 7.21379e-05 -37 *202:DIODE *347:A 0.000175892 -38 *306:A *345:A 5.49489e-05 -39 *320:B *342:A 0.000224341 -40 *359:SET_B *347:A 5.49544e-05 -41 *361:SET_B *347:A 0.000832484 -42 *363:SET_B *345:A 4.90246e-05 -43 *1:27 *347:A 2.97629e-05 -44 *2:22 *342:A 0.000154304 -45 *7:35 *345:A 5.51716e-05 -46 *9:13 *342:A 0.000576812 -47 *35:7 *345:A 0.000143375 -48 *35:7 *351:A 0.000140856 -49 *35:7 *95:9 5.49489e-05 -50 *35:7 *95:29 0.000226222 -51 *59:15 *342:A 2.42516e-05 -*RES -1 *385:X *95:5 13.8 -2 *95:5 *95:8 14.6429 -3 *95:8 *95:9 0.535714 -4 *95:9 *345:A 34.8179 -5 *95:9 *350:A 9.3 -6 *95:8 *95:29 2.17857 -7 *95:29 *352:A 9.3 -8 *95:29 *351:A 10.6571 -9 *95:5 *95:41 8.01786 -10 *95:41 *347:A 48.6214 -11 *95:41 *342:A 36.6214 -*END - -*D_NET *96 0.00636672 -*CONN -*I *314:A I *D sky130_fd_sc_hd__and2_2 -*I *398:gpio_logic1 O *D gpio_logic_high -*CAP -1 *314:A 0.00209781 -2 *398:gpio_logic1 0.00209781 -3 *314:A *331:A 9.94524e-05 -4 *314:A *418:A 0.000542405 -5 *314:A *113:8 0.000485175 -6 *314:A *114:13 0.000132242 -7 *314:A *159:15 4.64488e-06 -8 *338:B *314:A 0.000204318 -9 *364:SET_B *314:A 0.000473059 -10 *10:26 *314:A 9.58632e-05 -11 *24:9 *314:A 6.82968e-05 -12 *65:12 *314:A 6.56458e-05 -*RES -1 *398:gpio_logic1 *314:A 47.6547 -*END - -*D_NET *97 0.00581883 -*CONN -*I *307:A I *D sky130_fd_sc_hd__and2_0 -*I *359:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *307:A 0 -2 *359:Q 0.00148176 -3 *97:15 0.00148176 -4 *97:15 *307:B 9.58632e-05 -5 *97:15 *328:A_N 0.000646454 -6 *97:15 *356:CLK_N 0.000499269 -7 *97:15 *409:A 0.000170473 -8 *97:15 *102:8 0.00100761 -9 *97:15 *164:15 9.76587e-05 -10 *308:A1 *97:15 8.25843e-06 -11 *328:B *97:15 6.57032e-05 -12 *359:SET_B *97:15 8.43535e-06 -13 *36:11 *97:15 0.000255596 -*RES -1 *359:Q *97:15 47.8357 -2 *97:15 *307:A 9.3 -*END - -*D_NET *98 0.00510637 -*CONN -*I *309:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *312:B1 I *D sky130_fd_sc_hd__o21ai_2 -*I *308:S I *D sky130_fd_sc_hd__mux2_4 -*I *353:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *309:A_N 3.85687e-05 -2 *312:B1 0.000134721 -3 *308:S 0.000516418 -4 *353:Q 0.000272346 -5 *98:9 0.000651138 -6 *98:7 0.000310915 -7 *98:7 *407:A 1.0484e-05 -8 *312:A2 *312:B1 0 -9 *353:RESET_B *98:7 0.000350577 -10 *41:45 *308:S 1.59935e-05 -11 *67:10 *308:S 0.00111158 -12 *67:10 *312:B1 0.000213234 -13 *79:9 *308:S 0.00111963 -14 *79:9 *312:B1 0.000360763 -*RES -1 *353:Q *98:7 14.3179 -2 *98:7 *98:9 4.5 -3 *98:9 *308:S 29.1304 -4 *98:9 *312:B1 18.4786 -5 *98:7 *309:A_N 9.83571 -*END - -*D_NET *99 0.00515054 -*CONN -*I *307:B I *D sky130_fd_sc_hd__and2_0 -*I *310:C I *D sky130_fd_sc_hd__and3b_2 -*I *412:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *307:B 0.000227008 -2 *310:C 3.11324e-05 -3 *412:X 0.000703955 -4 *99:8 0.000962096 -5 *307:B *100:22 0.000147796 -6 *307:B *101:14 9.41642e-05 -7 *99:8 *314:B 9.91111e-05 -8 *99:8 *329:A 0 -9 *99:8 *396:A 0.000404041 -10 *99:8 *120:8 0 -11 *191:DIODE *310:C 7.37323e-05 -12 *210:DIODE *99:8 6.13903e-05 -13 *308:A1 *307:B 3.06035e-05 -14 *311:A_N *307:B 1.9516e-05 -15 *311:A_N *99:8 1.34741e-05 -16 *311:B *99:8 2.47837e-05 -17 *312:A2 *307:B 1.78393e-05 -18 *313:A1 *307:B 0.000138425 -19 *313:A1 *99:8 0.000357459 -20 *334:B *99:8 5.24684e-06 -21 *414:A *99:8 2.37944e-05 -22 *5:40 *99:8 0.000135449 -23 *29:7 *310:C 2.18792e-05 -24 *65:12 *99:8 0 -25 *67:10 *307:B 0.000336085 -26 *67:10 *99:8 0.0011257 -27 *97:15 *307:B 9.58632e-05 -*RES -1 *412:X *99:8 33.5857 -2 *99:8 *310:C 14.5411 -3 *99:8 *307:B 20.7643 -*END - -*D_NET *100 0.00605126 -*CONN -*I *418:A I *D sky130_fd_sc_hd__buf_16 -*I *360:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *418:A 0.000196181 -2 *360:Q 0.00124646 -3 *100:22 0.00144264 -4 *418:A *421:A 0.000180079 -5 *418:A *113:8 7.05778e-05 -6 *100:22 *321:A 9.58689e-05 -7 *100:22 *330:A_N 0.000206863 -8 *100:22 *433:A 8.88341e-05 -9 *100:22 *101:14 1.21258e-05 -10 *100:22 *154:10 0.000178491 -11 pad_gpio_dm[1] *100:22 0.000178353 -12 pad_gpio_slow_sel *100:22 0.000314636 -13 serial_clock_out *100:22 0.000844011 -14 *192:DIODE *100:22 4.11387e-05 -15 *307:B *100:22 0.000147796 -16 *311:A_N *100:22 0.000101777 -17 *313:A1 *100:22 2.59355e-05 -18 *314:A *418:A 0.000542405 -19 *2:22 *100:22 0.000137099 -*RES -1 *360:Q *100:22 49.1036 -2 *100:22 *418:A 19.2821 -*END - -*D_NET *101 0.00869762 -*CONN -*I *310:B I *D sky130_fd_sc_hd__and3b_2 -*I *419:A I *D sky130_fd_sc_hd__buf_16 -*I *361:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *310:B 0 -2 *419:A 0.000841094 -3 *361:Q 0.000836593 -4 *101:16 0.000841094 -5 *101:14 0.000836593 -6 *419:A *329:A 0.00103494 -7 *419:A *397:A 0.000223662 -8 *419:A *173:15 9.84673e-05 -9 *101:14 *315:A 7.53759e-05 -10 *101:14 *376:D 0.000598006 -11 *101:14 *140:8 0.000137127 -12 *176:DIODE *419:A 9.76123e-05 -13 *307:B *101:14 9.41642e-05 -14 *312:A2 *101:14 0.00102907 -15 *361:SET_B *419:A 4.45982e-06 -16 *1:27 *419:A 0.000117383 -17 *1:38 *419:A 0.000110779 -18 *3:29 *419:A 0.000120188 -19 *3:37 *419:A 0.000345852 -20 *4:27 *419:A 6.2127e-05 -21 *29:7 *419:A 0.000423607 -22 *67:10 *101:14 0.000539195 -23 *79:9 *101:14 0.000218098 -24 *100:22 *101:14 1.21258e-05 -*RES -1 *361:Q *101:14 45.6393 -2 *101:14 *101:16 4.5 -3 *101:16 *419:A 38.4964 -4 *101:16 *310:B 9.3 -*END - -*D_NET *102 0.00754123 -*CONN -*I *310:A_N I *D sky130_fd_sc_hd__and3b_2 -*I *420:A I *D sky130_fd_sc_hd__buf_16 -*I *362:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *310:A_N 0 -2 *420:A 0.000497834 -3 *362:Q 0.000723896 -4 *102:8 0.00122173 -5 *420:A *424:A 0.000127744 -6 *420:A *120:8 0.000591809 -7 *420:A *120:22 0.000517834 -8 *102:8 *424:A 6.35976e-05 -9 *102:8 *110:8 0 -10 *212:DIODE *420:A 0.000962595 -11 *313:B1 *102:8 0.00146603 -12 *362:SET_B *102:8 1.98954e-05 -13 *36:11 *420:A 5.31751e-05 -14 *36:11 *102:8 8.60486e-05 -15 *42:8 *102:8 8.12822e-05 -16 *42:23 *102:8 6.12382e-05 -17 *79:9 *102:8 5.89096e-05 -18 *97:15 *102:8 0.00100761 -*RES -1 *362:Q *102:8 37.8714 -2 *102:8 *420:A 31.5321 -3 *102:8 *310:A_N 13.8 -*END - -*D_NET *103 0.00672127 -*CONN -*I *421:A I *D sky130_fd_sc_hd__buf_16 -*I *354:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *421:A 5.46714e-05 -2 *354:Q 0.00106899 -3 *103:8 0.00112366 -4 *421:A *113:8 6.11672e-05 -5 *103:8 *328:A_N 8.112e-05 -6 *103:8 *365:CLK_N 0.000917729 -7 *103:8 *370:RESET_B 5.97282e-05 -8 *103:8 *377:RESET_B 6.69218e-05 -9 *103:8 *379:D 5.87274e-05 -10 *103:8 *399:A 4.10652e-05 -11 *103:8 *111:19 0.00093725 -12 *103:8 *115:20 0.000106108 -13 *103:8 *115:26 0.000547556 -14 *103:8 *118:34 0.000614245 -15 *103:8 *145:25 0.000109215 -16 *103:8 *158:47 5.16339e-05 -17 *103:8 *165:18 0.000209328 -18 *182:DIODE *103:8 0.000177223 -19 *320:B *103:8 8.08608e-06 -20 *354:RESET_B *103:8 3.44617e-05 -21 *364:RESET_B *103:8 0.000148514 -22 *418:A *421:A 0.000180079 -23 *13:31 *103:8 6.37939e-05 -*RES -1 *354:Q *103:8 47.8893 -2 *103:8 *421:A 15.5679 -*END - -*D_NET *104 0.00366373 -*CONN -*I *422:A I *D sky130_fd_sc_hd__buf_16 -*I *358:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *422:A 0.000561428 -2 *358:Q 0.000561428 -3 *422:A *364:CLK_N 0.000147718 -4 *422:A *365:D 0.000192563 -5 *422:A *374:D 0.000187927 -6 *422:A *401:A 1.32832e-05 -7 *422:A *115:19 1.34548e-05 -8 *422:A *115:20 5.04226e-05 -9 *182:DIODE *422:A 0.000170953 -10 *320:B *422:A 9.18069e-05 -11 *4:17 *422:A 0.00125322 -12 *13:31 *422:A 0.000419522 -*RES -1 *358:Q *422:A 45.4214 -*END - -*D_NET *105 0.00718152 -*CONN -*I *423:A I *D sky130_fd_sc_hd__buf_16 -*I *357:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *423:A 0.000222316 -2 *357:Q 0.00194398 -3 *105:17 0.0021663 -4 *423:A *321:A 0.000230629 -5 *423:A *397:A 6.05161e-06 -6 *423:A *433:A 0.000410014 -7 *423:A *134:8 0 -8 *105:17 *321:A 0.00020653 -9 *105:17 *355:D 4.3111e-05 -10 *105:17 *360:D 0.000450546 -11 *105:17 *361:D 4.85033e-05 -12 *105:17 *426:A 6.71898e-05 -13 *105:17 *433:A 0.000161058 -14 *105:17 *118:58 7.80059e-05 -15 *105:17 *133:21 0.000506539 -16 *105:17 *145:25 5.7903e-06 -17 *178:DIODE *105:17 3.05829e-05 -18 *353:SET_B *105:17 0 -19 *355:RESET_B *105:17 0.000168861 -20 *1:27 *105:17 1.80253e-05 -21 *25:9 *423:A 5.50052e-05 -22 *39:8 *423:A 9.58689e-05 -23 *42:23 *105:17 2.14185e-05 -24 *53:10 *105:17 0.000245194 -*RES -1 *357:Q *105:17 49.358 -2 *105:17 *423:A 20.1839 -*END - -*D_NET *106 0.000795451 -*CONN -*I *424:A I *D sky130_fd_sc_hd__buf_16 -*I *313:Y O *D sky130_fd_sc_hd__o21ai_4 -*CAP -1 *424:A 0.000120304 -2 *313:Y 0.000120304 -3 *420:A *424:A 0.000127744 -4 *36:11 *424:A 0.000363501 -5 *102:8 *424:A 6.35976e-05 -*RES -1 *313:Y *424:A 32.2786 -*END - -*D_NET *107 0.00181844 -*CONN -*I *425:A I *D sky130_fd_sc_hd__buf_16 -*I *308:X O *D sky130_fd_sc_hd__mux2_4 -*CAP -1 *425:A 0.000313911 -2 *308:X 0.000313911 -3 *425:A *329:A 1.00332e-05 -4 *425:A *343:A 5.33005e-05 -5 *425:A *360:CLK_N 1.42117e-05 -6 *425:A *376:D 0.000175892 -7 *425:A *411:A 5.96516e-05 -8 *329:B *425:A 3.02801e-05 -9 *360:SET_B *425:A 0.000174469 -10 *361:SET_B *425:A 3.07351e-06 -11 *1:27 *425:A 0.000186275 -12 *2:33 *425:A 6.96346e-05 -13 *3:24 *425:A 0.000413797 -*RES -1 *308:X *425:A 36.8321 -*END - -*D_NET *108 0.00384934 -*CONN -*I *426:A I *D sky130_fd_sc_hd__buf_16 -*I *355:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *426:A 0.000698253 -2 *355:Q 0.000698253 -3 *426:A *360:D 0.000270456 -4 *426:A *433:A 0.000333127 -5 *426:A *118:58 7.80059e-05 -6 *426:A *120:22 5.50052e-05 -7 pad_gpio_slow_sel *426:A 0.000320851 -8 pad_gpio_vtrip_sel *426:A 0.000148407 -9 *322:B *426:A 0.000220309 -10 *356:RESET_B *426:A 0.000831165 -11 *3:24 *426:A 6.49189e-05 -12 *65:12 *426:A 6.34022e-05 -13 *105:17 *426:A 6.71898e-05 -*RES -1 *355:Q *426:A 49.5072 -*END - -*D_NET *109 0.00499196 -*CONN -*I *427:A I *D sky130_fd_sc_hd__buf_16 -*I *356:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *427:A 5.07198e-05 -2 *356:Q 0.00166168 -3 *109:22 0.0017124 -4 *427:A *355:CLK_N 9.58632e-05 -5 *427:A *371:D 7.71535e-05 -6 *109:22 *317:A 9.80446e-05 -7 *109:22 *370:D 0.000113772 -8 *109:22 *370:RESET_B 0.000176412 -9 *109:22 *377:D 0.000137561 -10 *109:22 *377:RESET_B 8.20109e-05 -11 *109:22 *406:A 4.25652e-05 -12 *109:22 *149:10 0.000135028 -13 *317:B *109:22 2.59355e-05 -14 *354:RESET_B *109:22 9.58181e-05 -15 *383:A *109:22 0.000111738 -16 *2:22 *109:22 9.10121e-05 -17 *3:16 *109:22 5.4216e-05 -18 *10:21 *109:22 9.61478e-05 -19 *11:19 *109:22 2.18747e-05 -20 *57:14 *109:22 0 -21 *61:10 *109:22 9.12406e-06 -22 *93:8 *109:22 0.000102877 -*RES -1 *356:Q *109:22 47.5991 -2 *109:22 *427:A 15.175 -*END - -*D_NET *110 0.00866191 -*CONN -*I *312:A1 I *D sky130_fd_sc_hd__o21ai_2 -*I *413:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *312:A1 6.64392e-05 -2 *413:X 0.000123608 -3 *110:8 0.00154414 -4 *110:7 0.00160131 -5 *212:DIODE *110:8 0.000395747 -6 *362:SET_B *110:8 0.00267793 -7 *18:9 *110:7 0.000184624 -8 *36:11 *110:8 0.00181184 -9 *42:8 *110:8 0.000195043 -10 *42:23 *110:8 6.12382e-05 -11 *102:8 *110:8 0 -*RES -1 *413:X *110:7 15.5679 -2 *110:7 *110:8 47.7857 -3 *110:8 *312:A1 14.7464 -*END - -*D_NET *111 0.00544271 -*CONN -*I *428:A I *D sky130_fd_sc_hd__buf_16 -*I *381:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *428:A 0 -2 *381:X 0.0013662 -3 *111:19 0.0013662 -4 *111:19 *365:CLK_N 0.000311157 -5 *111:19 *377:D 0.000168889 -6 *111:19 *377:RESET_B 8.84306e-05 -7 *111:19 *435:A 0.000334922 -8 *111:19 *120:38 9.58632e-05 -9 *111:19 *158:17 0.000193592 -10 *111:19 *158:32 0.000275948 -11 *111:19 *158:47 0.00025095 -12 *377:CLK *111:19 5.33005e-05 -13 *103:8 *111:19 0.00093725 -*RES -1 *381:X *111:19 46.2286 -2 *111:19 *428:A 9.3 -*END - -*D_NET *112 0.00236939 -*CONN -*I *429:A I *D sky130_fd_sc_hd__buf_16 -*I *379:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *429:A 0.00098578 -2 *379:Q 0.00098578 -3 *429:A *319:A 9.54798e-06 -4 *429:A *379:D 1.47238e-05 -5 *429:A *392:A 1.9774e-05 -6 *429:A *393:A 7.65779e-05 -7 *429:A *125:13 1.21258e-05 -8 *429:A *130:18 9.8396e-05 -9 *429:A *138:9 6.82912e-05 -10 *319:B *429:A 9.8396e-05 -11 *8:15 *429:A 0 -*RES -1 *379:Q *429:A 40.1714 -*END - -*D_NET *113 0.014396 -*CONN -*I *430:A I *D sky130_fd_sc_hd__buf_16 -*I *314:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *430:A 0.00235401 -2 *314:X 0.00198309 -3 *113:8 0.0043371 -4 *430:A *116:76 9.13886e-05 -5 *430:A *130:18 0.000482546 -6 *430:A *133:21 0.000132513 -7 *113:8 *366:D 0.000483581 -8 *113:8 *133:21 8.89024e-05 -9 *314:A *113:8 0.000485175 -10 *319:B *430:A 9.86486e-06 -11 *363:SET_B *113:8 0.000972566 -12 *418:A *113:8 7.05778e-05 -13 *421:A *113:8 6.11672e-05 -14 *1:16 *430:A 1.41123e-05 -15 *8:15 *430:A 5.49995e-05 -16 *11:19 *430:A 0.000135501 -17 *12:7 *430:A 7.58644e-05 -18 *12:11 *430:A 0.000678832 -19 *12:32 *113:8 0.000312969 -20 *13:13 *430:A 0.000475401 -21 *24:9 *113:8 0.000518929 -22 *53:10 *430:A 0.000397491 -23 *57:14 *430:A 0.000179457 -*RES -1 *314:X *113:8 46.7732 -2 *113:8 *430:A 47.3974 -*END - -*D_NET *114 0.0156268 -*CONN -*I *373:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *326:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *372:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *325:A I *D sky130_fd_sc_hd__or2_0 -*I *335:A I *D sky130_fd_sc_hd__or2_0 -*I *338:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *336:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *389:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *373:RESET_B 8.50724e-06 -2 *326:A_N 0.00243928 -3 *372:RESET_B 0.000135624 -4 *325:A 0 -5 *335:A 6.94926e-05 -6 *338:A_N 7.28643e-05 -7 *336:A_N 0.000202517 -8 *389:X 0 -9 *114:57 0.00304509 -10 *114:34 0.000291369 -11 *114:31 0.000516875 -12 *114:13 0.00081263 -13 *114:7 0.00122487 -14 *114:4 0.00112555 -15 *336:A_N *129:10 1.03904e-05 -16 *372:RESET_B *363:D 7.25464e-05 -17 *372:RESET_B *393:A 5.48299e-05 -18 *114:7 *115:31 8.17274e-05 -19 *114:7 *115:35 0.000216755 -20 *114:13 *393:A 1.73066e-05 -21 *114:13 *436:A 0 -22 *114:13 *122:44 0.000651917 -23 *114:31 *393:A 7.33056e-05 -24 *114:57 *115:31 0.000407404 -25 pad_gpio_slow_sel *326:A_N 6.25739e-05 -26 *186:DIODE *335:A 6.05207e-05 -27 *312:A2 *326:A_N 0.000396233 -28 *314:A *114:13 0.000132242 -29 *326:B *326:A_N 0.000145239 -30 *338:B *338:A_N 9.41642e-05 -31 *1:27 *326:A_N 4.65519e-05 -32 *2:22 *326:A_N 0.000586493 -33 *9:13 *335:A 8.82593e-05 -34 *9:24 *336:A_N 0.00032321 -35 *10:26 *336:A_N 3.14003e-05 -36 *10:26 *338:A_N 4.08637e-05 -37 *10:26 *114:13 5.33005e-05 -38 *10:29 *336:A_N 0.000360311 -39 *13:31 *326:A_N 4.13349e-05 -40 *41:45 *326:A_N 0.000825706 -41 *59:15 *114:34 0.00020486 -42 *65:12 *326:A_N 0.000397815 -43 *69:17 *114:7 7.7449e-05 -44 *92:7 *114:34 7.36469e-05 -45 *95:41 *373:RESET_B 1.01912e-05 -46 *95:41 *114:57 4.35973e-05 -*RES -1 *389:X *114:4 9.3 -2 *114:4 *114:7 10.7857 -3 *114:7 *114:13 17.7857 -4 *114:13 *336:A_N 24.6393 -5 *114:13 *338:A_N 10.6571 -6 *114:7 *114:31 3.16071 -7 *114:31 *114:34 9.14286 -8 *114:34 *335:A 20.55 -9 *114:34 *325:A 9.3 -10 *114:31 *372:RESET_B 7.66071 -11 *114:4 *114:57 12.3839 -12 *114:57 *326:A_N 40.3951 -13 *114:57 *373:RESET_B 4.77679 -*END - -*D_NET *115 0.0129442 -*CONN -*I *340:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *370:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *374:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *339:A I *D sky130_fd_sc_hd__or2_0 -*I *389:A I *D sky130_fd_sc_hd__buf_2 -*I *371:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *337:A I *D sky130_fd_sc_hd__or2_0 -*I *390:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *340:A_N 0 -2 *370:RESET_B 0.000332598 -3 *374:RESET_B 1.56633e-05 -4 *339:A 0.000137246 -5 *389:A 3.24985e-05 -6 *371:RESET_B 0.000260915 -7 *337:A 0.000152288 -8 *390:X 0.000926295 -9 *115:35 0.000508854 -10 *115:31 0.000688175 -11 *115:26 0.000920906 -12 *115:20 0.000370549 -13 *115:19 0.000515913 -14 *115:15 0.000978361 -15 *337:A *120:38 0.00015906 -16 *337:A *159:8 2.69483e-05 -17 *370:RESET_B *370:D 0.000116273 -18 *370:RESET_B *375:CLK 6.09764e-05 -19 *371:RESET_B *343:A 2.24405e-05 -20 *371:RESET_B *402:A 5.90237e-05 -21 *371:RESET_B *116:35 0.000119484 -22 *371:RESET_B *120:38 0.000523777 -23 *371:RESET_B *159:62 1.40556e-05 -24 *374:RESET_B *374:D 2.29097e-05 -25 *374:RESET_B *376:CLK 4.8736e-05 -26 *115:15 *378:CLK 1.4796e-05 -27 *115:15 *378:D 0.000124194 -28 *115:15 *390:A 4.35597e-05 -29 *115:15 *392:A 1.24368e-05 -30 *115:15 *400:A 6.52246e-05 -31 *115:15 *409:A 0.000238484 -32 *115:15 *123:34 3.87173e-05 -33 *115:15 *124:6 0 -34 *115:20 *374:D 5.35314e-05 -35 *115:26 *365:D 1.30594e-05 -36 *115:26 *374:D 5.63085e-05 -37 *115:26 *401:A 0.000337306 -38 *115:31 *373:D 2.59355e-05 -39 serial_clock_out *115:15 3.86398e-05 -40 *181:DIODE *371:RESET_B 0.000216853 -41 *317:B *115:15 6.30931e-05 -42 *320:B *370:RESET_B 0.00019454 -43 *320:B *115:19 2.59355e-05 -44 *320:B *115:20 5.26224e-05 -45 *322:B *115:15 6.05161e-06 -46 *337:B *337:A 5.33005e-05 -47 *339:B *339:A 9.41642e-05 -48 *358:SET_B *115:15 0.000320274 -49 *358:SET_B *115:19 0.000139208 -50 *373:CLK *115:31 5.49544e-05 -51 *385:A *337:A 5.49489e-05 -52 *422:A *115:19 1.34548e-05 -53 *422:A *115:20 5.04226e-05 -54 *3:16 *115:15 0.00072744 -55 *4:17 *115:15 3.11713e-05 -56 *4:17 *115:20 2.70725e-06 -57 *10:21 *337:A 0.000136166 -58 *10:21 *371:RESET_B 0.000489282 -59 *10:26 *337:A 3.29297e-05 -60 *11:19 *115:15 0.000238881 -61 *11:21 *115:15 3.10885e-05 -62 *13:31 *115:26 2.3953e-05 -63 *53:10 *115:15 3.50949e-06 -64 *61:10 *370:RESET_B 2.33546e-05 -65 *69:17 *115:31 0.000143243 -66 *69:17 *115:35 4.885e-05 -67 *103:8 *370:RESET_B 5.97282e-05 -68 *103:8 *115:20 0.000106108 -69 *103:8 *115:26 0.000547556 -70 *109:22 *370:RESET_B 0.000176412 -71 *114:7 *115:31 8.17274e-05 -72 *114:7 *115:35 0.000216755 -73 *114:57 *115:31 0.000407404 -*RES -1 *390:X *115:15 38.9071 -2 *115:15 *115:19 5.85714 -3 *115:19 *115:20 3.16071 -4 *115:20 *115:26 12.1429 -5 *115:26 *115:31 10.9464 -6 *115:31 *115:35 6.67857 -7 *115:35 *337:A 17.8179 -8 *115:35 *371:RESET_B 14.0268 -9 *115:31 *389:A 10.0321 -10 *115:26 *339:A 11.4786 -11 *115:20 *374:RESET_B 4.97321 -12 *115:19 *370:RESET_B 11.4375 -13 *115:15 *340:A_N 9.3 -*END - -*D_NET *116 0.0176907 -*CONN -*I *318:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *317:A I *D sky130_fd_sc_hd__or2_0 -*I *367:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *369:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *368:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *323:A I *D sky130_fd_sc_hd__or2_0 -*I *324:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *391:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *318:A_N 0.000260359 -2 *317:A 0.000296566 -3 *367:RESET_B 0.000141574 -4 *369:RESET_B 9.28221e-05 -5 *368:RESET_B 0.000112753 -6 *323:A 0.000921362 -7 *324:A_N 0.000162418 -8 *391:X 0 -9 *116:76 0.00188925 -10 *116:48 0.000300012 -11 *116:38 0.000258489 -12 *116:35 0.00396942 -13 *116:15 0.00347833 -14 *116:4 0.000162418 -15 *317:A *124:6 0.000168201 -16 *318:A_N *378:D 8.73763e-05 -17 *318:A_N *379:D 2.85234e-05 -18 *318:A_N *124:6 0.00035041 -19 *323:A *129:10 0.000314519 -20 *324:A_N *391:A 9.41642e-05 -21 *367:RESET_B *367:D 2.7601e-05 -22 *367:RESET_B *117:13 0.000121245 -23 *368:RESET_B *368:D 6.45693e-05 -24 *368:RESET_B *117:13 6.3494e-05 -25 *116:35 *120:38 0.000112427 -26 *116:35 *129:10 2.06112e-05 -27 *116:48 *117:13 0.000205438 -28 *116:76 *145:25 0.00021274 -29 serial_clock_out *116:76 0.000113391 -30 *203:DIODE *323:A 0.000316895 -31 *203:DIODE *116:35 1.17134e-05 -32 *204:DIODE *369:RESET_B 2.7601e-05 -33 *317:B *317:A 2.59355e-05 -34 *318:B *318:A_N 0.000142703 -35 *323:B *323:A 6.45059e-05 -36 *326:B *116:76 0.000404016 -37 *354:SET_B *318:A_N 5.49995e-05 -38 *371:RESET_B *116:35 0.000119484 -39 *430:A *116:76 9.13886e-05 -40 *1:16 *116:38 2.15536e-05 -41 *1:16 *116:76 0.000283095 -42 *3:16 *317:A 0.000264533 -43 *7:14 *324:A_N 1.65169e-05 -44 *7:28 *323:A 0.000151793 -45 *8:19 *116:38 0.000132503 -46 *8:19 *116:76 6.48889e-05 -47 *9:13 *367:RESET_B 0.000112622 -48 *9:13 *368:RESET_B 6.51343e-05 -49 *9:13 *116:48 0.000197452 -50 *12:32 *323:A 4.3304e-06 -51 *13:13 *116:35 0.000233128 -52 *13:20 *116:35 5.87752e-05 -53 *34:11 *116:76 0.000125506 -54 *39:8 *323:A 2.95944e-05 -55 *42:23 *317:A 0.000168201 -56 *42:23 *318:A_N 0.000225784 -57 *93:8 *116:35 0.000147552 -58 *109:22 *317:A 9.80446e-05 -*RES -1 *391:X *116:4 9.3 -2 *116:4 *324:A_N 11.925 -3 *116:4 *116:15 4.5 -4 *116:15 *323:A 27.3536 -5 *116:15 *116:35 14.3655 -6 *116:35 *116:38 3.63717 -7 *116:38 *368:RESET_B 6.67857 -8 *116:38 *116:48 2.70536 -9 *116:48 *369:RESET_B 5.79464 -10 *116:48 *367:RESET_B 7.4375 -11 *116:35 *116:76 6.77677 -12 *116:76 *317:A 20.8804 -13 *116:76 *318:A_N 21.5946 -*END - -*D_NET *117 0.0164187 -*CONN -*I *328:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *366:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *391:A I *D sky130_fd_sc_hd__buf_2 -*I *327:A I *D sky130_fd_sc_hd__or2_0 -*I *392:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *328:A_N 0.000982795 -2 *366:RESET_B 0.00148401 -3 *391:A 0.000605969 -4 *327:A 0.000132377 -5 *392:X 0 -6 *117:13 0.00143297 -7 *117:4 0.00119584 -8 *328:A_N *366:CLK 0.000105143 -9 *328:A_N *367:D 0.000147017 -10 *328:A_N *409:A 0.000343649 -11 *328:A_N *118:34 3.69047e-06 -12 *328:A_N *164:15 0.000342415 -13 *328:A_N *165:18 0.000116545 -14 *366:RESET_B *367:D 5.33005e-05 -15 *366:RESET_B *369:D 3.22874e-05 -16 *366:RESET_B *392:A 2.23592e-05 -17 *366:RESET_B *125:13 9.6535e-06 -18 *366:RESET_B *138:9 4.22986e-05 -19 *366:RESET_B *144:9 0.000346198 -20 *391:A *393:A 0.00104739 -21 *391:A *122:44 8.89083e-05 -22 *117:13 *367:D 0.000219366 -23 *117:13 *392:A 2.59355e-05 -24 *117:13 *164:15 1.98346e-05 -25 serial_data_out *366:RESET_B 8.48784e-05 -26 *324:A_N *391:A 9.41642e-05 -27 *327:B *327:A 5.66971e-05 -28 *347:A *328:A_N 5.33005e-05 -29 *354:RESET_B *328:A_N 0.000276493 -30 *359:SET_B *328:A_N 0.00115571 -31 *367:RESET_B *117:13 0.000121245 -32 *368:RESET_B *117:13 6.3494e-05 -33 *5:28 *327:A 0.000253908 -34 *5:28 *117:13 0.000352147 -35 *7:12 *391:A 0.00163604 -36 *7:14 *391:A 0.000126332 -37 *9:13 *117:13 0.000119077 -38 *9:24 *391:A 0.000523266 -39 *10:21 *117:13 0.000766796 -40 *11:19 *366:RESET_B 0 -41 *13:13 *366:RESET_B 1.05998e-05 -42 *38:8 *366:RESET_B 0.000722091 -43 *93:8 *117:13 0.000103211 -44 *94:60 *117:13 0.000166317 -45 *97:15 *328:A_N 0.000646454 -46 *103:8 *328:A_N 8.112e-05 -47 *116:48 *117:13 0.000205438 -*RES -1 *392:X *117:4 9.3 -2 *117:4 *117:13 27.2143 -3 *117:13 *327:A 12.3 -4 *117:13 *391:A 42.2286 -5 *117:4 *366:RESET_B 19.3957 -6 *366:RESET_B *328:A_N 43.925 -*END - -*D_NET *118 0.0165603 -*CONN -*I *320:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *322:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *376:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *330:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *321:A I *D sky130_fd_sc_hd__or2_0 -*I *375:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *319:A I *D sky130_fd_sc_hd__or2_0 -*I *393:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *320:A_N 0 -2 *322:A_N 0.000932707 -3 *376:RESET_B 0 -4 *330:A_N 6.79276e-05 -5 *321:A 0.000373455 -6 *375:RESET_B 0.000343294 -7 *319:A 0.000121505 -8 *393:X 0.00108463 -9 *118:58 0.00082375 -10 *118:48 0.000505296 -11 *118:45 0.00119888 -12 *118:34 0.001792 -13 *118:9 0.00279809 -14 *319:A *130:18 0 -15 *321:A *433:A 0.000106264 -16 *321:A *119:33 5.33005e-05 -17 *322:A_N *355:D 5.23296e-05 -18 *375:RESET_B *375:CLK 4.82865e-05 -19 *375:RESET_B *375:D 0.000102445 -20 *118:34 *377:RESET_B 6.53397e-05 -21 *118:34 *378:CLK 0.000368624 -22 *118:34 *399:A 6.87975e-06 -23 *118:34 *145:25 0.000108827 -24 *118:34 *146:7 2.54976e-05 -25 *118:34 *158:47 0.000391037 -26 *118:45 *355:D 1.58163e-05 -27 *118:45 *124:6 9.48356e-05 -28 *118:48 *134:8 0.000280001 -29 *118:58 *134:8 0.000282974 -30 *192:DIODE *330:A_N 2.2324e-05 -31 *316:B *321:A 5.49489e-05 -32 *320:B *118:34 9.11048e-06 -33 *321:B *321:A 0.000147718 -34 *322:B *322:A_N 9.40409e-05 -35 *322:B *118:45 3.51224e-06 -36 *328:A_N *118:34 3.69047e-06 -37 *354:RESET_B *118:34 0.000228066 -38 *355:RESET_B *322:A_N 0.000125355 -39 *355:SET_B *375:RESET_B 0.000373992 -40 *355:SET_B *118:34 4.47553e-05 -41 *359:SET_B *118:34 8.79458e-05 -42 *423:A *321:A 0.000230629 -43 *426:A *118:58 7.80059e-05 -44 *429:A *319:A 9.54798e-06 -45 *1:16 *118:9 0.00027029 -46 *2:22 *321:A 4.58194e-05 -47 *2:22 *330:A_N 3.97677e-05 -48 *2:22 *118:34 5.59032e-05 -49 *2:22 *118:58 0.000351157 -50 *2:33 *330:A_N 9.41642e-05 -51 *3:16 *118:34 7.67491e-05 -52 *5:11 *118:9 0.000266363 -53 *8:15 *319:A 2.05484e-05 -54 *11:19 *319:A 0 -55 *41:45 *118:48 1.1594e-05 -56 *41:45 *118:58 0.000284496 -57 *42:23 *118:45 9.33179e-05 -58 *42:23 *118:48 0.000164914 -59 *61:10 *118:34 2.61076e-05 -60 *100:22 *321:A 9.58689e-05 -61 *100:22 *330:A_N 0.000206863 -62 *103:8 *118:34 0.000614245 -63 *105:17 *321:A 0.00020653 -64 *105:17 *118:58 7.80059e-05 -*RES -1 *393:X *118:9 32.9786 -2 *118:9 *319:A 20.2464 -3 *118:9 *118:34 45.8214 -4 *118:34 *375:RESET_B 4.59821 -5 *375:RESET_B *118:45 11.2857 -6 *118:45 *118:48 8.26786 -7 *118:48 *118:58 21.7143 -8 *118:58 *321:A 28.5143 -9 *118:58 *330:A_N 11.4786 -10 *118:48 *376:RESET_B 4.5 -11 *118:45 *322:A_N 20.0143 -12 *118:34 *320:A_N 9.3 -*END - -*D_NET *119 0.0234023 -*CONN -*I *378:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *315:A I *D sky130_fd_sc_hd__or2_0 -*I *377:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *332:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *331:A I *D sky130_fd_sc_hd__or2_0 -*I *333:A I *D sky130_fd_sc_hd__or2_0 -*I *316:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *394:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *378:RESET_B 0.000379171 -2 *315:A 0.000570547 -3 *377:RESET_B 0.00037545 -4 *332:A_N 0.000237128 -5 *331:A 8.9089e-05 -6 *333:A 0.00083128 -7 *316:A_N 3.36392e-05 -8 *394:X 0.00162761 -9 *119:102 0.00131129 -10 *119:86 0.00288078 -11 *119:38 0.0011052 -12 *119:33 0.000646995 -13 *119:18 0.000258675 -14 *119:16 0.00377137 -15 *316:A_N *143:11 1.58163e-05 -16 *332:A_N *143:11 1.96616e-05 -17 *333:A *433:A 0.00013824 -18 *377:RESET_B *377:D 8.92098e-05 -19 *377:RESET_B *145:25 0.000551325 -20 *378:RESET_B *362:D 0.00020171 -21 *378:RESET_B *379:D 4.67709e-05 -22 *378:RESET_B *144:9 0 -23 *378:RESET_B *164:15 3.78816e-05 -24 *119:16 *123:23 9.58632e-05 -25 *119:16 *124:6 0.000516121 -26 *119:16 *159:15 0.000116674 -27 *119:16 *159:43 0.000144765 -28 *119:16 *173:15 0.000478091 -29 *119:33 *143:11 2.42298e-05 -30 *119:38 *433:A 0.000163922 -31 *119:86 *123:23 0.000233334 -32 *119:86 *124:6 1.08716e-05 -33 *119:102 *145:25 0.000303613 -34 pad_gpio_dm[1] *119:86 8.57736e-06 -35 serial_clock_out *119:16 2.16573e-05 -36 serial_clock_out *119:86 0.00021905 -37 serial_clock_out *119:102 0.000132282 -38 *175:DIODE *119:16 8.80457e-05 -39 *176:DIODE *333:A 0.000263707 -40 *176:DIODE *119:38 0.000237049 -41 *200:DIODE *119:16 5.33005e-05 -42 *201:DIODE *316:A_N 6.05161e-06 -43 *201:DIODE *119:33 0.000108566 -44 *312:A2 *378:RESET_B 0 -45 *314:A *331:A 9.94524e-05 -46 *315:B *315:A 0.000360209 -47 *316:B *332:A_N 1.52217e-05 -48 *316:B *119:33 0.000171034 -49 *321:A *119:33 5.33005e-05 -50 *333:B *333:A 2.83425e-05 -51 *334:B *333:A 7.58841e-05 -52 *353:RESET_B *315:A 4.37451e-05 -53 *353:SET_B *119:33 5.33005e-05 -54 *355:RESET_B *119:102 8.6229e-06 -55 *356:RESET_B *332:A_N 1.32293e-05 -56 *356:RESET_B *119:33 5.66971e-05 -57 *361:SET_B *332:A_N 2.6269e-05 -58 *361:SET_B *378:RESET_B 0.000115315 -59 *361:SET_B *119:38 1.00887e-05 -60 *361:SET_B *119:102 0.000231813 -61 *363:SET_B *119:16 0.000347922 -62 *3:24 *332:A_N 5.33005e-05 -63 *3:29 *332:A_N 0.000135028 -64 *3:29 *119:38 4.94175e-05 -65 *4:27 *119:16 4.53378e-05 -66 *9:24 *119:16 0.000346253 -67 *9:38 *119:16 1.51571e-05 -68 *24:9 *331:A 8.95645e-05 -69 *34:11 *377:RESET_B 0.000557649 -70 *34:11 *119:102 0.000539618 -71 *41:45 *119:16 0.000538962 -72 *41:45 *119:86 1.94945e-05 -73 *42:23 *315:A 1.65183e-05 -74 *53:10 *315:A 2.18087e-05 -75 *53:10 *378:RESET_B 0.000205256 -76 *53:10 *119:102 0.0001034 -77 *67:7 *333:A 5.49995e-05 -78 *67:10 *333:A 7.74068e-05 -79 *101:14 *315:A 7.53759e-05 -80 *103:8 *377:RESET_B 6.69218e-05 -81 *109:22 *377:RESET_B 8.20109e-05 -82 *111:19 *377:RESET_B 8.84306e-05 -83 *118:34 *377:RESET_B 6.53397e-05 -*RES -1 *394:X *119:16 47.0321 -2 *119:16 *119:18 4.5 -3 *119:18 *316:A_N 9.83571 -4 *119:18 *119:33 5.57143 -5 *119:33 *119:38 9.60714 -6 *119:38 *333:A 35.2643 -7 *119:38 *331:A 15.9964 -8 *119:33 *332:A_N 13.6929 -9 *119:16 *119:86 14.1524 -10 *119:86 *377:RESET_B 12.2685 -11 *119:86 *119:102 7.51855 -12 *119:102 *315:A 22.9875 -13 *119:102 *378:RESET_B 13.25 -*END - -*D_NET *120 0.0133529 -*CONN -*I *379:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *381:A I *D sky130_fd_sc_hd__buf_2 -*I *334:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *395:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *379:RESET_B 0.000922493 -2 *381:A 0 -3 *334:A_N 2.04426e-05 -4 *395:X 0.000441088 -5 *120:38 0.00235946 -6 *120:22 0.00281563 -7 *120:8 0.00184019 -8 *379:RESET_B *346:A 0 -9 *379:RESET_B *393:A 0.000433559 -10 *379:RESET_B *159:62 0 -11 *120:8 *395:A 7.25873e-06 -12 *120:38 *159:8 0.000219758 -13 *120:38 *159:62 0.000436735 -14 pad_gpio_out *120:22 9.7497e-05 -15 pad_gpio_vtrip_sel *379:RESET_B 1.19071e-05 -16 pad_gpio_vtrip_sel *120:38 6.23252e-06 -17 resetn_out *379:RESET_B 7.14196e-06 -18 *210:DIODE *120:8 2.73164e-05 -19 *212:DIODE *120:8 5.16626e-05 -20 *321:B *120:22 0.000758265 -21 *334:B *120:8 0.000192548 -22 *337:A *120:38 0.00015906 -23 *337:B *120:38 5.33005e-05 -24 *364:RESET_B *120:38 0.000305883 -25 *371:RESET_B *120:38 0.000523777 -26 *385:A *120:38 0.000175892 -27 *420:A *120:8 0.000591809 -28 *420:A *120:22 0.000517834 -29 *426:A *120:22 5.50052e-05 -30 *4:44 *120:8 2.50593e-05 -31 *5:28 *379:RESET_B 0 -32 *7:12 *379:RESET_B 0 -33 *13:20 *120:38 8.57736e-06 -34 *24:9 *334:A_N 2.59355e-05 -35 *67:10 *120:8 0 -36 *67:10 *120:22 0 -37 *68:7 *334:A_N 5.33005e-05 -38 *99:8 *120:8 0 -39 *111:19 *120:38 9.58632e-05 -40 *116:35 *120:38 0.000112427 -*RES -1 *395:X *120:8 23.9786 -2 *120:8 *334:A_N 14.3357 -3 *120:8 *120:22 28.6964 -4 *120:22 *381:A 9.3 -5 *120:22 *120:38 34.3447 -6 *120:38 *379:RESET_B 22.9911 -*END - -*D_NET *121 0.00142761 -*CONN -*I *314:B I *D sky130_fd_sc_hd__and2_2 -*I *380:A I *D sky130_fd_sc_hd__buf_2 -*I *414:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *314:B 0.00027019 -2 *380:A 7.47893e-05 -3 *414:X 6.89069e-05 -4 *121:7 0.000413886 -5 *314:B *329:A 0 -6 *314:B *396:A 3.70434e-05 -7 *380:A *396:A 1.21258e-05 -8 *380:A *397:A 3.8624e-05 -9 *380:A *123:23 2.93922e-05 -10 *121:7 *396:A 3.2033e-05 -11 *4:27 *380:A 9.66977e-05 -12 *4:44 *380:A 9.58689e-05 -13 *4:44 *121:7 0.000115352 -14 *5:40 *314:B 4.3588e-05 -15 *99:8 *314:B 9.91111e-05 -*RES -1 *414:X *121:7 11.0857 -2 *121:7 *380:A 11.4964 -3 *121:7 *314:B 23.5857 -*END - -*D_NET *122 0.0199775 -*CONN -*I *395:A I *D sky130_fd_sc_hd__buf_2 -*I *190:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *329:A I *D sky130_fd_sc_hd__or2_0 -*I *207:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *206:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *394:A I *D sky130_fd_sc_hd__buf_2 -*I *393:A I *D sky130_fd_sc_hd__buf_2 -*I *205:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *396:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *395:A 7.15574e-05 -2 *190:DIODE 0 -3 *329:A 0.000859229 -4 *207:DIODE 0 -5 *206:DIODE 0.000367193 -6 *394:A 0 -7 *393:A 0.00104732 -8 *205:DIODE 0.000106629 -9 *396:X 2.37855e-05 -10 *122:44 0.0019149 -11 *122:33 0.00121005 -12 *122:30 0.000941726 -13 *122:19 0.00104164 -14 *122:15 0.0015882 -15 *122:11 0.0017671 -16 *122:7 0.000174 -17 *122:5 0.000246727 -18 *206:DIODE *159:21 6.05161e-06 -19 *206:DIODE *159:27 1.98839e-05 -20 *206:DIODE *159:43 0.000254284 -21 *393:A *363:D 9.36454e-05 -22 *122:7 *396:A 0.000100165 -23 *122:15 *417:A 0.00114731 -24 *122:15 *173:15 0 -25 *122:19 *417:A 0.000143375 -26 *122:33 *159:15 7.8388e-05 -27 *122:33 *159:21 7.34627e-05 -28 *122:44 *159:15 0.000194816 -29 zero *122:15 0.000237484 -30 *185:DIODE *122:30 0.000146853 -31 *188:DIODE *205:DIODE 4.7812e-05 -32 *191:DIODE *329:A 6.09764e-05 -33 *196:DIODE *329:A 0.000174366 -34 *196:DIODE *122:15 9.86082e-05 -35 *208:DIODE *329:A 2.2443e-05 -36 *208:DIODE *122:7 9.58689e-05 -37 *208:DIODE *122:11 9.66977e-05 -38 *208:DIODE *122:15 0.000124439 -39 *209:DIODE *205:DIODE 5.2254e-05 -40 *209:DIODE *122:19 0.000324143 -41 *314:B *329:A 0 -42 *329:B *329:A 6.02449e-05 -43 *360:RESET_B *329:A 1.32056e-05 -44 *372:RESET_B *393:A 5.48299e-05 -45 *379:RESET_B *393:A 0.000433559 -46 *391:A *393:A 0.00104739 -47 *391:A *122:44 8.89083e-05 -48 *419:A *329:A 0.00103494 -49 *425:A *329:A 1.00332e-05 -50 *429:A *393:A 7.65779e-05 -51 *3:24 *329:A 0.000376153 -52 *3:37 *329:A 0.000178162 -53 *5:40 *329:A 1.71144e-05 -54 *5:40 *122:7 4.08637e-05 -55 *5:40 *122:11 9.66977e-05 -56 *7:12 *393:A 0.000469337 -57 *29:7 *329:A 4.22431e-05 -58 *65:12 *329:A 0.000154931 -59 *94:8 *122:30 4.51198e-05 -60 *94:17 *122:30 3.39905e-05 -61 *99:8 *329:A 0 -62 *114:13 *393:A 1.73066e-05 -63 *114:13 *122:44 0.000651917 -64 *114:31 *393:A 7.33056e-05 -65 *120:8 *395:A 7.25873e-06 -*RES -1 *396:X *122:5 9.72857 -2 *122:5 *122:7 3.41071 -3 *122:7 *122:11 5.44643 -4 *122:11 *122:15 18.4643 -5 *122:15 *122:19 10 -6 *122:19 *205:DIODE 11.4786 -7 *122:19 *122:30 13.6786 -8 *122:30 *122:33 4.55357 -9 *122:33 *122:44 26.0982 -10 *122:44 *393:A 43.0054 -11 *122:33 *394:A 9.3 -12 *122:30 *206:DIODE 14.3536 -13 *122:15 *207:DIODE 9.3 -14 *122:11 *329:A 35.4429 -15 *122:7 *190:DIODE 9.3 -16 *122:5 *395:A 10.675 -*END - -*D_NET *123 0.0170042 -*CONN -*I *396:A I *D sky130_fd_sc_hd__buf_2 -*I *390:A I *D sky130_fd_sc_hd__buf_2 -*I *392:A I *D sky130_fd_sc_hd__buf_2 -*I *397:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *396:A 0.000354931 -2 *390:A 2.55363e-05 -3 *392:A 0.00113591 -4 *397:X 0 -5 *123:34 0.00184345 -6 *123:23 0.00339688 -7 *123:4 0.0030698 -8 *390:A *378:CLK 9.66977e-05 -9 *392:A *367:D 1.34548e-05 -10 *392:A *378:CLK 0.000154519 -11 *392:A *138:9 0.000210174 -12 *392:A *164:15 7.19623e-05 -13 *123:23 *397:A 0.000389683 -14 *123:23 *434:A 0.000575652 -15 *123:23 *154:10 0.000984529 -16 *123:23 *159:43 6.36036e-05 -17 *123:23 *173:15 0.000202697 -18 *123:34 *409:A 9.41642e-05 -19 *123:34 *133:21 2.79421e-05 -20 pad_gpio_ana_pol *123:23 0.000135763 -21 *314:B *396:A 3.70434e-05 -22 *317:B *123:34 6.30931e-05 -23 *319:B *392:A 0.000526026 -24 *326:B *123:23 4.8817e-05 -25 *354:RESET_B *123:34 0.000154295 -26 *366:RESET_B *392:A 2.23592e-05 -27 *380:A *396:A 1.21258e-05 -28 *380:A *123:23 2.93922e-05 -29 *429:A *392:A 1.9774e-05 -30 *3:16 *123:34 0.000377134 -31 *4:17 *123:34 0.000990606 -32 *5:40 *396:A 7.11037e-05 -33 *8:15 *392:A 0.000326069 -34 *11:19 *392:A 5.96516e-05 -35 *11:19 *123:34 0.000101245 -36 *15:10 *396:A 5.5333e-05 -37 *32:12 *123:23 0.000253109 -38 *53:10 *392:A 2.36104e-05 -39 *99:8 *396:A 0.000404041 -40 *115:15 *390:A 4.35597e-05 -41 *115:15 *392:A 1.24368e-05 -42 *115:15 *123:34 3.87173e-05 -43 *117:13 *392:A 2.59355e-05 -44 *119:16 *123:23 9.58632e-05 -45 *119:86 *123:23 0.000233334 -46 *121:7 *396:A 3.2033e-05 -47 *122:7 *396:A 0.000100165 -*RES -1 *397:X *123:4 9.3 -2 *123:4 *123:23 47.1486 -3 *123:23 *123:34 32.8296 -4 *123:34 *392:A 37.05 -5 *123:34 *390:A 10.2464 -6 *123:4 *396:A 26.1929 -*END - -*D_NET *124 0.00759325 -*CONN -*I *353:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *305:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *353:CLK_N 0.000152613 -2 *305:Y 0.001043 -3 *124:6 0.00119562 -4 *353:CLK_N *367:D 0.000178425 -5 *353:CLK_N *407:A 0.000216626 -6 *353:CLK_N *164:15 8.23431e-05 -7 *124:6 *378:D 0.000145457 -8 *124:6 *409:A 6.4857e-05 -9 *124:6 *149:10 0.000119653 -10 serial_clock_out *124:6 0.00212317 -11 *201:DIODE *124:6 0.000337608 -12 *317:A *124:6 0.000168201 -13 *318:A_N *124:6 0.00035041 -14 *41:45 *124:6 3.02267e-05 -15 *42:23 *124:6 0.000763207 -16 *115:15 *124:6 0 -17 *118:45 *124:6 9.48356e-05 -18 *119:16 *124:6 0.000516121 -19 *119:86 *124:6 1.08716e-05 -*RES -1 *305:Y *124:6 47.3179 -2 *124:6 *353:CLK_N 18.0321 -*END - -*D_NET *125 0.0075163 -*CONN -*I *354:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *341:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *354:CLK_N 0 -2 *341:Y 0 -3 *125:13 0.00165532 -4 *125:5 0.00165532 -5 *125:13 *354:D 1.94879e-05 -6 *125:13 *357:D 0.00022657 -7 *125:13 *370:D 0.000306995 -8 *125:13 *379:D 6.57032e-05 -9 *125:13 *130:18 6.94829e-05 -10 *125:13 *138:9 0.000158755 -11 *185:DIODE *125:13 0.000131967 -12 *357:SET_B *125:13 0.000230676 -13 *366:RESET_B *125:13 9.6535e-06 -14 *383:A *125:13 0.000132838 -15 *429:A *125:13 1.21258e-05 -16 *7:28 *125:13 4.04224e-05 -17 *12:32 *125:13 0.00101089 -18 *34:11 *125:13 0.000955932 -19 *38:8 *125:13 0.000126007 -20 *94:17 *125:13 0.000615917 -21 *94:26 *125:13 9.22338e-05 -*RES -1 *341:Y *125:5 13.8 -2 *125:5 *125:13 47.8393 -3 *125:13 *354:CLK_N 9.3 -*END - -*D_NET *126 0.00586748 -*CONN -*I *355:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *342:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *355:CLK_N 0.00200873 -2 *342:Y 0.00200873 -3 *355:CLK_N *358:D 9.18347e-05 -4 *355:CLK_N *371:D 4.35597e-05 -5 *322:B *355:CLK_N 3.50949e-06 -6 *342:A *355:CLK_N 8.24167e-05 -7 *358:SET_B *355:CLK_N 0.00080159 -8 *427:A *355:CLK_N 9.58632e-05 -9 *9:13 *355:CLK_N 0.000686558 -10 *59:15 *355:CLK_N 4.46936e-05 -*RES -1 *342:Y *355:CLK_N 44.1 -*END - -*D_NET *127 0.00253328 -*CONN -*I *356:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *343:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *356:CLK_N 0.00061507 -2 *343:Y 0.00061507 -3 *356:CLK_N *411:A 0.000105471 -4 *36:11 *356:CLK_N 0.000501666 -5 *41:15 *356:CLK_N 6.22482e-05 -6 *41:45 *356:CLK_N 0.000134489 -7 *97:15 *356:CLK_N 0.000499269 -*RES -1 *343:Y *356:CLK_N 39.5643 -*END - -*D_NET *128 0.00759296 -*CONN -*I *357:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *344:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *357:CLK_N 5.50001e-05 -2 *344:Y 0.00101501 -3 *128:6 0.00107001 -4 *357:CLK_N *409:A 4.38942e-05 -5 *128:6 *138:9 0.0026931 -6 *204:DIODE *357:CLK_N 6.94952e-05 -7 *383:A *128:6 0.000508261 -8 *34:11 *128:6 4.27295e-05 -9 *38:8 *128:6 4.6355e-05 -10 *94:17 *128:6 0.00123917 -11 *94:26 *128:6 0.000323379 -12 *94:37 *128:6 0.000254174 -13 *94:60 *128:6 0.000232377 -*RES -1 *344:Y *128:6 49.4429 -2 *128:6 *357:CLK_N 15.1571 -*END - -*D_NET *129 0.00569835 -*CONN -*I *358:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *345:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *358:CLK_N 0 -2 *345:Y 0.000955056 -3 *129:10 0.000955056 -4 *129:10 *138:9 0.000268254 -5 *200:DIODE *129:10 9.96553e-05 -6 *203:DIODE *129:10 9.3151e-05 -7 *323:A *129:10 0.000314519 -8 *336:A_N *129:10 1.03904e-05 -9 *345:A *129:10 5.6647e-05 -10 *384:A *129:10 0.00157161 -11 *385:A *129:10 0.000217505 -12 *10:26 *129:10 1.33329e-05 -13 *10:29 *129:10 0.000428559 -14 *93:8 *129:10 0.000694009 -15 *116:35 *129:10 2.06112e-05 -*RES -1 *345:Y *129:10 47.1929 -2 *129:10 *358:CLK_N 9.3 -*END - -*D_NET *130 0.00424176 -*CONN -*I *359:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *346:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *359:CLK_N 0 -2 *346:Y 0.00108631 -3 *130:18 0.00108631 -4 *130:18 *346:A 0.000743124 -5 *130:18 *354:D 0 -6 *130:18 *366:D 9.33486e-05 -7 *130:18 *133:21 5.69684e-05 -8 *319:A *130:18 0 -9 *319:B *130:18 0.000173182 -10 *357:SET_B *130:18 0.000216974 -11 *429:A *130:18 9.8396e-05 -12 *430:A *130:18 0.000482546 -13 *8:15 *130:18 0.000103056 -14 *11:19 *130:18 3.20731e-05 -15 *12:11 *130:18 0 -16 *125:13 *130:18 6.94829e-05 -*RES -1 *346:Y *130:18 43.5321 -2 *130:18 *359:CLK_N 9.3 -*END - -*D_NET *131 0.00386908 -*CONN -*I *360:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *347:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *360:CLK_N 0.000972102 -2 *347:Y 0.000972102 -3 *360:CLK_N *360:D 0.000139842 -4 *347:A *360:CLK_N 0.000819443 -5 *360:SET_B *360:CLK_N 0.000105852 -6 *361:SET_B *360:CLK_N 6.42168e-05 -7 *425:A *360:CLK_N 1.42117e-05 -8 *1:27 *360:CLK_N 0.000781306 -*RES -1 *347:Y *360:CLK_N 46.8857 -*END - -*D_NET *132 0.00401514 -*CONN -*I *397:A I *D sky130_fd_sc_hd__buf_2 -*I *415:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *397:A 0.00118885 -2 *415:X 0.00118885 -3 *397:A *433:A 0.000471146 -4 *397:A *134:8 0 -5 *397:A *173:15 7.02212e-05 -6 *175:DIODE *397:A 0 -7 *380:A *397:A 3.8624e-05 -8 *415:A *397:A 0.000178425 -9 *419:A *397:A 0.000223662 -10 *423:A *397:A 6.05161e-06 -11 *4:27 *397:A 9.76435e-06 -12 *39:8 *397:A 0.000249867 -13 *123:23 *397:A 0.000389683 -*RES -1 *415:X *397:A 47.6179 -*END - -*D_NET *133 0.00899123 -*CONN -*I *361:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *348:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *361:CLK_N 0 -2 *348:Y 0.000190928 -3 *133:21 0.00163404 -4 *133:10 0.00182497 -5 *133:21 *361:D 2.85234e-05 -6 *133:21 *366:D 0.00173476 -7 *133:21 *409:A 7.69978e-05 -8 *133:21 *135:15 0.00124254 -9 *133:21 *151:6 7.36697e-05 -10 *133:21 *165:18 8.03927e-05 -11 *185:DIODE *133:10 4.85758e-05 -12 *185:DIODE *133:21 5.60463e-06 -13 *200:DIODE *133:10 5.50052e-05 -14 *326:B *133:21 0.000150264 -15 *357:RESET_B *133:21 0.000115507 -16 *357:SET_B *133:21 0.000136418 -17 *430:A *133:21 0.000132513 -18 *9:38 *133:10 0.000144732 -19 *12:32 *133:10 0.000117016 -20 *12:32 *133:21 0.000518423 -21 *105:17 *133:21 0.000506539 -22 *113:8 *133:21 8.89024e-05 -23 *123:34 *133:21 2.79421e-05 -24 *130:18 *133:21 5.69684e-05 -*RES -1 *348:Y *133:10 18.0589 -2 *133:10 *133:21 48.6696 -3 *133:21 *361:CLK_N 9.3 -*END - -*D_NET *134 0.0073478 -*CONN -*I *362:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *349:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *362:CLK_N 5.53291e-05 -2 *349:Y 0.00129397 -3 *134:8 0.0013493 -4 *362:CLK_N *379:D 5.33005e-05 -5 *134:8 *379:D 2.95642e-05 -6 *175:DIODE *134:8 0.000863881 -7 *178:DIODE *134:8 0.000141711 -8 *318:B *134:8 0.000782023 -9 *353:SET_B *134:8 0.00197879 -10 *365:RESET_B *134:8 0.000146261 -11 *397:A *134:8 0 -12 *423:A *134:8 0 -13 *41:45 *134:8 5.21738e-05 -14 *42:23 *134:8 3.8528e-05 -15 *53:10 *134:8 0 -16 *118:48 *134:8 0.000280001 -17 *118:58 *134:8 0.000282974 -*RES -1 *349:Y *134:8 49.9786 -2 *134:8 *362:CLK_N 14.7464 -*END - -*D_NET *135 0.00459651 -*CONN -*I *363:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *350:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *363:CLK_N 0 -2 *350:Y 0.00111585 -3 *135:15 0.00111585 -4 *135:15 *363:D 0.000178425 -5 *135:15 *364:D 0.000381679 -6 *135:15 *435:A 5.5179e-05 -7 *135:15 *151:6 0.000335947 -8 *345:A *135:15 1.34631e-05 -9 *7:28 *135:15 7.27183e-05 -10 *12:32 *135:15 3.03305e-05 -11 *35:7 *135:15 5.45307e-05 -12 *133:21 *135:15 0.00124254 -*RES -1 *350:Y *135:15 43.1214 -2 *135:15 *363:CLK_N 9.3 -*END - -*D_NET *136 0.00275139 -*CONN -*I *364:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *351:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *364:CLK_N 0.000932888 -2 *351:Y 0.000932888 -3 *364:CLK_N *364:D 5.8946e-05 -4 *364:CLK_N *143:11 4.11922e-05 -5 *182:DIODE *364:CLK_N 0.000439515 -6 *324:B *364:CLK_N 2.04681e-05 -7 *364:RESET_B *364:CLK_N 3.04311e-05 -8 *364:SET_B *364:CLK_N 1.10909e-05 -9 *422:A *364:CLK_N 0.000147718 -10 *4:17 *364:CLK_N 0.00013625 -*RES -1 *351:Y *364:CLK_N 42.1536 -*END - -*D_NET *137 0.00288306 -*CONN -*I *365:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *352:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *365:CLK_N 0.000575188 -2 *352:Y 0.000575188 -3 *365:CLK_N *435:A 0.000376759 -4 *365:CLK_N *158:7 5.33005e-05 -5 *182:DIODE *365:CLK_N 4.2628e-05 -6 *35:7 *365:CLK_N 3.11088e-05 -7 *103:8 *365:CLK_N 0.000917729 -8 *111:19 *365:CLK_N 0.000311157 -*RES -1 *352:Y *365:CLK_N 43.2786 -*END - -*D_NET *138 0.00866613 -*CONN -*I *379:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *306:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *379:CLK 0 -2 *306:Y 0 -3 *138:9 0.00141887 -4 *138:5 0.00141887 -5 *138:9 *354:D 9.58189e-06 -6 *138:9 *379:D 1.47872e-05 -7 *138:9 *410:A 0.000351207 -8 *138:9 *147:11 7.16611e-05 -9 *366:RESET_B *138:9 4.22986e-05 -10 *384:A *138:9 0.00157974 -11 *392:A *138:9 0.000210174 -12 *429:A *138:9 6.82912e-05 -13 *8:15 *138:9 0.000162304 -14 *38:8 *138:9 0.000100418 -15 *93:8 *138:9 8.61547e-06 -16 *94:17 *138:9 8.92073e-05 -17 *125:13 *138:9 0.000158755 -18 *128:6 *138:9 0.0026931 -19 *129:10 *138:9 0.000268254 -*RES -1 *306:Y *138:5 13.8 -2 *138:5 *138:9 49.0893 -3 *138:9 *379:CLK 9.3 -*END - -*D_NET *139 0.00528927 -*CONN -*I *353:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *367:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *399:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *353:D 0 -2 *367:D 0.00162677 -3 *399:X 0.000199199 -4 *139:10 0.00182597 -5 *367:D *366:CLK 0.000397635 -6 *367:D *407:A 2.8078e-05 -7 *367:D *164:15 0.000108353 -8 *139:10 *379:D 0.0001802 -9 *328:A_N *367:D 0.000147017 -10 *353:CLK_N *367:D 0.000178425 -11 *366:RESET_B *367:D 5.33005e-05 -12 *367:RESET_B *367:D 2.7601e-05 -13 *392:A *367:D 1.34548e-05 -14 *3:16 *139:10 0.000142206 -15 *4:17 *139:10 0.000141693 -16 *117:13 *367:D 0.000219366 -*RES -1 *399:X *139:10 22.0143 -2 *139:10 *367:D 32.8 -3 *139:10 *353:D 9.3 -*END - -*D_NET *140 0.00485706 -*CONN -*I *356:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *376:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *404:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *356:D 0.000141033 -2 *376:D 0.00124775 -3 *404:X 0.000137856 -4 *140:8 0.00152664 -5 *376:D *343:A 0.000149195 -6 *376:D *376:CLK 1.05731e-05 -7 *376:D *400:A 3.69047e-06 -8 *376:D *411:A 0.000372179 -9 *356:SET_B *356:D 6.12715e-05 -10 *359:SET_B *140:8 9.67754e-05 -11 *425:A *376:D 0.000175892 -12 *41:45 *376:D 2.11419e-05 -13 *67:10 *376:D 0.000111398 -14 *67:10 *140:8 6.65364e-05 -15 *101:14 *376:D 0.000598006 -16 *101:14 *140:8 0.000137127 -*RES -1 *404:X *140:8 16.6929 -2 *140:8 *376:D 33.05 -3 *140:8 *356:D 15.9786 -*END - -*D_NET *141 0.00111711 -*CONN -*I *362:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *405:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *362:D 0.000335309 -2 *405:X 0.000335309 -3 *362:D *379:D 6.29681e-05 -4 *362:D *405:A 3.18747e-05 -5 *378:RESET_B *362:D 0.00020171 -6 *53:10 *362:D 0.000149939 -*RES -1 *405:X *362:D 33.4929 -*END - -*D_NET *142 0.00146009 -*CONN -*I *378:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *361:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *406:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *378:D 0.000320977 -2 *361:D 0.000160896 -3 *406:X 0 -4 *142:4 0.000481873 -5 *378:D *379:D 0 -6 *378:D *409:A 3.47641e-06 -7 *318:A_N *378:D 8.73763e-05 -8 *4:17 *378:D 0 -9 *42:23 *361:D 4.58194e-05 -10 *53:10 *378:D 1.29901e-05 -11 *105:17 *361:D 4.85033e-05 -12 *115:15 *378:D 0.000124194 -13 *124:6 *378:D 0.000145457 -14 *133:21 *361:D 2.85234e-05 -*RES -1 *406:X *142:4 9.3 -2 *142:4 *361:D 12.2107 -3 *142:4 *378:D 25.0321 -*END - -*D_NET *143 0.0106329 -*CONN -*I *366:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *416:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *366:D 0.000780827 -2 *416:X 0.00209512 -3 *143:11 0.00287594 -4 *366:D *366:CLK 7.22686e-05 -5 *366:D *164:15 0.000139338 -6 *143:11 *364:D 2.85234e-05 -7 *143:11 *434:A 1.13443e-05 -8 *311:B *143:11 0.000182671 -9 *313:A1 *143:11 8.22661e-06 -10 *316:A_N *143:11 1.58163e-05 -11 *316:B *143:11 0.000560141 -12 *332:A_N *143:11 1.96616e-05 -13 *361:SET_B *143:11 1.98839e-05 -14 *363:SET_B *366:D 2.53754e-05 -15 *364:CLK_N *143:11 4.11922e-05 -16 *364:RESET_B *143:11 6.94952e-05 -17 *364:SET_B *143:11 4.83516e-05 -18 *3:24 *143:11 1.46231e-05 -19 *3:29 *143:11 1.21258e-05 -20 *12:11 *366:D 0.00100495 -21 *59:15 *366:D 0.000271098 -22 *113:8 *366:D 0.000483581 -23 *119:33 *143:11 2.42298e-05 -24 *130:18 *366:D 9.33486e-05 -25 *133:21 *366:D 0.00173476 -*RES -1 *416:X *143:11 39.1036 -2 *143:11 *366:D 41.4429 -*END - -*D_NET *144 0.00733302 -*CONN -*I *354:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *369:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *407:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *354:D 3.00929e-05 -2 *369:D 0.00101049 -3 *407:X 0.00164364 -4 *144:9 0.00268423 -5 user_gpio_in *369:D 0.000126793 -6 user_gpio_in *144:9 0.000423804 -7 *312:A2 *144:9 3.89994e-05 -8 *366:RESET_B *369:D 3.22874e-05 -9 *366:RESET_B *144:9 0.000346198 -10 *378:RESET_B *144:9 0 -11 *1:16 *369:D 0.00013 -12 *6:7 *369:D 4.7659e-05 -13 *6:27 *369:D 0.000235036 -14 *7:12 *369:D 0.000103605 -15 *13:13 *369:D 3.68477e-05 -16 *38:8 *144:9 0.000414258 -17 *125:13 *354:D 1.94879e-05 -18 *130:18 *354:D 0 -19 *138:9 *354:D 9.58189e-06 -*RES -1 *407:X *144:9 22.8712 -2 *144:9 *369:D 23.2098 -3 *144:9 *354:D 17.7904 -*END - -*D_NET *145 0.00609003 -*CONN -*I *359:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *368:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *408:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *359:D 0 -2 *368:D 0.000787658 -3 *408:X 0.000883441 -4 *145:25 0.0016711 -5 *368:D *410:A 0.000129147 -6 *368:D *146:7 6.61832e-05 -7 *368:D *147:11 8.25843e-06 -8 *368:D *165:18 0.000529205 -9 *145:25 *146:7 0.000118123 -10 *145:25 *165:18 9.40611e-05 -11 serial_clock_out *145:25 0.000368906 -12 *353:SET_B *145:25 9.60337e-06 -13 *354:RESET_B *145:25 2.0067e-05 -14 *368:RESET_B *368:D 6.45693e-05 -15 *377:RESET_B *145:25 0.000551325 -16 *2:22 *145:25 4.81965e-05 -17 *11:19 *145:25 0 -18 *103:8 *145:25 0.000109215 -19 *105:17 *145:25 5.7903e-06 -20 *116:76 *145:25 0.00021274 -21 *118:34 *145:25 0.000108827 -22 *119:102 *145:25 0.000303613 -*RES -1 *408:X *145:25 44.1791 -2 *145:25 *368:D 24.0857 -3 *145:25 *359:D 9.3 -*END - -*D_NET *146 0.00535831 -*CONN -*I *357:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *370:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *409:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *357:D 0.00017022 -2 *370:D 0.000295437 -3 *409:X 0.00103331 -4 *146:7 0.00149897 -5 *357:D *409:A 4.38942e-05 -6 *146:7 *410:A 6.05161e-06 -7 *204:DIODE *357:D 6.05161e-06 -8 *357:SET_B *357:D 0.000235071 -9 *357:SET_B *370:D 0.000303806 -10 *359:SET_B *146:7 0.000501798 -11 *368:D *146:7 6.61832e-05 -12 *370:RESET_B *370:D 0.000116273 -13 *61:10 *370:D 0.000290291 -14 *109:22 *370:D 0.000113772 -15 *118:34 *146:7 2.54976e-05 -16 *125:13 *357:D 0.00022657 -17 *125:13 *370:D 0.000306995 -18 *145:25 *146:7 0.000118123 -*RES -1 *409:X *146:7 26.2464 -2 *146:7 *370:D 23.3357 -3 *146:7 *357:D 18.3179 -*END - -*D_NET *147 0.00234266 -*CONN -*I *358:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *371:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *410:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *358:D 6.83459e-05 -2 *371:D 0.000174485 -3 *410:X 0.000285519 -4 *147:11 0.00052835 -5 *371:D *346:A 4.64067e-05 -6 *371:D *159:62 3.02801e-05 -7 *147:11 *410:A 0.000312842 -8 *342:A *358:D 5.23296e-05 -9 *342:A *371:D 9.35042e-05 -10 *355:CLK_N *358:D 9.18347e-05 -11 *355:CLK_N *371:D 4.35597e-05 -12 *368:D *147:11 8.25843e-06 -13 *427:A *371:D 7.71535e-05 -14 *10:21 *371:D 0.00013063 -15 *10:21 *147:11 0.000133691 -16 *93:8 *371:D 4.45982e-06 -17 *93:8 *147:11 0.000189347 -18 *138:9 *147:11 7.16611e-05 -*RES -1 *410:X *147:11 25.4607 -2 *147:11 *371:D 22.8714 -3 *147:11 *358:D 11.0679 -*END - -*D_NET *148 0.00778599 -*CONN -*I *360:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *377:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *411:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *360:D 0.00026904 -2 *377:D 0.000990276 -3 *411:X 0.000186185 -4 *148:9 0.0014455 -5 *377:D *158:32 0.000111341 -6 *377:D *158:47 0.000175121 -7 *326:B *377:D 0.000116364 -8 *347:A *360:D 9.17062e-05 -9 *355:RESET_B *360:D 6.47405e-05 -10 *356:RESET_B *360:D 5.46855e-05 -11 *360:CLK_N *360:D 0.000139842 -12 *377:RESET_B *377:D 8.92098e-05 -13 *426:A *360:D 0.000270456 -14 *2:22 *377:D 0.000287377 -15 *3:24 *148:9 6.25005e-05 -16 *5:28 *377:D 0.00117164 -17 *5:28 *148:9 0.000335319 -18 *32:12 *377:D 0.000741983 -19 *32:12 *148:9 0.000363202 -20 *65:12 *148:9 6.25005e-05 -21 *105:17 *360:D 0.000450546 -22 *109:22 *377:D 0.000137561 -23 *111:19 *377:D 0.000168889 -*RES -1 *411:X *148:9 18.7175 -2 *148:9 *377:D 28.9974 -3 *148:9 *360:D 25.8439 -*END - -*D_NET *149 0.00244932 -*CONN -*I *355:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *375:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *400:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *355:D 0.000366725 -2 *375:D 0.000105209 -3 *400:X 0.000168429 -4 *149:10 0.000640362 -5 *178:DIODE *355:D 4.26759e-05 -6 *322:A_N *355:D 5.23296e-05 -7 *322:B *355:D 0.000113062 -8 *353:SET_B *355:D 5.11397e-05 -9 *355:SET_B *355:D 0.000158626 -10 *355:SET_B *375:D 1.84523e-06 -11 *358:SET_B *355:D 0.000129147 -12 *358:SET_B *375:D 8.55871e-05 -13 *375:RESET_B *375:D 0.000102445 -14 *42:23 *149:10 0.000118129 -15 *105:17 *355:D 4.3111e-05 -16 *109:22 *149:10 0.000135028 -17 *118:45 *355:D 1.58163e-05 -18 *124:6 *149:10 0.000119653 -*RES -1 *400:X *149:10 21.3 -2 *149:10 *375:D 11.4786 -3 *149:10 *355:D 26.3536 -*END - -*D_NET *150 0.0023799 -*CONN -*I *374:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *365:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *401:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *374:D 0.00037282 -2 *365:D 0.000177294 -3 *401:X 2.04425e-05 -4 *150:7 0.000570557 -5 *365:D *401:A 0.000170438 -6 *374:D *343:A 0.000323432 -7 *374:D *376:CLK 6.76296e-05 -8 *150:7 *401:A 5.33005e-05 -9 *320:B *374:D 6.57032e-05 -10 *347:A *150:7 2.59355e-05 -11 *374:RESET_B *374:D 2.29097e-05 -12 *422:A *365:D 0.000192563 -13 *422:A *374:D 0.000187927 -14 *12:14 *374:D 6.05161e-06 -15 *115:20 *374:D 5.35314e-05 -16 *115:26 *365:D 1.30594e-05 -17 *115:26 *374:D 5.63085e-05 -*RES -1 *401:X *150:7 14.3357 -2 *150:7 *365:D 17.7107 -3 *150:7 *374:D 22.6393 -*END - -*D_NET *151 0.00260298 -*CONN -*I *373:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *364:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *402:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *373:D 2.04426e-05 -2 *364:D 0.000234053 -3 *402:X 0.000143778 -4 *151:6 0.000398274 -5 *357:SET_B *364:D 0.000380019 -6 *357:SET_B *151:6 0.00046841 -7 *364:CLK_N *364:D 5.8946e-05 -8 *69:17 *373:D 5.33005e-05 -9 *115:31 *373:D 2.59355e-05 -10 *133:21 *151:6 7.36697e-05 -11 *135:15 *364:D 0.000381679 -12 *135:15 *151:6 0.000335947 -13 *143:11 *364:D 2.85234e-05 -*RES -1 *402:X *151:6 19.9964 -2 *151:6 *364:D 20.9786 -3 *151:6 *373:D 14.3357 -*END - -*D_NET *152 0.00382038 -*CONN -*I *363:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *372:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *403:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *363:D 0.000610547 -2 *372:D 0 -3 *403:X 0.000399322 -4 *152:10 0.00100987 -5 *363:D *402:A 1.83053e-05 -6 *363:D *159:62 5.81642e-06 -7 *152:10 *403:A 0 -8 *372:CLK *363:D 0.000610496 -9 *372:RESET_B *363:D 7.25464e-05 -10 *393:A *363:D 9.36454e-05 -11 *5:11 *152:10 5.19522e-06 -12 *6:27 *152:10 0.000111398 -13 *7:12 *152:10 0.00021896 -14 *9:13 *152:10 0.000433467 -15 *94:64 *152:10 5.23822e-05 -16 *135:15 *363:D 0.000178425 -*RES -1 *403:X *152:10 28.4786 -2 *152:10 *372:D 9.3 -3 *152:10 *363:D 32.0143 -*END - -*D_NET *153 0.00317259 -*CONN -*I *431:A I *D sky130_fd_sc_hd__buf_16 -*I *380:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *431:A 0.00101529 -2 *380:X 0.00101529 -3 *195:DIODE *431:A 2.48421e-05 -4 *4:27 *431:A 0.00111717 -*RES -1 *380:X *431:A 42.475 -*END - -*D_NET *154 0.00535882 -*CONN -*I *432:A I *D sky130_fd_sc_hd__buf_16 -*I *363:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *432:A 0 -2 *363:Q 0.00116867 -3 *154:10 0.00116867 -4 *154:10 *401:A 0.000145239 -5 *154:10 *434:A 0.000148435 -6 pad_gpio_ana_pol *154:10 0.00014727 -7 pad_gpio_dm[1] *154:10 0.000592782 -8 pad_gpio_slow_sel *154:10 0.000501912 -9 *347:A *154:10 2.66851e-06 -10 *11:27 *154:10 0.000313843 -11 *32:12 *154:10 6.30722e-06 -12 *100:22 *154:10 0.000178491 -13 *123:23 *154:10 0.000984529 -*RES -1 *363:Q *154:10 47.8893 -2 *154:10 *432:A 9.3 -*END - -*D_NET *155 0.00375815 -*CONN -*I *433:A I *D sky130_fd_sc_hd__buf_16 -*I *365:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *433:A 0.000842023 -2 *365:Q 0.000842023 -3 *433:A *173:15 0.00011317 -4 *176:DIODE *433:A 6.40203e-06 -5 *321:A *433:A 0.000106264 -6 *333:A *433:A 0.00013824 -7 *356:RESET_B *433:A 7.05769e-05 -8 *361:SET_B *433:A 1.13495e-05 -9 *397:A *433:A 0.000471146 -10 *423:A *433:A 0.000410014 -11 *426:A *433:A 0.000333127 -12 *100:22 *433:A 8.88341e-05 -13 *105:17 *433:A 0.000161058 -14 *119:38 *433:A 0.000163922 -*RES -1 *365:Q *433:A 49.5643 -*END - -*D_NET *156 0.00238522 -*CONN -*I *434:A I *D sky130_fd_sc_hd__buf_16 -*I *364:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *434:A 0.000564143 -2 *364:Q 0.000564143 -3 *211:DIODE *434:A 0.000280366 -4 *4:17 *434:A 6.91846e-05 -5 *32:12 *434:A 0.000171954 -6 *123:23 *434:A 0.000575652 -7 *143:11 *434:A 1.13443e-05 -8 *154:10 *434:A 0.000148435 -*RES -1 *364:Q *434:A 40.6536 -*END - -*D_NET *157 0.00355789 -*CONN -*I *417:A I *D sky130_fd_sc_hd__buf_16 -*I *388:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 *417:A 0.00033095 -2 *388:HI 0.00033095 -3 *417:A *173:15 0.000529339 -4 zero *417:A 0.000201213 -5 *209:DIODE *417:A 0.00064235 -6 *212:DIODE *417:A 0.000232399 -7 *122:15 *417:A 0.00114731 -8 *122:19 *417:A 0.000143375 -*RES -1 *388:HI *417:A 35.1714 -*END - -*D_NET *158 0.0136182 -*CONN -*I *378:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *366:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *375:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *370:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *376:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *435:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *386:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *378:CLK 0.000285759 -2 *366:CLK 0.000256118 -3 *375:CLK 0.00014663 -4 *370:CLK 0 -5 *376:CLK 0.000454665 -6 *435:A 0.00096218 -7 *386:X 6.06553e-05 -8 *158:47 0.000921147 -9 *158:34 0.00014663 -10 *158:32 0.000619377 -11 *158:17 0.000802455 -12 *158:7 0.00113052 -13 *366:CLK *164:15 0.00016286 -14 *376:CLK *343:A 1.13412e-05 -15 *376:CLK *400:A 1.58163e-05 -16 *184:DIODE *435:A 0.000267965 -17 *185:DIODE *435:A 0.000306309 -18 *320:B *375:CLK 9.83388e-05 -19 *328:A_N *366:CLK 0.000105143 -20 *342:A *158:17 7.70035e-05 -21 *342:A *158:32 0.000179887 -22 *345:A *435:A 0.000185124 -23 *355:SET_B *375:CLK 0.000139202 -24 *365:CLK_N *435:A 0.000376759 -25 *365:CLK_N *158:7 5.33005e-05 -26 *366:D *366:CLK 7.22686e-05 -27 *367:D *366:CLK 0.000397635 -28 *370:RESET_B *375:CLK 6.09764e-05 -29 *374:D *376:CLK 6.76296e-05 -30 *374:RESET_B *376:CLK 4.8736e-05 -31 *375:RESET_B *375:CLK 4.82865e-05 -32 *376:D *376:CLK 1.05731e-05 -33 *377:D *158:32 0.000111341 -34 *377:D *158:47 0.000175121 -35 *390:A *378:CLK 9.66977e-05 -36 *392:A *378:CLK 0.000154519 -37 *2:22 *378:CLK 0.000363904 -38 *2:22 *158:32 7.87452e-05 -39 *2:22 *158:47 0.000439919 -40 *53:10 *378:CLK 0.00023038 -41 *61:10 *375:CLK 8.46407e-05 -42 *95:8 *435:A 0.00077345 -43 *95:9 *435:A 4.33899e-05 -44 *95:29 *435:A 4.17433e-05 -45 *95:41 *435:A 0.000379729 -46 *95:41 *158:17 0.000236667 -47 *103:8 *158:47 5.16339e-05 -48 *111:19 *435:A 0.000334922 -49 *111:19 *158:17 0.000193592 -50 *111:19 *158:32 0.000275948 -51 *111:19 *158:47 0.00025095 -52 *115:15 *378:CLK 1.4796e-05 -53 *118:34 *378:CLK 0.000368624 -54 *118:34 *158:47 0.000391037 -55 *135:15 *435:A 5.5179e-05 -*RES -1 *386:X *158:7 14.7464 -2 *158:7 *435:A 39.0857 -3 *158:7 *158:17 4.07143 -4 *158:17 *376:CLK 19.175 -5 *158:17 *158:32 6.25 -6 *158:32 *158:34 4.5 -7 *158:34 *370:CLK 9.3 -8 *158:34 *375:CLK 13.1393 -9 *158:32 *158:47 10.1429 -10 *158:47 *366:CLK 21.3179 -11 *158:47 *378:CLK 23.0143 -*END - -*D_NET *159 0.0188328 -*CONN -*I *346:A I *D sky130_fd_sc_hd__inv_2 -*I *343:A I *D sky130_fd_sc_hd__inv_2 -*I *436:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *349:A I *D sky130_fd_sc_hd__inv_2 -*I *305:A I *D sky130_fd_sc_hd__inv_2 -*I *348:A I *D sky130_fd_sc_hd__inv_2 -*I *341:A I *D sky130_fd_sc_hd__inv_2 -*I *344:A I *D sky130_fd_sc_hd__inv_2 -*I *387:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *346:A 0.00112861 -2 *343:A 0.002241 -3 *436:A 0.000130911 -4 *349:A 2.24433e-05 -5 *305:A 0 -6 *348:A 0 -7 *341:A 0 -8 *344:A 0 -9 *387:X 0.000267446 -10 *159:62 0.00370087 -11 *159:43 0.000737329 -12 *159:27 0.000732429 -13 *159:21 5.43138e-05 -14 *159:15 0.000593138 -15 *159:8 0.00104031 -16 *159:7 0.000951739 -17 *343:A *400:A 8.55871e-05 -18 *343:A *402:A 1.96616e-05 -19 *343:A *411:A 9.66977e-05 -20 pad_gpio_ana_pol *159:43 0.000130149 -21 pad_gpio_dm[1] *159:43 4.45982e-06 -22 resetn_out *346:A 4.19624e-06 -23 user_gpio_in *346:A 0 -24 *175:DIODE *159:43 4.57574e-05 -25 *181:DIODE *343:A 2.13481e-06 -26 *198:DIODE *159:43 0.000171223 -27 *200:DIODE *159:15 0.000220988 -28 *200:DIODE *159:21 6.99965e-05 -29 *200:DIODE *159:27 2.85234e-05 -30 *200:DIODE *159:43 2.12733e-05 -31 *206:DIODE *159:21 6.05161e-06 -32 *206:DIODE *159:27 1.98839e-05 -33 *206:DIODE *159:43 0.000254284 -34 *210:DIODE *159:43 0.00053758 -35 *314:A *159:15 4.64488e-06 -36 *337:A *159:8 2.69483e-05 -37 *363:D *159:62 5.81642e-06 -38 *371:CLK *343:A 0.000109263 -39 *371:D *346:A 4.64067e-05 -40 *371:D *159:62 3.02801e-05 -41 *371:RESET_B *343:A 2.24405e-05 -42 *371:RESET_B *159:62 1.40556e-05 -43 *374:CLK *343:A 0.000135895 -44 *374:D *343:A 0.000323432 -45 *376:CLK *343:A 1.13412e-05 -46 *376:D *343:A 0.000149195 -47 *379:RESET_B *346:A 0 -48 *379:RESET_B *159:62 0 -49 *425:A *343:A 5.33005e-05 -50 *5:28 *346:A 7.91714e-05 -51 *7:14 *436:A 0 -52 *9:13 *346:A 0.00125103 -53 *9:24 *159:8 0.000129628 -54 *9:24 *159:15 0.000320403 -55 *9:38 *159:43 0.0001871 -56 *10:21 *346:A 1.71164e-05 -57 *10:26 *159:8 4.90115e-05 -58 *12:14 *343:A 2.34465e-05 -59 *41:45 *349:A 2.85321e-05 -60 *41:45 *159:43 2.59355e-05 -61 *69:17 *159:7 0.000398063 -62 *114:13 *436:A 0 -63 *119:16 *159:15 0.000116674 -64 *119:16 *159:43 0.000144765 -65 *120:38 *159:8 0.000219758 -66 *120:38 *159:62 0.000436735 -67 *122:33 *159:15 7.8388e-05 -68 *122:33 *159:21 7.34627e-05 -69 *122:44 *159:15 0.000194816 -70 *123:23 *159:43 6.36036e-05 -71 *130:18 *346:A 0.000743124 -*RES -1 *387:X *159:7 17.6214 -2 *159:7 *159:8 8.32143 -3 *159:8 *159:15 18.6964 -4 *159:15 *344:A 9.3 -5 *159:15 *159:21 1.35714 -6 *159:21 *341:A 9.3 -7 *159:21 *159:27 0.535714 -8 *159:27 *348:A 9.3 -9 *159:27 *159:43 27.2143 -10 *159:43 *305:A 9.3 -11 *159:43 *349:A 9.83571 -12 *159:8 *436:A 15.5679 -13 *159:7 *159:62 7.41071 -14 *159:62 *343:A 40.9607 -15 *159:62 *346:A 39.55 -*END - -*D_NET *160 0.000617962 -*CONN -*I *399:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *366:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *399:A 0.000112455 -2 *366:Q 0.000112455 -3 *399:A *379:D 4.82947e-05 -4 *399:A *165:18 5.51789e-05 -5 *354:RESET_B *399:A 0.000219273 -6 *354:SET_B *399:A 2.23592e-05 -7 *103:8 *399:A 4.10652e-05 -8 *118:34 *399:A 6.87975e-06 -*RES -1 *366:Q *399:A 30.7964 -*END - -*D_NET *161 0.00160034 -*CONN -*I *411:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *376:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *411:A 0.000301895 -2 *376:Q 0.000301895 -3 *343:A *411:A 9.66977e-05 -4 *356:CLK_N *411:A 0.000105471 -5 *376:D *411:A 0.000372179 -6 *425:A *411:A 5.96516e-05 -7 *41:45 *411:A 1.24368e-05 -8 *67:10 *411:A 0.000350115 -*RES -1 *376:Q *411:A 35.6893 -*END - -*D_NET *162 0.00109518 -*CONN -*I *406:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *377:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *406:A 0.000252934 -2 *377:Q 0.000252934 -3 *354:RESET_B *406:A 8.55614e-05 -4 *4:17 *406:A 0.000321247 -5 *61:10 *406:A 0.000139936 -6 *109:22 *406:A 4.25652e-05 -*RES -1 *377:Q *406:A 33.3321 -*END - -*D_NET *163 0.00540347 -*CONN -*I *405:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *379:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *378:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *405:A 0.000160155 -2 *379:D 0.00195955 -3 *378:Q 0 -4 *163:4 0.00211971 -5 *312:A2 *405:A 0.000141941 -6 *318:A_N *379:D 2.85234e-05 -7 *354:RESET_B *379:D 7.14966e-05 -8 *354:SET_B *379:D 0.000170727 -9 *362:CLK_N *379:D 5.33005e-05 -10 *362:D *379:D 6.29681e-05 -11 *362:D *405:A 3.18747e-05 -12 *378:D *379:D 0 -13 *378:RESET_B *379:D 4.67709e-05 -14 *399:A *379:D 4.82947e-05 -15 *429:A *379:D 1.47238e-05 -16 *53:10 *379:D 0.000144456 -17 *103:8 *379:D 5.87274e-05 -18 *125:13 *379:D 6.57032e-05 -19 *134:8 *379:D 2.95642e-05 -20 *138:9 *379:D 1.47872e-05 -21 *139:10 *379:D 0.0001802 -*RES -1 *378:Q *163:4 9.3 -2 *163:4 *379:D 46.4161 -3 *163:4 *405:A 12.7107 -*END - -*D_NET *164 0.00564078 -*CONN -*I *408:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *367:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *408:A 0 -2 *367:Q 0.00206897 -3 *164:15 0.00206897 -4 *164:15 *407:A 3.61382e-05 -5 *312:A2 *164:15 0 -6 *315:B *164:15 5.58875e-06 -7 *328:A_N *164:15 0.000342415 -8 *353:CLK_N *164:15 8.23431e-05 -9 *353:RESET_B *164:15 0.000116014 -10 *361:SET_B *164:15 0.000193162 -11 *366:CLK *164:15 0.00016286 -12 *366:D *164:15 0.000139338 -13 *367:D *164:15 0.000108353 -14 *378:RESET_B *164:15 3.78816e-05 -15 *392:A *164:15 7.19623e-05 -16 *1:27 *164:15 8.92945e-05 -17 *97:15 *164:15 9.76587e-05 -18 *117:13 *164:15 1.98346e-05 -*RES -1 *367:Q *164:15 46.175 -2 *164:15 *408:A 9.3 -*END - -*D_NET *165 0.00689624 -*CONN -*I *407:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *368:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *407:A 0.00128957 -2 *368:Q 0.00095106 -3 *165:18 0.00224063 -4 *165:18 *409:A 0.000390322 -5 *328:A_N *165:18 0.000116545 -6 *353:CLK_N *407:A 0.000216626 -7 *353:RESET_B *407:A 0.000215335 -8 *354:RESET_B *165:18 1.62833e-05 -9 *357:RESET_B *165:18 0.000141734 -10 *367:D *407:A 2.8078e-05 -11 *368:D *165:18 0.000529205 -12 *399:A *165:18 5.51789e-05 -13 *2:22 *165:18 0 -14 *8:15 *165:18 5.29529e-05 -15 *10:21 *165:18 5.45477e-05 -16 *11:19 *165:18 0.000167774 -17 *98:7 *407:A 1.0484e-05 -18 *103:8 *165:18 0.000209328 -19 *133:21 *165:18 8.03927e-05 -20 *145:25 *165:18 9.40611e-05 -21 *164:15 *407:A 3.61382e-05 -*RES -1 *368:Q *165:18 48.9786 -2 *165:18 *407:A 29.8536 -*END - -*D_NET *166 0.00457508 -*CONN -*I *409:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *369:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *409:A 0.00152953 -2 *369:Q 0.00152953 -3 *204:DIODE *409:A 6.02827e-06 -4 *328:A_N *409:A 0.000343649 -5 *357:CLK_N *409:A 4.38942e-05 -6 *357:D *409:A 4.38942e-05 -7 *357:RESET_B *409:A 3.97677e-05 -8 *378:D *409:A 3.47641e-06 -9 *97:15 *409:A 0.000170473 -10 *115:15 *409:A 0.000238484 -11 *123:34 *409:A 9.41642e-05 -12 *124:6 *409:A 6.4857e-05 -13 *133:21 *409:A 7.69978e-05 -14 *165:18 *409:A 0.000390322 -*RES -1 *369:Q *409:A 49.5107 -*END - -*D_NET *167 0.00139627 -*CONN -*I *410:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *370:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *410:A 0.000283893 -2 *370:Q 0.000283893 -3 *368:D *410:A 0.000129147 -4 *8:15 *410:A 2.11419e-05 -5 *10:21 *410:A 8.09004e-06 -6 *138:9 *410:A 0.000351207 -7 *146:7 *410:A 6.05161e-06 -8 *147:11 *410:A 0.000312842 -*RES -1 *370:Q *410:A 34.8679 -*END - -*D_NET *168 0.00107814 -*CONN -*I *403:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *371:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *403:A 0.000246564 -2 *371:Q 0.000246564 -3 *181:DIODE *403:A 2.11336e-05 -4 *183:DIODE *403:A 0.00021211 -5 *5:11 *403:A 8.58741e-05 -6 *8:23 *403:A 0.0001829 -7 *9:13 *403:A 7.29082e-05 -8 *94:64 *403:A 1.00887e-05 -9 *152:10 *403:A 0 -*RES -1 *371:Q *403:A 35.2429 -*END - -*D_NET *169 0.000989246 -*CONN -*I *402:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *372:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *402:A 0.000227016 -2 *372:Q 0.000227016 -3 *181:DIODE *402:A 0.000219289 -4 *343:A *402:A 1.96616e-05 -5 *363:D *402:A 1.83053e-05 -6 *371:CLK *402:A 6.94952e-05 -7 *371:RESET_B *402:A 5.90237e-05 -8 *10:21 *402:A 4.47273e-05 -9 *93:8 *402:A 0.000104713 -*RES -1 *372:Q *402:A 33.0464 -*END - -*D_NET *170 0.00150154 -*CONN -*I *401:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *373:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *401:A 0.000269894 -2 *373:Q 0.000269894 -3 *202:DIODE *401:A 4.58194e-05 -4 *347:A *401:A 5.69386e-05 -5 *365:D *401:A 0.000170438 -6 *422:A *401:A 1.32832e-05 -7 *13:31 *401:A 0.000139429 -8 *115:26 *401:A 0.000337306 -9 *150:7 *401:A 5.33005e-05 -10 *154:10 *401:A 0.000145239 -*RES -1 *373:Q *401:A 35.3857 -*END - -*D_NET *171 0.00181074 -*CONN -*I *400:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *374:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *400:A 0.000419313 -2 *374:Q 0.000419313 -3 pad_gpio_slow_sel *400:A 1.94945e-05 -4 serial_clock_out *400:A 0.000517723 -5 *322:B *400:A 7.69776e-06 -6 *343:A *400:A 8.55871e-05 -7 *358:SET_B *400:A 0.000205011 -8 *376:CLK *400:A 1.58163e-05 -9 *376:D *400:A 3.69047e-06 -10 *11:21 *400:A 1.02366e-05 -11 *13:31 *400:A 4.16331e-05 -12 *115:15 *400:A 6.52246e-05 -*RES -1 *374:Q *400:A 36.475 -*END - -*D_NET *172 0.00125306 -*CONN -*I *404:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *375:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *404:A 0.000249668 -2 *375:Q 0.000249668 -3 *178:DIODE *404:A 5.33005e-05 -4 *358:SET_B *404:A 5.96516e-05 -5 *1:27 *404:A 5.23577e-05 -6 *3:24 *404:A 0.000236967 -7 *65:12 *404:A 0.000351445 -*RES -1 *375:Q *404:A 34.0464 -*END - -*D_NET *173 0.00440582 -*CONN -*I *438:A I *D sky130_fd_sc_hd__buf_16 -*I *388:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 *438:A 0 -2 *388:LO 0.000631362 -3 *173:15 0.000631362 -4 *176:DIODE *173:15 0.000494996 -5 *208:DIODE *173:15 9.93918e-06 -6 *209:DIODE *173:15 0.000527647 -7 *397:A *173:15 7.02212e-05 -8 *417:A *173:15 0.000529339 -9 *419:A *173:15 9.84673e-05 -10 *433:A *173:15 0.00011317 -11 *4:27 *173:15 0.000618523 -12 *119:16 *173:15 0.000478091 -13 *122:15 *173:15 0 -14 *123:23 *173:15 0.000202697 -*RES -1 *388:LO *173:15 41.3 -2 *173:15 *438:A 9.3 -*END diff --git a/spef/gpio_control_block/gpio_control_block.max.spef b/spef/gpio_control_block/gpio_control_block.max.spef deleted file mode 100644 index 4dc7ad87..00000000 --- a/spef/gpio_control_block/gpio_control_block.max.spef +++ /dev/null @@ -1,6121 +0,0 @@ -*SPEF "ieee 1481-1999" -*DESIGN "gpio_control_block" -*DATE "11:11:11 Fri 11 11, 1111" -*VENDOR "OpenRCX" -*PROGRAM "Parallel Extraction" -*VERSION "1.0" -*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" -*DIVIDER / -*DELIMITER : -*BUS_DELIMITER [] -*T_UNIT 1 NS -*C_UNIT 1 PF -*R_UNIT 1 OHM -*L_UNIT 1 HENRY - -*NAME_MAP -*1 gpio_defaults[0] -*2 gpio_defaults[10] -*3 gpio_defaults[11] -*4 gpio_defaults[12] -*5 gpio_defaults[1] -*6 gpio_defaults[2] -*7 gpio_defaults[3] -*8 gpio_defaults[4] -*9 gpio_defaults[5] -*10 gpio_defaults[6] -*11 gpio_defaults[7] -*12 gpio_defaults[8] -*13 gpio_defaults[9] -*14 mgmt_gpio_in -*15 mgmt_gpio_oeb -*16 mgmt_gpio_out -*17 one -*18 pad_gpio_ana_en -*19 pad_gpio_ana_pol -*20 pad_gpio_ana_sel -*21 pad_gpio_dm[0] -*22 pad_gpio_dm[1] -*23 pad_gpio_dm[2] -*24 pad_gpio_holdover -*25 pad_gpio_ib_mode_sel -*26 pad_gpio_in -*27 pad_gpio_inenb -*28 pad_gpio_out -*29 pad_gpio_outenb -*30 pad_gpio_slow_sel -*31 pad_gpio_vtrip_sel -*32 resetn -*33 resetn_out -*34 serial_clock -*35 serial_clock_out -*36 serial_data_in -*37 serial_data_out -*38 serial_load -*39 serial_load_out -*40 user_gpio_in -*41 user_gpio_oeb -*42 user_gpio_out -*47 zero -*48 _000_ -*49 _001_ -*50 _002_ -*51 _003_ -*52 _004_ -*53 _005_ -*54 _006_ -*55 _007_ -*56 _008_ -*57 _009_ -*58 _010_ -*59 _011_ -*60 _012_ -*61 _013_ -*62 _014_ -*63 _015_ -*64 _016_ -*65 _017_ -*66 _018_ -*67 _019_ -*68 _020_ -*69 _021_ -*70 _022_ -*71 _023_ -*72 _024_ -*73 _025_ -*74 _026_ -*75 _041_ -*76 _042_ -*77 _043_ -*78 _044_ -*79 _045_ -*80 _046_ -*81 _047_ -*82 _048_ -*83 _049_ -*84 _050_ -*85 _051_ -*86 _052_ -*87 _053_ -*88 _054_ -*89 _055_ -*90 _056_ -*91 _057_ -*92 clknet_0_serial_clock -*93 clknet_0_serial_load -*94 clknet_1_0__leaf_serial_clock -*95 clknet_1_0__leaf_serial_load -*96 gpio_logic1 -*97 gpio_outenb -*98 mgmt_ena -*99 net1 -*100 net10 -*101 net11 -*102 net12 -*103 net13 -*104 net14 -*105 net15 -*106 net16 -*107 net17 -*108 net18 -*109 net19 -*110 net2 -*111 net20 -*112 net21 -*113 net22 -*114 net23 -*115 net24 -*116 net25 -*117 net26 -*118 net27 -*119 net28 -*120 net29 -*121 net3 -*122 net30 -*123 net31 -*124 net32 -*125 net33 -*126 net34 -*127 net35 -*128 net36 -*129 net37 -*130 net38 -*131 net39 -*132 net4 -*133 net40 -*134 net41 -*135 net42 -*136 net43 -*137 net44 -*138 net45 -*139 net46 -*140 net47 -*141 net48 -*142 net49 -*143 net5 -*144 net50 -*145 net51 -*146 net52 -*147 net53 -*148 net54 -*149 net55 -*150 net56 -*151 net57 -*152 net58 -*153 net6 -*154 net7 -*155 net8 -*156 net9 -*157 one_buffered -*158 serial_clock_out_buffered -*159 serial_load_out_buffered -*160 shift_register\[0\] -*161 shift_register\[10\] -*162 shift_register\[11\] -*163 shift_register\[12\] -*164 shift_register\[1\] -*165 shift_register\[2\] -*166 shift_register\[3\] -*167 shift_register\[4\] -*168 shift_register\[5\] -*169 shift_register\[6\] -*170 shift_register\[7\] -*171 shift_register\[8\] -*172 shift_register\[9\] -*173 zero_buffered -*174 ANTENNA__061__A0 -*175 ANTENNA__062__B -*176 ANTENNA__068__B -*177 ANTENNA__069__B -*178 ANTENNA__070__B -*179 ANTENNA__071__B -*180 ANTENNA__072__B -*181 ANTENNA__073__B -*182 ANTENNA__074__B -*183 ANTENNA__075__B -*184 ANTENNA__076__B -*185 ANTENNA__077__B -*186 ANTENNA__078__B -*187 ANTENNA__079__B -*188 ANTENNA__080__B -*189 ANTENNA__081__B -*190 ANTENNA__082__A -*191 ANTENNA__082__B -*192 ANTENNA__083__B -*193 ANTENNA__084__B -*194 ANTENNA__085__B -*195 ANTENNA__086__B -*196 ANTENNA__087__B -*197 ANTENNA__088__B -*198 ANTENNA__089__B -*199 ANTENNA__090__B -*200 ANTENNA__091__B -*201 ANTENNA__092__B -*202 ANTENNA__093__B -*203 ANTENNA_clkbuf_0_serial_clock_A -*204 ANTENNA_clkbuf_0_serial_load_A -*205 ANTENNA_fanout27_A -*206 ANTENNA_fanout28_A -*207 ANTENNA_fanout29_A -*208 ANTENNA_input1_A -*209 ANTENNA_input2_A -*210 ANTENNA_input3_A -*211 ANTENNA_input4_A -*212 ANTENNA_input5_A -*213 FILLER_0_27 -*214 FILLER_0_31 -*215 FILLER_0_57 -*216 FILLER_0_85 -*217 FILLER_0_95 -*218 FILLER_12_29 -*219 FILLER_13_99 -*220 FILLER_15_57 -*221 FILLER_15_71 -*222 FILLER_17_57 -*223 FILLER_17_99 -*224 FILLER_1_34 -*225 FILLER_3_80 -*226 FILLER_3_89 -*227 FILLER_3_99 -*228 FILLER_4_60 -*229 FILLER_5_80 -*230 FILLER_5_99 -*231 PHY_0 -*232 PHY_1 -*233 PHY_10 -*234 PHY_11 -*235 PHY_12 -*236 PHY_13 -*237 PHY_14 -*238 PHY_15 -*239 PHY_16 -*240 PHY_17 -*241 PHY_18 -*242 PHY_19 -*243 PHY_2 -*244 PHY_20 -*245 PHY_21 -*246 PHY_22 -*247 PHY_23 -*248 PHY_24 -*249 PHY_25 -*250 PHY_26 -*251 PHY_27 -*252 PHY_28 -*253 PHY_29 -*254 PHY_3 -*255 PHY_30 -*256 PHY_31 -*257 PHY_32 -*258 PHY_33 -*259 PHY_34 -*260 PHY_35 -*261 PHY_36 -*262 PHY_37 -*263 PHY_38 -*264 PHY_39 -*265 PHY_4 -*266 PHY_40 -*267 PHY_41 -*268 PHY_5 -*269 PHY_6 -*270 PHY_7 -*271 PHY_8 -*272 PHY_9 -*273 TAP_42 -*274 TAP_43 -*275 TAP_44 -*276 TAP_45 -*277 TAP_46 -*278 TAP_47 -*279 TAP_48 -*280 TAP_49 -*281 TAP_50 -*282 TAP_51 -*283 TAP_52 -*284 TAP_53 -*285 TAP_54 -*286 TAP_55 -*287 TAP_56 -*288 TAP_57 -*289 TAP_58 -*290 TAP_59 -*291 TAP_60 -*292 TAP_61 -*293 TAP_62 -*294 TAP_63 -*295 TAP_64 -*296 TAP_65 -*297 TAP_66 -*298 TAP_67 -*299 TAP_68 -*300 TAP_69 -*301 TAP_70 -*302 TAP_71 -*303 TAP_72 -*304 TAP_73 -*305 _058__1 -*306 _059__14 -*307 _060_ -*308 _061_ -*309 _062_ -*310 _063_ -*311 _064_ -*312 _065_ -*313 _066_ -*314 _067_ -*315 _068_ -*316 _069_ -*317 _070_ -*318 _071_ -*319 _072_ -*320 _073_ -*321 _074_ -*322 _075_ -*323 _076_ -*324 _077_ -*325 _078_ -*326 _079_ -*327 _080_ -*328 _081_ -*329 _082_ -*330 _083_ -*331 _084_ -*332 _085_ -*333 _086_ -*334 _087_ -*335 _088_ -*336 _089_ -*337 _090_ -*338 _091_ -*339 _092_ -*340 _093_ -*341 _094__2 -*342 _095__3 -*343 _096__4 -*344 _097__5 -*345 _098__6 -*346 _099__7 -*347 _100__8 -*348 _101__9 -*349 _102__10 -*350 _103__11 -*351 _104__12 -*352 _105__13 -*353 _106_ -*354 _107_ -*355 _108_ -*356 _109_ -*357 _110_ -*358 _111_ -*359 _112_ -*360 _113_ -*361 _114_ -*362 _115_ -*363 _116_ -*364 _117_ -*365 _118_ -*366 _119_ -*367 _120_ -*368 _121_ -*369 _122_ -*370 _123_ -*371 _124_ -*372 _125_ -*373 _126_ -*374 _127_ -*375 _128_ -*376 _129_ -*377 _130_ -*378 _131_ -*379 _132_ -*380 _133_ -*381 _134_ -*382 clkbuf_0_serial_clock -*383 clkbuf_0_serial_load -*384 clkbuf_1_0__f_serial_clock -*385 clkbuf_1_0__f_serial_load -*386 clkbuf_1_1__f_serial_clock -*387 clkbuf_1_1__f_serial_load -*388 const_source -*389 fanout23 -*390 fanout24 -*391 fanout25 -*392 fanout26 -*393 fanout27 -*394 fanout28 -*395 fanout29 -*396 fanout30 -*397 fanout31 -*398 gpio_logic_high -*399 hold1 -*400 hold10 -*401 hold11 -*402 hold12 -*403 hold13 -*404 hold2 -*405 hold3 -*406 hold4 -*407 hold5 -*408 hold6 -*409 hold7 -*410 hold8 -*411 hold9 -*412 input1 -*413 input2 -*414 input3 -*415 input4 -*416 input5 -*417 one_buffer -*418 output10 -*419 output11 -*420 output12 -*421 output13 -*422 output14 -*423 output15 -*424 output16 -*425 output17 -*426 output18 -*427 output19 -*428 output20 -*429 output21 -*430 output22 -*431 output6 -*432 output7 -*433 output8 -*434 output9 -*435 serial_clock_out_buffer -*436 serial_load_out_buffer -*437 spare_cell -*438 zero_buffer - -*PORTS -gpio_defaults[0] I -gpio_defaults[10] I -gpio_defaults[11] I -gpio_defaults[12] I -gpio_defaults[1] I -gpio_defaults[2] I -gpio_defaults[3] I -gpio_defaults[4] I -gpio_defaults[5] I -gpio_defaults[6] I -gpio_defaults[7] I -gpio_defaults[8] I -gpio_defaults[9] I -mgmt_gpio_in O -mgmt_gpio_oeb I -mgmt_gpio_out I -one O -pad_gpio_ana_en O -pad_gpio_ana_pol O -pad_gpio_ana_sel O -pad_gpio_dm[0] O -pad_gpio_dm[1] O -pad_gpio_dm[2] O -pad_gpio_holdover O -pad_gpio_ib_mode_sel O -pad_gpio_in I -pad_gpio_inenb O -pad_gpio_out O -pad_gpio_outenb O -pad_gpio_slow_sel O -pad_gpio_vtrip_sel O -resetn I -resetn_out O -serial_clock I -serial_clock_out O -serial_data_in I -serial_data_out O -serial_load I -serial_load_out O -user_gpio_in O -user_gpio_oeb I -user_gpio_out I -zero O - -*D_NET *1 0.0248241 -*CONN -*P gpio_defaults[0] I -*I *315:B I *D sky130_fd_sc_hd__or2_0 -*I *316:B I *D sky130_fd_sc_hd__nand2b_2 -*I *176:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *177:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 gpio_defaults[0] 0.00366861 -2 *315:B 0.000185063 -3 *316:B 0.000195786 -4 *176:DIODE 0 -5 *177:DIODE 4.30055e-06 -6 *1:53 0.000608775 -7 *1:48 0.00108625 -8 *1:38 0.000772727 -9 *1:27 0.00200668 -10 *1:16 0.00575659 -11 *177:DIODE *361:SET_B 2.44754e-05 -12 *315:B *315:A 0.000363857 -13 *315:B *42:15 0.000251531 -14 *315:B *49:8 0.00018221 -15 *315:B *164:15 5.79413e-06 -16 *316:B *332:A_N 1.57659e-05 -17 *316:B *50:7 5.58003e-05 -18 *316:B *66:7 9.66585e-05 -19 *316:B *118:73 5.56279e-05 -20 *316:B *119:33 0.000177242 -21 *316:B *143:7 0.000567499 -22 *1:16 serial_clock_out 4.42081e-05 -23 *1:16 serial_data_out 0.00146319 -24 *1:16 *5:11 0.000170215 -25 *1:16 *6:7 2.10797e-06 -26 *1:16 *8:19 1.12725e-05 -27 *1:16 *13:13 0.000409055 -28 *1:16 *38:8 1.32168e-05 -29 *1:16 *113:22 1.35288e-05 -30 *1:16 *116:38 2.06164e-05 -31 *1:16 *116:76 0.000269968 -32 *1:16 *118:6 0.000302592 -33 *1:16 *144:16 0.00011687 -34 *1:27 pad_gpio_dm[1] 0 -35 *1:27 *361:SET_B 0.000269668 -36 *1:27 *3:24 0.000819113 -37 *1:27 *55:10 0.000120879 -38 *1:27 *64:8 0.000111873 -39 *1:27 *65:12 5.8404e-05 -40 *1:27 *95:54 3.12608e-05 -41 *1:27 *101:20 0.000121157 -42 *1:27 *105:18 1.69221e-05 -43 *1:27 *107:12 0.000316342 -44 *1:27 *114:69 4.51815e-05 -45 *1:27 *131:11 0.000857815 -46 *1:27 *164:15 9.24039e-05 -47 *1:27 *172:8 5.38616e-05 -48 *1:38 *361:SET_B 1.79668e-05 -49 *1:38 *3:29 4.90185e-05 -50 *1:38 *101:20 0.000122337 -51 *1:48 *3:29 0.000382824 -52 *1:48 *3:37 0.000143239 -53 *1:48 *39:7 4.96303e-05 -54 *1:48 *101:20 0.000107232 -55 *1:48 *119:38 0.000262146 -56 *1:48 *119:46 0.000292885 -57 *1:48 *155:10 6.01614e-06 -58 *1:53 *3:37 0.000549925 -59 *1:53 *15:21 0.000122128 -60 *1:53 *16:16 1.71761e-05 -61 *1:53 *18:9 0.000318095 -62 *1:53 *173:15 0.000550501 -*RES -1 gpio_defaults[0] *1:16 33.6433 -2 *1:16 *1:27 37.746 -3 *1:27 *177:DIODE 38.3211 -4 *1:27 *1:38 2.35107 -5 *1:38 *1:48 41.1339 -6 *1:48 *1:53 28.7646 -7 *1:53 *176:DIODE 23 -8 *1:38 *316:B 44.8357 -9 *1:16 *315:B 44.3489 -*END - -*D_NET *2 0.0123375 -*CONN -*P gpio_defaults[10] I -*I *192:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *191:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *329:B I *D sky130_fd_sc_hd__or2_0 -*I *330:B I *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 gpio_defaults[10] 0.0020608 -2 *192:DIODE 0.000146205 -3 *191:DIODE 0.00064114 -4 *329:B 7.5384e-05 -5 *330:B 0 -6 *2:33 0.00083334 -7 *2:24 0.000138698 -8 *2:22 0.00055627 -9 *2:19 0.00244898 -10 *191:DIODE *310:C 7.37372e-05 -11 *191:DIODE *29:7 6.06617e-05 -12 *191:DIODE *63:8 9.41704e-05 -13 *191:DIODE *77:7 2.02872e-05 -14 *191:DIODE *122:76 6.2403e-05 -15 *192:DIODE *330:A_N 2.3122e-05 -16 *192:DIODE *64:8 0.000100024 -17 *192:DIODE *100:21 4.12078e-05 -18 *329:B *361:SET_B 9.43014e-05 -19 *329:B *29:7 0.000184861 -20 *329:B *107:12 3.13857e-05 -21 *329:B *122:76 5.9824e-05 -22 *2:19 pad_gpio_slow_sel 6.92544e-05 -23 *2:19 pad_gpio_vtrip_sel 2.46625e-06 -24 *2:19 serial_clock_out 0.000353641 -25 *2:19 *378:CLK 0.000402217 -26 *2:19 *3:11 0 -27 *2:19 *11:19 0 -28 *2:19 *12:44 0 -29 *2:19 *13:13 0 -30 *2:19 *13:20 0.000147131 -31 *2:19 *30:6 0.000355707 -32 *2:19 *37:6 0.000543288 -33 *2:19 *57:15 0 -34 *2:19 *95:67 0.00016952 -35 *2:19 *109:19 9.19719e-05 -36 *2:19 *114:69 0.000571732 -37 *2:19 *118:22 5.78179e-05 -38 *2:19 *145:22 5.24781e-05 -39 *2:19 *148:17 0.000315189 -40 *2:19 *158:32 8.4263e-05 -41 *2:19 *158:47 0.000476491 -42 *2:19 *165:12 0 -43 *2:22 *330:A_N 4.05745e-05 -44 *2:22 *100:21 0.000137056 -45 *2:22 *118:56 0.000356126 -46 *2:22 *118:73 4.67488e-05 -47 *2:33 *330:A_N 9.41704e-05 -48 *2:33 *361:SET_B 0.000150872 -49 *2:33 *107:12 7.19778e-05 -*RES -1 gpio_defaults[10] *2:19 49.9927 -2 *2:19 *2:22 22.2914 -3 *2:22 *2:24 0.497143 -4 *2:24 *330:B 23 -5 *2:24 *2:33 18.3557 -6 *2:33 *329:B 41.2521 -7 *2:33 *191:DIODE 46.2443 -8 *2:22 *192:DIODE 26.0036 -*END - -*D_NET *3 0.014691 -*CONN -*P gpio_defaults[11] I -*I *332:B I *D sky130_fd_sc_hd__nand2b_2 -*I *331:B I *D sky130_fd_sc_hd__or2_0 -*I *193:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *194:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 gpio_defaults[11] 0.00090998 -2 *332:B 0 -3 *331:B 0 -4 *193:DIODE 0 -5 *194:DIODE 3.6047e-05 -6 *3:37 0.00047119 -7 *3:29 0.000738314 -8 *3:24 0.00115174 -9 *3:16 0.00181211 -10 *3:11 0.00187352 -11 *194:DIODE *5:47 2.93431e-05 -12 *3:11 *354:SET_B 0.000270663 -13 *3:11 *4:11 0.000165653 -14 *3:11 *115:15 0.000801002 -15 *3:11 *118:22 7.22099e-05 -16 *3:11 *123:32 0.000409301 -17 *3:11 *139:8 0.000156225 -18 *3:16 *317:A 0.000267765 -19 *3:16 *109:13 5.24162e-05 -20 *3:24 *332:A_N 5.3304e-05 -21 *3:24 *65:12 0.00113901 -22 *3:24 *107:12 0.000452294 -23 *3:24 *108:10 7.13337e-05 -24 *3:24 *122:76 0.00041707 -25 *3:24 *143:7 1.46286e-05 -26 *3:24 *148:9 6.77278e-05 -27 *3:24 *172:8 0.000261306 -28 *3:29 *332:A_N 0.000135037 -29 *3:29 *361:SET_B 3.46013e-05 -30 *3:29 *66:7 9.41704e-05 -31 *3:29 *101:20 0.000123569 -32 *3:29 *119:38 5.10721e-05 -33 *3:29 *143:7 1.21972e-05 -34 *3:37 *15:21 3.52734e-05 -35 *3:37 *101:20 0.000381853 -36 *3:37 *122:76 0.000184932 -37 *1:27 *3:24 0.000819113 -38 *1:38 *3:29 4.90185e-05 -39 *1:48 *3:29 0.000382824 -40 *1:48 *3:37 0.000143239 -41 *1:53 *3:37 0.000549925 -42 *2:19 *3:11 0 -*RES -1 gpio_defaults[11] *3:11 49.5957 -2 *3:11 *3:16 41.1029 -3 *3:16 *3:24 39.7743 -4 *3:24 *3:29 22.7057 -5 *3:29 *3:37 27.18 -6 *3:37 *194:DIODE 23.6214 -7 *3:37 *193:DIODE 23 -8 *3:29 *331:B 38 -9 *3:24 *332:B 23 -*END - -*D_NET *4 0.0177308 -*CONN -*P gpio_defaults[12] I -*I *334:B I *D sky130_fd_sc_hd__nand2b_2 -*I *333:B I *D sky130_fd_sc_hd__or2_0 -*I *196:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *195:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 gpio_defaults[12] 0.00130897 -2 *334:B 0.000193761 -3 *333:B 9.35606e-05 -4 *196:DIODE 0 -5 *195:DIODE 8.10849e-05 -6 *4:44 0.000606749 -7 *4:32 0.000415696 -8 *4:27 0.00122935 -9 *4:17 0.00185238 -10 *4:11 0.00258603 -11 *195:DIODE *153:10 2.34077e-05 -12 *333:B *333:A 2.83509e-05 -13 *333:B *26:8 0.000179725 -14 *333:B *67:7 6.17437e-06 -15 *334:B *414:A 2.76401e-05 -16 *334:B *67:8 0.000135854 -17 *334:B *99:8 5.01232e-06 -18 *334:B *119:46 8.36198e-05 -19 *334:B *120:8 0.000199388 -20 *4:11 *11:19 1.65132e-05 -21 *4:11 *12:50 3.01385e-05 -22 *4:11 *32:12 0.000147218 -23 *4:11 *51:11 1.10742e-05 -24 *4:11 *54:8 0.000107251 -25 *4:11 *61:10 6.46463e-05 -26 *4:11 *115:15 3.21898e-05 -27 *4:11 *123:32 0.00107923 -28 *4:11 *139:8 0.000155329 -29 *4:11 *142:17 0 -30 *4:11 *162:8 0.00035268 -31 *4:17 *211:DIODE 0.000309402 -32 *4:17 *12:50 8.22421e-06 -33 *4:17 *13:31 0.000112317 -34 *4:17 *13:42 2.71929e-05 -35 *4:17 *32:12 0.000789688 -36 *4:17 *74:6 0.00059375 -37 *4:17 *104:10 0.0013901 -38 *4:17 *115:20 2.4982e-06 -39 *4:17 *136:6 0.000140436 -40 *4:17 *156:10 7.18331e-05 -41 *4:27 *380:A 9.77405e-05 -42 *4:27 *419:A 6.37432e-05 -43 *4:27 *119:16 4.53137e-05 -44 *4:27 *132:13 9.77922e-06 -45 *4:27 *153:5 0.00113614 -46 *4:27 *173:15 0.000640247 -47 *4:32 *5:40 0.000416871 -48 *4:32 *122:15 0.000100547 -49 *4:32 *122:76 0.000180675 -50 *4:44 *380:A 9.66668e-05 -51 *4:44 *414:A 3.67392e-05 -52 *4:44 *26:8 5.3304e-05 -53 *4:44 *26:14 3.46013e-05 -54 *4:44 *67:7 9.97435e-06 -55 *4:44 *120:8 2.58781e-05 -56 *4:44 *121:7 0.000118444 -57 *3:11 *4:11 0.000165653 -*RES -1 gpio_defaults[12] *4:11 24.7018 -2 *4:11 *4:17 31.1646 -3 *4:17 *195:DIODE 39.5536 -4 *4:17 *4:27 30.8671 -5 *4:27 *4:32 38.9486 -6 *4:32 *196:DIODE 23 -7 *4:27 *4:44 20.4479 -8 *4:44 *333:B 40.0507 -9 *4:44 *334:B 43.7793 -*END - -*D_NET *5 0.0307991 -*CONN -*P gpio_defaults[1] I -*I *328:B I *D sky130_fd_sc_hd__nand2b_2 -*I *189:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *188:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *327:B I *D sky130_fd_sc_hd__or2_0 -*CAP -1 gpio_defaults[1] 0.000502235 -2 *328:B 0 -3 *189:DIODE 0 -4 *188:DIODE 0.000374327 -5 *327:B 8.93235e-05 -6 *5:67 0.00120857 -7 *5:47 0.00186121 -8 *5:40 0.00500801 -9 *5:28 0.00699842 -10 *5:11 0.00286028 -11 *188:DIODE *205:DIODE 4.77213e-05 -12 *188:DIODE *384:A 7.92449e-05 -13 *188:DIODE *16:16 0.000634288 -14 *188:DIODE *94:7 0.000276972 -15 *327:B *327:A 5.82853e-05 -16 *5:11 *179:DIODE 2.23467e-05 -17 *5:11 *367:CLK 0.000226087 -18 *5:11 *6:7 0.000574482 -19 *5:11 *6:27 0.000876698 -20 *5:11 *94:64 0.000220337 -21 *5:11 *94:71 0.000221527 -22 *5:11 *118:6 0.00029717 -23 *5:11 *152:8 5.36496e-06 -24 *5:11 *168:8 8.89728e-05 -25 *5:28 pad_gpio_vtrip_sel 0.00282487 -26 *5:28 *327:A 0.000257984 -27 *5:28 *379:RESET_B 0 -28 *5:28 *6:27 7.28704e-06 -29 *5:28 *8:57 7.18396e-05 -30 *5:28 *13:84 8.84148e-05 -31 *5:28 *32:12 5.90917e-05 -32 *5:28 *61:5 0.000138996 -33 *5:28 *93:7 0.000316846 -34 *5:28 *94:60 0.000355686 -35 *5:28 *117:13 0.000357713 -36 *5:28 *148:9 0.000327931 -37 *5:28 *148:17 0.00114977 -38 *5:28 *159:78 8.03957e-05 -39 *5:40 one 0 -40 *5:40 pad_gpio_ana_pol 2.03754e-05 -41 *5:40 *15:10 0.000126008 -42 *5:40 *48:6 0.00012381 -43 *5:40 *99:8 0.000102692 -44 *5:40 *121:20 4.80465e-05 -45 *5:40 *122:7 4.08664e-05 -46 *5:40 *122:11 9.77405e-05 -47 *5:40 *122:76 1.63009e-05 -48 *5:40 *123:65 6.78592e-05 -49 *5:47 one 0.000104089 -50 *5:47 *15:21 1.21972e-05 -51 *5:47 *17:8 3.98887e-05 -52 *5:47 *19:8 9.11847e-06 -53 *5:47 *47:14 0.000110184 -54 *5:67 serial_clock_out 0.000299712 -55 *5:67 *359:SET_B 5.3304e-05 -56 *5:67 *34:10 0.000135566 -57 *5:67 *76:8 6.85548e-05 -58 *5:67 *79:9 7.06542e-05 -59 *5:67 *97:9 6.70361e-05 -60 *194:DIODE *5:47 2.93431e-05 -61 *1:16 *5:11 0.000170215 -62 *4:32 *5:40 0.000416871 -*RES -1 gpio_defaults[1] *5:11 35.735 -2 *5:11 *327:B 24.5743 -3 *5:11 *5:28 47.0745 -4 *5:28 *5:40 45.0492 -5 *5:40 *5:47 49.3264 -6 *5:47 *188:DIODE 33.15 -7 *5:40 *189:DIODE 23 -8 *5:28 *5:67 35.5391 -9 *5:67 *328:B 23 -*END - -*D_NET *6 0.0163163 -*CONN -*P gpio_defaults[2] I -*I *318:B I *D sky130_fd_sc_hd__nand2b_2 -*I *178:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *317:B I *D sky130_fd_sc_hd__or2_0 -*I *179:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 gpio_defaults[2] 0.000414363 -2 *318:B 0 -3 *178:DIODE 0 -4 *317:B 7.70953e-05 -5 *179:DIODE 0.000111897 -6 *6:59 0.000460764 -7 *6:51 0.000445893 -8 *6:41 0.00112803 -9 *6:27 0.00272466 -10 *6:7 0.00295245 -11 *317:B *317:A 2.64616e-05 -12 *317:B *109:13 2.64616e-05 -13 *317:B *115:15 6.85548e-05 -14 *317:B *123:32 6.85548e-05 -15 *6:7 *7:7 0 -16 *6:7 *144:16 4.93525e-05 -17 *6:27 resetn_out 0.00153357 -18 *6:27 *7:7 0 -19 *6:27 *8:19 0 -20 *6:27 *8:57 0.000138503 -21 *6:27 *11:19 0.000729062 -22 *6:27 *13:84 0.000140643 -23 *6:27 *94:60 4.62591e-05 -24 *6:27 *144:16 0.000262762 -25 *6:27 *152:8 0.000123154 -26 *6:41 resetn_out 0.000359169 -27 *6:41 *8:57 0.00014642 -28 *6:51 *353:SET_B 5.96346e-06 -29 *6:51 *8:57 0.000238697 -30 *6:51 *32:12 0.000232442 -31 *6:51 *42:29 0.000157625 -32 *6:51 *60:5 0.000346761 -33 *6:51 *105:18 3.13911e-05 -34 *6:51 *134:8 0.000155098 -35 *6:51 *149:25 4.67137e-05 -36 *6:51 *172:8 5.3304e-05 -37 *6:59 *318:A_N 0.000146885 -38 *6:59 *42:29 0.000524449 -39 *6:59 *134:8 0.000860005 -40 *1:16 *6:7 2.10797e-06 -41 *5:11 *179:DIODE 2.23467e-05 -42 *5:11 *6:7 0.000574482 -43 *5:11 *6:27 0.000876698 -44 *5:28 *6:27 7.28704e-06 -*RES -1 gpio_defaults[2] *6:7 9.87036 -2 *6:7 *179:DIODE 39.4189 -3 *6:7 *6:27 25.798 -4 *6:27 *317:B 47.6468 -5 *6:27 *6:41 8.74293 -6 *6:41 *6:51 38.725 -7 *6:51 *178:DIODE 23 -8 *6:41 *6:59 27.2939 -9 *6:59 *318:B 23 -*END - -*D_NET *7 0.0133861 -*CONN -*P gpio_defaults[3] I -*I *323:B I *D sky130_fd_sc_hd__or2_0 -*I *184:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *185:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *324:B I *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 gpio_defaults[3] 0.00173038 -2 *323:B 4.31705e-05 -3 *184:DIODE 0.000203035 -4 *185:DIODE 0 -5 *324:B 2.93729e-05 -6 *7:42 0.000659399 -7 *7:35 0.00110854 -8 *7:28 0.00063887 -9 *7:14 0.00113551 -10 *7:7 0.00248693 -11 *184:DIODE *158:11 0.000272367 -12 *323:B *39:7 5.79559e-05 -13 *323:B *57:11 7.14462e-05 -14 *323:B *116:21 6.63649e-05 -15 *324:B *364:CLK_N 1.92324e-05 -16 *7:7 *379:RESET_B 0 -17 *7:7 *117:24 0.00145488 -18 *7:7 *122:51 0.000485015 -19 *7:7 *144:16 9.83991e-05 -20 *7:7 *152:8 0.000206682 -21 *7:14 *324:A_N 1.61361e-05 -22 *7:14 *391:A 0.000466999 -23 *7:14 *436:A 0 -24 *7:14 *9:20 2.19403e-05 -25 *7:14 *58:7 1.23293e-05 -26 *7:28 *12:26 0.000140077 -27 *7:28 *57:11 1.35999e-05 -28 *7:28 *58:7 1.85916e-05 -29 *7:28 *116:21 0.00015599 -30 *7:28 *125:10 4.19479e-05 -31 *7:28 *135:15 7.52305e-05 -32 *7:35 *363:SET_B 0.000447365 -33 *7:35 *72:8 0.000193034 -34 *7:35 *95:19 6.12484e-05 -35 *7:42 *9:38 0.00021425 -36 *7:42 *95:19 7.4632e-05 -37 *7:42 *122:30 0.000163102 -38 *7:42 *125:10 0.00013658 -39 *7:42 *133:10 5.02992e-05 -40 *7:42 *133:12 5.20619e-06 -41 *7:42 *158:11 0.000309966 -42 *6:7 *7:7 0 -43 *6:27 *7:7 0 -*RES -1 gpio_defaults[3] *7:7 42.0914 -2 *7:7 *7:14 27.0971 -3 *7:14 *324:B 23.8493 -4 *7:14 *7:28 36.8357 -5 *7:28 *7:35 36.6286 -6 *7:35 *7:42 42.9464 -7 *7:42 *185:DIODE 23 -8 *7:35 *184:DIODE 26.0036 -9 *7:28 *323:B 24.5743 -*END - -*D_NET *8 0.0203663 -*CONN -*P gpio_defaults[4] I -*I *326:B I *D sky130_fd_sc_hd__nand2b_2 -*I *187:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *325:B I *D sky130_fd_sc_hd__or2_0 -*I *186:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 gpio_defaults[4] 0.000963333 -2 *326:B 0.000343655 -3 *187:DIODE 0 -4 *325:B 4.17433e-05 -5 *186:DIODE 0.00037997 -6 *8:57 0.00268053 -7 *8:27 0.00159023 -8 *8:23 0.00193396 -9 *8:19 0.00166293 -10 *8:15 0.00331783 -11 *8:13 0.000963333 -12 *186:DIODE *384:A 3.26241e-05 -13 *186:DIODE *94:7 0.000682361 -14 *326:B *326:A_N 0.000148185 -15 *326:B *60:5 0.000173206 -16 *8:13 *429:A 0 -17 *8:13 *430:A 5.5792e-05 -18 *8:13 *9:10 0.000179555 -19 *8:13 *10:20 0.000394242 -20 *8:13 *118:14 1.94813e-05 -21 *8:13 *123:44 0.000360062 -22 *8:13 *130:13 0.0001065 -23 *8:13 *138:6 0.000166982 -24 *8:13 *165:6 5.78554e-05 -25 *8:13 *167:6 2.33476e-05 -26 *8:19 resetn_out 6.63936e-05 -27 *8:19 *94:64 2.33476e-05 -28 *8:19 *116:38 0.00012966 -29 *8:19 *116:76 6.42861e-05 -30 *8:23 *13:84 0.000454612 -31 *8:23 *94:64 5.04743e-05 -32 *8:23 *168:8 0.000189592 -33 *8:27 *114:39 5.74915e-05 -34 *8:57 resetn_out 0.000178126 -35 *8:57 *357:SET_B 0.000357791 -36 *8:57 *11:19 0.000732514 -37 *8:57 *32:12 0.000375136 -38 *8:57 *34:10 5.0423e-05 -39 *8:57 *65:12 2.33476e-05 -40 *8:57 *77:19 2.11157e-05 -41 *8:57 *116:76 0.000395328 -42 *8:57 *123:25 4.8098e-05 -43 *8:57 *133:12 0.000154551 -44 *8:57 *148:17 0.000109619 -45 *1:16 *8:19 1.12725e-05 -46 *5:28 *8:57 7.18396e-05 -47 *6:27 *8:19 0 -48 *6:27 *8:57 0.000138503 -49 *6:41 *8:57 0.00014642 -50 *6:51 *8:57 0.000238697 -*RES -1 gpio_defaults[4] *8:13 49.1089 -2 *8:13 *8:15 8 -3 *8:15 *8:19 10.4379 -4 *8:19 *8:23 17.4414 -5 *8:23 *8:27 42.9643 -6 *8:27 *186:DIODE 33.15 -7 *8:23 *325:B 38.6214 -8 *8:19 *187:DIODE 38 -9 *8:15 *8:57 49.5742 -10 *8:57 *326:B 28.8829 -*END - -*D_NET *9 0.0136983 -*CONN -*P gpio_defaults[5] I -*I *335:B I *D sky130_fd_sc_hd__or2_0 -*I *198:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *197:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *336:B I *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 gpio_defaults[5] 0.000992369 -2 *335:B 0 -3 *198:DIODE 6.02365e-05 -4 *197:DIODE 0 -5 *336:B 0 -6 *9:38 0.0003567 -7 *9:24 0.000765286 -8 *9:20 0.00106934 -9 *9:13 0.0011079 -10 *9:10 0.00149975 -11 *198:DIODE *12:32 5.76359e-05 -12 *198:DIODE *159:40 0.000174761 -13 *9:10 *367:RESET_B 0.000124109 -14 *9:10 *368:RESET_B 7.15849e-05 -15 *9:10 *10:20 0.000176447 -16 *9:10 *94:60 0.000321974 -17 *9:10 *95:67 0.000583377 -18 *9:10 *116:48 0.000219686 -19 *9:10 *117:11 0.000123184 -20 *9:10 *126:7 0.000695525 -21 *9:10 *159:78 0.00138779 -22 *9:13 *114:39 9.13954e-05 -23 *9:13 *152:8 0.00048424 -24 *9:13 *168:8 6.94249e-05 -25 *9:20 *391:A 0.000532134 -26 *9:24 *10:26 0.000480951 -27 *9:24 *10:29 6.51997e-06 -28 *9:24 *114:19 0.000330783 -29 *9:24 *119:11 0.000349495 -30 *9:24 *159:8 0.000134341 -31 *9:24 *159:15 0.000332353 -32 *9:38 *200:DIODE 0.000100486 -33 *9:38 *12:32 3.26241e-05 -34 *9:38 *70:10 0.000182049 -35 *9:38 *119:11 1.56542e-05 -36 *9:38 *133:10 0.000160125 -37 *9:38 *159:40 0.000192342 -38 *7:14 *9:20 2.19403e-05 -39 *7:42 *9:38 0.00021425 -40 *8:13 *9:10 0.000179555 -*RES -1 gpio_defaults[5] *9:10 46.2993 -2 *9:10 *9:13 27.1179 -3 *9:13 *9:20 43.3193 -4 *9:20 *9:24 31.0743 -5 *9:24 *336:B 23 -6 *9:24 *9:38 38.0371 -7 *9:38 *197:DIODE 23 -8 *9:38 *198:DIODE 25.0507 -9 *9:13 *335:B 38 -*END - -*D_NET *10 0.0123545 -*CONN -*P gpio_defaults[6] I -*I *337:B I *D sky130_fd_sc_hd__or2_0 -*I *338:B I *D sky130_fd_sc_hd__nand2b_2 -*I *199:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *200:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 gpio_defaults[6] 0.00152727 -2 *337:B 2.32701e-05 -3 *338:B 0.000122824 -4 *199:DIODE 0 -5 *200:DIODE 0.000355282 -6 *10:29 0.000530916 -7 *10:26 0.000558172 -8 *10:21 0.0005873 -9 *10:20 0.00183158 -10 *200:DIODE *119:11 5.3304e-05 -11 *200:DIODE *129:10 0.000110267 -12 *200:DIODE *133:10 5.58003e-05 -13 *200:DIODE *159:15 0.000222828 -14 *200:DIODE *159:21 7.30808e-05 -15 *200:DIODE *159:27 2.95423e-05 -16 *200:DIODE *159:40 2.19403e-05 -17 *337:B *337:A 5.3304e-05 -18 *337:B *120:36 5.3304e-05 -19 *338:B *338:A_N 9.41704e-05 -20 *338:B *96:13 0.000204332 -21 *10:20 *13:20 2.47789e-05 -22 *10:20 *93:8 0.000176057 -23 *10:20 *94:60 0.000123818 -24 *10:20 *109:27 0.000104951 -25 *10:20 *117:11 0.000849226 -26 *10:20 *147:8 0.000137581 -27 *10:20 *147:22 0.000143266 -28 *10:20 *159:78 1.65917e-05 -29 *10:20 *165:6 6.00654e-05 -30 *10:20 *167:6 7.82891e-06 -31 *10:21 *337:A 0.000150872 -32 *10:21 *371:RESET_B 0.000546204 -33 *10:21 *34:18 0.000392085 -34 *10:21 *93:8 0.00011766 -35 *10:21 *169:12 4.96832e-05 -36 *10:26 *337:A 3.67392e-05 -37 *10:26 *338:A_N 4.08664e-05 -38 *10:26 *34:18 0.000585096 -39 *10:26 *96:13 9.66585e-05 -40 *10:26 *114:13 5.3304e-05 -41 *10:26 *114:19 3.46013e-05 -42 *10:26 *129:10 1.26897e-05 -43 *10:26 *159:8 4.67735e-05 -44 *10:29 *114:19 0.000402164 -45 *10:29 *129:10 0.00047782 -46 *8:13 *10:20 0.000394242 -47 *9:10 *10:20 0.000176447 -48 *9:24 *10:26 0.000480951 -49 *9:24 *10:29 6.51997e-06 -50 *9:38 *200:DIODE 0.000100486 -*RES -1 gpio_defaults[6] *10:20 44.8786 -2 *10:20 *10:21 9.47679 -3 *10:21 *10:26 25.0464 -4 *10:26 *10:29 21.4836 -5 *10:29 *200:DIODE 45.4157 -6 *10:29 *199:DIODE 38 -7 *10:26 *338:B 25.5271 -8 *10:21 *337:B 38.6214 -*END - -*D_NET *11 0.0124705 -*CONN -*P gpio_defaults[7] I -*I *340:B I *D sky130_fd_sc_hd__nand2b_2 -*I *202:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *201:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *339:B I *D sky130_fd_sc_hd__or2_0 -*CAP -1 gpio_defaults[7] 0.000359316 -2 *340:B 0 -3 *202:DIODE 0.000104529 -4 *201:DIODE 0 -5 *339:B 9.71613e-05 -6 *11:40 0.000547229 -7 *11:27 0.000844496 -8 *11:21 0.000557658 -9 *11:19 0.0015908 -10 *11:12 0.0016971 -11 *202:DIODE *401:A 4.67488e-05 -12 *202:DIODE *95:46 0.000175903 -13 *339:B *339:A 9.41704e-05 -14 *11:12 *319:B 0.000145163 -15 *11:12 *430:A 9.67816e-05 -16 *11:12 *12:7 6.94382e-05 -17 *11:12 *53:5 2.43944e-05 -18 *11:12 *118:14 0 -19 *11:12 *123:44 6.08617e-05 -20 *11:12 *130:18 3.53826e-05 -21 *11:19 *13:13 0.00047364 -22 *11:19 *32:12 0.000102253 -23 *11:19 *37:6 0 -24 *11:19 *57:15 0.000543143 -25 *11:19 *109:19 2.0779e-05 -26 *11:19 *113:22 4.30733e-05 -27 *11:19 *115:15 0.000260161 -28 *11:19 *117:40 0 -29 *11:19 *123:32 0.000111567 -30 *11:19 *145:22 0 -31 *11:19 *165:12 0.00018522 -32 *11:21 *13:31 0.00029133 -33 *11:21 *13:68 1.28035e-05 -34 *11:21 *32:12 0.000368493 -35 *11:21 *115:15 3.39192e-05 -36 *11:21 *171:8 9.89529e-06 -37 *11:27 *13:31 7.26106e-05 -38 *11:27 *30:6 1.23701e-05 -39 *11:27 *32:12 0.000426853 -40 *11:27 *154:10 0.000350321 -41 *11:40 *316:A_N 6.17437e-06 -42 *11:40 *41:45 0.00037483 -43 *11:40 *73:8 0.000257636 -44 *11:40 *119:33 0.000111243 -45 *11:40 *124:6 0.000376959 -46 *2:19 *11:19 0 -47 *4:11 *11:19 1.65132e-05 -48 *6:27 *11:19 0.000729062 -49 *8:57 *11:19 0.000732514 -*RES -1 gpio_defaults[7] *11:12 37.9957 -2 *11:12 *11:19 37.1736 -3 *11:19 *11:21 5.42714 -4 *11:21 *11:27 21.7529 -5 *11:27 *339:B 24.5743 -6 *11:27 *11:40 41.0821 -7 *11:40 *201:DIODE 23 -8 *11:21 *202:DIODE 40.0507 -9 *11:19 *340:B 38 -*END - -*D_NET *12 0.0180731 -*CONN -*P gpio_defaults[8] I -*I *319:B I *D sky130_fd_sc_hd__or2_0 -*I *320:B I *D sky130_fd_sc_hd__nand2b_2 -*I *180:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *181:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 gpio_defaults[8] 0.000178986 -2 *319:B 0.000136112 -3 *320:B 0 -4 *180:DIODE 0 -5 *181:DIODE 0.00122515 -6 *12:50 0.000204517 -7 *12:44 0.000721342 -8 *12:32 0.000467525 -9 *12:26 0.00108764 -10 *12:14 0.00206077 -11 *12:11 0.00164603 -12 *12:7 0.0012288 -13 *181:DIODE *371:CLK 2.43944e-05 -14 *181:DIODE *371:RESET_B 0.000219465 -15 *181:DIODE *374:CLK 3.28795e-05 -16 *181:DIODE *402:A 0.00022034 -17 *181:DIODE *159:69 2.03764e-06 -18 *181:DIODE *168:8 2.10502e-05 -19 *319:B *429:A 0.000100231 -20 *319:B *430:A 9.86777e-06 -21 *319:B *123:44 0.000536455 -22 *319:B *130:13 0.000182175 -23 *12:7 *13:13 9.18059e-05 -24 *12:7 *113:22 8.33238e-05 -25 *12:11 *57:15 0.000262773 -26 *12:11 *59:15 0.000260113 -27 *12:11 *113:22 0.000749689 -28 *12:11 *130:18 0 -29 *12:11 *143:19 0.00110274 -30 *12:14 *374:CLK 7.79323e-05 -31 *12:14 *374:D 6.17437e-06 -32 *12:14 *159:69 2.27677e-05 -33 *12:26 *357:SET_B 0.000947246 -34 *12:26 *116:21 3.95707e-06 -35 *12:26 *125:10 0.0011272 -36 *12:26 *135:15 2.88798e-05 -37 *12:32 *363:SET_B 0.000101815 -38 *12:32 *70:10 0.00014404 -39 *12:32 *113:14 0.000349166 -40 *12:32 *133:10 0.000121112 -41 *12:32 *133:12 0.000577674 -42 *12:44 *358:SET_B 9.30759e-05 -43 *12:44 *374:D 6.70361e-05 -44 *12:44 *57:15 0.000208899 -45 *12:44 *59:15 0.000100543 -46 *12:44 *95:67 0.000227473 -47 *12:44 *104:7 9.30303e-05 -48 *12:44 *115:19 2.64616e-05 -49 *12:50 *370:RESET_B 0.000207891 -50 *12:50 *375:CLK 0.000100061 -51 *12:50 *54:8 2.61756e-05 -52 *12:50 *103:8 7.6774e-06 -53 *12:50 *115:20 5.72853e-05 -54 *12:50 *118:32 1.00084e-05 -55 *198:DIODE *12:32 5.76359e-05 -56 *2:19 *12:44 0 -57 *4:11 *12:50 3.01385e-05 -58 *4:17 *12:50 8.22421e-06 -59 *7:28 *12:26 0.000140077 -60 *9:38 *12:32 3.26241e-05 -61 *11:12 *319:B 0.000145163 -62 *11:12 *12:7 6.94382e-05 -*RES -1 gpio_defaults[8] *12:7 4.39143 -2 *12:7 *12:11 37.6821 -3 *12:11 *12:14 3.50071 -4 *12:14 *181:DIODE 37.9143 -5 *12:14 *12:26 47.8143 -6 *12:26 *12:32 42.9879 -7 *12:32 *180:DIODE 23 -8 *12:11 *12:44 40.3986 -9 *12:44 *12:50 34.4121 -10 *12:50 *320:B 23 -11 *12:7 *319:B 44.3593 -*END - -*D_NET *13 0.0277414 -*CONN -*P gpio_defaults[9] I -*I *183:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *322:B I *D sky130_fd_sc_hd__nand2b_2 -*I *321:B I *D sky130_fd_sc_hd__or2_0 -*I *182:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 gpio_defaults[9] 0.00237277 -2 *183:DIODE 0 -3 *322:B 0 -4 *321:B 0 -5 *182:DIODE 0 -6 *13:84 0.00102498 -7 *13:68 0.00131063 -8 *13:52 0.000750898 -9 *13:42 0.000821749 -10 *13:31 0.00285587 -11 *13:20 0.00433734 -12 *13:13 0.00514125 -13 *13:13 *37:6 0 -14 *13:13 *38:8 0.000221299 -15 *13:13 *113:22 0.000525144 -16 *13:13 *116:35 0.000239705 -17 *13:13 *117:40 1.00422e-05 -18 *13:13 *144:16 2.78478e-05 -19 *13:20 pad_gpio_vtrip_sel 0.00163738 -20 *13:20 *116:35 5.97712e-05 -21 *13:20 *120:36 8.16944e-06 -22 *13:31 *364:RESET_B 1.12919e-05 -23 *13:31 *30:6 0.000258275 -24 *13:31 *103:8 6.0199e-05 -25 *13:31 *104:10 0.000467442 -26 *13:31 *114:69 3.92042e-05 -27 *13:31 *115:26 2.48764e-05 -28 *13:31 *170:6 0.00015568 -29 *13:31 *171:8 4.25501e-05 -30 *13:42 *351:A 5.68958e-05 -31 *13:42 *364:RESET_B 6.15872e-05 -32 *13:42 *35:7 7.82031e-05 -33 *13:42 *103:8 0.000181915 -34 *13:42 *104:10 0.000188419 -35 *13:42 *136:6 0.000485558 -36 *13:42 *137:11 4.01603e-05 -37 *13:52 *353:SET_B 3.93804e-05 -38 *13:52 *118:73 0.00016401 -39 *13:52 *120:22 0.000762814 -40 *13:68 *322:A_N 9.09026e-05 -41 *13:68 *355:RESET_B 0.000130978 -42 *13:68 *358:SET_B 9.98069e-06 -43 *13:68 *108:10 0.000220323 -44 *13:68 *115:15 6.17437e-06 -45 *13:68 *118:45 3.29148e-06 -46 *13:68 *126:7 3.28925e-06 -47 *13:68 *149:25 0.000116382 -48 *13:68 *171:8 7.57281e-06 -49 *13:84 pad_gpio_vtrip_sel 0.000105412 -50 *13:84 *168:8 0.000237456 -51 *1:16 *13:13 0.000409055 -52 *2:19 *13:13 0 -53 *2:19 *13:20 0.000147131 -54 *4:17 *13:31 0.000112317 -55 *4:17 *13:42 2.71929e-05 -56 *5:28 *13:84 8.84148e-05 -57 *6:27 *13:84 0.000140643 -58 *8:23 *13:84 0.000454612 -59 *10:20 *13:20 2.47789e-05 -60 *11:19 *13:13 0.00047364 -61 *11:21 *13:31 0.00029133 -62 *11:21 *13:68 1.28035e-05 -63 *11:27 *13:31 7.26106e-05 -64 *12:7 *13:13 9.18059e-05 -*RES -1 gpio_defaults[9] *13:13 31.0605 -2 *13:13 *13:20 19.8845 -3 *13:20 *13:31 28.5734 -4 *13:31 *13:42 31.2814 -5 *13:42 *182:DIODE 23 -6 *13:31 *13:52 40.9786 -7 *13:52 *321:B 38 -8 *13:20 *13:68 32.8039 -9 *13:68 *322:B 23 -10 *13:13 *13:84 38.9247 -11 *13:84 *183:DIODE 23 -*END - -*D_NET *14 0.00783551 -*CONN -*P mgmt_gpio_in O -*I *431:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 mgmt_gpio_in 0.0015524 -2 *431:X 0.000113993 -3 *14:8 0.00166639 -4 mgmt_gpio_in one 0.00135143 -5 mgmt_gpio_in zero 0.00165475 -6 mgmt_gpio_in *15:21 0.000170252 -7 mgmt_gpio_in *16:8 0.000347025 -8 mgmt_gpio_in *16:16 0.000402342 -9 mgmt_gpio_in *18:9 0.000400643 -10 *14:8 *47:14 0.000176282 -*RES -1 *431:X *14:8 48.4339 -2 *14:8 mgmt_gpio_in 8.05653 -*END - -*D_NET *15 0.00744618 -*CONN -*P mgmt_gpio_oeb I -*I *412:A I *D sky130_fd_sc_hd__buf_2 -*I *208:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 mgmt_gpio_oeb 0.00118866 -2 *412:A 0 -3 *208:DIODE 0 -4 *15:21 0.000736858 -5 *15:10 0.00192551 -6 *15:10 one 0.000902793 -7 *15:10 pad_gpio_ana_pol 0 -8 *15:10 *20:7 0.000433781 -9 *15:10 *20:12 0.000156774 -10 *15:10 *23:9 0.000271037 -11 *15:10 *123:65 5.68755e-05 -12 *15:21 *16:16 0.000943179 -13 *15:21 *122:7 9.66668e-05 -14 *15:21 *122:11 9.77405e-05 -15 *15:21 *122:15 0.000135753 -16 *15:21 *122:76 2.46951e-05 -17 *15:21 *173:15 9.99433e-06 -18 mgmt_gpio_in *15:21 0.000170252 -19 *1:53 *15:21 0.000122128 -20 *3:37 *15:21 3.52734e-05 -21 *5:40 *15:10 0.000126008 -22 *5:47 *15:21 1.21972e-05 -*RES -1 mgmt_gpio_oeb *15:10 29.4374 -2 *15:10 *15:21 46.0536 -3 *15:21 *208:DIODE 23 -4 *15:10 *412:A 23 -*END - -*D_NET *16 0.0111761 -*CONN -*P mgmt_gpio_out I -*I *209:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *413:A I *D sky130_fd_sc_hd__buf_2 -*CAP -1 mgmt_gpio_out 0.000353016 -2 *209:DIODE 0 -3 *413:A 0 -4 *16:23 3.32449e-05 -5 *16:16 0.00072521 -6 *16:8 0.00181721 -7 *16:7 0.00141177 -8 *16:7 pad_gpio_ana_en 0.000188334 -9 *16:7 *20:12 0.000156298 -10 *16:8 *18:9 0.00177132 -11 *16:16 *205:DIODE 5.43448e-05 -12 *16:16 *212:DIODE 6.7063e-05 -13 *16:16 *18:9 0.000716448 -14 *16:16 *122:19 0.000326639 -15 *16:16 *157:7 0.00067254 -16 *16:16 *173:7 0.000538694 -17 mgmt_gpio_in *16:8 0.000347025 -18 mgmt_gpio_in *16:16 0.000402342 -19 *188:DIODE *16:16 0.000634288 -20 *1:53 *16:16 1.71761e-05 -21 *15:21 *16:16 0.000943179 -*RES -1 mgmt_gpio_out *16:7 30.0118 -2 *16:7 *16:8 33.9714 -3 *16:8 *413:A 23 -4 *16:8 *16:16 32.0864 -5 *16:16 *209:DIODE 23 -6 mgmt_gpio_out *16:23 0.0709333 -*END - -*D_NET *17 0.00690823 -*CONN -*P one O -*I *417:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 one 0.00216817 -2 *417:X 8.15976e-05 -3 *17:8 0.00224977 -4 *17:8 *47:14 1.04834e-05 -5 mgmt_gpio_in one 0.00135143 -6 *5:40 one 0 -7 *5:47 one 0.000104089 -8 *5:47 *17:8 3.98887e-05 -9 *15:10 one 0.000902793 -*RES -1 *417:X *17:8 47.7296 -2 *17:8 one 8.4 -*END - -*D_NET *18 0.0074548 -*CONN -*P pad_gpio_ana_en O -*I *432:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_ana_en 0.000252524 -2 *432:X 0 -3 *18:16 3.04535e-05 -4 *18:9 0.00159655 -5 *18:4 0.00137448 -6 pad_gpio_ana_en pad_gpio_dm[2] 0.000295285 -7 pad_gpio_ana_en *20:12 0.000322559 -8 *18:9 *110:7 0.000188102 -9 mgmt_gpio_in *18:9 0.000400643 -10 *1:53 *18:9 0.000318095 -11 *16:7 pad_gpio_ana_en 0.000188334 -12 *16:8 *18:9 0.00177132 -13 *16:16 *18:9 0.000716448 -*RES -1 *432:X *18:4 23 -2 *18:4 *18:9 43.1893 -3 *18:9 pad_gpio_ana_en 31.7725 -4 pad_gpio_ana_en *18:16 0.0709333 -*END - -*D_NET *19 0.00643082 -*CONN -*P pad_gpio_ana_pol O -*I *433:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_ana_pol 0.00226167 -2 *433:X 0.000390975 -3 *19:8 0.00265265 -4 pad_gpio_ana_pol pad_gpio_dm[0] 0.000365974 -5 pad_gpio_ana_pol *24:9 0.000103304 -6 pad_gpio_ana_pol *123:13 6.92544e-05 -7 *19:8 *22:6 0.000198878 -8 *19:8 *123:13 7.1693e-05 -9 *19:8 *154:10 0.000152355 -10 *19:8 *159:40 0.000134581 -11 *5:40 pad_gpio_ana_pol 2.03754e-05 -12 *5:47 *19:8 9.11847e-06 -13 *15:10 pad_gpio_ana_pol 0 -*RES -1 *433:X *19:8 46.4618 -2 *19:8 pad_gpio_ana_pol 14.7685 -*END - -*D_NET *20 0.00730156 -*CONN -*P pad_gpio_ana_sel O -*I *434:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_ana_sel 0 -2 *434:X 0.00111617 -3 *20:12 0.000968787 -4 *20:7 0.00208495 -5 *20:7 *23:9 0.000862267 -6 *20:7 *26:14 0.000207588 -7 *20:12 pad_gpio_dm[2] 0.000173255 -8 *20:12 *23:9 0.000819129 -9 pad_gpio_ana_en *20:12 0.000322559 -10 *15:10 *20:7 0.000433781 -11 *15:10 *20:12 0.000156774 -12 *16:7 *20:12 0.000156298 -*RES -1 *434:X *20:7 47.4636 -2 *20:7 *20:12 44.5282 -3 *20:12 pad_gpio_ana_sel 8 -*END - -*D_NET *21 0.00710498 -*CONN -*P pad_gpio_dm[0] O -*I *418:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_dm[0] 0.00278808 -2 *418:X 2.58586e-05 -3 *21:8 0.00281394 -4 pad_gpio_dm[0] pad_gpio_dm[1] 0.000951256 -5 pad_gpio_dm[0] *24:9 0.000109637 -6 *21:8 *95:8 5.02282e-05 -7 pad_gpio_ana_pol pad_gpio_dm[0] 0.000365974 -*RES -1 *418:X *21:8 46.6732 -2 *21:8 pad_gpio_dm[0] 8.38133 -*END - -*D_NET *22 0.00764982 -*CONN -*P pad_gpio_dm[1] O -*I *419:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_dm[1] 0.00233819 -2 *419:X 0.000301246 -3 *22:6 0.00263943 -4 pad_gpio_dm[1] *25:9 0.000247776 -5 pad_gpio_dm[1] *119:86 8.16944e-06 -6 *22:6 serial_clock_out 0.000106337 -7 *22:6 *100:27 0.000196916 -8 *22:6 *154:10 0.000657365 -9 *22:6 *159:40 4.26047e-06 -10 pad_gpio_dm[0] pad_gpio_dm[1] 0.000951256 -11 *1:27 pad_gpio_dm[1] 0 -12 *19:8 *22:6 0.000198878 -*RES -1 *419:X *22:6 47.1246 -2 *22:6 pad_gpio_dm[1] 14.8544 -*END - -*D_NET *23 0.00701834 -*CONN -*P pad_gpio_dm[2] O -*I *420:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_dm[2] 0.000278771 -2 *420:X 0 -3 *23:9 0.00171316 -4 *23:4 0.00143439 -5 pad_gpio_dm[2] pad_gpio_holdover 0.00020282 -6 pad_gpio_dm[2] *26:7 0.000909939 -7 *23:9 *26:8 0 -8 *23:9 *26:14 5.82853e-05 -9 pad_gpio_ana_en pad_gpio_dm[2] 0.000295285 -10 *15:10 *23:9 0.000271037 -11 *20:7 *23:9 0.000862267 -12 *20:12 pad_gpio_dm[2] 0.000173255 -13 *20:12 *23:9 0.000819129 -*RES -1 *420:X *23:4 23 -2 *23:4 *23:9 39.73 -3 *23:9 pad_gpio_dm[2] 37.4068 -*END - -*D_NET *24 0.00639714 -*CONN -*P pad_gpio_holdover O -*I *421:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_holdover 0.00017119 -2 *421:X 0 -3 *24:9 0.00238235 -4 *24:4 0.00221116 -5 pad_gpio_holdover pad_gpio_ib_mode_sel 0.000356667 -6 pad_gpio_holdover *26:7 5.71606e-05 -7 *24:9 *314:A 6.96206e-05 -8 *24:9 *331:A 9.2057e-05 -9 *24:9 *334:A_N 2.64616e-05 -10 *24:9 *25:9 1.56662e-06 -11 *24:9 *68:7 8.72265e-05 -12 *24:9 *113:5 0.000525908 -13 pad_gpio_ana_pol *24:9 0.000103304 -14 pad_gpio_dm[0] *24:9 0.000109637 -15 pad_gpio_dm[2] pad_gpio_holdover 0.00020282 -*RES -1 *421:X *24:4 23 -2 *24:4 *24:9 45.7993 -3 *24:9 pad_gpio_holdover 30.0118 -*END - -*D_NET *25 0.00652284 -*CONN -*P pad_gpio_ib_mode_sel O -*I *422:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_ib_mode_sel 0.000271027 -2 *422:X 0 -3 *25:16 3.32208e-05 -4 *25:9 0.00245856 -5 *25:4 0.00222076 -6 pad_gpio_ib_mode_sel *26:7 0 -7 *25:9 *423:A 5.58003e-05 -8 *25:9 *27:7 0.00031434 -9 *25:9 *39:7 0.000563123 -10 pad_gpio_dm[1] *25:9 0.000247776 -11 pad_gpio_holdover pad_gpio_ib_mode_sel 0.000356667 -12 *24:9 *25:9 1.56662e-06 -*RES -1 *422:X *25:4 23 -2 *25:4 *25:9 45.4471 -3 *25:9 pad_gpio_ib_mode_sel 29.6596 -4 pad_gpio_ib_mode_sel *25:16 0.0709333 -*END - -*D_NET *26 0.0102039 -*CONN -*P pad_gpio_in I -*I *414:A I *D sky130_fd_sc_hd__buf_2 -*I *210:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 pad_gpio_in 0.000639971 -2 *414:A 2.02987e-05 -3 *210:DIODE 0 -4 *26:28 3.45591e-05 -5 *26:14 0.00105872 -6 *26:10 0.00107902 -7 *26:8 0.00195239 -8 *26:7 0.0025578 -9 *414:A *99:8 2.46399e-05 -10 *26:8 *67:7 1.21972e-05 -11 *26:14 *175:DIODE 0.000619195 -12 *26:14 *99:8 6.35124e-05 -13 *26:14 *120:8 2.56091e-05 -14 *26:14 *159:40 0.000551029 -15 pad_gpio_dm[2] *26:7 0.000909939 -16 pad_gpio_holdover *26:7 5.71606e-05 -17 pad_gpio_ib_mode_sel *26:7 0 -18 *333:B *26:8 0.000179725 -19 *334:B *414:A 2.76401e-05 -20 *4:44 *414:A 3.67392e-05 -21 *4:44 *26:8 5.3304e-05 -22 *4:44 *26:14 3.46013e-05 -23 *20:7 *26:14 0.000207588 -24 *23:9 *26:8 0 -25 *23:9 *26:14 5.82853e-05 -*RES -1 pad_gpio_in *26:7 40.9282 -2 *26:7 *26:8 38.7357 -3 *26:8 *26:10 15 -4 *26:10 *26:14 37.5371 -5 *26:14 *210:DIODE 23 -6 *26:10 *414:A 38.8493 -7 pad_gpio_in *26:28 0.0709333 -*END - -*D_NET *27 0.00371326 -*CONN -*P pad_gpio_inenb O -*I *423:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_inenb 0.00131999 -2 *423:X 6.7596e-05 -3 *27:7 0.00138759 -4 pad_gpio_inenb pad_gpio_out 0.000311104 -5 pad_gpio_inenb pad_gpio_slow_sel 0 -6 pad_gpio_inenb *39:10 0 -7 pad_gpio_inenb *68:8 0 -8 *27:7 *39:7 0.000312639 -9 *25:9 *27:7 0.00031434 -*RES -1 *423:X *27:7 41.48 -2 *27:7 pad_gpio_inenb 22.3996 -*END - -*D_NET *28 0.00346965 -*CONN -*P pad_gpio_out O -*I *424:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_out 0.000664304 -2 *424:X 0.000864218 -3 *28:5 0.00152852 -4 pad_gpio_out pad_gpio_slow_sel 0 -5 *28:5 *29:7 0 -6 *28:5 *120:22 0.000101506 -7 pad_gpio_inenb pad_gpio_out 0.000311104 -*RES -1 *424:X *28:5 38.8671 -2 *28:5 pad_gpio_out 31.8946 -*END - -*D_NET *29 0.00795648 -*CONN -*P pad_gpio_outenb O -*I *425:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_outenb 0.000366947 -2 *425:X 2.4795e-05 -3 *29:14 3.0422e-05 -4 *29:7 0.00286938 -5 *29:5 0.00255765 -6 *29:7 *310:C 2.2008e-05 -7 *29:7 *39:11 0.00131511 -8 *29:7 *63:8 9.77922e-06 -9 *29:7 *77:7 4.67488e-05 -10 *29:7 *101:20 0.000424672 -11 *29:7 *122:76 4.34559e-05 -12 *191:DIODE *29:7 6.06617e-05 -13 *329:B *29:7 0.000184861 -14 *28:5 *29:7 0 -*RES -1 *425:X *29:5 23.4971 -2 *29:5 *29:7 59.2221 -3 *29:7 pad_gpio_outenb 30.3639 -4 pad_gpio_outenb *29:14 0.0709333 -*END - -*D_NET *30 0.00885113 -*CONN -*P pad_gpio_slow_sel O -*I *426:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_slow_sel 0.00189271 -2 *426:X 0.000314777 -3 *30:6 0.00220749 -4 pad_gpio_slow_sel pad_gpio_vtrip_sel 0.0010231 -5 pad_gpio_slow_sel *41:13 0.000154841 -6 pad_gpio_slow_sel *41:15 0.000221878 -7 pad_gpio_slow_sel *41:41 0.000973619 -8 pad_gpio_slow_sel *108:17 0.000313892 -9 pad_gpio_slow_sel *114:69 5.88198e-05 -10 *30:6 serial_clock_out 6.17842e-05 -11 *30:6 *100:27 0.000351457 -12 *30:6 *154:10 0.000560047 -13 *30:6 *171:8 2.11157e-05 -14 pad_gpio_inenb pad_gpio_slow_sel 0 -15 pad_gpio_out pad_gpio_slow_sel 0 -16 *2:19 pad_gpio_slow_sel 6.92544e-05 -17 *2:19 *30:6 0.000355707 -18 *11:27 *30:6 1.23701e-05 -19 *13:31 *30:6 0.000258275 -*RES -1 *426:X *30:6 49.5896 -2 *30:6 pad_gpio_slow_sel 14.8544 -*END - -*D_NET *31 0.0123653 -*CONN -*P pad_gpio_vtrip_sel O -*I *427:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_vtrip_sel 0.00285959 -2 *427:X 1.64616e-05 -3 *31:8 0.00287605 -4 pad_gpio_vtrip_sel *32:12 0.000863582 -5 pad_gpio_vtrip_sel *108:17 0.000139148 -6 pad_gpio_vtrip_sel *120:36 4.81655e-06 -7 *31:8 *379:RESET_B 1.23773e-05 -8 pad_gpio_slow_sel pad_gpio_vtrip_sel 0.0010231 -9 *2:19 pad_gpio_vtrip_sel 2.46625e-06 -10 *5:28 pad_gpio_vtrip_sel 0.00282487 -11 *13:20 pad_gpio_vtrip_sel 0.00163738 -12 *13:84 pad_gpio_vtrip_sel 0.000105412 -*RES -1 *427:X *31:8 46.3211 -2 *31:8 pad_gpio_vtrip_sel 10.7184 -*END - -*D_NET *32 0.0123254 -*CONN -*P resetn I -*I *415:A I *D sky130_fd_sc_hd__buf_2 -*I *211:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 resetn 0.00243312 -2 *415:A 0.000100815 -3 *211:DIODE 0.00015115 -4 *32:12 0.00268509 -5 *211:DIODE *156:10 0.000309402 -6 *415:A *39:7 9.17365e-05 -7 *415:A *132:7 0.000179473 -8 *32:12 resetn_out 0.000571911 -9 *32:12 *74:6 0.000590354 -10 *32:12 *123:25 0.000259665 -11 *32:12 *148:9 0.000355269 -12 *32:12 *148:17 0.000726044 -13 *32:12 *154:10 5.92968e-06 -14 *32:12 *156:10 0.000191276 -15 pad_gpio_vtrip_sel *32:12 0.000863582 -16 *4:11 *32:12 0.000147218 -17 *4:17 *211:DIODE 0.000309402 -18 *4:17 *32:12 0.000789688 -19 *5:28 *32:12 5.90917e-05 -20 *6:51 *32:12 0.000232442 -21 *8:57 *32:12 0.000375136 -22 *11:19 *32:12 0.000102253 -23 *11:21 *32:12 0.000368493 -24 *11:27 *32:12 0.000426853 -*RES -1 resetn *32:12 38.0324 -2 *32:12 *211:DIODE 42.3707 -3 *32:12 *415:A 40.5479 -*END - -*D_NET *33 0.0129259 -*CONN -*P resetn_out O -*I *428:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 resetn_out 0.0028825 -2 *428:X 5.45677e-05 -3 *33:10 0.00293706 -4 resetn_out *34:10 0.00427623 -5 *33:10 *379:RESET_B 6.86315e-06 -6 *33:10 *94:64 5.56356e-05 -7 *33:10 *159:78 3.87221e-06 -8 *6:27 resetn_out 0.00153357 -9 *6:41 resetn_out 0.000359169 -10 *8:19 resetn_out 6.63936e-05 -11 *8:57 resetn_out 0.000178126 -12 *32:12 resetn_out 0.000571911 -*RES -1 *428:X *33:10 46.9425 -2 *33:10 resetn_out 10.9984 -*END - -*D_NET *34 0.0172518 -*CONN -*P serial_clock I -*I *382:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *203:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 serial_clock 0.00272196 -2 *382:A 0 -3 *203:DIODE 0 -4 *34:18 0.000400228 -5 *34:11 0.000571358 -6 *34:10 0.00289309 -7 *34:10 serial_clock_out 0.000879657 -8 *34:10 *377:RESET_B 0.000547176 -9 *34:10 *383:A 0.00024649 -10 *34:10 *94:26 0.000161748 -11 *34:10 *94:37 0.000292436 -12 *34:10 *94:60 0.000264372 -13 *34:10 *116:76 0.000118204 -14 *34:10 *119:102 0.000531863 -15 *34:10 *125:10 0.00105698 -16 *34:10 *128:6 4.39716e-05 -17 *34:11 *59:5 0.000132311 -18 *34:11 *92:7 4.05745e-05 -19 *34:18 *385:A 0.000242052 -20 *34:18 *59:5 2.64616e-05 -21 *34:18 *92:7 2.64616e-05 -22 *34:18 *93:8 0.000150872 -23 *34:18 *116:21 0.000354588 -24 *34:18 *116:35 1.30942e-05 -25 *34:18 *129:10 9.6399e-05 -26 resetn_out *34:10 0.00427623 -27 *5:67 *34:10 0.000135566 -28 *8:57 *34:10 5.0423e-05 -29 *10:21 *34:18 0.000392085 -30 *10:26 *34:18 0.000585096 -*RES -1 serial_clock *34:10 47.1893 -2 *34:10 *34:11 3.00357 -3 *34:11 *34:18 43.7957 -4 *34:18 *203:DIODE 23 -5 *34:11 *382:A 23 -*END - -*D_NET *35 0.0147577 -*CONN -*P serial_clock_out O -*I *435:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 serial_clock_out 0.00285753 -2 *435:X 0.00043252 -3 *35:7 0.00329005 -4 serial_clock_out serial_data_out 0 -5 serial_clock_out *36:8 0.000741323 -6 serial_clock_out *100:27 0.000939444 -7 serial_clock_out *115:15 3.60512e-05 -8 serial_clock_out *116:76 0.000111172 -9 serial_clock_out *119:16 2.2385e-05 -10 serial_clock_out *119:86 0.000178689 -11 serial_clock_out *119:102 0.000123612 -12 serial_clock_out *124:6 0.00235062 -13 serial_clock_out *143:7 0.00011794 -14 serial_clock_out *145:9 0.000361347 -15 serial_clock_out *171:8 0.000566847 -16 *35:7 *351:A 0.000143259 -17 *35:7 *41:45 0.000138859 -18 *35:7 *95:9 5.56279e-05 -19 *35:7 *95:19 0.000146822 -20 *35:7 *95:29 0.000230556 -21 *35:7 *135:7 5.68958e-05 -22 *35:7 *137:7 3.26241e-05 -23 *1:16 serial_clock_out 4.42081e-05 -24 *2:19 serial_clock_out 0.000353641 -25 *5:67 serial_clock_out 0.000299712 -26 *13:42 *35:7 7.82031e-05 -27 *22:6 serial_clock_out 0.000106337 -28 *30:6 serial_clock_out 6.17842e-05 -29 *34:10 serial_clock_out 0.000879657 -*RES -1 *435:X *35:7 47.6736 -2 *35:7 serial_clock_out 48.1052 -*END - -*D_NET *36 0.0113594 -*CONN -*P serial_data_in I -*I *416:A I *D sky130_fd_sc_hd__buf_2 -*I *212:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 serial_data_in 0.00116196 -2 *416:A 0 -3 *212:DIODE 0.000265047 -4 *36:16 0.00115255 -5 *36:11 0.000920719 -6 *36:8 0.00119518 -7 *212:DIODE *157:7 0.000230604 -8 *36:8 serial_data_out 0.000740743 -9 *36:8 *356:CLK_N 0.000558941 -10 *36:8 *97:15 0.000263936 -11 *36:8 *102:8 8.14594e-05 -12 *36:8 *102:12 5.04743e-05 -13 *36:8 *106:6 0.000406584 -14 *36:8 *110:8 0.00202305 -15 *36:16 *102:12 0.00107171 -16 *36:16 *110:8 0.000375095 -17 *36:16 *120:8 5.30056e-05 -18 serial_clock_out *36:8 0.000741323 -19 *16:16 *212:DIODE 6.7063e-05 -*RES -1 serial_data_in *36:8 38.7613 -2 *36:8 *36:11 15.6214 -3 *36:11 *36:16 36.5429 -4 *36:16 *212:DIODE 42.4329 -5 *36:11 *416:A 23 -*END - -*D_NET *37 0.00901456 -*CONN -*P serial_data_out O -*I *429:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 serial_data_out 0.00205063 -2 *429:X 0.000370145 -3 *37:6 0.00242077 -4 serial_data_out *38:8 0.00133345 -5 *37:6 *117:40 9.23514e-05 -6 serial_clock_out serial_data_out 0 -7 *1:16 serial_data_out 0.00146319 -8 *2:19 *37:6 0.000543288 -9 *11:19 *37:6 0 -10 *13:13 *37:6 0 -11 *36:8 serial_data_out 0.000740743 -*RES -1 *429:X *37:6 45.7161 -2 *37:6 serial_data_out 16.0565 -*END - -*D_NET *38 0.0136992 -*CONN -*P serial_load I -*I *204:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *383:A I *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 serial_load 0.00316399 -2 *204:DIODE 0 -3 *383:A 0.000409761 -4 *38:20 0.00118144 -5 *38:8 0.00475519 -6 *383:A *61:5 6.47117e-05 -7 *383:A *93:7 6.17437e-06 -8 *383:A *109:19 0.000114905 -9 *383:A *125:10 0.000136903 -10 *383:A *128:6 0.000560878 -11 *38:8 user_gpio_in 0 -12 *38:8 *117:40 0.000712298 -13 *38:8 *125:10 0.000129796 -14 *38:8 *128:6 5.15635e-05 -15 *38:8 *138:6 0.000103272 -16 *38:8 *144:9 0.000383801 -17 *38:20 *357:CLK_N 7.14493e-05 -18 *38:20 *357:D 6.17437e-06 -19 *38:20 *369:RESET_B 2.68176e-05 -20 *38:20 *166:7 5.63975e-06 -21 serial_data_out *38:8 0.00133345 -22 *1:16 *38:8 1.32168e-05 -23 *13:13 *38:8 0.000221299 -24 *34:10 *383:A 0.00024649 -*RES -1 serial_load *38:8 21.7109 -2 *38:8 *383:A 48.4193 -3 *38:8 *38:20 28.485 -4 *38:20 *204:DIODE 23 -*END - -*D_NET *39 0.012906 -*CONN -*P serial_load_out O -*I *436:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 serial_load_out 0.0010548 -2 *436:X 0 -3 *39:24 3.0422e-05 -4 *39:16 0.00161036 -5 *39:11 0.001831 -6 *39:10 0.00167706 -7 *39:7 0.00206884 -8 *39:4 0.0016368 -9 *39:7 *423:A 9.66668e-05 -10 *39:7 *57:11 0.000229793 -11 *39:7 *116:21 2.94787e-05 -12 *39:7 *132:7 0.00025056 -13 pad_gpio_inenb *39:10 0 -14 *323:B *39:7 5.79559e-05 -15 *415:A *39:7 9.17365e-05 -16 *1:48 *39:7 4.96303e-05 -17 *25:9 *39:7 0.000563123 -18 *27:7 *39:7 0.000312639 -19 *29:7 *39:11 0.00131511 -*RES -1 *436:X *39:4 23 -2 *39:4 *39:7 46.1129 -3 *39:7 *39:10 23.9486 -4 *39:10 *39:11 35.8771 -5 *39:11 *39:16 42.8221 -6 *39:16 serial_load_out 45.0504 -7 serial_load_out *39:24 0.0709333 -*END - -*D_NET *40 0.0100927 -*CONN -*P user_gpio_in O -*I *430:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 user_gpio_in 0.00463215 -2 *430:X 3.41604e-05 -3 *40:8 0.00466631 -4 user_gpio_in *42:8 0.000227015 -5 user_gpio_in *144:9 0.000410095 -6 user_gpio_in *144:16 0.000122951 -7 *40:8 *159:78 0 -8 *38:8 user_gpio_in 0 -*RES -1 *430:X *40:8 46.6732 -2 *40:8 user_gpio_in 11.0432 -*END - -*D_NET *41 0.0168242 -*CONN -*P user_gpio_oeb I -*I *174:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *308:A0 I *D sky130_fd_sc_hd__mux2_4 -*CAP -1 user_gpio_oeb 0.00109196 -2 *174:DIODE 0 -3 *308:A0 0 -4 *41:45 0.000817442 -5 *41:41 0.00165425 -6 *41:15 0.00155014 -7 *41:13 0.00280325 -8 *41:10 0.00318189 -9 *41:15 *127:7 6.39527e-05 -10 *41:41 *67:8 2.26406e-05 -11 *41:41 *98:13 1.6318e-05 -12 *41:41 *114:69 0.00080666 -13 *41:41 *127:7 0.000136762 -14 *41:41 *140:15 2.33476e-05 -15 *41:41 *161:8 1.24376e-05 -16 *41:45 *349:A 2.95526e-05 -17 *41:45 *42:29 0.000536825 -18 *41:45 *42:34 0.000990975 -19 *41:45 *73:8 0.000162263 -20 *41:45 *118:48 1.28035e-05 -21 *41:45 *118:56 0.000315479 -22 *41:45 *119:16 0.000598281 -23 *41:45 *119:86 2.11157e-05 -24 *41:45 *124:6 3.12911e-05 -25 *41:45 *134:8 5.40749e-05 -26 *41:45 *159:43 2.64616e-05 -27 pad_gpio_slow_sel *41:13 0.000154841 -28 pad_gpio_slow_sel *41:15 0.000221878 -29 pad_gpio_slow_sel *41:41 0.000973619 -30 *11:40 *41:45 0.00037483 -31 *35:7 *41:45 0.000138859 -*RES -1 user_gpio_oeb *41:10 46.9856 -2 *41:10 *41:13 43.7589 -3 *41:13 *41:15 13.7232 -4 *41:15 *308:A0 23 -5 *41:15 *41:41 38.1577 -6 *41:41 *41:45 39.8054 -7 *41:45 *174:DIODE 23 -*END - -*D_NET *42 0.0167705 -*CONN -*P user_gpio_out I -*I *309:B I *D sky130_fd_sc_hd__nand2b_2 -*I *175:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 user_gpio_out 0.0012957 -2 *309:B 0 -3 *175:DIODE 0.000454227 -4 *42:34 0.00114161 -5 *42:29 0.00180835 -6 *42:15 0.00236154 -7 *42:8 0.00253628 -8 *42:8 *79:9 0 -9 *42:8 *102:8 8.41861e-05 -10 *42:8 *110:8 0.000201788 -11 *42:15 *315:A 1.56408e-05 -12 *42:15 *361:D 4.67488e-05 -13 *42:15 *49:8 0.000349509 -14 *42:15 *102:8 6.27212e-05 -15 *42:15 *105:7 2.00272e-05 -16 *42:15 *110:8 6.27212e-05 -17 *42:29 *317:A 0.000181815 -18 *42:29 *318:A_N 0.000249588 -19 *42:29 *73:8 0.000110094 -20 *42:29 *118:45 0.000100843 -21 *42:29 *118:48 0.000175112 -22 *42:29 *119:86 0.000102364 -23 *42:29 *124:6 0.000840792 -24 *42:29 *134:8 3.84827e-05 -25 *42:29 *149:8 0.000128934 -26 *42:34 *119:16 9.06934e-05 -27 *42:34 *132:13 0 -28 *42:34 *134:8 0.000960358 -29 *42:34 *159:40 4.27392e-05 -30 user_gpio_in *42:8 0.000227015 -31 *315:B *42:15 0.000251531 -32 *6:51 *42:29 0.000157625 -33 *6:59 *42:29 0.000524449 -34 *26:14 *175:DIODE 0.000619195 -35 *41:45 *42:29 0.000536825 -36 *41:45 *42:34 0.000990975 -*RES -1 user_gpio_out *42:8 20.8878 -2 *42:8 *42:15 46.82 -3 *42:15 *42:29 41.9907 -4 *42:29 *42:34 48.8086 -5 *42:34 *175:DIODE 29.815 -6 *42:8 *309:B 38 -*END - -*D_NET *47 0.00721763 -*CONN -*P zero O -*I *438:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 zero 0.00186538 -2 *438:X 0.000584648 -3 *47:14 0.00245003 -4 zero *122:15 0.000196472 -5 zero *157:7 0.000169398 -6 mgmt_gpio_in zero 0.00165475 -7 *5:47 *47:14 0.000110184 -8 *14:8 *47:14 0.000176282 -9 *17:8 *47:14 1.04834e-05 -*RES -1 *438:X *47:14 49.7139 -2 *47:14 zero 16.0565 -*END - -*D_NET *48 0.00210937 -*CONN -*I *311:A_N I *D sky130_fd_sc_hd__and2b_2 -*I *360:Q_N O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *311:A_N 0 -2 *360:Q_N 0.000269623 -3 *48:6 0.000269623 -4 *48:6 *307:B 2.02217e-05 -5 *48:6 *311:B 0.000401315 -6 *48:6 *77:19 0.000346197 -7 *48:6 *78:8 0.000551348 -8 *48:6 *99:8 1.39826e-05 -9 *48:6 *100:8 0.000113244 -10 *5:40 *48:6 0.00012381 -*RES -1 *360:Q_N *48:6 48.005 -2 *48:6 *311:A_N 38 -*END - -*D_NET *49 0.0022988 -*CONN -*I *353:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *315:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *353:RESET_B 0.000200163 -2 *315:X 0.000246003 -3 *49:8 0.000446165 -4 *353:RESET_B *98:7 0.000357552 -5 *353:RESET_B *164:7 0.000120159 -6 *353:RESET_B *165:23 0.000215121 -7 *49:8 *315:A 4.53082e-05 -8 *49:8 *67:8 6.71601e-05 -9 *49:8 *77:19 6.94465e-05 -10 *315:B *49:8 0.00018221 -11 *42:15 *49:8 0.000349509 -*RES -1 *315:X *49:8 44.2143 -2 *49:8 *353:RESET_B 44.815 -*END - -*D_NET *50 0.00541416 -*CONN -*I *353:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *316:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *353:SET_B 0.0014364 -2 *316:Y 1.92001e-05 -3 *50:7 0.0014556 -4 *353:SET_B *53:12 0 -5 *353:SET_B *54:14 0.000101527 -6 *353:SET_B *105:18 0 -7 *353:SET_B *134:8 0.00218485 -8 *353:SET_B *145:9 9.86551e-06 -9 *353:SET_B *149:25 5.22586e-05 -10 *50:7 *119:33 5.3304e-05 -11 *316:B *50:7 5.58003e-05 -12 *6:51 *353:SET_B 5.96346e-06 -13 *13:52 *353:SET_B 3.93804e-05 -*RES -1 *316:Y *50:7 38.6214 -2 *50:7 *353:SET_B 45.7814 -*END - -*D_NET *51 0.00343846 -*CONN -*I *354:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *317:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *354:RESET_B 0 -2 *317:X 7.89974e-05 -3 *51:11 0.000696031 -4 *51:7 0.000775029 -5 *51:7 *109:13 9.65021e-05 -6 *51:11 *354:SET_B 0.000352646 -7 *51:11 *379:D 7.18054e-05 -8 *51:11 *399:A 5.3304e-05 -9 *51:11 *61:10 0.000248741 -10 *51:11 *103:7 3.14901e-05 -11 *51:11 *103:8 3.77288e-06 -12 *51:11 *117:61 0.000303722 -13 *51:11 *118:22 0 -14 *51:11 *118:32 0.000248712 -15 *51:11 *123:32 0.000158184 -16 *51:11 *145:22 2.04878e-05 -17 *51:11 *160:6 0.000182365 -18 *51:11 *162:8 8.87198e-05 -19 *51:11 *165:18 1.68763e-05 -20 *4:11 *51:11 1.10742e-05 -*RES -1 *317:X *51:7 39.0979 -2 *51:7 *51:11 31.4264 -3 *51:11 *354:RESET_B 23 -*END - -*D_NET *52 0.00200751 -*CONN -*I *354:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *318:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *354:SET_B 0.000568449 -2 *318:Y 0.000568449 -3 *354:SET_B *318:A_N 5.5792e-05 -4 *354:SET_B *379:D 5.49443e-05 -5 *354:SET_B *399:A 2.31687e-05 -6 *354:SET_B *163:13 0.000113397 -7 *3:11 *354:SET_B 0.000270663 -8 *51:11 *354:SET_B 0.000352646 -*RES -1 *318:Y *354:SET_B 34.5068 -*END - -*D_NET *53 0.00787467 -*CONN -*I *355:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *319:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *355:RESET_B 0.000150111 -2 *319:X 0.00153253 -3 *53:12 0.0011157 -4 *53:5 0.00249812 -5 *355:RESET_B *322:A_N 0.000127898 -6 *53:5 *378:CLK 0.000238898 -7 *53:5 *430:A 0.000405474 -8 *53:5 *115:7 3.28925e-06 -9 *53:5 *123:44 2.21163e-05 -10 *53:5 *142:17 1.24298e-05 -11 *53:5 *163:13 0.000150245 -12 *53:12 *315:A 2.44846e-05 -13 *53:12 *361:SET_B 2.15582e-05 -14 *53:12 *378:RESET_B 0.000211268 -15 *53:12 *55:10 0.000408292 -16 *53:12 *105:18 0.000443772 -17 *53:12 *119:102 0.000115114 -18 *53:12 *134:8 0 -19 *53:12 *141:8 0.000167221 -20 *53:12 *148:27 7.07867e-05 -21 *53:12 *163:13 0 -22 *353:SET_B *53:12 0 -23 *11:12 *53:5 2.43944e-05 -24 *13:68 *355:RESET_B 0.000130978 -*RES -1 *319:X *53:5 44.1079 -2 *53:5 *53:12 36.3357 -3 *53:12 *355:RESET_B 41.0036 -*END - -*D_NET *54 0.00259001 -*CONN -*I *355:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *320:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *355:SET_B 0 -2 *320:Y 0.000128711 -3 *54:14 0.000633984 -4 *54:8 0.000762694 -5 *54:8 *375:CLK 0.000140927 -6 *54:8 *61:5 9.77405e-05 -7 *54:8 *118:32 4.8652e-05 -8 *54:14 *375:D 1.8561e-06 -9 *54:14 *375:RESET_B 0.000374017 -10 *54:14 *149:25 0.00016647 -11 *353:SET_B *54:14 0.000101527 -12 *4:11 *54:8 0.000107251 -13 *12:50 *54:8 2.61756e-05 -*RES -1 *320:Y *54:8 41.1279 -2 *54:8 *54:14 38.3686 -3 *54:14 *355:SET_B 15 -*END - -*D_NET *55 0.00494353 -*CONN -*I *356:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *321:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *356:RESET_B 0 -2 *321:X 2.9808e-05 -3 *55:10 0.000811169 -4 *55:7 0.000840977 -5 *55:7 *332:A_N 1.35999e-05 -6 *55:7 *119:33 5.82853e-05 -7 *55:10 *361:SET_B 0.00160687 -8 *55:10 *108:17 0.000924002 -9 *55:10 *148:27 5.65774e-05 -10 *55:10 *155:10 7.30672e-05 -11 *1:27 *55:10 0.000120879 -12 *53:12 *55:10 0.000408292 -*RES -1 *321:X *55:7 38.6214 -2 *55:7 *55:10 37.33 -3 *55:10 *356:RESET_B 23 -*END - -*D_NET *56 0.00169197 -*CONN -*I *356:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *322:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *356:SET_B 0.000191839 -2 *322:Y 0.000180093 -3 *56:6 0.000371932 -4 *356:SET_B *356:D 6.23278e-05 -5 *56:6 *76:8 0.000444491 -6 *56:6 *79:9 0.000441288 -*RES -1 *322:Y *56:6 44.1314 -2 *56:6 *356:SET_B 17.6204 -*END - -*D_NET *57 0.00672605 -*CONN -*I *357:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *323:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *357:RESET_B 0 -2 *323:X 0.000205339 -3 *57:15 0.00122698 -4 *57:11 0.00143232 -5 *57:15 *363:SET_B 0.00109017 -6 *57:15 *59:15 0.000362411 -7 *57:15 *69:17 0.000328103 -8 *57:15 *72:8 0.000248314 -9 *57:15 *109:19 0 -10 *57:15 *113:22 0.000198378 -11 *57:15 *133:21 0.000119299 -12 *57:15 *165:18 0.000144501 -13 *57:15 *166:7 4.05745e-05 -14 *323:B *57:11 7.14462e-05 -15 *2:19 *57:15 0 -16 *7:28 *57:11 1.35999e-05 -17 *11:19 *57:15 0.000543143 -18 *12:11 *57:15 0.000262773 -19 *12:44 *57:15 0.000208899 -20 *39:7 *57:11 0.000229793 -*RES -1 *323:X *57:11 42.1221 -2 *57:11 *57:15 48.6607 -3 *57:15 *357:RESET_B 23 -*END - -*D_NET *58 0.0055749 -*CONN -*I *357:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *324:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *357:SET_B 0.000925395 -2 *324:Y 0.000119764 -3 *58:7 0.00104516 -4 *357:SET_B *357:D 0.000259356 -5 *357:SET_B *364:D 0.000423235 -6 *357:SET_B *370:D 0.000335158 -7 *357:SET_B *125:10 0.000238011 -8 *357:SET_B *130:18 0.000240614 -9 *357:SET_B *133:12 0.000129232 -10 *357:SET_B *151:6 0.000523017 -11 *7:14 *58:7 1.23293e-05 -12 *7:28 *58:7 1.85916e-05 -13 *8:57 *357:SET_B 0.000357791 -14 *12:26 *357:SET_B 0.000947246 -*RES -1 *324:Y *58:7 39.5743 -2 *58:7 *357:SET_B 43.3164 -*END - -*D_NET *59 0.00489969 -*CONN -*I *358:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *325:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *358:RESET_B 0 -2 *325:X 0.00111362 -3 *59:15 0.000353368 -4 *59:5 0.00146699 -5 *59:5 *92:7 9.20451e-05 -6 *59:5 *114:34 0.000212401 -7 *59:15 *358:SET_B 0.000184493 -8 *59:15 *363:SET_B 0.000223805 -9 *59:15 *95:67 2.43944e-05 -10 *59:15 *126:7 4.5873e-05 -11 *59:15 *143:19 0.000300855 -12 *12:11 *59:15 0.000260113 -13 *12:44 *59:15 0.000100543 -14 *34:11 *59:5 0.000132311 -15 *34:18 *59:5 2.64616e-05 -16 *57:15 *59:15 0.000362411 -*RES -1 *325:X *59:5 39.3436 -2 *59:5 *59:15 42.0143 -3 *59:15 *358:RESET_B 23 -*END - -*D_NET *60 0.00600611 -*CONN -*I *358:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *326:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *358:SET_B 0.000423039 -2 *326:Y 0.00127865 -3 *60:5 0.00170169 -4 *358:SET_B *115:15 0.000340804 -5 *358:SET_B *115:19 0.000140935 -6 *358:SET_B *126:7 0.000809434 -7 *358:SET_B *171:8 0.000223546 -8 *60:5 *375:D 8.73233e-05 -9 *60:5 *149:25 0.000132311 -10 *60:5 *172:8 6.08617e-05 -11 *326:B *60:5 0.000173206 -12 *6:51 *60:5 0.000346761 -13 *12:44 *358:SET_B 9.30759e-05 -14 *13:68 *358:SET_B 9.98069e-06 -15 *59:15 *358:SET_B 0.000184493 -*RES -1 *326:Y *60:5 38.3907 -2 *60:5 *358:SET_B 42.4389 -*END - -*D_NET *61 0.00595398 -*CONN -*I *359:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *327:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *359:RESET_B 0 -2 *327:X 0.00183319 -3 *61:10 0.00023283 -4 *61:5 0.00206602 -5 *61:5 *370:D 0.000300202 -6 *61:5 *370:RESET_B 2.27142e-05 -7 *61:5 *375:CLK 8.70936e-05 -8 *61:5 *93:7 0.000610044 -9 *61:5 *109:19 8.60447e-06 -10 *61:10 *118:32 2.5858e-05 -11 *61:10 *162:8 0.00015259 -12 *383:A *61:5 6.47117e-05 -13 *4:11 *61:10 6.46463e-05 -14 *5:28 *61:5 0.000138996 -15 *51:11 *61:10 0.000248741 -16 *54:8 *61:5 9.77405e-05 -*RES -1 *327:X *61:5 46.9664 -2 *61:5 *61:10 34.7229 -3 *61:10 *359:RESET_B 23 -*END - -*D_NET *62 0.00417028 -*CONN -*I *359:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *328:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *359:SET_B 0.00109595 -2 *328:Y 0.00109595 -3 *359:SET_B *328:A_N 0.00113287 -4 *359:SET_B *95:54 5.56356e-05 -5 *359:SET_B *97:9 8.48502e-06 -6 *359:SET_B *117:61 3.78847e-05 -7 *359:SET_B *118:32 8.79516e-05 -8 *359:SET_B *140:8 9.79925e-05 -9 *359:SET_B *146:5 0.000504257 -10 *5:67 *359:SET_B 5.3304e-05 -*RES -1 *328:Y *359:SET_B 43.5589 -*END - -*D_NET *63 0.00133172 -*CONN -*I *360:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *329:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *360:RESET_B 0 -2 *329:X 0.000179803 -3 *63:8 0.000179803 -4 *63:8 *65:12 0.000400097 -5 *63:8 *77:7 5.3304e-05 -6 *63:8 *77:19 0.000401243 -7 *63:8 *122:76 1.3523e-05 -8 *191:DIODE *63:8 9.41704e-05 -9 *29:7 *63:8 9.77922e-06 -*RES -1 *329:X *63:8 44.525 -2 *63:8 *360:RESET_B 38 -*END - -*D_NET *64 0.00082947 -*CONN -*I *360:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *330:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *360:SET_B 0 -2 *330:Y 0.000142568 -3 *64:8 0.000142568 -4 *64:8 *361:SET_B 1.90892e-05 -5 *64:8 *107:12 0.000194684 -6 *64:8 *131:11 0.000118663 -7 *192:DIODE *64:8 0.000100024 -8 *1:27 *64:8 0.000111873 -*RES -1 *330:Y *64:8 41.7079 -2 *64:8 *360:SET_B 15 -*END - -*D_NET *65 0.00624917 -*CONN -*I *361:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *331:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *361:RESET_B 0 -2 *331:X 5.77739e-05 -3 *65:12 0.00137814 -4 *65:9 0.00143591 -5 *65:9 *314:A 7.03085e-05 -6 *65:12 *311:B 0.000221953 -7 *65:12 *77:19 0.000343123 -8 *65:12 *99:8 0 -9 *65:12 *108:10 6.92144e-05 -10 *65:12 *114:69 0.000435861 -11 *65:12 *122:76 0.000160327 -12 *65:12 *148:9 6.77278e-05 -13 *65:12 *172:8 0.000387978 -14 *1:27 *65:12 5.8404e-05 -15 *3:24 *65:12 0.00113901 -16 *8:57 *65:12 2.33476e-05 -17 *63:8 *65:12 0.000400097 -*RES -1 *331:X *65:9 39.1186 -2 *65:9 *65:12 48.2464 -3 *65:12 *361:RESET_B 23 -*END - -*D_NET *66 0.00620804 -*CONN -*I *361:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *332:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *361:SET_B 0.00100072 -2 *332:Y 6.06817e-05 -3 *66:7 0.0010614 -4 *361:SET_B *378:RESET_B 0.000128446 -5 *361:SET_B *95:54 0.000914713 -6 *361:SET_B *101:20 4.26047e-06 -7 *361:SET_B *107:12 2.85501e-06 -8 *361:SET_B *119:38 1.13812e-05 -9 *361:SET_B *119:102 0.000255008 -10 *361:SET_B *131:11 6.65878e-05 -11 *361:SET_B *155:10 1.06805e-05 -12 *361:SET_B *164:15 0.000214229 -13 *66:7 *332:A_N 2.65505e-05 -14 *66:7 *143:7 2.02872e-05 -15 *177:DIODE *361:SET_B 2.44754e-05 -16 *316:B *66:7 9.66585e-05 -17 *329:B *361:SET_B 9.43014e-05 -18 *1:27 *361:SET_B 0.000269668 -19 *1:38 *361:SET_B 1.79668e-05 -20 *2:33 *361:SET_B 0.000150872 -21 *3:29 *361:SET_B 3.46013e-05 -22 *3:29 *66:7 9.41704e-05 -23 *53:12 *361:SET_B 2.15582e-05 -24 *55:10 *361:SET_B 0.00160687 -25 *64:8 *361:SET_B 1.90892e-05 -*RES -1 *332:Y *66:7 39.5743 -2 *66:7 *361:SET_B 44.0207 -*END - -*D_NET *67 0.00827721 -*CONN -*I *362:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *333:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *362:RESET_B 0 -2 *333:X 5.50259e-05 -3 *67:8 0.00172704 -4 *67:7 0.00178207 -5 *67:7 *333:A 5.5792e-05 -6 *67:8 *307:B 0.00037483 -7 *67:8 *312:B1 0.000238286 -8 *67:8 *77:19 0 -9 *67:8 *79:9 6.987e-05 -10 *67:8 *98:13 0.00122559 -11 *67:8 *99:8 0.00125345 -12 *67:8 *101:13 8.23709e-05 -13 *67:8 *101:16 0.000503485 -14 *67:8 *119:46 8.57485e-05 -15 *67:8 *120:8 0 -16 *67:8 *120:22 0 -17 *67:8 *140:8 6.7876e-05 -18 *67:8 *140:15 0.000114877 -19 *67:8 *161:8 0.0003869 -20 *333:B *67:7 6.17437e-06 -21 *334:B *67:8 0.000135854 -22 *4:44 *67:7 9.97435e-06 -23 *26:8 *67:7 1.21972e-05 -24 *41:41 *67:8 2.26406e-05 -25 *49:8 *67:8 6.71601e-05 -*RES -1 *333:X *67:7 39.0979 -2 *67:7 *67:8 44.8671 -3 *67:8 *362:RESET_B 38 -*END - -*D_NET *68 0.00757788 -*CONN -*I *362:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *334:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *362:SET_B 0.000467263 -2 *334:Y 0.000214756 -3 *68:8 0.00201011 -4 *68:7 0.0017576 -5 *362:SET_B *102:7 1.9895e-05 -6 *68:7 *334:A_N 5.3304e-05 -7 *68:8 *110:8 0.00296772 -8 pad_gpio_inenb *68:8 0 -9 *24:9 *68:7 8.72265e-05 -*RES -1 *334:Y *68:7 41.48 -2 *68:7 *68:8 39.585 -3 *68:8 *362:SET_B 21.7425 -*END - -*D_NET *69 0.00460336 -*CONN -*I *363:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *335:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *363:RESET_B 0 -2 *335:X 0.00142471 -3 *69:17 0.000341468 -4 *69:9 0.00176618 -5 *69:9 *373:CLK 1.83408e-05 -6 *69:9 *373:D 5.3304e-05 -7 *69:9 *114:7 7.43795e-05 -8 *69:9 *115:31 0.000142989 -9 *69:9 *115:35 4.81816e-05 -10 *69:9 *159:7 0.000405712 -11 *57:15 *69:17 0.000328103 -*RES -1 *335:X *69:9 43.3207 -2 *69:9 *69:17 37.2707 -3 *69:17 *363:RESET_B 23 -*END - -*D_NET *70 0.00545172 -*CONN -*I *363:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *336:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *363:SET_B 0.0005672 -2 *336:Y 0.000300261 -3 *70:10 0.000867462 -4 *363:SET_B *72:8 1.88823e-05 -5 *363:SET_B *95:19 9.78317e-06 -6 *363:SET_B *113:14 0.0010833 -7 *363:SET_B *143:19 2.63091e-05 -8 *70:10 *95:19 3.74448e-05 -9 *70:10 *119:11 0.000351833 -10 *7:35 *363:SET_B 0.000447365 -11 *9:38 *70:10 0.000182049 -12 *12:32 *363:SET_B 0.000101815 -13 *12:32 *70:10 0.00014404 -14 *57:15 *363:SET_B 0.00109017 -15 *59:15 *363:SET_B 0.000223805 -*RES -1 *336:Y *70:10 44.5146 -2 *70:10 *363:SET_B 36.5946 -*END - -*D_NET *71 0.00261078 -*CONN -*I *364:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *337:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *364:RESET_B 0.000158585 -2 *337:X 0.000810061 -3 *71:7 0.000968646 -4 *364:RESET_B *364:CLK_N 3.05299e-05 -5 *364:RESET_B *103:8 0.000165384 -6 *364:RESET_B *143:11 7.14493e-05 -7 *71:7 *385:A 2.64616e-05 -8 *71:7 *120:36 0.000306783 -9 *13:31 *364:RESET_B 1.12919e-05 -10 *13:42 *364:RESET_B 6.15872e-05 -*RES -1 *337:X *71:7 49.5793 -2 *71:7 *364:RESET_B 41.8321 -*END - -*D_NET *72 0.00220822 -*CONN -*I *364:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *338:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *364:SET_B 2.04684e-05 -2 *338:Y 0.000584704 -3 *72:8 0.000605173 -4 *364:SET_B *364:CLK_N 1.06875e-05 -5 *364:SET_B *143:11 4.88741e-05 -6 *72:8 *96:13 0.000478084 -7 *363:SET_B *72:8 1.88823e-05 -8 *7:35 *72:8 0.000193034 -9 *57:15 *72:8 0.000248314 -*RES -1 *338:Y *72:8 49.8693 -2 *72:8 *364:SET_B 15.5489 -*END - -*D_NET *73 0.00131111 -*CONN -*I *365:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *339:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *365:RESET_B 0 -2 *339:X 0.000309427 -3 *73:8 0.000309427 -4 *73:8 *134:8 0.000162263 -5 *11:40 *73:8 0.000257636 -6 *41:45 *73:8 0.000162263 -7 *42:29 *73:8 0.000110094 -*RES -1 *339:X *73:8 44.2143 -2 *73:8 *365:RESET_B 38 -*END - -*D_NET *74 0.00169359 -*CONN -*I *365:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *340:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *365:SET_B 0 -2 *340:Y 0.000254745 -3 *74:6 0.000254745 -4 *4:17 *74:6 0.00059375 -5 *32:12 *74:6 0.000590354 -*RES -1 *340:Y *74:6 46.2443 -2 *74:6 *365:SET_B 15 -*END - -*D_NET *75 0.000769949 -*CONN -*I *308:A1 I *D sky130_fd_sc_hd__mux2_4 -*I *307:X O *D sky130_fd_sc_hd__and2_0 -*CAP -1 *308:A1 0 -2 *307:X 0.00022514 -3 *75:8 0.00022514 -4 *75:8 *307:B 3.06803e-05 -5 *75:8 *76:8 0.000141038 -6 *75:8 *79:9 0.000139882 -7 *75:8 *97:15 8.06804e-06 -*RES -1 *307:X *75:8 41.9564 -2 *75:8 *308:A1 38 -*END - -*D_NET *76 0.00422398 -*CONN -*I *313:B1 I *D sky130_fd_sc_hd__o21ai_4 -*I *309:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *313:B1 0 -2 *309:Y 0 -3 *76:8 0.000749552 -4 *76:5 0.000749552 -5 *76:8 *79:9 0.000441705 -6 *76:8 *102:8 0.00162909 -7 *5:67 *76:8 6.85548e-05 -8 *56:6 *76:8 0.000444491 -9 *75:8 *76:8 0.000141038 -*RES -1 *309:Y *76:5 38 -2 *76:5 *76:8 37.33 -3 *76:8 *313:B1 23 -*END - -*D_NET *77 0.00760824 -*CONN -*I *312:A2 I *D sky130_fd_sc_hd__o21ai_2 -*I *311:B I *D sky130_fd_sc_hd__and2b_2 -*I *310:X O *D sky130_fd_sc_hd__and3b_2 -*CAP -1 *312:A2 0.000222803 -2 *311:B 0.0003063 -3 *310:X 5.23882e-05 -4 *77:19 0.00148376 -5 *77:7 0.00161964 -6 *311:B *78:8 2.04979e-05 -7 *311:B *99:8 2.35618e-05 -8 *311:B *143:7 0.00018561 -9 *312:A2 *405:A 0.000145171 -10 *77:19 *307:B 1.70419e-05 -11 *77:19 *312:B1 0 -12 *77:19 *378:RESET_B 0 -13 *77:19 *101:13 0.00113575 -14 *77:19 *114:69 0.000433671 -15 *77:19 *144:8 3.73166e-05 -16 *77:19 *164:15 0 -17 *191:DIODE *77:7 2.02872e-05 -18 *8:57 *77:19 2.11157e-05 -19 *29:7 *77:7 4.67488e-05 -20 *48:6 *311:B 0.000401315 -21 *48:6 *77:19 0.000346197 -22 *49:8 *77:19 6.94465e-05 -23 *63:8 *77:7 5.3304e-05 -24 *63:8 *77:19 0.000401243 -25 *65:12 *311:B 0.000221953 -26 *65:12 *77:19 0.000343123 -27 *67:8 *77:19 0 -*RES -1 *310:X *77:7 39.0979 -2 *77:7 *311:B 46.5343 -3 *77:7 *77:19 45.7814 -4 *77:19 *312:A2 27.4329 -*END - -*D_NET *78 0.00199403 -*CONN -*I *313:A1 I *D sky130_fd_sc_hd__o21ai_4 -*I *311:X O *D sky130_fd_sc_hd__and2b_2 -*CAP -1 *313:A1 4.24639e-05 -2 *311:X 0.000375519 -3 *78:8 0.000417983 -4 *313:A1 *100:21 2.64616e-05 -5 *78:8 *307:B 0.000154003 -6 *78:8 *99:8 0.000398193 -7 *78:8 *143:7 7.56141e-06 -8 *311:B *78:8 2.04979e-05 -9 *48:6 *78:8 0.000551348 -*RES -1 *311:X *78:8 47.5907 -2 *78:8 *313:A1 38.6214 -*END - -*D_NET *79 0.0052787 -*CONN -*I *313:A2 I *D sky130_fd_sc_hd__o21ai_4 -*I *312:Y O *D sky130_fd_sc_hd__o21ai_2 -*CAP -1 *313:A2 0 -2 *312:Y 0 -3 *79:9 0.00108861 -4 *79:5 0.00108861 -5 *79:9 *312:B1 0.000402173 -6 *79:9 *98:13 0.00123697 -7 *79:9 *101:16 0.000242892 -8 *79:9 *102:8 5.60424e-05 -9 *5:67 *79:9 7.06542e-05 -10 *42:8 *79:9 0 -11 *56:6 *79:9 0.000441288 -12 *67:8 *79:9 6.987e-05 -13 *75:8 *79:9 0.000139882 -14 *76:8 *79:9 0.000441705 -*RES -1 *312:Y *79:5 38 -2 *79:5 *79:9 43.5857 -3 *79:9 *313:A2 23 -*END - -*D_NET *92 0.00742835 -*CONN -*I *386:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *384:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *382:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *386:A 0 -2 *384:A 0.000137333 -3 *382:X 0.000641358 -4 *92:10 0.00110063 -5 *92:7 0.00160466 -6 *384:A *94:7 4.35038e-05 -7 *92:7 *114:34 7.64944e-05 -8 *92:10 *94:8 4.49961e-05 -9 *92:10 *94:17 1.02133e-05 -10 *92:10 *129:10 0.00174329 -11 *92:10 *138:6 0.00175492 -12 *186:DIODE *384:A 3.26241e-05 -13 *188:DIODE *384:A 7.92449e-05 -14 *34:11 *92:7 4.05745e-05 -15 *34:18 *92:7 2.64616e-05 -16 *59:5 *92:7 9.20451e-05 -*RES -1 *382:X *92:7 31.265 -2 *92:7 *92:10 42.9643 -3 *92:10 *384:A 41.48 -4 *92:7 *386:A 23 -*END - -*D_NET *93 0.00546963 -*CONN -*I *387:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *385:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *383:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *387:A 0 -2 *385:A 0.000210634 -3 *383:X 0.000206701 -4 *93:8 0.000729867 -5 *93:7 0.000725934 -6 *385:A *337:A 5.56279e-05 -7 *385:A *120:36 0.000175903 -8 *385:A *129:10 0.000242052 -9 *93:7 *109:19 8.06804e-06 -10 *93:7 *117:13 0.000105849 -11 *93:8 *109:27 0.000102813 -12 *93:8 *116:35 0.000154405 -13 *93:8 *129:10 0.000769347 -14 *93:8 *138:6 8.15379e-06 -15 *93:8 *147:8 0.000208896 -16 *93:8 *147:22 4.26047e-06 -17 *93:8 *169:12 0.000114947 -18 *383:A *93:7 6.17437e-06 -19 *5:28 *93:7 0.000316846 -20 *10:20 *93:8 0.000176057 -21 *10:21 *93:8 0.00011766 -22 *34:18 *385:A 0.000242052 -23 *34:18 *93:8 0.000150872 -24 *61:5 *93:7 0.000610044 -25 *71:7 *385:A 2.64616e-05 -*RES -1 *383:X *93:7 44.815 -2 *93:7 *93:8 14.2307 -3 *93:8 *385:A 43.8621 -4 *93:8 *387:A 38 -*END - -*D_NET *94 0.0182781 -*CONN -*I *377:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *367:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *369:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *368:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *374:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *371:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *372:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *373:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *306:A I *D sky130_fd_sc_hd__inv_2 -*I *384:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *377:CLK 0.000333452 -2 *367:CLK 9.86385e-05 -3 *369:CLK 0 -4 *368:CLK 0 -5 *374:CLK 0.000115528 -6 *371:CLK 0.000118758 -7 *372:CLK 0 -8 *373:CLK 2.06475e-05 -9 *306:A 2.81195e-05 -10 *384:X 0.00070068 -11 *94:71 0.000211285 -12 *94:64 0.000801959 -13 *94:60 0.00162899 -14 *94:39 0.000234286 -15 *94:37 0.00071927 -16 *94:31 0.00105714 -17 *94:26 0.00132892 -18 *94:17 0.00101562 -19 *94:8 0.000958553 -20 *94:7 0.000794871 -21 *306:A *95:19 5.56279e-05 -22 *371:CLK *402:A 7.14493e-05 -23 *371:CLK *159:69 0.000112024 -24 *373:CLK *115:31 5.56356e-05 -25 *374:CLK *159:69 0.000140447 -26 *377:CLK *111:19 5.3304e-05 -27 *94:8 *122:30 4.3053e-05 -28 *94:17 *122:30 3.21668e-05 -29 *94:17 *125:10 0.000639386 -30 *94:17 *128:6 0.00137822 -31 *94:17 *138:6 9.25703e-05 -32 *94:26 *125:10 9.57482e-05 -33 *94:26 *128:6 0.000359282 -34 *94:31 *152:33 0.000621354 -35 *94:37 *128:6 0.000282428 -36 *94:60 *117:11 0.000183093 -37 *94:60 *128:6 0.000254363 -38 *94:64 *152:8 5.45644e-05 -39 *94:64 *168:8 1.13812e-05 -40 *181:DIODE *371:CLK 2.43944e-05 -41 *181:DIODE *374:CLK 3.28795e-05 -42 *186:DIODE *94:7 0.000682361 -43 *188:DIODE *94:7 0.000276972 -44 *384:A *94:7 4.35038e-05 -45 *5:11 *367:CLK 0.000226087 -46 *5:11 *94:64 0.000220337 -47 *5:11 *94:71 0.000221527 -48 *5:28 *94:60 0.000355686 -49 *6:27 *94:60 4.62591e-05 -50 *8:19 *94:64 2.33476e-05 -51 *8:23 *94:64 5.04743e-05 -52 *9:10 *94:60 0.000321974 -53 *10:20 *94:60 0.000123818 -54 *12:14 *374:CLK 7.79323e-05 -55 *33:10 *94:64 5.56356e-05 -56 *34:10 *94:26 0.000161748 -57 *34:10 *94:37 0.000292436 -58 *34:10 *94:60 0.000264372 -59 *69:9 *373:CLK 1.83408e-05 -60 *92:10 *94:8 4.49961e-05 -61 *92:10 *94:17 1.02133e-05 -*RES -1 *384:X *94:7 49.5793 -2 *94:7 *94:8 2.25786 -3 *94:8 *306:A 38.6214 -4 *94:8 *94:17 22.33 -5 *94:17 *373:CLK 38.6214 -6 *94:17 *94:26 5.075 -7 *94:26 *94:31 28.8579 -8 *94:31 *372:CLK 23 -9 *94:26 *94:37 4.01857 -10 *94:37 *94:39 15 -11 *94:39 *371:CLK 25.5271 -12 *94:39 *374:CLK 26.0036 -13 *94:37 *94:60 40.1322 -14 *94:60 *94:64 27.1593 -15 *94:64 *368:CLK 38 -16 *94:64 *94:71 2.96214 -17 *94:71 *369:CLK 38 -18 *94:71 *367:CLK 40.9621 -19 *94:60 *377:CLK 27.4329 -*END - -*D_NET *95 0.0181249 -*CONN -*I *342:A I *D sky130_fd_sc_hd__inv_2 -*I *347:A I *D sky130_fd_sc_hd__inv_2 -*I *351:A I *D sky130_fd_sc_hd__inv_2 -*I *352:A I *D sky130_fd_sc_hd__inv_2 -*I *350:A I *D sky130_fd_sc_hd__inv_2 -*I *345:A I *D sky130_fd_sc_hd__inv_2 -*I *385:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *342:A 0 -2 *347:A 0 -3 *351:A 3.57848e-05 -4 *352:A 0 -5 *350:A 0 -6 *345:A 0 -7 *385:X 0 -8 *95:67 0.00171423 -9 *95:54 0.000540818 -10 *95:46 0.0019138 -11 *95:41 0.00348076 -12 *95:29 0.000127447 -13 *95:19 0.00102685 -14 *95:9 0.00103769 -15 *95:8 0.000632119 -16 *95:5 0.000923162 -17 *95:8 *158:11 0.000859374 -18 *95:9 *158:11 4.44329e-05 -19 *95:19 *129:7 5.8118e-05 -20 *95:19 *135:7 1.34995e-05 -21 *95:19 *158:11 0.000188934 -22 *95:29 *158:11 4.21048e-05 -23 *95:41 *373:RESET_B 1.05658e-05 -24 *95:41 *114:57 4.52279e-05 -25 *95:41 *158:11 0.000421718 -26 *95:41 *158:17 0.000264481 -27 *95:46 *401:A 5.72739e-05 -28 *95:46 *131:11 2.44682e-05 -29 *95:46 *148:27 9.17507e-05 -30 *95:46 *150:7 2.64616e-05 -31 *95:46 *154:7 2.54705e-06 -32 *95:54 *328:A_N 5.3304e-05 -33 *95:54 *131:11 0.000872258 -34 *95:67 *358:D 5.25144e-05 -35 *95:67 *126:7 8.2262e-05 -36 *95:67 *147:22 9.36212e-05 -37 *95:67 *158:17 8.50457e-05 -38 *95:67 *158:32 0.000197918 -39 *202:DIODE *95:46 0.000175903 -40 *306:A *95:19 5.56279e-05 -41 *359:SET_B *95:54 5.56356e-05 -42 *361:SET_B *95:54 0.000914713 -43 *363:SET_B *95:19 9.78317e-06 -44 *1:27 *95:54 3.12608e-05 -45 *2:19 *95:67 0.00016952 -46 *7:35 *95:19 6.12484e-05 -47 *7:42 *95:19 7.4632e-05 -48 *9:10 *95:67 0.000583377 -49 *12:44 *95:67 0.000227473 -50 *13:42 *351:A 5.68958e-05 -51 *21:8 *95:8 5.02282e-05 -52 *35:7 *351:A 0.000143259 -53 *35:7 *95:9 5.56279e-05 -54 *35:7 *95:19 0.000146822 -55 *35:7 *95:29 0.000230556 -56 *59:15 *95:67 2.43944e-05 -57 *70:10 *95:19 3.74448e-05 -*RES -1 *385:X *95:5 38 -2 *95:5 *95:8 26.7657 -3 *95:8 *95:9 0.621429 -4 *95:9 *95:19 49.1607 -5 *95:19 *345:A 23 -6 *95:9 *350:A 23 -7 *95:8 *95:29 2.52714 -8 *95:29 *352:A 23 -9 *95:29 *351:A 24.5743 -10 *95:5 *95:41 9.30071 -11 *95:41 *95:46 45.3907 -12 *95:46 *95:54 29.5621 -13 *95:54 *347:A 23 -14 *95:41 *95:67 41.4729 -15 *95:67 *342:A 23 -*END - -*D_NET *96 0.00696717 -*CONN -*I *314:A I *D sky130_fd_sc_hd__and2_2 -*I *398:gpio_logic1 O *D gpio_logic_high -*CAP -1 *314:A 0.00137477 -2 *398:gpio_logic1 0.00100941 -3 *96:13 0.00238417 -4 *314:A *331:A 0.000102727 -5 *314:A *100:27 0.000509975 -6 *314:A *113:5 4.67194e-05 -7 *96:13 *100:27 3.7681e-05 -8 *96:13 *113:5 0.000441434 -9 *96:13 *114:13 0.000136927 -10 *96:13 *159:15 4.35681e-06 -11 *338:B *96:13 0.000204332 -12 *10:26 *96:13 9.66585e-05 -13 *24:9 *314:A 6.96206e-05 -14 *65:9 *314:A 7.03085e-05 -15 *72:8 *96:13 0.000478084 -*RES -1 *398:gpio_logic1 *96:13 40.508 -2 *96:13 *314:A 40.8143 -*END - -*D_NET *97 0.00652577 -*CONN -*I *307:A I *D sky130_fd_sc_hd__and2_0 -*I *359:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *307:A 0 -2 *359:Q 0.00117743 -3 *97:15 0.000562373 -4 *97:9 0.00173981 -5 *97:9 *328:A_N 0.000653471 -6 *97:9 *164:15 9.7454e-05 -7 *97:9 *166:13 0.000175284 -8 *97:15 *307:B 9.66585e-05 -9 *97:15 *356:CLK_N 0.000555656 -10 *97:15 *102:8 0.00112011 -11 *359:SET_B *97:9 8.48502e-06 -12 *5:67 *97:9 6.70361e-05 -13 *36:8 *97:15 0.000263936 -14 *75:8 *97:15 8.06804e-06 -*RES -1 *359:Q *97:9 40.4 -2 *97:9 *97:15 46.8614 -3 *97:15 *307:A 23 -*END - -*D_NET *98 0.00590246 -*CONN -*I *309:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *312:B1 I *D sky130_fd_sc_hd__o21ai_2 -*I *308:S I *D sky130_fd_sc_hd__mux2_4 -*I *353:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *309:A_N 4.66528e-05 -2 *312:B1 0.000160694 -3 *308:S 0 -4 *353:Q 0.000304078 -5 *98:13 0.000696373 -6 *98:9 0.000857066 -7 *98:7 0.000350731 -8 *98:7 *165:23 9.98069e-06 -9 *353:RESET_B *98:7 0.000357552 -10 *41:41 *98:13 1.6318e-05 -11 *67:8 *312:B1 0.000238286 -12 *67:8 *98:13 0.00122559 -13 *77:19 *312:B1 0 -14 *79:9 *312:B1 0.000402173 -15 *79:9 *98:13 0.00123697 -*RES -1 *353:Q *98:7 28.8207 -2 *98:7 *98:9 15 -3 *98:9 *98:13 32.7832 -4 *98:13 *308:S 23 -5 *98:9 *312:B1 43.4271 -6 *98:7 *309:A_N 23.6214 -*END - -*D_NET *99 0.00586851 -*CONN -*I *307:B I *D sky130_fd_sc_hd__and2_0 -*I *310:C I *D sky130_fd_sc_hd__and3b_2 -*I *412:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *307:B 0.000288821 -2 *310:C 4.15795e-05 -3 *412:X 4.89613e-05 -4 *99:8 0.00115133 -5 *99:7 0.000869892 -6 *307:B *100:8 0.000159619 -7 *307:B *101:13 9.41704e-05 -8 *99:7 *123:65 2.95526e-05 -9 *99:8 *120:8 0 -10 *99:8 *121:20 9.38398e-05 -11 *99:8 *122:76 0 -12 *99:8 *123:65 0.000416511 -13 *191:DIODE *310:C 7.37372e-05 -14 *311:B *99:8 2.35618e-05 -15 *334:B *99:8 5.01232e-06 -16 *414:A *99:8 2.46399e-05 -17 *5:40 *99:8 0.000102692 -18 *26:14 *99:8 6.35124e-05 -19 *29:7 *310:C 2.2008e-05 -20 *48:6 *307:B 2.02217e-05 -21 *48:6 *99:8 1.39826e-05 -22 *65:12 *99:8 0 -23 *67:8 *307:B 0.00037483 -24 *67:8 *99:8 0.00125345 -25 *75:8 *307:B 3.06803e-05 -26 *77:19 *307:B 1.70419e-05 -27 *78:8 *307:B 0.000154003 -28 *78:8 *99:8 0.000398193 -29 *97:15 *307:B 9.66585e-05 -*RES -1 *412:X *99:7 38.6214 -2 *99:7 *99:8 22.33 -3 *99:8 *310:C 38.8596 -4 *99:8 *307:B 46.0786 -*END - -*D_NET *100 0.00686052 -*CONN -*I *418:A I *D sky130_fd_sc_hd__buf_16 -*I *360:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *418:A 0 -2 *360:Q 0.000190178 -3 *100:27 0.000624172 -4 *100:21 0.00156319 -5 *100:8 0.00112919 -6 *100:8 *101:13 1.21972e-05 -7 *100:21 *330:A_N 0.000207965 -8 *100:21 *118:73 9.66668e-05 -9 *100:21 *155:7 8.884e-05 -10 *100:27 *421:A 0.000181805 -11 *100:27 *113:5 6.8448e-05 -12 *100:27 *154:10 0.000184806 -13 serial_clock_out *100:27 0.000939444 -14 *192:DIODE *100:21 4.12078e-05 -15 *307:B *100:8 0.000159619 -16 *313:A1 *100:21 2.64616e-05 -17 *314:A *100:27 0.000509975 -18 *2:22 *100:21 0.000137056 -19 *22:6 *100:27 0.000196916 -20 *30:6 *100:27 0.000351457 -21 *48:6 *100:8 0.000113244 -22 *96:13 *100:27 3.7681e-05 -*RES -1 *360:Q *100:8 41.1693 -2 *100:8 *100:21 44.5207 -3 *100:21 *100:27 34.1814 -4 *100:27 *418:A 23 -*END - -*D_NET *101 0.00993563 -*CONN -*I *310:B I *D sky130_fd_sc_hd__and3b_2 -*I *419:A I *D sky130_fd_sc_hd__buf_16 -*I *361:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *310:B 0 -2 *419:A 7.29866e-05 -3 *361:Q 0.000116179 -4 *101:20 0.00104011 -5 *101:16 0.00120028 -6 *101:13 0.000920927 -7 *101:7 0.000803957 -8 *419:A *132:13 0.000226896 -9 *419:A *173:15 0.000100477 -10 *101:7 *315:A 7.82585e-05 -11 *101:13 *140:8 0.000151378 -12 *101:13 *140:15 0.000659767 -13 *101:20 *122:76 0.00114473 -14 *307:B *101:13 9.41704e-05 -15 *361:SET_B *101:20 4.26047e-06 -16 *1:27 *101:20 0.000121157 -17 *1:38 *101:20 0.000122337 -18 *1:48 *101:20 0.000107232 -19 *3:29 *101:20 0.000123569 -20 *3:37 *101:20 0.000381853 -21 *4:27 *419:A 6.37432e-05 -22 *29:7 *101:20 0.000424672 -23 *67:8 *101:13 8.23709e-05 -24 *67:8 *101:16 0.000503485 -25 *77:19 *101:13 0.00113575 -26 *79:9 *101:16 0.000242892 -27 *100:8 *101:13 1.21972e-05 -*RES -1 *361:Q *101:7 39.6157 -2 *101:7 *101:13 48.0421 -3 *101:13 *101:16 21.8357 -4 *101:16 *101:20 35.9007 -5 *101:20 *419:A 40.5271 -6 *101:16 *310:B 23 -*END - -*D_NET *102 0.00863498 -*CONN -*I *310:A_N I *D sky130_fd_sc_hd__and3b_2 -*I *420:A I *D sky130_fd_sc_hd__buf_16 -*I *362:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *310:A_N 0 -2 *420:A 0 -3 *362:Q 3.98495e-05 -4 *102:12 0.000615503 -5 *102:8 0.0014732 -6 *102:7 0.00089755 -7 *102:8 *106:6 6.58399e-05 -8 *102:8 *110:8 0 -9 *102:12 *106:6 0.000132355 -10 *102:12 *120:8 0.000659364 -11 *102:12 *120:22 0.000575631 -12 *362:SET_B *102:7 1.9895e-05 -13 *36:8 *102:8 8.14594e-05 -14 *36:8 *102:12 5.04743e-05 -15 *36:16 *102:12 0.00107171 -16 *42:8 *102:8 8.41861e-05 -17 *42:15 *102:8 6.27212e-05 -18 *76:8 *102:8 0.00162909 -19 *79:9 *102:8 5.60424e-05 -20 *97:15 *102:8 0.00112011 -*RES -1 *362:Q *102:7 38.6629 -2 *102:7 *102:8 27.26 -3 *102:8 *102:12 35.5693 -4 *102:12 *420:A 23 -5 *102:8 *310:A_N 38 -*END - -*D_NET *103 0.00775891 -*CONN -*I *421:A I *D sky130_fd_sc_hd__buf_16 -*I *354:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *421:A 6.94989e-05 -2 *354:Q 1.94207e-05 -3 *103:8 0.00143131 -4 *103:7 0.00138123 -5 *421:A *113:5 6.12198e-05 -6 *103:7 *379:D 5.94994e-05 -7 *103:8 *370:RESET_B 6.05241e-05 -8 *103:8 *377:RESET_B 7.40619e-05 -9 *103:8 *111:10 4.21934e-05 -10 *103:8 *111:19 0.00098676 -11 *103:8 *115:20 0.000109002 -12 *103:8 *115:26 0.00061067 -13 *103:8 *117:61 8.38791e-05 -14 *103:8 *118:22 0.000580092 -15 *103:8 *118:32 8.90064e-05 -16 *103:8 *137:11 0.00102296 -17 *103:8 *145:22 0.000120025 -18 *103:8 *158:47 5.34093e-05 -19 *103:8 *160:6 4.21359e-05 -20 *103:8 *165:18 0.000229781 -21 *364:RESET_B *103:8 0.000165384 -22 *12:50 *103:8 7.6774e-06 -23 *13:31 *103:8 6.0199e-05 -24 *13:42 *103:8 0.000181915 -25 *51:11 *103:7 3.14901e-05 -26 *51:11 *103:8 3.77288e-06 -27 *100:27 *421:A 0.000181805 -*RES -1 *354:Q *103:7 38.6629 -2 *103:7 *103:8 38.8807 -3 *103:8 *421:A 40.0507 -*END - -*D_NET *104 0.00419881 -*CONN -*I *422:A I *D sky130_fd_sc_hd__buf_16 -*I *358:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *422:A 0 -2 *358:Q 0.000110565 -3 *104:10 0.000587983 -4 *104:7 0.000698549 -5 *104:7 *115:19 1.34914e-05 -6 *104:10 *365:D 0.000213463 -7 *104:10 *374:D 0.000206427 -8 *104:10 *115:20 5.15383e-05 -9 *104:10 *136:6 0.00016401 -10 *104:10 *170:6 1.37983e-05 -11 *4:17 *104:10 0.0013901 -12 *12:44 *104:7 9.30303e-05 -13 *13:31 *104:10 0.000467442 -14 *13:42 *104:10 0.000188419 -*RES -1 *358:Q *104:7 39.5121 -2 *104:7 *104:10 34.1607 -3 *104:10 *422:A 23 -*END - -*D_NET *105 0.00812766 -*CONN -*I *423:A I *D sky130_fd_sc_hd__buf_16 -*I *357:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *423:A 4.18717e-05 -2 *357:Q 0.000856247 -3 *105:18 0.0016828 -4 *105:7 0.00249718 -5 *423:A *132:7 6.17437e-06 -6 *105:7 *361:D 4.87889e-05 -7 *105:7 *133:21 0.000510574 -8 *105:18 *108:17 6.94531e-05 -9 *105:18 *118:56 8.65972e-05 -10 *105:18 *118:73 0.000486279 -11 *105:18 *134:8 0 -12 *105:18 *145:9 5.58873e-06 -13 *105:18 *148:27 0.000496481 -14 *105:18 *149:25 4.11008e-05 -15 *105:18 *155:10 0.000633945 -16 *353:SET_B *105:18 0 -17 *1:27 *105:18 1.69221e-05 -18 *6:51 *105:18 3.13911e-05 -19 *25:9 *423:A 5.58003e-05 -20 *39:7 *423:A 9.66668e-05 -21 *42:15 *105:7 2.00272e-05 -22 *53:12 *105:18 0.000443772 -*RES -1 *357:Q *105:7 48.5643 -2 *105:7 *105:18 45.0961 -3 *105:18 *423:A 39.0979 -*END - -*D_NET *106 0.000904105 -*CONN -*I *424:A I *D sky130_fd_sc_hd__buf_16 -*I *313:Y O *D sky130_fd_sc_hd__o21ai_4 -*CAP -1 *424:A 0 -2 *313:Y 0.000149663 -3 *106:6 0.000149663 -4 *36:8 *106:6 0.000406584 -5 *102:8 *106:6 6.58399e-05 -6 *102:12 *106:6 0.000132355 -*RES -1 *313:Y *106:6 43.4271 -2 *106:6 *424:A 38 -*END - -*D_NET *107 0.00217664 -*CONN -*I *425:A I *D sky130_fd_sc_hd__buf_16 -*I *308:X O *D sky130_fd_sc_hd__mux2_4 -*CAP -1 *425:A 0 -2 *308:X 0.000395487 -3 *107:12 0.000395487 -4 *107:12 *122:76 1.12863e-05 -5 *107:12 *131:11 1.47729e-05 -6 *107:12 *140:15 0.000175903 -7 *107:12 *159:69 5.3304e-05 -8 *107:12 *161:8 6.08617e-05 -9 *329:B *107:12 3.13857e-05 -10 *361:SET_B *107:12 2.85501e-06 -11 *1:27 *107:12 0.000316342 -12 *2:33 *107:12 7.19778e-05 -13 *3:24 *107:12 0.000452294 -14 *64:8 *107:12 0.000194684 -*RES -1 *308:X *107:12 48.7093 -2 *107:12 *425:A 38 -*END - -*D_NET *108 0.00436027 -*CONN -*I *426:A I *D sky130_fd_sc_hd__buf_16 -*I *355:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *426:A 0 -2 *355:Q 0.000247886 -3 *108:17 0.00062318 -4 *108:10 0.000871066 -5 *108:17 *118:56 8.65972e-05 -6 *108:17 *120:22 5.58003e-05 -7 *108:17 *148:27 0.000298457 -8 *108:17 *155:10 0.000369921 -9 pad_gpio_slow_sel *108:17 0.000313892 -10 pad_gpio_vtrip_sel *108:17 0.000139148 -11 *3:24 *108:10 7.13337e-05 -12 *13:68 *108:10 0.000220323 -13 *55:10 *108:17 0.000924002 -14 *65:12 *108:10 6.92144e-05 -15 *105:18 *108:17 6.94531e-05 -*RES -1 *355:Q *108:10 49.5939 -2 *108:10 *108:17 36.9246 -3 *108:17 *426:A 23 -*END - -*D_NET *109 0.00559027 -*CONN -*I *427:A I *D sky130_fd_sc_hd__buf_16 -*I *356:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *427:A 0 -2 *356:Q 0.000866202 -3 *109:27 0.000131609 -4 *109:19 0.00113184 -5 *109:13 0.00186644 -6 *109:13 *317:A 9.76849e-05 -7 *109:13 *377:RESET_B 8.40304e-05 -8 *109:13 *148:17 0.000138607 -9 *109:13 *149:8 0.000135037 -10 *109:13 *162:8 4.27352e-05 -11 *109:19 *370:D 0.000113862 -12 *109:19 *370:RESET_B 0.000179267 -13 *109:27 *126:7 9.66585e-05 -14 *109:27 *147:22 7.88231e-05 -15 *317:B *109:13 2.64616e-05 -16 *383:A *109:19 0.000114905 -17 *2:19 *109:19 9.19719e-05 -18 *3:16 *109:13 5.24162e-05 -19 *10:20 *109:27 0.000104951 -20 *11:19 *109:19 2.0779e-05 -21 *51:7 *109:13 9.65021e-05 -22 *57:15 *109:19 0 -23 *61:5 *109:19 8.60447e-06 -24 *93:7 *109:19 8.06804e-06 -25 *93:8 *109:27 0.000102813 -*RES -1 *356:Q *109:13 36.7491 -2 *109:13 *109:19 43.4643 -3 *109:19 *109:27 33.1486 -4 *109:27 *427:A 23 -*END - -*D_NET *110 0.00979362 -*CONN -*I *312:A1 I *D sky130_fd_sc_hd__o21ai_2 -*I *413:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *312:A1 7.60296e-05 -2 *413:X 0.000132692 -3 *110:8 0.00185488 -4 *110:7 0.00191154 -5 *18:9 *110:7 0.000188102 -6 *36:8 *110:8 0.00202305 -7 *36:16 *110:8 0.000375095 -8 *42:8 *110:8 0.000201788 -9 *42:15 *110:8 6.27212e-05 -10 *68:8 *110:8 0.00296772 -11 *102:8 *110:8 0 -*RES -1 *413:X *110:7 40.0507 -2 *110:7 *110:8 55.4314 -3 *110:8 *312:A1 39.0979 -*END - -*D_NET *111 0.00617168 -*CONN -*I *428:A I *D sky130_fd_sc_hd__buf_16 -*I *381:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *428:A 0 -2 *381:X 0.000262817 -3 *111:19 0.00135646 -4 *111:10 0.00161928 -5 *111:10 *120:36 9.66585e-05 -6 *111:10 *137:11 0.00034619 -7 *111:10 *158:11 0.000372828 -8 *111:10 *158:17 7.74734e-05 -9 *111:19 *377:RESET_B 9.11717e-05 -10 *111:19 *148:17 0.000169132 -11 *111:19 *158:17 0.000128855 -12 *111:19 *158:32 0.000294564 -13 *111:19 *158:47 0.000273989 -14 *377:CLK *111:19 5.3304e-05 -15 *103:8 *111:10 4.21934e-05 -16 *103:8 *111:19 0.00098676 -*RES -1 *381:X *111:10 45.6746 -2 *111:10 *111:19 39.7225 -3 *111:19 *428:A 23 -*END - -*D_NET *112 0.00257097 -*CONN -*I *429:A I *D sky130_fd_sc_hd__buf_16 -*I *379:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *429:A 0.00015416 -2 *379:Q 0.000930266 -3 *112:7 0.00108443 -4 *429:A *118:14 1.05441e-05 -5 *429:A *123:44 1.85903e-05 -6 *429:A *130:13 0.000100231 -7 *429:A *130:18 0 -8 *112:7 *354:CLK_N 1.21972e-05 -9 *112:7 *379:CLK 7.01168e-05 -10 *112:7 *379:D 1.36546e-05 -11 *112:7 *122:51 7.65525e-05 -12 *319:B *429:A 0.000100231 -13 *8:13 *429:A 0 -*RES -1 *379:Q *112:7 49.5793 -2 *112:7 *429:A 41.0036 -*END - -*D_NET *113 0.0159768 -*CONN -*I *430:A I *D sky130_fd_sc_hd__buf_16 -*I *314:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *430:A 0.000251817 -2 *314:X 0.00184068 -3 *113:22 0.00074672 -4 *113:14 0.00285478 -5 *113:5 0.00420056 -6 *430:A *130:13 0.000497094 -7 *113:14 *133:12 0.000200129 -8 *113:14 *143:19 0.000538063 -9 *113:22 *116:76 8.96725e-05 -10 *314:A *113:5 4.67194e-05 -11 *319:B *430:A 9.86777e-06 -12 *363:SET_B *113:14 0.0010833 -13 *421:A *113:5 6.12198e-05 -14 *1:16 *113:22 1.35288e-05 -15 *8:13 *430:A 5.5792e-05 -16 *11:12 *430:A 9.67816e-05 -17 *11:19 *113:22 4.30733e-05 -18 *12:7 *113:22 8.33238e-05 -19 *12:11 *113:22 0.000749689 -20 *12:32 *113:14 0.000349166 -21 *13:13 *113:22 0.000525144 -22 *24:9 *113:5 0.000525908 -23 *53:5 *430:A 0.000405474 -24 *57:15 *113:22 0.000198378 -25 *96:13 *113:5 0.000441434 -26 *100:27 *113:5 6.8448e-05 -*RES -1 *314:X *113:5 46.49 -2 *113:5 *113:14 49.0872 -3 *113:14 *113:22 42.7744 -4 *113:22 *430:A 31.1407 -*END - -*D_NET *114 0.0175061 -*CONN -*I *373:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *326:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *372:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *325:A I *D sky130_fd_sc_hd__or2_0 -*I *335:A I *D sky130_fd_sc_hd__or2_0 -*I *338:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *336:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *389:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *373:RESET_B 9.60096e-06 -2 *326:A_N 0.000276147 -3 *372:RESET_B 0.000160297 -4 *325:A 0 -5 *335:A 0 -6 *338:A_N 8.92278e-05 -7 *336:A_N 0 -8 *389:X 0 -9 *114:69 0.00290354 -10 *114:57 0.00331288 -11 *114:39 8.50109e-05 -12 *114:34 0.000346741 -13 *114:31 0.000609921 -14 *114:19 0.000252737 -15 *114:13 0.000935924 -16 *114:7 0.00137982 -17 *114:4 0.00127384 -18 *372:RESET_B *122:51 5.16362e-05 -19 *372:RESET_B *152:33 7.50065e-05 -20 *114:7 *115:31 8.17328e-05 -21 *114:7 *115:35 0.00021677 -22 *114:13 *436:A 0 -23 *114:13 *122:44 0.000733571 -24 *114:13 *122:51 1.62424e-05 -25 *114:19 *129:10 1.07299e-05 -26 *114:31 *122:51 6.91037e-05 -27 *114:57 *115:31 0.000415561 -28 pad_gpio_slow_sel *114:69 5.88198e-05 -29 *326:B *326:A_N 0.000148185 -30 *338:B *338:A_N 9.41704e-05 -31 *1:27 *114:69 4.51815e-05 -32 *2:19 *114:69 0.000571732 -33 *8:27 *114:39 5.74915e-05 -34 *9:13 *114:39 9.13954e-05 -35 *9:24 *114:19 0.000330783 -36 *10:26 *338:A_N 4.08664e-05 -37 *10:26 *114:13 5.3304e-05 -38 *10:26 *114:19 3.46013e-05 -39 *10:29 *114:19 0.000402164 -40 *13:31 *114:69 3.92042e-05 -41 *41:41 *114:69 0.00080666 -42 *59:5 *114:34 0.000212401 -43 *65:12 *114:69 0.000435861 -44 *69:9 *114:7 7.43795e-05 -45 *77:19 *114:69 0.000433671 -46 *92:7 *114:34 7.64944e-05 -47 *95:41 *373:RESET_B 1.05658e-05 -48 *95:41 *114:57 4.52279e-05 -49 *96:13 *114:13 0.000136927 -*RES -1 *389:X *114:4 23 -2 *114:4 *114:7 22.2914 -3 *114:7 *114:13 30.4114 -4 *114:13 *114:19 37.3536 -5 *114:19 *336:A_N 23 -6 *114:13 *338:A_N 24.5743 -7 *114:7 *114:31 3.66643 -8 *114:31 *114:34 20.3857 -9 *114:34 *114:39 32.61 -10 *114:39 *335:A 23 -11 *114:34 *325:A 23 -12 *114:31 *372:RESET_B 18.6664 -13 *114:4 *114:57 24.1454 -14 *114:57 *114:69 43.1795 -15 *114:69 *326:A_N 41.9771 -16 *114:57 *373:RESET_B 15.3211 -*END - -*D_NET *115 0.0147136 -*CONN -*I *340:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *370:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *374:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *339:A I *D sky130_fd_sc_hd__or2_0 -*I *389:A I *D sky130_fd_sc_hd__buf_2 -*I *371:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *337:A I *D sky130_fd_sc_hd__or2_0 -*I *390:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *340:A_N 0 -2 *370:RESET_B 0.000408721 -3 *374:RESET_B 2.06413e-05 -4 *339:A 0.000163073 -5 *389:A 3.36227e-05 -6 *371:RESET_B 0.000327302 -7 *337:A 0.000188896 -8 *390:X 0.000160057 -9 *115:35 0.000642691 -10 *115:31 0.00085243 -11 *115:26 0.00112762 -12 *115:20 0.000462914 -13 *115:19 0.000644989 -14 *115:15 0.00107506 -15 *115:7 0.00116889 -16 *337:A *120:36 0.000173695 -17 *337:A *159:8 2.79653e-05 -18 *370:RESET_B *370:D 0.000120625 -19 *370:RESET_B *375:CLK 6.2403e-05 -20 *371:RESET_B *120:36 0.000583757 -21 *371:RESET_B *159:62 1.41887e-05 -22 *371:RESET_B *159:69 2.15233e-05 -23 *371:RESET_B *169:12 6.38976e-05 -24 *374:RESET_B *374:D 2.33744e-05 -25 *374:RESET_B *376:CLK 4.94168e-05 -26 *115:7 *378:CLK 1.47795e-05 -27 *115:7 *390:A 4.49877e-05 -28 *115:7 *123:44 1.24376e-05 -29 *115:15 *123:32 3.88535e-05 -30 *115:15 *124:6 0 -31 *115:15 *142:17 0.000116835 -32 *115:15 *166:13 0.000263902 -33 *115:15 *171:8 6.55192e-05 -34 *115:20 *374:D 5.86143e-05 -35 *115:26 *365:D 1.35751e-05 -36 *115:26 *374:D 5.73892e-05 -37 *115:26 *170:6 0.000375943 -38 *115:31 *373:D 2.64616e-05 -39 serial_clock_out *115:15 3.60512e-05 -40 *181:DIODE *371:RESET_B 0.000219465 -41 *317:B *115:15 6.85548e-05 -42 *337:B *337:A 5.3304e-05 -43 *339:B *339:A 9.41704e-05 -44 *358:SET_B *115:15 0.000340804 -45 *358:SET_B *115:19 0.000140935 -46 *373:CLK *115:31 5.56356e-05 -47 *385:A *337:A 5.56279e-05 -48 *3:11 *115:15 0.000801002 -49 *4:11 *115:15 3.21898e-05 -50 *4:17 *115:20 2.4982e-06 -51 *10:21 *337:A 0.000150872 -52 *10:21 *371:RESET_B 0.000546204 -53 *10:26 *337:A 3.67392e-05 -54 *11:19 *115:15 0.000260161 -55 *11:21 *115:15 3.39192e-05 -56 *12:44 *115:19 2.64616e-05 -57 *12:50 *370:RESET_B 0.000207891 -58 *12:50 *115:20 5.72853e-05 -59 *13:31 *115:26 2.48764e-05 -60 *13:68 *115:15 6.17437e-06 -61 *53:5 *115:7 3.28925e-06 -62 *61:5 *370:RESET_B 2.27142e-05 -63 *69:9 *115:31 0.000142989 -64 *69:9 *115:35 4.81816e-05 -65 *103:8 *370:RESET_B 6.05241e-05 -66 *103:8 *115:20 0.000109002 -67 *103:8 *115:26 0.00061067 -68 *104:7 *115:19 1.34914e-05 -69 *104:10 *115:20 5.15383e-05 -70 *109:19 *370:RESET_B 0.000179267 -71 *114:7 *115:31 8.17328e-05 -72 *114:7 *115:35 0.00021677 -73 *114:57 *115:31 0.000415561 -*RES -1 *390:X *115:7 40.0507 -2 *115:7 *115:15 36.8536 -3 *115:15 *115:19 16.5743 -4 *115:19 *115:20 3.66643 -5 *115:20 *115:26 23.8657 -6 *115:26 *115:31 12.6979 -7 *115:31 *115:35 17.5271 -8 *115:35 *337:A 42.6607 -9 *115:35 *371:RESET_B 26.0511 -10 *115:31 *389:A 23.8493 -11 *115:26 *339:A 25.5271 -12 *115:20 *374:RESET_B 15.5489 -13 *115:19 *370:RESET_B 23.0475 -14 *115:15 *340:A_N 23 -*END - -*D_NET *116 0.0197479 -*CONN -*I *318:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *317:A I *D sky130_fd_sc_hd__or2_0 -*I *367:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *369:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *368:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *323:A I *D sky130_fd_sc_hd__or2_0 -*I *324:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *391:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *318:A_N 0.000335574 -2 *317:A 0.0003775 -3 *367:RESET_B 0.000176033 -4 *369:RESET_B 0.000111583 -5 *368:RESET_B 0.000139007 -6 *323:A 0 -7 *324:A_N 0.000190964 -8 *391:X 0 -9 *116:76 0.00231212 -10 *116:48 0.000371425 -11 *116:38 0.000321612 -12 *116:35 0.00459243 -13 *116:21 0.00104815 -14 *116:15 0.00394273 -15 *116:4 0.000190964 -16 *317:A *124:6 0.000181815 -17 *318:A_N *124:6 0.000386759 -18 *318:A_N *142:17 8.9908e-05 -19 *318:A_N *163:13 2.95423e-05 -20 *324:A_N *391:A 9.41704e-05 -21 *367:RESET_B *117:11 0.00013339 -22 *367:RESET_B *139:19 2.68176e-05 -23 *368:RESET_B *368:D 6.61507e-05 -24 *368:RESET_B *117:11 6.91202e-05 -25 *116:21 *129:10 0.000351106 -26 *116:35 *129:10 2.26406e-05 -27 *116:48 *117:11 0.000227924 -28 *116:76 *145:9 0.00019791 -29 serial_clock_out *116:76 0.000111172 -30 *317:B *317:A 2.64616e-05 -31 *323:B *116:21 6.63649e-05 -32 *354:SET_B *318:A_N 5.5792e-05 -33 *1:16 *116:38 2.06164e-05 -34 *1:16 *116:76 0.000269968 -35 *3:16 *317:A 0.000267765 -36 *6:59 *318:A_N 0.000146885 -37 *7:14 *324:A_N 1.61361e-05 -38 *7:28 *116:21 0.00015599 -39 *8:19 *116:38 0.00012966 -40 *8:19 *116:76 6.42861e-05 -41 *8:57 *116:76 0.000395328 -42 *9:10 *367:RESET_B 0.000124109 -43 *9:10 *368:RESET_B 7.15849e-05 -44 *9:10 *116:48 0.000219686 -45 *12:26 *116:21 3.95707e-06 -46 *13:13 *116:35 0.000239705 -47 *13:20 *116:35 5.97712e-05 -48 *34:10 *116:76 0.000118204 -49 *34:18 *116:21 0.000354588 -50 *34:18 *116:35 1.30942e-05 -51 *38:20 *369:RESET_B 2.68176e-05 -52 *39:7 *116:21 2.94787e-05 -53 *42:29 *317:A 0.000181815 -54 *42:29 *318:A_N 0.000249588 -55 *93:8 *116:35 0.000154405 -56 *109:13 *317:A 9.76849e-05 -57 *113:22 *116:76 8.96725e-05 -*RES -1 *391:X *116:4 23 -2 *116:4 *324:A_N 26.045 -3 *116:4 *116:15 15 -4 *116:15 *116:21 30.7221 -5 *116:21 *323:A 23 -6 *116:15 *116:35 28.9187 -7 *116:35 *116:38 8.27067 -8 *116:38 *368:RESET_B 17.5271 -9 *116:38 *116:48 3.13821 -10 *116:48 *369:RESET_B 16.5018 -11 *116:48 *367:RESET_B 18.4075 -12 *116:35 *116:76 12.0115 -13 *116:76 *317:A 46.2132 -14 *116:76 *318:A_N 47.0418 -*END - -*D_NET *117 0.0182838 -*CONN -*I *328:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *366:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *391:A I *D sky130_fd_sc_hd__buf_2 -*I *327:A I *D sky130_fd_sc_hd__or2_0 -*I *392:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *328:A_N 0.000599219 -2 *366:RESET_B 0.000620794 -3 *391:A 0.000280745 -4 *327:A 0.000151081 -5 *392:X 0 -6 *117:61 0.00122001 -7 *117:40 0.000608437 -8 *117:24 0.000758734 -9 *117:13 0.000949487 -10 *117:11 0.000867758 -11 *117:4 0.00115578 -12 *328:A_N *164:15 8.73672e-05 -13 *328:A_N *166:13 0.000345676 -14 *117:11 *392:A 2.64616e-05 -15 *117:11 *139:19 0.000220592 -16 *117:11 *164:7 1.9014e-05 -17 *117:24 *122:44 8.4658e-05 -18 *117:24 *122:51 0.00116795 -19 *117:40 *392:A 2.31687e-05 -20 *117:40 *125:10 8.98167e-06 -21 *117:40 *138:6 4.64674e-05 -22 *117:40 *139:19 5.3304e-05 -23 *117:40 *144:9 0.000323454 -24 *117:40 *144:16 3.0778e-05 -25 *117:61 *366:CLK 0.000104548 -26 *117:61 *118:32 3.7122e-06 -27 *117:61 *139:19 0.000147001 -28 *117:61 *164:7 0.000258103 -29 *117:61 *165:18 0.000126773 -30 *324:A_N *391:A 9.41704e-05 -31 *327:B *327:A 5.82853e-05 -32 *359:SET_B *328:A_N 0.00113287 -33 *359:SET_B *117:61 3.78847e-05 -34 *367:RESET_B *117:11 0.00013339 -35 *368:RESET_B *117:11 6.91202e-05 -36 *5:28 *327:A 0.000257984 -37 *5:28 *117:13 0.000357713 -38 *7:7 *117:24 0.00145488 -39 *7:14 *391:A 0.000466999 -40 *9:10 *117:11 0.000123184 -41 *9:20 *391:A 0.000532134 -42 *10:20 *117:11 0.000849226 -43 *11:19 *117:40 0 -44 *13:13 *117:40 1.00422e-05 -45 *37:6 *117:40 9.23514e-05 -46 *38:8 *117:40 0.000712298 -47 *51:11 *117:61 0.000303722 -48 *93:7 *117:13 0.000105849 -49 *94:60 *117:11 0.000183093 -50 *95:54 *328:A_N 5.3304e-05 -51 *97:9 *328:A_N 0.000653471 -52 *103:8 *117:61 8.38791e-05 -53 *116:48 *117:11 0.000227924 -*RES -1 *392:X *117:4 23 -2 *117:4 *117:11 44.79 -3 *117:11 *117:13 6.33857 -4 *117:13 *327:A 26.48 -5 *117:13 *117:24 49.5129 -6 *117:24 *391:A 31.2443 -7 *117:4 *117:40 35.1879 -8 *117:40 *366:RESET_B 15 -9 *366:RESET_B *117:61 42.2421 -10 *117:61 *328:A_N 40.4829 -*END - -*D_NET *118 0.0186199 -*CONN -*I *320:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *322:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *376:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *330:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *321:A I *D sky130_fd_sc_hd__or2_0 -*I *375:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *319:A I *D sky130_fd_sc_hd__or2_0 -*I *393:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *320:A_N 0 -2 *322:A_N 0.00105467 -3 *376:RESET_B 0 -4 *330:A_N 9.11017e-05 -5 *321:A 0 -6 *375:RESET_B 0.000427257 -7 *319:A 0 -8 *393:X 9.30903e-05 -9 *118:73 0.000441581 -10 *118:58 0.000532683 -11 *118:56 0.000446489 -12 *118:48 0.000605122 -13 *118:45 0.00138911 -14 *118:34 0.000251453 -15 *118:32 0.000472719 -16 *118:22 0.00189146 -17 *118:14 0.00014517 -18 *118:9 0.00263826 -19 *118:6 0.00116744 -20 *322:A_N *149:25 5.25144e-05 -21 *375:RESET_B *375:CLK 4.96207e-05 -22 *375:RESET_B *375:D 0.000104523 -23 *118:14 *130:18 0 -24 *118:22 *378:CLK 0.000406154 -25 *118:22 *158:47 0.00043086 -26 *118:22 *160:6 6.69637e-06 -27 *118:32 *377:RESET_B 7.16228e-05 -28 *118:32 *145:22 0.000115407 -29 *118:32 *146:5 2.64551e-05 -30 *118:45 *124:6 0.000102933 -31 *118:45 *149:25 1.59094e-05 -32 *118:48 *134:8 0.000308003 -33 *118:56 *134:8 0.000313351 -34 *118:73 *119:33 5.3304e-05 -35 *118:73 *155:10 0.000116276 -36 *192:DIODE *330:A_N 2.3122e-05 -37 *316:B *118:73 5.56279e-05 -38 *355:RESET_B *322:A_N 0.000127898 -39 *359:SET_B *118:32 8.79516e-05 -40 *429:A *118:14 1.05441e-05 -41 *1:16 *118:6 0.000302592 -42 *2:19 *118:22 5.78179e-05 -43 *2:22 *330:A_N 4.05745e-05 -44 *2:22 *118:56 0.000356126 -45 *2:22 *118:73 4.67488e-05 -46 *2:33 *330:A_N 9.41704e-05 -47 *3:11 *118:22 7.22099e-05 -48 *5:11 *118:6 0.00029717 -49 *8:13 *118:14 1.94813e-05 -50 *11:12 *118:14 0 -51 *12:50 *118:32 1.00084e-05 -52 *13:52 *118:73 0.00016401 -53 *13:68 *322:A_N 9.09026e-05 -54 *13:68 *118:45 3.29148e-06 -55 *41:45 *118:48 1.28035e-05 -56 *41:45 *118:56 0.000315479 -57 *42:29 *118:45 0.000100843 -58 *42:29 *118:48 0.000175112 -59 *51:11 *118:22 0 -60 *51:11 *118:32 0.000248712 -61 *54:8 *118:32 4.8652e-05 -62 *54:14 *375:RESET_B 0.000374017 -63 *61:10 *118:32 2.5858e-05 -64 *100:21 *330:A_N 0.000207965 -65 *100:21 *118:73 9.66668e-05 -66 *103:8 *118:22 0.000580092 -67 *103:8 *118:32 8.90064e-05 -68 *105:18 *118:56 8.65972e-05 -69 *105:18 *118:73 0.000486279 -70 *108:17 *118:56 8.65972e-05 -71 *117:61 *118:32 3.7122e-06 -*RES -1 *393:X *118:6 42.0186 -2 *118:6 *118:9 28.0086 -3 *118:9 *118:14 32.2579 -4 *118:14 *319:A 23 -5 *118:9 *118:22 38.6764 -6 *118:22 *118:32 38.5964 -7 *118:32 *118:34 15 -8 *118:34 *375:RESET_B 5.33393 -9 *375:RESET_B *118:45 32.6514 -10 *118:45 *118:48 19.3707 -11 *118:48 *118:56 39.5286 -12 *118:56 *118:58 15 -13 *118:58 *118:73 41.8486 -14 *118:73 *321:A 23 -15 *118:58 *330:A_N 25.5271 -16 *118:48 *376:RESET_B 15 -17 *118:45 *322:A_N 35.4286 -18 *118:34 *320:A_N 23 -*END - -*D_NET *119 0.0260387 -*CONN -*I *378:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *315:A I *D sky130_fd_sc_hd__or2_0 -*I *377:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *332:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *331:A I *D sky130_fd_sc_hd__or2_0 -*I *333:A I *D sky130_fd_sc_hd__or2_0 -*I *316:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *394:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *378:RESET_B 0.000460982 -2 *315:A 0.000652535 -3 *377:RESET_B 0.000481303 -4 *332:A_N 0.000280215 -5 *331:A 0.000111875 -6 *333:A 0.000156832 -7 *316:A_N 4.23984e-05 -8 *394:X 0.000859929 -9 *119:102 0.00158166 -10 *119:86 0.00327591 -11 *119:46 0.000930538 -12 *119:38 0.00112136 -13 *119:33 0.00079472 -14 *119:18 0.000321124 -15 *119:16 0.00333786 -16 *119:11 0.00187132 -17 *316:A_N *143:7 1.59094e-05 -18 *332:A_N *143:7 1.89135e-05 -19 *377:RESET_B *145:9 0.000539135 -20 *377:RESET_B *148:17 8.97328e-05 -21 *378:RESET_B *141:8 0.000218387 -22 *378:RESET_B *144:8 0 -23 *378:RESET_B *163:13 4.83954e-05 -24 *378:RESET_B *164:15 3.83085e-05 -25 *119:11 *159:15 0.000121988 -26 *119:16 *123:13 9.66585e-05 -27 *119:16 *124:6 0.000572923 -28 *119:16 *159:40 0.000159838 -29 *119:16 *173:15 0.000485132 -30 *119:33 *143:7 2.41205e-05 -31 *119:38 *155:10 0.000169156 -32 *119:46 *155:10 0.000143271 -33 *119:86 *123:25 0.000236257 -34 *119:86 *124:6 0.000114199 -35 *119:102 *145:9 0.000297961 -36 pad_gpio_dm[1] *119:86 8.16944e-06 -37 serial_clock_out *119:16 2.2385e-05 -38 serial_clock_out *119:86 0.000178689 -39 serial_clock_out *119:102 0.000123612 -40 *200:DIODE *119:11 5.3304e-05 -41 *314:A *331:A 0.000102727 -42 *315:B *315:A 0.000363857 -43 *316:B *332:A_N 1.57659e-05 -44 *316:B *119:33 0.000177242 -45 *333:B *333:A 2.83509e-05 -46 *334:B *119:46 8.36198e-05 -47 *361:SET_B *378:RESET_B 0.000128446 -48 *361:SET_B *119:38 1.13812e-05 -49 *361:SET_B *119:102 0.000255008 -50 *1:48 *119:38 0.000262146 -51 *1:48 *119:46 0.000292885 -52 *3:24 *332:A_N 5.3304e-05 -53 *3:29 *332:A_N 0.000135037 -54 *3:29 *119:38 5.10721e-05 -55 *4:27 *119:16 4.53137e-05 -56 *9:24 *119:11 0.000349495 -57 *9:38 *119:11 1.56542e-05 -58 *11:40 *316:A_N 6.17437e-06 -59 *11:40 *119:33 0.000111243 -60 *24:9 *331:A 9.2057e-05 -61 *34:10 *377:RESET_B 0.000547176 -62 *34:10 *119:102 0.000531863 -63 *41:45 *119:16 0.000598281 -64 *41:45 *119:86 2.11157e-05 -65 *42:15 *315:A 1.56408e-05 -66 *42:29 *119:86 0.000102364 -67 *42:34 *119:16 9.06934e-05 -68 *49:8 *315:A 4.53082e-05 -69 *50:7 *119:33 5.3304e-05 -70 *53:12 *315:A 2.44846e-05 -71 *53:12 *378:RESET_B 0.000211268 -72 *53:12 *119:102 0.000115114 -73 *55:7 *332:A_N 1.35999e-05 -74 *55:7 *119:33 5.82853e-05 -75 *66:7 *332:A_N 2.65505e-05 -76 *67:7 *333:A 5.5792e-05 -77 *67:8 *119:46 8.57485e-05 -78 *70:10 *119:11 0.000351833 -79 *77:19 *378:RESET_B 0 -80 *101:7 *315:A 7.82585e-05 -81 *103:8 *377:RESET_B 7.40619e-05 -82 *109:13 *377:RESET_B 8.40304e-05 -83 *111:19 *377:RESET_B 9.11717e-05 -84 *118:32 *377:RESET_B 7.16228e-05 -85 *118:73 *119:33 5.3304e-05 -*RES -1 *394:X *119:11 38.4321 -2 *119:11 *119:16 38.1171 -3 *119:16 *119:18 15 -4 *119:18 *316:A_N 23.6214 -5 *119:18 *119:33 6.46286 -6 *119:33 *119:38 20.9243 -7 *119:38 *119:46 42.035 -8 *119:46 *333:A 40.4236 -9 *119:38 *331:A 40.5479 -10 *119:33 *332:A_N 28.0957 -11 *119:16 *119:86 28.6648 -12 *119:86 *377:RESET_B 28.0858 -13 *119:86 *119:102 12.7958 -14 *119:102 *315:A 48.6575 -15 *119:102 *378:RESET_B 25.15 -*END - -*D_NET *120 0.0150946 -*CONN -*I *379:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *381:A I *D sky130_fd_sc_hd__buf_2 -*I *334:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *395:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *379:RESET_B 0.00107422 -2 *381:A 0 -3 *334:A_N 2.77218e-05 -4 *395:X 0.000547365 -5 *120:36 0.00279151 -6 *120:22 0.003343 -7 *120:8 0.0022008 -8 *379:RESET_B *122:51 0.000410404 -9 *379:RESET_B *159:62 0 -10 *379:RESET_B *159:78 0 -11 *120:8 *395:A 7.61229e-06 -12 *120:36 *159:8 0.000245174 -13 *120:36 *159:62 0.000485733 -14 pad_gpio_vtrip_sel *120:36 4.81655e-06 -15 *334:B *120:8 0.000199388 -16 *337:A *120:36 0.000173695 -17 *337:B *120:36 5.3304e-05 -18 *371:RESET_B *120:36 0.000583757 -19 *385:A *120:36 0.000175903 -20 *4:44 *120:8 2.58781e-05 -21 *5:28 *379:RESET_B 0 -22 *7:7 *379:RESET_B 0 -23 *13:20 *120:36 8.16944e-06 -24 *13:52 *120:22 0.000762814 -25 *24:9 *334:A_N 2.64616e-05 -26 *26:14 *120:8 2.56091e-05 -27 *28:5 *120:22 0.000101506 -28 *31:8 *379:RESET_B 1.23773e-05 -29 *33:10 *379:RESET_B 6.86315e-06 -30 *36:16 *120:8 5.30056e-05 -31 *67:8 *120:8 0 -32 *67:8 *120:22 0 -33 *68:7 *334:A_N 5.3304e-05 -34 *71:7 *120:36 0.000306783 -35 *99:8 *120:8 0 -36 *102:12 *120:8 0.000659364 -37 *102:12 *120:22 0.000575631 -38 *108:17 *120:22 5.58003e-05 -39 *111:10 *120:36 9.66585e-05 -*RES -1 *395:X *120:8 49.8071 -2 *120:8 *334:A_N 38.6214 -3 *120:8 *120:22 43.0679 -4 *120:22 *381:A 23 -5 *120:22 *120:36 49.726 -6 *120:36 *379:RESET_B 44.4496 -*END - -*D_NET *121 0.00160084 -*CONN -*I *314:B I *D sky130_fd_sc_hd__and2_2 -*I *380:A I *D sky130_fd_sc_hd__buf_2 -*I *414:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *314:B 0 -2 *380:A 9.15624e-05 -3 *414:X 8.26736e-05 -4 *121:20 0.000322523 -5 *121:7 0.000496759 -6 *380:A *123:13 2.93985e-05 -7 *380:A *123:65 1.21972e-05 -8 *380:A *132:13 4.0578e-05 -9 *121:7 *123:65 3.20631e-05 -10 *121:20 *122:76 0 -11 *121:20 *123:65 3.83458e-05 -12 *4:27 *380:A 9.77405e-05 -13 *4:44 *380:A 9.66668e-05 -14 *4:44 *121:7 0.000118444 -15 *5:40 *121:20 4.80465e-05 -16 *99:8 *121:20 9.38398e-05 -*RES -1 *414:X *121:7 25.0714 -2 *121:7 *380:A 25.5479 -3 *121:7 *121:20 36.1314 -4 *121:20 *314:B 23 -*END - -*D_NET *122 0.0218538 -*CONN -*I *395:A I *D sky130_fd_sc_hd__buf_2 -*I *190:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *329:A I *D sky130_fd_sc_hd__or2_0 -*I *207:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *206:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *394:A I *D sky130_fd_sc_hd__buf_2 -*I *393:A I *D sky130_fd_sc_hd__buf_2 -*I *205:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *396:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *395:A 7.44456e-05 -2 *190:DIODE 0 -3 *329:A 0 -4 *207:DIODE 0 -5 *206:DIODE 0.000397892 -6 *394:A 0 -7 *393:A 0 -8 *205:DIODE 0.000115381 -9 *396:X 2.52923e-05 -10 *122:76 0.00108135 -11 *122:51 0.00128705 -12 *122:44 0.00219718 -13 *122:33 0.00128601 -14 *122:30 0.0010533 -15 *122:19 0.00112376 -16 *122:15 0.00168501 -17 *122:11 0.00206684 -18 *122:7 0.000192475 -19 *122:5 0.000262885 -20 *206:DIODE *159:21 6.17437e-06 -21 *206:DIODE *159:27 2.02872e-05 -22 *206:DIODE *159:40 0.000265069 -23 *122:7 *123:65 0.000102971 -24 *122:15 *157:7 0.00114919 -25 *122:15 *173:15 0 -26 *122:19 *157:7 0.000146822 -27 *122:33 *159:15 7.85121e-05 -28 *122:33 *159:21 7.61733e-05 -29 *122:44 *159:15 0.000199788 -30 *122:51 *152:33 0.000105113 -31 zero *122:15 0.000196472 -32 *188:DIODE *205:DIODE 4.77213e-05 -33 *191:DIODE *122:76 6.2403e-05 -34 *329:B *122:76 5.9824e-05 -35 *372:RESET_B *122:51 5.16362e-05 -36 *379:RESET_B *122:51 0.000410404 -37 *3:24 *122:76 0.00041707 -38 *3:37 *122:76 0.000184932 -39 *4:32 *122:15 0.000100547 -40 *4:32 *122:76 0.000180675 -41 *5:40 *122:7 4.08664e-05 -42 *5:40 *122:11 9.77405e-05 -43 *5:40 *122:76 1.63009e-05 -44 *7:7 *122:51 0.000485015 -45 *7:42 *122:30 0.000163102 -46 *15:21 *122:7 9.66668e-05 -47 *15:21 *122:11 9.77405e-05 -48 *15:21 *122:15 0.000135753 -49 *15:21 *122:76 2.46951e-05 -50 *16:16 *205:DIODE 5.43448e-05 -51 *16:16 *122:19 0.000326639 -52 *29:7 *122:76 4.34559e-05 -53 *63:8 *122:76 1.3523e-05 -54 *65:12 *122:76 0.000160327 -55 *94:8 *122:30 4.3053e-05 -56 *94:17 *122:30 3.21668e-05 -57 *99:8 *122:76 0 -58 *101:20 *122:76 0.00114473 -59 *107:12 *122:76 1.12863e-05 -60 *112:7 *122:51 7.65525e-05 -61 *114:13 *122:44 0.000733571 -62 *114:13 *122:51 1.62424e-05 -63 *114:31 *122:51 6.91037e-05 -64 *117:24 *122:44 8.4658e-05 -65 *117:24 *122:51 0.00116795 -66 *120:8 *395:A 7.61229e-06 -67 *121:20 *122:76 0 -*RES -1 *396:X *122:5 23.4971 -2 *122:5 *122:7 3.95643 -3 *122:7 *122:11 16.0979 -4 *122:11 *122:15 31.1986 -5 *122:15 *122:19 11.6 -6 *122:19 *205:DIODE 25.5271 -7 *122:19 *122:30 35.4271 -8 *122:30 *122:33 5.28214 -9 *122:33 *122:44 40.0539 -10 *122:44 *122:51 48.8782 -11 *122:51 *393:A 23 -12 *122:33 *394:A 23 -13 *122:30 *206:DIODE 28.8621 -14 *122:15 *207:DIODE 23 -15 *122:11 *122:76 40.1057 -16 *122:76 *329:A 23 -17 *122:7 *190:DIODE 23 -18 *122:5 *395:A 24.595 -*END - -*D_NET *123 0.0191155 -*CONN -*I *396:A I *D sky130_fd_sc_hd__buf_2 -*I *390:A I *D sky130_fd_sc_hd__buf_2 -*I *392:A I *D sky130_fd_sc_hd__buf_2 -*I *397:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *396:A 0 -2 *390:A 3.28566e-05 -3 *392:A 6.22989e-05 -4 *397:X 0 -5 *123:65 0.000433966 -6 *123:44 0.00129355 -7 *123:34 0.00126411 -8 *123:32 0.00091376 -9 *123:25 0.00302188 -10 *123:13 0.00305934 -11 *123:4 0.00138519 -12 *390:A *378:CLK 9.77405e-05 -13 *392:A *139:19 1.34914e-05 -14 *392:A *164:7 7.43212e-05 -15 *123:13 *132:13 0.000394027 -16 *123:13 *159:40 6.95643e-05 -17 *123:13 *173:15 0.000211493 -18 *123:25 *154:10 0.00109601 -19 *123:25 *156:10 0.000640259 -20 *123:32 *133:21 2.81066e-05 -21 *123:32 *166:7 9.41704e-05 -22 *123:44 *378:CLK 0.000159964 -23 *123:44 *138:6 0.000231199 -24 pad_gpio_ana_pol *123:13 6.92544e-05 -25 *317:B *123:32 6.85548e-05 -26 *319:B *123:44 0.000536455 -27 *380:A *123:13 2.93985e-05 -28 *380:A *123:65 1.21972e-05 -29 *429:A *123:44 1.85903e-05 -30 *3:11 *123:32 0.000409301 -31 *4:11 *123:32 0.00107923 -32 *5:40 *123:65 6.78592e-05 -33 *8:13 *123:44 0.000360062 -34 *8:57 *123:25 4.8098e-05 -35 *11:12 *123:44 6.08617e-05 -36 *11:19 *123:32 0.000111567 -37 *15:10 *123:65 5.68755e-05 -38 *19:8 *123:13 7.1693e-05 -39 *32:12 *123:25 0.000259665 -40 *51:11 *123:32 0.000158184 -41 *53:5 *123:44 2.21163e-05 -42 *99:7 *123:65 2.95526e-05 -43 *99:8 *123:65 0.000416511 -44 *115:7 *390:A 4.49877e-05 -45 *115:7 *123:44 1.24376e-05 -46 *115:15 *123:32 3.88535e-05 -47 *117:11 *392:A 2.64616e-05 -48 *117:40 *392:A 2.31687e-05 -49 *119:16 *123:13 9.66585e-05 -50 *119:86 *123:25 0.000236257 -51 *121:7 *123:65 3.20631e-05 -52 *121:20 *123:65 3.83458e-05 -53 *122:7 *123:65 0.000102971 -*RES -1 *397:X *123:4 23 -2 *123:4 *123:13 43.0513 -3 *123:13 *123:25 49.7275 -4 *123:25 *123:32 48.4668 -5 *123:32 *123:34 15 -6 *123:34 *123:44 35.1757 -7 *123:44 *392:A 39.5743 -8 *123:34 *390:A 24.0979 -9 *123:4 *123:65 39.1557 -10 *123:65 *396:A 23 -*END - -*D_NET *124 0.00892301 -*CONN -*I *353:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *305:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *353:CLK_N 0.000198726 -2 *305:Y 0 -3 *124:6 0.00156338 -4 *124:5 0.00136466 -5 *353:CLK_N *139:19 0.000179473 -6 *353:CLK_N *164:7 8.24552e-05 -7 *353:CLK_N *165:23 0.000222918 -8 *124:6 *142:17 0.000160692 -9 *124:6 *149:8 0.000131034 -10 *124:6 *166:13 6.13736e-05 -11 serial_clock_out *124:6 0.00235062 -12 *317:A *124:6 0.000181815 -13 *318:A_N *124:6 0.000386759 -14 *11:40 *124:6 0.000376959 -15 *41:45 *124:6 3.12911e-05 -16 *42:29 *124:6 0.000840792 -17 *115:15 *124:6 0 -18 *118:45 *124:6 0.000102933 -19 *119:16 *124:6 0.000572923 -20 *119:86 *124:6 0.000114199 -*RES -1 *305:Y *124:5 38 -2 *124:5 *124:6 38.8807 -3 *124:6 *353:CLK_N 42.9093 -*END - -*D_NET *125 0.00870245 -*CONN -*I *354:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *341:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *354:CLK_N 7.54323e-05 -2 *341:Y 0 -3 *125:10 0.0020853 -4 *125:5 0.00200987 -5 *354:CLK_N *379:CLK 9.41704e-05 -6 *354:CLK_N *379:D 6.70361e-05 -7 *125:10 *354:D 2.11066e-05 -8 *125:10 *357:D 0.000250176 -9 *125:10 *370:D 0.000339578 -10 *125:10 *130:18 6.52799e-05 -11 *125:10 *138:6 7.07621e-05 -12 *357:SET_B *125:10 0.000238011 -13 *383:A *125:10 0.000136903 -14 *7:28 *125:10 4.19479e-05 -15 *7:42 *125:10 0.00013658 -16 *12:26 *125:10 0.0011272 -17 *34:10 *125:10 0.00105698 -18 *38:8 *125:10 0.000129796 -19 *94:17 *125:10 0.000639386 -20 *94:26 *125:10 9.57482e-05 -21 *112:7 *354:CLK_N 1.21972e-05 -22 *117:40 *125:10 8.98167e-06 -*RES -1 *341:Y *125:5 38 -2 *125:5 *125:10 48.6993 -3 *125:10 *354:CLK_N 39.5743 -*END - -*D_NET *126 0.00631022 -*CONN -*I *355:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *342:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *355:CLK_N 0 -2 *342:Y 0 -3 *126:7 0.0022188 -4 *126:4 0.0022188 -5 *126:7 *358:D 9.45986e-05 -6 *126:7 *147:22 4.49877e-05 -7 *358:SET_B *126:7 0.000809434 -8 *9:10 *126:7 0.000695525 -9 *13:68 *126:7 3.28925e-06 -10 *59:15 *126:7 4.5873e-05 -11 *95:67 *126:7 8.2262e-05 -12 *109:27 *126:7 9.66585e-05 -*RES -1 *342:Y *126:4 23 -2 *126:4 *126:7 29.58 -3 *126:7 *355:CLK_N 23 -*END - -*D_NET *127 0.00286255 -*CONN -*I *356:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *343:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *356:CLK_N 0.00018608 -2 *343:Y 0.000533732 -3 *127:7 0.000719812 -4 *127:7 *161:8 0.000107611 -5 *36:8 *356:CLK_N 0.000558941 -6 *41:15 *127:7 6.39527e-05 -7 *41:41 *127:7 0.000136762 -8 *97:15 *356:CLK_N 0.000555656 -*RES -1 *343:Y *127:7 44.3386 -2 *127:7 *356:CLK_N 45.54 -*END - -*D_NET *128 0.00879819 -*CONN -*I *357:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *344:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *357:CLK_N 7.107e-05 -2 *344:Y 0 -3 *128:6 0.00138372 -4 *128:5 0.00131265 -5 *357:CLK_N *166:7 4.40294e-05 -6 *128:6 *138:6 0.00298457 -7 *383:A *128:6 0.000560878 -8 *34:10 *128:6 4.39716e-05 -9 *38:8 *128:6 5.15635e-05 -10 *38:20 *357:CLK_N 7.14493e-05 -11 *94:17 *128:6 0.00137822 -12 *94:26 *128:6 0.000359282 -13 *94:37 *128:6 0.000282428 -14 *94:60 *128:6 0.000254363 -*RES -1 *344:Y *128:5 38 -2 *128:5 *128:6 41.3457 -3 *128:6 *357:CLK_N 39.5743 -*END - -*D_NET *129 0.00658847 -*CONN -*I *358:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *345:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *358:CLK_N 0 -2 *345:Y 3.92207e-05 -3 *129:10 0.0011691 -4 *129:7 0.00120832 -5 *129:10 *138:6 0.000277377 -6 *200:DIODE *129:10 0.000110267 -7 *385:A *129:10 0.000242052 -8 *10:26 *129:10 1.26897e-05 -9 *10:29 *129:10 0.00047782 -10 *34:18 *129:10 9.6399e-05 -11 *92:10 *129:10 0.00174329 -12 *93:8 *129:10 0.000769347 -13 *95:19 *129:7 5.8118e-05 -14 *114:19 *129:10 1.07299e-05 -15 *116:21 *129:10 0.000351106 -16 *116:35 *129:10 2.26406e-05 -*RES -1 *345:Y *129:7 38.6214 -2 *129:7 *129:10 47.8943 -3 *129:10 *358:CLK_N 23 -*END - -*D_NET *130 0.00468214 -*CONN -*I *359:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *346:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *359:CLK_N 0 -2 *346:Y 0.000654429 -3 *130:18 0.000616693 -4 *130:13 0.00127112 -5 *130:13 *159:78 0.000759121 -6 *130:18 *354:D 0 -7 *130:18 *133:12 5.84988e-05 -8 *130:18 *143:19 9.49984e-05 -9 *319:B *130:13 0.000182175 -10 *357:SET_B *130:18 0.000240614 -11 *429:A *130:13 0.000100231 -12 *429:A *130:18 0 -13 *430:A *130:13 0.000497094 -14 *8:13 *130:13 0.0001065 -15 *11:12 *130:18 3.53826e-05 -16 *12:11 *130:18 0 -17 *118:14 *130:18 0 -18 *125:10 *130:18 6.52799e-05 -*RES -1 *346:Y *130:13 40.8557 -2 *130:13 *130:18 41.4136 -3 *130:18 *359:CLK_N 23 -*END - -*D_NET *131 0.00440087 -*CONN -*I *360:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *347:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *360:CLK_N 0 -2 *347:Y 0 -3 *131:11 0.00115091 -4 *131:5 0.00115091 -5 *131:11 *148:27 0.000144489 -6 *361:SET_B *131:11 6.65878e-05 -7 *1:27 *131:11 0.000857815 -8 *64:8 *131:11 0.000118663 -9 *95:46 *131:11 2.44682e-05 -10 *95:54 *131:11 0.000872258 -11 *107:12 *131:11 1.47729e-05 -*RES -1 *347:Y *131:5 38 -2 *131:5 *131:11 37.3714 -3 *131:11 *360:CLK_N 23 -*END - -*D_NET *132 0.00443508 -*CONN -*I *397:A I *D sky130_fd_sc_hd__buf_2 -*I *415:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *397:A 0 -2 *415:X 0.000903894 -3 *132:13 0.000462501 -4 *132:7 0.0013664 -5 *132:13 *134:8 0 -6 *132:13 *155:10 0.000523241 -7 *132:13 *173:15 7.15579e-05 -8 *380:A *132:13 4.0578e-05 -9 *415:A *132:7 0.000179473 -10 *419:A *132:13 0.000226896 -11 *423:A *132:7 6.17437e-06 -12 *4:27 *132:13 9.77922e-06 -13 *39:7 *132:7 0.00025056 -14 *42:34 *132:13 0 -15 *123:13 *132:13 0.000394027 -*RES -1 *415:X *132:7 49.1029 -2 *132:7 *132:13 27.1179 -3 *132:13 *397:A 23 -*END - -*D_NET *133 0.0102624 -*CONN -*I *361:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *348:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *361:CLK_N 0 -2 *348:Y 0.000237639 -3 *133:21 0.000805257 -4 *133:12 0.00202268 -5 *133:10 0.00145506 -6 *133:12 *135:15 0.0013852 -7 *133:12 *143:19 0.00192487 -8 *133:12 *151:6 7.63295e-05 -9 *133:21 *361:D 2.95423e-05 -10 *133:21 *165:18 8.06188e-05 -11 *133:21 *166:7 7.46192e-05 -12 *200:DIODE *133:10 5.58003e-05 -13 *357:SET_B *133:12 0.000129232 -14 *7:42 *133:10 5.02992e-05 -15 *7:42 *133:12 5.20619e-06 -16 *8:57 *133:12 0.000154551 -17 *9:38 *133:10 0.000160125 -18 *12:32 *133:10 0.000121112 -19 *12:32 *133:12 0.000577674 -20 *57:15 *133:21 0.000119299 -21 *105:7 *133:21 0.000510574 -22 *113:14 *133:12 0.000200129 -23 *123:32 *133:21 2.81066e-05 -24 *130:18 *133:12 5.84988e-05 -*RES -1 *348:Y *133:10 42.9404 -2 *133:10 *133:12 37.0889 -3 *133:12 *133:21 29.1479 -4 *133:21 *361:CLK_N 23 -*END - -*D_NET *134 0.00855057 -*CONN -*I *362:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *349:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *362:CLK_N 6.49226e-05 -2 *349:Y 5.73342e-05 -3 *134:8 0.00165715 -4 *134:7 0.00164956 -5 *362:CLK_N *163:13 5.3304e-05 -6 *134:8 *163:13 3.18198e-05 -7 *353:SET_B *134:8 0.00218485 -8 *6:51 *134:8 0.000155098 -9 *6:59 *134:8 0.000860005 -10 *41:45 *134:8 5.40749e-05 -11 *42:29 *134:8 3.84827e-05 -12 *42:34 *134:8 0.000960358 -13 *53:12 *134:8 0 -14 *73:8 *134:8 0.000162263 -15 *105:18 *134:8 0 -16 *118:48 *134:8 0.000308003 -17 *118:56 *134:8 0.000313351 -18 *132:13 *134:8 0 -*RES -1 *349:Y *134:7 38.6214 -2 *134:7 *134:8 41.3457 -3 *134:8 *362:CLK_N 39.0979 -*END - -*D_NET *135 0.00524593 -*CONN -*I *363:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *350:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *363:CLK_N 0 -2 *350:Y 0.000351242 -3 *135:15 0.000975412 -4 *135:7 0.00132665 -5 *135:7 *158:11 5.32463e-05 -6 *135:15 *364:D 0.000425517 -7 *135:15 *151:6 0.000374677 -8 *135:15 *152:33 0.000179473 -9 *7:28 *135:15 7.52305e-05 -10 *12:26 *135:15 2.88798e-05 -11 *35:7 *135:7 5.68958e-05 -12 *95:19 *135:7 1.34995e-05 -13 *133:12 *135:15 0.0013852 -*RES -1 *350:Y *135:7 42.4329 -2 *135:7 *135:15 39.36 -3 *135:15 *363:CLK_N 23 -*END - -*D_NET *136 0.0030894 -*CONN -*I *364:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *351:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *364:CLK_N 0.000810893 -2 *351:Y 0.000259737 -3 *136:6 0.00107063 -4 *364:CLK_N *364:D 5.90312e-05 -5 *364:CLK_N *143:11 3.86556e-05 -6 *324:B *364:CLK_N 1.92324e-05 -7 *364:RESET_B *364:CLK_N 3.05299e-05 -8 *364:SET_B *364:CLK_N 1.06875e-05 -9 *4:17 *136:6 0.000140436 -10 *13:42 *136:6 0.000485558 -11 *104:10 *136:6 0.00016401 -*RES -1 *351:Y *136:6 44.8357 -2 *136:6 *364:CLK_N 48.0464 -*END - -*D_NET *137 0.00331935 -*CONN -*I *365:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *352:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *365:CLK_N 0 -2 *352:Y 4.0764e-05 -3 *137:11 0.000676234 -4 *137:7 0.000716998 -5 *137:11 *158:7 5.3304e-05 -6 *137:11 *158:11 0.000390115 -7 *13:42 *137:11 4.01603e-05 -8 *35:7 *137:7 3.26241e-05 -9 *103:8 *137:11 0.00102296 -10 *111:10 *137:11 0.00034619 -*RES -1 *352:Y *137:7 38.6214 -2 *137:7 *137:11 32.5657 -3 *137:11 *365:CLK_N 23 -*END - -*D_NET *138 0.0100402 -*CONN -*I *379:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *306:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *379:CLK 6.415e-05 -2 *306:Y 0 -3 *138:6 0.00182681 -4 *138:5 0.00176266 -5 *379:CLK *379:D 1.47709e-05 -6 *138:6 *354:D 9.80101e-06 -7 *138:6 *147:8 7.34344e-05 -8 *138:6 *167:6 0.000387984 -9 *354:CLK_N *379:CLK 9.41704e-05 -10 *8:13 *138:6 0.000166982 -11 *38:8 *138:6 0.000103272 -12 *92:10 *138:6 0.00175492 -13 *93:8 *138:6 8.15379e-06 -14 *94:17 *138:6 9.25703e-05 -15 *112:7 *379:CLK 7.01168e-05 -16 *117:40 *138:6 4.64674e-05 -17 *123:44 *138:6 0.000231199 -18 *125:10 *138:6 7.07621e-05 -19 *128:6 *138:6 0.00298457 -20 *129:10 *138:6 0.000277377 -*RES -1 *306:Y *138:5 38 -2 *138:5 *138:6 50.1493 -3 *138:6 *379:CLK 39.5743 -*END - -*D_NET *139 0.00580422 -*CONN -*I *353:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *367:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *399:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *353:D 0 -2 *367:D 0 -3 *399:X 0.000236543 -4 *139:19 0.00182308 -5 *139:10 0.00182308 -6 *139:8 0.000236543 -7 *139:8 *163:13 0.000182219 -8 *139:19 *366:CLK 0.000411078 -9 *139:19 *164:7 0.000111326 -10 *139:19 *165:23 2.812e-05 -11 *353:CLK_N *139:19 0.000179473 -12 *367:RESET_B *139:19 2.68176e-05 -13 *392:A *139:19 1.34914e-05 -14 *3:11 *139:8 0.000156225 -15 *4:11 *139:8 0.000155329 -16 *117:11 *139:19 0.000220592 -17 *117:40 *139:19 5.3304e-05 -18 *117:61 *139:19 0.000147001 -*RES -1 *399:X *139:8 42.3086 -2 *139:8 *139:10 15 -3 *139:10 *139:19 27.26 -4 *139:19 *367:D 23 -5 *139:10 *353:D 23 -*END - -*D_NET *140 0.00549495 -*CONN -*I *356:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *376:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *404:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *356:D 0.000170884 -2 *376:D 0 -3 *404:X 0.000164135 -4 *140:15 0.0014505 -5 *140:8 0.00178552 -6 *140:15 *376:CLK 1.00493e-05 -7 *140:15 *159:69 0.00014844 -8 *140:15 *161:8 0.000408245 -9 *140:15 *171:8 3.7122e-06 -10 *356:SET_B *356:D 6.23278e-05 -11 *359:SET_B *140:8 9.79925e-05 -12 *41:41 *140:15 2.33476e-05 -13 *67:8 *140:8 6.7876e-05 -14 *67:8 *140:15 0.000114877 -15 *101:13 *140:8 0.000151378 -16 *101:13 *140:15 0.000659767 -17 *107:12 *140:15 0.000175903 -*RES -1 *404:X *140:8 41.3557 -2 *140:8 *140:15 37.33 -3 *140:15 *376:D 23 -4 *140:8 *356:D 40.5271 -*END - -*D_NET *141 0.00122765 -*CONN -*I *362:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *405:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *362:D 7.66043e-05 -2 *405:X 0.000297103 -3 *141:8 0.000373708 -4 *141:8 *405:A 3.18142e-05 -5 *141:8 *163:13 6.2816e-05 -6 *378:RESET_B *141:8 0.000218387 -7 *53:12 *141:8 0.000167221 -*RES -1 *405:X *141:8 43.7379 -2 *141:8 *362:D 39.0979 -*END - -*D_NET *142 0.00169628 -*CONN -*I *378:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *361:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *406:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *378:D 0 -2 *361:D 0.000194882 -3 *406:X 0 -4 *142:17 0.000399078 -5 *142:4 0.000593959 -6 *142:17 *163:13 0 -7 *142:17 *166:13 3.41998e-06 -8 *318:A_N *142:17 8.9908e-05 -9 *4:11 *142:17 0 -10 *42:15 *361:D 4.67488e-05 -11 *53:5 *142:17 1.24298e-05 -12 *105:7 *361:D 4.87889e-05 -13 *115:15 *142:17 0.000116835 -14 *124:6 *142:17 0.000160692 -15 *133:21 *361:D 2.95423e-05 -*RES -1 *406:X *142:4 23 -2 *142:4 *361:D 26.3764 -3 *142:4 *142:17 37.8093 -4 *142:17 *378:D 23 -*END - -*D_NET *143 0.0120066 -*CONN -*I *366:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *416:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *366:D 0 -2 *416:X 0.0012582 -3 *143:19 0.000999088 -4 *143:11 0.00205114 -5 *143:7 0.00231025 -6 *143:11 *364:D 2.95423e-05 -7 *143:11 *156:7 1.06981e-05 -8 *143:19 *366:CLK 7.48505e-05 -9 *143:19 *164:7 0.000141347 -10 serial_clock_out *143:7 0.00011794 -11 *311:B *143:7 0.00018561 -12 *316:A_N *143:7 1.59094e-05 -13 *316:B *143:7 0.000567499 -14 *332:A_N *143:7 1.89135e-05 -15 *363:SET_B *143:19 2.63091e-05 -16 *364:CLK_N *143:11 3.86556e-05 -17 *364:RESET_B *143:11 7.14493e-05 -18 *364:SET_B *143:11 4.88741e-05 -19 *3:24 *143:7 1.46286e-05 -20 *3:29 *143:7 1.21972e-05 -21 *12:11 *143:19 0.00110274 -22 *59:15 *143:19 0.000300855 -23 *66:7 *143:7 2.02872e-05 -24 *78:8 *143:7 7.56141e-06 -25 *113:14 *143:19 0.000538063 -26 *119:33 *143:7 2.41205e-05 -27 *130:18 *143:19 9.49984e-05 -28 *133:12 *143:19 0.00192487 -*RES -1 *416:X *143:7 40.2964 -2 *143:7 *143:11 27.0557 -3 *143:11 *143:19 47.0657 -4 *143:19 *366:D 23 -*END - -*D_NET *144 0.00811792 -*CONN -*I *354:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *369:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *407:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *354:D 3.5971e-05 -2 *369:D 0 -3 *407:X 0.000115672 -4 *144:16 0.00115143 -5 *144:9 0.00299602 -6 *144:8 0.00192429 -7 user_gpio_in *144:9 0.000410095 -8 user_gpio_in *144:16 0.000122951 -9 *378:RESET_B *144:8 0 -10 *1:16 *144:16 0.00011687 -11 *6:7 *144:16 4.93525e-05 -12 *6:27 *144:16 0.000262762 -13 *7:7 *144:16 9.83991e-05 -14 *13:13 *144:16 2.78478e-05 -15 *38:8 *144:9 0.000383801 -16 *77:19 *144:8 3.73166e-05 -17 *117:40 *144:9 0.000323454 -18 *117:40 *144:16 3.0778e-05 -19 *125:10 *354:D 2.11066e-05 -20 *130:18 *354:D 0 -21 *138:6 *354:D 9.80101e-06 -*RES -1 *407:X *144:8 48.0818 -2 *144:8 *144:9 4.60693 -3 *144:9 *144:16 30.0157 -4 *144:16 *369:D 23 -5 *144:9 *354:D 46.6732 -*END - -*D_NET *145 0.00673622 -*CONN -*I *359:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *368:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *408:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *359:D 0 -2 *368:D 0.00092425 -3 *408:X 0.0005405 -4 *145:25 0.00106856 -5 *145:22 0.00053365 -6 *145:9 0.000929844 -7 *368:D *410:A 0.000132311 -8 *368:D *146:5 6.56516e-05 -9 *368:D *147:8 8.06804e-06 -10 *368:D *165:12 0.000533326 -11 *145:22 *146:5 1.83573e-05 -12 *145:22 *165:12 2.24366e-06 -13 *145:25 *146:5 9.86332e-05 -14 *145:25 *165:12 9.44722e-05 -15 serial_clock_out *145:9 0.000361347 -16 *353:SET_B *145:9 9.86551e-06 -17 *368:RESET_B *368:D 6.61507e-05 -18 *377:RESET_B *145:9 0.000539135 -19 *2:19 *145:22 5.24781e-05 -20 *11:19 *145:22 0 -21 *51:11 *145:22 2.04878e-05 -22 *103:8 *145:22 0.000120025 -23 *105:18 *145:9 5.58873e-06 -24 *116:76 *145:9 0.00019791 -25 *118:32 *145:22 0.000115407 -26 *119:102 *145:9 0.000297961 -*RES -1 *408:X *145:9 48.0085 -2 *145:9 *145:22 44.2246 -3 *145:22 *145:25 18.48 -4 *145:25 *368:D 40.1514 -5 *145:25 *359:D 23 -*END - -*D_NET *146 0.00599714 -*CONN -*I *357:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *370:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *409:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *357:D 0.000215606 -2 *370:D 0.000377249 -3 *409:X 0.00116137 -4 *146:7 0.000592856 -5 *146:5 0.00116137 -6 *357:D *166:7 4.40294e-05 -7 *146:5 *410:A 6.17437e-06 -8 *357:SET_B *357:D 0.000259356 -9 *357:SET_B *370:D 0.000335158 -10 *359:SET_B *146:5 0.000504257 -11 *368:D *146:5 6.56516e-05 -12 *370:RESET_B *370:D 0.000120625 -13 *38:20 *357:D 6.17437e-06 -14 *61:5 *370:D 0.000300202 -15 *109:19 *370:D 0.000113862 -16 *118:32 *146:5 2.64551e-05 -17 *125:10 *357:D 0.000250176 -18 *125:10 *370:D 0.000339578 -19 *145:22 *146:5 1.83573e-05 -20 *145:25 *146:5 9.86332e-05 -*RES -1 *409:X *146:5 37.4379 -2 *146:5 *146:7 15 -3 *146:7 *370:D 49.0614 -4 *146:7 *357:D 43.2407 -*END - -*D_NET *147 0.00269413 -*CONN -*I *358:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *371:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *410:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *358:D 8.81526e-05 -2 *371:D 0 -3 *410:X 0.000334788 -4 *147:22 0.000218836 -5 *147:11 0.000329804 -6 *147:8 0.000357604 -7 *147:8 *410:A 6.17437e-06 -8 *147:8 *167:6 0.000339383 -9 *147:22 *159:62 3.13857e-05 -10 *147:22 *159:78 4.79556e-05 -11 *368:D *147:8 8.06804e-06 -12 *10:20 *147:8 0.000137581 -13 *10:20 *147:22 0.000143266 -14 *93:8 *147:8 0.000208896 -15 *93:8 *147:22 4.26047e-06 -16 *95:67 *358:D 5.25144e-05 -17 *95:67 *147:22 9.36212e-05 -18 *109:27 *147:22 7.88231e-05 -19 *126:7 *358:D 9.45986e-05 -20 *126:7 *147:22 4.49877e-05 -21 *138:6 *147:8 7.34344e-05 -*RES -1 *410:X *147:8 45.8093 -2 *147:8 *147:11 15.4971 -3 *147:11 *147:22 35.3029 -4 *147:22 *371:D 23 -5 *147:11 *358:D 25.0507 -*END - -*D_NET *148 0.00863535 -*CONN -*I *360:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *377:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *411:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *360:D 0 -2 *377:D 0 -3 *411:X 0.00024105 -4 *148:27 0.000343738 -5 *148:17 0.00123827 -6 *148:9 0.00182306 -7 *148:17 *158:32 0.000123139 -8 *148:17 *158:47 0.0001908 -9 *377:RESET_B *148:17 8.97328e-05 -10 *2:19 *148:17 0.000315189 -11 *3:24 *148:9 6.77278e-05 -12 *5:28 *148:9 0.000327931 -13 *5:28 *148:17 0.00114977 -14 *8:57 *148:17 0.000109619 -15 *32:12 *148:9 0.000355269 -16 *32:12 *148:17 0.000726044 -17 *53:12 *148:27 7.07867e-05 -18 *55:10 *148:27 5.65774e-05 -19 *65:12 *148:9 6.77278e-05 -20 *95:46 *148:27 9.17507e-05 -21 *105:18 *148:27 0.000496481 -22 *108:17 *148:27 0.000298457 -23 *109:13 *148:17 0.000138607 -24 *111:19 *148:17 0.000169132 -25 *131:11 *148:27 0.000144489 -*RES -1 *411:X *148:9 47.7683 -2 *148:9 *148:17 36.7362 -3 *148:17 *377:D 23 -4 *148:9 *148:27 33.0154 -5 *148:27 *360:D 23 -*END - -*D_NET *149 0.00283867 -*CONN -*I *355:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *375:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *400:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *355:D 0 -2 *375:D 0.000139001 -3 *400:X 0.000204458 -4 *149:25 0.000469692 -5 *149:10 0.000608693 -6 *149:8 0.000204458 -7 *322:A_N *149:25 5.25144e-05 -8 *353:SET_B *149:25 5.22586e-05 -9 *375:RESET_B *375:D 0.000104523 -10 *6:51 *149:25 4.67137e-05 -11 *13:68 *149:25 0.000116382 -12 *42:29 *149:8 0.000128934 -13 *54:14 *375:D 1.8561e-06 -14 *54:14 *149:25 0.00016647 -15 *60:5 *375:D 8.73233e-05 -16 *60:5 *149:25 0.000132311 -17 *105:18 *149:25 4.11008e-05 -18 *109:13 *149:8 0.000135037 -19 *118:45 *149:25 1.59094e-05 -20 *124:6 *149:8 0.000131034 -*RES -1 *400:X *149:8 41.48 -2 *149:8 *149:10 15 -3 *149:10 *375:D 25.5271 -4 *149:10 *149:25 39.3421 -5 *149:25 *355:D 23 -*END - -*D_NET *150 0.00275409 -*CONN -*I *374:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *365:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *401:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *374:D 0.000472799 -2 *365:D 0.000218682 -3 *401:X 2.77218e-05 -4 *150:7 0.000719203 -5 *365:D *170:6 0.000189157 -6 *374:D *376:CLK 6.7862e-05 -7 *374:D *159:69 0.00033285 -8 *150:7 *401:A 5.3304e-05 -9 *374:RESET_B *374:D 2.33744e-05 -10 *12:14 *374:D 6.17437e-06 -11 *12:44 *374:D 6.70361e-05 -12 *95:46 *150:7 2.64616e-05 -13 *104:10 *365:D 0.000213463 -14 *104:10 *374:D 0.000206427 -15 *115:20 *374:D 5.86143e-05 -16 *115:26 *365:D 1.35751e-05 -17 *115:26 *374:D 5.73892e-05 -*RES -1 *401:X *150:7 38.6214 -2 *150:7 *365:D 42.5364 -3 *150:7 *374:D 48.2536 -*END - -*D_NET *151 0.0029907 -*CONN -*I *373:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *364:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *402:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *373:D 2.77218e-05 -2 *364:D 0.000290288 -3 *402:X 0.00018178 -4 *151:6 0.00049979 -5 *357:SET_B *364:D 0.000423235 -6 *357:SET_B *151:6 0.000523017 -7 *364:CLK_N *364:D 5.90312e-05 -8 *69:9 *373:D 5.3304e-05 -9 *115:31 *373:D 2.64616e-05 -10 *133:12 *151:6 7.63295e-05 -11 *135:15 *364:D 0.000425517 -12 *135:15 *151:6 0.000374677 -13 *143:11 *364:D 2.95423e-05 -*RES -1 *402:X *151:6 45.1879 -2 *151:6 *364:D 46.3271 -3 *151:6 *373:D 38.6214 -*END - -*D_NET *152 0.00428708 -*CONN -*I *363:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *372:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *403:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *363:D 0 -2 *372:D 0 -3 *403:X 0.000480389 -4 *152:33 0.000723752 -5 *152:10 0.000723752 -6 *152:8 0.000480389 -7 *152:8 *168:8 0 -8 *152:33 *159:62 5.51181e-06 -9 *152:33 *169:12 1.83327e-05 -10 *372:RESET_B *152:33 7.50065e-05 -11 *5:11 *152:8 5.36496e-06 -12 *6:27 *152:8 0.000123154 -13 *7:7 *152:8 0.000206682 -14 *9:13 *152:8 0.00048424 -15 *94:31 *152:33 0.000621354 -16 *94:64 *152:8 5.45644e-05 -17 *122:51 *152:33 0.000105113 -18 *135:15 *152:33 0.000179473 -*RES -1 *403:X *152:8 49.8071 -2 *152:8 *152:10 15 -3 *152:10 *372:D 23 -4 *152:10 *152:33 45.9086 -5 *152:33 *363:D 23 -*END - -*D_NET *153 0.003386 -*CONN -*I *431:A I *D sky130_fd_sc_hd__buf_16 -*I *380:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *431:A 0 -2 *380:X 0.00085027 -3 *153:10 0.000262955 -4 *153:5 0.00111322 -5 *195:DIODE *153:10 2.34077e-05 -6 *4:27 *153:5 0.00113614 -*RES -1 *380:X *153:5 35.5321 -2 *153:5 *153:10 34.7229 -3 *153:10 *431:A 23 -*END - -*D_NET *154 0.00615638 -*CONN -*I *432:A I *D sky130_fd_sc_hd__buf_16 -*I *363:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *432:A 0 -2 *363:Q 0.000345142 -3 *154:10 0.00107753 -4 *154:7 0.00142268 -5 *154:7 *401:A 0.000148185 -6 *154:10 *156:10 0.000153469 -7 *11:27 *154:10 0.000350321 -8 *19:8 *154:10 0.000152355 -9 *22:6 *154:10 0.000657365 -10 *30:6 *154:10 0.000560047 -11 *32:12 *154:10 5.92968e-06 -12 *95:46 *154:7 2.54705e-06 -13 *100:27 *154:10 0.000184806 -14 *123:25 *154:10 0.00109601 -*RES -1 *363:Q *154:7 41.8943 -2 *154:7 *154:10 45.4293 -3 *154:10 *432:A 23 -*END - -*D_NET *155 0.00431935 -*CONN -*I *433:A I *D sky130_fd_sc_hd__buf_16 -*I *365:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *433:A 0 -2 *365:Q 8.32035e-05 -3 *155:10 0.000955913 -4 *155:7 0.00103912 -5 *155:10 *173:15 0.000106701 -6 *361:SET_B *155:10 1.06805e-05 -7 *1:48 *155:10 6.01614e-06 -8 *55:10 *155:10 7.30672e-05 -9 *100:21 *155:7 8.884e-05 -10 *105:18 *155:10 0.000633945 -11 *108:17 *155:10 0.000369921 -12 *118:73 *155:10 0.000116276 -13 *119:38 *155:10 0.000169156 -14 *119:46 *155:10 0.000143271 -15 *132:13 *155:10 0.000523241 -*RES -1 *365:Q *155:7 39.0357 -2 *155:7 *155:10 39.4429 -3 *155:10 *433:A 23 -*END - -*D_NET *156 0.00273986 -*CONN -*I *434:A I *D sky130_fd_sc_hd__buf_16 -*I *364:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *434:A 0 -2 *364:Q 0.000148894 -3 *156:10 0.000532566 -4 *156:7 0.00068146 -5 *211:DIODE *156:10 0.000309402 -6 *4:17 *156:10 7.18331e-05 -7 *32:12 *156:10 0.000191276 -8 *123:25 *156:10 0.000640259 -9 *143:11 *156:7 1.06981e-05 -10 *154:10 *156:10 0.000153469 -*RES -1 *364:Q *156:7 39.6157 -2 *156:7 *156:10 28.5264 -3 *156:10 *434:A 23 -*END - -*D_NET *157 0.00363268 -*CONN -*I *417:A I *D sky130_fd_sc_hd__buf_16 -*I *388:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 *417:A 0 -2 *388:HI 0.00036147 -3 *157:7 0.00036147 -4 *157:7 *173:7 0.000541179 -5 zero *157:7 0.000169398 -6 *212:DIODE *157:7 0.000230604 -7 *16:16 *157:7 0.00067254 -8 *122:15 *157:7 0.00114919 -9 *122:19 *157:7 0.000146822 -*RES -1 *388:HI *157:7 42.2229 -2 *157:7 *417:A 23 -*END - -*D_NET *158 0.0155329 -*CONN -*I *378:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *366:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *375:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *370:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *376:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *435:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *386:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *378:CLK 0.000375174 -2 *366:CLK 0.000326705 -3 *375:CLK 0.000181619 -4 *370:CLK 0 -5 *376:CLK 0.000512894 -6 *435:A 0 -7 *386:X 7.31236e-05 -8 *158:47 0.00121271 -9 *158:34 0.000181619 -10 *158:32 0.000815826 -11 *158:17 0.000952307 -12 *158:11 0.00116821 -13 *158:7 0.00137575 -14 *366:CLK *164:7 0.000162881 -15 *376:CLK *159:69 1.0825e-05 -16 *376:CLK *171:8 1.59094e-05 -17 *184:DIODE *158:11 0.000272367 -18 *370:RESET_B *375:CLK 6.2403e-05 -19 *374:D *376:CLK 6.7862e-05 -20 *374:RESET_B *376:CLK 4.94168e-05 -21 *375:RESET_B *375:CLK 4.96207e-05 -22 *390:A *378:CLK 9.77405e-05 -23 *2:19 *378:CLK 0.000402217 -24 *2:19 *158:32 8.4263e-05 -25 *2:19 *158:47 0.000476491 -26 *7:42 *158:11 0.000309966 -27 *12:50 *375:CLK 0.000100061 -28 *53:5 *378:CLK 0.000238898 -29 *54:8 *375:CLK 0.000140927 -30 *61:5 *375:CLK 8.70936e-05 -31 *95:8 *158:11 0.000859374 -32 *95:9 *158:11 4.44329e-05 -33 *95:19 *158:11 0.000188934 -34 *95:29 *158:11 4.21048e-05 -35 *95:41 *158:11 0.000421718 -36 *95:41 *158:17 0.000264481 -37 *95:67 *158:17 8.50457e-05 -38 *95:67 *158:32 0.000197918 -39 *103:8 *158:47 5.34093e-05 -40 *111:10 *158:11 0.000372828 -41 *111:10 *158:17 7.74734e-05 -42 *111:19 *158:17 0.000128855 -43 *111:19 *158:32 0.000294564 -44 *111:19 *158:47 0.000273989 -45 *115:7 *378:CLK 1.47795e-05 -46 *117:61 *366:CLK 0.000104548 -47 *118:22 *378:CLK 0.000406154 -48 *118:22 *158:47 0.00043086 -49 *123:44 *378:CLK 0.000159964 -50 *135:7 *158:11 5.32463e-05 -51 *137:11 *158:7 5.3304e-05 -52 *137:11 *158:11 0.000390115 -53 *139:19 *366:CLK 0.000411078 -54 *140:15 *376:CLK 1.00493e-05 -55 *143:19 *366:CLK 7.48505e-05 -56 *148:17 *158:32 0.000123139 -57 *148:17 *158:47 0.0001908 -*RES -1 *386:X *158:7 39.0979 -2 *158:7 *158:11 44.3314 -3 *158:11 *435:A 23 -4 *158:7 *158:17 4.72286 -5 *158:17 *376:CLK 44.235 -6 *158:17 *158:32 7.25 -7 *158:32 *158:34 15 -8 *158:34 *370:CLK 23 -9 *158:34 *375:CLK 27.4536 -10 *158:32 *158:47 11.7657 -11 *158:47 *366:CLK 46.7207 -12 *158:47 *378:CLK 48.6886 -*END - -*D_NET *159 0.0208561 -*CONN -*I *346:A I *D sky130_fd_sc_hd__inv_2 -*I *343:A I *D sky130_fd_sc_hd__inv_2 -*I *436:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *349:A I *D sky130_fd_sc_hd__inv_2 -*I *305:A I *D sky130_fd_sc_hd__inv_2 -*I *348:A I *D sky130_fd_sc_hd__inv_2 -*I *341:A I *D sky130_fd_sc_hd__inv_2 -*I *344:A I *D sky130_fd_sc_hd__inv_2 -*I *387:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *346:A 0 -2 *343:A 0 -3 *436:A 0.000153642 -4 *349:A 2.62805e-05 -5 *305:A 0 -6 *348:A 0 -7 *341:A 0 -8 *344:A 0 -9 *387:X 0.000285149 -10 *159:78 0.00131023 -11 *159:69 0.00253275 -12 *159:62 0.00423103 -13 *159:43 6.87443e-05 -14 *159:40 0.000839475 -15 *159:27 0.000820036 -16 *159:21 6.82906e-05 -17 *159:15 0.000689727 -18 *159:8 0.00118887 -19 *159:7 0.00106396 -20 *159:69 *402:A 1.89135e-05 -21 *159:69 *161:8 9.77405e-05 -22 *159:69 *171:8 8.73233e-05 -23 *181:DIODE *159:69 2.03764e-06 -24 *198:DIODE *159:40 0.000174761 -25 *200:DIODE *159:15 0.000222828 -26 *200:DIODE *159:21 7.30808e-05 -27 *200:DIODE *159:27 2.95423e-05 -28 *200:DIODE *159:40 2.19403e-05 -29 *206:DIODE *159:21 6.17437e-06 -30 *206:DIODE *159:27 2.02872e-05 -31 *206:DIODE *159:40 0.000265069 -32 *337:A *159:8 2.79653e-05 -33 *371:CLK *159:69 0.000112024 -34 *371:RESET_B *159:62 1.41887e-05 -35 *371:RESET_B *159:69 2.15233e-05 -36 *374:CLK *159:69 0.000140447 -37 *374:D *159:69 0.00033285 -38 *376:CLK *159:69 1.0825e-05 -39 *379:RESET_B *159:62 0 -40 *379:RESET_B *159:78 0 -41 *5:28 *159:78 8.03957e-05 -42 *7:14 *436:A 0 -43 *9:10 *159:78 0.00138779 -44 *9:24 *159:8 0.000134341 -45 *9:24 *159:15 0.000332353 -46 *9:38 *159:40 0.000192342 -47 *10:20 *159:78 1.65917e-05 -48 *10:26 *159:8 4.67735e-05 -49 *12:14 *159:69 2.27677e-05 -50 *19:8 *159:40 0.000134581 -51 *22:6 *159:40 4.26047e-06 -52 *26:14 *159:40 0.000551029 -53 *33:10 *159:78 3.87221e-06 -54 *40:8 *159:78 0 -55 *41:45 *349:A 2.95526e-05 -56 *41:45 *159:43 2.64616e-05 -57 *42:34 *159:40 4.27392e-05 -58 *69:9 *159:7 0.000405712 -59 *96:13 *159:15 4.35681e-06 -60 *107:12 *159:69 5.3304e-05 -61 *114:13 *436:A 0 -62 *119:11 *159:15 0.000121988 -63 *119:16 *159:40 0.000159838 -64 *120:36 *159:8 0.000245174 -65 *120:36 *159:62 0.000485733 -66 *122:33 *159:15 7.85121e-05 -67 *122:33 *159:21 7.61733e-05 -68 *122:44 *159:15 0.000199788 -69 *123:13 *159:40 6.95643e-05 -70 *130:13 *159:78 0.000759121 -71 *140:15 *159:69 0.00014844 -72 *147:22 *159:62 3.13857e-05 -73 *147:22 *159:78 4.79556e-05 -74 *152:33 *159:62 5.51181e-06 -*RES -1 *387:X *159:7 42.4329 -2 *159:7 *159:8 9.65286 -3 *159:8 *159:15 31.4679 -4 *159:15 *344:A 23 -5 *159:15 *159:21 1.57429 -6 *159:21 *341:A 23 -7 *159:21 *159:27 0.621429 -8 *159:27 *348:A 23 -9 *159:27 *159:40 35.5071 -10 *159:40 *159:43 15.6214 -11 *159:43 *305:A 23 -12 *159:43 *349:A 23.6214 -13 *159:8 *436:A 40.0507 -14 *159:7 *159:62 8.59643 -15 *159:62 *159:69 46.5064 -16 *159:69 *343:A 23 -17 *159:62 *159:78 44.87 -18 *159:78 *346:A 23 -*END - -*D_NET *160 0.000711636 -*CONN -*I *399:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *366:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *399:A 4.21627e-05 -2 *366:Q 0.000104582 -3 *160:6 0.000146745 -4 *399:A *379:D 4.96303e-05 -5 *160:6 *165:18 6.08462e-05 -6 *354:SET_B *399:A 2.31687e-05 -7 *51:11 *399:A 5.3304e-05 -8 *51:11 *160:6 0.000182365 -9 *103:8 *160:6 4.21359e-05 -10 *118:22 *160:6 6.69637e-06 -*RES -1 *366:Q *160:6 40.61 -2 *160:6 *399:A 39.0979 -*END - -*D_NET *161 0.00185296 -*CONN -*I *411:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *376:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *411:A 0 -2 *376:Q 0.000389582 -3 *161:8 0.000389582 -4 *41:41 *161:8 1.24376e-05 -5 *67:8 *161:8 0.0003869 -6 *107:12 *161:8 6.08617e-05 -7 *127:7 *161:8 0.000107611 -8 *140:15 *161:8 0.000408245 -9 *159:69 *161:8 9.77405e-05 -*RES -1 *376:Q *161:8 47.3836 -2 *161:8 *411:A 38 -*END - -*D_NET *162 0.00128209 -*CONN -*I *406:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *377:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *406:A 0 -2 *377:Q 0.000322681 -3 *162:8 0.000322681 -4 *4:11 *162:8 0.00035268 -5 *51:11 *162:8 8.87198e-05 -6 *61:10 *162:8 0.00015259 -7 *109:13 *162:8 4.27352e-05 -*RES -1 *377:Q *162:8 44.6493 -2 *162:8 *406:A 38 -*END - -*D_NET *163 0.00591086 -*CONN -*I *405:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *379:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *378:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *405:A 0.000170165 -2 *379:D 0.00139052 -3 *378:Q 0 -4 *163:13 0.00219523 -5 *163:4 0.000974883 -6 *312:A2 *405:A 0.000145171 -7 *318:A_N *163:13 2.95423e-05 -8 *354:CLK_N *379:D 6.70361e-05 -9 *354:SET_B *379:D 5.49443e-05 -10 *354:SET_B *163:13 0.000113397 -11 *362:CLK_N *163:13 5.3304e-05 -12 *378:RESET_B *163:13 4.83954e-05 -13 *379:CLK *379:D 1.47709e-05 -14 *399:A *379:D 4.96303e-05 -15 *51:11 *379:D 7.18054e-05 -16 *53:5 *163:13 0.000150245 -17 *53:12 *163:13 0 -18 *103:7 *379:D 5.94994e-05 -19 *112:7 *379:D 1.36546e-05 -20 *134:8 *163:13 3.18198e-05 -21 *139:8 *163:13 0.000182219 -22 *141:8 *405:A 3.18142e-05 -23 *141:8 *163:13 6.2816e-05 -24 *142:17 *163:13 0 -*RES -1 *378:Q *163:4 23 -2 *163:4 *163:13 45.8879 -3 *163:13 *379:D 39.7268 -4 *163:4 *405:A 26.9564 -*END - -*D_NET *164 0.00619462 -*CONN -*I *408:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *367:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *408:A 0 -2 *367:Q 0.0020692 -3 *164:15 0.000258655 -4 *164:7 0.00232785 -5 *164:7 *165:23 3.37509e-05 -6 *315:B *164:15 5.79413e-06 -7 *328:A_N *164:15 8.73672e-05 -8 *353:CLK_N *164:7 8.24552e-05 -9 *353:RESET_B *164:7 0.000120159 -10 *361:SET_B *164:15 0.000214229 -11 *366:CLK *164:7 0.000162881 -12 *378:RESET_B *164:15 3.83085e-05 -13 *392:A *164:7 7.43212e-05 -14 *1:27 *164:15 9.24039e-05 -15 *77:19 *164:15 0 -16 *97:9 *164:15 9.7454e-05 -17 *117:11 *164:7 1.9014e-05 -18 *117:61 *164:7 0.000258103 -19 *139:19 *164:7 0.000111326 -20 *143:19 *164:7 0.000141347 -*RES -1 *367:Q *164:7 49.3693 -2 *164:7 *164:15 35.9657 -3 *164:15 *408:A 23 -*END - -*D_NET *165 0.00756954 -*CONN -*I *407:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *368:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *407:A 0 -2 *368:Q 3.14295e-05 -3 *165:23 0.00141157 -4 *165:18 0.00168736 -5 *165:12 0.00109328 -6 *165:6 0.000848924 -7 *165:18 *166:7 0.000394505 -8 *353:CLK_N *165:23 0.000222918 -9 *353:RESET_B *165:23 0.000215121 -10 *368:D *165:12 0.000533326 -11 *2:19 *165:12 0 -12 *8:13 *165:6 5.78554e-05 -13 *10:20 *165:6 6.00654e-05 -14 *11:19 *165:12 0.00018522 -15 *51:11 *165:18 1.68763e-05 -16 *57:15 *165:18 0.000144501 -17 *98:7 *165:23 9.98069e-06 -18 *103:8 *165:18 0.000229781 -19 *117:61 *165:18 0.000126773 -20 *133:21 *165:18 8.06188e-05 -21 *139:19 *165:23 2.812e-05 -22 *145:22 *165:12 2.24366e-06 -23 *145:25 *165:12 9.44722e-05 -24 *160:6 *165:18 6.08462e-05 -25 *164:7 *165:23 3.37509e-05 -*RES -1 *368:Q *165:6 38.8493 -2 *165:6 *165:12 41.3307 -3 *165:12 *165:18 37.7471 -4 *165:18 *165:23 33.6221 -5 *165:23 *407:A 23 -*END - -*D_NET *166 0.0050455 -*CONN -*I *409:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *369:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *409:A 0 -2 *369:Q 0.00144805 -3 *166:13 0.000301088 -4 *166:7 0.00174914 -5 *328:A_N *166:13 0.000345676 -6 *357:CLK_N *166:7 4.40294e-05 -7 *357:D *166:7 4.40294e-05 -8 *38:20 *166:7 5.63975e-06 -9 *57:15 *166:7 4.05745e-05 -10 *97:9 *166:13 0.000175284 -11 *115:15 *166:13 0.000263902 -12 *123:32 *166:7 9.41704e-05 -13 *124:6 *166:13 6.13736e-05 -14 *133:21 *166:7 7.46192e-05 -15 *142:17 *166:13 3.41998e-06 -16 *165:18 *166:7 0.000394505 -*RES -1 *369:Q *166:7 40.7936 -2 *166:7 *166:13 37.6229 -3 *166:13 *409:A 23 -*END - -*D_NET *167 0.00161681 -*CONN -*I *410:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *370:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *410:A 0.000185949 -2 *370:Q 0.000170854 -3 *167:6 0.000356803 -4 *368:D *410:A 0.000132311 -5 *8:13 *167:6 2.33476e-05 -6 *10:20 *167:6 7.82891e-06 -7 *138:6 *167:6 0.000387984 -8 *146:5 *410:A 6.17437e-06 -9 *147:8 *410:A 6.17437e-06 -10 *147:8 *167:6 0.000339383 -*RES -1 *370:Q *167:6 43.4271 -2 *167:6 *410:A 41.0036 -*END - -*D_NET *168 0.00119916 -*CONN -*I *403:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *371:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *403:A 0 -2 *371:Q 0.000290643 -3 *168:8 0.000290643 -4 *181:DIODE *168:8 2.10502e-05 -5 *5:11 *168:8 8.89728e-05 -6 *8:23 *168:8 0.000189592 -7 *9:13 *168:8 6.94249e-05 -8 *13:84 *168:8 0.000237456 -9 *94:64 *168:8 1.13812e-05 -10 *152:8 *168:8 0 -*RES -1 *371:Q *168:8 46.8657 -2 *168:8 *403:A 38 -*END - -*D_NET *169 0.00112423 -*CONN -*I *402:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *372:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *402:A 0.000119084 -2 *372:Q 0.000164251 -3 *169:12 0.000283335 -4 *181:DIODE *402:A 0.00022034 -5 *371:CLK *402:A 7.14493e-05 -6 *371:RESET_B *169:12 6.38976e-05 -7 *10:21 *169:12 4.96832e-05 -8 *93:8 *169:12 0.000114947 -9 *152:33 *169:12 1.83327e-05 -10 *159:69 *402:A 1.89135e-05 -*RES -1 *372:Q *169:12 41.2936 -2 *169:12 *402:A 41.0243 -*END - -*D_NET *170 0.00173702 -*CONN -*I *401:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *373:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *401:A 0.000216684 -2 *373:Q 0.000131783 -3 *170:6 0.000348467 -4 *202:DIODE *401:A 4.67488e-05 -5 *365:D *170:6 0.000189157 -6 *13:31 *170:6 0.00015568 -7 *95:46 *401:A 5.72739e-05 -8 *104:10 *170:6 1.37983e-05 -9 *115:26 *170:6 0.000375943 -10 *150:7 *401:A 5.3304e-05 -11 *154:7 *401:A 0.000148185 -*RES -1 *373:Q *170:6 43.075 -2 *170:6 *401:A 41.9564 -*END - -*D_NET *171 0.00217189 -*CONN -*I *400:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *374:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *400:A 0 -2 *374:Q 0.000563951 -3 *171:8 0.000563951 -4 serial_clock_out *171:8 0.000566847 -5 *358:SET_B *171:8 0.000223546 -6 *376:CLK *171:8 1.59094e-05 -7 *11:21 *171:8 9.89529e-06 -8 *13:31 *171:8 4.25501e-05 -9 *13:68 *171:8 7.57281e-06 -10 *30:6 *171:8 2.11157e-05 -11 *115:15 *171:8 6.55192e-05 -12 *140:15 *171:8 3.7122e-06 -13 *159:69 *171:8 8.73233e-05 -*RES -1 *374:Q *171:8 48.295 -2 *171:8 *400:A 38 -*END - -*D_NET *172 0.00145225 -*CONN -*I *404:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *375:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *404:A 0 -2 *375:Q 0.000317471 -3 *172:8 0.000317471 -4 *1:27 *172:8 5.38616e-05 -5 *3:24 *172:8 0.000261306 -6 *6:51 *172:8 5.3304e-05 -7 *60:5 *172:8 6.08617e-05 -8 *65:12 *172:8 0.000387978 -*RES -1 *375:Q *172:8 45.4779 -2 *172:8 *404:A 38 -*END - -*D_NET *173 0.00479148 -*CONN -*I *438:A I *D sky130_fd_sc_hd__buf_16 -*I *388:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 *438:A 0 -2 *388:LO 9.80636e-05 -3 *173:15 0.000669688 -4 *173:7 0.000767752 -5 *419:A *173:15 0.000100477 -6 *1:53 *173:15 0.000550501 -7 *4:27 *173:15 0.000640247 -8 *15:21 *173:15 9.99433e-06 -9 *16:16 *173:7 0.000538694 -10 *119:16 *173:15 0.000485132 -11 *122:15 *173:15 0 -12 *123:13 *173:15 0.000211493 -13 *132:13 *173:15 7.15579e-05 -14 *155:10 *173:15 0.000106701 -15 *157:7 *173:7 0.000541179 -*RES -1 *388:LO *173:7 43.8621 -2 *173:7 *173:15 35.8179 -3 *173:15 *438:A 23 -*END diff --git a/spef/gpio_control_block/gpio_control_block.min.spef b/spef/gpio_control_block/gpio_control_block.min.spef deleted file mode 100644 index d5d0250e..00000000 --- a/spef/gpio_control_block/gpio_control_block.min.spef +++ /dev/null @@ -1,5487 +0,0 @@ -*SPEF "ieee 1481-1999" -*DESIGN "gpio_control_block" -*DATE "11:11:11 Fri 11 11, 1111" -*VENDOR "OpenRCX" -*PROGRAM "Parallel Extraction" -*VERSION "1.0" -*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" -*DIVIDER / -*DELIMITER : -*BUS_DELIMITER [] -*T_UNIT 1 NS -*C_UNIT 1 PF -*R_UNIT 1 OHM -*L_UNIT 1 HENRY - -*NAME_MAP -*1 gpio_defaults[0] -*2 gpio_defaults[10] -*3 gpio_defaults[11] -*4 gpio_defaults[12] -*5 gpio_defaults[1] -*6 gpio_defaults[2] -*7 gpio_defaults[3] -*8 gpio_defaults[4] -*9 gpio_defaults[5] -*10 gpio_defaults[6] -*11 gpio_defaults[7] -*12 gpio_defaults[8] -*13 gpio_defaults[9] -*14 mgmt_gpio_in -*15 mgmt_gpio_oeb -*16 mgmt_gpio_out -*17 one -*18 pad_gpio_ana_en -*19 pad_gpio_ana_pol -*20 pad_gpio_ana_sel -*21 pad_gpio_dm[0] -*22 pad_gpio_dm[1] -*23 pad_gpio_dm[2] -*24 pad_gpio_holdover -*25 pad_gpio_ib_mode_sel -*26 pad_gpio_in -*27 pad_gpio_inenb -*28 pad_gpio_out -*29 pad_gpio_outenb -*30 pad_gpio_slow_sel -*31 pad_gpio_vtrip_sel -*32 resetn -*33 resetn_out -*34 serial_clock -*35 serial_clock_out -*36 serial_data_in -*37 serial_data_out -*38 serial_load -*39 serial_load_out -*40 user_gpio_in -*41 user_gpio_oeb -*42 user_gpio_out -*47 zero -*48 _000_ -*49 _001_ -*50 _002_ -*51 _003_ -*52 _004_ -*53 _005_ -*54 _006_ -*55 _007_ -*56 _008_ -*57 _009_ -*58 _010_ -*59 _011_ -*60 _012_ -*61 _013_ -*62 _014_ -*63 _015_ -*64 _016_ -*65 _017_ -*66 _018_ -*67 _019_ -*68 _020_ -*69 _021_ -*70 _022_ -*71 _023_ -*72 _024_ -*73 _025_ -*74 _026_ -*75 _041_ -*76 _042_ -*77 _043_ -*78 _044_ -*79 _045_ -*80 _046_ -*81 _047_ -*82 _048_ -*83 _049_ -*84 _050_ -*85 _051_ -*86 _052_ -*87 _053_ -*88 _054_ -*89 _055_ -*90 _056_ -*91 _057_ -*92 clknet_0_serial_clock -*93 clknet_0_serial_load -*94 clknet_1_0__leaf_serial_clock -*95 clknet_1_0__leaf_serial_load -*96 gpio_logic1 -*97 gpio_outenb -*98 mgmt_ena -*99 net1 -*100 net10 -*101 net11 -*102 net12 -*103 net13 -*104 net14 -*105 net15 -*106 net16 -*107 net17 -*108 net18 -*109 net19 -*110 net2 -*111 net20 -*112 net21 -*113 net22 -*114 net23 -*115 net24 -*116 net25 -*117 net26 -*118 net27 -*119 net28 -*120 net29 -*121 net3 -*122 net30 -*123 net31 -*124 net32 -*125 net33 -*126 net34 -*127 net35 -*128 net36 -*129 net37 -*130 net38 -*131 net39 -*132 net4 -*133 net40 -*134 net41 -*135 net42 -*136 net43 -*137 net44 -*138 net45 -*139 net46 -*140 net47 -*141 net48 -*142 net49 -*143 net5 -*144 net50 -*145 net51 -*146 net52 -*147 net53 -*148 net54 -*149 net55 -*150 net56 -*151 net57 -*152 net58 -*153 net6 -*154 net7 -*155 net8 -*156 net9 -*157 one_buffered -*158 serial_clock_out_buffered -*159 serial_load_out_buffered -*160 shift_register\[0\] -*161 shift_register\[10\] -*162 shift_register\[11\] -*163 shift_register\[12\] -*164 shift_register\[1\] -*165 shift_register\[2\] -*166 shift_register\[3\] -*167 shift_register\[4\] -*168 shift_register\[5\] -*169 shift_register\[6\] -*170 shift_register\[7\] -*171 shift_register\[8\] -*172 shift_register\[9\] -*173 zero_buffered -*174 ANTENNA__061__A0 -*175 ANTENNA__062__B -*176 ANTENNA__068__B -*177 ANTENNA__069__B -*178 ANTENNA__070__B -*179 ANTENNA__071__B -*180 ANTENNA__072__B -*181 ANTENNA__073__B -*182 ANTENNA__074__B -*183 ANTENNA__075__B -*184 ANTENNA__076__B -*185 ANTENNA__077__B -*186 ANTENNA__078__B -*187 ANTENNA__079__B -*188 ANTENNA__080__B -*189 ANTENNA__081__B -*190 ANTENNA__082__A -*191 ANTENNA__082__B -*192 ANTENNA__083__B -*193 ANTENNA__084__B -*194 ANTENNA__085__B -*195 ANTENNA__086__B -*196 ANTENNA__087__B -*197 ANTENNA__088__B -*198 ANTENNA__089__B -*199 ANTENNA__090__B -*200 ANTENNA__091__B -*201 ANTENNA__092__B -*202 ANTENNA__093__B -*203 ANTENNA_clkbuf_0_serial_clock_A -*204 ANTENNA_clkbuf_0_serial_load_A -*205 ANTENNA_fanout27_A -*206 ANTENNA_fanout28_A -*207 ANTENNA_fanout29_A -*208 ANTENNA_input1_A -*209 ANTENNA_input2_A -*210 ANTENNA_input3_A -*211 ANTENNA_input4_A -*212 ANTENNA_input5_A -*213 FILLER_0_27 -*214 FILLER_0_31 -*215 FILLER_0_57 -*216 FILLER_0_85 -*217 FILLER_0_95 -*218 FILLER_12_29 -*219 FILLER_13_99 -*220 FILLER_15_57 -*221 FILLER_15_71 -*222 FILLER_17_57 -*223 FILLER_17_99 -*224 FILLER_1_34 -*225 FILLER_3_80 -*226 FILLER_3_89 -*227 FILLER_3_99 -*228 FILLER_4_60 -*229 FILLER_5_80 -*230 FILLER_5_99 -*231 PHY_0 -*232 PHY_1 -*233 PHY_10 -*234 PHY_11 -*235 PHY_12 -*236 PHY_13 -*237 PHY_14 -*238 PHY_15 -*239 PHY_16 -*240 PHY_17 -*241 PHY_18 -*242 PHY_19 -*243 PHY_2 -*244 PHY_20 -*245 PHY_21 -*246 PHY_22 -*247 PHY_23 -*248 PHY_24 -*249 PHY_25 -*250 PHY_26 -*251 PHY_27 -*252 PHY_28 -*253 PHY_29 -*254 PHY_3 -*255 PHY_30 -*256 PHY_31 -*257 PHY_32 -*258 PHY_33 -*259 PHY_34 -*260 PHY_35 -*261 PHY_36 -*262 PHY_37 -*263 PHY_38 -*264 PHY_39 -*265 PHY_4 -*266 PHY_40 -*267 PHY_41 -*268 PHY_5 -*269 PHY_6 -*270 PHY_7 -*271 PHY_8 -*272 PHY_9 -*273 TAP_42 -*274 TAP_43 -*275 TAP_44 -*276 TAP_45 -*277 TAP_46 -*278 TAP_47 -*279 TAP_48 -*280 TAP_49 -*281 TAP_50 -*282 TAP_51 -*283 TAP_52 -*284 TAP_53 -*285 TAP_54 -*286 TAP_55 -*287 TAP_56 -*288 TAP_57 -*289 TAP_58 -*290 TAP_59 -*291 TAP_60 -*292 TAP_61 -*293 TAP_62 -*294 TAP_63 -*295 TAP_64 -*296 TAP_65 -*297 TAP_66 -*298 TAP_67 -*299 TAP_68 -*300 TAP_69 -*301 TAP_70 -*302 TAP_71 -*303 TAP_72 -*304 TAP_73 -*305 _058__1 -*306 _059__14 -*307 _060_ -*308 _061_ -*309 _062_ -*310 _063_ -*311 _064_ -*312 _065_ -*313 _066_ -*314 _067_ -*315 _068_ -*316 _069_ -*317 _070_ -*318 _071_ -*319 _072_ -*320 _073_ -*321 _074_ -*322 _075_ -*323 _076_ -*324 _077_ -*325 _078_ -*326 _079_ -*327 _080_ -*328 _081_ -*329 _082_ -*330 _083_ -*331 _084_ -*332 _085_ -*333 _086_ -*334 _087_ -*335 _088_ -*336 _089_ -*337 _090_ -*338 _091_ -*339 _092_ -*340 _093_ -*341 _094__2 -*342 _095__3 -*343 _096__4 -*344 _097__5 -*345 _098__6 -*346 _099__7 -*347 _100__8 -*348 _101__9 -*349 _102__10 -*350 _103__11 -*351 _104__12 -*352 _105__13 -*353 _106_ -*354 _107_ -*355 _108_ -*356 _109_ -*357 _110_ -*358 _111_ -*359 _112_ -*360 _113_ -*361 _114_ -*362 _115_ -*363 _116_ -*364 _117_ -*365 _118_ -*366 _119_ -*367 _120_ -*368 _121_ -*369 _122_ -*370 _123_ -*371 _124_ -*372 _125_ -*373 _126_ -*374 _127_ -*375 _128_ -*376 _129_ -*377 _130_ -*378 _131_ -*379 _132_ -*380 _133_ -*381 _134_ -*382 clkbuf_0_serial_clock -*383 clkbuf_0_serial_load -*384 clkbuf_1_0__f_serial_clock -*385 clkbuf_1_0__f_serial_load -*386 clkbuf_1_1__f_serial_clock -*387 clkbuf_1_1__f_serial_load -*388 const_source -*389 fanout23 -*390 fanout24 -*391 fanout25 -*392 fanout26 -*393 fanout27 -*394 fanout28 -*395 fanout29 -*396 fanout30 -*397 fanout31 -*398 gpio_logic_high -*399 hold1 -*400 hold10 -*401 hold11 -*402 hold12 -*403 hold13 -*404 hold2 -*405 hold3 -*406 hold4 -*407 hold5 -*408 hold6 -*409 hold7 -*410 hold8 -*411 hold9 -*412 input1 -*413 input2 -*414 input3 -*415 input4 -*416 input5 -*417 one_buffer -*418 output10 -*419 output11 -*420 output12 -*421 output13 -*422 output14 -*423 output15 -*424 output16 -*425 output17 -*426 output18 -*427 output19 -*428 output20 -*429 output21 -*430 output22 -*431 output6 -*432 output7 -*433 output8 -*434 output9 -*435 serial_clock_out_buffer -*436 serial_load_out_buffer -*437 spare_cell -*438 zero_buffer - -*PORTS -gpio_defaults[0] I -gpio_defaults[10] I -gpio_defaults[11] I -gpio_defaults[12] I -gpio_defaults[1] I -gpio_defaults[2] I -gpio_defaults[3] I -gpio_defaults[4] I -gpio_defaults[5] I -gpio_defaults[6] I -gpio_defaults[7] I -gpio_defaults[8] I -gpio_defaults[9] I -mgmt_gpio_in O -mgmt_gpio_oeb I -mgmt_gpio_out I -one O -pad_gpio_ana_en O -pad_gpio_ana_pol O -pad_gpio_ana_sel O -pad_gpio_dm[0] O -pad_gpio_dm[1] O -pad_gpio_dm[2] O -pad_gpio_holdover O -pad_gpio_ib_mode_sel O -pad_gpio_in I -pad_gpio_inenb O -pad_gpio_out O -pad_gpio_outenb O -pad_gpio_slow_sel O -pad_gpio_vtrip_sel O -resetn I -resetn_out O -serial_clock I -serial_clock_out O -serial_data_in I -serial_data_out O -serial_load I -serial_load_out O -user_gpio_in O -user_gpio_oeb I -user_gpio_out I -zero O - -*D_NET *1 0.0198855 -*CONN -*P gpio_defaults[0] I -*I *315:B I *D sky130_fd_sc_hd__or2_0 -*I *316:B I *D sky130_fd_sc_hd__nand2b_2 -*I *176:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *177:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 gpio_defaults[0] 0.00283473 -2 *315:B 0.000131632 -3 *316:B 0.000135167 -4 *176:DIODE 0.000764227 -5 *177:DIODE 3.20697e-06 -6 *1:38 0.000969426 -7 *1:27 0.00161753 -8 *1:16 0.00451066 -9 *176:DIODE pad_gpio_ana_en 0.000270487 -10 *176:DIODE *208:DIODE 0.000108889 -11 *176:DIODE *209:DIODE 1.53053e-05 -12 *176:DIODE *333:A 0.000239726 -13 *176:DIODE *419:A 8.90199e-05 -14 *176:DIODE *433:A 6.70727e-06 -15 *176:DIODE *438:A 0.000449436 -16 *176:DIODE *3:29 0.000316036 -17 *176:DIODE *3:37 0.000581782 -18 *176:DIODE *39:10 4.60123e-05 -19 *176:DIODE *119:38 0.000215932 -20 *177:DIODE *361:SET_B 1.97429e-05 -21 *315:B *315:A 0.00031982 -22 *315:B *353:RESET_B 0.000156767 -23 *315:B *408:A 5.53549e-06 -24 *315:B *42:31 0.000216933 -25 *316:B *321:A 4.77719e-05 -26 *316:B *332:A_N 1.43622e-05 -27 *316:B *353:SET_B 4.77807e-05 -28 *316:B *361:SET_B 8.35118e-05 -29 *316:B *119:33 0.000160945 -30 *316:B *143:18 0.000486887 -31 *1:16 serial_clock_out 6.40452e-05 -32 *1:16 serial_data_out 0.00125048 -33 *1:16 *369:D 0.00012635 -34 *1:16 *5:11 0.000158305 -35 *1:16 *6:7 2.09302e-06 -36 *1:16 *8:19 1.30358e-05 -37 *1:16 *13:13 0.000386963 -38 *1:16 *38:8 1.3923e-05 -39 *1:16 *113:22 1.44817e-05 -40 *1:16 *116:38 2.20846e-05 -41 *1:16 *116:76 0.000288803 -42 *1:16 *118:9 0.000244926 -43 *1:27 pad_gpio_dm[1] 0 -44 *1:27 *326:A_N 3.92756e-05 -45 *1:27 *347:A 2.83644e-05 -46 *1:27 *356:RESET_B 0.000137307 -47 *1:27 *360:CLK_N 0.0007148 -48 *1:27 *361:RESET_B 6.46317e-05 -49 *1:27 *361:SET_B 0.000222022 -50 *1:27 *404:A 5.22871e-05 -51 *1:27 *408:A 8.80475e-05 -52 *1:27 *419:A 0.000114802 -53 *1:27 *423:A 1.83598e-05 -54 *1:27 *425:A 7.72037e-05 -55 *1:27 *3:23 0.000718313 -56 *1:38 *361:SET_B 1.72184e-05 -57 *1:38 *419:A 0.000100902 -58 *1:38 *3:29 4.04632e-05 -*RES -1 gpio_defaults[0] *1:16 13.4324 -2 *1:16 *1:27 16.668 -3 *1:27 *177:DIODE 3.8325 -4 *1:27 *1:38 1.7025 -5 *1:38 *176:DIODE 25.63 -6 *1:38 *316:B 8.55 -7 *1:16 *315:B 8.1975 -*END - -*D_NET *2 0.00956349 -*CONN -*P gpio_defaults[10] I -*I *192:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *191:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *329:B I *D sky130_fd_sc_hd__or2_0 -*I *330:B I *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 gpio_defaults[10] 0.00168934 -2 *192:DIODE 0.000105942 -3 *191:DIODE 0.000490322 -4 *329:B 5.2248e-05 -5 *330:B 0 -6 *2:33 0.000614136 -7 *2:24 9.1698e-05 -8 *2:22 0.00181541 -9 *191:DIODE *310:C 6.44782e-05 -10 *191:DIODE *329:A 5.84759e-05 -11 *191:DIODE *360:RESET_B 8.23457e-05 -12 *191:DIODE *29:9 6.57085e-05 -13 *191:DIODE *77:7 1.91597e-05 -14 *192:DIODE *330:A_N 2.09975e-05 -15 *192:DIODE *360:SET_B 8.51875e-05 -16 *192:DIODE *418:A 4.00523e-05 -17 *329:B *329:A 5.38954e-05 -18 *329:B *361:SET_B 7.56066e-05 -19 *329:B *425:A 2.93063e-05 -20 *329:B *29:9 0.00015819 -21 *2:22 pad_gpio_slow_sel 0.00035054 -22 *2:22 pad_gpio_vtrip_sel 2.64558e-06 -23 *2:22 serial_clock_out 0.00029015 -24 *2:22 serial_data_out 0.000450161 -25 *2:22 *320:B 0 -26 *2:22 *321:A 4.41506e-05 -27 *2:22 *326:A_N 0.000493496 -28 *2:22 *330:A_N 3.83194e-05 -29 *2:22 *342:A 0.000141036 -30 *2:22 *357:RESET_B 0 -31 *2:22 *377:D 0.000263031 -32 *2:22 *378:CLK 0.000331256 -33 *2:22 *407:A 0 -34 *2:22 *418:A 0.000135141 -35 *2:22 *427:A 9.19679e-05 -36 *2:22 *3:23 0 -37 *2:22 *11:19 0 -38 *2:22 *13:13 0 -39 *2:22 *13:20 0.000154116 -40 *2:22 *118:34 5.51857e-05 -41 *2:22 *118:58 0.000305039 -42 *2:22 *145:25 4.45711e-05 -43 *2:22 *158:32 7.46585e-05 -44 *2:22 *158:47 0.000410387 -45 *2:33 *330:A_N 8.23457e-05 -46 *2:33 *361:SET_B 0.000123696 -47 *2:33 *425:A 6.90972e-05 -*RES -1 gpio_defaults[10] *2:22 32.8303 -2 *2:22 *2:24 0.36 -3 *2:24 *330:B 1.6 -4 *2:24 *2:33 4.43 -5 *2:33 *329:B 5.955 -6 *2:33 *191:DIODE 9.57 -7 *2:22 *192:DIODE 3.775 -*END - -*D_NET *3 0.0114618 -*CONN -*P gpio_defaults[11] I -*I *332:B I *D sky130_fd_sc_hd__nand2b_2 -*I *331:B I *D sky130_fd_sc_hd__or2_0 -*I *193:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *194:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 gpio_defaults[11] 0.00192326 -2 *332:B 0 -3 *331:B 0 -4 *193:DIODE 0 -5 *194:DIODE 2.56121e-05 -6 *3:37 0.000319832 -7 *3:29 0.000482195 -8 *3:24 0.000200802 -9 *3:23 0.00193609 -10 *194:DIODE *188:DIODE 2.68526e-05 -11 *3:23 *317:A 0.00023003 -12 *3:23 *329:A 0.000342283 -13 *3:23 *354:SET_B 0.000231787 -14 *3:23 *361:RESET_B 0.000951799 -15 *3:23 *404:A 0.00021625 -16 *3:23 *425:A 0.000383745 -17 *3:23 *426:A 5.93628e-05 -18 *3:23 *427:A 5.66687e-05 -19 *3:23 *4:17 0.000147395 -20 *3:23 *115:15 0.000663768 -21 *3:23 *118:34 8.08238e-05 -22 *3:23 *123:34 0.000349525 -23 *3:23 *139:10 0.000129721 -24 *3:23 *148:9 5.75488e-05 -25 *3:24 *332:A_N 4.66108e-05 -26 *3:24 *143:18 1.41165e-05 -27 *3:29 *332:A_N 0.000118081 -28 *3:29 *361:SET_B 0.000110908 -29 *3:29 *419:A 0.000119022 -30 *3:29 *119:38 4.91075e-05 -31 *3:29 *143:18 1.19928e-05 -32 *3:37 *208:DIODE 2.92603e-05 -33 *3:37 *329:A 0.000175411 -34 *3:37 *419:A 0.000315348 -35 *176:DIODE *3:29 0.000316036 -36 *176:DIODE *3:37 0.000581782 -37 *1:27 *3:23 0.000718313 -38 *1:38 *3:29 4.04632e-05 -39 *2:22 *3:23 0 -*RES -1 gpio_defaults[11] *3:23 49.72 -2 *3:23 *3:24 0.45 -3 *3:24 *3:29 7.58 -4 *3:29 *3:37 10.82 -5 *3:37 *194:DIODE 2.05 -6 *3:37 *193:DIODE 1.6 -7 *3:29 *331:B 3.6 -8 *3:24 *332:B 1.6 -*END - -*D_NET *4 0.0137203 -*CONN -*P gpio_defaults[12] I -*I *334:B I *D sky130_fd_sc_hd__nand2b_2 -*I *333:B I *D sky130_fd_sc_hd__or2_0 -*I *196:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *195:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 gpio_defaults[12] 0.00175006 -2 *334:B 0.000130102 -3 *333:B 6.29293e-05 -4 *196:DIODE 0.000281406 -5 *195:DIODE 6.24483e-05 -6 *4:44 0.000434892 -7 *4:27 0.000881512 -8 *4:17 0.00217075 -9 *195:DIODE *431:A 2.59285e-05 -10 *196:DIODE *329:A 0.000171906 -11 *196:DIODE *5:40 0.000342536 -12 *196:DIODE *122:15 0.000100224 -13 *333:B *333:A 2.747e-05 -14 *333:B *362:RESET_B 5.83121e-06 -15 *333:B *26:10 0.000155608 -16 *334:B *333:A 6.90254e-05 -17 *334:B *362:RESET_B 0.000128076 -18 *334:B *414:A 2.21606e-05 -19 *334:B *99:8 5.36975e-06 -20 *334:B *120:8 0.000188535 -21 *4:17 *182:DIODE 2.99137e-05 -22 *4:17 *211:DIODE 0.000255609 -23 *4:17 *320:B 4.3231e-05 -24 *4:17 *354:RESET_B 1.19892e-05 -25 *4:17 *355:SET_B 8.9454e-05 -26 *4:17 *359:RESET_B 6.5741e-05 -27 *4:17 *364:CLK_N 0.00013607 -28 *4:17 *365:SET_B 0.000489119 -29 *4:17 *378:D 0 -30 *4:17 *406:A 0.000293714 -31 *4:17 *422:A 0.00114102 -32 *4:17 *434:A 6.78476e-05 -33 *4:17 *11:19 1.60658e-05 -34 *4:17 *32:12 0.000776354 -35 *4:17 *115:15 3.1191e-05 -36 *4:17 *115:20 2.97872e-06 -37 *4:17 *123:34 0.000914551 -38 *4:17 *139:10 0.000129484 -39 *4:27 *380:A 8.41307e-05 -40 *4:27 *397:A 9.47267e-06 -41 *4:27 *419:A 5.93408e-05 -42 *4:27 *431:A 0.000969278 -43 *4:27 *438:A 0.000569398 -44 *4:27 *119:16 4.36001e-05 -45 *4:44 *210:DIODE 2.85623e-05 -46 *4:44 *362:RESET_B 1.08036e-05 -47 *4:44 *380:A 8.35157e-05 -48 *4:44 *414:A 2.98644e-05 -49 *4:44 *26:10 4.66108e-05 -50 *4:44 *120:8 2.50751e-05 -51 *4:44 *121:7 0.000102164 -52 *3:23 *4:17 0.000147395 -*RES -1 gpio_defaults[12] *4:17 40.455 -2 *4:17 *195:DIODE 4.725 -3 *4:17 *4:27 13.49 -4 *4:27 *196:DIODE 12.08 -5 *4:27 *4:44 5.945 -6 *4:44 *333:B 5.085 -7 *4:44 *334:B 7.785 -*END - -*D_NET *5 0.0251382 -*CONN -*P gpio_defaults[1] I -*I *328:B I *D sky130_fd_sc_hd__nand2b_2 -*I *189:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *188:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *327:B I *D sky130_fd_sc_hd__or2_0 -*CAP -1 gpio_defaults[1] 0.000357752 -2 *328:B 0.000974626 -3 *189:DIODE 0 -4 *188:DIODE 0.00154097 -5 *327:B 7.17547e-05 -6 *5:40 0.00446208 -7 *5:28 0.00547744 -8 *5:11 0.00201121 -9 *188:DIODE one 0.000148547 -10 *188:DIODE pad_gpio_ana_pol 1.01551e-05 -11 *188:DIODE zero 9.08126e-05 -12 *188:DIODE *205:DIODE 4.57056e-05 -13 *188:DIODE *208:DIODE 1.19928e-05 -14 *188:DIODE *209:DIODE 0.000534896 -15 *188:DIODE *384:A 7.10263e-05 -16 *188:DIODE *94:8 0.000234737 -17 *327:B *327:A 4.89395e-05 -18 *328:B serial_clock_out 0.000256477 -19 *328:B *307:A 6.33103e-05 -20 *328:B *313:A2 5.92535e-05 -21 *328:B *313:B1 5.8023e-05 -22 *328:B *359:SET_B 4.66108e-05 -23 *328:B *34:11 0.000128915 -24 *5:11 *179:DIODE 1.84465e-05 -25 *5:11 *367:CLK 0.000182427 -26 *5:11 *403:A 8.34262e-05 -27 *5:11 *6:7 0.000466495 -28 *5:11 *6:27 0.000713364 -29 *5:11 *94:64 0.000179311 -30 *5:11 *94:71 0.000179801 -31 *5:11 *118:9 0.00024157 -32 *5:11 *152:10 5.1985e-06 -33 *5:28 pad_gpio_vtrip_sel 0.00242501 -34 *5:28 *183:DIODE 7.60474e-05 -35 *5:28 *326:B 7.5643e-05 -36 *5:28 *327:A 0.000220396 -37 *5:28 *346:A 7.95186e-05 -38 *5:28 *359:RESET_B 0.000125746 -39 *5:28 *377:D 0.000985754 -40 *5:28 *379:RESET_B 0 -41 *5:28 *6:27 7.56573e-06 -42 *5:28 *32:12 5.5587e-05 -43 *5:28 *93:8 0.000269939 -44 *5:28 *94:60 0.000305933 -45 *5:28 *117:13 0.000305674 -46 *5:28 *148:9 0.000281948 -47 *5:40 one 0 -48 *5:40 pad_gpio_ana_pol 2.13924e-05 -49 *5:40 *311:A_N 0.000113124 -50 *5:40 *314:B 3.97748e-05 -51 *5:40 *329:A 1.80525e-05 -52 *5:40 *396:A 7.47702e-05 -53 *5:40 *15:10 0.000103154 -54 *5:40 *99:8 0.00013032 -55 *5:40 *122:7 3.57349e-05 -56 *5:40 *122:11 8.41307e-05 -57 *194:DIODE *188:DIODE 2.68526e-05 -58 *196:DIODE *5:40 0.000342536 -59 *1:16 *5:11 0.000158305 -*RES -1 gpio_defaults[1] *5:11 17.015 -2 *5:11 *327:B 2.74 -3 *5:11 *5:28 14.3735 -4 *5:28 *5:40 12.9312 -5 *5:40 *188:DIODE 26.945 -6 *5:40 *189:DIODE 1.6 -7 *5:28 *328:B 7.79857 -*END - -*D_NET *6 0.0129348 -*CONN -*P gpio_defaults[2] I -*I *318:B I *D sky130_fd_sc_hd__nand2b_2 -*I *178:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *317:B I *D sky130_fd_sc_hd__or2_0 -*I *179:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 gpio_defaults[2] 0.000327189 -2 *318:B 0.000289301 -3 *178:DIODE 0.000300688 -4 *317:B 4.66576e-05 -5 *179:DIODE 8.60833e-05 -6 *6:41 0.000746853 -7 *6:27 0.00204046 -8 *6:7 0.00225021 -9 *178:DIODE *326:B 0.000204082 -10 *178:DIODE *353:SET_B 6.60907e-06 -11 *178:DIODE *355:D 3.91137e-05 -12 *178:DIODE *358:SET_B 0.000300333 -13 *178:DIODE *362:CLK_N 0.000129712 -14 *178:DIODE *404:A 4.66108e-05 -15 *178:DIODE *423:A 3.05521e-05 -16 *178:DIODE *32:12 0.000199873 -17 *178:DIODE *42:31 0.000130977 -18 *317:B *317:A 2.49909e-05 -19 *317:B *427:A 2.49909e-05 -20 *317:B *115:15 5.8023e-05 -21 *317:B *123:34 5.8023e-05 -22 *318:B *318:A_N 0.000141264 -23 *318:B *362:CLK_N 0.000714436 -24 *318:B *42:31 0.000437343 -25 *6:7 *369:D 4.65246e-05 -26 *6:7 *7:14 0 -27 *6:27 resetn_out 0.00132837 -28 *6:27 *183:DIODE 0.000147159 -29 *6:27 *326:B 0.000131026 -30 *6:27 *369:D 0.000213224 -31 *6:27 *7:14 0 -32 *6:27 *8:19 0 -33 *6:27 *11:19 0.000625998 -34 *6:27 *94:60 5.15276e-05 -35 *6:27 *152:10 0.000101374 -36 *6:41 resetn_out 0.000307894 -37 *6:41 *326:B 0.000139367 -38 *1:16 *6:7 2.09302e-06 -39 *5:11 *179:DIODE 1.84465e-05 -40 *5:11 *6:7 0.000466495 -41 *5:11 *6:27 0.000713364 -42 *5:28 *6:27 7.56573e-06 -*RES -1 gpio_defaults[2] *6:7 7.1475 -2 *6:7 *179:DIODE 4.6275 -3 *6:7 *6:27 13.1577 -4 *6:27 *317:B 5.2925 -5 *6:27 *6:41 1.00413 -6 *6:41 *178:DIODE 10.1718 -7 *6:41 *318:B 12.5025 -*END - -*D_NET *7 0.0107428 -*CONN -*P gpio_defaults[3] I -*I *323:B I *D sky130_fd_sc_hd__or2_0 -*I *184:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *185:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *324:B I *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 gpio_defaults[3] 0.00191894 -2 *323:B 2.93803e-05 -3 *184:DIODE 0.000159021 -4 *185:DIODE 0.000489078 -5 *324:B 2.56381e-05 -6 *7:35 0.000822688 -7 *7:28 0.000441283 -8 *7:14 0.00218189 -9 *184:DIODE *435:A 0.000232563 -10 *185:DIODE *345:A 6.81155e-05 -11 *185:DIODE *354:CLK_N 0.000129483 -12 *185:DIODE *361:CLK_N 5.34082e-05 -13 *185:DIODE *435:A 0.000266391 -14 *185:DIODE *9:38 0.000175088 -15 *185:DIODE *122:30 0.000133329 -16 *323:B *323:A 6.11897e-05 -17 *323:B *357:RESET_B 6.21022e-05 -18 *323:B *39:10 4.89295e-05 -19 *324:B *364:CLK_N 2.08971e-05 -20 *7:14 *324:A_N 1.74678e-05 -21 *7:14 *357:SET_B 1.33205e-05 -22 *7:14 *369:D 0.00010793 -23 *7:14 *379:RESET_B 0 -24 *7:14 *391:A 0.00159366 -25 *7:14 *393:A 0.000459336 -26 *7:14 *436:A 0 -27 *7:14 *9:24 2.01934e-05 -28 *7:14 *152:10 0.000228763 -29 *7:28 *180:DIODE 0.000124131 -30 *7:28 *323:A 0.000144821 -31 *7:28 *354:CLK_N 3.86987e-05 -32 *7:28 *357:RESET_B 1.14192e-05 -33 *7:28 *357:SET_B 2.01259e-05 -34 *7:28 *363:CLK_N 7.15997e-05 -35 *7:35 *345:A 5.0096e-05 -36 *7:35 *363:SET_B 0.000364894 -37 *7:35 *364:SET_B 0.000156916 -38 *6:7 *7:14 0 -39 *6:27 *7:14 0 -*RES -1 gpio_defaults[3] *7:14 41.24 -2 *7:14 *324:B 2.215 -3 *7:14 *7:28 8.95 -4 *7:28 *7:35 8.8 -5 *7:35 *185:DIODE 14.975 -6 *7:35 *184:DIODE 3.775 -7 *7:28 *323:B 2.74 -*END - -*D_NET *8 0.0165941 -*CONN -*P gpio_defaults[4] I -*I *326:B I *D sky130_fd_sc_hd__nand2b_2 -*I *187:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *325:B I *D sky130_fd_sc_hd__or2_0 -*I *186:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 gpio_defaults[4] 0.000679719 -2 *326:B 0.00187971 -3 *187:DIODE 0 -4 *325:B 3.34704e-05 -5 *186:DIODE 0.00144318 -6 *8:23 0.00204927 -7 *8:19 0.00135891 -8 *8:15 0.00334572 -9 *186:DIODE *335:A 6.24428e-05 -10 *186:DIODE *384:A 2.88357e-05 -11 *186:DIODE *94:8 0.000574181 -12 *326:B resetn_out 0.000185841 -13 *326:B *312:A2 1.79536e-05 -14 *326:B *326:A_N 0.000139949 -15 *326:B *357:SET_B 0.000296305 -16 *326:B *358:SET_B 0.000162067 -17 *326:B *361:CLK_N 0.00015027 -18 *326:B *361:RESET_B 1.92979e-05 -19 *326:B *377:D 0.000114388 -20 *326:B *11:19 0.000628414 -21 *326:B *32:12 0.000356777 -22 *326:B *34:11 5.15265e-05 -23 *326:B *116:76 0.000340043 -24 *326:B *123:34 4.12303e-05 -25 *8:15 *319:A 2.20154e-05 -26 *8:15 *359:CLK_N 9.74882e-05 -27 *8:15 *379:CLK 0.000162304 -28 *8:15 *392:A 0.000297199 -29 *8:15 *407:A 4.85118e-05 -30 *8:15 *410:A 1.92979e-05 -31 *8:15 *429:A 0 -32 *8:15 *430:A 4.77769e-05 -33 *8:15 *9:13 0.000147072 -34 *8:15 *10:21 0.000375501 -35 *8:19 resetn_out 7.0157e-05 -36 *8:19 *94:64 1.92979e-05 -37 *8:19 *116:38 0.000111372 -38 *8:19 *116:76 5.48259e-05 -39 *8:23 *183:DIODE 0.000366809 -40 *8:23 *403:A 0.000176896 -41 *8:23 *94:64 5.49252e-05 -42 *178:DIODE *326:B 0.000204082 -43 *1:16 *8:19 1.30358e-05 -44 *5:28 *326:B 7.5643e-05 -45 *6:27 *326:B 0.000131026 -46 *6:27 *8:19 0 -47 *6:41 *326:B 0.000139367 -*RES -1 gpio_defaults[4] *8:15 18.3375 -2 *8:15 *8:19 2.1689 -3 *8:19 *8:23 12.63 -4 *8:23 *186:DIODE 31.2 -5 *8:23 *325:B 4.05 -6 *8:19 *187:DIODE 3.6 -7 *8:15 *326:B 16.7812 -*END - -*D_NET *9 0.0110668 -*CONN -*P gpio_defaults[5] I -*I *335:B I *D sky130_fd_sc_hd__or2_0 -*I *198:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *197:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *336:B I *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 gpio_defaults[5] 0.00107552 -2 *335:B 0 -3 *198:DIODE 4.69508e-05 -4 *197:DIODE 0 -5 *336:B 0 -6 *9:38 0.000263196 -7 *9:24 0.00105101 -8 *9:13 0.00191028 -9 *198:DIODE *180:DIODE 5.09431e-05 -10 *198:DIODE *159:43 0.000148298 -11 *9:13 *335:A 8.61593e-05 -12 *9:13 *342:A 0.000506976 -13 *9:13 *346:A 0.00113814 -14 *9:13 *355:CLK_N 0.000596742 -15 *9:13 *367:RESET_B 0.000102772 -16 *9:13 *368:RESET_B 5.95017e-05 -17 *9:13 *403:A 7.56954e-05 -18 *9:13 *10:21 0.000192886 -19 *9:13 *94:60 0.000266013 -20 *9:13 *116:48 0.000179409 -21 *9:13 *117:13 0.000115407 -22 *9:13 *152:10 0.000393315 -23 *9:24 *336:A_N 0.000300022 -24 *9:24 *391:A 0.000453961 -25 *9:24 *10:26 0.000390658 -26 *9:24 *10:29 7.28465e-06 -27 *9:24 *119:16 0.000301492 -28 *9:24 *159:8 0.000125632 -29 *9:24 *159:15 0.000307954 -30 *9:38 *180:DIODE 2.88357e-05 -31 *9:38 *200:DIODE 8.53011e-05 -32 *9:38 *361:CLK_N 0.000131525 -33 *9:38 *363:SET_B 0.000156769 -34 *9:38 *119:16 1.43253e-05 -35 *9:38 *159:43 0.0001615 -36 *185:DIODE *9:38 0.000175088 -37 *7:14 *9:24 2.01934e-05 -38 *8:15 *9:13 0.000147072 -*RES -1 gpio_defaults[5] *9:13 35.44 -2 *9:13 *9:24 27.285 -3 *9:24 *336:B 1.6 -4 *9:24 *9:38 9.82 -5 *9:38 *197:DIODE 1.6 -6 *9:38 *198:DIODE 3.085 -7 *9:13 *335:B 3.6 -*END - -*D_NET *10 0.00972093 -*CONN -*P gpio_defaults[6] I -*I *337:B I *D sky130_fd_sc_hd__or2_0 -*I *338:B I *D sky130_fd_sc_hd__nand2b_2 -*I *199:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *200:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 gpio_defaults[6] 0.00129816 -2 *337:B 1.36534e-05 -3 *338:B 8.04722e-05 -4 *199:DIODE 0 -5 *200:DIODE 0.000268429 -6 *10:29 0.000386666 -7 *10:26 0.000372428 -8 *10:21 0.00148553 -9 *200:DIODE *358:CLK_N 9.05592e-05 -10 *200:DIODE *361:CLK_N 4.77807e-05 -11 *200:DIODE *119:16 4.66108e-05 -12 *200:DIODE *159:15 0.000192502 -13 *200:DIODE *159:21 6.52015e-05 -14 *200:DIODE *159:27 2.69153e-05 -15 *200:DIODE *159:43 2.01934e-05 -16 *337:B *337:A 4.66108e-05 -17 *337:B *379:RESET_B 4.66108e-05 -18 *338:B *314:A 0.000178675 -19 *338:B *338:A_N 8.23457e-05 -20 *10:21 *203:DIODE 0.000320573 -21 *10:21 *337:A 0.000123696 -22 *10:21 *346:A 1.81554e-05 -23 *10:21 *371:D 0.000119466 -24 *10:21 *371:RESET_B 0.000443674 -25 *10:21 *402:A 4.07308e-05 -26 *10:21 *407:A 4.98735e-05 -27 *10:21 *410:A 8.46435e-06 -28 *10:21 *427:A 8.8162e-05 -29 *10:21 *13:20 2.34629e-05 -30 *10:21 *93:8 0.000270838 -31 *10:21 *94:60 0.00010345 -32 *10:21 *117:13 0.000698435 -33 *10:21 *147:11 0.000131951 -34 *10:26 *203:DIODE 0.000475317 -35 *10:26 *314:A 8.35118e-05 -36 *10:26 *336:A_N 2.85623e-05 -37 *10:26 *337:A 2.98644e-05 -38 *10:26 *338:A_N 3.57349e-05 -39 *10:26 *358:CLK_N 1.37188e-05 -40 *10:26 *114:13 4.66108e-05 -41 *10:26 *159:8 5.02331e-05 -42 *10:29 *336:A_N 0.000326738 -43 *10:29 *358:CLK_N 0.000388729 -44 *8:15 *10:21 0.000375501 -45 *9:13 *10:21 0.000192886 -46 *9:24 *10:26 0.000390658 -47 *9:24 *10:29 7.28465e-06 -48 *9:38 *200:DIODE 8.53011e-05 -*RES -1 gpio_defaults[6] *10:21 28.7457 -2 *10:21 *10:26 9.275 -3 *10:26 *10:29 6.695 -4 *10:29 *200:DIODE 8.97 -5 *10:29 *199:DIODE 3.6 -6 *10:26 *338:B 3.43 -7 *10:21 *337:B 4.05 -*END - -*D_NET *11 0.00964511 -*CONN -*P gpio_defaults[7] I -*I *340:B I *D sky130_fd_sc_hd__nand2b_2 -*I *202:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *201:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *339:B I *D sky130_fd_sc_hd__or2_0 -*CAP -1 gpio_defaults[7] 0.0011768 -2 *340:B 0 -3 *202:DIODE 6.69326e-05 -4 *201:DIODE 0.000387822 -5 *339:B 7.10492e-05 -6 *11:27 0.000597373 -7 *11:21 0.000356322 -8 *11:19 0.00132769 -9 *201:DIODE *174:DIODE 0.000304826 -10 *201:DIODE *316:A_N 5.83121e-06 -11 *201:DIODE *353:CLK_N 0.000306123 -12 *201:DIODE *365:RESET_B 0.000225285 -13 *201:DIODE *119:33 0.000103927 -14 *202:DIODE *347:A 0.000153816 -15 *202:DIODE *401:A 4.41506e-05 -16 *339:B *339:A 8.23457e-05 -17 *11:19 serial_data_out 0 -18 *11:19 *319:A 0 -19 *11:19 *319:B 0.000122817 -20 *11:19 *355:RESET_B 2.39856e-05 -21 *11:19 *357:RESET_B 0.000449958 -22 *11:19 *359:CLK_N 2.92271e-05 -23 *11:19 *366:RESET_B 0 -24 *11:19 *392:A 5.74791e-05 -25 *11:19 *407:A 0.000153011 -26 *11:19 *427:A 2.35639e-05 -27 *11:19 *430:A 8.83758e-05 -28 *11:19 *12:7 7.59093e-05 -29 *11:19 *13:13 0.000391425 -30 *11:19 *32:12 8.75115e-05 -31 *11:19 *113:22 4.20709e-05 -32 *11:19 *115:15 0.000219453 -33 *11:19 *123:34 9.2507e-05 -34 *11:19 *145:25 0 -35 *11:21 *322:B 1.05827e-05 -36 *11:21 *400:A 1.08814e-05 -37 *11:21 *13:31 0.000242097 -38 *11:21 *32:12 0.000306405 -39 *11:21 *115:15 2.85364e-05 -40 *11:27 pad_gpio_slow_sel 1.18585e-05 -41 *11:27 *432:A 0.000284595 -42 *11:27 *13:31 6.00245e-05 -43 *11:27 *32:12 0.000352055 -44 *326:B *11:19 0.000628414 -45 *2:22 *11:19 0 -46 *4:17 *11:19 1.60658e-05 -47 *6:27 *11:19 0.000625998 -*RES -1 gpio_defaults[7] *11:19 26.0535 -2 *11:19 *11:21 3.93 -3 *11:21 *11:27 6.89 -4 *11:27 *339:B 2.74 -5 *11:27 *201:DIODE 13.625 -6 *11:21 *202:DIODE 5.085 -7 *11:19 *340:B 3.6 -*END - -*D_NET *12 0.014192 -*CONN -*P gpio_defaults[8] I -*I *319:B I *D sky130_fd_sc_hd__or2_0 -*I *320:B I *D sky130_fd_sc_hd__nand2b_2 -*I *180:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *181:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 gpio_defaults[8] 0.000125523 -2 *319:B 0.000100851 -3 *320:B 0.000501051 -4 *180:DIODE 0.000759266 -5 *181:DIODE 0.00096136 -6 *12:14 0.00188279 -7 *12:11 0.0012711 -8 *12:7 0.000834256 -9 *180:DIODE *323:A 4.40693e-06 -10 *180:DIODE *354:CLK_N 0.000916918 -11 *180:DIODE *357:SET_B 0.000770143 -12 *180:DIODE *361:CLK_N 0.000585068 -13 *180:DIODE *363:CLK_N 3.11888e-05 -14 *180:DIODE *363:SET_B 0.000213455 -15 *180:DIODE *113:22 0.000283838 -16 *181:DIODE *343:A 2.19562e-06 -17 *181:DIODE *371:CLK 2.39856e-05 -18 *181:DIODE *371:RESET_B 0.000188558 -19 *181:DIODE *374:CLK 3.23284e-05 -20 *181:DIODE *402:A 0.000191335 -21 *181:DIODE *403:A 2.01737e-05 -22 *319:B *359:CLK_N 0.000160397 -23 *319:B *392:A 0.000455764 -24 *319:B *429:A 8.52951e-05 -25 *319:B *430:A 9.56017e-06 -26 *320:B *342:A 0.000194891 -27 *320:B *355:SET_B 2.44112e-05 -28 *320:B *357:RESET_B 0.000172623 -29 *320:B *358:RESET_B 9.10058e-05 -30 *320:B *358:SET_B 9.02911e-05 -31 *320:B *370:RESET_B 0.000175852 -32 *320:B *374:D 6.33103e-05 -33 *320:B *375:CLK 8.52845e-05 -34 *320:B *421:A 8.34904e-06 -35 *320:B *422:A 7.97788e-05 -36 *320:B *115:19 2.49909e-05 -37 *320:B *115:20 4.83522e-05 -38 *320:B *118:34 8.30853e-06 -39 *12:7 *13:13 8.66184e-05 -40 *12:7 *113:22 6.9382e-05 -41 *12:11 *357:RESET_B 0.000254589 -42 *12:11 *358:RESET_B 0.000214954 -43 *12:11 *359:CLK_N 0 -44 *12:11 *113:22 0.000618776 -45 *12:11 *143:18 0.000922959 -46 *12:14 *343:A 2.46488e-05 -47 *12:14 *374:CLK 7.01132e-05 -48 *12:14 *374:D 5.83121e-06 -49 *198:DIODE *180:DIODE 5.09431e-05 -50 *2:22 *320:B 0 -51 *4:17 *320:B 4.3231e-05 -52 *7:28 *180:DIODE 0.000124131 -53 *9:38 *180:DIODE 2.88357e-05 -54 *11:19 *319:B 0.000122817 -55 *11:19 *12:7 7.59093e-05 -*RES -1 gpio_defaults[8] *12:7 3.18 -2 *12:7 *12:11 18.425 -3 *12:11 *12:14 2.535 -4 *12:14 *181:DIODE 12.4 -5 *12:14 *180:DIODE 31.905 -6 *12:11 *320:B 20.325 -7 *12:7 *319:B 8.205 -*END - -*D_NET *13 0.0219861 -*CONN -*P gpio_defaults[9] I -*I *183:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *322:B I *D sky130_fd_sc_hd__nand2b_2 -*I *321:B I *D sky130_fd_sc_hd__or2_0 -*I *182:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 gpio_defaults[9] 0.00182081 -2 *183:DIODE 0.000816364 -3 *322:B 0.0009743 -4 *321:B 0.000560001 -5 *182:DIODE 0.000592939 -6 *13:31 0.00214895 -7 *13:20 0.00323798 -8 *13:13 0.00390484 -9 *182:DIODE serial_clock_out 7.63402e-05 -10 *182:DIODE *351:A 5.06989e-05 -11 *182:DIODE *364:CLK_N 0.000400594 -12 *182:DIODE *364:RESET_B 5.88634e-05 -13 *182:DIODE *365:CLK_N 4.46302e-05 -14 *182:DIODE *421:A 0.000175005 -15 *182:DIODE *422:A 0.000156445 -16 *183:DIODE pad_gpio_vtrip_sel 9.19197e-05 -17 *183:DIODE *403:A 0.00019231 -18 *321:B *321:A 0.000134373 -19 *321:B *353:SET_B 4.4495e-05 -20 *321:B *120:22 0.000661253 -21 *322:B *322:A_N 9.85989e-05 -22 *322:B *355:CLK_N 3.59266e-06 -23 *322:B *355:D 0.000107371 -24 *322:B *355:RESET_B 0.000122714 -25 *322:B *358:SET_B 1.08105e-05 -26 *322:B *400:A 7.96104e-06 -27 *322:B *426:A 0.000192658 -28 *322:B *115:15 5.83121e-06 -29 *322:B *118:45 3.59538e-06 -30 *13:13 serial_data_out 0 -31 *13:13 *366:RESET_B 1.05138e-05 -32 *13:13 *369:D 3.86352e-05 -33 *13:13 *38:8 0.000214479 -34 *13:13 *113:22 0.000433501 -35 *13:13 *116:35 0.000233015 -36 *13:20 pad_gpio_vtrip_sel 0.00141093 -37 *13:20 *379:RESET_B 8.76348e-06 -38 *13:20 *116:35 5.97021e-05 -39 *13:31 pad_gpio_slow_sel 0.000213968 -40 *13:31 *326:A_N 4.09397e-05 -41 *13:31 *364:RESET_B 1.08056e-05 -42 *13:31 *400:A 4.15379e-05 -43 *13:31 *401:A 0.000126661 -44 *13:31 *421:A 6.64457e-05 -45 *13:31 *422:A 0.000381352 -46 *13:31 *115:26 2.34005e-05 -47 *1:16 *13:13 0.000386963 -48 *2:22 *13:13 0 -49 *2:22 *13:20 0.000154116 -50 *4:17 *182:DIODE 2.99137e-05 -51 *5:28 *183:DIODE 7.60474e-05 -52 *6:27 *183:DIODE 0.000147159 -53 *8:23 *183:DIODE 0.000366809 -54 *10:21 *13:20 2.34629e-05 -55 *11:19 *13:13 0.000391425 -56 *11:21 *322:B 1.05827e-05 -57 *11:21 *13:31 0.000242097 -58 *11:27 *13:31 6.00245e-05 -59 *12:7 *13:13 8.66184e-05 -*RES -1 gpio_defaults[9] *13:13 11.7222 -2 *13:13 *13:20 3.63593 -3 *13:20 *13:31 10.0506 -4 *13:31 *182:DIODE 15.39 -5 *13:31 *321:B 15.55 -6 *13:20 *322:B 16.4925 -7 *13:13 *183:DIODE 10.2488 -*END - -*D_NET *14 0.00711782 -*CONN -*P mgmt_gpio_in O -*I *431:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 mgmt_gpio_in 0.00141857 -2 *431:X 0.00141857 -3 mgmt_gpio_in one 0.00140173 -4 mgmt_gpio_in pad_gpio_ana_en 0.000338014 -5 mgmt_gpio_in zero 0.00178202 -6 mgmt_gpio_in *208:DIODE 0.000143637 -7 mgmt_gpio_in *209:DIODE 0.000246511 -8 mgmt_gpio_in *16:8 0.000368772 -*RES -1 *431:X mgmt_gpio_in 11.3294 -*END - -*D_NET *15 0.00658752 -*CONN -*P mgmt_gpio_oeb I -*I *412:A I *D sky130_fd_sc_hd__buf_2 -*I *208:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 mgmt_gpio_oeb 0.00102939 -2 *412:A 0 -3 *208:DIODE 0.0005741 -4 *15:10 0.00160349 -5 *208:DIODE *209:DIODE 0.000795759 -6 *208:DIODE *329:A 2.0474e-05 -7 *208:DIODE *438:A 9.97121e-06 -8 *208:DIODE *122:7 8.35157e-05 -9 *208:DIODE *122:11 8.41307e-05 -10 *208:DIODE *122:15 0.000115012 -11 *15:10 one 0.000913485 -12 *15:10 pad_gpio_ana_pol 0 -13 *15:10 pad_gpio_ana_sel 0.000593232 -14 *15:10 pad_gpio_dm[2] 0.000313559 -15 *15:10 *396:A 5.44607e-05 -16 mgmt_gpio_in *208:DIODE 0.000143637 -17 *176:DIODE *208:DIODE 0.000108889 -18 *188:DIODE *208:DIODE 1.19928e-05 -19 *3:37 *208:DIODE 2.92603e-05 -20 *5:40 *15:10 0.000103154 -*RES -1 mgmt_gpio_oeb *15:10 6.94703 -2 *15:10 *208:DIODE 17.225 -3 *15:10 *412:A 1.6 -*END - -*D_NET *16 0.00960008 -*CONN -*P mgmt_gpio_out I -*I *209:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *413:A I *D sky130_fd_sc_hd__buf_2 -*CAP -1 mgmt_gpio_out 0.00121931 -2 *209:DIODE 0.000589316 -3 *413:A 0 -4 *16:23 2.74188e-05 -5 *16:8 0.0017812 -6 *209:DIODE pad_gpio_ana_en 0.000606391 -7 *209:DIODE *205:DIODE 4.89558e-05 -8 *209:DIODE *212:DIODE 6.19997e-05 -9 *209:DIODE *417:A 0.000595989 -10 *209:DIODE *438:A 0.000456921 -11 *209:DIODE *122:19 0.000305043 -12 *16:8 pad_gpio_ana_en 0.00181618 -13 *16:8 pad_gpio_ana_sel 0.000130112 -14 mgmt_gpio_in *209:DIODE 0.000246511 -15 mgmt_gpio_in *16:8 0.000368772 -16 *176:DIODE *209:DIODE 1.53053e-05 -17 *188:DIODE *209:DIODE 0.000534896 -18 *208:DIODE *209:DIODE 0.000795759 -*RES -1 mgmt_gpio_out *16:8 32.1775 -2 *16:8 *413:A 1.6 -3 *16:8 *209:DIODE 24.835 -4 mgmt_gpio_out *16:23 0.0481333 -*END - -*D_NET *17 0.00614207 -*CONN -*P one O -*I *417:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 one 0.00183348 -2 *417:X 0.00183348 -3 one zero 1.13474e-05 -4 mgmt_gpio_in one 0.00140173 -5 *188:DIODE one 0.000148547 -6 *5:40 one 0 -7 *15:10 one 0.000913485 -*RES -1 *417:X one 11.0525 -*END - -*D_NET *18 0.00646297 -*CONN -*P pad_gpio_ana_en O -*I *432:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_ana_en 0.00137892 -2 *432:X 0.00135473 -3 *18:16 2.41895e-05 -4 pad_gpio_ana_en pad_gpio_ana_sel 0.000268545 -5 pad_gpio_ana_en pad_gpio_dm[2] 0.000245518 -6 pad_gpio_ana_en *312:A1 0.000159997 -7 mgmt_gpio_in pad_gpio_ana_en 0.000338014 -8 *176:DIODE pad_gpio_ana_en 0.000270487 -9 *209:DIODE pad_gpio_ana_en 0.000606391 -10 *16:8 pad_gpio_ana_en 0.00181618 -*RES -1 *432:X pad_gpio_ana_en 41.7275 -2 pad_gpio_ana_en *18:16 0.0481333 -*END - -*D_NET *19 0.00545795 -*CONN -*P pad_gpio_ana_pol O -*I *433:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_ana_pol 0.0021695 -2 *433:X 0.0021695 -3 pad_gpio_ana_pol pad_gpio_dm[0] 0.000419603 -4 pad_gpio_ana_pol pad_gpio_dm[1] 0.000163734 -5 pad_gpio_ana_pol pad_gpio_holdover 0.000111108 -6 pad_gpio_ana_pol *432:A 0.000145034 -7 pad_gpio_ana_pol *123:34 0.000118658 -8 pad_gpio_ana_pol *159:43 0.000129251 -9 *188:DIODE pad_gpio_ana_pol 1.01551e-05 -10 *5:40 pad_gpio_ana_pol 2.13924e-05 -11 *15:10 pad_gpio_ana_pol 0 -*RES -1 *433:X pad_gpio_ana_pol 14.8204 -*END - -*D_NET *20 0.00632664 -*CONN -*P pad_gpio_ana_sel O -*I *434:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_ana_sel 0.00171589 -2 *434:X 0.00171589 -3 pad_gpio_ana_sel pad_gpio_dm[2] 0.00170143 -4 pad_gpio_ana_sel *210:DIODE 0.000201533 -5 pad_gpio_ana_en pad_gpio_ana_sel 0.000268545 -6 *15:10 pad_gpio_ana_sel 0.000593232 -7 *16:8 pad_gpio_ana_sel 0.000130112 -*RES -1 *434:X pad_gpio_ana_sel 43.1975 -*END - -*D_NET *21 0.00604914 -*CONN -*P pad_gpio_dm[0] O -*I *418:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_dm[0] 0.00225363 -2 *418:X 0.00225363 -3 pad_gpio_dm[0] pad_gpio_dm[1] 0.00094852 -4 pad_gpio_dm[0] pad_gpio_holdover 0.000132642 -5 pad_gpio_dm[0] *95:8 4.11223e-05 -6 pad_gpio_ana_pol pad_gpio_dm[0] 0.000419603 -*RES -1 *418:X pad_gpio_dm[0] 10.2748 -*END - -*D_NET *22 0.00648259 -*CONN -*P pad_gpio_dm[1] O -*I *419:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_dm[1] 0.00213964 -2 *419:X 0.00213964 -3 pad_gpio_dm[1] pad_gpio_ib_mode_sel 0.000275064 -4 pad_gpio_dm[1] serial_clock_out 0.000101135 -5 pad_gpio_dm[1] *418:A 0.000162497 -6 pad_gpio_dm[1] *432:A 0.000539038 -7 pad_gpio_dm[1] *119:86 8.76348e-06 -8 pad_gpio_dm[1] *159:43 4.56429e-06 -9 pad_gpio_ana_pol pad_gpio_dm[1] 0.000163734 -10 pad_gpio_dm[0] pad_gpio_dm[1] 0.00094852 -11 *1:27 pad_gpio_dm[1] 0 -*RES -1 *419:X pad_gpio_dm[1] 15.3587 -*END - -*D_NET *23 0.00612403 -*CONN -*P pad_gpio_dm[2] O -*I *420:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_dm[2] 0.00143695 -2 *420:X 0.00143695 -3 pad_gpio_dm[2] pad_gpio_holdover 0.000185052 -4 pad_gpio_dm[2] *210:DIODE 4.89395e-05 -5 pad_gpio_dm[2] *26:10 0.000755626 -6 pad_gpio_ana_en pad_gpio_dm[2] 0.000245518 -7 pad_gpio_ana_sel pad_gpio_dm[2] 0.00170143 -8 *15:10 pad_gpio_dm[2] 0.000313559 -*RES -1 *420:X pad_gpio_dm[2] 43.3025 -*END - -*D_NET *24 0.00553216 -*CONN -*P pad_gpio_holdover O -*I *421:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_holdover 0.00201848 -2 *421:X 0.00201848 -3 pad_gpio_holdover pad_gpio_ib_mode_sel 0.000297881 -4 pad_gpio_holdover *314:A 6.57334e-05 -5 pad_gpio_holdover *331:A 8.54281e-05 -6 pad_gpio_holdover *334:A_N 2.49909e-05 -7 pad_gpio_holdover *362:SET_B 8.39238e-05 -8 pad_gpio_holdover *26:10 5.7509e-05 -9 pad_gpio_holdover *113:22 0.000450943 -10 pad_gpio_ana_pol pad_gpio_holdover 0.000111108 -11 pad_gpio_dm[0] pad_gpio_holdover 0.000132642 -12 pad_gpio_dm[2] pad_gpio_holdover 0.000185052 -*RES -1 *421:X pad_gpio_holdover 42.3425 -*END - -*D_NET *25 0.00562744 -*CONN -*P pad_gpio_ib_mode_sel O -*I *422:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_ib_mode_sel 0.0021083 -2 *422:X 0.0020809 -3 *25:16 2.74077e-05 -4 pad_gpio_ib_mode_sel pad_gpio_inenb 0.000273361 -5 pad_gpio_ib_mode_sel *423:A 4.77807e-05 -6 pad_gpio_ib_mode_sel *26:10 0 -7 pad_gpio_ib_mode_sel *39:10 0.000516744 -8 pad_gpio_dm[1] pad_gpio_ib_mode_sel 0.000275064 -9 pad_gpio_holdover pad_gpio_ib_mode_sel 0.000297881 -*RES -1 *422:X pad_gpio_ib_mode_sel 41.8325 -2 pad_gpio_ib_mode_sel *25:16 0.0481333 -*END - -*D_NET *26 0.00862001 -*CONN -*P pad_gpio_in I -*I *414:A I *D sky130_fd_sc_hd__buf_2 -*I *210:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 pad_gpio_in 0.00223416 -2 *414:A 1.40411e-05 -3 *210:DIODE 0.0008232 -4 *26:28 2.76602e-05 -5 *26:10 0.00304374 -6 *210:DIODE *175:DIODE 0.000527785 -7 *210:DIODE *99:8 6.04361e-05 -8 *210:DIODE *120:8 2.87133e-05 -9 *210:DIODE *159:43 0.000478641 -10 *414:A *99:8 2.3228e-05 -11 *26:10 *362:RESET_B 1.19928e-05 -12 pad_gpio_ana_sel *210:DIODE 0.000201533 -13 pad_gpio_dm[2] *210:DIODE 4.89395e-05 -14 pad_gpio_dm[2] *26:10 0.000755626 -15 pad_gpio_holdover *26:10 5.7509e-05 -16 pad_gpio_ib_mode_sel *26:10 0 -17 *333:B *26:10 0.000155608 -18 *334:B *414:A 2.21606e-05 -19 *4:44 *210:DIODE 2.85623e-05 -20 *4:44 *414:A 2.98644e-05 -21 *4:44 *26:10 4.66108e-05 -*RES -1 pad_gpio_in *26:10 45.5325 -2 *26:10 *210:DIODE 19.92 -3 *26:10 *414:A 4.215 -4 pad_gpio_in *26:28 0.0481333 -*END - -*D_NET *27 0.00323293 -*CONN -*P pad_gpio_inenb O -*I *423:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_inenb 0.00119005 -2 *423:X 0.00119005 -3 pad_gpio_inenb pad_gpio_out 0.000307792 -4 pad_gpio_inenb pad_gpio_slow_sel 0 -5 pad_gpio_inenb *362:SET_B 0 -6 pad_gpio_inenb *39:10 0.000271685 -7 pad_gpio_ib_mode_sel pad_gpio_inenb 0.000273361 -*RES -1 *423:X pad_gpio_inenb 16.9032 -*END - -*D_NET *28 0.00297779 -*CONN -*P pad_gpio_out O -*I *424:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_out 0.00128933 -2 *424:X 0.00128933 -3 pad_gpio_out pad_gpio_slow_sel 0 -4 pad_gpio_out *29:9 0 -5 pad_gpio_out *120:22 9.13235e-05 -6 pad_gpio_inenb pad_gpio_out 0.000307792 -*RES -1 *424:X pad_gpio_out 21.9612 -*END - -*D_NET *29 0.00674476 -*CONN -*P pad_gpio_outenb O -*I *425:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_outenb 0.00032501 -2 *425:X 0.00208891 -3 *29:14 2.41699e-05 -4 *29:9 0.00238975 -5 *29:9 *310:C 2.16392e-05 -6 *29:9 *329:A 4.01811e-05 -7 *29:9 *360:RESET_B 9.47267e-06 -8 *29:9 *419:A 0.00037001 -9 *29:9 *39:19 0.00120757 -10 *29:9 *77:7 4.41506e-05 -11 pad_gpio_out *29:9 0 -12 *191:DIODE *29:9 6.57085e-05 -13 *329:B *29:9 0.00015819 -*RES -1 *425:X *29:9 46.845 -2 *29:9 pad_gpio_outenb 5.8325 -3 pad_gpio_outenb *29:14 0.0481333 -*END - -*D_NET *30 0.00727704 -*CONN -*P pad_gpio_slow_sel O -*I *426:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_slow_sel 0.00167223 -2 *426:X 0.00167223 -3 pad_gpio_slow_sel pad_gpio_vtrip_sel 0.0010263 -4 pad_gpio_slow_sel serial_clock_out 5.76138e-05 -5 pad_gpio_slow_sel *174:DIODE 0.000836452 -6 pad_gpio_slow_sel *326:A_N 5.57168e-05 -7 pad_gpio_slow_sel *400:A 1.79536e-05 -8 pad_gpio_slow_sel *418:A 0.000285264 -9 pad_gpio_slow_sel *426:A 0.000269834 -10 pad_gpio_slow_sel *432:A 0.000455348 -11 pad_gpio_slow_sel *41:15 0.000351738 -12 pad_gpio_inenb pad_gpio_slow_sel 0 -13 pad_gpio_out pad_gpio_slow_sel 0 -14 *2:22 pad_gpio_slow_sel 0.00035054 -15 *11:27 pad_gpio_slow_sel 1.18585e-05 -16 *13:31 pad_gpio_slow_sel 0.000213968 -*RES -1 *426:X pad_gpio_slow_sel 17.1437 -*END - -*D_NET *31 0.010046 -*CONN -*P pad_gpio_vtrip_sel O -*I *427:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_vtrip_sel 0.00203989 -2 *427:X 0.00203989 -3 pad_gpio_vtrip_sel *379:RESET_B 1.80213e-05 -4 pad_gpio_vtrip_sel *426:A 0.000131729 -5 pad_gpio_vtrip_sel *32:12 0.000859678 -6 pad_gpio_slow_sel pad_gpio_vtrip_sel 0.0010263 -7 *183:DIODE pad_gpio_vtrip_sel 9.19197e-05 -8 *2:22 pad_gpio_vtrip_sel 2.64558e-06 -9 *5:28 pad_gpio_vtrip_sel 0.00242501 -10 *13:20 pad_gpio_vtrip_sel 0.00141093 -*RES -1 *427:X pad_gpio_vtrip_sel 11.6057 -*END - -*D_NET *32 0.00995127 -*CONN -*P resetn I -*I *415:A I *D sky130_fd_sc_hd__buf_2 -*I *211:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 resetn 0.00172537 -2 *415:A 6.91358e-05 -3 *211:DIODE 9.55187e-05 -4 *32:12 0.00189003 -5 *211:DIODE *434:A 0.000255609 -6 *415:A *397:A 0.000155601 -7 *415:A *39:10 8.53224e-05 -8 *32:12 resetn_out 0.00060356 -9 *32:12 *365:SET_B 0.000487495 -10 *32:12 *377:D 0.000623754 -11 *32:12 *432:A 6.78559e-06 -12 *32:12 *434:A 0.00015635 -13 *32:12 *123:34 0.000241575 -14 *32:12 *148:9 0.000305316 -15 pad_gpio_vtrip_sel *32:12 0.000859678 -16 *178:DIODE *32:12 0.000199873 -17 *326:B *32:12 0.000356777 -18 *4:17 *211:DIODE 0.000255609 -19 *4:17 *32:12 0.000776354 -20 *5:28 *32:12 5.5587e-05 -21 *11:19 *32:12 8.75115e-05 -22 *11:21 *32:12 0.000306405 -23 *11:27 *32:12 0.000352055 -*RES -1 resetn *32:12 21.9235 -2 *32:12 *211:DIODE 6.765 -3 *32:12 *415:A 5.445 -*END - -*D_NET *33 0.0104568 -*CONN -*P resetn_out O -*I *428:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 resetn_out 0.00210446 -2 *428:X 0.00210446 -3 resetn_out *346:A 4.61701e-06 -4 resetn_out *379:RESET_B 7.42989e-06 -5 resetn_out *34:11 0.00369224 -6 resetn_out *94:64 4.77757e-05 -7 *326:B resetn_out 0.000185841 -8 *6:27 resetn_out 0.00132837 -9 *6:41 resetn_out 0.000307894 -10 *8:19 resetn_out 7.0157e-05 -11 *32:12 resetn_out 0.00060356 -*RES -1 *428:X resetn_out 12.2457 -*END - -*D_NET *34 0.0138224 -*CONN -*P serial_clock I -*I *382:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *203:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 serial_clock 0.00203762 -2 *382:A 0 -3 *203:DIODE 0.00025904 -4 *34:11 0.00229666 -5 *203:DIODE *323:A 0.000287193 -6 *203:DIODE *358:CLK_N 9.1464e-05 -7 *203:DIODE *358:RESET_B 2.49909e-05 -8 *203:DIODE *385:A 0.000197379 -9 *203:DIODE *92:7 2.49909e-05 -10 *203:DIODE *93:8 0.000123696 -11 *203:DIODE *116:35 1.0618e-05 -12 *34:11 serial_clock_out 0.00087791 -13 *34:11 *354:CLK_N 0.000871478 -14 *34:11 *357:CLK_N 4.26747e-05 -15 *34:11 *358:RESET_B 0.000123642 -16 *34:11 *377:RESET_B 0.000469576 -17 *34:11 *383:A 0.000204246 -18 *34:11 *92:7 3.83194e-05 -19 *34:11 *94:26 0.000132961 -20 *34:11 *94:37 0.000239477 -21 *34:11 *94:60 0.000221185 -22 *34:11 *116:76 0.000124887 -23 *34:11 *119:102 0.000453794 -24 resetn_out *34:11 0.00369224 -25 *326:B *34:11 5.15265e-05 -26 *328:B *34:11 0.000128915 -27 *10:21 *203:DIODE 0.000320573 -28 *10:26 *203:DIODE 0.000475317 -*RES -1 serial_clock *34:11 21.7617 -2 *34:11 *203:DIODE 15.59 -3 *34:11 *382:A 1.6 -*END - -*D_NET *35 0.0119279 -*CONN -*P serial_clock_out O -*I *435:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 serial_clock_out 0.00240707 -2 *435:X 0.00240707 -3 serial_clock_out serial_data_out 0 -4 serial_clock_out *174:DIODE 0.000119873 -5 serial_clock_out *345:A 0.000123955 -6 serial_clock_out *351:A 0.000122184 -7 serial_clock_out *353:CLK_N 0.00193315 -8 serial_clock_out *363:CLK_N 5.06989e-05 -9 serial_clock_out *365:CLK_N 2.88357e-05 -10 serial_clock_out *400:A 0.00047385 -11 serial_clock_out *418:A 0.000766286 -12 serial_clock_out *36:11 0.000733777 -13 serial_clock_out *95:9 4.77719e-05 -14 serial_clock_out *95:29 0.000196073 -15 serial_clock_out *115:15 4.1952e-05 -16 serial_clock_out *116:76 9.50291e-05 -17 serial_clock_out *119:16 2.14995e-05 -18 serial_clock_out *119:86 0.000209358 -19 serial_clock_out *119:102 0.000116351 -20 serial_clock_out *145:25 0.00030945 -21 pad_gpio_dm[1] serial_clock_out 0.000101135 -22 pad_gpio_slow_sel serial_clock_out 5.76138e-05 -23 *182:DIODE serial_clock_out 7.63402e-05 -24 *328:B serial_clock_out 0.000256477 -25 *1:16 serial_clock_out 6.40452e-05 -26 *2:22 serial_clock_out 0.00029015 -27 *34:11 serial_clock_out 0.00087791 -*RES -1 *435:X serial_clock_out 39.8422 -*END - -*D_NET *36 0.0093723 -*CONN -*P serial_data_in I -*I *416:A I *D sky130_fd_sc_hd__buf_2 -*I *212:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 serial_data_in 0.000881381 -2 *416:A 0 -3 *212:DIODE 0.000857094 -4 *36:11 0.00173847 -5 *212:DIODE *312:A1 0.000412996 -6 *212:DIODE *417:A 0.000203178 -7 *212:DIODE *420:A 0.000874254 -8 *212:DIODE *120:8 5.16891e-05 -9 *36:11 serial_data_out 0.000733253 -10 *36:11 *307:A 0.000250587 -11 *36:11 *312:A1 0.0016443 -12 *36:11 *356:CLK_N 0.000455707 -13 *36:11 *420:A 5.49252e-05 -14 *36:11 *424:A 0.000329461 -15 *36:11 *102:8 8.92211e-05 -16 serial_clock_out *36:11 0.000733777 -17 *209:DIODE *212:DIODE 6.19997e-05 -*RES -1 serial_data_in *36:11 25.0578 -2 *36:11 *212:DIODE 24.41 -3 *36:11 *416:A 1.6 -*END - -*D_NET *37 0.00752837 -*CONN -*P serial_data_out O -*I *429:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 serial_data_out 0.00183109 -2 *429:X 0.00183109 -3 serial_data_out *366:RESET_B 7.79634e-05 -4 serial_data_out *38:8 0.00135433 -5 serial_clock_out serial_data_out 0 -6 *1:16 serial_data_out 0.00125048 -7 *2:22 serial_data_out 0.000450161 -8 *11:19 serial_data_out 0 -9 *13:13 serial_data_out 0 -10 *36:11 serial_data_out 0.000733253 -*RES -1 *429:X serial_data_out 15.1544 -*END - -*D_NET *38 0.0113011 -*CONN -*P serial_load I -*I *204:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *383:A I *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 serial_load 0.00248001 -2 *204:DIODE 0.000933911 -3 *383:A 0.000263535 -4 *38:8 0.00367745 -5 *204:DIODE *357:CLK_N 6.61627e-05 -6 *204:DIODE *357:D 5.83121e-06 -7 *204:DIODE *369:RESET_B 2.901e-05 -8 *204:DIODE *409:A 6.16893e-06 -9 *383:A *354:CLK_N 0.000132353 -10 *383:A *357:CLK_N 0.000463813 -11 *383:A *359:RESET_B 6.32176e-05 -12 *383:A *427:A 0.000106344 -13 *383:A *93:8 5.83121e-06 -14 *38:8 user_gpio_in 0 -15 *38:8 *354:CLK_N 0.000125679 -16 *38:8 *357:CLK_N 4.21484e-05 -17 *38:8 *366:RESET_B 0.000607801 -18 *38:8 *379:CLK 0.000100121 -19 *38:8 *144:9 0.000404739 -20 serial_data_out *38:8 0.00135433 -21 *1:16 *38:8 1.3923e-05 -22 *13:13 *38:8 0.000214479 -23 *34:11 *383:A 0.000204246 -*RES -1 serial_load *38:8 9.99497 -2 *38:8 *383:A 11.145 -3 *38:8 *204:DIODE 13.365 -*END - -*D_NET *39 0.0109338 -*CONN -*P serial_load_out O -*I *436:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 serial_load_out 0.00061997 -2 *436:X 0.00158626 -3 *39:24 2.41699e-05 -4 *39:19 0.00249225 -5 *39:10 0.00348271 -6 *39:10 *323:A 2.86792e-05 -7 *39:10 *357:RESET_B 0.000196045 -8 *39:10 *397:A 0.000243923 -9 *39:10 *423:A 8.35157e-05 -10 pad_gpio_ib_mode_sel *39:10 0.000516744 -11 pad_gpio_inenb *39:10 0.000271685 -12 *176:DIODE *39:10 4.60123e-05 -13 *323:B *39:10 4.89295e-05 -14 *415:A *39:10 8.53224e-05 -15 *29:9 *39:19 0.00120757 -*RES -1 *436:X *39:10 34.61 -2 *39:10 *39:19 46.545 -3 *39:19 serial_load_out 11.1875 -4 serial_load_out *39:24 0.0481333 -*END - -*D_NET *40 0.00879286 -*CONN -*P user_gpio_in O -*I *430:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 user_gpio_in 0.00399616 -2 *430:X 0.00399616 -3 user_gpio_in *346:A 0 -4 user_gpio_in *369:D 0.000128605 -5 user_gpio_in *42:8 0.00024276 -6 user_gpio_in *144:9 0.000429172 -7 *38:8 user_gpio_in 0 -*RES -1 *430:X user_gpio_in 12.0811 -*END - -*D_NET *41 0.0139502 -*CONN -*P user_gpio_oeb I -*I *174:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *308:A0 I *D sky130_fd_sc_hd__mux2_4 -*CAP -1 user_gpio_oeb 0.000985178 -2 *174:DIODE 0.00112895 -3 *308:A0 0 -4 *41:15 0.0035686 -5 *41:10 0.00342483 -6 *174:DIODE *175:DIODE 0.000808556 -7 *174:DIODE *308:S 1.54111e-05 -8 *174:DIODE *326:A_N 0.00069388 -9 *174:DIODE *349:A 2.69217e-05 -10 *174:DIODE *353:CLK_N 2.9811e-05 -11 *174:DIODE *356:CLK_N 0.000122513 -12 *174:DIODE *362:CLK_N 5.05296e-05 -13 *174:DIODE *362:RESET_B 1.88602e-05 -14 *174:DIODE *365:RESET_B 0.000132827 -15 *174:DIODE *376:D 1.92979e-05 -16 *174:DIODE *411:A 1.08758e-05 -17 *174:DIODE *42:31 0.000438577 -18 *174:DIODE *118:48 1.05827e-05 -19 *174:DIODE *118:58 0.000258828 -20 *174:DIODE *119:16 0.000489967 -21 *174:DIODE *119:86 1.79536e-05 -22 *174:DIODE *159:43 2.49909e-05 -23 *41:15 *356:CLK_N 5.94099e-05 -24 pad_gpio_slow_sel *174:DIODE 0.000836452 -25 pad_gpio_slow_sel *41:15 0.000351738 -26 serial_clock_out *174:DIODE 0.000119873 -27 *201:DIODE *174:DIODE 0.000304826 -*RES -1 user_gpio_oeb *41:10 19.8656 -2 *41:10 *41:15 41.625 -3 *41:15 *308:A0 1.6 -4 *41:15 *174:DIODE 29.6531 -*END - -*D_NET *42 0.0133426 -*CONN -*P user_gpio_out I -*I *309:B I *D sky130_fd_sc_hd__nand2b_2 -*I *175:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 user_gpio_out 0.00110765 -2 *309:B 0 -3 *175:DIODE 0.000830488 -4 *42:31 0.00254078 -5 *42:8 0.00281794 -6 *175:DIODE *362:CLK_N 0.000784592 -7 *175:DIODE *397:A 0 -8 *175:DIODE *119:16 8.71039e-05 -9 *175:DIODE *159:43 4.83441e-05 -10 *42:8 *312:A1 0.000190536 -11 *42:8 *313:A2 0 -12 *42:8 *102:8 7.97702e-05 -13 *42:31 *312:A1 6.01798e-05 -14 *42:31 *315:A 1.69428e-05 -15 *42:31 *317:A 0.00015505 -16 *42:31 *318:A_N 0.000205678 -17 *42:31 *353:CLK_N 0.000700734 -18 *42:31 *353:RESET_B 0.000301511 -19 *42:31 *361:D 4.41506e-05 -20 *42:31 *362:CLK_N 3.90201e-05 -21 *42:31 *365:RESET_B 9.4926e-05 -22 *42:31 *423:A 2.20258e-05 -23 *42:31 *102:8 6.01798e-05 -24 *42:31 *118:45 8.59699e-05 -25 *42:31 *118:48 0.000157836 -26 *42:31 *149:10 0.000108233 -27 user_gpio_in *42:8 0.00024276 -28 *174:DIODE *175:DIODE 0.000808556 -29 *174:DIODE *42:31 0.000438577 -30 *178:DIODE *42:31 0.000130977 -31 *210:DIODE *175:DIODE 0.000527785 -32 *315:B *42:31 0.000216933 -33 *318:B *42:31 0.000437343 -*RES -1 user_gpio_out *42:8 9.6611 -2 *42:8 *42:31 39.725 -3 *42:31 *175:DIODE 22.155 -4 *42:8 *309:B 3.6 -*END - -*D_NET *47 0.00655405 -*CONN -*P zero O -*I *438:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 zero 0.0021279 -2 *438:X 0.0021279 -3 zero *417:A 0.000195974 -4 zero *122:15 0.00021811 -5 mgmt_gpio_in zero 0.00178202 -6 one zero 1.13474e-05 -7 *188:DIODE zero 9.08126e-05 -*RES -1 *438:X zero 18.0494 -*END - -*D_NET *48 0.0016468 -*CONN -*I *311:A_N I *D sky130_fd_sc_hd__and2b_2 -*I *360:Q_N O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *311:A_N 0.000175887 -2 *360:Q_N 0.000175887 -3 *311:A_N *307:B 1.89469e-05 -4 *311:A_N *311:B 0.00032623 -5 *311:A_N *312:A2 0.000282039 -6 *311:A_N *313:A1 0.000449424 -7 *311:A_N *418:A 9.23635e-05 -8 *311:A_N *99:8 1.28996e-05 -9 *5:40 *311:A_N 0.000113124 -*RES -1 *360:Q_N *311:A_N 14.445 -*END - -*D_NET *49 0.00185864 -*CONN -*I *353:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *315:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *353:RESET_B 0.000302687 -2 *315:X 0.000302687 -3 *353:RESET_B *312:A2 6.7548e-05 -4 *353:RESET_B *315:A 4.12775e-05 -5 *353:RESET_B *362:RESET_B 6.57889e-05 -6 *353:RESET_B *407:A 0.0002072 -7 *353:RESET_B *408:A 0.00010947 -8 *353:RESET_B *98:7 0.000303705 -9 *315:B *353:RESET_B 0.000156767 -10 *42:31 *353:RESET_B 0.000301511 -*RES -1 *315:X *353:RESET_B 16.635 -*END - -*D_NET *50 0.00417171 -*CONN -*I *353:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *316:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *353:SET_B 0.00103762 -2 *316:Y 0.00103762 -3 *353:SET_B *355:D 5.128e-05 -4 *353:SET_B *355:RESET_B 0 -5 *353:SET_B *355:SET_B 8.65559e-05 -6 *353:SET_B *362:CLK_N 0.00180354 -7 *353:SET_B *423:A 0 -8 *353:SET_B *119:33 4.66108e-05 -9 *353:SET_B *145:25 9.58678e-06 -10 *178:DIODE *353:SET_B 6.60907e-06 -11 *316:B *353:SET_B 4.77807e-05 -12 *321:B *353:SET_B 4.4495e-05 -*RES -1 *316:Y *353:SET_B 28.34 -*END - -*D_NET *51 0.00265538 -*CONN -*I *354:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *317:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *354:RESET_B 0.000503457 -2 *317:X 0.000503457 -3 *354:RESET_B *328:A_N 0.000252555 -4 *354:RESET_B *354:SET_B 0.000303266 -5 *354:RESET_B *359:RESET_B 0.000209345 -6 *354:RESET_B *379:D 7.02285e-05 -7 *354:RESET_B *399:A 0.000198198 -8 *354:RESET_B *406:A 8.52868e-05 -9 *354:RESET_B *407:A 1.63566e-05 -10 *354:RESET_B *421:A 3.2955e-05 -11 *354:RESET_B *427:A 8.35106e-05 -12 *354:RESET_B *118:34 0.000209276 -13 *354:RESET_B *123:34 0.000155316 -14 *354:RESET_B *145:25 2.01867e-05 -15 *4:17 *354:RESET_B 1.19892e-05 -*RES -1 *317:X *354:RESET_B 19.89 -*END - -*D_NET *52 0.00163721 -*CONN -*I *354:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *318:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *354:SET_B 0.000432074 -2 *318:Y 0.000432074 -3 *354:SET_B *318:A_N 4.77769e-05 -4 *354:SET_B *379:D 0.000169214 -5 *354:SET_B *399:A 2.10214e-05 -6 *354:RESET_B *354:SET_B 0.000303266 -7 *3:23 *354:SET_B 0.000231787 -*RES -1 *318:Y *354:SET_B 9.9325 -*END - -*D_NET *53 0.0063306 -*CONN -*I *355:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *319:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *355:RESET_B 0.002023 -2 *319:X 0.002023 -3 *355:RESET_B *315:A 1.97486e-05 -4 *355:RESET_B *322:A_N 0.000120789 -5 *355:RESET_B *356:RESET_B 0.000338688 -6 *355:RESET_B *360:D 5.93673e-05 -7 *355:RESET_B *361:SET_B 2.12284e-05 -8 *355:RESET_B *362:CLK_N 0 -9 *355:RESET_B *362:D 0.000136127 -10 *355:RESET_B *378:CLK 0.000216539 -11 *355:RESET_B *378:D 1.34618e-05 -12 *355:RESET_B *378:RESET_B 0.000202988 -13 *355:RESET_B *379:D 0.000135117 -14 *355:RESET_B *392:A 2.4274e-05 -15 *355:RESET_B *423:A 0.000391145 -16 *355:RESET_B *430:A 0.000344373 -17 *355:RESET_B *115:15 3.59266e-06 -18 *355:RESET_B *119:102 0.000110468 -19 *322:B *355:RESET_B 0.000122714 -20 *353:SET_B *355:RESET_B 0 -21 *11:19 *355:RESET_B 2.39856e-05 -*RES -1 *319:X *355:RESET_B 40.11 -*END - -*D_NET *54 0.00202016 -*CONN -*I *355:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *320:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *355:SET_B 0.000547124 -2 *320:Y 0.000547124 -3 *355:SET_B *355:D 0.000150004 -4 *355:SET_B *359:RESET_B 8.41307e-05 -5 *355:SET_B *375:CLK 0.000121019 -6 *355:SET_B *375:D 1.82499e-06 -7 *355:SET_B *375:RESET_B 0.000327052 -8 *355:SET_B *118:34 4.14549e-05 -9 *320:B *355:SET_B 2.44112e-05 -10 *353:SET_B *355:SET_B 8.65559e-05 -11 *4:17 *355:SET_B 8.9454e-05 -*RES -1 *320:Y *355:SET_B 17.925 -*END - -*D_NET *55 0.00380429 -*CONN -*I *356:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *321:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *356:RESET_B 0.000534005 -2 *321:X 0.000534005 -3 *356:RESET_B *332:A_N 1.14192e-05 -4 *356:RESET_B *360:D 5.44575e-05 -5 *356:RESET_B *361:SET_B 0.00132104 -6 *356:RESET_B *426:A 0.000755386 -7 *356:RESET_B *433:A 6.90472e-05 -8 *356:RESET_B *119:33 4.89395e-05 -9 *355:RESET_B *356:RESET_B 0.000338688 -10 *1:27 *356:RESET_B 0.000137307 -*RES -1 *321:X *356:RESET_B 23.82 -*END - -*D_NET *56 0.00131122 -*CONN -*I *356:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *322:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *356:SET_B 0.00026208 -2 *322:Y 0.00026208 -3 *356:SET_B *313:A2 0.000362773 -4 *356:SET_B *313:B1 0.000364762 -5 *356:SET_B *356:D 5.95295e-05 -*RES -1 *322:Y *356:SET_B 11.9375 -*END - -*D_NET *57 0.00527102 -*CONN -*I *357:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *323:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *357:RESET_B 0.00101958 -2 *323:X 0.00101958 -3 *357:RESET_B *358:RESET_B 0.000300589 -4 *357:RESET_B *361:CLK_N 0.000109175 -5 *357:RESET_B *363:RESET_B 0.00026579 -6 *357:RESET_B *363:SET_B 0.000883918 -7 *357:RESET_B *364:SET_B 0.000201237 -8 *357:RESET_B *407:A 0.000122801 -9 *357:RESET_B *409:A 3.83194e-05 -10 *357:RESET_B *427:A 0 -11 *357:RESET_B *113:22 0.000163298 -12 *320:B *357:RESET_B 0.000172623 -13 *323:B *357:RESET_B 6.21022e-05 -14 *2:22 *357:RESET_B 0 -15 *7:28 *357:RESET_B 1.14192e-05 -16 *11:19 *357:RESET_B 0.000449958 -17 *12:11 *357:RESET_B 0.000254589 -18 *39:10 *357:RESET_B 0.000196045 -*RES -1 *323:X *357:RESET_B 34.56 -*END - -*D_NET *58 0.00431658 -*CONN -*I *357:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *324:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *357:SET_B 0.000693575 -2 *324:Y 0.000693575 -3 *357:SET_B *354:CLK_N 0.000227651 -4 *357:SET_B *357:D 0.000214306 -5 *357:SET_B *359:CLK_N 0.000197456 -6 *357:SET_B *361:CLK_N 0.000143723 -7 *357:SET_B *364:D 0.000344791 -8 *357:SET_B *370:D 0.000276882 -9 *357:SET_B *151:6 0.000424725 -10 *180:DIODE *357:SET_B 0.000770143 -11 *326:B *357:SET_B 0.000296305 -12 *7:14 *357:SET_B 1.33205e-05 -13 *7:28 *357:SET_B 2.01259e-05 -*RES -1 *324:Y *357:SET_B 27.245 -*END - -*D_NET *59 0.00385329 -*CONN -*I *358:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *325:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *358:RESET_B 0.00107694 -2 *325:X 0.00107694 -3 *358:RESET_B *342:A 2.39856e-05 -4 *358:RESET_B *355:CLK_N 4.26643e-05 -5 *358:RESET_B *358:SET_B 0.000155759 -6 *358:RESET_B *363:SET_B 0.000181006 -7 *358:RESET_B *92:7 9.94518e-05 -8 *358:RESET_B *114:34 0.000193028 -9 *358:RESET_B *143:18 0.000248327 -10 *203:DIODE *358:RESET_B 2.49909e-05 -11 *320:B *358:RESET_B 9.10058e-05 -12 *357:RESET_B *358:RESET_B 0.000300589 -13 *12:11 *358:RESET_B 0.000214954 -14 *34:11 *358:RESET_B 0.000123642 -*RES -1 *325:X *358:RESET_B 27.735 -*END - -*D_NET *60 0.00474019 -*CONN -*I *358:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *326:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *358:SET_B 0.00122823 -2 *326:Y 0.00122823 -3 *358:SET_B *355:CLK_N 0.000697751 -4 *358:SET_B *355:D 0.000123642 -5 *358:SET_B *375:D 8.247e-05 -6 *358:SET_B *400:A 0.000188103 -7 *358:SET_B *404:A 5.74791e-05 -8 *358:SET_B *115:15 0.000294007 -9 *358:SET_B *115:19 0.000121023 -10 *178:DIODE *358:SET_B 0.000300333 -11 *320:B *358:SET_B 9.02911e-05 -12 *322:B *358:SET_B 1.08105e-05 -13 *326:B *358:SET_B 0.000162067 -14 *358:RESET_B *358:SET_B 0.000155759 -*RES -1 *326:Y *358:SET_B 25.7525 -*END - -*D_NET *61 0.00473382 -*CONN -*I *359:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *327:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *359:RESET_B 0.00155906 -2 *327:X 0.00155906 -3 *359:RESET_B *370:D 0.000274169 -4 *359:RESET_B *370:RESET_B 2.45737e-05 -5 *359:RESET_B *375:CLK 8.04773e-05 -6 *359:RESET_B *406:A 0.00012845 -7 *359:RESET_B *427:A 9.35092e-06 -8 *359:RESET_B *93:8 0.000523639 -9 *359:RESET_B *118:34 2.68568e-05 -10 *354:RESET_B *359:RESET_B 0.000209345 -11 *355:SET_B *359:RESET_B 8.41307e-05 -12 *383:A *359:RESET_B 6.32176e-05 -13 *4:17 *359:RESET_B 6.5741e-05 -14 *5:28 *359:RESET_B 0.000125746 -*RES -1 *327:X *359:RESET_B 27.975 -*END - -*D_NET *62 0.00329235 -*CONN -*I *359:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *328:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *359:SET_B 0.000777911 -2 *328:Y 0.000777911 -3 *359:SET_B *307:A 8.34281e-06 -4 *359:SET_B *328:A_N 0.00103492 -5 *359:SET_B *347:A 4.77757e-05 -6 *359:SET_B *118:34 7.69078e-05 -7 *359:SET_B *140:8 8.41384e-05 -8 *359:SET_B *146:7 0.000437835 -9 *328:B *359:SET_B 4.66108e-05 -*RES -1 *328:Y *359:SET_B 16.4875 -*END - -*D_NET *63 0.00104485 -*CONN -*I *360:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *329:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *360:RESET_B 0.000120519 -2 *329:X 0.000120519 -3 *360:RESET_B *312:A2 0.000327357 -4 *360:RESET_B *329:A 1.14169e-05 -5 *360:RESET_B *361:RESET_B 0.000326604 -6 *360:RESET_B *77:7 4.66108e-05 -7 *191:DIODE *360:RESET_B 8.23457e-05 -8 *29:9 *360:RESET_B 9.47267e-06 -*RES -1 *329:X *360:RESET_B 11.925 -*END - -*D_NET *64 0.000577127 -*CONN -*I *360:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *330:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *360:SET_B 0.000107965 -2 *330:Y 0.000107965 -3 *360:SET_B *360:CLK_N 9.60647e-05 -4 *360:SET_B *361:SET_B 2.14287e-05 -5 *360:SET_B *425:A 0.000158515 -6 *192:DIODE *360:SET_B 8.51875e-05 -*RES -1 *330:Y *360:SET_B 8.285 -*END - -*D_NET *65 0.0048289 -*CONN -*I *361:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *331:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *361:RESET_B 0.000957635 -2 *331:X 0.000957635 -3 *361:RESET_B *311:B 0.000209935 -4 *361:RESET_B *312:A2 0.000327809 -5 *361:RESET_B *314:A 5.98575e-05 -6 *361:RESET_B *326:A_N 0.000364091 -7 *361:RESET_B *329:A 0.000153333 -8 *361:RESET_B *404:A 0.000320656 -9 *361:RESET_B *426:A 5.8069e-05 -10 *361:RESET_B *99:8 0 -11 *361:RESET_B *148:9 5.75488e-05 -12 *326:B *361:RESET_B 1.92979e-05 -13 *360:RESET_B *361:RESET_B 0.000326604 -14 *1:27 *361:RESET_B 6.46317e-05 -15 *3:23 *361:RESET_B 0.000951799 -*RES -1 *331:X *361:RESET_B 32.085 -*END - -*D_NET *66 0.00476213 -*CONN -*I *361:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *332:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *361:SET_B 0.000679204 -2 *332:Y 0.000679204 -3 *361:SET_B *332:A_N 2.42043e-05 -4 *361:SET_B *347:A 0.000761307 -5 *361:SET_B *360:CLK_N 6.19267e-05 -6 *361:SET_B *378:RESET_B 0.000104767 -7 *361:SET_B *408:A 0.000175578 -8 *361:SET_B *419:A 4.56429e-06 -9 *361:SET_B *425:A 3.27181e-06 -10 *361:SET_B *433:A 1.18673e-05 -11 *361:SET_B *119:38 9.12494e-06 -12 *361:SET_B *119:102 0.000211551 -13 *361:SET_B *143:18 1.91597e-05 -14 *177:DIODE *361:SET_B 1.97429e-05 -15 *316:B *361:SET_B 8.35118e-05 -16 *329:B *361:SET_B 7.56066e-05 -17 *355:RESET_B *361:SET_B 2.12284e-05 -18 *356:RESET_B *361:SET_B 0.00132104 -19 *360:SET_B *361:SET_B 2.14287e-05 -20 *1:27 *361:SET_B 0.000222022 -21 *1:38 *361:SET_B 1.72184e-05 -22 *2:33 *361:SET_B 0.000123696 -23 *3:29 *361:SET_B 0.000110908 -*RES -1 *332:Y *361:SET_B 27.755 -*END - -*D_NET *67 0.00639709 -*CONN -*I *362:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *333:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *362:RESET_B 0.00122023 -2 *333:X 0.00122023 -3 *362:RESET_B *307:B 0.000304826 -4 *362:RESET_B *308:S 0.00101361 -5 *362:RESET_B *312:A2 0 -6 *362:RESET_B *312:B1 0.000193481 -7 *362:RESET_B *313:A2 6.57093e-05 -8 *362:RESET_B *333:A 0.000118099 -9 *362:RESET_B *376:D 0.000110179 -10 *362:RESET_B *411:A 0.00031902 -11 *362:RESET_B *99:8 0.00102201 -12 *362:RESET_B *101:16 0.000501885 -13 *362:RESET_B *120:8 0 -14 *362:RESET_B *120:22 0 -15 *362:RESET_B *140:8 6.6449e-05 -16 *174:DIODE *362:RESET_B 1.88602e-05 -17 *333:B *362:RESET_B 5.83121e-06 -18 *334:B *362:RESET_B 0.000128076 -19 *353:RESET_B *362:RESET_B 6.57889e-05 -20 *4:44 *362:RESET_B 1.08036e-05 -21 *26:10 *362:RESET_B 1.19928e-05 -*RES -1 *333:X *362:RESET_B 40.485 -*END - -*D_NET *68 0.00610788 -*CONN -*I *362:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *334:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *362:SET_B 0.00174197 -2 *334:Y 0.00174197 -3 *362:SET_B *312:A1 0.00247414 -4 *362:SET_B *334:A_N 4.66108e-05 -5 *362:SET_B *102:8 1.92623e-05 -6 pad_gpio_holdover *362:SET_B 8.39238e-05 -7 pad_gpio_inenb *362:SET_B 0 -*RES -1 *334:Y *362:SET_B 41.6675 -*END - -*D_NET *69 0.00367695 -*CONN -*I *363:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *335:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *363:RESET_B 0.00136348 -2 *335:X 0.00136348 -3 *363:RESET_B *373:CLK 1.77665e-05 -4 *363:RESET_B *373:D 4.66108e-05 -5 *363:RESET_B *114:7 8.09069e-05 -6 *363:RESET_B *115:31 0.000143366 -7 *363:RESET_B *115:35 5.05783e-05 -8 *363:RESET_B *159:7 0.000344964 -9 *357:RESET_B *363:RESET_B 0.00026579 -*RES -1 *335:X *363:RESET_B 27.18 -*END - -*D_NET *70 0.0042479 -*CONN -*I *363:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *336:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *363:SET_B 0.000584789 -2 *336:Y 0.000584789 -3 *363:SET_B *345:A 5.04838e-05 -4 *363:SET_B *364:SET_B 1.79165e-05 -5 *363:SET_B *113:22 0.000882486 -6 *363:SET_B *119:16 0.000302672 -7 *363:SET_B *143:18 2.47182e-05 -8 *180:DIODE *363:SET_B 0.000213455 -9 *357:RESET_B *363:SET_B 0.000883918 -10 *358:RESET_B *363:SET_B 0.000181006 -11 *7:35 *363:SET_B 0.000364894 -12 *9:38 *363:SET_B 0.000156769 -*RES -1 *336:Y *363:SET_B 25.955 -*END - -*D_NET *71 0.00200773 -*CONN -*I *364:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *337:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *364:RESET_B 0.000691806 -2 *337:X 0.000691806 -3 *364:RESET_B *364:CLK_N 2.97522e-05 -4 *364:RESET_B *379:RESET_B 0.000298795 -5 *364:RESET_B *385:A 2.49909e-05 -6 *364:RESET_B *421:A 0.00013475 -7 *364:RESET_B *143:18 6.61627e-05 -8 *182:DIODE *364:RESET_B 5.88634e-05 -9 *13:31 *364:RESET_B 1.08056e-05 -*RES -1 *337:X *364:RESET_B 18.36 -*END - -*D_NET *72 0.001729 -*CONN -*I *364:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *338:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *364:SET_B 0.00044383 -2 *338:Y 0.00044383 -3 *364:SET_B *314:A 0.00041165 -4 *364:SET_B *364:CLK_N 1.15487e-05 -5 *364:SET_B *143:18 4.20673e-05 -6 *357:RESET_B *364:SET_B 0.000201237 -7 *363:SET_B *364:SET_B 1.79165e-05 -8 *7:35 *364:SET_B 0.000156916 -*RES -1 *338:Y *364:SET_B 14.5925 -*END - -*D_NET *73 0.0010106 -*CONN -*I *365:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *339:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *365:RESET_B 0.00021237 -2 *339:X 0.00021237 -3 *365:RESET_B *362:CLK_N 0.000132827 -4 *174:DIODE *365:RESET_B 0.000132827 -5 *201:DIODE *365:RESET_B 0.000225285 -6 *42:31 *365:RESET_B 9.4926e-05 -*RES -1 *339:X *365:RESET_B 11.7 -*END - -*D_NET *74 0.0013117 -*CONN -*I *365:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *340:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *365:SET_B 0.000167543 -2 *340:Y 0.000167543 -3 *4:17 *365:SET_B 0.000489119 -4 *32:12 *365:SET_B 0.000487495 -*RES -1 *340:Y *365:SET_B 11.57 -*END - -*D_NET *75 0.000601224 -*CONN -*I *308:A1 I *D sky130_fd_sc_hd__mux2_4 -*I *307:X O *D sky130_fd_sc_hd__and2_0 -*CAP -1 *308:A1 0.000167024 -2 *307:X 0.000167024 -3 *308:A1 *307:A 8.73389e-06 -4 *308:A1 *307:B 2.99026e-05 -5 *308:A1 *313:A2 0.000113891 -6 *308:A1 *313:B1 0.000114649 -*RES -1 *307:X *308:A1 10.065 -*END - -*D_NET *76 0.00325822 -*CONN -*I *313:B1 I *D sky130_fd_sc_hd__o21ai_4 -*I *309:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *313:B1 0.000483244 -2 *309:Y 0.000483244 -3 *313:B1 *313:A2 0.00042143 -4 *313:B1 *102:8 0.00133287 -5 *308:A1 *313:B1 0.000114649 -6 *328:B *313:B1 5.8023e-05 -7 *356:SET_B *313:B1 0.000364762 -*RES -1 *309:Y *313:B1 23.37 -*END - -*D_NET *77 0.00598832 -*CONN -*I *312:A2 I *D sky130_fd_sc_hd__o21ai_2 -*I *311:B I *D sky130_fd_sc_hd__and2b_2 -*I *310:X O *D sky130_fd_sc_hd__and3b_2 -*CAP -1 *312:A2 0.00107031 -2 *311:B 0.000213267 -3 *310:X 3.13408e-05 -4 *77:7 0.00131492 -5 *311:B *313:A1 2.21957e-05 -6 *311:B *99:8 2.55418e-05 -7 *311:B *143:18 0.000158541 -8 *312:A2 *307:B 1.82571e-05 -9 *312:A2 *312:B1 0 -10 *312:A2 *326:A_N 0.000362738 -11 *312:A2 *378:RESET_B 0 -12 *312:A2 *405:A 0.000122821 -13 *312:A2 *408:A 0 -14 *312:A2 *101:16 0.000938236 -15 *312:A2 *144:9 4.13502e-05 -16 *191:DIODE *77:7 1.91597e-05 -17 *311:A_N *311:B 0.00032623 -18 *311:A_N *312:A2 0.000282039 -19 *326:B *312:A2 1.79536e-05 -20 *353:RESET_B *312:A2 6.7548e-05 -21 *360:RESET_B *312:A2 0.000327357 -22 *360:RESET_B *77:7 4.66108e-05 -23 *361:RESET_B *311:B 0.000209935 -24 *361:RESET_B *312:A2 0.000327809 -25 *362:RESET_B *312:A2 0 -26 *29:9 *77:7 4.41506e-05 -*RES -1 *310:X *77:7 4.395 -2 *77:7 *311:B 9.78 -3 *77:7 *312:A2 29.1 -*END - -*D_NET *78 0.00154377 -*CONN -*I *313:A1 I *D sky130_fd_sc_hd__o21ai_4 -*I *311:X O *D sky130_fd_sc_hd__and2b_2 -*CAP -1 *313:A1 0.000294424 -2 *311:X 0.000294424 -3 *313:A1 *307:B 0.000125625 -4 *313:A1 *418:A 2.49909e-05 -5 *313:A1 *99:8 0.000324306 -6 *313:A1 *143:18 8.38297e-06 -7 *311:A_N *313:A1 0.000449424 -8 *311:B *313:A1 2.21957e-05 -*RES -1 *311:X *313:A1 14.595 -*END - -*D_NET *79 0.00406183 -*CONN -*I *313:A2 I *D sky130_fd_sc_hd__o21ai_4 -*I *312:Y O *D sky130_fd_sc_hd__o21ai_2 -*CAP -1 *313:A2 0.000715957 -2 *312:Y 0.000715957 -3 *313:A2 *308:S 0.00101999 -4 *313:A2 *312:B1 0.000327477 -5 *313:A2 *101:16 0.000197882 -6 *313:A2 *102:8 6.15108e-05 -7 *308:A1 *313:A2 0.000113891 -8 *313:B1 *313:A2 0.00042143 -9 *328:B *313:A2 5.92535e-05 -10 *356:SET_B *313:A2 0.000362773 -11 *362:RESET_B *313:A2 6.57093e-05 -12 *42:8 *313:A2 0 -*RES -1 *312:Y *313:A2 27.9 -*END - -*D_NET *92 0.00584017 -*CONN -*I *386:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *384:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *382:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *386:A 0 -2 *384:A 0.000771987 -3 *382:X 0.000497541 -4 *92:7 0.00126953 -5 *384:A *358:CLK_N 0.00143015 -6 *384:A *379:CLK 0.00143684 -7 *384:A *94:8 9.09485e-05 -8 *384:A *94:17 1.13346e-05 -9 *92:7 *114:34 6.92161e-05 -10 *186:DIODE *384:A 2.88357e-05 -11 *188:DIODE *384:A 7.10263e-05 -12 *203:DIODE *92:7 2.49909e-05 -13 *358:RESET_B *92:7 9.94518e-05 -14 *34:11 *92:7 3.83194e-05 -*RES -1 *382:X *92:7 7.585 -2 *92:7 *384:A 28.37 -3 *92:7 *386:A 1.6 -*END - -*D_NET *93 0.00428363 -*CONN -*I *387:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *385:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *383:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *387:A 0 -2 *385:A 0.000141619 -3 *383:X 0.00046793 -4 *93:8 0.000609549 -5 *385:A *337:A 4.77719e-05 -6 *385:A *358:CLK_N 0.000197379 -7 *385:A *379:RESET_B 0.000153816 -8 *93:8 *358:CLK_N 0.000630899 -9 *93:8 *371:D 4.56429e-06 -10 *93:8 *379:CLK 9.30637e-06 -11 *93:8 *402:A 9.6541e-05 -12 *93:8 *427:A 9.55938e-05 -13 *93:8 *116:35 0.000141078 -14 *93:8 *117:13 9.86509e-05 -15 *93:8 *147:11 0.00017262 -16 *203:DIODE *385:A 0.000197379 -17 *203:DIODE *93:8 0.000123696 -18 *359:RESET_B *93:8 0.000523639 -19 *364:RESET_B *385:A 2.49909e-05 -20 *383:A *93:8 5.83121e-06 -21 *5:28 *93:8 0.000269939 -22 *10:21 *93:8 0.000270838 -*RES -1 *383:X *93:8 18.84 -2 *93:8 *385:A 7.845 -3 *93:8 *387:A 3.6 -*END - -*D_NET *94 0.0145 -*CONN -*I *377:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *367:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *369:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *368:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *374:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *371:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *372:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *373:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *306:A I *D sky130_fd_sc_hd__inv_2 -*I *384:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *377:CLK 0.000246902 -2 *367:CLK 8.29008e-05 -3 *369:CLK 0 -4 *368:CLK 0 -5 *374:CLK 7.46422e-05 -6 *371:CLK 7.40152e-05 -7 *372:CLK 0.000831673 -8 *373:CLK 1.38185e-05 -9 *306:A 2.15187e-05 -10 *384:X 0.000653718 -11 *94:71 0.000172476 -12 *94:64 0.000623397 -13 *94:60 0.00118008 -14 *94:39 0.000148657 -15 *94:37 0.000474469 -16 *94:26 0.00101247 -17 *94:17 0.000680597 -18 *94:8 0.00123633 -19 *306:A *345:A 4.77719e-05 -20 *371:CLK *343:A 0.000104482 -21 *371:CLK *402:A 6.61627e-05 -22 *372:CLK *363:D 0.000548495 -23 *373:CLK *115:31 4.77757e-05 -24 *374:CLK *343:A 0.000128626 -25 *377:CLK *428:A 4.66108e-05 -26 *94:8 *122:30 4.746e-05 -27 *94:17 *354:CLK_N 0.000605537 -28 *94:17 *357:CLK_N 0.00112675 -29 *94:17 *379:CLK 8.8207e-05 -30 *94:17 *122:30 3.62086e-05 -31 *94:26 *354:CLK_N 9.06792e-05 -32 *94:26 *357:CLK_N 0.000294118 -33 *94:37 *357:CLK_N 0.000231168 -34 *94:60 *357:CLK_N 0.000212876 -35 *94:60 *117:13 0.000151785 -36 *94:64 *403:A 9.12494e-06 -37 *94:64 *152:10 4.90291e-05 -38 resetn_out *94:64 4.77757e-05 -39 *181:DIODE *371:CLK 2.39856e-05 -40 *181:DIODE *374:CLK 3.23284e-05 -41 *186:DIODE *94:8 0.000574181 -42 *188:DIODE *94:8 0.000234737 -43 *363:RESET_B *373:CLK 1.77665e-05 -44 *384:A *94:8 9.09485e-05 -45 *384:A *94:17 1.13346e-05 -46 *5:11 *367:CLK 0.000182427 -47 *5:11 *94:64 0.000179311 -48 *5:11 *94:71 0.000179801 -49 *5:28 *94:60 0.000305933 -50 *6:27 *94:60 5.15276e-05 -51 *8:19 *94:64 1.92979e-05 -52 *8:23 *94:64 5.49252e-05 -53 *9:13 *94:60 0.000266013 -54 *10:21 *94:60 0.00010345 -55 *12:14 *374:CLK 7.01132e-05 -56 *34:11 *94:26 0.000132961 -57 *34:11 *94:37 0.000239477 -58 *34:11 *94:60 0.000221185 -*RES -1 *384:X *94:8 13.62 -2 *94:8 *306:A 4.05 -3 *94:8 *94:17 16.17 -4 *94:17 *373:CLK 4.05 -5 *94:17 *94:26 3.675 -6 *94:26 *372:CLK 13.635 -7 *94:26 *94:37 2.91 -8 *94:37 *94:39 2 -9 *94:39 *371:CLK 3.43 -10 *94:39 *374:CLK 3.775 -11 *94:37 *94:60 9.57913 -12 *94:60 *94:64 10.805 -13 *94:64 *368:CLK 3.6 -14 *94:64 *94:71 2.145 -15 *94:71 *369:CLK 3.6 -16 *94:71 *367:CLK 5.745 -17 *94:60 *377:CLK 4.81 -*END - -*D_NET *95 0.0143671 -*CONN -*I *342:A I *D sky130_fd_sc_hd__inv_2 -*I *347:A I *D sky130_fd_sc_hd__inv_2 -*I *351:A I *D sky130_fd_sc_hd__inv_2 -*I *352:A I *D sky130_fd_sc_hd__inv_2 -*I *350:A I *D sky130_fd_sc_hd__inv_2 -*I *345:A I *D sky130_fd_sc_hd__inv_2 -*I *385:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *342:A 0.0013076 -2 *347:A 0.00138993 -3 *351:A 2.83389e-05 -4 *352:A 0 -5 *350:A 0 -6 *345:A 0.000831296 -7 *385:X 0 -8 *95:41 0.00299916 -9 *95:29 0.000100559 -10 *95:9 0.000839102 -11 *95:8 0.000478417 -12 *95:5 0.000700023 -13 *342:A *355:CLK_N 8.01556e-05 -14 *342:A *358:D 5.12248e-05 -15 *342:A *371:D 8.58427e-05 -16 *342:A *158:17 7.01359e-05 -17 *342:A *158:32 0.000164345 -18 *345:A *358:CLK_N 4.89362e-05 -19 *345:A *363:CLK_N 1.31297e-05 -20 *345:A *435:A 0.000160314 -21 *347:A *328:A_N 4.66108e-05 -22 *347:A *360:CLK_N 0.000751841 -23 *347:A *360:D 8.85876e-05 -24 *347:A *401:A 5.6314e-05 -25 *347:A *432:A 2.74452e-06 -26 *347:A *150:7 2.49909e-05 -27 *95:8 *435:A 0.000703547 -28 *95:9 *435:A 3.75126e-05 -29 *95:29 *435:A 3.6355e-05 -30 *95:41 *373:RESET_B 1.00941e-05 -31 *95:41 *435:A 0.000345496 -32 *95:41 *114:57 4.31368e-05 -33 *95:41 *158:17 0.000214949 -34 pad_gpio_dm[0] *95:8 4.11223e-05 -35 serial_clock_out *345:A 0.000123955 -36 serial_clock_out *351:A 0.000122184 -37 serial_clock_out *95:9 4.77719e-05 -38 serial_clock_out *95:29 0.000196073 -39 *182:DIODE *351:A 5.06989e-05 -40 *185:DIODE *345:A 6.81155e-05 -41 *202:DIODE *347:A 0.000153816 -42 *306:A *345:A 4.77719e-05 -43 *320:B *342:A 0.000194891 -44 *358:RESET_B *342:A 2.39856e-05 -45 *359:SET_B *347:A 4.77757e-05 -46 *361:SET_B *347:A 0.000761307 -47 *363:SET_B *345:A 5.04838e-05 -48 *1:27 *347:A 2.83644e-05 -49 *2:22 *342:A 0.000141036 -50 *7:35 *345:A 5.0096e-05 -51 *9:13 *342:A 0.000506976 -*RES -1 *385:X *95:5 3.6 -2 *95:5 *95:8 10.52 -3 *95:8 *95:9 0.45 -4 *95:9 *345:A 19.475 -5 *95:9 *350:A 1.6 -6 *95:8 *95:29 1.83 -7 *95:29 *352:A 1.6 -8 *95:29 *351:A 2.74 -9 *95:5 *95:41 6.735 -10 *95:41 *347:A 29.29 -11 *95:41 *342:A 22.77 -*END - -*D_NET *96 0.00558746 -*CONN -*I *314:A I *D sky130_fd_sc_hd__and2_2 -*I *398:gpio_logic1 O *D gpio_logic_high -*CAP -1 *314:A 0.00183019 -2 *398:gpio_logic1 0.00183019 -3 *314:A *331:A 9.43574e-05 -4 *314:A *418:A 0.000474242 -5 *314:A *113:22 0.000429365 -6 *314:A *114:13 0.000124644 -7 *314:A *159:15 5.05066e-06 -8 pad_gpio_holdover *314:A 6.57334e-05 -9 *338:B *314:A 0.000178675 -10 *361:RESET_B *314:A 5.98575e-05 -11 *364:SET_B *314:A 0.00041165 -12 *10:26 *314:A 8.35118e-05 -*RES -1 *398:gpio_logic1 *314:A 29.6672 -*END - -*D_NET *97 0.00516451 -*CONN -*I *307:A I *D sky130_fd_sc_hd__and2_0 -*I *359:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *307:A 0.00127906 -2 *359:Q 0.00127906 -3 *307:A *307:B 8.35118e-05 -4 *307:A *328:A_N 0.000573884 -5 *307:A *356:CLK_N 0.000453654 -6 *307:A *408:A 8.59135e-05 -7 *307:A *409:A 0.000162515 -8 *307:A *102:8 0.000915945 -9 *308:A1 *307:A 8.73389e-06 -10 *328:B *307:A 6.33103e-05 -11 *359:SET_B *307:A 8.34281e-06 -12 *36:11 *307:A 0.000250587 -*RES -1 *359:Q *307:A 32.01 -*END - -*D_NET *98 0.00453527 -*CONN -*I *309:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *312:B1 I *D sky130_fd_sc_hd__o21ai_2 -*I *308:S I *D sky130_fd_sc_hd__mux2_4 -*I *353:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *309:A_N 3.39576e-05 -2 *312:B1 0.000118448 -3 *308:S 0.000426094 -4 *353:Q 0.000246893 -5 *98:9 0.000544542 -6 *98:7 0.00028085 -7 *98:7 *407:A 1.08105e-05 -8 *174:DIODE *308:S 1.54111e-05 -9 *312:A2 *312:B1 0 -10 *313:A2 *308:S 0.00101999 -11 *313:A2 *312:B1 0.000327477 -12 *353:RESET_B *98:7 0.000303705 -13 *362:RESET_B *308:S 0.00101361 -14 *362:RESET_B *312:B1 0.000193481 -*RES -1 *353:Q *98:7 5.815 -2 *98:7 *98:9 2 -3 *98:9 *308:S 16.4775 -4 *98:9 *312:B1 7.53 -5 *98:7 *309:A_N 2.05 -*END - -*D_NET *99 0.00460502 -*CONN -*I *307:B I *D sky130_fd_sc_hd__and2_0 -*I *310:C I *D sky130_fd_sc_hd__and3b_2 -*I *412:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *307:B 0.000191863 -2 *310:C 2.53189e-05 -3 *412:X 0.000603998 -4 *99:8 0.00082118 -5 *307:B *418:A 0.000136878 -6 *307:B *101:16 8.23457e-05 -7 *99:8 *314:B 0.000103903 -8 *99:8 *329:A 0 -9 *99:8 *396:A 0.00036823 -10 *99:8 *120:8 0 -11 *191:DIODE *310:C 6.44782e-05 -12 *210:DIODE *99:8 6.04361e-05 -13 *307:A *307:B 8.35118e-05 -14 *308:A1 *307:B 2.99026e-05 -15 *311:A_N *307:B 1.89469e-05 -16 *311:A_N *99:8 1.28996e-05 -17 *311:B *99:8 2.55418e-05 -18 *312:A2 *307:B 1.82571e-05 -19 *313:A1 *307:B 0.000125625 -20 *313:A1 *99:8 0.000324306 -21 *334:B *99:8 5.36975e-06 -22 *361:RESET_B *99:8 0 -23 *362:RESET_B *307:B 0.000304826 -24 *362:RESET_B *99:8 0.00102201 -25 *414:A *99:8 2.3228e-05 -26 *5:40 *99:8 0.00013032 -27 *29:9 *310:C 2.16392e-05 -*RES -1 *412:X *99:8 20.22 -2 *99:8 *310:C 4.2225 -3 *99:8 *307:B 9.45 -*END - -*D_NET *100 0.00535818 -*CONN -*I *418:A I *D sky130_fd_sc_hd__buf_16 -*I *360:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *418:A 0.00124074 -2 *360:Q 0.00124074 -3 *418:A *321:A 8.35157e-05 -4 *418:A *330:A_N 0.000180452 -5 *418:A *421:A 0.000156765 -6 *418:A *432:A 0.000174474 -7 *418:A *433:A 7.76846e-05 -8 *418:A *101:16 1.19928e-05 -9 *418:A *113:22 7.40901e-05 -10 pad_gpio_dm[1] *418:A 0.000162497 -11 pad_gpio_slow_sel *418:A 0.000285264 -12 serial_clock_out *418:A 0.000766286 -13 *192:DIODE *418:A 4.00523e-05 -14 *307:B *418:A 0.000136878 -15 *311:A_N *418:A 9.23635e-05 -16 *313:A1 *418:A 2.49909e-05 -17 *314:A *418:A 0.000474242 -18 *2:22 *418:A 0.000135141 -*RES -1 *360:Q *418:A 37.9 -*END - -*D_NET *101 0.00770696 -*CONN -*I *310:B I *D sky130_fd_sc_hd__and3b_2 -*I *419:A I *D sky130_fd_sc_hd__buf_16 -*I *361:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *310:B 0 -2 *419:A 0.00070725 -3 *361:Q 0.000711679 -4 *101:16 0.00141893 -5 *419:A *329:A 0.000943057 -6 *419:A *397:A 0.000194287 -7 *419:A *438:A 8.52972e-05 -8 *101:16 *315:A 7.08821e-05 -9 *101:16 *376:D 0.000545102 -10 *101:16 *140:8 0.000125122 -11 *176:DIODE *419:A 8.90199e-05 -12 *307:B *101:16 8.23457e-05 -13 *312:A2 *101:16 0.000938236 -14 *313:A2 *101:16 0.000197882 -15 *361:SET_B *419:A 4.56429e-06 -16 *362:RESET_B *101:16 0.000501885 -17 *418:A *101:16 1.19928e-05 -18 *1:27 *419:A 0.000114802 -19 *1:38 *419:A 0.000100902 -20 *3:29 *419:A 0.000119022 -21 *3:37 *419:A 0.000315348 -22 *4:27 *419:A 5.93408e-05 -23 *29:9 *419:A 0.00037001 -*RES -1 *361:Q *101:16 28.785 -2 *101:16 *419:A 22.565 -3 *101:16 *310:B 1.6 -*END - -*D_NET *102 0.0067773 -*CONN -*I *310:A_N I *D sky130_fd_sc_hd__and3b_2 -*I *420:A I *D sky130_fd_sc_hd__buf_16 -*I *362:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *310:A_N 0 -2 *420:A 0.000427794 -3 *362:Q 0.0006198 -4 *102:8 0.00104759 -5 *420:A *424:A 0.000124091 -6 *420:A *120:8 0.000537203 -7 *420:A *120:22 0.00047064 -8 *102:8 *312:A1 0 -9 *102:8 *424:A 6.22332e-05 -10 *212:DIODE *420:A 0.000874254 -11 *307:A *102:8 0.000915945 -12 *313:A2 *102:8 6.15108e-05 -13 *313:B1 *102:8 0.00133287 -14 *362:SET_B *102:8 1.92623e-05 -15 *36:11 *420:A 5.49252e-05 -16 *36:11 *102:8 8.92211e-05 -17 *42:8 *102:8 7.97702e-05 -18 *42:31 *102:8 6.01798e-05 -*RES -1 *362:Q *102:8 23.82 -2 *102:8 *420:A 18.495 -3 *102:8 *310:A_N 3.6 -*END - -*D_NET *103 0.00600449 -*CONN -*I *421:A I *D sky130_fd_sc_hd__buf_16 -*I *354:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *421:A 0.00093703 -2 *354:Q 0.00093703 -3 *421:A *328:A_N 7.95667e-05 -4 *421:A *365:CLK_N 0.000832734 -5 *421:A *370:RESET_B 5.99989e-05 -6 *421:A *377:RESET_B 6.10279e-05 -7 *421:A *379:D 5.10377e-05 -8 *421:A *399:A 4.11194e-05 -9 *421:A *407:A 0.000191261 -10 *421:A *428:A 0.000858047 -11 *421:A *113:22 5.92564e-05 -12 *421:A *115:20 0.000105701 -13 *421:A *115:26 0.000497066 -14 *421:A *118:34 0.000568803 -15 *421:A *145:25 9.96246e-05 -16 *421:A *158:47 5.09119e-05 -17 *182:DIODE *421:A 0.000175005 -18 *320:B *421:A 8.34904e-06 -19 *354:RESET_B *421:A 3.2955e-05 -20 *364:RESET_B *421:A 0.00013475 -21 *418:A *421:A 0.000156765 -22 *13:31 *421:A 6.64457e-05 -*RES -1 *354:Q *421:A 37.32 -*END - -*D_NET *104 0.00326723 -*CONN -*I *422:A I *D sky130_fd_sc_hd__buf_16 -*I *358:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *422:A 0.000475277 -2 *358:Q 0.000475277 -3 *422:A *364:CLK_N 0.000134373 -4 *422:A *365:D 0.000175234 -5 *422:A *374:D 0.000171784 -6 *422:A *401:A 1.29342e-05 -7 *422:A *115:19 1.31227e-05 -8 *422:A *115:20 5.06317e-05 -9 *182:DIODE *422:A 0.000156445 -10 *320:B *422:A 7.97788e-05 -11 *4:17 *422:A 0.00114102 -12 *13:31 *422:A 0.000381352 -*RES -1 *358:Q *422:A 22.17 -*END - -*D_NET *105 0.00636536 -*CONN -*I *423:A I *D sky130_fd_sc_hd__buf_16 -*I *357:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *423:A 0.00187963 -2 *357:Q 0.00187963 -3 *423:A *321:A 0.000397488 -4 *423:A *355:D 4.63132e-05 -5 *423:A *360:D 0.000411101 -6 *423:A *361:CLK_N 0.000441326 -7 *423:A *361:D 4.79712e-05 -8 *423:A *362:CLK_N 0 -9 *423:A *397:A 5.83121e-06 -10 *423:A *426:A 6.66508e-05 -11 *423:A *433:A 0.000519041 -12 *423:A *118:58 7.08297e-05 -13 *423:A *145:25 6.16226e-06 -14 pad_gpio_ib_mode_sel *423:A 4.77807e-05 -15 *178:DIODE *423:A 3.05521e-05 -16 *353:SET_B *423:A 0 -17 *355:RESET_B *423:A 0.000391145 -18 *1:27 *423:A 1.83598e-05 -19 *39:10 *423:A 8.35157e-05 -20 *42:31 *423:A 2.20258e-05 -*RES -1 *357:Q *423:A 37.6857 -*END - -*D_NET *106 0.000720904 -*CONN -*I *424:A I *D sky130_fd_sc_hd__buf_16 -*I *313:Y O *D sky130_fd_sc_hd__o21ai_4 -*CAP -1 *424:A 0.000102559 -2 *313:Y 0.000102559 -3 *420:A *424:A 0.000124091 -4 *36:11 *424:A 0.000329461 -5 *102:8 *424:A 6.22332e-05 -*RES -1 *313:Y *424:A 11.13 -*END - -*D_NET *107 0.00153301 -*CONN -*I *425:A I *D sky130_fd_sc_hd__buf_16 -*I *308:X O *D sky130_fd_sc_hd__mux2_4 -*CAP -1 *425:A 0.000265582 -2 *308:X 0.000265582 -3 *425:A *329:A 9.09788e-06 -4 *425:A *343:A 4.66108e-05 -5 *425:A *360:CLK_N 1.36984e-05 -6 *425:A *376:D 0.000153816 -7 *425:A *411:A 5.74791e-05 -8 *329:B *425:A 2.93063e-05 -9 *360:SET_B *425:A 0.000158515 -10 *361:SET_B *425:A 3.27181e-06 -11 *1:27 *425:A 7.72037e-05 -12 *2:33 *425:A 6.90972e-05 -13 *3:23 *425:A 0.000383745 -*RES -1 *308:X *425:A 14.955 -*END - -*D_NET *108 0.00337868 -*CONN -*I *426:A I *D sky130_fd_sc_hd__buf_16 -*I *355:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *426:A 0.000588417 -2 *355:Q 0.000588417 -3 *426:A *360:D 0.00024664 -4 *426:A *433:A 0.000302905 -5 *426:A *118:58 7.08297e-05 -6 *426:A *120:22 4.77807e-05 -7 pad_gpio_slow_sel *426:A 0.000269834 -8 pad_gpio_vtrip_sel *426:A 0.000131729 -9 *322:B *426:A 0.000192658 -10 *356:RESET_B *426:A 0.000755386 -11 *361:RESET_B *426:A 5.8069e-05 -12 *423:A *426:A 6.66508e-05 -13 *3:23 *426:A 5.93628e-05 -*RES -1 *355:Q *426:A 20.8559 -*END - -*D_NET *109 0.00439443 -*CONN -*I *427:A I *D sky130_fd_sc_hd__buf_16 -*I *356:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *427:A 0.00147215 -2 *356:Q 0.00147215 -3 *427:A *317:A 9.5855e-05 -4 *427:A *355:CLK_N 8.35118e-05 -5 *427:A *370:D 0.000110477 -6 *427:A *370:RESET_B 0.00015312 -7 *427:A *371:D 6.88036e-05 -8 *427:A *377:D 0.000119866 -9 *427:A *377:RESET_B 7.85005e-05 -10 *427:A *406:A 4.17521e-05 -11 *427:A *149:10 0.000118081 -12 *317:B *427:A 2.49909e-05 -13 *354:RESET_B *427:A 8.35106e-05 -14 *357:RESET_B *427:A 0 -15 *359:RESET_B *427:A 9.35092e-06 -16 *383:A *427:A 0.000106344 -17 *2:22 *427:A 9.19679e-05 -18 *3:23 *427:A 5.66687e-05 -19 *10:21 *427:A 8.8162e-05 -20 *11:19 *427:A 2.35639e-05 -21 *93:8 *427:A 9.55938e-05 -*RES -1 *356:Q *427:A 33.1862 -*END - -*D_NET *110 0.00784894 -*CONN -*I *312:A1 I *D sky130_fd_sc_hd__o21ai_2 -*I *413:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *312:A1 0.00145339 -2 *413:X 0.00145339 -3 pad_gpio_ana_en *312:A1 0.000159997 -4 *212:DIODE *312:A1 0.000412996 -5 *362:SET_B *312:A1 0.00247414 -6 *36:11 *312:A1 0.0016443 -7 *42:8 *312:A1 0.000190536 -8 *42:31 *312:A1 6.01798e-05 -9 *102:8 *312:A1 0 -*RES -1 *413:X *312:A1 49.62 -*END - -*D_NET *111 0.00482803 -*CONN -*I *428:A I *D sky130_fd_sc_hd__buf_16 -*I *381:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *428:A 0.00116424 -2 *381:X 0.00116424 -3 *428:A *365:CLK_N 0.000282553 -4 *428:A *377:D 0.000164065 -5 *428:A *377:RESET_B 8.39356e-05 -6 *428:A *379:RESET_B 8.35118e-05 -7 *428:A *435:A 0.000304082 -8 *428:A *158:17 0.000184256 -9 *428:A *158:32 0.000262254 -10 *428:A *158:47 0.00023023 -11 *377:CLK *428:A 4.66108e-05 -12 *421:A *428:A 0.000858047 -*RES -1 *381:X *428:A 30.66 -*END - -*D_NET *112 0.00208477 -*CONN -*I *429:A I *D sky130_fd_sc_hd__buf_16 -*I *379:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *429:A 0.000858941 -2 *379:Q 0.000858941 -3 *429:A *319:A 8.7152e-06 -4 *429:A *354:CLK_N 1.19928e-05 -5 *429:A *359:CLK_N 8.52951e-05 -6 *429:A *379:CLK 6.52347e-05 -7 *429:A *379:D 1.50277e-05 -8 *429:A *392:A 2.12738e-05 -9 *429:A *393:A 7.40564e-05 -10 *319:B *429:A 8.52951e-05 -11 *8:15 *429:A 0 -*RES -1 *379:Q *429:A 17.76 -*END - -*D_NET *113 0.0128205 -*CONN -*I *430:A I *D sky130_fd_sc_hd__buf_16 -*I *314:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *430:A 0.000191242 -2 *314:X 0.00363764 -3 *113:22 0.00382888 -4 *430:A *359:CLK_N 0.000421509 -5 *113:22 *361:CLK_N 0.00021369 -6 *113:22 *116:76 7.7033e-05 -7 *113:22 *143:18 0.000438956 -8 pad_gpio_holdover *113:22 0.000450943 -9 *180:DIODE *113:22 0.000283838 -10 *314:A *113:22 0.000429365 -11 *319:B *430:A 9.56017e-06 -12 *355:RESET_B *430:A 0.000344373 -13 *357:RESET_B *113:22 0.000163298 -14 *363:SET_B *113:22 0.000882486 -15 *418:A *113:22 7.40901e-05 -16 *421:A *113:22 5.92564e-05 -17 *1:16 *113:22 1.44817e-05 -18 *8:15 *430:A 4.77769e-05 -19 *11:19 *430:A 8.83758e-05 -20 *11:19 *113:22 4.20709e-05 -21 *12:7 *113:22 6.9382e-05 -22 *12:11 *113:22 0.000618776 -23 *13:13 *113:22 0.000433501 -*RES -1 *314:X *113:22 46.0739 -2 *113:22 *430:A 7.495 -*END - -*D_NET *114 0.0138542 -*CONN -*I *373:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *326:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *372:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *325:A I *D sky130_fd_sc_hd__or2_0 -*I *335:A I *D sky130_fd_sc_hd__or2_0 -*I *338:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *336:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *389:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *373:RESET_B 7.69308e-06 -2 *326:A_N 0.00214327 -3 *372:RESET_B 0.000119182 -4 *325:A 0 -5 *335:A 5.94736e-05 -6 *338:A_N 6.31934e-05 -7 *336:A_N 0.00017306 -8 *389:X 0 -9 *114:57 0.00266238 -10 *114:34 0.000255418 -11 *114:31 0.000455594 -12 *114:13 0.000728957 -13 *114:7 0.00108344 -14 *114:4 0.000961691 -15 *336:A_N *358:CLK_N 1.0397e-05 -16 *372:RESET_B *363:D 7.03377e-05 -17 *372:RESET_B *393:A 5.72711e-05 -18 *114:7 *115:31 7.14699e-05 -19 *114:7 *115:35 0.00018955 -20 *114:13 *393:A 0.00060817 -21 *114:13 *436:A 0 -22 *114:31 *393:A 7.64633e-05 -23 *114:57 *115:31 0.000377477 -24 pad_gpio_slow_sel *326:A_N 5.57168e-05 -25 *174:DIODE *326:A_N 0.00069388 -26 *186:DIODE *335:A 6.24428e-05 -27 *312:A2 *326:A_N 0.000362738 -28 *314:A *114:13 0.000124644 -29 *326:B *326:A_N 0.000139949 -30 *338:B *338:A_N 8.23457e-05 -31 *358:RESET_B *114:34 0.000193028 -32 *361:RESET_B *326:A_N 0.000364091 -33 *363:RESET_B *114:7 8.09069e-05 -34 *1:27 *326:A_N 3.92756e-05 -35 *2:22 *326:A_N 0.000493496 -36 *9:13 *335:A 8.61593e-05 -37 *9:24 *336:A_N 0.000300022 -38 *10:26 *336:A_N 2.85623e-05 -39 *10:26 *338:A_N 3.57349e-05 -40 *10:26 *114:13 4.66108e-05 -41 *10:29 *336:A_N 0.000326738 -42 *13:31 *326:A_N 4.09397e-05 -43 *92:7 *114:34 6.92161e-05 -44 *95:41 *373:RESET_B 1.00941e-05 -45 *95:41 *114:57 4.31368e-05 -*RES -1 *389:X *114:4 1.6 -2 *114:4 *114:7 7.28 -3 *114:7 *114:13 13.16 -4 *114:13 *336:A_N 10.925 -5 *114:13 *338:A_N 2.74 -6 *114:7 *114:31 2.655 -7 *114:31 *114:34 5.9 -8 *114:34 *335:A 7.49 -9 *114:34 *325:A 1.6 -10 *114:31 *372:RESET_B 4.655 -11 *114:4 *114:57 8.6225 -12 *114:57 *326:A_N 16.3535 -13 *114:57 *373:RESET_B 2.2325 -*END - -*D_NET *115 0.0115186 -*CONN -*I *340:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *370:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *374:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *339:A I *D sky130_fd_sc_hd__or2_0 -*I *389:A I *D sky130_fd_sc_hd__buf_2 -*I *371:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *337:A I *D sky130_fd_sc_hd__or2_0 -*I *390:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *340:A_N 0 -2 *370:RESET_B 0.000286157 -3 *374:RESET_B 1.28922e-05 -4 *339:A 0.000121636 -5 *389:A 3.05969e-05 -6 *371:RESET_B 0.000222077 -7 *337:A 0.000130776 -8 *390:X 0.000776191 -9 *115:35 0.000430946 -10 *115:31 0.000590409 -11 *115:26 0.000796144 -12 *115:20 0.000314492 -13 *115:19 0.000439067 -14 *115:15 0.000820292 -15 *337:A *379:RESET_B 0.00014608 -16 *337:A *159:8 2.57991e-05 -17 *370:RESET_B *370:D 0.000109112 -18 *370:RESET_B *375:CLK 5.84759e-05 -19 *371:RESET_B *343:A 2.33079e-05 -20 *371:RESET_B *379:RESET_B 0.000475368 -21 *371:RESET_B *402:A 5.51909e-05 -22 *371:RESET_B *116:35 0.000114959 -23 *371:RESET_B *159:62 1.42867e-05 -24 *374:RESET_B *374:D 2.20753e-05 -25 *374:RESET_B *376:CLK 4.23378e-05 -26 *115:15 *353:CLK_N 0 -27 *115:15 *378:CLK 1.42674e-05 -28 *115:15 *378:D 0.000131178 -29 *115:15 *390:A 4.11718e-05 -30 *115:15 *392:A 1.08758e-05 -31 *115:15 *400:A 6.63383e-05 -32 *115:15 *409:A 0.000217159 -33 *115:15 *123:34 3.94104e-05 -34 *115:20 *374:D 4.90103e-05 -35 *115:26 *365:D 1.25877e-05 -36 *115:26 *374:D 5.65247e-05 -37 *115:26 *401:A 0.000306551 -38 *115:31 *373:D 2.49909e-05 -39 serial_clock_out *115:15 4.1952e-05 -40 *181:DIODE *371:RESET_B 0.000188558 -41 *317:B *115:15 5.8023e-05 -42 *320:B *370:RESET_B 0.000175852 -43 *320:B *115:19 2.49909e-05 -44 *320:B *115:20 4.83522e-05 -45 *322:B *115:15 5.83121e-06 -46 *337:B *337:A 4.66108e-05 -47 *339:B *339:A 8.23457e-05 -48 *355:RESET_B *115:15 3.59266e-06 -49 *358:SET_B *115:15 0.000294007 -50 *358:SET_B *115:19 0.000121023 -51 *359:RESET_B *370:RESET_B 2.45737e-05 -52 *363:RESET_B *115:31 0.000143366 -53 *363:RESET_B *115:35 5.05783e-05 -54 *373:CLK *115:31 4.77757e-05 -55 *385:A *337:A 4.77719e-05 -56 *421:A *370:RESET_B 5.99989e-05 -57 *421:A *115:20 0.000105701 -58 *421:A *115:26 0.000497066 -59 *422:A *115:19 1.31227e-05 -60 *422:A *115:20 5.06317e-05 -61 *427:A *370:RESET_B 0.00015312 -62 *3:23 *115:15 0.000663768 -63 *4:17 *115:15 3.1191e-05 -64 *4:17 *115:20 2.97872e-06 -65 *10:21 *337:A 0.000123696 -66 *10:21 *371:RESET_B 0.000443674 -67 *10:26 *337:A 2.98644e-05 -68 *11:19 *115:15 0.000219453 -69 *11:21 *115:15 2.85364e-05 -70 *13:31 *115:26 2.34005e-05 -71 *114:7 *115:31 7.14699e-05 -72 *114:7 *115:35 0.00018955 -73 *114:57 *115:31 0.000377477 -*RES -1 *390:X *115:15 22.91 -2 *115:15 *115:19 3.14 -3 *115:19 *115:20 2.655 -4 *115:20 *115:26 8.42 -5 *115:26 *115:31 9.195 -6 *115:31 *115:35 3.83 -7 *115:35 *337:A 6.975 -8 *115:35 *371:RESET_B 10.0025 -9 *115:31 *389:A 2.215 -10 *115:26 *339:A 3.43 -11 *115:20 *374:RESET_B 2.3975 -12 *115:19 *370:RESET_B 7.8275 -13 *115:15 *340:A_N 1.6 -*END - -*D_NET *116 0.015758 -*CONN -*I *318:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *317:A I *D sky130_fd_sc_hd__or2_0 -*I *367:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *369:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *368:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *323:A I *D sky130_fd_sc_hd__or2_0 -*I *324:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *391:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *318:A_N 0.00021723 -2 *317:A 0.00024966 -3 *367:RESET_B 0.000120965 -4 *369:RESET_B 8.07877e-05 -5 *368:RESET_B 9.69337e-05 -6 *323:A 0.000794431 -7 *324:A_N 0.000143695 -8 *391:X 0 -9 *116:76 0.00162264 -10 *116:48 0.000257071 -11 *116:38 0.000221039 -12 *116:35 0.00351611 -13 *116:15 0.00308601 -14 *116:4 0.000143695 -15 *317:A *353:CLK_N 0.00015505 -16 *318:A_N *353:CLK_N 0.000319362 -17 *318:A_N *378:D 8.65712e-05 -18 *318:A_N *379:D 2.69153e-05 -19 *323:A *358:CLK_N 0.000285262 -20 *324:A_N *391:A 8.23457e-05 -21 *367:RESET_B *367:D 2.901e-05 -22 *367:RESET_B *117:13 0.000110736 -23 *368:RESET_B *368:D 6.18178e-05 -24 *368:RESET_B *117:13 5.83416e-05 -25 *116:35 *358:CLK_N 1.88602e-05 -26 *116:35 *379:RESET_B 0.000108922 -27 *116:48 *117:13 0.000186907 -28 *116:76 *145:25 0.000189101 -29 serial_clock_out *116:76 9.50291e-05 -30 *180:DIODE *323:A 4.40693e-06 -31 *203:DIODE *323:A 0.000287193 -32 *203:DIODE *116:35 1.0618e-05 -33 *204:DIODE *369:RESET_B 2.901e-05 -34 *317:B *317:A 2.49909e-05 -35 *318:B *318:A_N 0.000141264 -36 *323:B *323:A 6.11897e-05 -37 *326:B *116:76 0.000340043 -38 *354:SET_B *318:A_N 4.77769e-05 -39 *371:RESET_B *116:35 0.000114959 -40 *427:A *317:A 9.5855e-05 -41 *1:16 *116:38 2.20846e-05 -42 *1:16 *116:76 0.000288803 -43 *3:23 *317:A 0.00023003 -44 *7:14 *324:A_N 1.74678e-05 -45 *7:28 *323:A 0.000144821 -46 *8:19 *116:38 0.000111372 -47 *8:19 *116:76 5.48259e-05 -48 *9:13 *367:RESET_B 0.000102772 -49 *9:13 *368:RESET_B 5.95017e-05 -50 *9:13 *116:48 0.000179409 -51 *13:13 *116:35 0.000233015 -52 *13:20 *116:35 5.97021e-05 -53 *34:11 *116:76 0.000124887 -54 *39:10 *323:A 2.86792e-05 -55 *42:31 *317:A 0.00015505 -56 *42:31 *318:A_N 0.000205678 -57 *93:8 *116:35 0.000141078 -58 *113:22 *116:76 7.7033e-05 -*RES -1 *391:X *116:4 1.6 -2 *116:4 *324:A_N 3.805 -3 *116:4 *116:15 2 -4 *116:15 *323:A 14.985 -5 *116:15 *116:35 4.8523 -6 *116:35 *116:38 0.683667 -7 *116:38 *368:RESET_B 3.83 -8 *116:38 *116:48 2.2725 -9 *116:48 *369:RESET_B 3.0875 -10 *116:48 *367:RESET_B 4.4675 -11 *116:35 *116:76 3.22207 -12 *116:76 *317:A 9.5475 -13 *116:76 *318:A_N 10.1475 -*END - -*D_NET *117 0.0144691 -*CONN -*I *328:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *366:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *391:A I *D sky130_fd_sc_hd__buf_2 -*I *327:A I *D sky130_fd_sc_hd__or2_0 -*I *392:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *328:A_N 0.000832483 -2 *366:RESET_B 0.00126473 -3 *391:A 0.000516553 -4 *327:A 0.000119021 -5 *392:X 0 -6 *117:13 0.00123157 -7 *117:4 0.00102824 -8 *328:A_N *366:CLK 9.34246e-05 -9 *328:A_N *367:D 0.000130088 -10 *328:A_N *407:A 0.000106946 -11 *328:A_N *408:A 0.000304613 -12 *328:A_N *409:A 0.000299707 -13 *328:A_N *118:34 3.64998e-06 -14 *366:RESET_B *354:CLK_N 1.05194e-05 -15 *366:RESET_B *367:D 4.66108e-05 -16 *366:RESET_B *369:D 2.87324e-05 -17 *366:RESET_B *379:CLK 3.85753e-05 -18 *366:RESET_B *392:A 2.10214e-05 -19 *366:RESET_B *144:9 0.000304864 -20 *391:A *393:A 0.00104181 -21 *117:13 *367:D 0.000191343 -22 *117:13 *392:A 2.49909e-05 -23 *117:13 *408:A 2.05741e-05 -24 serial_data_out *366:RESET_B 7.79634e-05 -25 *307:A *328:A_N 0.000573884 -26 *324:A_N *391:A 8.23457e-05 -27 *327:B *327:A 4.89395e-05 -28 *347:A *328:A_N 4.66108e-05 -29 *354:RESET_B *328:A_N 0.000252555 -30 *359:SET_B *328:A_N 0.00103492 -31 *367:RESET_B *117:13 0.000110736 -32 *368:RESET_B *117:13 5.83416e-05 -33 *421:A *328:A_N 7.95667e-05 -34 *5:28 *327:A 0.000220396 -35 *5:28 *117:13 0.000305674 -36 *7:14 *391:A 0.00159366 -37 *9:13 *117:13 0.000115407 -38 *9:24 *391:A 0.000453961 -39 *10:21 *117:13 0.000698435 -40 *11:19 *366:RESET_B 0 -41 *13:13 *366:RESET_B 1.05138e-05 -42 *38:8 *366:RESET_B 0.000607801 -43 *93:8 *117:13 9.86509e-05 -44 *94:60 *117:13 0.000151785 -45 *116:48 *117:13 0.000186907 -*RES -1 *392:X *117:4 1.6 -2 *117:4 *117:13 19.3 -3 *117:13 *327:A 4.12 -4 *117:13 *391:A 25.7 -5 *117:4 *366:RESET_B 7.96353 -6 *366:RESET_B *328:A_N 27.125 -*END - -*D_NET *118 0.0146311 -*CONN -*I *320:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *322:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *376:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *330:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *321:A I *D sky130_fd_sc_hd__or2_0 -*I *375:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *319:A I *D sky130_fd_sc_hd__or2_0 -*I *393:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *320:A_N 0 -2 *322:A_N 0.000798831 -3 *376:RESET_B 0 -4 *330:A_N 5.54057e-05 -5 *321:A 0.00032981 -6 *375:RESET_B 0.000289316 -7 *319:A 0.00010639 -8 *393:X 0.000955366 -9 *118:58 0.00071064 -10 *118:48 0.000427991 -11 *118:45 0.00102095 -12 *118:34 0.00153704 -13 *118:9 0.00242903 -14 *319:A *359:CLK_N 0 -15 *321:A *433:A 9.8138e-05 -16 *321:A *119:33 4.66108e-05 -17 *322:A_N *355:D 5.12248e-05 -18 *375:RESET_B *375:CLK 4.60061e-05 -19 *375:RESET_B *375:D 9.87141e-05 -20 *118:34 *377:RESET_B 5.98468e-05 -21 *118:34 *378:CLK 0.000335978 -22 *118:34 *399:A 7.27402e-06 -23 *118:34 *145:25 0.000101906 -24 *118:34 *146:7 2.39997e-05 -25 *118:34 *158:47 0.000356758 -26 *118:45 *353:CLK_N 8.71951e-05 -27 *118:45 *355:D 1.56428e-05 -28 *118:48 *362:CLK_N 0.000255687 -29 *118:58 *362:CLK_N 0.000257532 -30 *174:DIODE *118:48 1.05827e-05 -31 *174:DIODE *118:58 0.000258828 -32 *192:DIODE *330:A_N 2.09975e-05 -33 *316:B *321:A 4.77719e-05 -34 *320:B *118:34 8.30853e-06 -35 *321:B *321:A 0.000134373 -36 *322:B *322:A_N 9.85989e-05 -37 *322:B *118:45 3.59538e-06 -38 *328:A_N *118:34 3.64998e-06 -39 *354:RESET_B *118:34 0.000209276 -40 *355:RESET_B *322:A_N 0.000120789 -41 *355:SET_B *375:RESET_B 0.000327052 -42 *355:SET_B *118:34 4.14549e-05 -43 *359:RESET_B *118:34 2.68568e-05 -44 *359:SET_B *118:34 7.69078e-05 -45 *418:A *321:A 8.35157e-05 -46 *418:A *330:A_N 0.000180452 -47 *421:A *118:34 0.000568803 -48 *423:A *321:A 0.000397488 -49 *423:A *118:58 7.08297e-05 -50 *426:A *118:58 7.08297e-05 -51 *429:A *319:A 8.7152e-06 -52 *1:16 *118:9 0.000244926 -53 *2:22 *321:A 4.41506e-05 -54 *2:22 *330:A_N 3.83194e-05 -55 *2:22 *118:34 5.51857e-05 -56 *2:22 *118:58 0.000305039 -57 *2:33 *330:A_N 8.23457e-05 -58 *3:23 *118:34 8.08238e-05 -59 *5:11 *118:9 0.00024157 -60 *8:15 *319:A 2.20154e-05 -61 *11:19 *319:A 0 -62 *42:31 *118:45 8.59699e-05 -63 *42:31 *118:48 0.000157836 -*RES -1 *393:X *118:9 17.93 -2 *118:9 *319:A 7.235 -3 *118:9 *118:34 31.37 -4 *118:34 *375:RESET_B 3.8625 -5 *375:RESET_B *118:45 5.92 -6 *118:45 *118:48 5.165 -7 *118:48 *118:58 12.9 -8 *118:58 *321:A 14.18 -9 *118:58 *330:A_N 3.43 -10 *118:48 *376:RESET_B 2 -11 *118:45 *322:A_N 10.6 -12 *118:34 *320:A_N 1.6 -*END - -*D_NET *119 0.020846 -*CONN -*I *378:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *315:A I *D sky130_fd_sc_hd__or2_0 -*I *377:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *332:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *331:A I *D sky130_fd_sc_hd__or2_0 -*I *333:A I *D sky130_fd_sc_hd__or2_0 -*I *316:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *394:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *378:RESET_B 0.000328617 -2 *315:A 0.000497264 -3 *377:RESET_B 0.000316575 -4 *332:A_N 0.000209178 -5 *331:A 7.5414e-05 -6 *333:A 0.000723129 -7 *316:A_N 2.85288e-05 -8 *394:X 0.00144498 -9 *119:102 0.0011302 -10 *119:86 0.00257759 -11 *119:38 0.000953812 -12 *119:33 0.000557298 -13 *119:18 0.00022138 -14 *119:16 0.00340168 -15 *316:A_N *143:18 1.56428e-05 -16 *332:A_N *143:18 2.04328e-05 -17 *333:A *433:A 0.000134693 -18 *377:RESET_B *377:D 7.77983e-05 -19 *377:RESET_B *145:25 0.000464017 -20 *378:RESET_B *362:D 0.000181436 -21 *378:RESET_B *379:D 4.41931e-05 -22 *378:RESET_B *408:A 3.84364e-05 -23 *378:RESET_B *144:9 0 -24 *119:16 *353:CLK_N 0.000469227 -25 *119:16 *438:A 0.00041521 -26 *119:16 *123:34 8.35118e-05 -27 *119:16 *159:15 0.000108623 -28 *119:16 *159:43 0.000131836 -29 *119:33 *143:18 2.41003e-05 -30 *119:38 *433:A 0.000162467 -31 *119:86 *353:CLK_N 9.98943e-06 -32 *119:86 *123:34 0.000242846 -33 *119:102 *145:25 0.000255293 -34 pad_gpio_dm[1] *119:86 8.76348e-06 -35 pad_gpio_holdover *331:A 8.54281e-05 -36 serial_clock_out *119:16 2.14995e-05 -37 serial_clock_out *119:86 0.000209358 -38 serial_clock_out *119:102 0.000116351 -39 *174:DIODE *119:16 0.000489967 -40 *174:DIODE *119:86 1.79536e-05 -41 *175:DIODE *119:16 8.71039e-05 -42 *176:DIODE *333:A 0.000239726 -43 *176:DIODE *119:38 0.000215932 -44 *200:DIODE *119:16 4.66108e-05 -45 *201:DIODE *316:A_N 5.83121e-06 -46 *201:DIODE *119:33 0.000103927 -47 *312:A2 *378:RESET_B 0 -48 *314:A *331:A 9.43574e-05 -49 *315:B *315:A 0.00031982 -50 *316:B *332:A_N 1.43622e-05 -51 *316:B *119:33 0.000160945 -52 *321:A *119:33 4.66108e-05 -53 *333:B *333:A 2.747e-05 -54 *334:B *333:A 6.90254e-05 -55 *353:RESET_B *315:A 4.12775e-05 -56 *353:SET_B *119:33 4.66108e-05 -57 *355:RESET_B *315:A 1.97486e-05 -58 *355:RESET_B *378:RESET_B 0.000202988 -59 *355:RESET_B *119:102 0.000110468 -60 *356:RESET_B *332:A_N 1.14192e-05 -61 *356:RESET_B *119:33 4.89395e-05 -62 *361:SET_B *332:A_N 2.42043e-05 -63 *361:SET_B *378:RESET_B 0.000104767 -64 *361:SET_B *119:38 9.12494e-06 -65 *361:SET_B *119:102 0.000211551 -66 *362:RESET_B *333:A 0.000118099 -67 *363:SET_B *119:16 0.000302672 -68 *421:A *377:RESET_B 6.10279e-05 -69 *427:A *377:RESET_B 7.85005e-05 -70 *428:A *377:RESET_B 8.39356e-05 -71 *3:24 *332:A_N 4.66108e-05 -72 *3:29 *332:A_N 0.000118081 -73 *3:29 *119:38 4.91075e-05 -74 *4:27 *119:16 4.36001e-05 -75 *9:24 *119:16 0.000301492 -76 *9:38 *119:16 1.43253e-05 -77 *34:11 *377:RESET_B 0.000469576 -78 *34:11 *119:102 0.000453794 -79 *42:31 *315:A 1.69428e-05 -80 *101:16 *315:A 7.08821e-05 -81 *118:34 *377:RESET_B 5.98468e-05 -*RES -1 *394:X *119:16 31.515 -2 *119:16 *119:18 2 -3 *119:18 *316:A_N 2.05 -4 *119:18 *119:33 4.68 -5 *119:33 *119:38 6.29 -6 *119:38 *333:A 18.07 -7 *119:38 *331:A 5.445 -8 *119:33 *332:A_N 5.29 -9 *119:16 *119:86 4.68003 -10 *119:86 *377:RESET_B 6.13133 -11 *119:86 *119:102 3.92133 -12 *119:102 *315:A 11.3175 -13 *119:102 *378:RESET_B 9.35 -*END - -*D_NET *120 0.0119515 -*CONN -*I *379:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *381:A I *D sky130_fd_sc_hd__buf_2 -*I *334:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *395:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *379:RESET_B 0.00207614 -2 *381:A 0 -3 *334:A_N 1.65033e-05 -4 *395:X 0.000377174 -5 *120:22 0.00329417 -6 *120:8 0.00161172 -7 *379:RESET_B *346:A 0 -8 *379:RESET_B *393:A 0.000452006 -9 *379:RESET_B *159:8 0.000199303 -10 *379:RESET_B *159:62 0.000396608 -11 *120:8 *395:A 6.72833e-06 -12 pad_gpio_holdover *334:A_N 2.49909e-05 -13 pad_gpio_out *120:22 9.13235e-05 -14 pad_gpio_vtrip_sel *379:RESET_B 1.80213e-05 -15 resetn_out *379:RESET_B 7.42989e-06 -16 *210:DIODE *120:8 2.87133e-05 -17 *212:DIODE *120:8 5.16891e-05 -18 *321:B *120:22 0.000661253 -19 *334:B *120:8 0.000188535 -20 *337:A *379:RESET_B 0.00014608 -21 *337:B *379:RESET_B 4.66108e-05 -22 *362:RESET_B *120:8 0 -23 *362:RESET_B *120:22 0 -24 *362:SET_B *334:A_N 4.66108e-05 -25 *364:RESET_B *379:RESET_B 0.000298795 -26 *371:RESET_B *379:RESET_B 0.000475368 -27 *385:A *379:RESET_B 0.000153816 -28 *420:A *120:8 0.000537203 -29 *420:A *120:22 0.00047064 -30 *426:A *120:22 4.77807e-05 -31 *428:A *379:RESET_B 8.35118e-05 -32 *4:44 *120:8 2.50751e-05 -33 *5:28 *379:RESET_B 0 -34 *7:14 *379:RESET_B 0 -35 *13:20 *379:RESET_B 8.76348e-06 -36 *99:8 *120:8 0 -37 *116:35 *379:RESET_B 0.000108922 -*RES -1 *395:X *120:8 12.15 -2 *120:8 *334:A_N 4.05 -3 *120:8 *120:22 22.325 -4 *120:22 *381:A 1.6 -5 *120:22 *379:RESET_B 39.8559 -*END - -*D_NET *121 0.00127995 -*CONN -*I *314:B I *D sky130_fd_sc_hd__and2_2 -*I *380:A I *D sky130_fd_sc_hd__buf_2 -*I *414:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *314:B 0.000236397 -2 *380:A 6.44339e-05 -3 *414:X 6.02529e-05 -4 *121:7 0.000361084 -5 *314:B *329:A 0 -6 *314:B *396:A 3.6836e-05 -7 *380:A *396:A 1.19928e-05 -8 *380:A *397:A 3.59921e-05 -9 *380:A *123:34 2.83579e-05 -10 *121:7 *396:A 3.112e-05 -11 *4:27 *380:A 8.41307e-05 -12 *4:44 *380:A 8.35157e-05 -13 *4:44 *121:7 0.000102164 -14 *5:40 *314:B 3.97748e-05 -15 *99:8 *314:B 0.000103903 -*RES -1 *414:X *121:7 3.1 -2 *121:7 *380:A 3.445 -3 *121:7 *314:B 10.04 -*END - -*D_NET *122 0.0179851 -*CONN -*I *395:A I *D sky130_fd_sc_hd__buf_2 -*I *190:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *329:A I *D sky130_fd_sc_hd__or2_0 -*I *207:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *206:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *394:A I *D sky130_fd_sc_hd__buf_2 -*I *393:A I *D sky130_fd_sc_hd__buf_2 -*I *205:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *396:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *395:A 6.74126e-05 -2 *190:DIODE 0 -3 *329:A 0.000732929 -4 *207:DIODE 0 -5 *206:DIODE 0.000317606 -6 *394:A 0 -7 *393:A 0.00171429 -8 *205:DIODE 9.76063e-05 -9 *396:X 2.21004e-05 -10 *122:33 0.00201164 -11 *122:30 0.000817623 -12 *122:19 0.000918862 -13 *122:15 0.00138989 -14 *122:11 0.00152319 -15 *122:7 0.000159084 -16 *122:5 0.000229641 -17 *206:DIODE *159:21 5.83121e-06 -18 *206:DIODE *159:27 1.91597e-05 -19 *206:DIODE *159:43 0.000237352 -20 *393:A *363:D 8.48035e-05 -21 *393:A *159:15 0.000169472 -22 *122:7 *396:A 8.64599e-05 -23 *122:15 *417:A 0.000999838 -24 *122:15 *438:A 0 -25 *122:19 *417:A 0.000123955 -26 *122:33 *159:15 7.62439e-05 -27 *122:33 *159:21 6.91124e-05 -28 zero *122:15 0.00021811 -29 *185:DIODE *122:30 0.000133329 -30 *188:DIODE *205:DIODE 4.57056e-05 -31 *191:DIODE *329:A 5.84759e-05 -32 *196:DIODE *329:A 0.000171906 -33 *196:DIODE *122:15 0.000100224 -34 *208:DIODE *329:A 2.0474e-05 -35 *208:DIODE *122:7 8.35157e-05 -36 *208:DIODE *122:11 8.41307e-05 -37 *208:DIODE *122:15 0.000115012 -38 *209:DIODE *205:DIODE 4.89558e-05 -39 *209:DIODE *122:19 0.000305043 -40 *314:B *329:A 0 -41 *329:B *329:A 5.38954e-05 -42 *360:RESET_B *329:A 1.14169e-05 -43 *361:RESET_B *329:A 0.000153333 -44 *372:RESET_B *393:A 5.72711e-05 -45 *379:RESET_B *393:A 0.000452006 -46 *391:A *393:A 0.00104181 -47 *419:A *329:A 0.000943057 -48 *425:A *329:A 9.09788e-06 -49 *429:A *393:A 7.40564e-05 -50 *3:23 *329:A 0.000342283 -51 *3:37 *329:A 0.000175411 -52 *5:40 *329:A 1.80525e-05 -53 *5:40 *122:7 3.57349e-05 -54 *5:40 *122:11 8.41307e-05 -55 *7:14 *393:A 0.000459336 -56 *29:9 *329:A 4.01811e-05 -57 *94:8 *122:30 4.746e-05 -58 *94:17 *122:30 3.62086e-05 -59 *99:8 *329:A 0 -60 *114:13 *393:A 0.00060817 -61 *114:31 *393:A 7.64633e-05 -62 *120:8 *395:A 6.72833e-06 -*RES -1 *396:X *122:5 1.96 -2 *122:5 *122:7 2.865 -3 *122:7 *122:11 2.795 -4 *122:11 *122:15 13.73 -5 *122:15 *122:19 8.4 -6 *122:19 *205:DIODE 3.43 -7 *122:19 *122:30 7.93 -8 *122:30 *122:33 3.825 -9 *122:33 *393:A 48.275 -10 *122:33 *394:A 1.6 -11 *122:30 *206:DIODE 5.845 -12 *122:15 *207:DIODE 1.6 -13 *122:11 *329:A 21.78 -14 *122:7 *190:DIODE 1.6 -15 *122:5 *395:A 2.755 -*END - -*D_NET *123 0.0151122 -*CONN -*I *396:A I *D sky130_fd_sc_hd__buf_2 -*I *390:A I *D sky130_fd_sc_hd__buf_2 -*I *392:A I *D sky130_fd_sc_hd__buf_2 -*I *397:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *396:A 0.000302346 -2 *390:A 2.13885e-05 -3 *392:A 0.00098236 -4 *397:X 0 -5 *123:34 0.0039543 -6 *123:4 0.0032529 -7 *390:A *378:CLK 8.41307e-05 -8 *392:A *367:D 1.31227e-05 -9 *392:A *378:CLK 0.000145614 -10 *392:A *379:CLK 0.000191811 -11 *392:A *408:A 6.80182e-05 -12 *123:34 *361:CLK_N 2.76356e-05 -13 *123:34 *397:A 0.000339019 -14 *123:34 *409:A 8.23457e-05 -15 *123:34 *432:A 0.000893963 -16 *123:34 *434:A 0.000522732 -17 *123:34 *438:A 0.000188881 -18 *123:34 *159:43 5.81634e-05 -19 pad_gpio_ana_pol *123:34 0.000118658 -20 *314:B *396:A 3.6836e-05 -21 *317:B *123:34 5.8023e-05 -22 *319:B *392:A 0.000455764 -23 *326:B *123:34 4.12303e-05 -24 *354:RESET_B *123:34 0.000155316 -25 *355:RESET_B *392:A 2.4274e-05 -26 *366:RESET_B *392:A 2.10214e-05 -27 *380:A *396:A 1.19928e-05 -28 *380:A *123:34 2.83579e-05 -29 *429:A *392:A 2.12738e-05 -30 *3:23 *123:34 0.000349525 -31 *4:17 *123:34 0.000914551 -32 *5:40 *396:A 7.47702e-05 -33 *8:15 *392:A 0.000297199 -34 *11:19 *392:A 5.74791e-05 -35 *11:19 *123:34 9.2507e-05 -36 *15:10 *396:A 5.44607e-05 -37 *32:12 *123:34 0.000241575 -38 *99:8 *396:A 0.00036823 -39 *115:15 *390:A 4.11718e-05 -40 *115:15 *392:A 1.08758e-05 -41 *115:15 *123:34 3.94104e-05 -42 *117:13 *392:A 2.49909e-05 -43 *119:16 *123:34 8.35118e-05 -44 *119:86 *123:34 0.000242846 -45 *121:7 *396:A 3.112e-05 -46 *122:7 *396:A 8.64599e-05 -*RES -1 *397:X *123:4 1.6 -2 *123:4 *123:34 45.791 -3 *123:34 *392:A 21.35 -4 *123:34 *390:A 2.395 -5 *123:4 *396:A 12.23 -*END - -*D_NET *124 0.00675204 -*CONN -*I *353:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *305:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *353:CLK_N 0.000994362 -2 *305:Y 0.000994362 -3 *353:CLK_N *367:D 0.000155601 -4 *353:CLK_N *378:D 0.000132611 -5 *353:CLK_N *407:A 0.000206186 -6 *353:CLK_N *408:A 8.01247e-05 -7 *353:CLK_N *409:A 6.86885e-05 -8 *353:CLK_N *149:10 0.000109464 -9 serial_clock_out *353:CLK_N 0.00193315 -10 *174:DIODE *353:CLK_N 2.9811e-05 -11 *201:DIODE *353:CLK_N 0.000306123 -12 *317:A *353:CLK_N 0.00015505 -13 *318:A_N *353:CLK_N 0.000319362 -14 *42:31 *353:CLK_N 0.000700734 -15 *115:15 *353:CLK_N 0 -16 *118:45 *353:CLK_N 8.71951e-05 -17 *119:16 *353:CLK_N 0.000469227 -18 *119:86 *353:CLK_N 9.98943e-06 -*RES -1 *305:Y *353:CLK_N 38.91 -*END - -*D_NET *125 0.00675536 -*CONN -*I *354:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *341:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *354:CLK_N 0.00140577 -2 *341:Y 0.00140577 -3 *354:CLK_N *354:D 1.79483e-05 -4 *354:CLK_N *357:D 0.000206537 -5 *354:CLK_N *359:CLK_N 7.39837e-05 -6 *354:CLK_N *370:D 0.000279606 -7 *354:CLK_N *379:CLK 0.000141442 -8 *354:CLK_N *379:D 6.33103e-05 -9 *180:DIODE *354:CLK_N 0.000916918 -10 *185:DIODE *354:CLK_N 0.000129483 -11 *357:SET_B *354:CLK_N 0.000227651 -12 *366:RESET_B *354:CLK_N 1.05194e-05 -13 *383:A *354:CLK_N 0.000132353 -14 *429:A *354:CLK_N 1.19928e-05 -15 *7:28 *354:CLK_N 3.86987e-05 -16 *34:11 *354:CLK_N 0.000871478 -17 *38:8 *354:CLK_N 0.000125679 -18 *94:17 *354:CLK_N 0.000605537 -19 *94:26 *354:CLK_N 9.06792e-05 -*RES -1 *341:Y *354:CLK_N 43.605 -*END - -*D_NET *126 0.00509551 -*CONN -*I *355:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *342:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *355:CLK_N 0.00173138 -2 *342:Y 0.00173138 -3 *355:CLK_N *358:D 8.71672e-05 -4 *355:CLK_N *371:D 4.11718e-05 -5 *322:B *355:CLK_N 3.59266e-06 -6 *342:A *355:CLK_N 8.01556e-05 -7 *358:RESET_B *355:CLK_N 4.26643e-05 -8 *358:SET_B *355:CLK_N 0.000697751 -9 *427:A *355:CLK_N 8.35118e-05 -10 *9:13 *355:CLK_N 0.000596742 -*RES -1 *342:Y *355:CLK_N 24.62 -*END - -*D_NET *127 0.00223794 -*CONN -*I *356:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *343:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *356:CLK_N 0.000522512 -2 *343:Y 0.000522512 -3 *356:CLK_N *411:A 0.00010163 -4 *174:DIODE *356:CLK_N 0.000122513 -5 *307:A *356:CLK_N 0.000453654 -6 *36:11 *356:CLK_N 0.000455707 -7 *41:15 *356:CLK_N 5.94099e-05 -*RES -1 *343:Y *356:CLK_N 17.25 -*END - -*D_NET *128 0.00677329 -*CONN -*I *357:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *344:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *357:CLK_N 0.000899054 -2 *344:Y 0.000899054 -3 *357:CLK_N *379:CLK 0.00245259 -4 *357:CLK_N *409:A 4.28819e-05 -5 *204:DIODE *357:CLK_N 6.61627e-05 -6 *383:A *357:CLK_N 0.000463813 -7 *34:11 *357:CLK_N 4.26747e-05 -8 *38:8 *357:CLK_N 4.21484e-05 -9 *94:17 *357:CLK_N 0.00112675 -10 *94:26 *357:CLK_N 0.000294118 -11 *94:37 *357:CLK_N 0.000231168 -12 *94:60 *357:CLK_N 0.000212876 -*RES -1 *344:Y *357:CLK_N 38.28 -*END - -*D_NET *129 0.00508252 -*CONN -*I *358:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *345:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *358:CLK_N 0.000804619 -2 *345:Y 0.000804619 -3 *358:CLK_N *379:CLK 0.000266923 -4 *200:DIODE *358:CLK_N 9.05592e-05 -5 *203:DIODE *358:CLK_N 9.1464e-05 -6 *323:A *358:CLK_N 0.000285262 -7 *336:A_N *358:CLK_N 1.0397e-05 -8 *345:A *358:CLK_N 4.89362e-05 -9 *384:A *358:CLK_N 0.00143015 -10 *385:A *358:CLK_N 0.000197379 -11 *10:26 *358:CLK_N 1.37188e-05 -12 *10:29 *358:CLK_N 0.000388729 -13 *93:8 *358:CLK_N 0.000630899 -14 *116:35 *358:CLK_N 1.88602e-05 -*RES -1 *345:Y *358:CLK_N 31.47 -*END - -*D_NET *130 0.00378474 -*CONN -*I *359:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *346:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *359:CLK_N 0.000962997 -2 *346:Y 0.000962997 -3 *359:CLK_N *346:A 0.000643335 -4 *359:CLK_N *354:D 0 -5 *359:CLK_N *361:CLK_N 5.67935e-05 -6 *359:CLK_N *143:18 9.32586e-05 -7 *319:A *359:CLK_N 0 -8 *319:B *359:CLK_N 0.000160397 -9 *354:CLK_N *359:CLK_N 7.39837e-05 -10 *357:SET_B *359:CLK_N 0.000197456 -11 *429:A *359:CLK_N 8.52951e-05 -12 *430:A *359:CLK_N 0.000421509 -13 *8:15 *359:CLK_N 9.74882e-05 -14 *11:19 *359:CLK_N 2.92271e-05 -15 *12:11 *359:CLK_N 0 -*RES -1 *346:Y *359:CLK_N 28.395 -*END - -*D_NET *131 0.00342886 -*CONN -*I *360:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *347:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *360:CLK_N 0.000829364 -2 *347:Y 0.000829364 -3 *360:CLK_N *360:D 0.000131802 -4 *347:A *360:CLK_N 0.000751841 -5 *360:SET_B *360:CLK_N 9.60647e-05 -6 *361:SET_B *360:CLK_N 6.19267e-05 -7 *425:A *360:CLK_N 1.36984e-05 -8 *1:27 *360:CLK_N 0.0007148 -*RES -1 *347:Y *360:CLK_N 23.4 -*END - -*D_NET *132 0.00352235 -*CONN -*I *397:A I *D sky130_fd_sc_hd__buf_2 -*I *415:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *397:A 0.00102399 -2 *415:X 0.00102399 -3 *397:A *362:CLK_N 0 -4 *397:A *433:A 0.000428104 -5 *397:A *438:A 6.2139e-05 -6 *175:DIODE *397:A 0 -7 *380:A *397:A 3.59921e-05 -8 *415:A *397:A 0.000155601 -9 *419:A *397:A 0.000194287 -10 *423:A *397:A 5.83121e-06 -11 *4:27 *397:A 9.47267e-06 -12 *39:10 *397:A 0.000243923 -13 *123:34 *397:A 0.000339019 -*RES -1 *415:X *397:A 24.015 -*END - -*D_NET *133 0.00803062 -*CONN -*I *361:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *348:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *361:CLK_N 0.00155402 -2 *348:Y 0.00155402 -3 *361:CLK_N *361:D 2.69153e-05 -4 *361:CLK_N *363:CLK_N 0.00112708 -5 *361:CLK_N *407:A 7.84528e-05 -6 *361:CLK_N *409:A 8.06768e-05 -7 *361:CLK_N *143:18 0.00157749 -8 *361:CLK_N *151:6 7.15571e-05 -9 *180:DIODE *361:CLK_N 0.000585068 -10 *185:DIODE *361:CLK_N 5.34082e-05 -11 *200:DIODE *361:CLK_N 4.77807e-05 -12 *326:B *361:CLK_N 0.00015027 -13 *357:RESET_B *361:CLK_N 0.000109175 -14 *357:SET_B *361:CLK_N 0.000143723 -15 *359:CLK_N *361:CLK_N 5.67935e-05 -16 *423:A *361:CLK_N 0.000441326 -17 *9:38 *361:CLK_N 0.000131525 -18 *113:22 *361:CLK_N 0.00021369 -19 *123:34 *361:CLK_N 2.76356e-05 -*RES -1 *348:Y *361:CLK_N 47.88 -*END - -*D_NET *134 0.00652645 -*CONN -*I *362:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *349:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *362:CLK_N 0.00114233 -2 *349:Y 0.00114233 -3 *362:CLK_N *379:D 7.39166e-05 -4 *174:DIODE *362:CLK_N 5.05296e-05 -5 *175:DIODE *362:CLK_N 0.000784592 -6 *178:DIODE *362:CLK_N 0.000129712 -7 *318:B *362:CLK_N 0.000714436 -8 *353:SET_B *362:CLK_N 0.00180354 -9 *355:RESET_B *362:CLK_N 0 -10 *365:RESET_B *362:CLK_N 0.000132827 -11 *397:A *362:CLK_N 0 -12 *423:A *362:CLK_N 0 -13 *42:31 *362:CLK_N 3.90201e-05 -14 *118:48 *362:CLK_N 0.000255687 -15 *118:58 *362:CLK_N 0.000257532 -*RES -1 *349:Y *362:CLK_N 38.385 -*END - -*D_NET *135 0.00408894 -*CONN -*I *363:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *350:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *363:CLK_N 0.000965534 -2 *350:Y 0.000965534 -3 *363:CLK_N *363:D 0.000155601 -4 *363:CLK_N *364:D 0.000346212 -5 *363:CLK_N *435:A 5.7655e-05 -6 *363:CLK_N *151:6 0.000304702 -7 serial_clock_out *363:CLK_N 5.06989e-05 -8 *180:DIODE *363:CLK_N 3.11888e-05 -9 *345:A *363:CLK_N 1.31297e-05 -10 *361:CLK_N *363:CLK_N 0.00112708 -11 *7:28 *363:CLK_N 7.15997e-05 -*RES -1 *350:Y *363:CLK_N 28.05 -*END - -*D_NET *136 0.00243377 -*CONN -*I *364:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *351:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *364:CLK_N 0.000800507 -2 *351:Y 0.000800507 -3 *364:CLK_N *364:D 5.73726e-05 -4 *364:CLK_N *143:18 4.21438e-05 -5 *182:DIODE *364:CLK_N 0.000400594 -6 *324:B *364:CLK_N 2.08971e-05 -7 *364:RESET_B *364:CLK_N 2.97522e-05 -8 *364:SET_B *364:CLK_N 1.15487e-05 -9 *422:A *364:CLK_N 0.000134373 -10 *4:17 *364:CLK_N 0.00013607 -*RES -1 *351:Y *364:CLK_N 19.425 -*END - -*D_NET *137 0.00258035 -*CONN -*I *365:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *352:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *365:CLK_N 0.000488186 -2 *352:Y 0.000488186 -3 *365:CLK_N *435:A 0.000368617 -4 *365:CLK_N *158:7 4.66108e-05 -5 serial_clock_out *365:CLK_N 2.88357e-05 -6 *182:DIODE *365:CLK_N 4.46302e-05 -7 *421:A *365:CLK_N 0.000832734 -8 *428:A *365:CLK_N 0.000282553 -*RES -1 *352:Y *365:CLK_N 20.37 -*END - -*D_NET *138 0.00774781 -*CONN -*I *379:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *306:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *379:CLK 0.00118913 -2 *306:Y 0.00118913 -3 *379:CLK *354:D 9.72226e-06 -4 *379:CLK *379:D 1.42598e-05 -5 *379:CLK *410:A 0.000320414 -6 *379:CLK *147:11 7.17989e-05 -7 *354:CLK_N *379:CLK 0.000141442 -8 *357:CLK_N *379:CLK 0.00245259 -9 *358:CLK_N *379:CLK 0.000266923 -10 *366:RESET_B *379:CLK 3.85753e-05 -11 *384:A *379:CLK 0.00143684 -12 *392:A *379:CLK 0.000191811 -13 *429:A *379:CLK 6.52347e-05 -14 *8:15 *379:CLK 0.000162304 -15 *38:8 *379:CLK 0.000100121 -16 *93:8 *379:CLK 9.30637e-06 -17 *94:17 *379:CLK 8.8207e-05 -*RES -1 *306:Y *379:CLK 44.655 -*END - -*D_NET *139 0.004664 -*CONN -*I *353:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *367:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *399:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *353:D 0 -2 *367:D 0.00142004 -3 *399:X 0.000167947 -4 *139:10 0.00158799 -5 *367:D *366:CLK 0.000375856 -6 *367:D *407:A 2.72392e-05 -7 *367:D *408:A 0.000103184 -8 *139:10 *379:D 0.000156771 -9 *328:A_N *367:D 0.000130088 -10 *353:CLK_N *367:D 0.000155601 -11 *366:RESET_B *367:D 4.66108e-05 -12 *367:RESET_B *367:D 2.901e-05 -13 *392:A *367:D 1.31227e-05 -14 *3:23 *139:10 0.000129721 -15 *4:17 *139:10 0.000129484 -16 *117:13 *367:D 0.000191343 -*RES -1 *399:X *139:10 8.72 -2 *139:10 *367:D 21.34 -3 *139:10 *353:D 1.6 -*END - -*D_NET *140 0.00427276 -*CONN -*I *356:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *376:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *404:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *356:D 0.000122883 -2 *376:D 0.00106352 -3 *404:X 0.000116858 -4 *140:8 0.00130326 -5 *376:D *343:A 0.000146811 -6 *376:D *376:CLK 1.10085e-05 -7 *376:D *400:A 3.64998e-06 -8 *376:D *411:A 0.000341142 -9 *174:DIODE *376:D 1.92979e-05 -10 *356:SET_B *356:D 5.95295e-05 -11 *359:SET_B *140:8 8.41384e-05 -12 *362:RESET_B *376:D 0.000110179 -13 *362:RESET_B *140:8 6.6449e-05 -14 *425:A *376:D 0.000153816 -15 *101:16 *376:D 0.000545102 -16 *101:16 *140:8 0.000125122 -*RES -1 *404:X *140:8 6.03 -2 *140:8 *376:D 19.77 -3 *140:8 *356:D 5.43 -*END - -*D_NET *141 0.000993392 -*CONN -*I *362:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *405:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *362:D 0.000292536 -2 *405:X 0.000292536 -3 *362:D *379:D 6.02863e-05 -4 *362:D *405:A 3.04704e-05 -5 *355:RESET_B *362:D 0.000136127 -6 *378:RESET_B *362:D 0.000181436 -*RES -1 *405:X *362:D 12.15 -*END - -*D_NET *142 0.00131322 -*CONN -*I *378:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *361:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *406:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *378:D 0.000273897 -2 *361:D 0.000139485 -3 *406:X 0 -4 *142:4 0.000413382 -5 *378:D *379:D 0 -6 *378:D *409:A 3.59531e-06 -7 *318:A_N *378:D 8.65712e-05 -8 *353:CLK_N *378:D 0.000132611 -9 *355:RESET_B *378:D 1.34618e-05 -10 *361:CLK_N *361:D 2.69153e-05 -11 *423:A *361:D 4.79712e-05 -12 *4:17 *378:D 0 -13 *42:31 *361:D 4.41506e-05 -14 *115:15 *378:D 0.000131178 -*RES -1 *406:X *142:4 1.6 -2 *142:4 *361:D 4.045 -3 *142:4 *378:D 11.255 -*END - -*D_NET *143 0.00938469 -*CONN -*I *366:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *416:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *366:D 3.0259e-05 -2 *416:X 0.00244053 -3 *143:18 0.00247079 -4 *366:D *366:CLK 6.81928e-05 -5 *366:D *408:A 0.000121039 -6 *143:18 *364:D 2.69153e-05 -7 *143:18 *434:A 1.16322e-05 -8 *311:B *143:18 0.000158541 -9 *313:A1 *143:18 8.38297e-06 -10 *316:A_N *143:18 1.56428e-05 -11 *316:B *143:18 0.000486887 -12 *332:A_N *143:18 2.04328e-05 -13 *358:RESET_B *143:18 0.000248327 -14 *359:CLK_N *143:18 9.32586e-05 -15 *361:CLK_N *143:18 0.00157749 -16 *361:SET_B *143:18 1.91597e-05 -17 *363:SET_B *143:18 2.47182e-05 -18 *364:CLK_N *143:18 4.21438e-05 -19 *364:RESET_B *143:18 6.61627e-05 -20 *364:SET_B *143:18 4.20673e-05 -21 *3:24 *143:18 1.41165e-05 -22 *3:29 *143:18 1.19928e-05 -23 *12:11 *143:18 0.000922959 -24 *113:22 *143:18 0.000438956 -25 *119:33 *143:18 2.41003e-05 -*RES -1 *416:X *143:18 48.935 -2 *143:18 *366:D 2.74 -*END - -*D_NET *144 0.00661356 -*CONN -*I *354:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *369:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *407:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *354:D 2.63954e-05 -2 *369:D 0.000897331 -3 *407:X 0.00143416 -4 *144:9 0.00235788 -5 user_gpio_in *369:D 0.000128605 -6 user_gpio_in *144:9 0.000429172 -7 *312:A2 *144:9 4.13502e-05 -8 *354:CLK_N *354:D 1.79483e-05 -9 *359:CLK_N *354:D 0 -10 *366:RESET_B *369:D 2.87324e-05 -11 *366:RESET_B *144:9 0.000304864 -12 *378:RESET_B *144:9 0 -13 *379:CLK *354:D 9.72226e-06 -14 *1:16 *369:D 0.00012635 -15 *6:7 *369:D 4.65246e-05 -16 *6:27 *369:D 0.000213224 -17 *7:14 *369:D 0.00010793 -18 *13:13 *369:D 3.86352e-05 -19 *38:8 *144:9 0.000404739 -*RES -1 *407:X *144:9 8.73363 -2 *144:9 *369:D 9.0839 -3 *144:9 *354:D 4.5875 -*END - -*D_NET *145 0.0053473 -*CONN -*I *359:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *368:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *408:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *359:D 0 -2 *368:D 0.000698651 -3 *408:X 0.000758441 -4 *145:25 0.00145709 -5 *368:D *407:A 0.000466855 -6 *368:D *410:A 0.000123642 -7 *368:D *146:7 6.65511e-05 -8 *368:D *147:11 8.73389e-06 -9 *145:25 *407:A 8.95996e-05 -10 *145:25 *146:7 0.000116013 -11 serial_clock_out *145:25 0.00030945 -12 *353:SET_B *145:25 9.58678e-06 -13 *354:RESET_B *145:25 2.01867e-05 -14 *368:RESET_B *368:D 6.18178e-05 -15 *377:RESET_B *145:25 0.000464017 -16 *421:A *145:25 9.96246e-05 -17 *423:A *145:25 6.16226e-06 -18 *2:22 *145:25 4.45711e-05 -19 *11:19 *145:25 0 -20 *116:76 *145:25 0.000189101 -21 *118:34 *145:25 0.000101906 -22 *119:102 *145:25 0.000255293 -*RES -1 *408:X *145:25 19.0051 -2 *145:25 *368:D 14.02 -3 *145:25 *359:D 1.6 -*END - -*D_NET *146 0.00472029 -*CONN -*I *357:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *370:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *409:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *357:D 0.000144041 -2 *370:D 0.000247758 -3 *409:X 0.000883332 -4 *146:7 0.00127513 -5 *357:D *409:A 4.28819e-05 -6 *146:7 *410:A 5.83121e-06 -7 *204:DIODE *357:D 5.83121e-06 -8 *354:CLK_N *357:D 0.000206537 -9 *354:CLK_N *370:D 0.000279606 -10 *357:SET_B *357:D 0.000214306 -11 *357:SET_B *370:D 0.000276882 -12 *359:RESET_B *370:D 0.000274169 -13 *359:SET_B *146:7 0.000437835 -14 *368:D *146:7 6.65511e-05 -15 *370:RESET_B *370:D 0.000109112 -16 *427:A *370:D 0.000110477 -17 *118:34 *146:7 2.39997e-05 -18 *145:25 *146:7 0.000116013 -*RES -1 *409:X *146:7 14.055 -2 *146:7 *370:D 11.61 -3 *146:7 *357:D 7.395 -*END - -*D_NET *147 0.00209491 -*CONN -*I *358:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *371:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *410:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *358:D 5.67036e-05 -2 *371:D 0.00014789 -3 *410:X 0.000240589 -4 *147:11 0.000445182 -5 *371:D *346:A 4.63538e-05 -6 *371:D *159:62 2.93063e-05 -7 *147:11 *410:A 0.000285546 -8 *342:A *358:D 5.12248e-05 -9 *342:A *371:D 8.58427e-05 -10 *355:CLK_N *358:D 8.71672e-05 -11 *355:CLK_N *371:D 4.11718e-05 -12 *368:D *147:11 8.73389e-06 -13 *379:CLK *147:11 7.17989e-05 -14 *427:A *371:D 6.88036e-05 -15 *10:21 *371:D 0.000119466 -16 *10:21 *147:11 0.000131951 -17 *93:8 *371:D 4.56429e-06 -18 *93:8 *147:11 0.00017262 -*RES -1 *410:X *147:11 11.615 -2 *147:11 *371:D 9.44 -3 *147:11 *358:D 3.085 -*END - -*D_NET *148 0.00676042 -*CONN -*I *360:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *377:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *411:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *360:D 0.000225792 -2 *377:D 0.000845565 -3 *411:X 0.000156228 -4 *148:9 0.00122758 -5 *377:D *158:32 0.000101417 -6 *377:D *158:47 0.000160855 -7 *326:B *377:D 0.000114388 -8 *347:A *360:D 8.85876e-05 -9 *355:RESET_B *360:D 5.93673e-05 -10 *356:RESET_B *360:D 5.44575e-05 -11 *360:CLK_N *360:D 0.000131802 -12 *361:RESET_B *148:9 5.75488e-05 -13 *377:RESET_B *377:D 7.77983e-05 -14 *423:A *360:D 0.000411101 -15 *426:A *360:D 0.00024664 -16 *427:A *377:D 0.000119866 -17 *428:A *377:D 0.000164065 -18 *2:22 *377:D 0.000263031 -19 *3:23 *148:9 5.75488e-05 -20 *5:28 *377:D 0.000985754 -21 *5:28 *148:9 0.000281948 -22 *32:12 *377:D 0.000623754 -23 *32:12 *148:9 0.000305316 -*RES -1 *411:X *148:9 5.34663 -2 *148:9 *377:D 13.9387 -3 *148:9 *360:D 11.3525 -*END - -*D_NET *149 0.00217016 -*CONN -*I *355:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *375:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *400:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *355:D 0.000306272 -2 *375:D 8.62892e-05 -3 *400:X 0.000140829 -4 *149:10 0.000533391 -5 *178:DIODE *355:D 3.91137e-05 -6 *322:A_N *355:D 5.12248e-05 -7 *322:B *355:D 0.000107371 -8 *353:CLK_N *149:10 0.000109464 -9 *353:SET_B *355:D 5.128e-05 -10 *355:SET_B *355:D 0.000150004 -11 *355:SET_B *375:D 1.82499e-06 -12 *358:SET_B *355:D 0.000123642 -13 *358:SET_B *375:D 8.247e-05 -14 *375:RESET_B *375:D 9.87141e-05 -15 *423:A *355:D 4.63132e-05 -16 *427:A *149:10 0.000118081 -17 *42:31 *149:10 0.000108233 -18 *118:45 *355:D 1.56428e-05 -*RES -1 *400:X *149:10 8.12 -2 *149:10 *375:D 3.43 -3 *149:10 *355:D 12.365 -*END - -*D_NET *150 0.00212739 -*CONN -*I *374:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *365:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *401:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *374:D 0.000315115 -2 *365:D 0.000153698 -3 *401:X 1.65033e-05 -4 *150:7 0.000485317 -5 *365:D *401:A 0.000155056 -6 *374:D *343:A 0.000307573 -7 *374:D *376:CLK 6.61724e-05 -8 *150:7 *401:A 4.66108e-05 -9 *320:B *374:D 6.33103e-05 -10 *347:A *150:7 2.49909e-05 -11 *374:RESET_B *374:D 2.20753e-05 -12 *422:A *365:D 0.000175234 -13 *422:A *374:D 0.000171784 -14 *12:14 *374:D 5.83121e-06 -15 *115:20 *374:D 4.90103e-05 -16 *115:26 *365:D 1.25877e-05 -17 *115:26 *374:D 5.65247e-05 -*RES -1 *401:X *150:7 4.05 -2 *150:7 *365:D 6.885 -3 *150:7 *374:D 11.025 -*END - -*D_NET *151 0.00232643 -*CONN -*I *373:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *364:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *402:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *373:D 1.65033e-05 -2 *364:D 0.000200933 -3 *402:X 0.000121842 -4 *151:6 0.000339279 -5 *357:SET_B *364:D 0.000344791 -6 *357:SET_B *151:6 0.000424725 -7 *361:CLK_N *151:6 7.15571e-05 -8 *363:CLK_N *364:D 0.000346212 -9 *363:CLK_N *151:6 0.000304702 -10 *363:RESET_B *373:D 4.66108e-05 -11 *364:CLK_N *364:D 5.73726e-05 -12 *115:31 *373:D 2.49909e-05 -13 *143:18 *364:D 2.69153e-05 -*RES -1 *402:X *151:6 8.805 -2 *151:6 *364:D 9.63 -3 *151:6 *373:D 4.05 -*END - -*D_NET *152 0.00343055 -*CONN -*I *363:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *372:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *403:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *363:D 0.000541902 -2 *372:D 0 -3 *403:X 0.000342941 -4 *152:10 0.000884844 -5 *363:D *402:A 1.77595e-05 -6 *363:D *159:62 6.18325e-06 -7 *152:10 *403:A 0 -8 *363:CLK_N *363:D 0.000155601 -9 *372:CLK *363:D 0.000548495 -10 *372:RESET_B *363:D 7.03377e-05 -11 *393:A *363:D 8.48035e-05 -12 *5:11 *152:10 5.1985e-06 -13 *6:27 *152:10 0.000101374 -14 *7:14 *152:10 0.000228763 -15 *9:13 *152:10 0.000393315 -16 *94:64 *152:10 4.90291e-05 -*RES -1 *403:X *152:10 14.15 -2 *152:10 *372:D 1.6 -3 *152:10 *363:D 17.12 -*END - -*D_NET *153 0.0027693 -*CONN -*I *431:A I *D sky130_fd_sc_hd__buf_16 -*I *380:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *431:A 0.000887047 -2 *380:X 0.000887047 -3 *195:DIODE *431:A 2.59285e-05 -4 *4:27 *431:A 0.000969278 -*RES -1 *380:X *431:A 19.695 -*END - -*D_NET *154 0.00478643 -*CONN -*I *432:A I *D sky130_fd_sc_hd__buf_16 -*I *363:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *432:A 0.000998773 -2 *363:Q 0.000998773 -3 *432:A *401:A 0.000139949 -4 *432:A *434:A 0.000146956 -5 pad_gpio_ana_pol *432:A 0.000145034 -6 pad_gpio_dm[1] *432:A 0.000539038 -7 pad_gpio_slow_sel *432:A 0.000455348 -8 *347:A *432:A 2.74452e-06 -9 *418:A *432:A 0.000174474 -10 *11:27 *432:A 0.000284595 -11 *32:12 *432:A 6.78559e-06 -12 *123:34 *432:A 0.000893963 -*RES -1 *363:Q *432:A 32.055 -*END - -*D_NET *155 0.00337115 -*CONN -*I *433:A I *D sky130_fd_sc_hd__buf_16 -*I *365:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *433:A 0.000721236 -2 *365:Q 0.000721236 -3 *433:A *438:A 0.000118018 -4 *176:DIODE *433:A 6.70727e-06 -5 *321:A *433:A 9.8138e-05 -6 *333:A *433:A 0.000134693 -7 *356:RESET_B *433:A 6.90472e-05 -8 *361:SET_B *433:A 1.18673e-05 -9 *397:A *433:A 0.000428104 -10 *418:A *433:A 7.76846e-05 -11 *423:A *433:A 0.000519041 -12 *426:A *433:A 0.000302905 -13 *119:38 *433:A 0.000162467 -*RES -1 *365:Q *433:A 25.65 -*END - -*D_NET *156 0.00212759 -*CONN -*I *434:A I *D sky130_fd_sc_hd__buf_16 -*I *364:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *434:A 0.00048323 -2 *364:Q 0.00048323 -3 *211:DIODE *434:A 0.000255609 -4 *432:A *434:A 0.000146956 -5 *4:17 *434:A 6.78476e-05 -6 *32:12 *434:A 0.00015635 -7 *123:34 *434:A 0.000522732 -8 *143:18 *434:A 1.16322e-05 -*RES -1 *364:Q *434:A 18.165 -*END - -*D_NET *157 0.00318356 -*CONN -*I *417:A I *D sky130_fd_sc_hd__buf_16 -*I *388:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 *417:A 0.000303274 -2 *388:HI 0.000303274 -3 *417:A *438:A 0.000458079 -4 zero *417:A 0.000195974 -5 *209:DIODE *417:A 0.000595989 -6 *212:DIODE *417:A 0.000203178 -7 *122:15 *417:A 0.000999838 -8 *122:19 *417:A 0.000123955 -*RES -1 *388:HI *417:A 17.12 -*END - -*D_NET *158 0.0121282 -*CONN -*I *378:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *366:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *375:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *370:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *376:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *435:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *386:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *378:CLK 0.000238323 -2 *366:CLK 0.000214276 -3 *375:CLK 0.000125771 -4 *370:CLK 0 -5 *376:CLK 0.000390849 -6 *435:A 0.000834607 -7 *386:X 5.3269e-05 -8 *158:47 0.000764476 -9 *158:34 0.000125771 -10 *158:32 0.000514244 -11 *158:17 0.000684939 -12 *158:7 0.000979598 -13 *366:CLK *408:A 0.000158421 -14 *376:CLK *343:A 1.16642e-05 -15 *376:CLK *400:A 1.56428e-05 -16 *184:DIODE *435:A 0.000232563 -17 *185:DIODE *435:A 0.000266391 -18 *320:B *375:CLK 8.52845e-05 -19 *328:A_N *366:CLK 9.34246e-05 -20 *342:A *158:17 7.01359e-05 -21 *342:A *158:32 0.000164345 -22 *345:A *435:A 0.000160314 -23 *355:RESET_B *378:CLK 0.000216539 -24 *355:SET_B *375:CLK 0.000121019 -25 *359:RESET_B *375:CLK 8.04773e-05 -26 *363:CLK_N *435:A 5.7655e-05 -27 *365:CLK_N *435:A 0.000368617 -28 *365:CLK_N *158:7 4.66108e-05 -29 *366:D *366:CLK 6.81928e-05 -30 *367:D *366:CLK 0.000375856 -31 *370:RESET_B *375:CLK 5.84759e-05 -32 *374:D *376:CLK 6.61724e-05 -33 *374:RESET_B *376:CLK 4.23378e-05 -34 *375:RESET_B *375:CLK 4.60061e-05 -35 *376:D *376:CLK 1.10085e-05 -36 *377:D *158:32 0.000101417 -37 *377:D *158:47 0.000160855 -38 *390:A *378:CLK 8.41307e-05 -39 *392:A *378:CLK 0.000145614 -40 *421:A *158:47 5.09119e-05 -41 *428:A *435:A 0.000304082 -42 *428:A *158:17 0.000184256 -43 *428:A *158:32 0.000262254 -44 *428:A *158:47 0.00023023 -45 *2:22 *378:CLK 0.000331256 -46 *2:22 *158:32 7.46585e-05 -47 *2:22 *158:47 0.000410387 -48 *95:8 *435:A 0.000703547 -49 *95:9 *435:A 3.75126e-05 -50 *95:29 *435:A 3.6355e-05 -51 *95:41 *435:A 0.000345496 -52 *95:41 *158:17 0.000214949 -53 *115:15 *378:CLK 1.42674e-05 -54 *118:34 *378:CLK 0.000335978 -55 *118:34 *158:47 0.000356758 -*RES -1 *386:X *158:7 4.395 -2 *158:7 *435:A 24.84 -3 *158:7 *158:17 3.42 -4 *158:17 *376:CLK 8.115 -5 *158:17 *158:32 5.25 -6 *158:32 *158:34 2 -7 *158:34 *370:CLK 1.6 -8 *158:34 *375:CLK 4.825 -9 *158:32 *158:47 8.52 -10 *158:47 *366:CLK 9.915 -11 *158:47 *378:CLK 11.34 -*END - -*D_NET *159 0.0168074 -*CONN -*I *346:A I *D sky130_fd_sc_hd__inv_2 -*I *343:A I *D sky130_fd_sc_hd__inv_2 -*I *436:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *349:A I *D sky130_fd_sc_hd__inv_2 -*I *305:A I *D sky130_fd_sc_hd__inv_2 -*I *348:A I *D sky130_fd_sc_hd__inv_2 -*I *341:A I *D sky130_fd_sc_hd__inv_2 -*I *344:A I *D sky130_fd_sc_hd__inv_2 -*I *387:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *346:A 0.00100266 -2 *343:A 0.00192283 -3 *436:A 0.000116708 -4 *349:A 1.9836e-05 -5 *305:A 0 -6 *348:A 0 -7 *341:A 0 -8 *344:A 0 -9 *387:X 0.000232587 -10 *159:62 0.00321987 -11 *159:43 0.000653408 -12 *159:27 0.000647992 -13 *159:21 4.59181e-05 -14 *159:15 0.000535819 -15 *159:8 0.000941165 -16 *159:7 0.000847099 -17 *343:A *400:A 8.247e-05 -18 *343:A *402:A 2.04328e-05 -19 *343:A *411:A 8.41307e-05 -20 pad_gpio_ana_pol *159:43 0.000129251 -21 pad_gpio_dm[1] *159:43 4.56429e-06 -22 resetn_out *346:A 4.61701e-06 -23 user_gpio_in *346:A 0 -24 *174:DIODE *349:A 2.69217e-05 -25 *174:DIODE *159:43 2.49909e-05 -26 *175:DIODE *159:43 4.83441e-05 -27 *181:DIODE *343:A 2.19562e-06 -28 *198:DIODE *159:43 0.000148298 -29 *200:DIODE *159:15 0.000192502 -30 *200:DIODE *159:21 6.52015e-05 -31 *200:DIODE *159:27 2.69153e-05 -32 *200:DIODE *159:43 2.01934e-05 -33 *206:DIODE *159:21 5.83121e-06 -34 *206:DIODE *159:27 1.91597e-05 -35 *206:DIODE *159:43 0.000237352 -36 *210:DIODE *159:43 0.000478641 -37 *314:A *159:15 5.05066e-06 -38 *337:A *159:8 2.57991e-05 -39 *359:CLK_N *346:A 0.000643335 -40 *363:D *159:62 6.18325e-06 -41 *363:RESET_B *159:7 0.000344964 -42 *371:CLK *343:A 0.000104482 -43 *371:D *346:A 4.63538e-05 -44 *371:D *159:62 2.93063e-05 -45 *371:RESET_B *343:A 2.33079e-05 -46 *371:RESET_B *159:62 1.42867e-05 -47 *374:CLK *343:A 0.000128626 -48 *374:D *343:A 0.000307573 -49 *376:CLK *343:A 1.16642e-05 -50 *376:D *343:A 0.000146811 -51 *379:RESET_B *346:A 0 -52 *379:RESET_B *159:8 0.000199303 -53 *379:RESET_B *159:62 0.000396608 -54 *393:A *159:15 0.000169472 -55 *425:A *343:A 4.66108e-05 -56 *5:28 *346:A 7.95186e-05 -57 *7:14 *436:A 0 -58 *9:13 *346:A 0.00113814 -59 *9:24 *159:8 0.000125632 -60 *9:24 *159:15 0.000307954 -61 *9:38 *159:43 0.0001615 -62 *10:21 *346:A 1.81554e-05 -63 *10:26 *159:8 5.02331e-05 -64 *12:14 *343:A 2.46488e-05 -65 *114:13 *436:A 0 -66 *119:16 *159:15 0.000108623 -67 *119:16 *159:43 0.000131836 -68 *122:33 *159:15 7.62439e-05 -69 *122:33 *159:21 6.91124e-05 -70 *123:34 *159:43 5.81634e-05 -*RES -1 *387:X *159:7 6.81 -2 *159:7 *159:8 6.99 -3 *159:8 *159:15 13.925 -4 *159:15 *344:A 1.6 -5 *159:15 *159:21 1.14 -6 *159:21 *341:A 1.6 -7 *159:21 *159:27 0.45 -8 *159:27 *348:A 1.6 -9 *159:27 *159:43 19.3 -10 *159:43 *305:A 1.6 -11 *159:43 *349:A 2.05 -12 *159:8 *436:A 5.085 -13 *159:7 *159:62 6.225 -14 *159:62 *343:A 26.415 -15 *159:62 *346:A 25.23 -*END - -*D_NET *160 0.000550169 -*CONN -*I *399:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *366:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *399:A 9.30944e-05 -2 *366:Q 9.30944e-05 -3 *399:A *379:D 4.60123e-05 -4 *399:A *407:A 5.03546e-05 -5 *354:RESET_B *399:A 0.000198198 -6 *354:SET_B *399:A 2.10214e-05 -7 *421:A *399:A 4.11194e-05 -8 *118:34 *399:A 7.27402e-06 -*RES -1 *366:Q *399:A 9.885 -*END - -*D_NET *161 0.00141903 -*CONN -*I *411:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *376:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *411:A 0.000252376 -2 *376:Q 0.000252376 -3 *174:DIODE *411:A 1.08758e-05 -4 *343:A *411:A 8.41307e-05 -5 *356:CLK_N *411:A 0.00010163 -6 *362:RESET_B *411:A 0.00031902 -7 *376:D *411:A 0.000341142 -8 *425:A *411:A 5.74791e-05 -*RES -1 *376:Q *411:A 13.995 -*END - -*D_NET *162 0.000975029 -*CONN -*I *406:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *377:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *406:A 0.000212913 -2 *377:Q 0.000212913 -3 *354:RESET_B *406:A 8.52868e-05 -4 *359:RESET_B *406:A 0.00012845 -5 *427:A *406:A 4.17521e-05 -6 *4:17 *406:A 0.000293714 -*RES -1 *377:Q *406:A 12.015 -*END - -*D_NET *163 0.00480974 -*CONN -*I *405:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *379:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *378:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *405:A 0.000149107 -2 *379:D 0.00171597 -3 *378:Q 0 -4 *163:4 0.00186508 -5 *312:A2 *405:A 0.000122821 -6 *318:A_N *379:D 2.69153e-05 -7 *354:CLK_N *379:D 6.33103e-05 -8 *354:RESET_B *379:D 7.02285e-05 -9 *354:SET_B *379:D 0.000169214 -10 *355:RESET_B *379:D 0.000135117 -11 *362:CLK_N *379:D 7.39166e-05 -12 *362:D *379:D 6.02863e-05 -13 *362:D *405:A 3.04704e-05 -14 *378:D *379:D 0 -15 *378:RESET_B *379:D 4.41931e-05 -16 *379:CLK *379:D 1.42598e-05 -17 *399:A *379:D 4.60123e-05 -18 *421:A *379:D 5.10377e-05 -19 *429:A *379:D 1.50277e-05 -20 *139:10 *379:D 0.000156771 -*RES -1 *378:Q *163:4 1.6 -2 *163:4 *379:D 29.2175 -3 *163:4 *405:A 4.465 -*END - -*D_NET *164 0.0049496 -*CONN -*I *408:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *367:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *408:A 0.00177686 -2 *367:Q 0.00177686 -3 *408:A *407:A 3.69226e-05 -4 *307:A *408:A 8.59135e-05 -5 *312:A2 *408:A 0 -6 *315:B *408:A 5.53549e-06 -7 *328:A_N *408:A 0.000304613 -8 *353:CLK_N *408:A 8.01247e-05 -9 *353:RESET_B *408:A 0.00010947 -10 *361:SET_B *408:A 0.000175578 -11 *366:CLK *408:A 0.000158421 -12 *366:D *408:A 0.000121039 -13 *367:D *408:A 0.000103184 -14 *378:RESET_B *408:A 3.84364e-05 -15 *392:A *408:A 6.80182e-05 -16 *1:27 *408:A 8.80475e-05 -17 *117:13 *408:A 2.05741e-05 -*RES -1 *367:Q *408:A 30.615 -*END - -*D_NET *165 0.00605053 -*CONN -*I *407:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *368:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *407:A 0.00192427 -2 *368:Q 0.00192427 -3 *407:A *409:A 0.000339608 -4 *328:A_N *407:A 0.000106946 -5 *353:CLK_N *407:A 0.000206186 -6 *353:RESET_B *407:A 0.0002072 -7 *354:RESET_B *407:A 1.63566e-05 -8 *357:RESET_B *407:A 0.000122801 -9 *361:CLK_N *407:A 7.84528e-05 -10 *367:D *407:A 2.72392e-05 -11 *368:D *407:A 0.000466855 -12 *399:A *407:A 5.03546e-05 -13 *408:A *407:A 3.69226e-05 -14 *421:A *407:A 0.000191261 -15 *2:22 *407:A 0 -16 *8:15 *407:A 4.85118e-05 -17 *10:21 *407:A 4.98735e-05 -18 *11:19 *407:A 0.000153011 -19 *98:7 *407:A 1.08105e-05 -20 *145:25 *407:A 8.95996e-05 -*RES -1 *368:Q *407:A 43.115 -*END - -*D_NET *166 0.00399962 -*CONN -*I *409:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *369:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *409:A 0.00130754 -2 *369:Q 0.00130754 -3 *204:DIODE *409:A 6.16893e-06 -4 *307:A *409:A 0.000162515 -5 *328:A_N *409:A 0.000299707 -6 *353:CLK_N *409:A 6.86885e-05 -7 *357:CLK_N *409:A 4.28819e-05 -8 *357:D *409:A 4.28819e-05 -9 *357:RESET_B *409:A 3.83194e-05 -10 *361:CLK_N *409:A 8.06768e-05 -11 *378:D *409:A 3.59531e-06 -12 *407:A *409:A 0.000339608 -13 *115:15 *409:A 0.000217159 -14 *123:34 *409:A 8.23457e-05 -*RES -1 *369:Q *409:A 25.605 -*END - -*D_NET *167 0.00124658 -*CONN -*I *410:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *370:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *410:A 0.000241693 -2 *370:Q 0.000241693 -3 *368:D *410:A 0.000123642 -4 *379:CLK *410:A 0.000320414 -5 *8:15 *410:A 1.92979e-05 -6 *10:21 *410:A 8.46435e-06 -7 *146:7 *410:A 5.83121e-06 -8 *147:11 *410:A 0.000285546 -*RES -1 *370:Q *410:A 13.305 -*END - -*D_NET *168 0.00099142 -*CONN -*I *403:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *371:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *403:A 0.000216897 -2 *371:Q 0.000216897 -3 *181:DIODE *403:A 2.01737e-05 -4 *183:DIODE *403:A 0.00019231 -5 *5:11 *403:A 8.34262e-05 -6 *8:23 *403:A 0.000176896 -7 *9:13 *403:A 7.56954e-05 -8 *94:64 *403:A 9.12494e-06 -9 *152:10 *403:A 0 -*RES -1 *371:Q *403:A 13.62 -*END - -*D_NET *169 0.000875322 -*CONN -*I *402:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *372:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *402:A 0.000193584 -2 *372:Q 0.000193584 -3 *181:DIODE *402:A 0.000191335 -4 *343:A *402:A 2.04328e-05 -5 *363:D *402:A 1.77595e-05 -6 *371:CLK *402:A 6.61627e-05 -7 *371:RESET_B *402:A 5.51909e-05 -8 *10:21 *402:A 4.07308e-05 -9 *93:8 *402:A 9.6541e-05 -*RES -1 *372:Q *402:A 11.775 -*END - -*D_NET *170 0.00133832 -*CONN -*I *401:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *373:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *401:A 0.000225047 -2 *373:Q 0.000225047 -3 *202:DIODE *401:A 4.41506e-05 -4 *347:A *401:A 5.6314e-05 -5 *365:D *401:A 0.000155056 -6 *422:A *401:A 1.29342e-05 -7 *432:A *401:A 0.000139949 -8 *13:31 *401:A 0.000126661 -9 *115:26 *401:A 0.000306551 -10 *150:7 *401:A 4.66108e-05 -*RES -1 *373:Q *401:A 13.74 -*END - -*D_NET *171 0.00159963 -*CONN -*I *400:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *374:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *400:A 0.000345623 -2 *374:Q 0.000345623 -3 pad_gpio_slow_sel *400:A 1.79536e-05 -4 serial_clock_out *400:A 0.00047385 -5 *322:B *400:A 7.96104e-06 -6 *343:A *400:A 8.247e-05 -7 *358:SET_B *400:A 0.000188103 -8 *376:CLK *400:A 1.56428e-05 -9 *376:D *400:A 3.64998e-06 -10 *11:21 *400:A 1.08814e-05 -11 *13:31 *400:A 4.15379e-05 -12 *115:15 *400:A 6.63383e-05 -*RES -1 *374:Q *400:A 14.655 -*END - -*D_NET *172 0.00111631 -*CONN -*I *404:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *375:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *404:A 0.000211513 -2 *375:Q 0.000211513 -3 *178:DIODE *404:A 4.66108e-05 -4 *358:SET_B *404:A 5.74791e-05 -5 *361:RESET_B *404:A 0.000320656 -6 *1:27 *404:A 5.22871e-05 -7 *3:23 *404:A 0.00021625 -*RES -1 *375:Q *404:A 12.615 -*END - -*D_NET *173 0.00390759 -*CONN -*I *438:A I *D sky130_fd_sc_hd__buf_16 -*I *388:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 *438:A 0.000547119 -2 *388:LO 0.000547119 -3 *176:DIODE *438:A 0.000449436 -4 *208:DIODE *438:A 9.97121e-06 -5 *209:DIODE *438:A 0.000456921 -6 *397:A *438:A 6.2139e-05 -7 *417:A *438:A 0.000458079 -8 *419:A *438:A 8.52972e-05 -9 *433:A *438:A 0.000118018 -10 *4:27 *438:A 0.000569398 -11 *119:16 *438:A 0.00041521 -12 *122:15 *438:A 0 -13 *123:34 *438:A 0.000188881 -*RES -1 *388:LO *438:A 26.52 -*END diff --git a/spef/gpio_control_block/gpio_control_block.nom.spef b/spef/gpio_control_block/gpio_control_block.nom.spef deleted file mode 100644 index f93449cc..00000000 --- a/spef/gpio_control_block/gpio_control_block.nom.spef +++ /dev/null @@ -1,5623 +0,0 @@ -*SPEF "ieee 1481-1999" -*DESIGN "gpio_control_block" -*DATE "11:11:11 Fri 11 11, 1111" -*VENDOR "OpenRCX" -*PROGRAM "Parallel Extraction" -*VERSION "1.0" -*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" -*DIVIDER / -*DELIMITER : -*BUS_DELIMITER [] -*T_UNIT 1 NS -*C_UNIT 1 PF -*R_UNIT 1 OHM -*L_UNIT 1 HENRY - -*NAME_MAP -*1 gpio_defaults[0] -*2 gpio_defaults[10] -*3 gpio_defaults[11] -*4 gpio_defaults[12] -*5 gpio_defaults[1] -*6 gpio_defaults[2] -*7 gpio_defaults[3] -*8 gpio_defaults[4] -*9 gpio_defaults[5] -*10 gpio_defaults[6] -*11 gpio_defaults[7] -*12 gpio_defaults[8] -*13 gpio_defaults[9] -*14 mgmt_gpio_in -*15 mgmt_gpio_oeb -*16 mgmt_gpio_out -*17 one -*18 pad_gpio_ana_en -*19 pad_gpio_ana_pol -*20 pad_gpio_ana_sel -*21 pad_gpio_dm[0] -*22 pad_gpio_dm[1] -*23 pad_gpio_dm[2] -*24 pad_gpio_holdover -*25 pad_gpio_ib_mode_sel -*26 pad_gpio_in -*27 pad_gpio_inenb -*28 pad_gpio_out -*29 pad_gpio_outenb -*30 pad_gpio_slow_sel -*31 pad_gpio_vtrip_sel -*32 resetn -*33 resetn_out -*34 serial_clock -*35 serial_clock_out -*36 serial_data_in -*37 serial_data_out -*38 serial_load -*39 serial_load_out -*40 user_gpio_in -*41 user_gpio_oeb -*42 user_gpio_out -*47 zero -*48 _000_ -*49 _001_ -*50 _002_ -*51 _003_ -*52 _004_ -*53 _005_ -*54 _006_ -*55 _007_ -*56 _008_ -*57 _009_ -*58 _010_ -*59 _011_ -*60 _012_ -*61 _013_ -*62 _014_ -*63 _015_ -*64 _016_ -*65 _017_ -*66 _018_ -*67 _019_ -*68 _020_ -*69 _021_ -*70 _022_ -*71 _023_ -*72 _024_ -*73 _025_ -*74 _026_ -*75 _041_ -*76 _042_ -*77 _043_ -*78 _044_ -*79 _045_ -*80 _046_ -*81 _047_ -*82 _048_ -*83 _049_ -*84 _050_ -*85 _051_ -*86 _052_ -*87 _053_ -*88 _054_ -*89 _055_ -*90 _056_ -*91 _057_ -*92 clknet_0_serial_clock -*93 clknet_0_serial_load -*94 clknet_1_0__leaf_serial_clock -*95 clknet_1_0__leaf_serial_load -*96 gpio_logic1 -*97 gpio_outenb -*98 mgmt_ena -*99 net1 -*100 net10 -*101 net11 -*102 net12 -*103 net13 -*104 net14 -*105 net15 -*106 net16 -*107 net17 -*108 net18 -*109 net19 -*110 net2 -*111 net20 -*112 net21 -*113 net22 -*114 net23 -*115 net24 -*116 net25 -*117 net26 -*118 net27 -*119 net28 -*120 net29 -*121 net3 -*122 net30 -*123 net31 -*124 net32 -*125 net33 -*126 net34 -*127 net35 -*128 net36 -*129 net37 -*130 net38 -*131 net39 -*132 net4 -*133 net40 -*134 net41 -*135 net42 -*136 net43 -*137 net44 -*138 net45 -*139 net46 -*140 net47 -*141 net48 -*142 net49 -*143 net5 -*144 net50 -*145 net51 -*146 net52 -*147 net53 -*148 net54 -*149 net55 -*150 net56 -*151 net57 -*152 net58 -*153 net6 -*154 net7 -*155 net8 -*156 net9 -*157 one_buffered -*158 serial_clock_out_buffered -*159 serial_load_out_buffered -*160 shift_register\[0\] -*161 shift_register\[10\] -*162 shift_register\[11\] -*163 shift_register\[12\] -*164 shift_register\[1\] -*165 shift_register\[2\] -*166 shift_register\[3\] -*167 shift_register\[4\] -*168 shift_register\[5\] -*169 shift_register\[6\] -*170 shift_register\[7\] -*171 shift_register\[8\] -*172 shift_register\[9\] -*173 zero_buffered -*174 ANTENNA__061__A0 -*175 ANTENNA__062__B -*176 ANTENNA__068__B -*177 ANTENNA__069__B -*178 ANTENNA__070__B -*179 ANTENNA__071__B -*180 ANTENNA__072__B -*181 ANTENNA__073__B -*182 ANTENNA__074__B -*183 ANTENNA__075__B -*184 ANTENNA__076__B -*185 ANTENNA__077__B -*186 ANTENNA__078__B -*187 ANTENNA__079__B -*188 ANTENNA__080__B -*189 ANTENNA__081__B -*190 ANTENNA__082__A -*191 ANTENNA__082__B -*192 ANTENNA__083__B -*193 ANTENNA__084__B -*194 ANTENNA__085__B -*195 ANTENNA__086__B -*196 ANTENNA__087__B -*197 ANTENNA__088__B -*198 ANTENNA__089__B -*199 ANTENNA__090__B -*200 ANTENNA__091__B -*201 ANTENNA__092__B -*202 ANTENNA__093__B -*203 ANTENNA_clkbuf_0_serial_clock_A -*204 ANTENNA_clkbuf_0_serial_load_A -*205 ANTENNA_fanout27_A -*206 ANTENNA_fanout28_A -*207 ANTENNA_fanout29_A -*208 ANTENNA_input1_A -*209 ANTENNA_input2_A -*210 ANTENNA_input3_A -*211 ANTENNA_input4_A -*212 ANTENNA_input5_A -*213 FILLER_0_27 -*214 FILLER_0_31 -*215 FILLER_0_57 -*216 FILLER_0_85 -*217 FILLER_0_95 -*218 FILLER_12_29 -*219 FILLER_13_99 -*220 FILLER_15_57 -*221 FILLER_15_71 -*222 FILLER_17_57 -*223 FILLER_17_99 -*224 FILLER_1_34 -*225 FILLER_3_80 -*226 FILLER_3_89 -*227 FILLER_3_99 -*228 FILLER_4_60 -*229 FILLER_5_80 -*230 FILLER_5_99 -*231 PHY_0 -*232 PHY_1 -*233 PHY_10 -*234 PHY_11 -*235 PHY_12 -*236 PHY_13 -*237 PHY_14 -*238 PHY_15 -*239 PHY_16 -*240 PHY_17 -*241 PHY_18 -*242 PHY_19 -*243 PHY_2 -*244 PHY_20 -*245 PHY_21 -*246 PHY_22 -*247 PHY_23 -*248 PHY_24 -*249 PHY_25 -*250 PHY_26 -*251 PHY_27 -*252 PHY_28 -*253 PHY_29 -*254 PHY_3 -*255 PHY_30 -*256 PHY_31 -*257 PHY_32 -*258 PHY_33 -*259 PHY_34 -*260 PHY_35 -*261 PHY_36 -*262 PHY_37 -*263 PHY_38 -*264 PHY_39 -*265 PHY_4 -*266 PHY_40 -*267 PHY_41 -*268 PHY_5 -*269 PHY_6 -*270 PHY_7 -*271 PHY_8 -*272 PHY_9 -*273 TAP_42 -*274 TAP_43 -*275 TAP_44 -*276 TAP_45 -*277 TAP_46 -*278 TAP_47 -*279 TAP_48 -*280 TAP_49 -*281 TAP_50 -*282 TAP_51 -*283 TAP_52 -*284 TAP_53 -*285 TAP_54 -*286 TAP_55 -*287 TAP_56 -*288 TAP_57 -*289 TAP_58 -*290 TAP_59 -*291 TAP_60 -*292 TAP_61 -*293 TAP_62 -*294 TAP_63 -*295 TAP_64 -*296 TAP_65 -*297 TAP_66 -*298 TAP_67 -*299 TAP_68 -*300 TAP_69 -*301 TAP_70 -*302 TAP_71 -*303 TAP_72 -*304 TAP_73 -*305 _058__1 -*306 _059__14 -*307 _060_ -*308 _061_ -*309 _062_ -*310 _063_ -*311 _064_ -*312 _065_ -*313 _066_ -*314 _067_ -*315 _068_ -*316 _069_ -*317 _070_ -*318 _071_ -*319 _072_ -*320 _073_ -*321 _074_ -*322 _075_ -*323 _076_ -*324 _077_ -*325 _078_ -*326 _079_ -*327 _080_ -*328 _081_ -*329 _082_ -*330 _083_ -*331 _084_ -*332 _085_ -*333 _086_ -*334 _087_ -*335 _088_ -*336 _089_ -*337 _090_ -*338 _091_ -*339 _092_ -*340 _093_ -*341 _094__2 -*342 _095__3 -*343 _096__4 -*344 _097__5 -*345 _098__6 -*346 _099__7 -*347 _100__8 -*348 _101__9 -*349 _102__10 -*350 _103__11 -*351 _104__12 -*352 _105__13 -*353 _106_ -*354 _107_ -*355 _108_ -*356 _109_ -*357 _110_ -*358 _111_ -*359 _112_ -*360 _113_ -*361 _114_ -*362 _115_ -*363 _116_ -*364 _117_ -*365 _118_ -*366 _119_ -*367 _120_ -*368 _121_ -*369 _122_ -*370 _123_ -*371 _124_ -*372 _125_ -*373 _126_ -*374 _127_ -*375 _128_ -*376 _129_ -*377 _130_ -*378 _131_ -*379 _132_ -*380 _133_ -*381 _134_ -*382 clkbuf_0_serial_clock -*383 clkbuf_0_serial_load -*384 clkbuf_1_0__f_serial_clock -*385 clkbuf_1_0__f_serial_load -*386 clkbuf_1_1__f_serial_clock -*387 clkbuf_1_1__f_serial_load -*388 const_source -*389 fanout23 -*390 fanout24 -*391 fanout25 -*392 fanout26 -*393 fanout27 -*394 fanout28 -*395 fanout29 -*396 fanout30 -*397 fanout31 -*398 gpio_logic_high -*399 hold1 -*400 hold10 -*401 hold11 -*402 hold12 -*403 hold13 -*404 hold2 -*405 hold3 -*406 hold4 -*407 hold5 -*408 hold6 -*409 hold7 -*410 hold8 -*411 hold9 -*412 input1 -*413 input2 -*414 input3 -*415 input4 -*416 input5 -*417 one_buffer -*418 output10 -*419 output11 -*420 output12 -*421 output13 -*422 output14 -*423 output15 -*424 output16 -*425 output17 -*426 output18 -*427 output19 -*428 output20 -*429 output21 -*430 output22 -*431 output6 -*432 output7 -*433 output8 -*434 output9 -*435 serial_clock_out_buffer -*436 serial_load_out_buffer -*437 spare_cell -*438 zero_buffer - -*PORTS -gpio_defaults[0] I -gpio_defaults[10] I -gpio_defaults[11] I -gpio_defaults[12] I -gpio_defaults[1] I -gpio_defaults[2] I -gpio_defaults[3] I -gpio_defaults[4] I -gpio_defaults[5] I -gpio_defaults[6] I -gpio_defaults[7] I -gpio_defaults[8] I -gpio_defaults[9] I -mgmt_gpio_in O -mgmt_gpio_oeb I -mgmt_gpio_out I -one O -pad_gpio_ana_en O -pad_gpio_ana_pol O -pad_gpio_ana_sel O -pad_gpio_dm[0] O -pad_gpio_dm[1] O -pad_gpio_dm[2] O -pad_gpio_holdover O -pad_gpio_ib_mode_sel O -pad_gpio_in I -pad_gpio_inenb O -pad_gpio_out O -pad_gpio_outenb O -pad_gpio_slow_sel O -pad_gpio_vtrip_sel O -resetn I -resetn_out O -serial_clock I -serial_clock_out O -serial_data_in I -serial_data_out O -serial_load I -serial_load_out O -user_gpio_in O -user_gpio_oeb I -user_gpio_out I -zero O - -*D_NET *1 0.0223182 -*CONN -*P gpio_defaults[0] I -*I *315:B I *D sky130_fd_sc_hd__or2_0 -*I *316:B I *D sky130_fd_sc_hd__nand2b_2 -*I *176:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *177:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 gpio_defaults[0] 0.00319374 -2 *315:B 0.000152028 -3 *316:B 0.000157728 -4 *176:DIODE 0.000900748 -5 *177:DIODE 3.6336e-06 -6 *1:38 0.00113925 -7 *1:27 0.00177897 -8 *1:16 0.00504033 -9 *176:DIODE *208:DIODE 0.00011795 -10 *176:DIODE *209:DIODE 1.64621e-05 -11 *176:DIODE *333:A 0.000263707 -12 *176:DIODE *419:A 9.76123e-05 -13 *176:DIODE *433:A 6.40203e-06 -14 *176:DIODE *3:29 0.000346947 -15 *176:DIODE *3:37 0.000631265 -16 *176:DIODE *18:9 0.000312104 -17 *176:DIODE *39:8 4.82947e-05 -18 *176:DIODE *119:38 0.000237049 -19 *176:DIODE *173:15 0.000494996 -20 *177:DIODE *361:SET_B 2.18021e-05 -21 *315:B *315:A 0.000360209 -22 *315:B *353:RESET_B 0.000180195 -23 *315:B *42:23 0.000245396 -24 *315:B *164:15 5.58875e-06 -25 *316:B *321:A 5.49489e-05 -26 *316:B *332:A_N 1.52217e-05 -27 *316:B *353:SET_B 5.50052e-05 -28 *316:B *361:SET_B 9.58632e-05 -29 *316:B *119:33 0.000171034 -30 *316:B *143:11 0.000560141 -31 *1:16 serial_clock_out 6.13969e-05 -32 *1:16 serial_data_out 0.00148728 -33 *1:16 *369:D 0.00013 -34 *1:16 *430:A 1.41123e-05 -35 *1:16 *5:11 0.000164022 -36 *1:16 *6:7 2.06655e-06 -37 *1:16 *8:19 1.25306e-05 -38 *1:16 *13:13 0.000438323 -39 *1:16 *38:8 1.36429e-05 -40 *1:16 *116:38 2.15536e-05 -41 *1:16 *116:76 0.000283095 -42 *1:16 *118:9 0.00027029 -43 *1:27 pad_gpio_dm[1] 0 -44 *1:27 *326:A_N 4.65519e-05 -45 *1:27 *347:A 2.97629e-05 -46 *1:27 *356:RESET_B 0.000139944 -47 *1:27 *360:CLK_N 0.000781306 -48 *1:27 *361:SET_B 0.000243165 -49 *1:27 *404:A 5.23577e-05 -50 *1:27 *419:A 0.000117383 -51 *1:27 *425:A 0.000186275 -52 *1:27 *3:24 0.000777345 -53 *1:27 *65:12 6.12016e-05 -54 *1:27 *105:17 1.80253e-05 -55 *1:27 *164:15 8.92945e-05 -56 *1:38 *361:SET_B 1.73789e-05 -57 *1:38 *419:A 0.000110779 -58 *1:38 *3:29 4.44838e-05 -*RES -1 gpio_defaults[0] *1:16 21.8578 -2 *1:16 *1:27 25.527 -3 *1:27 *177:DIODE 14.0768 -4 *1:27 *1:38 2.02679 -5 *1:38 *176:DIODE 44.2643 -6 *1:38 *316:B 19.6929 -7 *1:16 *315:B 19.2732 -*END - -*D_NET *2 0.0108416 -*CONN -*P gpio_defaults[10] I -*I *192:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *191:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *329:B I *D sky130_fd_sc_hd__or2_0 -*I *330:B I *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 gpio_defaults[10] 0.00197007 -2 *192:DIODE 0.000121345 -3 *191:DIODE 0.000573404 -4 *329:B 6.09659e-05 -5 *330:B 0 -6 *2:33 0.000722 -7 *2:24 0.000108942 -8 *2:22 0.00211272 -9 *191:DIODE *310:C 7.37323e-05 -10 *191:DIODE *329:A 6.09764e-05 -11 *191:DIODE *360:RESET_B 9.41642e-05 -12 *191:DIODE *29:7 6.3594e-05 -13 *191:DIODE *77:7 1.98839e-05 -14 *192:DIODE *330:A_N 2.2324e-05 -15 *192:DIODE *360:SET_B 9.82423e-05 -16 *192:DIODE *100:22 4.11387e-05 -17 *329:B *329:A 6.02449e-05 -18 *329:B *361:SET_B 8.35922e-05 -19 *329:B *425:A 3.02801e-05 -20 *329:B *29:7 0.000182147 -21 *2:22 pad_gpio_slow_sel 0.000391078 -22 *2:22 pad_gpio_vtrip_sel 2.58939e-06 -23 *2:22 serial_clock_out 0.000318931 -24 *2:22 serial_data_out 0.000493288 -25 *2:22 *320:B 0 -26 *2:22 *321:A 4.58194e-05 -27 *2:22 *326:A_N 0.000586493 -28 *2:22 *330:A_N 3.97677e-05 -29 *2:22 *342:A 0.000154304 -30 *2:22 *377:D 0.000287377 -31 *2:22 *378:CLK 0.000363904 -32 *2:22 *3:16 0 -33 *2:22 *11:19 0 -34 *2:22 *13:13 0 -35 *2:22 *13:20 0.000156318 -36 *2:22 *57:14 0 -37 *2:22 *100:22 0.000137099 -38 *2:22 *109:22 9.10121e-05 -39 *2:22 *118:34 5.59032e-05 -40 *2:22 *118:58 0.000351157 -41 *2:22 *145:25 4.81965e-05 -42 *2:22 *158:32 7.87452e-05 -43 *2:22 *158:47 0.000439919 -44 *2:22 *165:18 0 -45 *2:33 *330:A_N 9.41642e-05 -46 *2:33 *361:SET_B 0.000136166 -47 *2:33 *425:A 6.96346e-05 -*RES -1 gpio_defaults[10] *2:22 46.8772 -2 *2:22 *2:24 0.428571 -3 *2:24 *330:B 9.3 -4 *2:24 *2:33 7.39286 -5 *2:33 *329:B 16.6036 -6 *2:33 *191:DIODE 20.9071 -7 *2:22 *192:DIODE 11.8893 -*END - -*D_NET *3 0.0129051 -*CONN -*P gpio_defaults[11] I -*I *332:B I *D sky130_fd_sc_hd__nand2b_2 -*I *331:B I *D sky130_fd_sc_hd__or2_0 -*I *193:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *194:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 gpio_defaults[11] 0.00160836 -2 *332:B 0 -3 *331:B 0 -4 *193:DIODE 0 -5 *194:DIODE 2.93925e-05 -6 *3:37 0.000376635 -7 *3:29 0.000576349 -8 *3:24 0.00089313 -9 *3:16 0.00227239 -10 *194:DIODE *188:DIODE 2.84109e-05 -11 *3:16 *317:A 0.000264533 -12 *3:16 *354:SET_B 0.000266853 -13 *3:16 *4:17 0.000154875 -14 *3:16 *109:22 5.4216e-05 -15 *3:16 *115:15 0.00072744 -16 *3:16 *118:34 7.67491e-05 -17 *3:16 *123:34 0.000377134 -18 *3:16 *139:10 0.000142206 -19 *3:24 *329:A 0.000376153 -20 *3:24 *332:A_N 5.33005e-05 -21 *3:24 *404:A 0.000236967 -22 *3:24 *425:A 0.000413797 -23 *3:24 *426:A 6.49189e-05 -24 *3:24 *65:12 0.00103576 -25 *3:24 *143:11 1.46231e-05 -26 *3:24 *148:9 6.25005e-05 -27 *3:29 *332:A_N 0.000135028 -28 *3:29 *361:SET_B 0.000125564 -29 *3:29 *419:A 0.000120188 -30 *3:29 *119:38 4.94175e-05 -31 *3:29 *143:11 1.21258e-05 -32 *3:37 *208:DIODE 3.19935e-05 -33 *3:37 *329:A 0.000178162 -34 *3:37 *419:A 0.000345852 -35 *176:DIODE *3:29 0.000346947 -36 *176:DIODE *3:37 0.000631265 -37 *1:27 *3:24 0.000777345 -38 *1:38 *3:29 4.44838e-05 -39 *2:22 *3:16 0 -*RES -1 gpio_defaults[11] *3:16 44.4643 -2 *3:16 *3:24 25.8571 -3 *3:24 *3:29 11.1429 -4 *3:29 *3:37 15 -5 *3:37 *194:DIODE 9.83571 -6 *3:37 *193:DIODE 9.3 -7 *3:29 *331:B 13.8 -8 *3:24 *332:B 9.3 -*END - -*D_NET *4 0.0154032 -*CONN -*P gpio_defaults[12] I -*I *334:B I *D sky130_fd_sc_hd__nand2b_2 -*I *333:B I *D sky130_fd_sc_hd__or2_0 -*I *196:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *195:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 gpio_defaults[12] 0.00205538 -2 *334:B 0.000154051 -3 *333:B 7.3896e-05 -4 *196:DIODE 0.000331469 -5 *195:DIODE 7.00356e-05 -6 *4:44 0.000506431 -7 *4:27 0.00102092 -8 *4:17 0.00253638 -9 *195:DIODE *431:A 2.48421e-05 -10 *196:DIODE *329:A 0.000174366 -11 *196:DIODE *5:40 0.000376112 -12 *196:DIODE *122:15 9.86082e-05 -13 *333:B *333:A 2.83425e-05 -14 *333:B *26:10 0.000178503 -15 *333:B *67:7 6.05161e-06 -16 *334:B *333:A 7.58841e-05 -17 *334:B *414:A 2.45012e-05 -18 *334:B *67:10 0.000131136 -19 *334:B *99:8 5.24684e-06 -20 *334:B *120:8 0.000192548 -21 *4:17 *182:DIODE 2.84749e-05 -22 *4:17 *211:DIODE 0.000280366 -23 *4:17 *320:B 4.03721e-05 -24 *4:17 *354:RESET_B 1.13968e-05 -25 *4:17 *355:SET_B 9.77697e-05 -26 *4:17 *364:CLK_N 0.00013625 -27 *4:17 *365:SET_B 0.000536544 -28 *4:17 *378:D 0 -29 *4:17 *406:A 0.000321247 -30 *4:17 *422:A 0.00125322 -31 *4:17 *434:A 6.91846e-05 -32 *4:17 *11:19 1.59347e-05 -33 *4:17 *32:12 0.000848198 -34 *4:17 *61:10 6.45108e-05 -35 *4:17 *115:15 3.11713e-05 -36 *4:17 *115:20 2.70725e-06 -37 *4:17 *123:34 0.000990606 -38 *4:17 *139:10 0.000141693 -39 *4:27 *380:A 9.66977e-05 -40 *4:27 *397:A 9.76435e-06 -41 *4:27 *419:A 6.2127e-05 -42 *4:27 *431:A 0.00111717 -43 *4:27 *119:16 4.53378e-05 -44 *4:27 *173:15 0.000618523 -45 *4:44 *210:DIODE 3.14003e-05 -46 *4:44 *380:A 9.58689e-05 -47 *4:44 *414:A 3.29297e-05 -48 *4:44 *26:10 5.33005e-05 -49 *4:44 *67:7 1.04766e-05 -50 *4:44 *120:8 2.50593e-05 -51 *4:44 *121:7 0.000115352 -52 *3:16 *4:17 0.000154875 -*RES -1 gpio_defaults[12] *4:17 48.1607 -2 *4:17 *195:DIODE 15.1393 -3 *4:17 *4:27 18.1786 -4 *4:27 *196:DIODE 26.0143 -5 *4:27 *4:44 9.19643 -6 *4:44 *333:B 15.5679 -7 *4:44 *334:B 18.7821 -*END - -*D_NET *5 0.0284019 -*CONN -*P gpio_defaults[1] I -*I *328:B I *D sky130_fd_sc_hd__nand2b_2 -*I *189:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *188:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *327:B I *D sky130_fd_sc_hd__or2_0 -*CAP -1 gpio_defaults[1] 0.000412568 -2 *328:B 0.0010813 -3 *189:DIODE 0 -4 *188:DIODE 0.00173517 -5 *327:B 7.89811e-05 -6 *5:40 0.00489863 -7 *5:28 0.00608974 -8 *5:11 0.00233653 -9 *188:DIODE one 0.00016036 -10 *188:DIODE pad_gpio_ana_pol 9.97875e-06 -11 *188:DIODE zero 9.97164e-05 -12 *188:DIODE *205:DIODE 4.7812e-05 -13 *188:DIODE *208:DIODE 1.21258e-05 -14 *188:DIODE *209:DIODE 0.000618847 -15 *188:DIODE *384:A 7.60395e-05 -16 *188:DIODE *94:8 0.000271155 -17 *327:B *327:A 5.66971e-05 -18 *328:B serial_clock_out 0.000305742 -19 *328:B *313:B1 6.30931e-05 -20 *328:B *359:SET_B 5.33005e-05 -21 *328:B *34:11 0.000146021 -22 *328:B *79:9 6.46173e-05 -23 *328:B *97:15 6.57032e-05 -24 *5:11 *179:DIODE 2.02794e-05 -25 *5:11 *367:CLK 0.000201442 -26 *5:11 *403:A 8.58741e-05 -27 *5:11 *6:7 0.000514029 -28 *5:11 *6:27 0.000785296 -29 *5:11 *94:64 0.000197543 -30 *5:11 *94:71 0.000198261 -31 *5:11 *118:9 0.000266363 -32 *5:11 *152:10 5.19522e-06 -33 *5:28 pad_gpio_vtrip_sel 0.00288005 -34 *5:28 *183:DIODE 9.00639e-05 -35 *5:28 *326:B 7.44091e-05 -36 *5:28 *327:A 0.000253908 -37 *5:28 *346:A 7.91714e-05 -38 *5:28 *377:D 0.00117164 -39 *5:28 *379:RESET_B 0 -40 *5:28 *6:27 7.48217e-06 -41 *5:28 *32:12 6.20218e-05 -42 *5:28 *61:10 0.000133812 -43 *5:28 *93:8 0.000311284 -44 *5:28 *94:60 0.00036301 -45 *5:28 *117:13 0.000352147 -46 *5:28 *148:9 0.000335319 -47 *5:40 one 0 -48 *5:40 pad_gpio_ana_pol 2.15327e-05 -49 *5:40 *311:A_N 0.000118315 -50 *5:40 *314:B 4.3588e-05 -51 *5:40 *329:A 1.71144e-05 -52 *5:40 *396:A 7.11037e-05 -53 *5:40 *15:10 0.000113416 -54 *5:40 *67:10 0.000102503 -55 *5:40 *99:8 0.000135449 -56 *5:40 *122:7 4.08637e-05 -57 *5:40 *122:11 9.66977e-05 -58 *194:DIODE *188:DIODE 2.84109e-05 -59 *196:DIODE *5:40 0.000376112 -60 *1:16 *5:11 0.000164022 -*RES -1 gpio_defaults[1] *5:11 22.375 -2 *5:11 *327:B 10.6571 -3 *5:11 *5:28 25.044 -4 *5:28 *5:40 23.3102 -5 *5:40 *188:DIODE 43.7107 -6 *5:40 *189:DIODE 9.3 -7 *5:28 *328:B 24.4888 -*END - -*D_NET *6 0.0147075 -*CONN -*P gpio_defaults[2] I -*I *318:B I *D sky130_fd_sc_hd__nand2b_2 -*I *178:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *317:B I *D sky130_fd_sc_hd__or2_0 -*I *179:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 gpio_defaults[2] 0.000363518 -2 *318:B 0.000350469 -3 *178:DIODE 0.000354162 -4 *317:B 5.74147e-05 -5 *179:DIODE 9.95333e-05 -6 *6:41 0.000886733 -7 *6:27 0.00231988 -8 *6:7 0.00254341 -9 *178:DIODE *326:B 0.00024243 -10 *178:DIODE *353:SET_B 6.19639e-06 -11 *178:DIODE *355:D 4.26759e-05 -12 *178:DIODE *358:SET_B 0.000344491 -13 *178:DIODE *404:A 5.33005e-05 -14 *178:DIODE *32:12 0.000237828 -15 *178:DIODE *42:23 0.000143364 -16 *178:DIODE *105:17 3.05829e-05 -17 *178:DIODE *134:8 0.000141711 -18 *317:B *317:A 2.59355e-05 -19 *317:B *109:22 2.59355e-05 -20 *317:B *115:15 6.30931e-05 -21 *317:B *123:34 6.30931e-05 -22 *318:B *318:A_N 0.000142703 -23 *318:B *42:23 0.000478075 -24 *318:B *134:8 0.000782023 -25 *6:7 *369:D 4.7659e-05 -26 *6:7 *7:12 0 -27 *6:27 resetn_out 0.00157231 -28 *6:27 *183:DIODE 0.000146197 -29 *6:27 *326:B 0.000148695 -30 *6:27 *369:D 0.000235036 -31 *6:27 *7:12 0 -32 *6:27 *8:19 0 -33 *6:27 *11:19 0.000743254 -34 *6:27 *94:60 5.09917e-05 -35 *6:27 *152:10 0.000111398 -36 *6:41 resetn_out 0.000366878 -37 *6:41 *326:B 0.000157405 -38 *1:16 *6:7 2.06655e-06 -39 *5:11 *179:DIODE 2.02794e-05 -40 *5:11 *6:7 0.000514029 -41 *5:11 *6:27 0.000785296 -42 *5:28 *6:27 7.48217e-06 -*RES -1 gpio_defaults[2] *6:7 8.50893 -2 *6:7 *179:DIODE 15.0232 -3 *6:7 *6:27 18.6378 -4 *6:27 *317:B 18.6296 -5 *6:27 *6:41 4.03353 -6 *6:41 *178:DIODE 27.2684 -7 *6:41 *318:B 24.3982 -*END - -*D_NET *7 0.0119744 -*CONN -*P gpio_defaults[3] I -*I *323:B I *D sky130_fd_sc_hd__or2_0 -*I *184:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *185:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *324:B I *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 gpio_defaults[3] 0.00167518 -2 *323:B 3.45353e-05 -3 *184:DIODE 0.000184623 -4 *185:DIODE 0.000565185 -5 *324:B 2.76949e-05 -6 *7:35 0.000950789 -7 *7:28 0.000517175 -8 *7:14 0.000802423 -9 *7:12 0.00216824 -10 *184:DIODE *435:A 0.000267965 -11 *185:DIODE *345:A 7.21379e-05 -12 *185:DIODE *435:A 0.000306309 -13 *185:DIODE *9:38 0.00019286 -14 *185:DIODE *122:30 0.000146853 -15 *185:DIODE *125:13 0.000131967 -16 *185:DIODE *133:10 4.85758e-05 -17 *185:DIODE *133:21 5.60463e-06 -18 *323:B *323:A 6.45059e-05 -19 *323:B *39:8 5.65955e-05 -20 *323:B *57:14 7.01566e-05 -21 *324:B *364:CLK_N 2.04681e-05 -22 *7:12 *369:D 0.000103605 -23 *7:12 *379:RESET_B 0 -24 *7:12 *391:A 0.00163604 -25 *7:12 *393:A 0.000469337 -26 *7:12 *9:24 2.12733e-05 -27 *7:12 *152:10 0.00021896 -28 *7:14 *324:A_N 1.65169e-05 -29 *7:14 *357:SET_B 1.28171e-05 -30 *7:14 *391:A 0.000126332 -31 *7:14 *436:A 0 -32 *7:28 *323:A 0.000151793 -33 *7:28 *357:SET_B 1.90303e-05 -34 *7:28 *12:32 0.000132169 -35 *7:28 *57:14 1.32293e-05 -36 *7:28 *125:13 4.04224e-05 -37 *7:28 *135:15 7.27183e-05 -38 *7:35 *345:A 5.51716e-05 -39 *7:35 *363:SET_B 0.000402081 -40 *7:35 *364:SET_B 0.000173021 -41 *6:7 *7:12 0 -42 *6:27 *7:12 0 -*RES -1 gpio_defaults[3] *7:12 45.1339 -2 *7:12 *7:14 6.08036 -3 *7:14 *324:B 10.0321 -4 *7:14 *7:28 14.8929 -5 *7:28 *7:35 14.7143 -6 *7:35 *185:DIODE 29.4607 -7 *7:35 *184:DIODE 11.8893 -8 *7:28 *323:B 10.6571 -*END - -*D_NET *8 0.0184896 -*CONN -*P gpio_defaults[4] I -*I *326:B I *D sky130_fd_sc_hd__nand2b_2 -*I *187:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *325:B I *D sky130_fd_sc_hd__or2_0 -*I *186:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 gpio_defaults[4] 0.000795975 -2 *326:B 0.00219367 -3 *187:DIODE 0 -4 *325:B 3.68251e-05 -5 *186:DIODE 0.00152749 -6 *8:23 0.00218628 -7 *8:19 0.00149484 -8 *8:15 0.00386253 -9 *186:DIODE *335:A 6.05207e-05 -10 *186:DIODE *384:A 3.11088e-05 -11 *186:DIODE *94:8 0.00066478 -12 *326:B resetn_out 0.00018805 -13 *326:B *312:A2 1.94945e-05 -14 *326:B *326:A_N 0.000145239 -15 *326:B *357:SET_B 0.00032482 -16 *326:B *358:SET_B 0.0001691 -17 *326:B *377:D 0.000116364 -18 *326:B *11:19 0.000746065 -19 *326:B *32:12 0.000401666 -20 *326:B *34:11 5.26821e-05 -21 *326:B *65:12 2.11419e-05 -22 *326:B *116:76 0.000404016 -23 *326:B *123:23 4.8817e-05 -24 *326:B *133:21 0.000150264 -25 *8:15 *319:A 2.05484e-05 -26 *8:15 *392:A 0.000326069 -27 *8:15 *410:A 2.11419e-05 -28 *8:15 *429:A 0 -29 *8:15 *430:A 5.49995e-05 -30 *8:15 *9:13 0.000161383 -31 *8:15 *10:21 0.000381552 -32 *8:15 *130:18 0.000103056 -33 *8:15 *138:9 0.000162304 -34 *8:15 *165:18 5.29529e-05 -35 *8:19 resetn_out 6.87106e-05 -36 *8:19 *94:64 2.11419e-05 -37 *8:19 *116:38 0.000132503 -38 *8:19 *116:76 6.48889e-05 -39 *8:23 *183:DIODE 0.000405046 -40 *8:23 *403:A 0.0001829 -41 *8:23 *94:64 5.31751e-05 -42 *178:DIODE *326:B 0.00024243 -43 *1:16 *8:19 1.25306e-05 -44 *5:28 *326:B 7.44091e-05 -45 *6:27 *326:B 0.000148695 -46 *6:27 *8:19 0 -47 *6:41 *326:B 0.000157405 -*RES -1 gpio_defaults[4] *8:15 28.8832 -2 *8:15 *8:19 5.46339 -3 *8:19 *8:23 15.0357 -4 *8:23 *186:DIODE 46.6571 -5 *8:23 *325:B 14.3357 -6 *8:19 *187:DIODE 13.8 -7 *8:15 *326:B 38.0991 -*END - -*D_NET *9 0.0123615 -*CONN -*P gpio_defaults[5] I -*I *335:B I *D sky130_fd_sc_hd__or2_0 -*I *198:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *197:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *336:B I *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 gpio_defaults[5] 0.00124089 -2 *335:B 0 -3 *198:DIODE 5.23783e-05 -4 *197:DIODE 0 -5 *336:B 0 -6 *9:38 0.000299358 -7 *9:24 0.00117806 -8 *9:13 0.00217197 -9 *198:DIODE *12:32 5.4959e-05 -10 *198:DIODE *159:43 0.000171223 -11 *9:13 *335:A 8.82593e-05 -12 *9:13 *342:A 0.000576812 -13 *9:13 *346:A 0.00125103 -14 *9:13 *355:CLK_N 0.000686558 -15 *9:13 *367:RESET_B 0.000112622 -16 *9:13 *368:RESET_B 6.51343e-05 -17 *9:13 *403:A 7.29082e-05 -18 *9:13 *10:21 0.000184843 -19 *9:13 *94:60 0.000291758 -20 *9:13 *116:48 0.000197452 -21 *9:13 *117:13 0.000119077 -22 *9:13 *152:10 0.000433467 -23 *9:24 *336:A_N 0.00032321 -24 *9:24 *391:A 0.000523266 -25 *9:24 *10:26 0.000430819 -26 *9:24 *10:29 6.94441e-06 -27 *9:24 *119:16 0.000346253 -28 *9:24 *159:8 0.000129628 -29 *9:24 *159:15 0.000320403 -30 *9:38 *200:DIODE 9.84729e-05 -31 *9:38 *363:SET_B 0.000180151 -32 *9:38 *12:32 3.11088e-05 -33 *9:38 *119:16 1.51571e-05 -34 *9:38 *133:10 0.000144732 -35 *9:38 *159:43 0.0001871 -36 *185:DIODE *9:38 0.00019286 -37 *7:12 *9:24 2.12733e-05 -38 *8:15 *9:13 0.000161383 -*RES -1 gpio_defaults[5] *9:13 46.4286 -2 *9:13 *9:24 38.8393 -3 *9:24 *336:B 9.3 -4 *9:24 *9:38 15.9286 -5 *9:38 *197:DIODE 9.3 -6 *9:38 *198:DIODE 11.0679 -7 *9:13 *335:B 13.8 -*END - -*D_NET *10 0.0108587 -*CONN -*P gpio_defaults[6] I -*I *337:B I *D sky130_fd_sc_hd__or2_0 -*I *338:B I *D sky130_fd_sc_hd__nand2b_2 -*I *199:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *200:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 gpio_defaults[6] 0.00150206 -2 *337:B 1.69531e-05 -3 *338:B 9.522e-05 -4 *199:DIODE 0 -5 *200:DIODE 0.000303034 -6 *10:29 0.000442223 -7 *10:26 0.000439568 -8 *10:21 0.00172418 -9 *200:DIODE *119:16 5.33005e-05 -10 *200:DIODE *129:10 9.96553e-05 -11 *200:DIODE *133:10 5.50052e-05 -12 *200:DIODE *159:15 0.000220988 -13 *200:DIODE *159:21 6.99965e-05 -14 *200:DIODE *159:27 2.85234e-05 -15 *200:DIODE *159:43 2.12733e-05 -16 *337:B *337:A 5.33005e-05 -17 *337:B *120:38 5.33005e-05 -18 *338:B *314:A 0.000204318 -19 *338:B *338:A_N 9.41642e-05 -20 *10:21 *203:DIODE 0.000353078 -21 *10:21 *337:A 0.000136166 -22 *10:21 *346:A 1.71164e-05 -23 *10:21 *371:D 0.00013063 -24 *10:21 *371:RESET_B 0.000489282 -25 *10:21 *402:A 4.47273e-05 -26 *10:21 *410:A 8.09004e-06 -27 *10:21 *13:20 2.68192e-05 -28 *10:21 *93:8 0.000279625 -29 *10:21 *94:60 0.0001125 -30 *10:21 *109:22 9.61478e-05 -31 *10:21 *117:13 0.000766796 -32 *10:21 *147:11 0.000133691 -33 *10:21 *165:18 5.45477e-05 -34 *10:26 *203:DIODE 0.000524167 -35 *10:26 *314:A 9.58632e-05 -36 *10:26 *336:A_N 3.14003e-05 -37 *10:26 *337:A 3.29297e-05 -38 *10:26 *338:A_N 4.08637e-05 -39 *10:26 *114:13 5.33005e-05 -40 *10:26 *129:10 1.33329e-05 -41 *10:26 *159:8 4.90115e-05 -42 *10:29 *336:A_N 0.000360311 -43 *10:29 *129:10 0.000428559 -44 *8:15 *10:21 0.000381552 -45 *9:13 *10:21 0.000184843 -46 *9:24 *10:26 0.000430819 -47 *9:24 *10:29 6.94441e-06 -48 *9:38 *200:DIODE 9.84729e-05 -*RES -1 gpio_defaults[6] *10:21 39.8705 -2 *10:21 *10:26 13.1607 -3 *10:26 *10:29 10.0893 -4 *10:29 *200:DIODE 20.1929 -5 *10:29 *199:DIODE 13.8 -6 *10:26 *338:B 11.4786 -7 *10:21 *337:B 14.3357 -*END - -*D_NET *11 0.0109702 -*CONN -*P gpio_defaults[7] I -*I *340:B I *D sky130_fd_sc_hd__nand2b_2 -*I *202:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *201:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *339:B I *D sky130_fd_sc_hd__or2_0 -*CAP -1 gpio_defaults[7] 0.00137091 -2 *340:B 0 -3 *202:DIODE 8.04636e-05 -4 *201:DIODE 0.000453557 -5 *339:B 8.08221e-05 -6 *11:27 0.000694922 -7 *11:21 0.000424636 -8 *11:19 0.00155453 -9 *201:DIODE *316:A_N 6.05161e-06 -10 *201:DIODE *365:RESET_B 0.000257619 -11 *201:DIODE *41:45 0.000336085 -12 *201:DIODE *119:33 0.000108566 -13 *201:DIODE *124:6 0.000337608 -14 *202:DIODE *347:A 0.000175892 -15 *202:DIODE *401:A 4.58194e-05 -16 *339:B *339:A 9.41642e-05 -17 *11:19 serial_data_out 0 -18 *11:19 *319:A 0 -19 *11:19 *319:B 0.000141935 -20 *11:19 *366:RESET_B 0 -21 *11:19 *392:A 5.96516e-05 -22 *11:19 *430:A 0.000135501 -23 *11:19 *12:7 7.28217e-05 -24 *11:19 *13:13 0.000429101 -25 *11:19 *32:12 9.48286e-05 -26 *11:19 *53:10 2.42516e-05 -27 *11:19 *57:14 0.000493183 -28 *11:19 *109:22 2.18747e-05 -29 *11:19 *115:15 0.000238881 -30 *11:19 *123:34 0.000101245 -31 *11:19 *130:18 3.20731e-05 -32 *11:19 *145:25 0 -33 *11:19 *165:18 0.000167774 -34 *11:21 *322:B 1.1594e-05 -35 *11:21 *400:A 1.02366e-05 -36 *11:21 *13:31 0.000265145 -37 *11:21 *32:12 0.00033536 -38 *11:21 *115:15 3.10885e-05 -39 *11:27 pad_gpio_slow_sel 1.19432e-05 -40 *11:27 *13:31 6.5788e-05 -41 *11:27 *32:12 0.000385186 -42 *11:27 *154:10 0.000313843 -43 *326:B *11:19 0.000746065 -44 *2:22 *11:19 0 -45 *4:17 *11:19 1.59347e-05 -46 *6:27 *11:19 0.000743254 -*RES -1 gpio_defaults[7] *11:19 40.9314 -2 *11:19 *11:21 4.67857 -3 *11:21 *11:27 10.3214 -4 *11:27 *339:B 10.6571 -5 *11:27 *201:DIODE 27.8536 -6 *11:21 *202:DIODE 15.5679 -7 *11:19 *340:B 13.8 -*END - -*D_NET *12 0.0159902 -*CONN -*P gpio_defaults[8] I -*I *319:B I *D sky130_fd_sc_hd__or2_0 -*I *320:B I *D sky130_fd_sc_hd__nand2b_2 -*I *180:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *181:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 gpio_defaults[8] 0.000146488 -2 *319:B 0.000114585 -3 *320:B 0.000583705 -4 *180:DIODE 0 -5 *181:DIODE 0.00111084 -6 *12:32 0.000893008 -7 *12:14 0.00218734 -8 *12:11 0.00148703 -9 *12:7 0.000980903 -10 *181:DIODE *343:A 2.13481e-06 -11 *181:DIODE *371:CLK 2.42516e-05 -12 *181:DIODE *371:RESET_B 0.000216853 -13 *181:DIODE *374:CLK 3.2687e-05 -14 *181:DIODE *402:A 0.000219289 -15 *181:DIODE *403:A 2.11336e-05 -16 *319:B *392:A 0.000526026 -17 *319:B *429:A 9.8396e-05 -18 *319:B *430:A 9.86486e-06 -19 *319:B *130:18 0.000173182 -20 *320:B *342:A 0.000224341 -21 *320:B *355:SET_B 2.51903e-05 -22 *320:B *358:SET_B 9.29338e-05 -23 *320:B *370:RESET_B 0.00019454 -24 *320:B *374:D 6.57032e-05 -25 *320:B *375:CLK 9.83388e-05 -26 *320:B *422:A 9.18069e-05 -27 *320:B *57:14 0.00018935 -28 *320:B *59:15 9.69399e-05 -29 *320:B *103:8 8.08608e-06 -30 *320:B *115:19 2.59355e-05 -31 *320:B *115:20 5.26224e-05 -32 *320:B *118:34 9.11048e-06 -33 *12:7 *430:A 7.58644e-05 -34 *12:7 *13:13 8.85437e-05 -35 *12:11 *366:D 0.00100495 -36 *12:11 *430:A 0.000678832 -37 *12:11 *57:14 0.000255471 -38 *12:11 *59:15 0.000235726 -39 *12:11 *130:18 0 -40 *12:14 *343:A 2.34465e-05 -41 *12:14 *374:CLK 7.4854e-05 -42 *12:14 *374:D 6.05161e-06 -43 *12:32 *323:A 4.3304e-06 -44 *12:32 *357:SET_B 0.000849159 -45 *12:32 *363:SET_B 0.000227347 -46 *12:32 *113:8 0.000312969 -47 *12:32 *125:13 0.00101089 -48 *12:32 *133:10 0.000117016 -49 *12:32 *133:21 0.000518423 -50 *12:32 *135:15 3.03305e-05 -51 *198:DIODE *12:32 5.4959e-05 -52 *2:22 *320:B 0 -53 *4:17 *320:B 4.03721e-05 -54 *7:28 *12:32 0.000132169 -55 *9:38 *12:32 3.11088e-05 -56 *11:19 *319:B 0.000141935 -57 *11:19 *12:7 7.28217e-05 -*RES -1 gpio_defaults[8] *12:7 3.78571 -2 *12:7 *12:11 24.0536 -3 *12:11 *12:14 3.01786 -4 *12:14 *181:DIODE 22.1571 -5 *12:14 *12:32 44.5536 -6 *12:32 *180:DIODE 9.3 -7 *12:11 *320:B 40.0679 -8 *12:7 *319:B 19.2821 -*END - -*D_NET *13 0.0248128 -*CONN -*P gpio_defaults[9] I -*I *183:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *322:B I *D sky130_fd_sc_hd__nand2b_2 -*I *321:B I *D sky130_fd_sc_hd__or2_0 -*I *182:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 gpio_defaults[9] 0.00205772 -2 *183:DIODE 0.000908225 -3 *322:B 0.00112778 -4 *321:B 0.000657847 -5 *182:DIODE 0.000691615 -6 *13:31 0.00248244 -7 *13:20 0.00371739 -8 *13:13 0.00442259 -9 *182:DIODE *351:A 5.45307e-05 -10 *182:DIODE *364:CLK_N 0.000439515 -11 *182:DIODE *364:RESET_B 5.93614e-05 -12 *182:DIODE *365:CLK_N 4.2628e-05 -13 *182:DIODE *422:A 0.000170953 -14 *182:DIODE *35:7 7.79102e-05 -15 *182:DIODE *103:8 0.000177223 -16 *183:DIODE pad_gpio_vtrip_sel 0.000108056 -17 *183:DIODE *403:A 0.00021211 -18 *321:B *321:A 0.000147718 -19 *321:B *353:SET_B 4.21415e-05 -20 *321:B *120:22 0.000758265 -21 *322:B *322:A_N 9.40409e-05 -22 *322:B *355:CLK_N 3.50949e-06 -23 *322:B *355:D 0.000113062 -24 *322:B *355:RESET_B 0.000127943 -25 *322:B *358:SET_B 1.0484e-05 -26 *322:B *400:A 7.69776e-06 -27 *322:B *426:A 0.000220309 -28 *322:B *115:15 6.05161e-06 -29 *322:B *118:45 3.51224e-06 -30 *13:13 serial_data_out 0 -31 *13:13 *366:RESET_B 1.05998e-05 -32 *13:13 *369:D 3.68477e-05 -33 *13:13 *430:A 0.000475401 -34 *13:13 *38:8 0.000242806 -35 *13:13 *116:35 0.000233128 -36 *13:20 pad_gpio_vtrip_sel 0.00167753 -37 *13:20 *116:35 5.87752e-05 -38 *13:20 *120:38 8.57736e-06 -39 *13:31 pad_gpio_slow_sel 0.000234491 -40 *13:31 *326:A_N 4.13349e-05 -41 *13:31 *364:RESET_B 1.08847e-05 -42 *13:31 *400:A 4.16331e-05 -43 *13:31 *401:A 0.000139429 -44 *13:31 *422:A 0.000419522 -45 *13:31 *103:8 6.37939e-05 -46 *13:31 *115:26 2.3953e-05 -47 *1:16 *13:13 0.000438323 -48 *2:22 *13:13 0 -49 *2:22 *13:20 0.000156318 -50 *4:17 *182:DIODE 2.84749e-05 -51 *5:28 *183:DIODE 9.00639e-05 -52 *6:27 *183:DIODE 0.000146197 -53 *8:23 *183:DIODE 0.000405046 -54 *10:21 *13:20 2.68192e-05 -55 *11:19 *13:13 0.000429101 -56 *11:21 *322:B 1.1594e-05 -57 *11:21 *13:31 0.000265145 -58 *11:27 *13:31 6.5788e-05 -59 *12:7 *13:13 8.85437e-05 -*RES -1 gpio_defaults[9] *13:13 19.7114 -2 *13:13 *13:20 10.0802 -3 *13:20 *13:31 17.632 -4 *13:31 *182:DIODE 27.8357 -5 *13:31 *321:B 32.2643 -6 *13:20 *322:B 29.1482 -7 *13:13 *183:DIODE 27.4068 -*END - -*D_NET *14 0.00768425 -*CONN -*P mgmt_gpio_in O -*I *431:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 mgmt_gpio_in 0.00152694 -2 *431:X 0.00152694 -3 mgmt_gpio_in one 0.0014494 -4 mgmt_gpio_in zero 0.00185875 -5 mgmt_gpio_in *208:DIODE 0.000160191 -6 mgmt_gpio_in *209:DIODE 0.000378566 -7 mgmt_gpio_in *16:8 0.000406492 -8 mgmt_gpio_in *18:9 0.000376968 -*RES -1 *431:X mgmt_gpio_in 26.0699 -*END - -*D_NET *15 0.00718821 -*CONN -*P mgmt_gpio_oeb I -*I *412:A I *D sky130_fd_sc_hd__buf_2 -*I *208:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 mgmt_gpio_oeb 0.00110879 -2 *412:A 0 -3 *208:DIODE 0.000642261 -4 *15:10 0.00175105 -5 *208:DIODE *209:DIODE 0.000920498 -6 *208:DIODE *329:A 2.2443e-05 -7 *208:DIODE *122:7 9.58689e-05 -8 *208:DIODE *122:11 9.66977e-05 -9 *208:DIODE *122:15 0.000124439 -10 *208:DIODE *173:15 9.93918e-06 -11 *15:10 one 0.000947655 -12 *15:10 pad_gpio_ana_pol 0 -13 *15:10 *396:A 5.5333e-05 -14 *15:10 *20:9 0.000655624 -15 *15:10 *23:11 0.00032194 -16 mgmt_gpio_in *208:DIODE 0.000160191 -17 *176:DIODE *208:DIODE 0.00011795 -18 *188:DIODE *208:DIODE 1.21258e-05 -19 *3:37 *208:DIODE 3.19935e-05 -20 *5:40 *15:10 0.000113416 -*RES -1 mgmt_gpio_oeb *15:10 13.3522 -2 *15:10 *208:DIODE 32.1393 -3 *15:10 *412:A 9.3 -*END - -*D_NET *16 0.0106823 -*CONN -*P mgmt_gpio_out I -*I *209:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *413:A I *D sky130_fd_sc_hd__buf_2 -*CAP -1 mgmt_gpio_out 0.00133038 -2 *209:DIODE 0.000649433 -3 *413:A 0 -4 *16:23 3.01099e-05 -5 *16:8 0.0019497 -6 *209:DIODE *205:DIODE 5.2254e-05 -7 *209:DIODE *212:DIODE 6.62783e-05 -8 *209:DIODE *417:A 0.00064235 -9 *209:DIODE *18:9 0.000700717 -10 *209:DIODE *122:19 0.000324143 -11 *209:DIODE *173:15 0.000527647 -12 *16:8 pad_gpio_ana_en 0.00018008 -13 *16:8 pad_gpio_ana_sel 0.000140973 -14 *16:8 *18:9 0.00174737 -15 mgmt_gpio_in *209:DIODE 0.000378566 -16 mgmt_gpio_in *16:8 0.000406492 -17 *176:DIODE *209:DIODE 1.64621e-05 -18 *188:DIODE *209:DIODE 0.000618847 -19 *208:DIODE *209:DIODE 0.000920498 -*RES -1 mgmt_gpio_out *16:8 43.2404 -2 *16:8 *413:A 9.3 -3 *16:8 *209:DIODE 36.9607 -4 mgmt_gpio_out *16:23 0.0595333 -*END - -*D_NET *17 0.00660989 -*CONN -*P one O -*I *417:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 one 0.00202085 -2 *417:X 0.00202085 -3 one zero 1.07898e-05 -4 mgmt_gpio_in one 0.0014494 -5 *188:DIODE one 0.00016036 -6 *5:40 one 0 -7 *15:10 one 0.000947655 -*RES -1 *417:X one 25.7511 -*END - -*D_NET *18 0.00706318 -*CONN -*P pad_gpio_ana_en O -*I *432:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_ana_en 0.000228017 -2 *432:X 0.00127493 -3 *18:16 2.67943e-05 -4 *18:9 0.00147615 -5 pad_gpio_ana_en pad_gpio_ana_sel 0.00028997 -6 pad_gpio_ana_en pad_gpio_dm[2] 0.000265449 -7 *18:9 *110:7 0.000184624 -8 mgmt_gpio_in *18:9 0.000376968 -9 *176:DIODE *18:9 0.000312104 -10 *209:DIODE *18:9 0.000700717 -11 *16:8 pad_gpio_ana_en 0.00018008 -12 *16:8 *18:9 0.00174737 -*RES -1 *432:X *18:9 46.5321 -2 *18:9 pad_gpio_ana_en 15.4725 -3 pad_gpio_ana_en *18:16 0.0595333 -*END - -*D_NET *19 0.00590003 -*CONN -*P pad_gpio_ana_pol O -*I *433:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_ana_pol 0.00238048 -2 *433:X 0.00238048 -3 pad_gpio_ana_pol pad_gpio_dm[0] 0.000413586 -4 pad_gpio_ana_pol pad_gpio_dm[1] 0.00017976 -5 pad_gpio_ana_pol *24:9 0.000101025 -6 pad_gpio_ana_pol *123:23 0.000135763 -7 pad_gpio_ana_pol *154:10 0.00014727 -8 pad_gpio_ana_pol *159:43 0.000130149 -9 *188:DIODE pad_gpio_ana_pol 9.97875e-06 -10 *5:40 pad_gpio_ana_pol 2.15327e-05 -11 *15:10 pad_gpio_ana_pol 0 -*RES -1 *433:X pad_gpio_ana_pol 30.1854 -*END - -*D_NET *20 0.00688983 -*CONN -*P pad_gpio_ana_sel O -*I *434:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_ana_sel 0.000221207 -2 *434:X 0.00166645 -3 *20:9 0.00188766 -4 pad_gpio_ana_sel pad_gpio_dm[2] 0.000165707 -5 *20:9 *210:DIODE 0.000207304 -6 *20:9 *23:11 0.00165494 -7 pad_gpio_ana_en pad_gpio_ana_sel 0.00028997 -8 *15:10 *20:9 0.000655624 -9 *16:8 pad_gpio_ana_sel 0.000140973 -*RES -1 *434:X *20:9 48.5857 -2 *20:9 pad_gpio_ana_sel 15.1689 -*END - -*D_NET *21 0.00655059 -*CONN -*P pad_gpio_dm[0] O -*I *418:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_dm[0] 0.00250609 -2 *418:X 0.00250609 -3 pad_gpio_dm[0] pad_gpio_dm[1] 0.000965348 -4 pad_gpio_dm[0] *24:9 0.000114301 -5 pad_gpio_dm[0] *95:8 4.51632e-05 -6 pad_gpio_ana_pol pad_gpio_dm[0] 0.000413586 -*RES -1 *418:X pad_gpio_dm[0] 24.8247 -*END - -*D_NET *22 0.00707462 -*CONN -*P pad_gpio_dm[1] O -*I *419:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_dm[1] 0.0023715 -2 *419:X 0.0023715 -3 pad_gpio_dm[1] serial_clock_out 0.000102847 -4 pad_gpio_dm[1] *25:9 0.000299497 -5 pad_gpio_dm[1] *100:22 0.000178353 -6 pad_gpio_dm[1] *119:86 8.57736e-06 -7 pad_gpio_dm[1] *154:10 0.000592782 -8 pad_gpio_dm[1] *159:43 4.45982e-06 -9 pad_gpio_ana_pol pad_gpio_dm[1] 0.00017976 -10 pad_gpio_dm[0] pad_gpio_dm[1] 0.000965348 -11 *1:27 pad_gpio_dm[1] 0 -*RES -1 *419:X pad_gpio_dm[1] 30.8289 -*END - -*D_NET *23 0.00661804 -*CONN -*P pad_gpio_dm[2] O -*I *420:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_dm[2] 0.000256175 -2 *420:X 0.00131576 -3 *23:11 0.00157193 -4 pad_gpio_dm[2] pad_gpio_holdover 0.000193899 -5 pad_gpio_dm[2] *26:7 0.000815535 -6 *23:11 *210:DIODE 5.66971e-05 -7 *23:11 *26:10 0 -8 pad_gpio_ana_en pad_gpio_dm[2] 0.000265449 -9 pad_gpio_ana_sel pad_gpio_dm[2] 0.000165707 -10 *15:10 *23:11 0.00032194 -11 *20:9 *23:11 0.00165494 -*RES -1 *420:X *23:11 48.05 -2 *23:11 pad_gpio_dm[2] 15.8296 -*END - -*D_NET *24 0.00598291 -*CONN -*P pad_gpio_holdover O -*I *421:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_holdover 0.000161372 -2 *421:X 0.00204172 -3 *24:9 0.00220309 -4 pad_gpio_holdover pad_gpio_ib_mode_sel 0.000319715 -5 pad_gpio_holdover *26:7 5.64173e-05 -6 *24:9 *314:A 6.82968e-05 -7 *24:9 *331:A 8.95645e-05 -8 *24:9 *334:A_N 2.59355e-05 -9 *24:9 *25:9 1.58213e-06 -10 *24:9 *68:7 8.7058e-05 -11 *24:9 *113:8 0.000518929 -12 pad_gpio_ana_pol *24:9 0.000101025 -13 pad_gpio_dm[0] *24:9 0.000114301 -14 pad_gpio_dm[2] pad_gpio_holdover 0.000193899 -*RES -1 *421:X *24:9 48.7821 -2 *24:9 pad_gpio_holdover 13.9546 -*END - -*D_NET *25 0.00613176 -*CONN -*P pad_gpio_ib_mode_sel O -*I *422:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_ib_mode_sel 0.000253099 -2 *422:X 0.00204469 -3 *25:16 3.00948e-05 -4 *25:9 0.00226769 -5 pad_gpio_ib_mode_sel *26:7 0 -6 *25:9 pad_gpio_inenb 0.000313563 -7 *25:9 *423:A 5.50052e-05 -8 *25:9 *39:8 0.000546821 -9 pad_gpio_dm[1] *25:9 0.000299497 -10 pad_gpio_holdover pad_gpio_ib_mode_sel 0.000319715 -11 *24:9 *25:9 1.58213e-06 -*RES -1 *422:X *25:9 48.4786 -2 *25:9 pad_gpio_ib_mode_sel 13.6511 -3 pad_gpio_ib_mode_sel *25:16 0.0595333 -*END - -*D_NET *26 0.009444 -*CONN -*P pad_gpio_in I -*I *414:A I *D sky130_fd_sc_hd__buf_2 -*I *210:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 pad_gpio_in 0.000601752 -2 *414:A 1.64115e-05 -3 *210:DIODE 0.000922163 -4 *26:28 3.0663e-05 -5 *26:10 0.0027566 -6 *26:7 0.00238911 -7 *210:DIODE *175:DIODE 0.000608509 -8 *210:DIODE *99:8 6.13903e-05 -9 *210:DIODE *120:8 2.73164e-05 -10 *210:DIODE *159:43 0.00053758 -11 *414:A *99:8 2.37944e-05 -12 *26:10 *67:7 1.21258e-05 -13 pad_gpio_dm[2] *26:7 0.000815535 -14 pad_gpio_holdover *26:7 5.64173e-05 -15 pad_gpio_ib_mode_sel *26:7 0 -16 *333:B *26:10 0.000178503 -17 *334:B *414:A 2.45012e-05 -18 *4:44 *210:DIODE 3.14003e-05 -19 *4:44 *414:A 3.29297e-05 -20 *4:44 *26:10 5.33005e-05 -21 *20:9 *210:DIODE 0.000207304 -22 *23:11 *210:DIODE 5.66971e-05 -23 *23:11 *26:10 0 -*RES -1 pad_gpio_in *26:7 23.3654 -2 *26:7 *26:10 37.8929 -3 *26:10 *210:DIODE 33.2286 -4 *26:10 *414:A 14.5321 -5 pad_gpio_in *26:28 0.0595333 -*END - -*D_NET *27 0.00351073 -*CONN -*P pad_gpio_inenb O -*I *423:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_inenb 0.00128301 -2 *423:X 0.00128301 -3 pad_gpio_inenb pad_gpio_out 0.000319504 -4 pad_gpio_inenb pad_gpio_slow_sel 0 -5 pad_gpio_inenb *362:SET_B 0 -6 pad_gpio_inenb *39:8 0.00031164 -7 *25:9 pad_gpio_inenb 0.000313563 -*RES -1 *423:X pad_gpio_inenb 32.5514 -*END - -*D_NET *28 0.00321977 -*CONN -*P pad_gpio_out O -*I *424:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_out 0.00140138 -2 *424:X 0.00140138 -3 pad_gpio_out pad_gpio_slow_sel 0 -4 pad_gpio_out *29:7 0 -5 pad_gpio_out *120:22 9.7497e-05 -6 pad_gpio_inenb pad_gpio_out 0.000319504 -*RES -1 *424:X pad_gpio_out 38.5215 -*END - -*D_NET *29 0.00739138 -*CONN -*P pad_gpio_outenb O -*I *425:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_outenb 0.00034682 -2 *425:X 2.34247e-05 -3 *29:14 2.67704e-05 -4 *29:7 0.00260151 -5 *29:5 0.00230489 -6 *29:7 *310:C 2.18792e-05 -7 *29:7 *329:A 4.22431e-05 -8 *29:7 *360:RESET_B 9.76435e-06 -9 *29:7 *419:A 0.000423607 -10 *29:7 *39:13 0.0012989 -11 *29:7 *77:7 4.58194e-05 -12 pad_gpio_out *29:7 0 -13 *191:DIODE *29:7 6.3594e-05 -14 *329:B *29:7 0.000182147 -*RES -1 *425:X *29:5 9.72857 -2 *29:5 *29:7 51.0536 -3 *29:7 pad_gpio_outenb 14.2582 -4 pad_gpio_outenb *29:14 0.0595333 -*END - -*D_NET *30 0.00813542 -*CONN -*P pad_gpio_slow_sel O -*I *426:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_slow_sel 0.00188673 -2 *426:X 0.00188673 -3 pad_gpio_slow_sel pad_gpio_vtrip_sel 0.00106068 -4 pad_gpio_slow_sel serial_clock_out 5.96749e-05 -5 pad_gpio_slow_sel *326:A_N 6.25739e-05 -6 pad_gpio_slow_sel *400:A 1.94945e-05 -7 pad_gpio_slow_sel *426:A 0.000320851 -8 pad_gpio_slow_sel *41:15 0.000390142 -9 pad_gpio_slow_sel *41:45 0.000994482 -10 pad_gpio_slow_sel *100:22 0.000314636 -11 pad_gpio_slow_sel *154:10 0.000501912 -12 pad_gpio_inenb pad_gpio_slow_sel 0 -13 pad_gpio_out pad_gpio_slow_sel 0 -14 *2:22 pad_gpio_slow_sel 0.000391078 -15 *11:27 pad_gpio_slow_sel 1.19432e-05 -16 *13:31 pad_gpio_slow_sel 0.000234491 -*RES -1 *426:X pad_gpio_slow_sel 32.9539 -*END - -*D_NET *31 0.0115101 -*CONN -*P pad_gpio_vtrip_sel O -*I *427:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_vtrip_sel 0.00236196 -2 *427:X 0.00236196 -3 pad_gpio_vtrip_sel *379:RESET_B 1.19071e-05 -4 pad_gpio_vtrip_sel *426:A 0.000148407 -5 pad_gpio_vtrip_sel *32:12 0.000890685 -6 pad_gpio_vtrip_sel *120:38 6.23252e-06 -7 pad_gpio_slow_sel pad_gpio_vtrip_sel 0.00106068 -8 *183:DIODE pad_gpio_vtrip_sel 0.000108056 -9 *2:22 pad_gpio_vtrip_sel 2.58939e-06 -10 *5:28 pad_gpio_vtrip_sel 0.00288005 -11 *13:20 pad_gpio_vtrip_sel 0.00167753 -*RES -1 *427:X pad_gpio_vtrip_sel 26.4826 -*END - -*D_NET *32 0.0111455 -*CONN -*P resetn I -*I *415:A I *D sky130_fd_sc_hd__buf_2 -*I *211:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 resetn 0.00199213 -2 *415:A 8.09693e-05 -3 *211:DIODE 0.000115203 -4 *32:12 0.0021883 -5 *211:DIODE *434:A 0.000280366 -6 *415:A *397:A 0.000178425 -7 *415:A *39:8 8.93791e-05 -8 *32:12 resetn_out 0.000613683 -9 *32:12 *365:SET_B 0.000534335 -10 *32:12 *377:D 0.000741983 -11 *32:12 *434:A 0.000171954 -12 *32:12 *123:23 0.000253109 -13 *32:12 *148:9 0.000363202 -14 *32:12 *154:10 6.30722e-06 -15 pad_gpio_vtrip_sel *32:12 0.000890685 -16 *178:DIODE *32:12 0.000237828 -17 *326:B *32:12 0.000401666 -18 *4:17 *211:DIODE 0.000280366 -19 *4:17 *32:12 0.000848198 -20 *5:28 *32:12 6.20218e-05 -21 *11:19 *32:12 9.48286e-05 -22 *11:21 *32:12 0.00033536 -23 *11:27 *32:12 0.000385186 -*RES -1 resetn *32:12 29.1379 -2 *32:12 *211:DIODE 17.5679 -3 *32:12 *415:A 15.9964 -*END - -*D_NET *33 0.0120528 -*CONN -*P resetn_out O -*I *428:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 resetn_out 0.00242307 -2 *428:X 0.00242307 -3 resetn_out *346:A 4.19624e-06 -4 resetn_out *379:RESET_B 7.14196e-06 -5 resetn_out *34:11 0.00433076 -6 resetn_out *94:64 5.49544e-05 -7 *326:B resetn_out 0.00018805 -8 *6:27 resetn_out 0.00157231 -9 *6:41 resetn_out 0.000366878 -10 *8:19 resetn_out 6.87106e-05 -11 *32:12 resetn_out 0.000613683 -*RES -1 *428:X resetn_out 27.2533 -*END - -*D_NET *34 0.0157551 -*CONN -*P serial_clock I -*I *382:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *203:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 serial_clock 0.00236408 -2 *382:A 0 -3 *203:DIODE 0.000309913 -4 *34:11 0.00267399 -5 *203:DIODE *323:A 0.000316895 -6 *203:DIODE *385:A 0.000217505 -7 *203:DIODE *59:15 2.59355e-05 -8 *203:DIODE *92:7 2.59355e-05 -9 *203:DIODE *93:8 0.000136166 -10 *203:DIODE *116:35 1.17134e-05 -11 *203:DIODE *129:10 9.3151e-05 -12 *34:11 serial_clock_out 0.000908252 -13 *34:11 *377:RESET_B 0.000557649 -14 *34:11 *383:A 0.00022369 -15 *34:11 *59:15 0.000129147 -16 *34:11 *92:7 3.97677e-05 -17 *34:11 *94:26 0.000146071 -18 *34:11 *94:37 0.000263285 -19 *34:11 *94:60 0.000241488 -20 *34:11 *116:76 0.000125506 -21 *34:11 *119:102 0.000539618 -22 *34:11 *125:13 0.000955932 -23 *34:11 *128:6 4.27295e-05 -24 resetn_out *34:11 0.00433076 -25 *326:B *34:11 5.26821e-05 -26 *328:B *34:11 0.000146021 -27 *10:21 *203:DIODE 0.000353078 -28 *10:26 *203:DIODE 0.000524167 -*RES -1 serial_clock *34:11 31.1373 -2 *34:11 *203:DIODE 30.1929 -3 *34:11 *382:A 9.3 -*END - -*D_NET *35 0.0132892 -*CONN -*P serial_clock_out O -*I *435:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 serial_clock_out 0.00239606 -2 *435:X 0.000366618 -3 *35:7 0.00276268 -4 serial_clock_out serial_data_out 0 -5 serial_clock_out *400:A 0.000517723 -6 serial_clock_out *36:11 0.000761549 -7 serial_clock_out *100:22 0.000844011 -8 serial_clock_out *115:15 3.86398e-05 -9 serial_clock_out *116:76 0.000113391 -10 serial_clock_out *119:16 2.16573e-05 -11 serial_clock_out *119:86 0.00021905 -12 serial_clock_out *119:102 0.000132282 -13 serial_clock_out *124:6 0.00212317 -14 serial_clock_out *145:25 0.000368906 -15 *35:7 *345:A 0.000143375 -16 *35:7 *351:A 0.000140856 -17 *35:7 *365:CLK_N 3.11088e-05 -18 *35:7 *41:45 0.000137639 -19 *35:7 *95:9 5.49489e-05 -20 *35:7 *95:29 0.000226222 -21 *35:7 *135:15 5.45307e-05 -22 pad_gpio_dm[1] serial_clock_out 0.000102847 -23 pad_gpio_slow_sel serial_clock_out 5.96749e-05 -24 *182:DIODE *35:7 7.79102e-05 -25 *328:B serial_clock_out 0.000305742 -26 *1:16 serial_clock_out 6.13969e-05 -27 *2:22 serial_clock_out 0.000318931 -28 *34:11 serial_clock_out 0.000908252 -*RES -1 *435:X *35:7 22.1393 -2 *35:7 serial_clock_out 37.8312 -*END - -*D_NET *36 0.0102843 -*CONN -*P serial_data_in I -*I *416:A I *D sky130_fd_sc_hd__buf_2 -*I *212:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 serial_data_in 0.00100218 -2 *416:A 0 -3 *212:DIODE 0.000988441 -4 *36:11 0.00199062 -5 *212:DIODE *417:A 0.000232399 -6 *212:DIODE *420:A 0.000962595 -7 *212:DIODE *110:8 0.000395747 -8 *212:DIODE *120:8 5.16626e-05 -9 *36:11 serial_data_out 0.00076101 -10 *36:11 *356:CLK_N 0.000501666 -11 *36:11 *420:A 5.31751e-05 -12 *36:11 *424:A 0.000363501 -13 *36:11 *97:15 0.000255596 -14 *36:11 *102:8 8.60486e-05 -15 *36:11 *110:8 0.00181184 -16 serial_clock_out *36:11 0.000761549 -17 *209:DIODE *212:DIODE 6.62783e-05 -*RES -1 serial_data_in *36:11 34.8803 -2 *36:11 *212:DIODE 40.6929 -3 *36:11 *416:A 9.3 -*END - -*D_NET *37 0.00837196 -*CONN -*P serial_data_out O -*I *429:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 serial_data_out 0.00207441 -2 *429:X 0.00207441 -3 serial_data_out *366:RESET_B 8.48784e-05 -4 serial_data_out *38:8 0.0013967 -5 serial_clock_out serial_data_out 0 -6 *1:16 serial_data_out 0.00148728 -7 *2:22 serial_data_out 0.000493288 -8 *11:19 serial_data_out 0 -9 *13:13 serial_data_out 0 -10 *36:11 serial_data_out 0.00076101 -*RES -1 *429:X serial_data_out 30.6235 -*END - -*D_NET *38 0.0125826 -*CONN -*P serial_load I -*I *204:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *383:A I *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 serial_load 0.00279106 -2 *204:DIODE 0.00107423 -3 *383:A 0.00031677 -4 *38:8 0.00418206 -5 *204:DIODE *357:CLK_N 6.94952e-05 -6 *204:DIODE *357:D 6.05161e-06 -7 *204:DIODE *369:RESET_B 2.7601e-05 -8 *204:DIODE *409:A 6.02827e-06 -9 *383:A *61:10 6.44554e-05 -10 *383:A *93:8 6.05161e-06 -11 *383:A *109:22 0.000111738 -12 *383:A *125:13 0.000132838 -13 *383:A *128:6 0.000508261 -14 *38:8 user_gpio_in 0 -15 *38:8 *366:RESET_B 0.000722091 -16 *38:8 *125:13 0.000126007 -17 *38:8 *128:6 4.6355e-05 -18 *38:8 *138:9 0.000100418 -19 *38:8 *144:9 0.000414258 -20 serial_data_out *38:8 0.0013967 -21 *1:16 *38:8 1.36429e-05 -22 *13:13 *38:8 0.000242806 -23 *34:11 *383:A 0.00022369 -*RES -1 serial_load *38:8 15.0129 -2 *38:8 *383:A 22.7821 -3 *38:8 *204:DIODE 25.425 -*END - -*D_NET *39 0.0119353 -*CONN -*P serial_load_out O -*I *436:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 serial_load_out 0.00155611 -2 *436:X 0.00178049 -3 *39:24 2.67704e-05 -4 *39:13 0.00268391 -5 *39:8 0.00293507 -6 *39:8 *323:A 2.95944e-05 -7 *39:8 *397:A 0.000249867 -8 *39:8 *423:A 9.58689e-05 -9 *39:8 *57:14 0.000225982 -10 pad_gpio_inenb *39:8 0.00031164 -11 *176:DIODE *39:8 4.82947e-05 -12 *323:B *39:8 5.65955e-05 -13 *415:A *39:8 8.93791e-05 -14 *25:9 *39:8 0.000546821 -15 *29:7 *39:13 0.0012989 -*RES -1 *436:X *39:8 48.3357 -2 *39:8 *39:13 39.9286 -3 *39:13 serial_load_out 42.4725 -4 serial_load_out *39:24 0.0595333 -*END - -*D_NET *40 0.00953496 -*CONN -*P user_gpio_in O -*I *430:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 user_gpio_in 0.00437054 -2 *430:X 0.00437054 -3 user_gpio_in *346:A 0 -4 user_gpio_in *369:D 0.000126793 -5 user_gpio_in *42:8 0.000243279 -6 user_gpio_in *144:9 0.000423804 -7 *38:8 user_gpio_in 0 -*RES -1 *430:X user_gpio_in 27.0588 -*END - -*D_NET *41 0.0154691 -*CONN -*P user_gpio_oeb I -*I *174:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *308:A0 I *D sky130_fd_sc_hd__mux2_4 -*CAP -1 user_gpio_oeb 0.00104495 -2 *174:DIODE 0 -3 *308:A0 0 -4 *41:45 0.0013212 -5 *41:15 0.00395862 -6 *41:10 0.00368237 -7 *41:15 *356:CLK_N 6.22482e-05 -8 *41:45 *175:DIODE 0.000890504 -9 *41:45 *308:S 1.59935e-05 -10 *41:45 *326:A_N 0.000825706 -11 *41:45 *349:A 2.85321e-05 -12 *41:45 *356:CLK_N 0.000134489 -13 *41:45 *365:RESET_B 0.000146261 -14 *41:45 *376:D 2.11419e-05 -15 *41:45 *411:A 1.24368e-05 -16 *41:45 *42:23 0.000482784 -17 *41:45 *67:10 2.06112e-05 -18 *41:45 *118:48 1.1594e-05 -19 *41:45 *118:58 0.000284496 -20 *41:45 *119:16 0.000538962 -21 *41:45 *119:86 1.94945e-05 -22 *41:45 *124:6 3.02267e-05 -23 *41:45 *134:8 5.21738e-05 -24 *41:45 *159:43 2.59355e-05 -25 pad_gpio_slow_sel *41:15 0.000390142 -26 pad_gpio_slow_sel *41:45 0.000994482 -27 *201:DIODE *41:45 0.000336085 -28 *35:7 *41:45 0.000137639 -*RES -1 user_gpio_oeb *41:10 28.5856 -2 *41:10 *41:15 49.5536 -3 *41:15 *308:A0 9.3 -4 *41:15 *41:45 43.3281 -5 *41:45 *174:DIODE 9.3 -*END - -*D_NET *42 0.0149302 -*CONN -*P user_gpio_out I -*I *309:B I *D sky130_fd_sc_hd__nand2b_2 -*I *175:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 user_gpio_out 0.00119612 -2 *309:B 0 -3 *175:DIODE 0.00104464 -4 *42:23 0.00296921 -5 *42:8 0.00312069 -6 *175:DIODE *365:RESET_B 1.32293e-05 -7 *175:DIODE *397:A 0 -8 *175:DIODE *119:16 8.80457e-05 -9 *175:DIODE *134:8 0.000863881 -10 *175:DIODE *159:43 4.57574e-05 -11 *42:8 *79:9 0 -12 *42:8 *102:8 8.12822e-05 -13 *42:8 *110:8 0.000195043 -14 *42:23 *315:A 1.65183e-05 -15 *42:23 *317:A 0.000168201 -16 *42:23 *318:A_N 0.000225784 -17 *42:23 *353:RESET_B 0.000346273 -18 *42:23 *361:D 4.58194e-05 -19 *42:23 *365:RESET_B 9.58126e-05 -20 *42:23 *102:8 6.12382e-05 -21 *42:23 *105:17 2.14185e-05 -22 *42:23 *110:8 6.12382e-05 -23 *42:23 *118:45 9.33179e-05 -24 *42:23 *118:48 0.000164914 -25 *42:23 *124:6 0.000763207 -26 *42:23 *134:8 3.8528e-05 -27 *42:23 *149:10 0.000118129 -28 user_gpio_in *42:8 0.000243279 -29 *178:DIODE *42:23 0.000143364 -30 *210:DIODE *175:DIODE 0.000608509 -31 *315:B *42:23 0.000245396 -32 *318:B *42:23 0.000478075 -33 *41:45 *175:DIODE 0.000890504 -34 *41:45 *42:23 0.000482784 -*RES -1 user_gpio_out *42:8 14.4345 -2 *42:8 *42:23 49.5714 -3 *42:23 *175:DIODE 42.0857 -4 *42:8 *309:B 13.8 -*END - -*D_NET *47 0.00700477 -*CONN -*P zero O -*I *438:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 zero 0.00229841 -2 *438:X 0.00229841 -3 zero *417:A 0.000201213 -4 zero *122:15 0.000237484 -5 mgmt_gpio_in zero 0.00185875 -6 one zero 1.07898e-05 -7 *188:DIODE zero 9.97164e-05 -*RES -1 *438:X zero 34.0699 -*END - -*D_NET *48 0.00183809 -*CONN -*I *311:A_N I *D sky130_fd_sc_hd__and2b_2 -*I *360:Q_N O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *311:A_N 0.000209578 -2 *360:Q_N 0.000209578 -3 *311:A_N *307:B 1.9516e-05 -4 *311:A_N *311:B 0.000359712 -5 *311:A_N *312:A2 0.000310854 -6 *311:A_N *313:A1 0.000495285 -7 *311:A_N *99:8 1.34741e-05 -8 *311:A_N *100:22 0.000101777 -9 *5:40 *311:A_N 0.000118315 -*RES -1 *360:Q_N *311:A_N 36.225 -*END - -*D_NET *49 0.00209874 -*CONN -*I *353:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *315:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *353:RESET_B 0.000356452 -2 *315:X 0.000356452 -3 *353:RESET_B *312:A2 6.79343e-05 -4 *353:RESET_B *315:A 4.37451e-05 -5 *353:RESET_B *407:A 0.000215335 -6 *353:RESET_B *67:10 6.57667e-05 -7 *353:RESET_B *98:7 0.000350577 -8 *353:RESET_B *164:15 0.000116014 -9 *315:B *353:RESET_B 0.000180195 -10 *42:23 *353:RESET_B 0.000346273 -*RES -1 *315:X *353:RESET_B 38.8321 -*END - -*D_NET *50 0.00468058 -*CONN -*I *353:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *316:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *353:SET_B 0.00119525 -2 *316:Y 0.00119525 -3 *353:SET_B *355:D 5.11397e-05 -4 *353:SET_B *355:SET_B 9.39059e-05 -5 *353:SET_B *53:10 0 -6 *353:SET_B *105:17 0 -7 *353:SET_B *119:33 5.33005e-05 -8 *353:SET_B *134:8 0.00197879 -9 *353:SET_B *145:25 9.60337e-06 -10 *178:DIODE *353:SET_B 6.19639e-06 -11 *316:B *353:SET_B 5.50052e-05 -12 *321:B *353:SET_B 4.21415e-05 -*RES -1 *316:Y *353:SET_B 45.3714 -*END - -*D_NET *51 0.00300066 -*CONN -*I *354:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *317:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *354:RESET_B 0.000605364 -2 *317:X 0.000605364 -3 *354:RESET_B *328:A_N 0.000276493 -4 *354:RESET_B *354:SET_B 0.000348659 -5 *354:RESET_B *379:D 7.14966e-05 -6 *354:RESET_B *399:A 0.000219273 -7 *354:RESET_B *406:A 8.55614e-05 -8 *354:RESET_B *61:10 0.000228065 -9 *354:RESET_B *103:8 3.44617e-05 -10 *354:RESET_B *109:22 9.58181e-05 -11 *354:RESET_B *118:34 0.000228066 -12 *354:RESET_B *123:34 0.000154295 -13 *354:RESET_B *145:25 2.0067e-05 -14 *354:RESET_B *165:18 1.62833e-05 -15 *4:17 *354:RESET_B 1.13968e-05 -*RES -1 *317:X *354:RESET_B 42.7071 -*END - -*D_NET *52 0.00183965 -*CONN -*I *354:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *318:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *354:SET_B 0.000488027 -2 *318:Y 0.000488027 -3 *354:SET_B *318:A_N 5.49995e-05 -4 *354:SET_B *379:D 0.000170727 -5 *354:SET_B *399:A 2.23592e-05 -6 *354:RESET_B *354:SET_B 0.000348659 -7 *3:16 *354:SET_B 0.000266853 -*RES -1 *318:Y *354:SET_B 19.2196 -*END - -*D_NET *53 0.00711706 -*CONN -*I *355:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *319:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *355:RESET_B 0.000313263 -2 *319:X 0.00202041 -3 *53:10 0.00233367 -4 *355:RESET_B *322:A_N 0.000125355 -5 *355:RESET_B *356:RESET_B 0.000370778 -6 *355:RESET_B *360:D 6.47405e-05 -7 *355:RESET_B *361:SET_B 2.11366e-05 -8 *355:RESET_B *105:17 0.000168861 -9 *355:RESET_B *119:102 8.6229e-06 -10 *53:10 *315:A 2.18087e-05 -11 *53:10 *362:D 0.000149939 -12 *53:10 *378:CLK 0.00023038 -13 *53:10 *378:D 1.29901e-05 -14 *53:10 *378:RESET_B 0.000205256 -15 *53:10 *379:D 0.000144456 -16 *53:10 *392:A 2.36104e-05 -17 *53:10 *430:A 0.000397491 -18 *53:10 *105:17 0.000245194 -19 *53:10 *115:15 3.50949e-06 -20 *53:10 *119:102 0.0001034 -21 *53:10 *134:8 0 -22 *322:B *355:RESET_B 0.000127943 -23 *353:SET_B *53:10 0 -24 *11:19 *53:10 2.42516e-05 -*RES -1 *319:X *53:10 44.6482 -2 *53:10 *355:RESET_B 22.1304 -*END - -*D_NET *54 0.00232671 -*CONN -*I *355:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *320:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *355:SET_B 0.000647363 -2 *320:Y 0.000647363 -3 *355:SET_B *355:D 0.000158626 -4 *355:SET_B *375:CLK 0.000139202 -5 *355:SET_B *375:D 1.84523e-06 -6 *355:SET_B *375:RESET_B 0.000373992 -7 *355:SET_B *61:10 9.66977e-05 -8 *355:SET_B *118:34 4.47553e-05 -9 *320:B *355:SET_B 2.51903e-05 -10 *353:SET_B *355:SET_B 9.39059e-05 -11 *4:17 *355:SET_B 9.77697e-05 -*RES -1 *320:Y *355:SET_B 37.2107 -*END - -*D_NET *55 0.00426461 -*CONN -*I *356:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *321:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *356:RESET_B 0.000638328 -2 *321:X 0.000638328 -3 *356:RESET_B *332:A_N 1.32293e-05 -4 *356:RESET_B *360:D 5.46855e-05 -5 *356:RESET_B *361:SET_B 0.00145088 -6 *356:RESET_B *426:A 0.000831165 -7 *356:RESET_B *433:A 7.05769e-05 -8 *356:RESET_B *119:33 5.66971e-05 -9 *355:RESET_B *356:RESET_B 0.000370778 -10 *1:27 *356:RESET_B 0.000139944 -*RES -1 *321:X *356:RESET_B 47.3857 -*END - -*D_NET *56 0.00147603 -*CONN -*I *356:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *322:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *356:SET_B 0.000307715 -2 *322:Y 0.000307715 -3 *356:SET_B *313:B1 0.000400825 -4 *356:SET_B *356:D 6.12715e-05 -5 *356:SET_B *79:9 0.000398501 -*RES -1 *322:Y *356:SET_B 25.8446 -*END - -*D_NET *57 0.00589551 -*CONN -*I *357:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *323:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *357:RESET_B 6.52499e-05 -2 *323:X 0.00111082 -3 *57:14 0.00117607 -4 *357:RESET_B *409:A 3.97677e-05 -5 *357:RESET_B *133:21 0.000115507 -6 *357:RESET_B *165:18 0.000141734 -7 *57:14 *363:SET_B 0.000975129 -8 *57:14 *364:SET_B 0.000222024 -9 *57:14 *430:A 0.000179457 -10 *57:14 *59:15 0.000329096 -11 *57:14 *69:17 0.000293268 -12 *57:14 *109:22 0 -13 *320:B *57:14 0.00018935 -14 *323:B *57:14 7.01566e-05 -15 *2:22 *57:14 0 -16 *7:28 *57:14 1.32293e-05 -17 *11:19 *57:14 0.000493183 -18 *12:11 *57:14 0.000255471 -19 *39:8 *57:14 0.000225982 -*RES -1 *323:X *57:14 48.6929 -2 *57:14 *357:RESET_B 11.4786 -*END - -*D_NET *58 0.00482363 -*CONN -*I *357:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *324:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *357:SET_B 0.000823215 -2 *324:Y 0.000823215 -3 *357:SET_B *357:D 0.000235071 -4 *357:SET_B *364:D 0.000380019 -5 *357:SET_B *370:D 0.000303806 -6 *357:SET_B *125:13 0.000230676 -7 *357:SET_B *130:18 0.000216974 -8 *357:SET_B *133:21 0.000136418 -9 *357:SET_B *151:6 0.00046841 -10 *326:B *357:SET_B 0.00032482 -11 *7:14 *357:SET_B 1.28171e-05 -12 *7:28 *357:SET_B 1.90303e-05 -13 *12:32 *357:SET_B 0.000849159 -*RES -1 *324:Y *357:SET_B 44.0679 -*END - -*D_NET *59 0.00429676 -*CONN -*I *358:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *325:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *358:RESET_B 0 -2 *325:X 0.00122967 -3 *59:15 0.00122967 -4 *59:15 *342:A 2.42516e-05 -5 *59:15 *355:CLK_N 4.46936e-05 -6 *59:15 *358:SET_B 0.000180162 -7 *59:15 *363:SET_B 0.000199782 -8 *59:15 *366:D 0.000271098 -9 *59:15 *92:7 9.57351e-05 -10 *59:15 *114:34 0.00020486 -11 *203:DIODE *59:15 2.59355e-05 -12 *320:B *59:15 9.69399e-05 -13 *12:11 *59:15 0.000235726 -14 *34:11 *59:15 0.000129147 -15 *57:14 *59:15 0.000329096 -*RES -1 *325:X *59:15 42.7464 -2 *59:15 *358:RESET_B 9.3 -*END - -*D_NET *60 0.00543856 -*CONN -*I *358:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *326:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *358:SET_B 0.00145046 -2 *326:Y 0.00145046 -3 *358:SET_B *355:CLK_N 0.00080159 -4 *358:SET_B *355:D 0.000129147 -5 *358:SET_B *375:D 8.55871e-05 -6 *358:SET_B *400:A 0.000205011 -7 *358:SET_B *404:A 5.96516e-05 -8 *358:SET_B *115:15 0.000320274 -9 *358:SET_B *115:19 0.000139208 -10 *178:DIODE *358:SET_B 0.000344491 -11 *320:B *358:SET_B 9.29338e-05 -12 *322:B *358:SET_B 1.0484e-05 -13 *326:B *358:SET_B 0.0001691 -14 *59:15 *358:SET_B 0.000180162 -*RES -1 *326:Y *358:SET_B 42.2911 -*END - -*D_NET *61 0.0054138 -*CONN -*I *359:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *327:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *359:RESET_B 0 -2 *327:X 0.00182521 -3 *61:10 0.00182521 -4 *61:10 *370:D 0.000290291 -5 *61:10 *370:RESET_B 2.33546e-05 -6 *61:10 *375:CLK 8.46407e-05 -7 *61:10 *406:A 0.000139936 -8 *61:10 *93:8 0.000602382 -9 *61:10 *109:22 9.12406e-06 -10 *61:10 *118:34 2.61076e-05 -11 *354:RESET_B *61:10 0.000228065 -12 *355:SET_B *61:10 9.66977e-05 -13 *383:A *61:10 6.44554e-05 -14 *4:17 *61:10 6.45108e-05 -15 *5:28 *61:10 0.000133812 -*RES -1 *327:X *61:10 43.0321 -2 *61:10 *359:RESET_B 9.3 -*END - -*D_NET *62 0.00375479 -*CONN -*I *359:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *328:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *359:SET_B 0.000897934 -2 *328:Y 0.000897934 -3 *359:SET_B *328:A_N 0.00115571 -4 *359:SET_B *347:A 5.49544e-05 -5 *359:SET_B *97:15 8.43535e-06 -6 *359:SET_B *118:34 8.79458e-05 -7 *359:SET_B *140:8 9.67754e-05 -8 *359:SET_B *146:7 0.000501798 -9 *328:B *359:SET_B 5.33005e-05 -*RES -1 *328:Y *359:SET_B 27.0232 -*END - -*D_NET *63 0.00117399 -*CONN -*I *360:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *329:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *360:RESET_B 0.000142051 -2 *329:X 0.000142051 -3 *360:RESET_B *312:A2 0.000360162 -4 *360:RESET_B *329:A 1.32056e-05 -5 *360:RESET_B *65:12 0.000359295 -6 *360:RESET_B *77:7 5.33005e-05 -7 *191:DIODE *360:RESET_B 9.41642e-05 -8 *29:7 *360:RESET_B 9.76435e-06 -*RES -1 *329:X *360:RESET_B 33.225 -*END - -*D_NET *64 0.000648539 -*CONN -*I *360:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *330:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *360:SET_B 0.000124802 -2 *330:Y 0.000124802 -3 *360:SET_B *360:CLK_N 0.000105852 -4 *360:SET_B *361:SET_B 2.0372e-05 -5 *360:SET_B *425:A 0.000174469 -6 *192:DIODE *360:SET_B 9.82423e-05 -*RES -1 *330:Y *360:SET_B 21.4964 -*END - -*D_NET *65 0.00537778 -*CONN -*I *361:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *331:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *361:RESET_B 0 -2 *331:X 0.0011297 -3 *65:12 0.0011297 -4 *65:12 *311:B 0.000213789 -5 *65:12 *312:A2 0.000331453 -6 *65:12 *314:A 6.56458e-05 -7 *65:12 *326:A_N 0.000397815 -8 *65:12 *329:A 0.000154931 -9 *65:12 *404:A 0.000351445 -10 *65:12 *426:A 6.34022e-05 -11 *65:12 *99:8 0 -12 *65:12 *148:9 6.25005e-05 -13 *326:B *65:12 2.11419e-05 -14 *360:RESET_B *65:12 0.000359295 -15 *1:27 *65:12 6.12016e-05 -16 *3:24 *65:12 0.00103576 -*RES -1 *331:X *65:12 47.925 -2 *65:12 *361:RESET_B 9.3 -*END - -*D_NET *66 0.0053571 -*CONN -*I *361:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *332:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *361:SET_B 0.000814534 -2 *332:Y 0.000814534 -3 *361:SET_B *332:A_N 2.6269e-05 -4 *361:SET_B *347:A 0.000832484 -5 *361:SET_B *360:CLK_N 6.42168e-05 -6 *361:SET_B *378:RESET_B 0.000115315 -7 *361:SET_B *419:A 4.45982e-06 -8 *361:SET_B *425:A 3.07351e-06 -9 *361:SET_B *433:A 1.13495e-05 -10 *361:SET_B *119:38 1.00887e-05 -11 *361:SET_B *119:102 0.000231813 -12 *361:SET_B *143:11 1.98839e-05 -13 *361:SET_B *164:15 0.000193162 -14 *177:DIODE *361:SET_B 2.18021e-05 -15 *316:B *361:SET_B 9.58632e-05 -16 *329:B *361:SET_B 8.35922e-05 -17 *355:RESET_B *361:SET_B 2.11366e-05 -18 *356:RESET_B *361:SET_B 0.00145088 -19 *360:SET_B *361:SET_B 2.0372e-05 -20 *1:27 *361:SET_B 0.000243165 -21 *1:38 *361:SET_B 1.73789e-05 -22 *2:33 *361:SET_B 0.000136166 -23 *3:29 *361:SET_B 0.000125564 -*RES -1 *332:Y *361:SET_B 44.675 -*END - -*D_NET *67 0.00725406 -*CONN -*I *362:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *333:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *362:RESET_B 0 -2 *333:X 4.48578e-05 -3 *67:10 0.00138099 -4 *67:7 0.00142585 -5 *67:7 *333:A 5.49995e-05 -6 *67:10 *307:B 0.000336085 -7 *67:10 *308:S 0.00111158 -8 *67:10 *312:A2 0 -9 *67:10 *312:B1 0.000213234 -10 *67:10 *333:A 7.74068e-05 -11 *67:10 *376:D 0.000111398 -12 *67:10 *411:A 0.000350115 -13 *67:10 *79:9 6.74479e-05 -14 *67:10 *99:8 0.0011257 -15 *67:10 *101:14 0.000539195 -16 *67:10 *120:8 0 -17 *67:10 *120:22 0 -18 *67:10 *140:8 6.65364e-05 -19 *333:B *67:7 6.05161e-06 -20 *334:B *67:10 0.000131136 -21 *353:RESET_B *67:10 6.57667e-05 -22 *4:44 *67:7 1.04766e-05 -23 *5:40 *67:10 0.000102503 -24 *26:10 *67:7 1.21258e-05 -25 *41:45 *67:10 2.06112e-05 -*RES -1 *333:X *67:7 14.7464 -2 *67:7 *67:10 43.1786 -3 *67:10 *362:RESET_B 9.3 -*END - -*D_NET *68 0.0067222 -*CONN -*I *362:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *334:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *362:SET_B 0.00175952 -2 *334:Y 0.000182495 -3 *68:7 0.00194201 -4 *362:SET_B *102:8 1.98954e-05 -5 *362:SET_B *110:8 0.00267793 -6 *68:7 *334:A_N 5.33005e-05 -7 pad_gpio_inenb *362:SET_B 0 -8 *24:9 *68:7 8.7058e-05 -*RES -1 *334:Y *68:7 16.8 -2 *68:7 *362:SET_B 44.4375 -*END - -*D_NET *69 0.00413971 -*CONN -*I *363:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *335:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *363:RESET_B 0 -2 *335:X 0.00155361 -3 *69:17 0.00155361 -4 *69:17 *373:CLK 1.83136e-05 -5 *69:17 *373:D 5.33005e-05 -6 *69:17 *114:7 7.7449e-05 -7 *69:17 *115:31 0.000143243 -8 *69:17 *115:35 4.885e-05 -9 *69:17 *159:7 0.000398063 -10 *57:14 *69:17 0.000293268 -*RES -1 *335:X *69:17 42.0857 -2 *69:17 *363:RESET_B 9.3 -*END - -*D_NET *70 0.00477468 -*CONN -*I *363:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *336:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *363:SET_B 0.000688529 -2 *336:Y 0.000688529 -3 *363:SET_B *345:A 4.90246e-05 -4 *363:SET_B *364:SET_B 1.82462e-05 -5 *363:SET_B *366:D 2.53754e-05 -6 *363:SET_B *113:8 0.000972566 -7 *363:SET_B *119:16 0.000347922 -8 *7:35 *363:SET_B 0.000402081 -9 *9:38 *363:SET_B 0.000180151 -10 *12:32 *363:SET_B 0.000227347 -11 *57:14 *363:SET_B 0.000975129 -12 *59:15 *363:SET_B 0.000199782 -*RES -1 *336:Y *363:SET_B 42.5321 -*END - -*D_NET *71 0.00224565 -*CONN -*I *364:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *337:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *364:RESET_B 0.00079757 -2 *337:X 0.00079757 -3 *364:RESET_B *364:CLK_N 3.04311e-05 -4 *364:RESET_B *385:A 2.59355e-05 -5 *364:RESET_B *103:8 0.000148514 -6 *364:RESET_B *120:38 0.000305883 -7 *364:RESET_B *143:11 6.94952e-05 -8 *182:DIODE *364:RESET_B 5.93614e-05 -9 *13:31 *364:RESET_B 1.08847e-05 -*RES -1 *337:X *364:RESET_B 40.8857 -*END - -*D_NET *72 0.00195167 -*CONN -*I *364:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *338:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *364:SET_B 0.000502941 -2 *338:Y 0.000502941 -3 *364:SET_B *314:A 0.000473059 -4 *364:SET_B *364:CLK_N 1.10909e-05 -5 *364:SET_B *143:11 4.83516e-05 -6 *363:SET_B *364:SET_B 1.82462e-05 -7 *7:35 *364:SET_B 0.000173021 -8 *57:14 *364:SET_B 0.000222024 -*RES -1 *338:Y *364:SET_B 29.0054 -*END - -*D_NET *73 0.0011549 -*CONN -*I *365:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *339:X O *D sky130_fd_sc_hd__or2_0 -*CAP -1 *365:RESET_B 0.000247857 -2 *339:X 0.000247857 -3 *365:RESET_B *134:8 0.000146261 -4 *175:DIODE *365:RESET_B 1.32293e-05 -5 *201:DIODE *365:RESET_B 0.000257619 -6 *41:45 *365:RESET_B 0.000146261 -7 *42:23 *365:RESET_B 9.58126e-05 -*RES -1 *339:X *365:RESET_B 32.9571 -*END - -*D_NET *74 0.00146887 -*CONN -*I *365:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *340:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *365:SET_B 0.000198994 -2 *340:Y 0.000198994 -3 *4:17 *365:SET_B 0.000536544 -4 *32:12 *365:SET_B 0.000534335 -*RES -1 *340:Y *365:SET_B 25.4071 -*END - -*D_NET *75 0.000681476 -*CONN -*I *308:A1 I *D sky130_fd_sc_hd__mux2_4 -*I *307:X O *D sky130_fd_sc_hd__and2_0 -*CAP -1 *308:A1 0.000195328 -2 *307:X 0.000195328 -3 *308:A1 *307:B 3.06035e-05 -4 *308:A1 *313:B1 0.000126416 -5 *308:A1 *79:9 0.000125542 -6 *308:A1 *97:15 8.25843e-06 -*RES -1 *307:X *308:A1 31.0107 -*END - -*D_NET *76 0.00363923 -*CONN -*I *313:B1 I *D sky130_fd_sc_hd__o21ai_4 -*I *309:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *313:B1 0.000577143 -2 *309:Y 0.000577143 -3 *313:B1 *79:9 0.000428575 -4 *313:B1 *102:8 0.00146603 -5 *308:A1 *313:B1 0.000126416 -6 *328:B *313:B1 6.30931e-05 -7 *356:SET_B *313:B1 0.000400825 -*RES -1 *309:Y *313:B1 46.85 -*END - -*D_NET *77 0.00666878 -*CONN -*I *312:A2 I *D sky130_fd_sc_hd__o21ai_2 -*I *311:B I *D sky130_fd_sc_hd__and2b_2 -*I *310:X O *D sky130_fd_sc_hd__and3b_2 -*CAP -1 *312:A2 0.00122852 -2 *311:B 0.000249483 -3 *310:X 3.87904e-05 -4 *77:7 0.0015168 -5 *311:B *313:A1 2.12485e-05 -6 *311:B *99:8 2.47837e-05 -7 *311:B *143:11 0.000182671 -8 *312:A2 *307:B 1.78393e-05 -9 *312:A2 *312:B1 0 -10 *312:A2 *326:A_N 0.000396233 -11 *312:A2 *378:RESET_B 0 -12 *312:A2 *405:A 0.000141941 -13 *312:A2 *101:14 0.00102907 -14 *312:A2 *144:9 3.89994e-05 -15 *312:A2 *164:15 0 -16 *191:DIODE *77:7 1.98839e-05 -17 *311:A_N *311:B 0.000359712 -18 *311:A_N *312:A2 0.000310854 -19 *326:B *312:A2 1.94945e-05 -20 *353:RESET_B *312:A2 6.79343e-05 -21 *360:RESET_B *312:A2 0.000360162 -22 *360:RESET_B *77:7 5.33005e-05 -23 *29:7 *77:7 4.58194e-05 -24 *65:12 *311:B 0.000213789 -25 *65:12 *312:A2 0.000331453 -26 *67:10 *312:A2 0 -*RES -1 *310:X *77:7 14.7464 -2 *77:7 *311:B 21.1571 -3 *77:7 *312:A2 44.1571 -*END - -*D_NET *78 0.00173948 -*CONN -*I *313:A1 I *D sky130_fd_sc_hd__o21ai_4 -*I *311:X O *D sky130_fd_sc_hd__and2b_2 -*CAP -1 *313:A1 0.000346451 -2 *311:X 0.000346451 -3 *313:A1 *307:B 0.000138425 -4 *313:A1 *99:8 0.000357459 -5 *313:A1 *100:22 2.59355e-05 -6 *313:A1 *143:11 8.22661e-06 -7 *311:A_N *313:A1 0.000495285 -8 *311:B *313:A1 2.12485e-05 -*RES -1 *311:X *313:A1 36.4036 -*END - -*D_NET *79 0.00453834 -*CONN -*I *313:A2 I *D sky130_fd_sc_hd__o21ai_4 -*I *312:Y O *D sky130_fd_sc_hd__o21ai_2 -*CAP -1 *313:A2 0 -2 *312:Y 0.000848131 -3 *79:9 0.000848131 -4 *79:9 *308:S 0.00111963 -5 *79:9 *312:B1 0.000360763 -6 *79:9 *101:14 0.000218098 -7 *79:9 *102:8 5.89096e-05 -8 *308:A1 *79:9 0.000125542 -9 *313:B1 *79:9 0.000428575 -10 *328:B *79:9 6.46173e-05 -11 *356:SET_B *79:9 0.000398501 -12 *42:8 *79:9 0 -13 *67:10 *79:9 6.74479e-05 -*RES -1 *312:Y *79:9 42.9429 -2 *79:9 *313:A2 9.3 -*END - -*D_NET *92 0.00653974 -*CONN -*I *386:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *384:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *382:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *386:A 0 -2 *384:A 0.000894338 -3 *382:X 0.000578022 -4 *92:7 0.00147236 -5 *384:A *94:8 9.07033e-05 -6 *384:A *94:17 1.07319e-05 -7 *384:A *129:10 0.00157161 -8 *384:A *138:9 0.00157974 -9 *92:7 *114:34 7.36469e-05 -10 *186:DIODE *384:A 3.11088e-05 -11 *188:DIODE *384:A 7.60395e-05 -12 *203:DIODE *92:7 2.59355e-05 -13 *34:11 *92:7 3.97677e-05 -14 *59:15 *92:7 9.57351e-05 -*RES -1 *382:X *92:7 16.425 -2 *92:7 *384:A 45.4071 -3 *92:7 *386:A 9.3 -*END - -*D_NET *93 0.00483767 -*CONN -*I *387:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *385:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *383:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *387:A 0 -2 *385:A 0.00016657 -3 *383:X 0.000561225 -4 *93:8 0.000727795 -5 *385:A *337:A 5.49489e-05 -6 *385:A *120:38 0.000175892 -7 *385:A *129:10 0.000217505 -8 *93:8 *371:D 4.45982e-06 -9 *93:8 *402:A 0.000104713 -10 *93:8 *109:22 0.000102877 -11 *93:8 *116:35 0.000147552 -12 *93:8 *117:13 0.000103211 -13 *93:8 *129:10 0.000694009 -14 *93:8 *138:9 8.61547e-06 -15 *93:8 *147:11 0.000189347 -16 *203:DIODE *385:A 0.000217505 -17 *203:DIODE *93:8 0.000136166 -18 *364:RESET_B *385:A 2.59355e-05 -19 *383:A *93:8 6.05161e-06 -20 *5:28 *93:8 0.000311284 -21 *10:21 *93:8 0.000279625 -22 *61:10 *93:8 0.000602382 -*RES -1 *383:X *93:8 31.9429 -2 *93:8 *385:A 18.8536 -3 *93:8 *387:A 13.8 -*END - -*D_NET *94 0.0163048 -*CONN -*I *377:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *367:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *369:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *368:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *374:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *371:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *372:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *373:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *306:A I *D sky130_fd_sc_hd__inv_2 -*I *384:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *377:CLK 0.000278927 -2 *367:CLK 8.99339e-05 -3 *369:CLK 0 -4 *368:CLK 0 -5 *374:CLK 8.97662e-05 -6 *371:CLK 9.02699e-05 -7 *372:CLK 0.000960105 -8 *373:CLK 1.63605e-05 -9 *306:A 2.39758e-05 -10 *384:X 0.00074848 -11 *94:71 0.000189018 -12 *94:64 0.000693433 -13 *94:60 0.00134706 -14 *94:39 0.000180036 -15 *94:37 0.000562604 -16 *94:26 0.00117407 -17 *94:17 0.000801983 -18 *94:8 0.00143293 -19 *306:A *345:A 5.49489e-05 -20 *371:CLK *343:A 0.000109263 -21 *371:CLK *402:A 6.94952e-05 -22 *372:CLK *363:D 0.000610496 -23 *373:CLK *115:31 5.49544e-05 -24 *374:CLK *343:A 0.000135895 -25 *377:CLK *111:19 5.33005e-05 -26 *94:8 *122:30 4.51198e-05 -27 *94:17 *122:30 3.39905e-05 -28 *94:17 *125:13 0.000615917 -29 *94:17 *128:6 0.00123917 -30 *94:17 *138:9 8.92073e-05 -31 *94:26 *125:13 9.22338e-05 -32 *94:26 *128:6 0.000323379 -33 *94:37 *128:6 0.000254174 -34 *94:60 *117:13 0.000166317 -35 *94:60 *128:6 0.000232377 -36 *94:64 *403:A 1.00887e-05 -37 *94:64 *152:10 5.23822e-05 -38 resetn_out *94:64 5.49544e-05 -39 *181:DIODE *371:CLK 2.42516e-05 -40 *181:DIODE *374:CLK 3.2687e-05 -41 *186:DIODE *94:8 0.00066478 -42 *188:DIODE *94:8 0.000271155 -43 *384:A *94:8 9.07033e-05 -44 *384:A *94:17 1.07319e-05 -45 *5:11 *367:CLK 0.000201442 -46 *5:11 *94:64 0.000197543 -47 *5:11 *94:71 0.000198261 -48 *5:28 *94:60 0.00036301 -49 *6:27 *94:60 5.09917e-05 -50 *8:19 *94:64 2.11419e-05 -51 *8:23 *94:64 5.31751e-05 -52 *9:13 *94:60 0.000291758 -53 *10:21 *94:60 0.0001125 -54 *12:14 *374:CLK 7.4854e-05 -55 *34:11 *94:26 0.000146071 -56 *34:11 *94:37 0.000263285 -57 *34:11 *94:60 0.000241488 -58 *69:17 *373:CLK 1.83136e-05 -*RES -1 *384:X *94:8 25.7286 -2 *94:8 *306:A 14.3357 -3 *94:8 *94:17 19.25 -4 *94:17 *373:CLK 14.3357 -5 *94:17 *94:26 4.375 -6 *94:26 *372:CLK 25.7464 -7 *94:26 *94:37 3.46429 -8 *94:37 *94:39 4.5 -9 *94:39 *371:CLK 11.4786 -10 *94:39 *374:CLK 11.8893 -11 *94:37 *94:60 19.1757 -12 *94:60 *94:64 14.9821 -13 *94:64 *368:CLK 13.8 -14 *94:64 *94:71 2.55357 -15 *94:71 *369:CLK 13.8 -16 *94:71 *367:CLK 16.3536 -17 *94:60 *377:CLK 13.1214 -*END - -*D_NET *95 0.0162239 -*CONN -*I *342:A I *D sky130_fd_sc_hd__inv_2 -*I *347:A I *D sky130_fd_sc_hd__inv_2 -*I *351:A I *D sky130_fd_sc_hd__inv_2 -*I *352:A I *D sky130_fd_sc_hd__inv_2 -*I *350:A I *D sky130_fd_sc_hd__inv_2 -*I *345:A I *D sky130_fd_sc_hd__inv_2 -*I *385:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *342:A 0.00150756 -2 *347:A 0.00163273 -3 *351:A 3.14759e-05 -4 *352:A 0 -5 *350:A 0 -6 *345:A 0.000917548 -7 *385:X 0 -8 *95:41 0.0034793 -9 *95:29 0.000111819 -10 *95:9 0.00092648 -11 *95:8 0.000539487 -12 *95:5 0.00078923 -13 *342:A *355:CLK_N 8.24167e-05 -14 *342:A *358:D 5.23296e-05 -15 *342:A *371:D 9.35042e-05 -16 *342:A *158:17 7.70035e-05 -17 *342:A *158:32 0.000179887 -18 *345:A *435:A 0.000185124 -19 *345:A *129:10 5.6647e-05 -20 *345:A *135:15 1.34631e-05 -21 *347:A *328:A_N 5.33005e-05 -22 *347:A *360:CLK_N 0.000819443 -23 *347:A *360:D 9.17062e-05 -24 *347:A *401:A 5.69386e-05 -25 *347:A *150:7 2.59355e-05 -26 *347:A *154:10 2.66851e-06 -27 *95:8 *435:A 0.00077345 -28 *95:9 *435:A 4.33899e-05 -29 *95:29 *435:A 4.17433e-05 -30 *95:41 *373:RESET_B 1.01912e-05 -31 *95:41 *435:A 0.000379729 -32 *95:41 *114:57 4.35973e-05 -33 *95:41 *158:17 0.000236667 -34 pad_gpio_dm[0] *95:8 4.51632e-05 -35 *182:DIODE *351:A 5.45307e-05 -36 *185:DIODE *345:A 7.21379e-05 -37 *202:DIODE *347:A 0.000175892 -38 *306:A *345:A 5.49489e-05 -39 *320:B *342:A 0.000224341 -40 *359:SET_B *347:A 5.49544e-05 -41 *361:SET_B *347:A 0.000832484 -42 *363:SET_B *345:A 4.90246e-05 -43 *1:27 *347:A 2.97629e-05 -44 *2:22 *342:A 0.000154304 -45 *7:35 *345:A 5.51716e-05 -46 *9:13 *342:A 0.000576812 -47 *35:7 *345:A 0.000143375 -48 *35:7 *351:A 0.000140856 -49 *35:7 *95:9 5.49489e-05 -50 *35:7 *95:29 0.000226222 -51 *59:15 *342:A 2.42516e-05 -*RES -1 *385:X *95:5 13.8 -2 *95:5 *95:8 14.6429 -3 *95:8 *95:9 0.535714 -4 *95:9 *345:A 34.8179 -5 *95:9 *350:A 9.3 -6 *95:8 *95:29 2.17857 -7 *95:29 *352:A 9.3 -8 *95:29 *351:A 10.6571 -9 *95:5 *95:41 8.01786 -10 *95:41 *347:A 48.6214 -11 *95:41 *342:A 36.6214 -*END - -*D_NET *96 0.00636672 -*CONN -*I *314:A I *D sky130_fd_sc_hd__and2_2 -*I *398:gpio_logic1 O *D gpio_logic_high -*CAP -1 *314:A 0.00209781 -2 *398:gpio_logic1 0.00209781 -3 *314:A *331:A 9.94524e-05 -4 *314:A *418:A 0.000542405 -5 *314:A *113:8 0.000485175 -6 *314:A *114:13 0.000132242 -7 *314:A *159:15 4.64488e-06 -8 *338:B *314:A 0.000204318 -9 *364:SET_B *314:A 0.000473059 -10 *10:26 *314:A 9.58632e-05 -11 *24:9 *314:A 6.82968e-05 -12 *65:12 *314:A 6.56458e-05 -*RES -1 *398:gpio_logic1 *314:A 47.6547 -*END - -*D_NET *97 0.00581883 -*CONN -*I *307:A I *D sky130_fd_sc_hd__and2_0 -*I *359:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *307:A 0 -2 *359:Q 0.00148176 -3 *97:15 0.00148176 -4 *97:15 *307:B 9.58632e-05 -5 *97:15 *328:A_N 0.000646454 -6 *97:15 *356:CLK_N 0.000499269 -7 *97:15 *409:A 0.000170473 -8 *97:15 *102:8 0.00100761 -9 *97:15 *164:15 9.76587e-05 -10 *308:A1 *97:15 8.25843e-06 -11 *328:B *97:15 6.57032e-05 -12 *359:SET_B *97:15 8.43535e-06 -13 *36:11 *97:15 0.000255596 -*RES -1 *359:Q *97:15 47.8357 -2 *97:15 *307:A 9.3 -*END - -*D_NET *98 0.00510637 -*CONN -*I *309:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *312:B1 I *D sky130_fd_sc_hd__o21ai_2 -*I *308:S I *D sky130_fd_sc_hd__mux2_4 -*I *353:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *309:A_N 3.85687e-05 -2 *312:B1 0.000134721 -3 *308:S 0.000516418 -4 *353:Q 0.000272346 -5 *98:9 0.000651138 -6 *98:7 0.000310915 -7 *98:7 *407:A 1.0484e-05 -8 *312:A2 *312:B1 0 -9 *353:RESET_B *98:7 0.000350577 -10 *41:45 *308:S 1.59935e-05 -11 *67:10 *308:S 0.00111158 -12 *67:10 *312:B1 0.000213234 -13 *79:9 *308:S 0.00111963 -14 *79:9 *312:B1 0.000360763 -*RES -1 *353:Q *98:7 14.3179 -2 *98:7 *98:9 4.5 -3 *98:9 *308:S 29.1304 -4 *98:9 *312:B1 18.4786 -5 *98:7 *309:A_N 9.83571 -*END - -*D_NET *99 0.00515054 -*CONN -*I *307:B I *D sky130_fd_sc_hd__and2_0 -*I *310:C I *D sky130_fd_sc_hd__and3b_2 -*I *412:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *307:B 0.000227008 -2 *310:C 3.11324e-05 -3 *412:X 0.000703955 -4 *99:8 0.000962096 -5 *307:B *100:22 0.000147796 -6 *307:B *101:14 9.41642e-05 -7 *99:8 *314:B 9.91111e-05 -8 *99:8 *329:A 0 -9 *99:8 *396:A 0.000404041 -10 *99:8 *120:8 0 -11 *191:DIODE *310:C 7.37323e-05 -12 *210:DIODE *99:8 6.13903e-05 -13 *308:A1 *307:B 3.06035e-05 -14 *311:A_N *307:B 1.9516e-05 -15 *311:A_N *99:8 1.34741e-05 -16 *311:B *99:8 2.47837e-05 -17 *312:A2 *307:B 1.78393e-05 -18 *313:A1 *307:B 0.000138425 -19 *313:A1 *99:8 0.000357459 -20 *334:B *99:8 5.24684e-06 -21 *414:A *99:8 2.37944e-05 -22 *5:40 *99:8 0.000135449 -23 *29:7 *310:C 2.18792e-05 -24 *65:12 *99:8 0 -25 *67:10 *307:B 0.000336085 -26 *67:10 *99:8 0.0011257 -27 *97:15 *307:B 9.58632e-05 -*RES -1 *412:X *99:8 33.5857 -2 *99:8 *310:C 14.5411 -3 *99:8 *307:B 20.7643 -*END - -*D_NET *100 0.00605126 -*CONN -*I *418:A I *D sky130_fd_sc_hd__buf_16 -*I *360:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *418:A 0.000196181 -2 *360:Q 0.00124646 -3 *100:22 0.00144264 -4 *418:A *421:A 0.000180079 -5 *418:A *113:8 7.05778e-05 -6 *100:22 *321:A 9.58689e-05 -7 *100:22 *330:A_N 0.000206863 -8 *100:22 *433:A 8.88341e-05 -9 *100:22 *101:14 1.21258e-05 -10 *100:22 *154:10 0.000178491 -11 pad_gpio_dm[1] *100:22 0.000178353 -12 pad_gpio_slow_sel *100:22 0.000314636 -13 serial_clock_out *100:22 0.000844011 -14 *192:DIODE *100:22 4.11387e-05 -15 *307:B *100:22 0.000147796 -16 *311:A_N *100:22 0.000101777 -17 *313:A1 *100:22 2.59355e-05 -18 *314:A *418:A 0.000542405 -19 *2:22 *100:22 0.000137099 -*RES -1 *360:Q *100:22 49.1036 -2 *100:22 *418:A 19.2821 -*END - -*D_NET *101 0.00869762 -*CONN -*I *310:B I *D sky130_fd_sc_hd__and3b_2 -*I *419:A I *D sky130_fd_sc_hd__buf_16 -*I *361:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *310:B 0 -2 *419:A 0.000841094 -3 *361:Q 0.000836593 -4 *101:16 0.000841094 -5 *101:14 0.000836593 -6 *419:A *329:A 0.00103494 -7 *419:A *397:A 0.000223662 -8 *419:A *173:15 9.84673e-05 -9 *101:14 *315:A 7.53759e-05 -10 *101:14 *376:D 0.000598006 -11 *101:14 *140:8 0.000137127 -12 *176:DIODE *419:A 9.76123e-05 -13 *307:B *101:14 9.41642e-05 -14 *312:A2 *101:14 0.00102907 -15 *361:SET_B *419:A 4.45982e-06 -16 *1:27 *419:A 0.000117383 -17 *1:38 *419:A 0.000110779 -18 *3:29 *419:A 0.000120188 -19 *3:37 *419:A 0.000345852 -20 *4:27 *419:A 6.2127e-05 -21 *29:7 *419:A 0.000423607 -22 *67:10 *101:14 0.000539195 -23 *79:9 *101:14 0.000218098 -24 *100:22 *101:14 1.21258e-05 -*RES -1 *361:Q *101:14 45.6393 -2 *101:14 *101:16 4.5 -3 *101:16 *419:A 38.4964 -4 *101:16 *310:B 9.3 -*END - -*D_NET *102 0.00754123 -*CONN -*I *310:A_N I *D sky130_fd_sc_hd__and3b_2 -*I *420:A I *D sky130_fd_sc_hd__buf_16 -*I *362:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *310:A_N 0 -2 *420:A 0.000497834 -3 *362:Q 0.000723896 -4 *102:8 0.00122173 -5 *420:A *424:A 0.000127744 -6 *420:A *120:8 0.000591809 -7 *420:A *120:22 0.000517834 -8 *102:8 *424:A 6.35976e-05 -9 *102:8 *110:8 0 -10 *212:DIODE *420:A 0.000962595 -11 *313:B1 *102:8 0.00146603 -12 *362:SET_B *102:8 1.98954e-05 -13 *36:11 *420:A 5.31751e-05 -14 *36:11 *102:8 8.60486e-05 -15 *42:8 *102:8 8.12822e-05 -16 *42:23 *102:8 6.12382e-05 -17 *79:9 *102:8 5.89096e-05 -18 *97:15 *102:8 0.00100761 -*RES -1 *362:Q *102:8 37.8714 -2 *102:8 *420:A 31.5321 -3 *102:8 *310:A_N 13.8 -*END - -*D_NET *103 0.00672127 -*CONN -*I *421:A I *D sky130_fd_sc_hd__buf_16 -*I *354:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *421:A 5.46714e-05 -2 *354:Q 0.00106899 -3 *103:8 0.00112366 -4 *421:A *113:8 6.11672e-05 -5 *103:8 *328:A_N 8.112e-05 -6 *103:8 *365:CLK_N 0.000917729 -7 *103:8 *370:RESET_B 5.97282e-05 -8 *103:8 *377:RESET_B 6.69218e-05 -9 *103:8 *379:D 5.87274e-05 -10 *103:8 *399:A 4.10652e-05 -11 *103:8 *111:19 0.00093725 -12 *103:8 *115:20 0.000106108 -13 *103:8 *115:26 0.000547556 -14 *103:8 *118:34 0.000614245 -15 *103:8 *145:25 0.000109215 -16 *103:8 *158:47 5.16339e-05 -17 *103:8 *165:18 0.000209328 -18 *182:DIODE *103:8 0.000177223 -19 *320:B *103:8 8.08608e-06 -20 *354:RESET_B *103:8 3.44617e-05 -21 *364:RESET_B *103:8 0.000148514 -22 *418:A *421:A 0.000180079 -23 *13:31 *103:8 6.37939e-05 -*RES -1 *354:Q *103:8 47.8893 -2 *103:8 *421:A 15.5679 -*END - -*D_NET *104 0.00366373 -*CONN -*I *422:A I *D sky130_fd_sc_hd__buf_16 -*I *358:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *422:A 0.000561428 -2 *358:Q 0.000561428 -3 *422:A *364:CLK_N 0.000147718 -4 *422:A *365:D 0.000192563 -5 *422:A *374:D 0.000187927 -6 *422:A *401:A 1.32832e-05 -7 *422:A *115:19 1.34548e-05 -8 *422:A *115:20 5.04226e-05 -9 *182:DIODE *422:A 0.000170953 -10 *320:B *422:A 9.18069e-05 -11 *4:17 *422:A 0.00125322 -12 *13:31 *422:A 0.000419522 -*RES -1 *358:Q *422:A 45.4214 -*END - -*D_NET *105 0.00718152 -*CONN -*I *423:A I *D sky130_fd_sc_hd__buf_16 -*I *357:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *423:A 0.000222316 -2 *357:Q 0.00194398 -3 *105:17 0.0021663 -4 *423:A *321:A 0.000230629 -5 *423:A *397:A 6.05161e-06 -6 *423:A *433:A 0.000410014 -7 *423:A *134:8 0 -8 *105:17 *321:A 0.00020653 -9 *105:17 *355:D 4.3111e-05 -10 *105:17 *360:D 0.000450546 -11 *105:17 *361:D 4.85033e-05 -12 *105:17 *426:A 6.71898e-05 -13 *105:17 *433:A 0.000161058 -14 *105:17 *118:58 7.80059e-05 -15 *105:17 *133:21 0.000506539 -16 *105:17 *145:25 5.7903e-06 -17 *178:DIODE *105:17 3.05829e-05 -18 *353:SET_B *105:17 0 -19 *355:RESET_B *105:17 0.000168861 -20 *1:27 *105:17 1.80253e-05 -21 *25:9 *423:A 5.50052e-05 -22 *39:8 *423:A 9.58689e-05 -23 *42:23 *105:17 2.14185e-05 -24 *53:10 *105:17 0.000245194 -*RES -1 *357:Q *105:17 49.358 -2 *105:17 *423:A 20.1839 -*END - -*D_NET *106 0.000795451 -*CONN -*I *424:A I *D sky130_fd_sc_hd__buf_16 -*I *313:Y O *D sky130_fd_sc_hd__o21ai_4 -*CAP -1 *424:A 0.000120304 -2 *313:Y 0.000120304 -3 *420:A *424:A 0.000127744 -4 *36:11 *424:A 0.000363501 -5 *102:8 *424:A 6.35976e-05 -*RES -1 *313:Y *424:A 32.2786 -*END - -*D_NET *107 0.00181844 -*CONN -*I *425:A I *D sky130_fd_sc_hd__buf_16 -*I *308:X O *D sky130_fd_sc_hd__mux2_4 -*CAP -1 *425:A 0.000313911 -2 *308:X 0.000313911 -3 *425:A *329:A 1.00332e-05 -4 *425:A *343:A 5.33005e-05 -5 *425:A *360:CLK_N 1.42117e-05 -6 *425:A *376:D 0.000175892 -7 *425:A *411:A 5.96516e-05 -8 *329:B *425:A 3.02801e-05 -9 *360:SET_B *425:A 0.000174469 -10 *361:SET_B *425:A 3.07351e-06 -11 *1:27 *425:A 0.000186275 -12 *2:33 *425:A 6.96346e-05 -13 *3:24 *425:A 0.000413797 -*RES -1 *308:X *425:A 36.8321 -*END - -*D_NET *108 0.00384934 -*CONN -*I *426:A I *D sky130_fd_sc_hd__buf_16 -*I *355:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *426:A 0.000698253 -2 *355:Q 0.000698253 -3 *426:A *360:D 0.000270456 -4 *426:A *433:A 0.000333127 -5 *426:A *118:58 7.80059e-05 -6 *426:A *120:22 5.50052e-05 -7 pad_gpio_slow_sel *426:A 0.000320851 -8 pad_gpio_vtrip_sel *426:A 0.000148407 -9 *322:B *426:A 0.000220309 -10 *356:RESET_B *426:A 0.000831165 -11 *3:24 *426:A 6.49189e-05 -12 *65:12 *426:A 6.34022e-05 -13 *105:17 *426:A 6.71898e-05 -*RES -1 *355:Q *426:A 49.5072 -*END - -*D_NET *109 0.00499196 -*CONN -*I *427:A I *D sky130_fd_sc_hd__buf_16 -*I *356:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *427:A 5.07198e-05 -2 *356:Q 0.00166168 -3 *109:22 0.0017124 -4 *427:A *355:CLK_N 9.58632e-05 -5 *427:A *371:D 7.71535e-05 -6 *109:22 *317:A 9.80446e-05 -7 *109:22 *370:D 0.000113772 -8 *109:22 *370:RESET_B 0.000176412 -9 *109:22 *377:D 0.000137561 -10 *109:22 *377:RESET_B 8.20109e-05 -11 *109:22 *406:A 4.25652e-05 -12 *109:22 *149:10 0.000135028 -13 *317:B *109:22 2.59355e-05 -14 *354:RESET_B *109:22 9.58181e-05 -15 *383:A *109:22 0.000111738 -16 *2:22 *109:22 9.10121e-05 -17 *3:16 *109:22 5.4216e-05 -18 *10:21 *109:22 9.61478e-05 -19 *11:19 *109:22 2.18747e-05 -20 *57:14 *109:22 0 -21 *61:10 *109:22 9.12406e-06 -22 *93:8 *109:22 0.000102877 -*RES -1 *356:Q *109:22 47.5991 -2 *109:22 *427:A 15.175 -*END - -*D_NET *110 0.00866191 -*CONN -*I *312:A1 I *D sky130_fd_sc_hd__o21ai_2 -*I *413:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *312:A1 6.64392e-05 -2 *413:X 0.000123608 -3 *110:8 0.00154414 -4 *110:7 0.00160131 -5 *212:DIODE *110:8 0.000395747 -6 *362:SET_B *110:8 0.00267793 -7 *18:9 *110:7 0.000184624 -8 *36:11 *110:8 0.00181184 -9 *42:8 *110:8 0.000195043 -10 *42:23 *110:8 6.12382e-05 -11 *102:8 *110:8 0 -*RES -1 *413:X *110:7 15.5679 -2 *110:7 *110:8 47.7857 -3 *110:8 *312:A1 14.7464 -*END - -*D_NET *111 0.00544271 -*CONN -*I *428:A I *D sky130_fd_sc_hd__buf_16 -*I *381:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *428:A 0 -2 *381:X 0.0013662 -3 *111:19 0.0013662 -4 *111:19 *365:CLK_N 0.000311157 -5 *111:19 *377:D 0.000168889 -6 *111:19 *377:RESET_B 8.84306e-05 -7 *111:19 *435:A 0.000334922 -8 *111:19 *120:38 9.58632e-05 -9 *111:19 *158:17 0.000193592 -10 *111:19 *158:32 0.000275948 -11 *111:19 *158:47 0.00025095 -12 *377:CLK *111:19 5.33005e-05 -13 *103:8 *111:19 0.00093725 -*RES -1 *381:X *111:19 46.2286 -2 *111:19 *428:A 9.3 -*END - -*D_NET *112 0.00236939 -*CONN -*I *429:A I *D sky130_fd_sc_hd__buf_16 -*I *379:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *429:A 0.00098578 -2 *379:Q 0.00098578 -3 *429:A *319:A 9.54798e-06 -4 *429:A *379:D 1.47238e-05 -5 *429:A *392:A 1.9774e-05 -6 *429:A *393:A 7.65779e-05 -7 *429:A *125:13 1.21258e-05 -8 *429:A *130:18 9.8396e-05 -9 *429:A *138:9 6.82912e-05 -10 *319:B *429:A 9.8396e-05 -11 *8:15 *429:A 0 -*RES -1 *379:Q *429:A 40.1714 -*END - -*D_NET *113 0.014396 -*CONN -*I *430:A I *D sky130_fd_sc_hd__buf_16 -*I *314:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *430:A 0.00235401 -2 *314:X 0.00198309 -3 *113:8 0.0043371 -4 *430:A *116:76 9.13886e-05 -5 *430:A *130:18 0.000482546 -6 *430:A *133:21 0.000132513 -7 *113:8 *366:D 0.000483581 -8 *113:8 *133:21 8.89024e-05 -9 *314:A *113:8 0.000485175 -10 *319:B *430:A 9.86486e-06 -11 *363:SET_B *113:8 0.000972566 -12 *418:A *113:8 7.05778e-05 -13 *421:A *113:8 6.11672e-05 -14 *1:16 *430:A 1.41123e-05 -15 *8:15 *430:A 5.49995e-05 -16 *11:19 *430:A 0.000135501 -17 *12:7 *430:A 7.58644e-05 -18 *12:11 *430:A 0.000678832 -19 *12:32 *113:8 0.000312969 -20 *13:13 *430:A 0.000475401 -21 *24:9 *113:8 0.000518929 -22 *53:10 *430:A 0.000397491 -23 *57:14 *430:A 0.000179457 -*RES -1 *314:X *113:8 46.7732 -2 *113:8 *430:A 47.3974 -*END - -*D_NET *114 0.0156268 -*CONN -*I *373:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *326:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *372:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *325:A I *D sky130_fd_sc_hd__or2_0 -*I *335:A I *D sky130_fd_sc_hd__or2_0 -*I *338:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *336:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *389:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *373:RESET_B 8.50724e-06 -2 *326:A_N 0.00243928 -3 *372:RESET_B 0.000135624 -4 *325:A 0 -5 *335:A 6.94926e-05 -6 *338:A_N 7.28643e-05 -7 *336:A_N 0.000202517 -8 *389:X 0 -9 *114:57 0.00304509 -10 *114:34 0.000291369 -11 *114:31 0.000516875 -12 *114:13 0.00081263 -13 *114:7 0.00122487 -14 *114:4 0.00112555 -15 *336:A_N *129:10 1.03904e-05 -16 *372:RESET_B *363:D 7.25464e-05 -17 *372:RESET_B *393:A 5.48299e-05 -18 *114:7 *115:31 8.17274e-05 -19 *114:7 *115:35 0.000216755 -20 *114:13 *393:A 1.73066e-05 -21 *114:13 *436:A 0 -22 *114:13 *122:44 0.000651917 -23 *114:31 *393:A 7.33056e-05 -24 *114:57 *115:31 0.000407404 -25 pad_gpio_slow_sel *326:A_N 6.25739e-05 -26 *186:DIODE *335:A 6.05207e-05 -27 *312:A2 *326:A_N 0.000396233 -28 *314:A *114:13 0.000132242 -29 *326:B *326:A_N 0.000145239 -30 *338:B *338:A_N 9.41642e-05 -31 *1:27 *326:A_N 4.65519e-05 -32 *2:22 *326:A_N 0.000586493 -33 *9:13 *335:A 8.82593e-05 -34 *9:24 *336:A_N 0.00032321 -35 *10:26 *336:A_N 3.14003e-05 -36 *10:26 *338:A_N 4.08637e-05 -37 *10:26 *114:13 5.33005e-05 -38 *10:29 *336:A_N 0.000360311 -39 *13:31 *326:A_N 4.13349e-05 -40 *41:45 *326:A_N 0.000825706 -41 *59:15 *114:34 0.00020486 -42 *65:12 *326:A_N 0.000397815 -43 *69:17 *114:7 7.7449e-05 -44 *92:7 *114:34 7.36469e-05 -45 *95:41 *373:RESET_B 1.01912e-05 -46 *95:41 *114:57 4.35973e-05 -*RES -1 *389:X *114:4 9.3 -2 *114:4 *114:7 10.7857 -3 *114:7 *114:13 17.7857 -4 *114:13 *336:A_N 24.6393 -5 *114:13 *338:A_N 10.6571 -6 *114:7 *114:31 3.16071 -7 *114:31 *114:34 9.14286 -8 *114:34 *335:A 20.55 -9 *114:34 *325:A 9.3 -10 *114:31 *372:RESET_B 7.66071 -11 *114:4 *114:57 12.3839 -12 *114:57 *326:A_N 40.3951 -13 *114:57 *373:RESET_B 4.77679 -*END - -*D_NET *115 0.0129442 -*CONN -*I *340:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *370:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *374:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *339:A I *D sky130_fd_sc_hd__or2_0 -*I *389:A I *D sky130_fd_sc_hd__buf_2 -*I *371:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *337:A I *D sky130_fd_sc_hd__or2_0 -*I *390:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *340:A_N 0 -2 *370:RESET_B 0.000332598 -3 *374:RESET_B 1.56633e-05 -4 *339:A 0.000137246 -5 *389:A 3.24985e-05 -6 *371:RESET_B 0.000260915 -7 *337:A 0.000152288 -8 *390:X 0.000926295 -9 *115:35 0.000508854 -10 *115:31 0.000688175 -11 *115:26 0.000920906 -12 *115:20 0.000370549 -13 *115:19 0.000515913 -14 *115:15 0.000978361 -15 *337:A *120:38 0.00015906 -16 *337:A *159:8 2.69483e-05 -17 *370:RESET_B *370:D 0.000116273 -18 *370:RESET_B *375:CLK 6.09764e-05 -19 *371:RESET_B *343:A 2.24405e-05 -20 *371:RESET_B *402:A 5.90237e-05 -21 *371:RESET_B *116:35 0.000119484 -22 *371:RESET_B *120:38 0.000523777 -23 *371:RESET_B *159:62 1.40556e-05 -24 *374:RESET_B *374:D 2.29097e-05 -25 *374:RESET_B *376:CLK 4.8736e-05 -26 *115:15 *378:CLK 1.4796e-05 -27 *115:15 *378:D 0.000124194 -28 *115:15 *390:A 4.35597e-05 -29 *115:15 *392:A 1.24368e-05 -30 *115:15 *400:A 6.52246e-05 -31 *115:15 *409:A 0.000238484 -32 *115:15 *123:34 3.87173e-05 -33 *115:15 *124:6 0 -34 *115:20 *374:D 5.35314e-05 -35 *115:26 *365:D 1.30594e-05 -36 *115:26 *374:D 5.63085e-05 -37 *115:26 *401:A 0.000337306 -38 *115:31 *373:D 2.59355e-05 -39 serial_clock_out *115:15 3.86398e-05 -40 *181:DIODE *371:RESET_B 0.000216853 -41 *317:B *115:15 6.30931e-05 -42 *320:B *370:RESET_B 0.00019454 -43 *320:B *115:19 2.59355e-05 -44 *320:B *115:20 5.26224e-05 -45 *322:B *115:15 6.05161e-06 -46 *337:B *337:A 5.33005e-05 -47 *339:B *339:A 9.41642e-05 -48 *358:SET_B *115:15 0.000320274 -49 *358:SET_B *115:19 0.000139208 -50 *373:CLK *115:31 5.49544e-05 -51 *385:A *337:A 5.49489e-05 -52 *422:A *115:19 1.34548e-05 -53 *422:A *115:20 5.04226e-05 -54 *3:16 *115:15 0.00072744 -55 *4:17 *115:15 3.11713e-05 -56 *4:17 *115:20 2.70725e-06 -57 *10:21 *337:A 0.000136166 -58 *10:21 *371:RESET_B 0.000489282 -59 *10:26 *337:A 3.29297e-05 -60 *11:19 *115:15 0.000238881 -61 *11:21 *115:15 3.10885e-05 -62 *13:31 *115:26 2.3953e-05 -63 *53:10 *115:15 3.50949e-06 -64 *61:10 *370:RESET_B 2.33546e-05 -65 *69:17 *115:31 0.000143243 -66 *69:17 *115:35 4.885e-05 -67 *103:8 *370:RESET_B 5.97282e-05 -68 *103:8 *115:20 0.000106108 -69 *103:8 *115:26 0.000547556 -70 *109:22 *370:RESET_B 0.000176412 -71 *114:7 *115:31 8.17274e-05 -72 *114:7 *115:35 0.000216755 -73 *114:57 *115:31 0.000407404 -*RES -1 *390:X *115:15 38.9071 -2 *115:15 *115:19 5.85714 -3 *115:19 *115:20 3.16071 -4 *115:20 *115:26 12.1429 -5 *115:26 *115:31 10.9464 -6 *115:31 *115:35 6.67857 -7 *115:35 *337:A 17.8179 -8 *115:35 *371:RESET_B 14.0268 -9 *115:31 *389:A 10.0321 -10 *115:26 *339:A 11.4786 -11 *115:20 *374:RESET_B 4.97321 -12 *115:19 *370:RESET_B 11.4375 -13 *115:15 *340:A_N 9.3 -*END - -*D_NET *116 0.0176907 -*CONN -*I *318:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *317:A I *D sky130_fd_sc_hd__or2_0 -*I *367:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *369:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *368:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *323:A I *D sky130_fd_sc_hd__or2_0 -*I *324:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *391:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *318:A_N 0.000260359 -2 *317:A 0.000296566 -3 *367:RESET_B 0.000141574 -4 *369:RESET_B 9.28221e-05 -5 *368:RESET_B 0.000112753 -6 *323:A 0.000921362 -7 *324:A_N 0.000162418 -8 *391:X 0 -9 *116:76 0.00188925 -10 *116:48 0.000300012 -11 *116:38 0.000258489 -12 *116:35 0.00396942 -13 *116:15 0.00347833 -14 *116:4 0.000162418 -15 *317:A *124:6 0.000168201 -16 *318:A_N *378:D 8.73763e-05 -17 *318:A_N *379:D 2.85234e-05 -18 *318:A_N *124:6 0.00035041 -19 *323:A *129:10 0.000314519 -20 *324:A_N *391:A 9.41642e-05 -21 *367:RESET_B *367:D 2.7601e-05 -22 *367:RESET_B *117:13 0.000121245 -23 *368:RESET_B *368:D 6.45693e-05 -24 *368:RESET_B *117:13 6.3494e-05 -25 *116:35 *120:38 0.000112427 -26 *116:35 *129:10 2.06112e-05 -27 *116:48 *117:13 0.000205438 -28 *116:76 *145:25 0.00021274 -29 serial_clock_out *116:76 0.000113391 -30 *203:DIODE *323:A 0.000316895 -31 *203:DIODE *116:35 1.17134e-05 -32 *204:DIODE *369:RESET_B 2.7601e-05 -33 *317:B *317:A 2.59355e-05 -34 *318:B *318:A_N 0.000142703 -35 *323:B *323:A 6.45059e-05 -36 *326:B *116:76 0.000404016 -37 *354:SET_B *318:A_N 5.49995e-05 -38 *371:RESET_B *116:35 0.000119484 -39 *430:A *116:76 9.13886e-05 -40 *1:16 *116:38 2.15536e-05 -41 *1:16 *116:76 0.000283095 -42 *3:16 *317:A 0.000264533 -43 *7:14 *324:A_N 1.65169e-05 -44 *7:28 *323:A 0.000151793 -45 *8:19 *116:38 0.000132503 -46 *8:19 *116:76 6.48889e-05 -47 *9:13 *367:RESET_B 0.000112622 -48 *9:13 *368:RESET_B 6.51343e-05 -49 *9:13 *116:48 0.000197452 -50 *12:32 *323:A 4.3304e-06 -51 *13:13 *116:35 0.000233128 -52 *13:20 *116:35 5.87752e-05 -53 *34:11 *116:76 0.000125506 -54 *39:8 *323:A 2.95944e-05 -55 *42:23 *317:A 0.000168201 -56 *42:23 *318:A_N 0.000225784 -57 *93:8 *116:35 0.000147552 -58 *109:22 *317:A 9.80446e-05 -*RES -1 *391:X *116:4 9.3 -2 *116:4 *324:A_N 11.925 -3 *116:4 *116:15 4.5 -4 *116:15 *323:A 27.3536 -5 *116:15 *116:35 14.3655 -6 *116:35 *116:38 3.63717 -7 *116:38 *368:RESET_B 6.67857 -8 *116:38 *116:48 2.70536 -9 *116:48 *369:RESET_B 5.79464 -10 *116:48 *367:RESET_B 7.4375 -11 *116:35 *116:76 6.77677 -12 *116:76 *317:A 20.8804 -13 *116:76 *318:A_N 21.5946 -*END - -*D_NET *117 0.0164187 -*CONN -*I *328:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *366:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *391:A I *D sky130_fd_sc_hd__buf_2 -*I *327:A I *D sky130_fd_sc_hd__or2_0 -*I *392:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *328:A_N 0.000982795 -2 *366:RESET_B 0.00148401 -3 *391:A 0.000605969 -4 *327:A 0.000132377 -5 *392:X 0 -6 *117:13 0.00143297 -7 *117:4 0.00119584 -8 *328:A_N *366:CLK 0.000105143 -9 *328:A_N *367:D 0.000147017 -10 *328:A_N *409:A 0.000343649 -11 *328:A_N *118:34 3.69047e-06 -12 *328:A_N *164:15 0.000342415 -13 *328:A_N *165:18 0.000116545 -14 *366:RESET_B *367:D 5.33005e-05 -15 *366:RESET_B *369:D 3.22874e-05 -16 *366:RESET_B *392:A 2.23592e-05 -17 *366:RESET_B *125:13 9.6535e-06 -18 *366:RESET_B *138:9 4.22986e-05 -19 *366:RESET_B *144:9 0.000346198 -20 *391:A *393:A 0.00104739 -21 *391:A *122:44 8.89083e-05 -22 *117:13 *367:D 0.000219366 -23 *117:13 *392:A 2.59355e-05 -24 *117:13 *164:15 1.98346e-05 -25 serial_data_out *366:RESET_B 8.48784e-05 -26 *324:A_N *391:A 9.41642e-05 -27 *327:B *327:A 5.66971e-05 -28 *347:A *328:A_N 5.33005e-05 -29 *354:RESET_B *328:A_N 0.000276493 -30 *359:SET_B *328:A_N 0.00115571 -31 *367:RESET_B *117:13 0.000121245 -32 *368:RESET_B *117:13 6.3494e-05 -33 *5:28 *327:A 0.000253908 -34 *5:28 *117:13 0.000352147 -35 *7:12 *391:A 0.00163604 -36 *7:14 *391:A 0.000126332 -37 *9:13 *117:13 0.000119077 -38 *9:24 *391:A 0.000523266 -39 *10:21 *117:13 0.000766796 -40 *11:19 *366:RESET_B 0 -41 *13:13 *366:RESET_B 1.05998e-05 -42 *38:8 *366:RESET_B 0.000722091 -43 *93:8 *117:13 0.000103211 -44 *94:60 *117:13 0.000166317 -45 *97:15 *328:A_N 0.000646454 -46 *103:8 *328:A_N 8.112e-05 -47 *116:48 *117:13 0.000205438 -*RES -1 *392:X *117:4 9.3 -2 *117:4 *117:13 27.2143 -3 *117:13 *327:A 12.3 -4 *117:13 *391:A 42.2286 -5 *117:4 *366:RESET_B 19.3957 -6 *366:RESET_B *328:A_N 43.925 -*END - -*D_NET *118 0.0165603 -*CONN -*I *320:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *322:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *376:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *330:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *321:A I *D sky130_fd_sc_hd__or2_0 -*I *375:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *319:A I *D sky130_fd_sc_hd__or2_0 -*I *393:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *320:A_N 0 -2 *322:A_N 0.000932707 -3 *376:RESET_B 0 -4 *330:A_N 6.79276e-05 -5 *321:A 0.000373455 -6 *375:RESET_B 0.000343294 -7 *319:A 0.000121505 -8 *393:X 0.00108463 -9 *118:58 0.00082375 -10 *118:48 0.000505296 -11 *118:45 0.00119888 -12 *118:34 0.001792 -13 *118:9 0.00279809 -14 *319:A *130:18 0 -15 *321:A *433:A 0.000106264 -16 *321:A *119:33 5.33005e-05 -17 *322:A_N *355:D 5.23296e-05 -18 *375:RESET_B *375:CLK 4.82865e-05 -19 *375:RESET_B *375:D 0.000102445 -20 *118:34 *377:RESET_B 6.53397e-05 -21 *118:34 *378:CLK 0.000368624 -22 *118:34 *399:A 6.87975e-06 -23 *118:34 *145:25 0.000108827 -24 *118:34 *146:7 2.54976e-05 -25 *118:34 *158:47 0.000391037 -26 *118:45 *355:D 1.58163e-05 -27 *118:45 *124:6 9.48356e-05 -28 *118:48 *134:8 0.000280001 -29 *118:58 *134:8 0.000282974 -30 *192:DIODE *330:A_N 2.2324e-05 -31 *316:B *321:A 5.49489e-05 -32 *320:B *118:34 9.11048e-06 -33 *321:B *321:A 0.000147718 -34 *322:B *322:A_N 9.40409e-05 -35 *322:B *118:45 3.51224e-06 -36 *328:A_N *118:34 3.69047e-06 -37 *354:RESET_B *118:34 0.000228066 -38 *355:RESET_B *322:A_N 0.000125355 -39 *355:SET_B *375:RESET_B 0.000373992 -40 *355:SET_B *118:34 4.47553e-05 -41 *359:SET_B *118:34 8.79458e-05 -42 *423:A *321:A 0.000230629 -43 *426:A *118:58 7.80059e-05 -44 *429:A *319:A 9.54798e-06 -45 *1:16 *118:9 0.00027029 -46 *2:22 *321:A 4.58194e-05 -47 *2:22 *330:A_N 3.97677e-05 -48 *2:22 *118:34 5.59032e-05 -49 *2:22 *118:58 0.000351157 -50 *2:33 *330:A_N 9.41642e-05 -51 *3:16 *118:34 7.67491e-05 -52 *5:11 *118:9 0.000266363 -53 *8:15 *319:A 2.05484e-05 -54 *11:19 *319:A 0 -55 *41:45 *118:48 1.1594e-05 -56 *41:45 *118:58 0.000284496 -57 *42:23 *118:45 9.33179e-05 -58 *42:23 *118:48 0.000164914 -59 *61:10 *118:34 2.61076e-05 -60 *100:22 *321:A 9.58689e-05 -61 *100:22 *330:A_N 0.000206863 -62 *103:8 *118:34 0.000614245 -63 *105:17 *321:A 0.00020653 -64 *105:17 *118:58 7.80059e-05 -*RES -1 *393:X *118:9 32.9786 -2 *118:9 *319:A 20.2464 -3 *118:9 *118:34 45.8214 -4 *118:34 *375:RESET_B 4.59821 -5 *375:RESET_B *118:45 11.2857 -6 *118:45 *118:48 8.26786 -7 *118:48 *118:58 21.7143 -8 *118:58 *321:A 28.5143 -9 *118:58 *330:A_N 11.4786 -10 *118:48 *376:RESET_B 4.5 -11 *118:45 *322:A_N 20.0143 -12 *118:34 *320:A_N 9.3 -*END - -*D_NET *119 0.0234023 -*CONN -*I *378:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *315:A I *D sky130_fd_sc_hd__or2_0 -*I *377:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *332:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *331:A I *D sky130_fd_sc_hd__or2_0 -*I *333:A I *D sky130_fd_sc_hd__or2_0 -*I *316:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *394:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *378:RESET_B 0.000379171 -2 *315:A 0.000570547 -3 *377:RESET_B 0.00037545 -4 *332:A_N 0.000237128 -5 *331:A 8.9089e-05 -6 *333:A 0.00083128 -7 *316:A_N 3.36392e-05 -8 *394:X 0.00162761 -9 *119:102 0.00131129 -10 *119:86 0.00288078 -11 *119:38 0.0011052 -12 *119:33 0.000646995 -13 *119:18 0.000258675 -14 *119:16 0.00377137 -15 *316:A_N *143:11 1.58163e-05 -16 *332:A_N *143:11 1.96616e-05 -17 *333:A *433:A 0.00013824 -18 *377:RESET_B *377:D 8.92098e-05 -19 *377:RESET_B *145:25 0.000551325 -20 *378:RESET_B *362:D 0.00020171 -21 *378:RESET_B *379:D 4.67709e-05 -22 *378:RESET_B *144:9 0 -23 *378:RESET_B *164:15 3.78816e-05 -24 *119:16 *123:23 9.58632e-05 -25 *119:16 *124:6 0.000516121 -26 *119:16 *159:15 0.000116674 -27 *119:16 *159:43 0.000144765 -28 *119:16 *173:15 0.000478091 -29 *119:33 *143:11 2.42298e-05 -30 *119:38 *433:A 0.000163922 -31 *119:86 *123:23 0.000233334 -32 *119:86 *124:6 1.08716e-05 -33 *119:102 *145:25 0.000303613 -34 pad_gpio_dm[1] *119:86 8.57736e-06 -35 serial_clock_out *119:16 2.16573e-05 -36 serial_clock_out *119:86 0.00021905 -37 serial_clock_out *119:102 0.000132282 -38 *175:DIODE *119:16 8.80457e-05 -39 *176:DIODE *333:A 0.000263707 -40 *176:DIODE *119:38 0.000237049 -41 *200:DIODE *119:16 5.33005e-05 -42 *201:DIODE *316:A_N 6.05161e-06 -43 *201:DIODE *119:33 0.000108566 -44 *312:A2 *378:RESET_B 0 -45 *314:A *331:A 9.94524e-05 -46 *315:B *315:A 0.000360209 -47 *316:B *332:A_N 1.52217e-05 -48 *316:B *119:33 0.000171034 -49 *321:A *119:33 5.33005e-05 -50 *333:B *333:A 2.83425e-05 -51 *334:B *333:A 7.58841e-05 -52 *353:RESET_B *315:A 4.37451e-05 -53 *353:SET_B *119:33 5.33005e-05 -54 *355:RESET_B *119:102 8.6229e-06 -55 *356:RESET_B *332:A_N 1.32293e-05 -56 *356:RESET_B *119:33 5.66971e-05 -57 *361:SET_B *332:A_N 2.6269e-05 -58 *361:SET_B *378:RESET_B 0.000115315 -59 *361:SET_B *119:38 1.00887e-05 -60 *361:SET_B *119:102 0.000231813 -61 *363:SET_B *119:16 0.000347922 -62 *3:24 *332:A_N 5.33005e-05 -63 *3:29 *332:A_N 0.000135028 -64 *3:29 *119:38 4.94175e-05 -65 *4:27 *119:16 4.53378e-05 -66 *9:24 *119:16 0.000346253 -67 *9:38 *119:16 1.51571e-05 -68 *24:9 *331:A 8.95645e-05 -69 *34:11 *377:RESET_B 0.000557649 -70 *34:11 *119:102 0.000539618 -71 *41:45 *119:16 0.000538962 -72 *41:45 *119:86 1.94945e-05 -73 *42:23 *315:A 1.65183e-05 -74 *53:10 *315:A 2.18087e-05 -75 *53:10 *378:RESET_B 0.000205256 -76 *53:10 *119:102 0.0001034 -77 *67:7 *333:A 5.49995e-05 -78 *67:10 *333:A 7.74068e-05 -79 *101:14 *315:A 7.53759e-05 -80 *103:8 *377:RESET_B 6.69218e-05 -81 *109:22 *377:RESET_B 8.20109e-05 -82 *111:19 *377:RESET_B 8.84306e-05 -83 *118:34 *377:RESET_B 6.53397e-05 -*RES -1 *394:X *119:16 47.0321 -2 *119:16 *119:18 4.5 -3 *119:18 *316:A_N 9.83571 -4 *119:18 *119:33 5.57143 -5 *119:33 *119:38 9.60714 -6 *119:38 *333:A 35.2643 -7 *119:38 *331:A 15.9964 -8 *119:33 *332:A_N 13.6929 -9 *119:16 *119:86 14.1524 -10 *119:86 *377:RESET_B 12.2685 -11 *119:86 *119:102 7.51855 -12 *119:102 *315:A 22.9875 -13 *119:102 *378:RESET_B 13.25 -*END - -*D_NET *120 0.0133529 -*CONN -*I *379:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *381:A I *D sky130_fd_sc_hd__buf_2 -*I *334:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *395:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *379:RESET_B 0.000922493 -2 *381:A 0 -3 *334:A_N 2.04426e-05 -4 *395:X 0.000441088 -5 *120:38 0.00235946 -6 *120:22 0.00281563 -7 *120:8 0.00184019 -8 *379:RESET_B *346:A 0 -9 *379:RESET_B *393:A 0.000433559 -10 *379:RESET_B *159:62 0 -11 *120:8 *395:A 7.25873e-06 -12 *120:38 *159:8 0.000219758 -13 *120:38 *159:62 0.000436735 -14 pad_gpio_out *120:22 9.7497e-05 -15 pad_gpio_vtrip_sel *379:RESET_B 1.19071e-05 -16 pad_gpio_vtrip_sel *120:38 6.23252e-06 -17 resetn_out *379:RESET_B 7.14196e-06 -18 *210:DIODE *120:8 2.73164e-05 -19 *212:DIODE *120:8 5.16626e-05 -20 *321:B *120:22 0.000758265 -21 *334:B *120:8 0.000192548 -22 *337:A *120:38 0.00015906 -23 *337:B *120:38 5.33005e-05 -24 *364:RESET_B *120:38 0.000305883 -25 *371:RESET_B *120:38 0.000523777 -26 *385:A *120:38 0.000175892 -27 *420:A *120:8 0.000591809 -28 *420:A *120:22 0.000517834 -29 *426:A *120:22 5.50052e-05 -30 *4:44 *120:8 2.50593e-05 -31 *5:28 *379:RESET_B 0 -32 *7:12 *379:RESET_B 0 -33 *13:20 *120:38 8.57736e-06 -34 *24:9 *334:A_N 2.59355e-05 -35 *67:10 *120:8 0 -36 *67:10 *120:22 0 -37 *68:7 *334:A_N 5.33005e-05 -38 *99:8 *120:8 0 -39 *111:19 *120:38 9.58632e-05 -40 *116:35 *120:38 0.000112427 -*RES -1 *395:X *120:8 23.9786 -2 *120:8 *334:A_N 14.3357 -3 *120:8 *120:22 28.6964 -4 *120:22 *381:A 9.3 -5 *120:22 *120:38 34.3447 -6 *120:38 *379:RESET_B 22.9911 -*END - -*D_NET *121 0.00142761 -*CONN -*I *314:B I *D sky130_fd_sc_hd__and2_2 -*I *380:A I *D sky130_fd_sc_hd__buf_2 -*I *414:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *314:B 0.00027019 -2 *380:A 7.47893e-05 -3 *414:X 6.89069e-05 -4 *121:7 0.000413886 -5 *314:B *329:A 0 -6 *314:B *396:A 3.70434e-05 -7 *380:A *396:A 1.21258e-05 -8 *380:A *397:A 3.8624e-05 -9 *380:A *123:23 2.93922e-05 -10 *121:7 *396:A 3.2033e-05 -11 *4:27 *380:A 9.66977e-05 -12 *4:44 *380:A 9.58689e-05 -13 *4:44 *121:7 0.000115352 -14 *5:40 *314:B 4.3588e-05 -15 *99:8 *314:B 9.91111e-05 -*RES -1 *414:X *121:7 11.0857 -2 *121:7 *380:A 11.4964 -3 *121:7 *314:B 23.5857 -*END - -*D_NET *122 0.0199775 -*CONN -*I *395:A I *D sky130_fd_sc_hd__buf_2 -*I *190:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *329:A I *D sky130_fd_sc_hd__or2_0 -*I *207:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *206:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *394:A I *D sky130_fd_sc_hd__buf_2 -*I *393:A I *D sky130_fd_sc_hd__buf_2 -*I *205:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *396:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *395:A 7.15574e-05 -2 *190:DIODE 0 -3 *329:A 0.000859229 -4 *207:DIODE 0 -5 *206:DIODE 0.000367193 -6 *394:A 0 -7 *393:A 0.00104732 -8 *205:DIODE 0.000106629 -9 *396:X 2.37855e-05 -10 *122:44 0.0019149 -11 *122:33 0.00121005 -12 *122:30 0.000941726 -13 *122:19 0.00104164 -14 *122:15 0.0015882 -15 *122:11 0.0017671 -16 *122:7 0.000174 -17 *122:5 0.000246727 -18 *206:DIODE *159:21 6.05161e-06 -19 *206:DIODE *159:27 1.98839e-05 -20 *206:DIODE *159:43 0.000254284 -21 *393:A *363:D 9.36454e-05 -22 *122:7 *396:A 0.000100165 -23 *122:15 *417:A 0.00114731 -24 *122:15 *173:15 0 -25 *122:19 *417:A 0.000143375 -26 *122:33 *159:15 7.8388e-05 -27 *122:33 *159:21 7.34627e-05 -28 *122:44 *159:15 0.000194816 -29 zero *122:15 0.000237484 -30 *185:DIODE *122:30 0.000146853 -31 *188:DIODE *205:DIODE 4.7812e-05 -32 *191:DIODE *329:A 6.09764e-05 -33 *196:DIODE *329:A 0.000174366 -34 *196:DIODE *122:15 9.86082e-05 -35 *208:DIODE *329:A 2.2443e-05 -36 *208:DIODE *122:7 9.58689e-05 -37 *208:DIODE *122:11 9.66977e-05 -38 *208:DIODE *122:15 0.000124439 -39 *209:DIODE *205:DIODE 5.2254e-05 -40 *209:DIODE *122:19 0.000324143 -41 *314:B *329:A 0 -42 *329:B *329:A 6.02449e-05 -43 *360:RESET_B *329:A 1.32056e-05 -44 *372:RESET_B *393:A 5.48299e-05 -45 *379:RESET_B *393:A 0.000433559 -46 *391:A *393:A 0.00104739 -47 *391:A *122:44 8.89083e-05 -48 *419:A *329:A 0.00103494 -49 *425:A *329:A 1.00332e-05 -50 *429:A *393:A 7.65779e-05 -51 *3:24 *329:A 0.000376153 -52 *3:37 *329:A 0.000178162 -53 *5:40 *329:A 1.71144e-05 -54 *5:40 *122:7 4.08637e-05 -55 *5:40 *122:11 9.66977e-05 -56 *7:12 *393:A 0.000469337 -57 *29:7 *329:A 4.22431e-05 -58 *65:12 *329:A 0.000154931 -59 *94:8 *122:30 4.51198e-05 -60 *94:17 *122:30 3.39905e-05 -61 *99:8 *329:A 0 -62 *114:13 *393:A 1.73066e-05 -63 *114:13 *122:44 0.000651917 -64 *114:31 *393:A 7.33056e-05 -65 *120:8 *395:A 7.25873e-06 -*RES -1 *396:X *122:5 9.72857 -2 *122:5 *122:7 3.41071 -3 *122:7 *122:11 5.44643 -4 *122:11 *122:15 18.4643 -5 *122:15 *122:19 10 -6 *122:19 *205:DIODE 11.4786 -7 *122:19 *122:30 13.6786 -8 *122:30 *122:33 4.55357 -9 *122:33 *122:44 26.0982 -10 *122:44 *393:A 43.0054 -11 *122:33 *394:A 9.3 -12 *122:30 *206:DIODE 14.3536 -13 *122:15 *207:DIODE 9.3 -14 *122:11 *329:A 35.4429 -15 *122:7 *190:DIODE 9.3 -16 *122:5 *395:A 10.675 -*END - -*D_NET *123 0.0170042 -*CONN -*I *396:A I *D sky130_fd_sc_hd__buf_2 -*I *390:A I *D sky130_fd_sc_hd__buf_2 -*I *392:A I *D sky130_fd_sc_hd__buf_2 -*I *397:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *396:A 0.000354931 -2 *390:A 2.55363e-05 -3 *392:A 0.00113591 -4 *397:X 0 -5 *123:34 0.00184345 -6 *123:23 0.00339688 -7 *123:4 0.0030698 -8 *390:A *378:CLK 9.66977e-05 -9 *392:A *367:D 1.34548e-05 -10 *392:A *378:CLK 0.000154519 -11 *392:A *138:9 0.000210174 -12 *392:A *164:15 7.19623e-05 -13 *123:23 *397:A 0.000389683 -14 *123:23 *434:A 0.000575652 -15 *123:23 *154:10 0.000984529 -16 *123:23 *159:43 6.36036e-05 -17 *123:23 *173:15 0.000202697 -18 *123:34 *409:A 9.41642e-05 -19 *123:34 *133:21 2.79421e-05 -20 pad_gpio_ana_pol *123:23 0.000135763 -21 *314:B *396:A 3.70434e-05 -22 *317:B *123:34 6.30931e-05 -23 *319:B *392:A 0.000526026 -24 *326:B *123:23 4.8817e-05 -25 *354:RESET_B *123:34 0.000154295 -26 *366:RESET_B *392:A 2.23592e-05 -27 *380:A *396:A 1.21258e-05 -28 *380:A *123:23 2.93922e-05 -29 *429:A *392:A 1.9774e-05 -30 *3:16 *123:34 0.000377134 -31 *4:17 *123:34 0.000990606 -32 *5:40 *396:A 7.11037e-05 -33 *8:15 *392:A 0.000326069 -34 *11:19 *392:A 5.96516e-05 -35 *11:19 *123:34 0.000101245 -36 *15:10 *396:A 5.5333e-05 -37 *32:12 *123:23 0.000253109 -38 *53:10 *392:A 2.36104e-05 -39 *99:8 *396:A 0.000404041 -40 *115:15 *390:A 4.35597e-05 -41 *115:15 *392:A 1.24368e-05 -42 *115:15 *123:34 3.87173e-05 -43 *117:13 *392:A 2.59355e-05 -44 *119:16 *123:23 9.58632e-05 -45 *119:86 *123:23 0.000233334 -46 *121:7 *396:A 3.2033e-05 -47 *122:7 *396:A 0.000100165 -*RES -1 *397:X *123:4 9.3 -2 *123:4 *123:23 47.1486 -3 *123:23 *123:34 32.8296 -4 *123:34 *392:A 37.05 -5 *123:34 *390:A 10.2464 -6 *123:4 *396:A 26.1929 -*END - -*D_NET *124 0.00759325 -*CONN -*I *353:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *305:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *353:CLK_N 0.000152613 -2 *305:Y 0.001043 -3 *124:6 0.00119562 -4 *353:CLK_N *367:D 0.000178425 -5 *353:CLK_N *407:A 0.000216626 -6 *353:CLK_N *164:15 8.23431e-05 -7 *124:6 *378:D 0.000145457 -8 *124:6 *409:A 6.4857e-05 -9 *124:6 *149:10 0.000119653 -10 serial_clock_out *124:6 0.00212317 -11 *201:DIODE *124:6 0.000337608 -12 *317:A *124:6 0.000168201 -13 *318:A_N *124:6 0.00035041 -14 *41:45 *124:6 3.02267e-05 -15 *42:23 *124:6 0.000763207 -16 *115:15 *124:6 0 -17 *118:45 *124:6 9.48356e-05 -18 *119:16 *124:6 0.000516121 -19 *119:86 *124:6 1.08716e-05 -*RES -1 *305:Y *124:6 47.3179 -2 *124:6 *353:CLK_N 18.0321 -*END - -*D_NET *125 0.0075163 -*CONN -*I *354:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *341:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *354:CLK_N 0 -2 *341:Y 0 -3 *125:13 0.00165532 -4 *125:5 0.00165532 -5 *125:13 *354:D 1.94879e-05 -6 *125:13 *357:D 0.00022657 -7 *125:13 *370:D 0.000306995 -8 *125:13 *379:D 6.57032e-05 -9 *125:13 *130:18 6.94829e-05 -10 *125:13 *138:9 0.000158755 -11 *185:DIODE *125:13 0.000131967 -12 *357:SET_B *125:13 0.000230676 -13 *366:RESET_B *125:13 9.6535e-06 -14 *383:A *125:13 0.000132838 -15 *429:A *125:13 1.21258e-05 -16 *7:28 *125:13 4.04224e-05 -17 *12:32 *125:13 0.00101089 -18 *34:11 *125:13 0.000955932 -19 *38:8 *125:13 0.000126007 -20 *94:17 *125:13 0.000615917 -21 *94:26 *125:13 9.22338e-05 -*RES -1 *341:Y *125:5 13.8 -2 *125:5 *125:13 47.8393 -3 *125:13 *354:CLK_N 9.3 -*END - -*D_NET *126 0.00586748 -*CONN -*I *355:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *342:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *355:CLK_N 0.00200873 -2 *342:Y 0.00200873 -3 *355:CLK_N *358:D 9.18347e-05 -4 *355:CLK_N *371:D 4.35597e-05 -5 *322:B *355:CLK_N 3.50949e-06 -6 *342:A *355:CLK_N 8.24167e-05 -7 *358:SET_B *355:CLK_N 0.00080159 -8 *427:A *355:CLK_N 9.58632e-05 -9 *9:13 *355:CLK_N 0.000686558 -10 *59:15 *355:CLK_N 4.46936e-05 -*RES -1 *342:Y *355:CLK_N 44.1 -*END - -*D_NET *127 0.00253328 -*CONN -*I *356:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *343:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *356:CLK_N 0.00061507 -2 *343:Y 0.00061507 -3 *356:CLK_N *411:A 0.000105471 -4 *36:11 *356:CLK_N 0.000501666 -5 *41:15 *356:CLK_N 6.22482e-05 -6 *41:45 *356:CLK_N 0.000134489 -7 *97:15 *356:CLK_N 0.000499269 -*RES -1 *343:Y *356:CLK_N 39.5643 -*END - -*D_NET *128 0.00759296 -*CONN -*I *357:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *344:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *357:CLK_N 5.50001e-05 -2 *344:Y 0.00101501 -3 *128:6 0.00107001 -4 *357:CLK_N *409:A 4.38942e-05 -5 *128:6 *138:9 0.0026931 -6 *204:DIODE *357:CLK_N 6.94952e-05 -7 *383:A *128:6 0.000508261 -8 *34:11 *128:6 4.27295e-05 -9 *38:8 *128:6 4.6355e-05 -10 *94:17 *128:6 0.00123917 -11 *94:26 *128:6 0.000323379 -12 *94:37 *128:6 0.000254174 -13 *94:60 *128:6 0.000232377 -*RES -1 *344:Y *128:6 49.4429 -2 *128:6 *357:CLK_N 15.1571 -*END - -*D_NET *129 0.00569835 -*CONN -*I *358:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *345:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *358:CLK_N 0 -2 *345:Y 0.000955056 -3 *129:10 0.000955056 -4 *129:10 *138:9 0.000268254 -5 *200:DIODE *129:10 9.96553e-05 -6 *203:DIODE *129:10 9.3151e-05 -7 *323:A *129:10 0.000314519 -8 *336:A_N *129:10 1.03904e-05 -9 *345:A *129:10 5.6647e-05 -10 *384:A *129:10 0.00157161 -11 *385:A *129:10 0.000217505 -12 *10:26 *129:10 1.33329e-05 -13 *10:29 *129:10 0.000428559 -14 *93:8 *129:10 0.000694009 -15 *116:35 *129:10 2.06112e-05 -*RES -1 *345:Y *129:10 47.1929 -2 *129:10 *358:CLK_N 9.3 -*END - -*D_NET *130 0.00424176 -*CONN -*I *359:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *346:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *359:CLK_N 0 -2 *346:Y 0.00108631 -3 *130:18 0.00108631 -4 *130:18 *346:A 0.000743124 -5 *130:18 *354:D 0 -6 *130:18 *366:D 9.33486e-05 -7 *130:18 *133:21 5.69684e-05 -8 *319:A *130:18 0 -9 *319:B *130:18 0.000173182 -10 *357:SET_B *130:18 0.000216974 -11 *429:A *130:18 9.8396e-05 -12 *430:A *130:18 0.000482546 -13 *8:15 *130:18 0.000103056 -14 *11:19 *130:18 3.20731e-05 -15 *12:11 *130:18 0 -16 *125:13 *130:18 6.94829e-05 -*RES -1 *346:Y *130:18 43.5321 -2 *130:18 *359:CLK_N 9.3 -*END - -*D_NET *131 0.00386908 -*CONN -*I *360:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *347:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *360:CLK_N 0.000972102 -2 *347:Y 0.000972102 -3 *360:CLK_N *360:D 0.000139842 -4 *347:A *360:CLK_N 0.000819443 -5 *360:SET_B *360:CLK_N 0.000105852 -6 *361:SET_B *360:CLK_N 6.42168e-05 -7 *425:A *360:CLK_N 1.42117e-05 -8 *1:27 *360:CLK_N 0.000781306 -*RES -1 *347:Y *360:CLK_N 46.8857 -*END - -*D_NET *132 0.00401514 -*CONN -*I *397:A I *D sky130_fd_sc_hd__buf_2 -*I *415:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *397:A 0.00118885 -2 *415:X 0.00118885 -3 *397:A *433:A 0.000471146 -4 *397:A *134:8 0 -5 *397:A *173:15 7.02212e-05 -6 *175:DIODE *397:A 0 -7 *380:A *397:A 3.8624e-05 -8 *415:A *397:A 0.000178425 -9 *419:A *397:A 0.000223662 -10 *423:A *397:A 6.05161e-06 -11 *4:27 *397:A 9.76435e-06 -12 *39:8 *397:A 0.000249867 -13 *123:23 *397:A 0.000389683 -*RES -1 *415:X *397:A 47.6179 -*END - -*D_NET *133 0.00899123 -*CONN -*I *361:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *348:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *361:CLK_N 0 -2 *348:Y 0.000190928 -3 *133:21 0.00163404 -4 *133:10 0.00182497 -5 *133:21 *361:D 2.85234e-05 -6 *133:21 *366:D 0.00173476 -7 *133:21 *409:A 7.69978e-05 -8 *133:21 *135:15 0.00124254 -9 *133:21 *151:6 7.36697e-05 -10 *133:21 *165:18 8.03927e-05 -11 *185:DIODE *133:10 4.85758e-05 -12 *185:DIODE *133:21 5.60463e-06 -13 *200:DIODE *133:10 5.50052e-05 -14 *326:B *133:21 0.000150264 -15 *357:RESET_B *133:21 0.000115507 -16 *357:SET_B *133:21 0.000136418 -17 *430:A *133:21 0.000132513 -18 *9:38 *133:10 0.000144732 -19 *12:32 *133:10 0.000117016 -20 *12:32 *133:21 0.000518423 -21 *105:17 *133:21 0.000506539 -22 *113:8 *133:21 8.89024e-05 -23 *123:34 *133:21 2.79421e-05 -24 *130:18 *133:21 5.69684e-05 -*RES -1 *348:Y *133:10 18.0589 -2 *133:10 *133:21 48.6696 -3 *133:21 *361:CLK_N 9.3 -*END - -*D_NET *134 0.0073478 -*CONN -*I *362:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *349:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *362:CLK_N 5.53291e-05 -2 *349:Y 0.00129397 -3 *134:8 0.0013493 -4 *362:CLK_N *379:D 5.33005e-05 -5 *134:8 *379:D 2.95642e-05 -6 *175:DIODE *134:8 0.000863881 -7 *178:DIODE *134:8 0.000141711 -8 *318:B *134:8 0.000782023 -9 *353:SET_B *134:8 0.00197879 -10 *365:RESET_B *134:8 0.000146261 -11 *397:A *134:8 0 -12 *423:A *134:8 0 -13 *41:45 *134:8 5.21738e-05 -14 *42:23 *134:8 3.8528e-05 -15 *53:10 *134:8 0 -16 *118:48 *134:8 0.000280001 -17 *118:58 *134:8 0.000282974 -*RES -1 *349:Y *134:8 49.9786 -2 *134:8 *362:CLK_N 14.7464 -*END - -*D_NET *135 0.00459651 -*CONN -*I *363:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *350:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *363:CLK_N 0 -2 *350:Y 0.00111585 -3 *135:15 0.00111585 -4 *135:15 *363:D 0.000178425 -5 *135:15 *364:D 0.000381679 -6 *135:15 *435:A 5.5179e-05 -7 *135:15 *151:6 0.000335947 -8 *345:A *135:15 1.34631e-05 -9 *7:28 *135:15 7.27183e-05 -10 *12:32 *135:15 3.03305e-05 -11 *35:7 *135:15 5.45307e-05 -12 *133:21 *135:15 0.00124254 -*RES -1 *350:Y *135:15 43.1214 -2 *135:15 *363:CLK_N 9.3 -*END - -*D_NET *136 0.00275139 -*CONN -*I *364:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *351:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *364:CLK_N 0.000932888 -2 *351:Y 0.000932888 -3 *364:CLK_N *364:D 5.8946e-05 -4 *364:CLK_N *143:11 4.11922e-05 -5 *182:DIODE *364:CLK_N 0.000439515 -6 *324:B *364:CLK_N 2.04681e-05 -7 *364:RESET_B *364:CLK_N 3.04311e-05 -8 *364:SET_B *364:CLK_N 1.10909e-05 -9 *422:A *364:CLK_N 0.000147718 -10 *4:17 *364:CLK_N 0.00013625 -*RES -1 *351:Y *364:CLK_N 42.1536 -*END - -*D_NET *137 0.00288306 -*CONN -*I *365:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *352:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *365:CLK_N 0.000575188 -2 *352:Y 0.000575188 -3 *365:CLK_N *435:A 0.000376759 -4 *365:CLK_N *158:7 5.33005e-05 -5 *182:DIODE *365:CLK_N 4.2628e-05 -6 *35:7 *365:CLK_N 3.11088e-05 -7 *103:8 *365:CLK_N 0.000917729 -8 *111:19 *365:CLK_N 0.000311157 -*RES -1 *352:Y *365:CLK_N 43.2786 -*END - -*D_NET *138 0.00866613 -*CONN -*I *379:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *306:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *379:CLK 0 -2 *306:Y 0 -3 *138:9 0.00141887 -4 *138:5 0.00141887 -5 *138:9 *354:D 9.58189e-06 -6 *138:9 *379:D 1.47872e-05 -7 *138:9 *410:A 0.000351207 -8 *138:9 *147:11 7.16611e-05 -9 *366:RESET_B *138:9 4.22986e-05 -10 *384:A *138:9 0.00157974 -11 *392:A *138:9 0.000210174 -12 *429:A *138:9 6.82912e-05 -13 *8:15 *138:9 0.000162304 -14 *38:8 *138:9 0.000100418 -15 *93:8 *138:9 8.61547e-06 -16 *94:17 *138:9 8.92073e-05 -17 *125:13 *138:9 0.000158755 -18 *128:6 *138:9 0.0026931 -19 *129:10 *138:9 0.000268254 -*RES -1 *306:Y *138:5 13.8 -2 *138:5 *138:9 49.0893 -3 *138:9 *379:CLK 9.3 -*END - -*D_NET *139 0.00528927 -*CONN -*I *353:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *367:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *399:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *353:D 0 -2 *367:D 0.00162677 -3 *399:X 0.000199199 -4 *139:10 0.00182597 -5 *367:D *366:CLK 0.000397635 -6 *367:D *407:A 2.8078e-05 -7 *367:D *164:15 0.000108353 -8 *139:10 *379:D 0.0001802 -9 *328:A_N *367:D 0.000147017 -10 *353:CLK_N *367:D 0.000178425 -11 *366:RESET_B *367:D 5.33005e-05 -12 *367:RESET_B *367:D 2.7601e-05 -13 *392:A *367:D 1.34548e-05 -14 *3:16 *139:10 0.000142206 -15 *4:17 *139:10 0.000141693 -16 *117:13 *367:D 0.000219366 -*RES -1 *399:X *139:10 22.0143 -2 *139:10 *367:D 32.8 -3 *139:10 *353:D 9.3 -*END - -*D_NET *140 0.00485706 -*CONN -*I *356:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *376:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *404:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *356:D 0.000141033 -2 *376:D 0.00124775 -3 *404:X 0.000137856 -4 *140:8 0.00152664 -5 *376:D *343:A 0.000149195 -6 *376:D *376:CLK 1.05731e-05 -7 *376:D *400:A 3.69047e-06 -8 *376:D *411:A 0.000372179 -9 *356:SET_B *356:D 6.12715e-05 -10 *359:SET_B *140:8 9.67754e-05 -11 *425:A *376:D 0.000175892 -12 *41:45 *376:D 2.11419e-05 -13 *67:10 *376:D 0.000111398 -14 *67:10 *140:8 6.65364e-05 -15 *101:14 *376:D 0.000598006 -16 *101:14 *140:8 0.000137127 -*RES -1 *404:X *140:8 16.6929 -2 *140:8 *376:D 33.05 -3 *140:8 *356:D 15.9786 -*END - -*D_NET *141 0.00111711 -*CONN -*I *362:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *405:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *362:D 0.000335309 -2 *405:X 0.000335309 -3 *362:D *379:D 6.29681e-05 -4 *362:D *405:A 3.18747e-05 -5 *378:RESET_B *362:D 0.00020171 -6 *53:10 *362:D 0.000149939 -*RES -1 *405:X *362:D 33.4929 -*END - -*D_NET *142 0.00146009 -*CONN -*I *378:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *361:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *406:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *378:D 0.000320977 -2 *361:D 0.000160896 -3 *406:X 0 -4 *142:4 0.000481873 -5 *378:D *379:D 0 -6 *378:D *409:A 3.47641e-06 -7 *318:A_N *378:D 8.73763e-05 -8 *4:17 *378:D 0 -9 *42:23 *361:D 4.58194e-05 -10 *53:10 *378:D 1.29901e-05 -11 *105:17 *361:D 4.85033e-05 -12 *115:15 *378:D 0.000124194 -13 *124:6 *378:D 0.000145457 -14 *133:21 *361:D 2.85234e-05 -*RES -1 *406:X *142:4 9.3 -2 *142:4 *361:D 12.2107 -3 *142:4 *378:D 25.0321 -*END - -*D_NET *143 0.0106329 -*CONN -*I *366:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *416:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *366:D 0.000780827 -2 *416:X 0.00209512 -3 *143:11 0.00287594 -4 *366:D *366:CLK 7.22686e-05 -5 *366:D *164:15 0.000139338 -6 *143:11 *364:D 2.85234e-05 -7 *143:11 *434:A 1.13443e-05 -8 *311:B *143:11 0.000182671 -9 *313:A1 *143:11 8.22661e-06 -10 *316:A_N *143:11 1.58163e-05 -11 *316:B *143:11 0.000560141 -12 *332:A_N *143:11 1.96616e-05 -13 *361:SET_B *143:11 1.98839e-05 -14 *363:SET_B *366:D 2.53754e-05 -15 *364:CLK_N *143:11 4.11922e-05 -16 *364:RESET_B *143:11 6.94952e-05 -17 *364:SET_B *143:11 4.83516e-05 -18 *3:24 *143:11 1.46231e-05 -19 *3:29 *143:11 1.21258e-05 -20 *12:11 *366:D 0.00100495 -21 *59:15 *366:D 0.000271098 -22 *113:8 *366:D 0.000483581 -23 *119:33 *143:11 2.42298e-05 -24 *130:18 *366:D 9.33486e-05 -25 *133:21 *366:D 0.00173476 -*RES -1 *416:X *143:11 39.1036 -2 *143:11 *366:D 41.4429 -*END - -*D_NET *144 0.00733302 -*CONN -*I *354:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *369:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *407:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *354:D 3.00929e-05 -2 *369:D 0.00101049 -3 *407:X 0.00164364 -4 *144:9 0.00268423 -5 user_gpio_in *369:D 0.000126793 -6 user_gpio_in *144:9 0.000423804 -7 *312:A2 *144:9 3.89994e-05 -8 *366:RESET_B *369:D 3.22874e-05 -9 *366:RESET_B *144:9 0.000346198 -10 *378:RESET_B *144:9 0 -11 *1:16 *369:D 0.00013 -12 *6:7 *369:D 4.7659e-05 -13 *6:27 *369:D 0.000235036 -14 *7:12 *369:D 0.000103605 -15 *13:13 *369:D 3.68477e-05 -16 *38:8 *144:9 0.000414258 -17 *125:13 *354:D 1.94879e-05 -18 *130:18 *354:D 0 -19 *138:9 *354:D 9.58189e-06 -*RES -1 *407:X *144:9 22.8712 -2 *144:9 *369:D 23.2098 -3 *144:9 *354:D 17.7904 -*END - -*D_NET *145 0.00609003 -*CONN -*I *359:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *368:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *408:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *359:D 0 -2 *368:D 0.000787658 -3 *408:X 0.000883441 -4 *145:25 0.0016711 -5 *368:D *410:A 0.000129147 -6 *368:D *146:7 6.61832e-05 -7 *368:D *147:11 8.25843e-06 -8 *368:D *165:18 0.000529205 -9 *145:25 *146:7 0.000118123 -10 *145:25 *165:18 9.40611e-05 -11 serial_clock_out *145:25 0.000368906 -12 *353:SET_B *145:25 9.60337e-06 -13 *354:RESET_B *145:25 2.0067e-05 -14 *368:RESET_B *368:D 6.45693e-05 -15 *377:RESET_B *145:25 0.000551325 -16 *2:22 *145:25 4.81965e-05 -17 *11:19 *145:25 0 -18 *103:8 *145:25 0.000109215 -19 *105:17 *145:25 5.7903e-06 -20 *116:76 *145:25 0.00021274 -21 *118:34 *145:25 0.000108827 -22 *119:102 *145:25 0.000303613 -*RES -1 *408:X *145:25 44.1791 -2 *145:25 *368:D 24.0857 -3 *145:25 *359:D 9.3 -*END - -*D_NET *146 0.00535831 -*CONN -*I *357:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *370:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *409:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *357:D 0.00017022 -2 *370:D 0.000295437 -3 *409:X 0.00103331 -4 *146:7 0.00149897 -5 *357:D *409:A 4.38942e-05 -6 *146:7 *410:A 6.05161e-06 -7 *204:DIODE *357:D 6.05161e-06 -8 *357:SET_B *357:D 0.000235071 -9 *357:SET_B *370:D 0.000303806 -10 *359:SET_B *146:7 0.000501798 -11 *368:D *146:7 6.61832e-05 -12 *370:RESET_B *370:D 0.000116273 -13 *61:10 *370:D 0.000290291 -14 *109:22 *370:D 0.000113772 -15 *118:34 *146:7 2.54976e-05 -16 *125:13 *357:D 0.00022657 -17 *125:13 *370:D 0.000306995 -18 *145:25 *146:7 0.000118123 -*RES -1 *409:X *146:7 26.2464 -2 *146:7 *370:D 23.3357 -3 *146:7 *357:D 18.3179 -*END - -*D_NET *147 0.00234266 -*CONN -*I *358:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *371:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *410:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *358:D 6.83459e-05 -2 *371:D 0.000174485 -3 *410:X 0.000285519 -4 *147:11 0.00052835 -5 *371:D *346:A 4.64067e-05 -6 *371:D *159:62 3.02801e-05 -7 *147:11 *410:A 0.000312842 -8 *342:A *358:D 5.23296e-05 -9 *342:A *371:D 9.35042e-05 -10 *355:CLK_N *358:D 9.18347e-05 -11 *355:CLK_N *371:D 4.35597e-05 -12 *368:D *147:11 8.25843e-06 -13 *427:A *371:D 7.71535e-05 -14 *10:21 *371:D 0.00013063 -15 *10:21 *147:11 0.000133691 -16 *93:8 *371:D 4.45982e-06 -17 *93:8 *147:11 0.000189347 -18 *138:9 *147:11 7.16611e-05 -*RES -1 *410:X *147:11 25.4607 -2 *147:11 *371:D 22.8714 -3 *147:11 *358:D 11.0679 -*END - -*D_NET *148 0.00778599 -*CONN -*I *360:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *377:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *411:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *360:D 0.00026904 -2 *377:D 0.000990276 -3 *411:X 0.000186185 -4 *148:9 0.0014455 -5 *377:D *158:32 0.000111341 -6 *377:D *158:47 0.000175121 -7 *326:B *377:D 0.000116364 -8 *347:A *360:D 9.17062e-05 -9 *355:RESET_B *360:D 6.47405e-05 -10 *356:RESET_B *360:D 5.46855e-05 -11 *360:CLK_N *360:D 0.000139842 -12 *377:RESET_B *377:D 8.92098e-05 -13 *426:A *360:D 0.000270456 -14 *2:22 *377:D 0.000287377 -15 *3:24 *148:9 6.25005e-05 -16 *5:28 *377:D 0.00117164 -17 *5:28 *148:9 0.000335319 -18 *32:12 *377:D 0.000741983 -19 *32:12 *148:9 0.000363202 -20 *65:12 *148:9 6.25005e-05 -21 *105:17 *360:D 0.000450546 -22 *109:22 *377:D 0.000137561 -23 *111:19 *377:D 0.000168889 -*RES -1 *411:X *148:9 18.7175 -2 *148:9 *377:D 28.9974 -3 *148:9 *360:D 25.8439 -*END - -*D_NET *149 0.00244932 -*CONN -*I *355:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *375:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *400:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *355:D 0.000366725 -2 *375:D 0.000105209 -3 *400:X 0.000168429 -4 *149:10 0.000640362 -5 *178:DIODE *355:D 4.26759e-05 -6 *322:A_N *355:D 5.23296e-05 -7 *322:B *355:D 0.000113062 -8 *353:SET_B *355:D 5.11397e-05 -9 *355:SET_B *355:D 0.000158626 -10 *355:SET_B *375:D 1.84523e-06 -11 *358:SET_B *355:D 0.000129147 -12 *358:SET_B *375:D 8.55871e-05 -13 *375:RESET_B *375:D 0.000102445 -14 *42:23 *149:10 0.000118129 -15 *105:17 *355:D 4.3111e-05 -16 *109:22 *149:10 0.000135028 -17 *118:45 *355:D 1.58163e-05 -18 *124:6 *149:10 0.000119653 -*RES -1 *400:X *149:10 21.3 -2 *149:10 *375:D 11.4786 -3 *149:10 *355:D 26.3536 -*END - -*D_NET *150 0.0023799 -*CONN -*I *374:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *365:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *401:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *374:D 0.00037282 -2 *365:D 0.000177294 -3 *401:X 2.04425e-05 -4 *150:7 0.000570557 -5 *365:D *401:A 0.000170438 -6 *374:D *343:A 0.000323432 -7 *374:D *376:CLK 6.76296e-05 -8 *150:7 *401:A 5.33005e-05 -9 *320:B *374:D 6.57032e-05 -10 *347:A *150:7 2.59355e-05 -11 *374:RESET_B *374:D 2.29097e-05 -12 *422:A *365:D 0.000192563 -13 *422:A *374:D 0.000187927 -14 *12:14 *374:D 6.05161e-06 -15 *115:20 *374:D 5.35314e-05 -16 *115:26 *365:D 1.30594e-05 -17 *115:26 *374:D 5.63085e-05 -*RES -1 *401:X *150:7 14.3357 -2 *150:7 *365:D 17.7107 -3 *150:7 *374:D 22.6393 -*END - -*D_NET *151 0.00260298 -*CONN -*I *373:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *364:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *402:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *373:D 2.04426e-05 -2 *364:D 0.000234053 -3 *402:X 0.000143778 -4 *151:6 0.000398274 -5 *357:SET_B *364:D 0.000380019 -6 *357:SET_B *151:6 0.00046841 -7 *364:CLK_N *364:D 5.8946e-05 -8 *69:17 *373:D 5.33005e-05 -9 *115:31 *373:D 2.59355e-05 -10 *133:21 *151:6 7.36697e-05 -11 *135:15 *364:D 0.000381679 -12 *135:15 *151:6 0.000335947 -13 *143:11 *364:D 2.85234e-05 -*RES -1 *402:X *151:6 19.9964 -2 *151:6 *364:D 20.9786 -3 *151:6 *373:D 14.3357 -*END - -*D_NET *152 0.00382038 -*CONN -*I *363:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *372:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *403:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *363:D 0.000610547 -2 *372:D 0 -3 *403:X 0.000399322 -4 *152:10 0.00100987 -5 *363:D *402:A 1.83053e-05 -6 *363:D *159:62 5.81642e-06 -7 *152:10 *403:A 0 -8 *372:CLK *363:D 0.000610496 -9 *372:RESET_B *363:D 7.25464e-05 -10 *393:A *363:D 9.36454e-05 -11 *5:11 *152:10 5.19522e-06 -12 *6:27 *152:10 0.000111398 -13 *7:12 *152:10 0.00021896 -14 *9:13 *152:10 0.000433467 -15 *94:64 *152:10 5.23822e-05 -16 *135:15 *363:D 0.000178425 -*RES -1 *403:X *152:10 28.4786 -2 *152:10 *372:D 9.3 -3 *152:10 *363:D 32.0143 -*END - -*D_NET *153 0.00317259 -*CONN -*I *431:A I *D sky130_fd_sc_hd__buf_16 -*I *380:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *431:A 0.00101529 -2 *380:X 0.00101529 -3 *195:DIODE *431:A 2.48421e-05 -4 *4:27 *431:A 0.00111717 -*RES -1 *380:X *431:A 42.475 -*END - -*D_NET *154 0.00535882 -*CONN -*I *432:A I *D sky130_fd_sc_hd__buf_16 -*I *363:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *432:A 0 -2 *363:Q 0.00116867 -3 *154:10 0.00116867 -4 *154:10 *401:A 0.000145239 -5 *154:10 *434:A 0.000148435 -6 pad_gpio_ana_pol *154:10 0.00014727 -7 pad_gpio_dm[1] *154:10 0.000592782 -8 pad_gpio_slow_sel *154:10 0.000501912 -9 *347:A *154:10 2.66851e-06 -10 *11:27 *154:10 0.000313843 -11 *32:12 *154:10 6.30722e-06 -12 *100:22 *154:10 0.000178491 -13 *123:23 *154:10 0.000984529 -*RES -1 *363:Q *154:10 47.8893 -2 *154:10 *432:A 9.3 -*END - -*D_NET *155 0.00375815 -*CONN -*I *433:A I *D sky130_fd_sc_hd__buf_16 -*I *365:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *433:A 0.000842023 -2 *365:Q 0.000842023 -3 *433:A *173:15 0.00011317 -4 *176:DIODE *433:A 6.40203e-06 -5 *321:A *433:A 0.000106264 -6 *333:A *433:A 0.00013824 -7 *356:RESET_B *433:A 7.05769e-05 -8 *361:SET_B *433:A 1.13495e-05 -9 *397:A *433:A 0.000471146 -10 *423:A *433:A 0.000410014 -11 *426:A *433:A 0.000333127 -12 *100:22 *433:A 8.88341e-05 -13 *105:17 *433:A 0.000161058 -14 *119:38 *433:A 0.000163922 -*RES -1 *365:Q *433:A 49.5643 -*END - -*D_NET *156 0.00238522 -*CONN -*I *434:A I *D sky130_fd_sc_hd__buf_16 -*I *364:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *434:A 0.000564143 -2 *364:Q 0.000564143 -3 *211:DIODE *434:A 0.000280366 -4 *4:17 *434:A 6.91846e-05 -5 *32:12 *434:A 0.000171954 -6 *123:23 *434:A 0.000575652 -7 *143:11 *434:A 1.13443e-05 -8 *154:10 *434:A 0.000148435 -*RES -1 *364:Q *434:A 40.6536 -*END - -*D_NET *157 0.00355789 -*CONN -*I *417:A I *D sky130_fd_sc_hd__buf_16 -*I *388:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 *417:A 0.00033095 -2 *388:HI 0.00033095 -3 *417:A *173:15 0.000529339 -4 zero *417:A 0.000201213 -5 *209:DIODE *417:A 0.00064235 -6 *212:DIODE *417:A 0.000232399 -7 *122:15 *417:A 0.00114731 -8 *122:19 *417:A 0.000143375 -*RES -1 *388:HI *417:A 35.1714 -*END - -*D_NET *158 0.0136182 -*CONN -*I *378:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *366:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *375:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *370:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *376:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *435:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *386:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *378:CLK 0.000285759 -2 *366:CLK 0.000256118 -3 *375:CLK 0.00014663 -4 *370:CLK 0 -5 *376:CLK 0.000454665 -6 *435:A 0.00096218 -7 *386:X 6.06553e-05 -8 *158:47 0.000921147 -9 *158:34 0.00014663 -10 *158:32 0.000619377 -11 *158:17 0.000802455 -12 *158:7 0.00113052 -13 *366:CLK *164:15 0.00016286 -14 *376:CLK *343:A 1.13412e-05 -15 *376:CLK *400:A 1.58163e-05 -16 *184:DIODE *435:A 0.000267965 -17 *185:DIODE *435:A 0.000306309 -18 *320:B *375:CLK 9.83388e-05 -19 *328:A_N *366:CLK 0.000105143 -20 *342:A *158:17 7.70035e-05 -21 *342:A *158:32 0.000179887 -22 *345:A *435:A 0.000185124 -23 *355:SET_B *375:CLK 0.000139202 -24 *365:CLK_N *435:A 0.000376759 -25 *365:CLK_N *158:7 5.33005e-05 -26 *366:D *366:CLK 7.22686e-05 -27 *367:D *366:CLK 0.000397635 -28 *370:RESET_B *375:CLK 6.09764e-05 -29 *374:D *376:CLK 6.76296e-05 -30 *374:RESET_B *376:CLK 4.8736e-05 -31 *375:RESET_B *375:CLK 4.82865e-05 -32 *376:D *376:CLK 1.05731e-05 -33 *377:D *158:32 0.000111341 -34 *377:D *158:47 0.000175121 -35 *390:A *378:CLK 9.66977e-05 -36 *392:A *378:CLK 0.000154519 -37 *2:22 *378:CLK 0.000363904 -38 *2:22 *158:32 7.87452e-05 -39 *2:22 *158:47 0.000439919 -40 *53:10 *378:CLK 0.00023038 -41 *61:10 *375:CLK 8.46407e-05 -42 *95:8 *435:A 0.00077345 -43 *95:9 *435:A 4.33899e-05 -44 *95:29 *435:A 4.17433e-05 -45 *95:41 *435:A 0.000379729 -46 *95:41 *158:17 0.000236667 -47 *103:8 *158:47 5.16339e-05 -48 *111:19 *435:A 0.000334922 -49 *111:19 *158:17 0.000193592 -50 *111:19 *158:32 0.000275948 -51 *111:19 *158:47 0.00025095 -52 *115:15 *378:CLK 1.4796e-05 -53 *118:34 *378:CLK 0.000368624 -54 *118:34 *158:47 0.000391037 -55 *135:15 *435:A 5.5179e-05 -*RES -1 *386:X *158:7 14.7464 -2 *158:7 *435:A 39.0857 -3 *158:7 *158:17 4.07143 -4 *158:17 *376:CLK 19.175 -5 *158:17 *158:32 6.25 -6 *158:32 *158:34 4.5 -7 *158:34 *370:CLK 9.3 -8 *158:34 *375:CLK 13.1393 -9 *158:32 *158:47 10.1429 -10 *158:47 *366:CLK 21.3179 -11 *158:47 *378:CLK 23.0143 -*END - -*D_NET *159 0.0188328 -*CONN -*I *346:A I *D sky130_fd_sc_hd__inv_2 -*I *343:A I *D sky130_fd_sc_hd__inv_2 -*I *436:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *349:A I *D sky130_fd_sc_hd__inv_2 -*I *305:A I *D sky130_fd_sc_hd__inv_2 -*I *348:A I *D sky130_fd_sc_hd__inv_2 -*I *341:A I *D sky130_fd_sc_hd__inv_2 -*I *344:A I *D sky130_fd_sc_hd__inv_2 -*I *387:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *346:A 0.00112861 -2 *343:A 0.002241 -3 *436:A 0.000130911 -4 *349:A 2.24433e-05 -5 *305:A 0 -6 *348:A 0 -7 *341:A 0 -8 *344:A 0 -9 *387:X 0.000267446 -10 *159:62 0.00370087 -11 *159:43 0.000737329 -12 *159:27 0.000732429 -13 *159:21 5.43138e-05 -14 *159:15 0.000593138 -15 *159:8 0.00104031 -16 *159:7 0.000951739 -17 *343:A *400:A 8.55871e-05 -18 *343:A *402:A 1.96616e-05 -19 *343:A *411:A 9.66977e-05 -20 pad_gpio_ana_pol *159:43 0.000130149 -21 pad_gpio_dm[1] *159:43 4.45982e-06 -22 resetn_out *346:A 4.19624e-06 -23 user_gpio_in *346:A 0 -24 *175:DIODE *159:43 4.57574e-05 -25 *181:DIODE *343:A 2.13481e-06 -26 *198:DIODE *159:43 0.000171223 -27 *200:DIODE *159:15 0.000220988 -28 *200:DIODE *159:21 6.99965e-05 -29 *200:DIODE *159:27 2.85234e-05 -30 *200:DIODE *159:43 2.12733e-05 -31 *206:DIODE *159:21 6.05161e-06 -32 *206:DIODE *159:27 1.98839e-05 -33 *206:DIODE *159:43 0.000254284 -34 *210:DIODE *159:43 0.00053758 -35 *314:A *159:15 4.64488e-06 -36 *337:A *159:8 2.69483e-05 -37 *363:D *159:62 5.81642e-06 -38 *371:CLK *343:A 0.000109263 -39 *371:D *346:A 4.64067e-05 -40 *371:D *159:62 3.02801e-05 -41 *371:RESET_B *343:A 2.24405e-05 -42 *371:RESET_B *159:62 1.40556e-05 -43 *374:CLK *343:A 0.000135895 -44 *374:D *343:A 0.000323432 -45 *376:CLK *343:A 1.13412e-05 -46 *376:D *343:A 0.000149195 -47 *379:RESET_B *346:A 0 -48 *379:RESET_B *159:62 0 -49 *425:A *343:A 5.33005e-05 -50 *5:28 *346:A 7.91714e-05 -51 *7:14 *436:A 0 -52 *9:13 *346:A 0.00125103 -53 *9:24 *159:8 0.000129628 -54 *9:24 *159:15 0.000320403 -55 *9:38 *159:43 0.0001871 -56 *10:21 *346:A 1.71164e-05 -57 *10:26 *159:8 4.90115e-05 -58 *12:14 *343:A 2.34465e-05 -59 *41:45 *349:A 2.85321e-05 -60 *41:45 *159:43 2.59355e-05 -61 *69:17 *159:7 0.000398063 -62 *114:13 *436:A 0 -63 *119:16 *159:15 0.000116674 -64 *119:16 *159:43 0.000144765 -65 *120:38 *159:8 0.000219758 -66 *120:38 *159:62 0.000436735 -67 *122:33 *159:15 7.8388e-05 -68 *122:33 *159:21 7.34627e-05 -69 *122:44 *159:15 0.000194816 -70 *123:23 *159:43 6.36036e-05 -71 *130:18 *346:A 0.000743124 -*RES -1 *387:X *159:7 17.6214 -2 *159:7 *159:8 8.32143 -3 *159:8 *159:15 18.6964 -4 *159:15 *344:A 9.3 -5 *159:15 *159:21 1.35714 -6 *159:21 *341:A 9.3 -7 *159:21 *159:27 0.535714 -8 *159:27 *348:A 9.3 -9 *159:27 *159:43 27.2143 -10 *159:43 *305:A 9.3 -11 *159:43 *349:A 9.83571 -12 *159:8 *436:A 15.5679 -13 *159:7 *159:62 7.41071 -14 *159:62 *343:A 40.9607 -15 *159:62 *346:A 39.55 -*END - -*D_NET *160 0.000617962 -*CONN -*I *399:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *366:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *399:A 0.000112455 -2 *366:Q 0.000112455 -3 *399:A *379:D 4.82947e-05 -4 *399:A *165:18 5.51789e-05 -5 *354:RESET_B *399:A 0.000219273 -6 *354:SET_B *399:A 2.23592e-05 -7 *103:8 *399:A 4.10652e-05 -8 *118:34 *399:A 6.87975e-06 -*RES -1 *366:Q *399:A 30.7964 -*END - -*D_NET *161 0.00160034 -*CONN -*I *411:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *376:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *411:A 0.000301895 -2 *376:Q 0.000301895 -3 *343:A *411:A 9.66977e-05 -4 *356:CLK_N *411:A 0.000105471 -5 *376:D *411:A 0.000372179 -6 *425:A *411:A 5.96516e-05 -7 *41:45 *411:A 1.24368e-05 -8 *67:10 *411:A 0.000350115 -*RES -1 *376:Q *411:A 35.6893 -*END - -*D_NET *162 0.00109518 -*CONN -*I *406:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *377:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *406:A 0.000252934 -2 *377:Q 0.000252934 -3 *354:RESET_B *406:A 8.55614e-05 -4 *4:17 *406:A 0.000321247 -5 *61:10 *406:A 0.000139936 -6 *109:22 *406:A 4.25652e-05 -*RES -1 *377:Q *406:A 33.3321 -*END - -*D_NET *163 0.00540347 -*CONN -*I *405:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *379:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *378:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *405:A 0.000160155 -2 *379:D 0.00195955 -3 *378:Q 0 -4 *163:4 0.00211971 -5 *312:A2 *405:A 0.000141941 -6 *318:A_N *379:D 2.85234e-05 -7 *354:RESET_B *379:D 7.14966e-05 -8 *354:SET_B *379:D 0.000170727 -9 *362:CLK_N *379:D 5.33005e-05 -10 *362:D *379:D 6.29681e-05 -11 *362:D *405:A 3.18747e-05 -12 *378:D *379:D 0 -13 *378:RESET_B *379:D 4.67709e-05 -14 *399:A *379:D 4.82947e-05 -15 *429:A *379:D 1.47238e-05 -16 *53:10 *379:D 0.000144456 -17 *103:8 *379:D 5.87274e-05 -18 *125:13 *379:D 6.57032e-05 -19 *134:8 *379:D 2.95642e-05 -20 *138:9 *379:D 1.47872e-05 -21 *139:10 *379:D 0.0001802 -*RES -1 *378:Q *163:4 9.3 -2 *163:4 *379:D 46.4161 -3 *163:4 *405:A 12.7107 -*END - -*D_NET *164 0.00564078 -*CONN -*I *408:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *367:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *408:A 0 -2 *367:Q 0.00206897 -3 *164:15 0.00206897 -4 *164:15 *407:A 3.61382e-05 -5 *312:A2 *164:15 0 -6 *315:B *164:15 5.58875e-06 -7 *328:A_N *164:15 0.000342415 -8 *353:CLK_N *164:15 8.23431e-05 -9 *353:RESET_B *164:15 0.000116014 -10 *361:SET_B *164:15 0.000193162 -11 *366:CLK *164:15 0.00016286 -12 *366:D *164:15 0.000139338 -13 *367:D *164:15 0.000108353 -14 *378:RESET_B *164:15 3.78816e-05 -15 *392:A *164:15 7.19623e-05 -16 *1:27 *164:15 8.92945e-05 -17 *97:15 *164:15 9.76587e-05 -18 *117:13 *164:15 1.98346e-05 -*RES -1 *367:Q *164:15 46.175 -2 *164:15 *408:A 9.3 -*END - -*D_NET *165 0.00689624 -*CONN -*I *407:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *368:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *407:A 0.00128957 -2 *368:Q 0.00095106 -3 *165:18 0.00224063 -4 *165:18 *409:A 0.000390322 -5 *328:A_N *165:18 0.000116545 -6 *353:CLK_N *407:A 0.000216626 -7 *353:RESET_B *407:A 0.000215335 -8 *354:RESET_B *165:18 1.62833e-05 -9 *357:RESET_B *165:18 0.000141734 -10 *367:D *407:A 2.8078e-05 -11 *368:D *165:18 0.000529205 -12 *399:A *165:18 5.51789e-05 -13 *2:22 *165:18 0 -14 *8:15 *165:18 5.29529e-05 -15 *10:21 *165:18 5.45477e-05 -16 *11:19 *165:18 0.000167774 -17 *98:7 *407:A 1.0484e-05 -18 *103:8 *165:18 0.000209328 -19 *133:21 *165:18 8.03927e-05 -20 *145:25 *165:18 9.40611e-05 -21 *164:15 *407:A 3.61382e-05 -*RES -1 *368:Q *165:18 48.9786 -2 *165:18 *407:A 29.8536 -*END - -*D_NET *166 0.00457508 -*CONN -*I *409:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *369:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *409:A 0.00152953 -2 *369:Q 0.00152953 -3 *204:DIODE *409:A 6.02827e-06 -4 *328:A_N *409:A 0.000343649 -5 *357:CLK_N *409:A 4.38942e-05 -6 *357:D *409:A 4.38942e-05 -7 *357:RESET_B *409:A 3.97677e-05 -8 *378:D *409:A 3.47641e-06 -9 *97:15 *409:A 0.000170473 -10 *115:15 *409:A 0.000238484 -11 *123:34 *409:A 9.41642e-05 -12 *124:6 *409:A 6.4857e-05 -13 *133:21 *409:A 7.69978e-05 -14 *165:18 *409:A 0.000390322 -*RES -1 *369:Q *409:A 49.5107 -*END - -*D_NET *167 0.00139627 -*CONN -*I *410:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *370:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *410:A 0.000283893 -2 *370:Q 0.000283893 -3 *368:D *410:A 0.000129147 -4 *8:15 *410:A 2.11419e-05 -5 *10:21 *410:A 8.09004e-06 -6 *138:9 *410:A 0.000351207 -7 *146:7 *410:A 6.05161e-06 -8 *147:11 *410:A 0.000312842 -*RES -1 *370:Q *410:A 34.8679 -*END - -*D_NET *168 0.00107814 -*CONN -*I *403:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *371:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *403:A 0.000246564 -2 *371:Q 0.000246564 -3 *181:DIODE *403:A 2.11336e-05 -4 *183:DIODE *403:A 0.00021211 -5 *5:11 *403:A 8.58741e-05 -6 *8:23 *403:A 0.0001829 -7 *9:13 *403:A 7.29082e-05 -8 *94:64 *403:A 1.00887e-05 -9 *152:10 *403:A 0 -*RES -1 *371:Q *403:A 35.2429 -*END - -*D_NET *169 0.000989246 -*CONN -*I *402:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *372:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *402:A 0.000227016 -2 *372:Q 0.000227016 -3 *181:DIODE *402:A 0.000219289 -4 *343:A *402:A 1.96616e-05 -5 *363:D *402:A 1.83053e-05 -6 *371:CLK *402:A 6.94952e-05 -7 *371:RESET_B *402:A 5.90237e-05 -8 *10:21 *402:A 4.47273e-05 -9 *93:8 *402:A 0.000104713 -*RES -1 *372:Q *402:A 33.0464 -*END - -*D_NET *170 0.00150154 -*CONN -*I *401:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *373:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *401:A 0.000269894 -2 *373:Q 0.000269894 -3 *202:DIODE *401:A 4.58194e-05 -4 *347:A *401:A 5.69386e-05 -5 *365:D *401:A 0.000170438 -6 *422:A *401:A 1.32832e-05 -7 *13:31 *401:A 0.000139429 -8 *115:26 *401:A 0.000337306 -9 *150:7 *401:A 5.33005e-05 -10 *154:10 *401:A 0.000145239 -*RES -1 *373:Q *401:A 35.3857 -*END - -*D_NET *171 0.00181074 -*CONN -*I *400:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *374:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *400:A 0.000419313 -2 *374:Q 0.000419313 -3 pad_gpio_slow_sel *400:A 1.94945e-05 -4 serial_clock_out *400:A 0.000517723 -5 *322:B *400:A 7.69776e-06 -6 *343:A *400:A 8.55871e-05 -7 *358:SET_B *400:A 0.000205011 -8 *376:CLK *400:A 1.58163e-05 -9 *376:D *400:A 3.69047e-06 -10 *11:21 *400:A 1.02366e-05 -11 *13:31 *400:A 4.16331e-05 -12 *115:15 *400:A 6.52246e-05 -*RES -1 *374:Q *400:A 36.475 -*END - -*D_NET *172 0.00125306 -*CONN -*I *404:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *375:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *404:A 0.000249668 -2 *375:Q 0.000249668 -3 *178:DIODE *404:A 5.33005e-05 -4 *358:SET_B *404:A 5.96516e-05 -5 *1:27 *404:A 5.23577e-05 -6 *3:24 *404:A 0.000236967 -7 *65:12 *404:A 0.000351445 -*RES -1 *375:Q *404:A 34.0464 -*END - -*D_NET *173 0.00440582 -*CONN -*I *438:A I *D sky130_fd_sc_hd__buf_16 -*I *388:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 *438:A 0 -2 *388:LO 0.000631362 -3 *173:15 0.000631362 -4 *176:DIODE *173:15 0.000494996 -5 *208:DIODE *173:15 9.93918e-06 -6 *209:DIODE *173:15 0.000527647 -7 *397:A *173:15 7.02212e-05 -8 *417:A *173:15 0.000529339 -9 *419:A *173:15 9.84673e-05 -10 *433:A *173:15 0.00011317 -11 *4:27 *173:15 0.000618523 -12 *119:16 *173:15 0.000478091 -13 *122:15 *173:15 0 -14 *123:23 *173:15 0.000202697 -*RES -1 *388:LO *173:15 41.3 -2 *173:15 *438:A 9.3 -*END diff --git a/spef/gpio_defaults_block.spef b/spef/gpio_defaults_block.spef deleted file mode 100644 index e5c81061..00000000 --- a/spef/gpio_defaults_block.spef +++ /dev/null @@ -1,273 +0,0 @@ -*SPEF "ieee 1481-1999" -*DESIGN "gpio_defaults_block" -*DATE "11:11:11 Fri 11 11, 1111" -*VENDOR "OpenRCX" -*PROGRAM "Parallel Extraction" -*VERSION "1.0" -*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" -*DIVIDER / -*DELIMITER : -*BUS_DELIMITER [] -*T_UNIT 1 NS -*C_UNIT 1 PF -*R_UNIT 1 OHM -*L_UNIT 1 HENRY - -*NAME_MAP -*3 gpio_defaults_low\[0\] -*4 gpio_defaults_high\[10\] -*5 gpio_defaults_low\[11\] -*6 gpio_defaults_low\[12\] -*7 gpio_defaults_high\[1\] -*8 gpio_defaults_low\[2\] -*9 gpio_defaults_low\[3\] -*10 gpio_defaults_low\[4\] -*11 gpio_defaults_low\[5\] -*12 gpio_defaults_low\[6\] -*13 gpio_defaults_low\[7\] -*14 gpio_defaults_low\[8\] -*15 gpio_defaults_low\[9\] -*16 gpio_defaults_high\[0\] -*17 gpio_defaults_high\[11\] -*18 gpio_defaults_high\[12\] -*19 gpio_defaults_high\[2\] -*20 gpio_defaults_high\[3\] -*21 gpio_defaults_high\[4\] -*22 gpio_defaults_high\[5\] -*23 gpio_defaults_high\[6\] -*24 gpio_defaults_high\[7\] -*25 gpio_defaults_high\[8\] -*26 gpio_defaults_high\[9\] -*27 gpio_defaults_low\[10\] -*28 gpio_defaults_low\[1\] -*29 FILLER_0_29 -*30 FILLER_0_3 -*31 FILLER_0_33 -*32 FILLER_0_38 -*33 FILLER_0_43 -*34 FILLER_0_48 -*35 FILLER_0_55 -*36 FILLER_0_60 -*37 FILLER_0_9 -*38 FILLER_1_15 -*39 FILLER_1_27 -*40 FILLER_1_3 -*41 FILLER_1_39 -*42 FILLER_1_51 -*43 FILLER_1_55 -*44 FILLER_1_57 -*45 FILLER_1_61 -*46 FILLER_2_15 -*47 FILLER_2_27 -*48 FILLER_2_29 -*49 FILLER_2_3 -*50 FILLER_2_41 -*51 FILLER_2_53 -*52 FILLER_2_57 -*53 FILLER_2_61 -*54 PHY_0 -*55 PHY_1 -*56 PHY_2 -*57 PHY_3 -*58 PHY_4 -*59 PHY_5 -*60 TAP_10 -*61 TAP_6 -*62 TAP_7 -*63 TAP_8 -*64 TAP_9 -*65 gpio_default_value\[0\] -*66 gpio_default_value\[10\] -*67 gpio_default_value\[11\] -*68 gpio_default_value\[12\] -*69 gpio_default_value\[1\] -*70 gpio_default_value\[2\] -*71 gpio_default_value\[3\] -*72 gpio_default_value\[4\] -*73 gpio_default_value\[5\] -*74 gpio_default_value\[6\] -*75 gpio_default_value\[7\] -*76 gpio_default_value\[8\] -*77 gpio_default_value\[9\] - -*PORTS -gpio_defaults[0] O -gpio_defaults[10] O -gpio_defaults[11] O -gpio_defaults[12] O -gpio_defaults[1] O -gpio_defaults[2] O -gpio_defaults[3] O -gpio_defaults[4] O -gpio_defaults[5] O -gpio_defaults[6] O -gpio_defaults[7] O -gpio_defaults[8] O -gpio_defaults[9] O - -*D_NET *3 0.000662868 -*CONN -*P gpio_defaults[0] O -*I *65:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_defaults[0] 0.000295589 -2 *65:LO 0.000295589 -3 gpio_defaults[0] gpio_defaults[1] 7.16893e-05 -*RES -1 *65:LO gpio_defaults[0] 21.1394 -*END - -*D_NET *4 0.000169932 -*CONN -*P gpio_defaults[10] O -*I *66:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_defaults[10] 8.49658e-05 -2 *66:HI 8.49658e-05 -3 gpio_defaults[10] gpio_defaults[11] 0 -4 gpio_defaults[10] gpio_defaults[9] 0 -*RES -1 *66:HI gpio_defaults[10] 15.7033 -*END - -*D_NET *5 0.000230895 -*CONN -*P gpio_defaults[11] O -*I *67:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_defaults[11] 0.000115448 -2 *67:LO 0.000115448 -3 gpio_defaults[11] gpio_defaults[12] 0 -4 gpio_defaults[10] gpio_defaults[11] 0 -*RES -1 *67:LO gpio_defaults[11] 16.5338 -*END - -*D_NET *6 0.000822209 -*CONN -*P gpio_defaults[12] O -*I *68:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_defaults[12] 0.000411104 -2 *68:LO 0.000411104 -3 gpio_defaults[11] gpio_defaults[12] 0 -*RES -1 *68:LO gpio_defaults[12] 23.2185 -*END - -*D_NET *7 0.00071336 -*CONN -*P gpio_defaults[1] O -*I *69:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_defaults[1] 0.000307544 -2 *69:HI 0.000307544 -3 gpio_defaults[1] gpio_defaults[2] 2.65831e-05 -4 gpio_defaults[0] gpio_defaults[1] 7.16893e-05 -*RES -1 *69:HI gpio_defaults[1] 19.1997 -*END - -*D_NET *8 0.000464143 -*CONN -*P gpio_defaults[2] O -*I *70:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_defaults[2] 0.00021878 -2 *70:LO 0.00021878 -3 gpio_defaults[2] gpio_defaults[3] 0 -4 gpio_defaults[1] gpio_defaults[2] 2.65831e-05 -*RES -1 *70:LO gpio_defaults[2] 18.921 -*END - -*D_NET *9 0.000363376 -*CONN -*P gpio_defaults[3] O -*I *71:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_defaults[3] 0.000181688 -2 *71:LO 0.000181688 -3 gpio_defaults[3] gpio_defaults[4] 0 -4 gpio_defaults[2] gpio_defaults[3] 0 -*RES -1 *71:LO gpio_defaults[3] 17.8118 -*END - -*D_NET *10 0.000236028 -*CONN -*P gpio_defaults[4] O -*I *72:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_defaults[4] 0.000118014 -2 *72:LO 0.000118014 -3 gpio_defaults[4] gpio_defaults[5] 0 -4 gpio_defaults[3] gpio_defaults[4] 0 -*RES -1 *72:LO gpio_defaults[4] 16.5338 -*END - -*D_NET *11 0.000230895 -*CONN -*P gpio_defaults[5] O -*I *73:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_defaults[5] 0.000115448 -2 *73:LO 0.000115448 -3 gpio_defaults[5] gpio_defaults[6] 0 -4 gpio_defaults[4] gpio_defaults[5] 0 -*RES -1 *73:LO gpio_defaults[5] 16.5338 -*END - -*D_NET *12 0.000230895 -*CONN -*P gpio_defaults[6] O -*I *74:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_defaults[6] 0.000115448 -2 *74:LO 0.000115448 -3 gpio_defaults[6] gpio_defaults[7] 0 -4 gpio_defaults[5] gpio_defaults[6] 0 -*RES -1 *74:LO gpio_defaults[6] 16.5338 -*END - -*D_NET *13 0.00022764 -*CONN -*P gpio_defaults[7] O -*I *75:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_defaults[7] 0.00011382 -2 *75:LO 0.00011382 -3 gpio_defaults[7] gpio_defaults[8] 0 -4 gpio_defaults[6] gpio_defaults[7] 0 -*RES -1 *75:LO gpio_defaults[7] 16.5338 -*END - -*D_NET *14 0.000224385 -*CONN -*P gpio_defaults[8] O -*I *76:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_defaults[8] 0.000112192 -2 *76:LO 0.000112192 -3 gpio_defaults[8] gpio_defaults[9] 0 -4 gpio_defaults[7] gpio_defaults[8] 0 -*RES -1 *76:LO gpio_defaults[8] 16.5338 -*END - -*D_NET *15 0.00022764 -*CONN -*P gpio_defaults[9] O -*I *77:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_defaults[9] 0.00011382 -2 *77:LO 0.00011382 -3 gpio_defaults[10] gpio_defaults[9] 0 -4 gpio_defaults[8] gpio_defaults[9] 0 -*RES -1 *77:LO gpio_defaults[9] 16.5338 -*END diff --git a/spef/gpio_defaults_block_0403.spef b/spef/gpio_defaults_block_0403.spef deleted file mode 100644 index 6f889224..00000000 --- a/spef/gpio_defaults_block_0403.spef +++ /dev/null @@ -1,273 +0,0 @@ -*SPEF "ieee 1481-1999" -*DESIGN "gpio_defaults_block_0403" -*DATE "11:11:11 Fri 11 11, 1111" -*VENDOR "OpenRCX" -*PROGRAM "Parallel Extraction" -*VERSION "1.0" -*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" -*DIVIDER / -*DELIMITER : -*BUS_DELIMITER [] -*T_UNIT 1 NS -*C_UNIT 1 PF -*R_UNIT 1 OHM -*L_UNIT 1 HENRY - -*NAME_MAP -*3 gpio_defaults_low\[0\] -*4 gpio_defaults_high\[10\] -*5 gpio_defaults_low\[11\] -*6 gpio_defaults_low\[12\] -*7 gpio_defaults_high\[1\] -*8 gpio_defaults_low\[2\] -*9 gpio_defaults_low\[3\] -*10 gpio_defaults_low\[4\] -*11 gpio_defaults_low\[5\] -*12 gpio_defaults_low\[6\] -*13 gpio_defaults_low\[7\] -*14 gpio_defaults_low\[8\] -*15 gpio_defaults_low\[9\] -*16 gpio_defaults_high\[0\] -*17 gpio_defaults_high\[11\] -*18 gpio_defaults_high\[12\] -*19 gpio_defaults_high\[2\] -*20 gpio_defaults_high\[3\] -*21 gpio_defaults_high\[4\] -*22 gpio_defaults_high\[5\] -*23 gpio_defaults_high\[6\] -*24 gpio_defaults_high\[7\] -*25 gpio_defaults_high\[8\] -*26 gpio_defaults_high\[9\] -*27 gpio_defaults_low\[10\] -*28 gpio_defaults_low\[1\] -*29 FILLER_0_29 -*30 FILLER_0_3 -*31 FILLER_0_33 -*32 FILLER_0_38 -*33 FILLER_0_43 -*34 FILLER_0_48 -*35 FILLER_0_55 -*36 FILLER_0_60 -*37 FILLER_0_9 -*38 FILLER_1_15 -*39 FILLER_1_27 -*40 FILLER_1_3 -*41 FILLER_1_39 -*42 FILLER_1_51 -*43 FILLER_1_55 -*44 FILLER_1_57 -*45 FILLER_1_61 -*46 FILLER_2_15 -*47 FILLER_2_27 -*48 FILLER_2_29 -*49 FILLER_2_3 -*50 FILLER_2_41 -*51 FILLER_2_53 -*52 FILLER_2_57 -*53 FILLER_2_61 -*54 PHY_0 -*55 PHY_1 -*56 PHY_2 -*57 PHY_3 -*58 PHY_4 -*59 PHY_5 -*60 TAP_10 -*61 TAP_6 -*62 TAP_7 -*63 TAP_8 -*64 TAP_9 -*65 gpio_default_value\[0\] -*66 gpio_default_value\[10\] -*67 gpio_default_value\[11\] -*68 gpio_default_value\[12\] -*69 gpio_default_value\[1\] -*70 gpio_default_value\[2\] -*71 gpio_default_value\[3\] -*72 gpio_default_value\[4\] -*73 gpio_default_value\[5\] -*74 gpio_default_value\[6\] -*75 gpio_default_value\[7\] -*76 gpio_default_value\[8\] -*77 gpio_default_value\[9\] - -*PORTS -gpio_defaults[0] O -gpio_defaults[10] O -gpio_defaults[11] O -gpio_defaults[12] O -gpio_defaults[1] O -gpio_defaults[2] O -gpio_defaults[3] O -gpio_defaults[4] O -gpio_defaults[5] O -gpio_defaults[6] O -gpio_defaults[7] O -gpio_defaults[8] O -gpio_defaults[9] O - -*D_NET *3 0.000662868 -*CONN -*P gpio_defaults[0] O -*I *65:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_defaults[0] 0.000295589 -2 *65:LO 0.000295589 -3 gpio_defaults[0] gpio_defaults[1] 7.16893e-05 -*RES -1 *65:LO gpio_defaults[0] 21.1394 -*END - -*D_NET *4 0.000169932 -*CONN -*P gpio_defaults[10] O -*I *66:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_defaults[10] 8.49658e-05 -2 *66:HI 8.49658e-05 -3 gpio_defaults[10] gpio_defaults[11] 0 -4 gpio_defaults[10] gpio_defaults[9] 0 -*RES -1 *66:HI gpio_defaults[10] 15.7033 -*END - -*D_NET *5 0.000230895 -*CONN -*P gpio_defaults[11] O -*I *67:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_defaults[11] 0.000115448 -2 *67:LO 0.000115448 -3 gpio_defaults[11] gpio_defaults[12] 0 -4 gpio_defaults[10] gpio_defaults[11] 0 -*RES -1 *67:LO gpio_defaults[11] 16.5338 -*END - -*D_NET *6 0.000822209 -*CONN -*P gpio_defaults[12] O -*I *68:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_defaults[12] 0.000411104 -2 *68:LO 0.000411104 -3 gpio_defaults[11] gpio_defaults[12] 0 -*RES -1 *68:LO gpio_defaults[12] 23.2185 -*END - -*D_NET *7 0.00071336 -*CONN -*P gpio_defaults[1] O -*I *69:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_defaults[1] 0.000307544 -2 *69:HI 0.000307544 -3 gpio_defaults[1] gpio_defaults[2] 2.65831e-05 -4 gpio_defaults[0] gpio_defaults[1] 7.16893e-05 -*RES -1 *69:HI gpio_defaults[1] 19.1997 -*END - -*D_NET *8 0.000464143 -*CONN -*P gpio_defaults[2] O -*I *70:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_defaults[2] 0.00021878 -2 *70:LO 0.00021878 -3 gpio_defaults[2] gpio_defaults[3] 0 -4 gpio_defaults[1] gpio_defaults[2] 2.65831e-05 -*RES -1 *70:LO gpio_defaults[2] 18.921 -*END - -*D_NET *9 0.000363376 -*CONN -*P gpio_defaults[3] O -*I *71:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_defaults[3] 0.000181688 -2 *71:LO 0.000181688 -3 gpio_defaults[3] gpio_defaults[4] 0 -4 gpio_defaults[2] gpio_defaults[3] 0 -*RES -1 *71:LO gpio_defaults[3] 17.8118 -*END - -*D_NET *10 0.000236028 -*CONN -*P gpio_defaults[4] O -*I *72:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_defaults[4] 0.000118014 -2 *72:LO 0.000118014 -3 gpio_defaults[4] gpio_defaults[5] 0 -4 gpio_defaults[3] gpio_defaults[4] 0 -*RES -1 *72:LO gpio_defaults[4] 16.5338 -*END - -*D_NET *11 0.000230895 -*CONN -*P gpio_defaults[5] O -*I *73:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_defaults[5] 0.000115448 -2 *73:LO 0.000115448 -3 gpio_defaults[5] gpio_defaults[6] 0 -4 gpio_defaults[4] gpio_defaults[5] 0 -*RES -1 *73:LO gpio_defaults[5] 16.5338 -*END - -*D_NET *12 0.000230895 -*CONN -*P gpio_defaults[6] O -*I *74:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_defaults[6] 0.000115448 -2 *74:LO 0.000115448 -3 gpio_defaults[6] gpio_defaults[7] 0 -4 gpio_defaults[5] gpio_defaults[6] 0 -*RES -1 *74:LO gpio_defaults[6] 16.5338 -*END - -*D_NET *13 0.00022764 -*CONN -*P gpio_defaults[7] O -*I *75:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_defaults[7] 0.00011382 -2 *75:LO 0.00011382 -3 gpio_defaults[7] gpio_defaults[8] 0 -4 gpio_defaults[6] gpio_defaults[7] 0 -*RES -1 *75:LO gpio_defaults[7] 16.5338 -*END - -*D_NET *14 0.000224385 -*CONN -*P gpio_defaults[8] O -*I *76:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_defaults[8] 0.000112192 -2 *76:LO 0.000112192 -3 gpio_defaults[8] gpio_defaults[9] 0 -4 gpio_defaults[7] gpio_defaults[8] 0 -*RES -1 *76:LO gpio_defaults[8] 16.5338 -*END - -*D_NET *15 0.00022764 -*CONN -*P gpio_defaults[9] O -*I *77:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_defaults[9] 0.00011382 -2 *77:LO 0.00011382 -3 gpio_defaults[10] gpio_defaults[9] 0 -4 gpio_defaults[8] gpio_defaults[9] 0 -*RES -1 *77:LO gpio_defaults[9] 16.5338 -*END diff --git a/spef/gpio_defaults_block_1803.spef b/spef/gpio_defaults_block_1803.spef deleted file mode 100644 index af2628ff..00000000 --- a/spef/gpio_defaults_block_1803.spef +++ /dev/null @@ -1,273 +0,0 @@ -*SPEF "ieee 1481-1999" -*DESIGN "gpio_defaults_block_1803" -*DATE "11:11:11 Fri 11 11, 1111" -*VENDOR "OpenRCX" -*PROGRAM "Parallel Extraction" -*VERSION "1.0" -*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" -*DIVIDER / -*DELIMITER : -*BUS_DELIMITER [] -*T_UNIT 1 NS -*C_UNIT 1 PF -*R_UNIT 1 OHM -*L_UNIT 1 HENRY - -*NAME_MAP -*3 gpio_defaults_low\[0\] -*4 gpio_defaults_high\[10\] -*5 gpio_defaults_low\[11\] -*6 gpio_defaults_low\[12\] -*7 gpio_defaults_high\[1\] -*8 gpio_defaults_low\[2\] -*9 gpio_defaults_low\[3\] -*10 gpio_defaults_low\[4\] -*11 gpio_defaults_low\[5\] -*12 gpio_defaults_low\[6\] -*13 gpio_defaults_low\[7\] -*14 gpio_defaults_low\[8\] -*15 gpio_defaults_low\[9\] -*16 gpio_defaults_high\[0\] -*17 gpio_defaults_high\[11\] -*18 gpio_defaults_high\[12\] -*19 gpio_defaults_high\[2\] -*20 gpio_defaults_high\[3\] -*21 gpio_defaults_high\[4\] -*22 gpio_defaults_high\[5\] -*23 gpio_defaults_high\[6\] -*24 gpio_defaults_high\[7\] -*25 gpio_defaults_high\[8\] -*26 gpio_defaults_high\[9\] -*27 gpio_defaults_low\[10\] -*28 gpio_defaults_low\[1\] -*29 FILLER_0_29 -*30 FILLER_0_3 -*31 FILLER_0_33 -*32 FILLER_0_38 -*33 FILLER_0_43 -*34 FILLER_0_48 -*35 FILLER_0_55 -*36 FILLER_0_60 -*37 FILLER_0_9 -*38 FILLER_1_15 -*39 FILLER_1_27 -*40 FILLER_1_3 -*41 FILLER_1_39 -*42 FILLER_1_51 -*43 FILLER_1_55 -*44 FILLER_1_57 -*45 FILLER_1_61 -*46 FILLER_2_15 -*47 FILLER_2_27 -*48 FILLER_2_29 -*49 FILLER_2_3 -*50 FILLER_2_41 -*51 FILLER_2_53 -*52 FILLER_2_57 -*53 FILLER_2_61 -*54 PHY_0 -*55 PHY_1 -*56 PHY_2 -*57 PHY_3 -*58 PHY_4 -*59 PHY_5 -*60 TAP_10 -*61 TAP_6 -*62 TAP_7 -*63 TAP_8 -*64 TAP_9 -*65 gpio_default_value\[0\] -*66 gpio_default_value\[10\] -*67 gpio_default_value\[11\] -*68 gpio_default_value\[12\] -*69 gpio_default_value\[1\] -*70 gpio_default_value\[2\] -*71 gpio_default_value\[3\] -*72 gpio_default_value\[4\] -*73 gpio_default_value\[5\] -*74 gpio_default_value\[6\] -*75 gpio_default_value\[7\] -*76 gpio_default_value\[8\] -*77 gpio_default_value\[9\] - -*PORTS -gpio_defaults[0] O -gpio_defaults[10] O -gpio_defaults[11] O -gpio_defaults[12] O -gpio_defaults[1] O -gpio_defaults[2] O -gpio_defaults[3] O -gpio_defaults[4] O -gpio_defaults[5] O -gpio_defaults[6] O -gpio_defaults[7] O -gpio_defaults[8] O -gpio_defaults[9] O - -*D_NET *3 0.000662868 -*CONN -*P gpio_defaults[0] O -*I *65:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_defaults[0] 0.000295589 -2 *65:LO 0.000295589 -3 gpio_defaults[0] gpio_defaults[1] 7.16893e-05 -*RES -1 *65:LO gpio_defaults[0] 21.1394 -*END - -*D_NET *4 0.000169932 -*CONN -*P gpio_defaults[10] O -*I *66:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_defaults[10] 8.49658e-05 -2 *66:HI 8.49658e-05 -3 gpio_defaults[10] gpio_defaults[11] 0 -4 gpio_defaults[10] gpio_defaults[9] 0 -*RES -1 *66:HI gpio_defaults[10] 15.7033 -*END - -*D_NET *5 0.000230895 -*CONN -*P gpio_defaults[11] O -*I *67:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_defaults[11] 0.000115448 -2 *67:LO 0.000115448 -3 gpio_defaults[11] gpio_defaults[12] 0 -4 gpio_defaults[10] gpio_defaults[11] 0 -*RES -1 *67:LO gpio_defaults[11] 16.5338 -*END - -*D_NET *6 0.000822209 -*CONN -*P gpio_defaults[12] O -*I *68:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_defaults[12] 0.000411104 -2 *68:LO 0.000411104 -3 gpio_defaults[11] gpio_defaults[12] 0 -*RES -1 *68:LO gpio_defaults[12] 23.2185 -*END - -*D_NET *7 0.00071336 -*CONN -*P gpio_defaults[1] O -*I *69:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_defaults[1] 0.000307544 -2 *69:HI 0.000307544 -3 gpio_defaults[1] gpio_defaults[2] 2.65831e-05 -4 gpio_defaults[0] gpio_defaults[1] 7.16893e-05 -*RES -1 *69:HI gpio_defaults[1] 19.1997 -*END - -*D_NET *8 0.000464143 -*CONN -*P gpio_defaults[2] O -*I *70:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_defaults[2] 0.00021878 -2 *70:LO 0.00021878 -3 gpio_defaults[2] gpio_defaults[3] 0 -4 gpio_defaults[1] gpio_defaults[2] 2.65831e-05 -*RES -1 *70:LO gpio_defaults[2] 18.921 -*END - -*D_NET *9 0.000363376 -*CONN -*P gpio_defaults[3] O -*I *71:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_defaults[3] 0.000181688 -2 *71:LO 0.000181688 -3 gpio_defaults[3] gpio_defaults[4] 0 -4 gpio_defaults[2] gpio_defaults[3] 0 -*RES -1 *71:LO gpio_defaults[3] 17.8118 -*END - -*D_NET *10 0.000236028 -*CONN -*P gpio_defaults[4] O -*I *72:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_defaults[4] 0.000118014 -2 *72:LO 0.000118014 -3 gpio_defaults[4] gpio_defaults[5] 0 -4 gpio_defaults[3] gpio_defaults[4] 0 -*RES -1 *72:LO gpio_defaults[4] 16.5338 -*END - -*D_NET *11 0.000230895 -*CONN -*P gpio_defaults[5] O -*I *73:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_defaults[5] 0.000115448 -2 *73:LO 0.000115448 -3 gpio_defaults[5] gpio_defaults[6] 0 -4 gpio_defaults[4] gpio_defaults[5] 0 -*RES -1 *73:LO gpio_defaults[5] 16.5338 -*END - -*D_NET *12 0.000230895 -*CONN -*P gpio_defaults[6] O -*I *74:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_defaults[6] 0.000115448 -2 *74:LO 0.000115448 -3 gpio_defaults[6] gpio_defaults[7] 0 -4 gpio_defaults[5] gpio_defaults[6] 0 -*RES -1 *74:LO gpio_defaults[6] 16.5338 -*END - -*D_NET *13 0.00022764 -*CONN -*P gpio_defaults[7] O -*I *75:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_defaults[7] 0.00011382 -2 *75:LO 0.00011382 -3 gpio_defaults[7] gpio_defaults[8] 0 -4 gpio_defaults[6] gpio_defaults[7] 0 -*RES -1 *75:LO gpio_defaults[7] 16.5338 -*END - -*D_NET *14 0.000224385 -*CONN -*P gpio_defaults[8] O -*I *76:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_defaults[8] 0.000112192 -2 *76:LO 0.000112192 -3 gpio_defaults[8] gpio_defaults[9] 0 -4 gpio_defaults[7] gpio_defaults[8] 0 -*RES -1 *76:LO gpio_defaults[8] 16.5338 -*END - -*D_NET *15 0.00022764 -*CONN -*P gpio_defaults[9] O -*I *77:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_defaults[9] 0.00011382 -2 *77:LO 0.00011382 -3 gpio_defaults[10] gpio_defaults[9] 0 -4 gpio_defaults[8] gpio_defaults[9] 0 -*RES -1 *77:LO gpio_defaults[9] 16.5338 -*END diff --git a/spef/gpio_logic_high.spef b/spef/gpio_logic_high.spef deleted file mode 100644 index e0950e41..00000000 --- a/spef/gpio_logic_high.spef +++ /dev/null @@ -1,57 +0,0 @@ -*SPEF "ieee 1481-1999" -*DESIGN "gpio_logic_high" -*DATE "11:11:11 Fri 11 11, 1111" -*VENDOR "OpenRCX" -*PROGRAM "Parallel Extraction" -*VERSION "1.0" -*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" -*DIVIDER / -*DELIMITER : -*BUS_DELIMITER [] -*T_UNIT 1 NS -*C_UNIT 1 PF -*R_UNIT 1 OHM -*L_UNIT 1 HENRY - -*NAME_MAP -*1 gpio_logic1 -*2 FILLER_0_3 -*3 FILLER_0_7 -*4 FILLER_0_9 -*5 FILLER_1_11 -*6 FILLER_1_3 -*7 FILLER_2_3 -*8 FILLER_2_7 -*9 FILLER_2_9 -*10 FILLER_3_3 -*11 FILLER_4_3 -*12 FILLER_4_7 -*13 FILLER_4_9 -*14 PHY_0 -*15 PHY_1 -*16 PHY_2 -*17 PHY_3 -*18 PHY_4 -*19 PHY_5 -*20 PHY_6 -*21 PHY_7 -*22 PHY_8 -*23 PHY_9 -*24 TAP_10 -*25 TAP_11 -*26 TAP_12 -*27 gpio_logic_high - -*PORTS -gpio_logic1 O - -*D_NET *1 0.000513616 -*CONN -*P gpio_logic1 O -*I *27:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 gpio_logic1 0.000256808 -2 *27:HI 0.000256808 -*RES -1 *27:HI gpio_logic1 21.9631 -*END diff --git a/spef/housekeeping.spef b/spef/housekeeping.spef deleted file mode 100644 index 954d952b..00000000 --- a/spef/housekeeping.spef +++ /dev/null @@ -1,372624 +0,0 @@ -*SPEF "ieee 1481-1999" -*DESIGN "housekeeping" -*DATE "11:11:11 Fri 11 11, 1111" -*VENDOR "OpenRCX" -*PROGRAM "Parallel Extraction" -*VERSION "1.0" -*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" -*DIVIDER / -*DELIMITER : -*BUS_DELIMITER [] -*T_UNIT 1 NS -*C_UNIT 1 PF -*R_UNIT 1 OHM -*L_UNIT 1 HENRY - -*NAME_MAP -*3 debug_in -*4 debug_mode -*5 debug_oeb -*6 debug_out -*7 irq[0] -*8 irq[1] -*9 irq[2] -*10 mask_rev_in[0] -*11 mask_rev_in[10] -*12 mask_rev_in[11] -*13 mask_rev_in[12] -*14 mask_rev_in[13] -*15 mask_rev_in[14] -*16 mask_rev_in[15] -*17 mask_rev_in[16] -*18 mask_rev_in[17] -*19 mask_rev_in[18] -*20 mask_rev_in[19] -*21 mask_rev_in[1] -*22 mask_rev_in[20] -*23 mask_rev_in[21] -*24 mask_rev_in[22] -*25 mask_rev_in[23] -*26 mask_rev_in[24] -*27 mask_rev_in[25] -*28 mask_rev_in[26] -*29 mask_rev_in[27] -*30 mask_rev_in[28] -*31 mask_rev_in[29] -*32 mask_rev_in[2] -*33 mask_rev_in[30] -*34 mask_rev_in[31] -*35 mask_rev_in[3] -*36 mask_rev_in[4] -*37 mask_rev_in[5] -*38 mask_rev_in[6] -*39 mask_rev_in[7] -*40 mask_rev_in[8] -*41 mask_rev_in[9] -*42 mgmt_gpio_in[0] -*43 mgmt_gpio_in[10] -*44 mgmt_gpio_in[11] -*45 mgmt_gpio_in[12] -*46 mgmt_gpio_in[13] -*47 mgmt_gpio_in[14] -*48 mgmt_gpio_in[15] -*49 mgmt_gpio_in[16] -*50 mgmt_gpio_in[17] -*51 mgmt_gpio_in[18] -*52 mgmt_gpio_in[19] -*53 mgmt_gpio_in[1] -*54 mgmt_gpio_in[20] -*55 mgmt_gpio_in[21] -*56 mgmt_gpio_in[22] -*57 mgmt_gpio_in[23] -*58 mgmt_gpio_in[24] -*59 mgmt_gpio_in[25] -*60 mgmt_gpio_in[26] -*61 mgmt_gpio_in[27] -*62 mgmt_gpio_in[28] -*63 mgmt_gpio_in[29] -*64 mgmt_gpio_in[2] -*65 mgmt_gpio_in[30] -*66 mgmt_gpio_in[31] -*67 mgmt_gpio_in[32] -*68 mgmt_gpio_in[33] -*69 mgmt_gpio_in[34] -*70 mgmt_gpio_in[35] -*71 mgmt_gpio_in[36] -*72 mgmt_gpio_in[37] -*73 mgmt_gpio_in[3] -*74 mgmt_gpio_in[4] -*75 mgmt_gpio_in[5] -*76 mgmt_gpio_in[6] -*77 mgmt_gpio_in[7] -*78 mgmt_gpio_in[8] -*79 mgmt_gpio_in[9] -*80 mgmt_gpio_oeb[0] -*81 mgmt_gpio_oeb[10] -*82 mgmt_gpio_oeb[11] -*83 mgmt_gpio_oeb[12] -*84 mgmt_gpio_oeb[13] -*85 mgmt_gpio_oeb[14] -*86 mgmt_gpio_oeb[15] -*87 mgmt_gpio_oeb[16] -*88 mgmt_gpio_oeb[17] -*89 mgmt_gpio_oeb[18] -*90 mgmt_gpio_oeb[19] -*91 mgmt_gpio_oeb[1] -*92 mgmt_gpio_oeb[20] -*93 mgmt_gpio_oeb[21] -*94 mgmt_gpio_oeb[22] -*95 mgmt_gpio_oeb[23] -*96 mgmt_gpio_oeb[24] -*97 mgmt_gpio_oeb[25] -*98 mgmt_gpio_oeb[26] -*99 mgmt_gpio_oeb[27] -*100 mgmt_gpio_oeb[28] -*101 mgmt_gpio_oeb[29] -*102 mgmt_gpio_oeb[2] -*103 mgmt_gpio_oeb[30] -*104 mgmt_gpio_oeb[31] -*105 mgmt_gpio_oeb[32] -*106 mgmt_gpio_oeb[33] -*107 mgmt_gpio_oeb[34] -*108 mgmt_gpio_oeb[35] -*109 mgmt_gpio_oeb[36] -*110 mgmt_gpio_oeb[37] -*111 mgmt_gpio_oeb[3] -*112 mgmt_gpio_oeb[4] -*113 mgmt_gpio_oeb[5] -*114 mgmt_gpio_oeb[6] -*115 mgmt_gpio_oeb[7] -*116 mgmt_gpio_oeb[8] -*117 mgmt_gpio_oeb[9] -*118 mgmt_gpio_out[0] -*119 mgmt_gpio_out[10] -*120 mgmt_gpio_out[11] -*121 mgmt_gpio_out[12] -*122 mgmt_gpio_out[13] -*123 mgmt_gpio_out[14] -*124 mgmt_gpio_out[15] -*125 mgmt_gpio_out[16] -*126 mgmt_gpio_out[17] -*127 mgmt_gpio_out[18] -*128 mgmt_gpio_out[19] -*129 mgmt_gpio_out[1] -*130 mgmt_gpio_out[20] -*131 mgmt_gpio_out[21] -*132 mgmt_gpio_out[22] -*133 mgmt_gpio_out[23] -*134 mgmt_gpio_out[24] -*135 mgmt_gpio_out[25] -*136 mgmt_gpio_out[26] -*137 mgmt_gpio_out[27] -*138 mgmt_gpio_out[28] -*139 mgmt_gpio_out[29] -*140 mgmt_gpio_out[2] -*141 mgmt_gpio_out[30] -*142 mgmt_gpio_out[31] -*143 mgmt_gpio_out[32] -*144 mgmt_gpio_out[33] -*145 mgmt_gpio_out[34] -*146 mgmt_gpio_out[35] -*147 mgmt_gpio_out[36] -*148 mgmt_gpio_out[37] -*149 mgmt_gpio_out[3] -*150 mgmt_gpio_out[4] -*151 mgmt_gpio_out[5] -*152 mgmt_gpio_out[6] -*153 mgmt_gpio_out[7] -*154 mgmt_gpio_out[8] -*155 mgmt_gpio_out[9] -*156 pad_flash_clk -*157 pad_flash_clk_oeb -*158 pad_flash_csb -*159 pad_flash_csb_oeb -*160 pad_flash_io0_di -*161 pad_flash_io0_do -*162 pad_flash_io0_ieb -*163 pad_flash_io0_oeb -*164 pad_flash_io1_di -*165 pad_flash_io1_do -*166 pad_flash_io1_ieb -*167 pad_flash_io1_oeb -*168 pll90_sel[0] -*169 pll90_sel[1] -*170 pll90_sel[2] -*171 pll_bypass -*172 pll_dco_ena -*173 pll_div[0] -*174 pll_div[1] -*175 pll_div[2] -*176 pll_div[3] -*177 pll_div[4] -*178 pll_ena -*179 pll_sel[0] -*180 pll_sel[1] -*181 pll_sel[2] -*182 pll_trim[0] -*183 pll_trim[10] -*184 pll_trim[11] -*185 pll_trim[12] -*186 pll_trim[13] -*187 pll_trim[14] -*188 pll_trim[15] -*189 pll_trim[16] -*190 pll_trim[17] -*191 pll_trim[18] -*192 pll_trim[19] -*193 pll_trim[1] -*194 pll_trim[20] -*195 pll_trim[21] -*196 pll_trim[22] -*197 pll_trim[23] -*198 pll_trim[24] -*199 pll_trim[25] -*200 pll_trim[2] -*201 pll_trim[3] -*202 pll_trim[4] -*203 pll_trim[5] -*204 pll_trim[6] -*205 pll_trim[7] -*206 pll_trim[8] -*207 pll_trim[9] -*208 porb -*209 pwr_ctrl_out[0] -*210 pwr_ctrl_out[1] -*211 pwr_ctrl_out[2] -*212 pwr_ctrl_out[3] -*213 qspi_enabled -*214 reset -*215 ser_rx -*216 ser_tx -*217 serial_clock -*218 serial_data_1 -*219 serial_data_2 -*220 serial_load -*221 serial_resetn -*222 spi_csb -*223 spi_enabled -*224 spi_sck -*225 spi_sdi -*226 spi_sdo -*227 spi_sdoenb -*228 spimemio_flash_clk -*229 spimemio_flash_csb -*230 spimemio_flash_io0_di -*231 spimemio_flash_io0_do -*232 spimemio_flash_io0_oeb -*233 spimemio_flash_io1_di -*234 spimemio_flash_io1_do -*235 spimemio_flash_io1_oeb -*236 spimemio_flash_io2_di -*237 spimemio_flash_io2_do -*238 spimemio_flash_io2_oeb -*239 spimemio_flash_io3_di -*240 spimemio_flash_io3_do -*241 spimemio_flash_io3_oeb -*242 trap -*243 uart_enabled -*244 user_clock -*245 usr1_vcc_pwrgood -*246 usr1_vdd_pwrgood -*247 usr2_vcc_pwrgood -*248 usr2_vdd_pwrgood -*249 wb_ack_o -*250 wb_adr_i[0] -*251 wb_adr_i[10] -*252 wb_adr_i[11] -*253 wb_adr_i[12] -*254 wb_adr_i[13] -*255 wb_adr_i[14] -*256 wb_adr_i[15] -*257 wb_adr_i[16] -*258 wb_adr_i[17] -*259 wb_adr_i[18] -*260 wb_adr_i[19] -*261 wb_adr_i[1] -*262 wb_adr_i[20] -*263 wb_adr_i[21] -*264 wb_adr_i[22] -*265 wb_adr_i[23] -*266 wb_adr_i[24] -*267 wb_adr_i[25] -*268 wb_adr_i[26] -*269 wb_adr_i[27] -*270 wb_adr_i[28] -*271 wb_adr_i[29] -*272 wb_adr_i[2] -*273 wb_adr_i[30] -*274 wb_adr_i[31] -*275 wb_adr_i[3] -*276 wb_adr_i[4] -*277 wb_adr_i[5] -*278 wb_adr_i[6] -*279 wb_adr_i[7] -*280 wb_adr_i[8] -*281 wb_adr_i[9] -*282 wb_clk_i -*283 wb_cyc_i -*284 wb_dat_i[0] -*285 wb_dat_i[10] -*286 wb_dat_i[11] -*287 wb_dat_i[12] -*288 wb_dat_i[13] -*289 wb_dat_i[14] -*290 wb_dat_i[15] -*291 wb_dat_i[16] -*292 wb_dat_i[17] -*293 wb_dat_i[18] -*294 wb_dat_i[19] -*295 wb_dat_i[1] -*296 wb_dat_i[20] -*297 wb_dat_i[21] -*298 wb_dat_i[22] -*299 wb_dat_i[23] -*300 wb_dat_i[24] -*301 wb_dat_i[25] -*302 wb_dat_i[26] -*303 wb_dat_i[27] -*304 wb_dat_i[28] -*305 wb_dat_i[29] -*306 wb_dat_i[2] -*307 wb_dat_i[30] -*308 wb_dat_i[31] -*309 wb_dat_i[3] -*310 wb_dat_i[4] -*311 wb_dat_i[5] -*312 wb_dat_i[6] -*313 wb_dat_i[7] -*314 wb_dat_i[8] -*315 wb_dat_i[9] -*316 wb_dat_o[0] -*317 wb_dat_o[10] -*318 wb_dat_o[11] -*319 wb_dat_o[12] -*320 wb_dat_o[13] -*321 wb_dat_o[14] -*322 wb_dat_o[15] -*323 wb_dat_o[16] -*324 wb_dat_o[17] -*325 wb_dat_o[18] -*326 wb_dat_o[19] -*327 wb_dat_o[1] -*328 wb_dat_o[20] -*329 wb_dat_o[21] -*330 wb_dat_o[22] -*331 wb_dat_o[23] -*332 wb_dat_o[24] -*333 wb_dat_o[25] -*334 wb_dat_o[26] -*335 wb_dat_o[27] -*336 wb_dat_o[28] -*337 wb_dat_o[29] -*338 wb_dat_o[2] -*339 wb_dat_o[30] -*340 wb_dat_o[31] -*341 wb_dat_o[3] -*342 wb_dat_o[4] -*343 wb_dat_o[5] -*344 wb_dat_o[6] -*345 wb_dat_o[7] -*346 wb_dat_o[8] -*347 wb_dat_o[9] -*348 wb_rstn_i -*349 wb_sel_i[0] -*350 wb_sel_i[1] -*351 wb_sel_i[2] -*352 wb_sel_i[3] -*353 wb_stb_i -*354 wb_we_i -*355 _0000_ -*356 _0001_ -*357 _0002_ -*358 _0003_ -*359 _0004_ -*360 _0005_ -*361 _0006_ -*362 _0007_ -*363 _0008_ -*364 _0009_ -*365 _0010_ -*366 _0011_ -*367 _0012_ -*368 _0013_ -*369 _0014_ -*370 _0015_ -*371 _0016_ -*372 _0017_ -*373 _0018_ -*374 _0019_ -*375 _0020_ -*376 _0022_ -*377 _0023_ -*378 _0024_ -*379 _0025_ -*380 _0026_ -*381 _0027_ -*382 _0028_ -*383 _0029_ -*384 _0030_ -*385 _0031_ -*386 _0032_ -*387 _0033_ -*388 _0034_ -*389 _0035_ -*390 _0036_ -*391 _0037_ -*392 _0038_ -*393 _0039_ -*394 _0040_ -*395 _0041_ -*396 _0042_ -*397 _0043_ -*398 _0044_ -*399 _0045_ -*400 _0046_ -*401 _0047_ -*402 _0048_ -*403 _0049_ -*404 _0050_ -*405 _0051_ -*406 _0052_ -*407 _0053_ -*408 _0054_ -*409 _0055_ -*410 _0056_ -*411 _0057_ -*412 _0058_ -*413 _0059_ -*414 _0060_ -*415 _0061_ -*416 _0062_ -*417 _0063_ -*418 _0064_ -*419 _0065_ -*420 _0066_ -*421 _0067_ -*422 _0068_ -*423 _0069_ -*424 _0070_ -*425 _0071_ -*426 _0072_ -*427 _0073_ -*428 _0074_ -*429 _0075_ -*430 _0076_ -*431 _0077_ -*432 _0078_ -*433 _0079_ -*434 _0080_ -*435 _0081_ -*436 _0082_ -*437 _0083_ -*438 _0084_ -*439 _0085_ -*440 _0086_ -*441 _0087_ -*442 _0088_ -*443 _0089_ -*444 _0090_ -*445 _0091_ -*446 _0092_ -*447 _0093_ -*448 _0094_ -*449 _0095_ -*450 _0096_ -*451 _0097_ -*452 _0098_ -*453 _0099_ -*454 _0100_ -*455 _0101_ -*456 _0102_ -*457 _0103_ -*458 _0104_ -*459 _0105_ -*460 _0106_ -*461 _0107_ -*462 _0108_ -*463 _0109_ -*464 _0110_ -*465 _0111_ -*466 _0112_ -*467 _0113_ -*468 _0114_ -*469 _0115_ -*470 _0116_ -*471 _0117_ -*472 _0118_ -*473 _0119_ -*474 _0120_ -*475 _0121_ -*476 _0122_ -*477 _0123_ -*478 _0124_ -*479 _0125_ -*480 _0126_ -*481 _0127_ -*482 _0128_ -*483 _0129_ -*484 _0130_ -*485 _0131_ -*486 _0132_ -*487 _0133_ -*488 _0134_ -*489 _0135_ -*490 _0136_ -*491 _0137_ -*492 _0138_ -*493 _0139_ -*494 _0140_ -*495 _0141_ -*496 _0142_ -*497 _0143_ -*498 _0144_ -*499 _0145_ -*500 _0146_ -*501 _0147_ -*502 _0148_ -*503 _0149_ -*504 _0150_ -*505 _0151_ -*506 _0152_ -*507 _0153_ -*508 _0154_ -*509 _0155_ -*510 _0156_ -*511 _0157_ -*512 _0158_ -*513 _0159_ -*514 _0160_ -*515 _0161_ -*516 _0162_ -*517 _0163_ -*518 _0164_ -*519 _0165_ -*520 _0166_ -*521 _0167_ -*522 _0168_ -*523 _0169_ -*524 _0170_ -*525 _0171_ -*526 _0172_ -*527 _0173_ -*528 _0174_ -*529 _0175_ -*530 _0176_ -*531 _0177_ -*532 _0178_ -*533 _0179_ -*534 _0180_ -*535 _0181_ -*536 _0182_ -*537 _0183_ -*538 _0184_ -*539 _0185_ -*540 _0186_ -*541 _0187_ -*542 _0188_ -*543 _0189_ -*544 _0190_ -*545 _0191_ -*546 _0192_ -*547 _0193_ -*548 _0194_ -*549 _0195_ -*550 _0196_ -*551 _0197_ -*552 _0198_ -*553 _0199_ -*554 _0200_ -*555 _0201_ -*556 _0202_ -*557 _0203_ -*558 _0204_ -*559 _0205_ -*560 _0206_ -*561 _0207_ -*562 _0208_ -*563 _0209_ -*564 _0210_ -*565 _0211_ -*566 _0212_ -*567 _0213_ -*568 _0214_ -*569 _0215_ -*570 _0216_ -*571 _0217_ -*572 _0218_ -*573 _0219_ -*574 _0220_ -*575 _0221_ -*576 _0222_ -*577 _0223_ -*578 _0224_ -*579 _0225_ -*580 _0226_ -*581 _0227_ -*582 _0228_ -*583 _0229_ -*584 _0230_ -*585 _0231_ -*586 _0232_ -*587 _0233_ -*588 _0234_ -*589 _0235_ -*590 _0236_ -*591 _0237_ -*592 _0238_ -*593 _0239_ -*594 _0240_ -*595 _0241_ -*596 _0242_ -*597 _0243_ -*598 _0244_ -*599 _0245_ -*600 _0246_ -*601 _0247_ -*602 _0248_ -*603 _0249_ -*604 _0250_ -*605 _0251_ -*606 _0252_ -*607 _0253_ -*608 _0254_ -*609 _0255_ -*610 _0256_ -*611 _0257_ -*612 _0258_ -*613 _0259_ -*614 _0260_ -*615 _0261_ -*616 _0262_ -*617 _0263_ -*618 _0264_ -*619 _0265_ -*620 _0266_ -*621 _0267_ -*622 _0268_ -*623 _0269_ -*624 _0270_ -*625 _0271_ -*626 _0272_ -*627 _0273_ -*628 _0274_ -*629 _0275_ -*630 _0276_ -*631 _0277_ -*632 _0278_ -*633 _0279_ -*634 _0280_ -*635 _0281_ -*636 _0282_ -*637 _0283_ -*638 _0284_ -*639 _0285_ -*640 _0286_ -*641 _0287_ -*642 _0288_ -*643 _0289_ -*644 _0290_ -*645 _0291_ -*646 _0292_ -*647 _0293_ -*648 _0294_ -*649 _0295_ -*650 _0296_ -*651 _0297_ -*652 _0298_ -*653 _0299_ -*654 _0300_ -*655 _0301_ -*656 _0302_ -*657 _0303_ -*658 _0304_ -*659 _0305_ -*660 _0306_ -*661 _0307_ -*662 _0308_ -*663 _0309_ -*664 _0310_ -*665 _0311_ -*666 _0312_ -*667 _0313_ -*668 _0314_ -*669 _0315_ -*670 _0316_ -*671 _0317_ -*672 _0318_ -*673 _0319_ -*674 _0320_ -*675 _0321_ -*676 _0322_ -*677 _0323_ -*678 _0324_ -*679 _0325_ -*680 _0326_ -*681 _0327_ -*682 _0328_ -*683 _0329_ -*684 _0330_ -*685 _0331_ -*686 _0332_ -*687 _0333_ -*688 _0334_ -*689 _0335_ -*690 _0336_ -*691 _0337_ -*692 _0338_ -*693 _0339_ -*694 _0340_ -*695 _0341_ -*696 _0342_ -*697 _0343_ -*698 _0344_ -*699 _0345_ -*700 _0346_ -*701 _0347_ -*702 _0348_ -*703 _0349_ -*704 _0350_ -*705 _0351_ -*706 _0352_ -*707 _0353_ -*708 _0354_ -*709 _0355_ -*710 _0356_ -*711 _0357_ -*712 _0358_ -*713 _0359_ -*714 _0360_ -*715 _0361_ -*716 _0362_ -*717 _0363_ -*718 _0364_ -*719 _0365_ -*720 _0366_ -*721 _0367_ -*722 _0368_ -*723 _0369_ -*724 _0370_ -*725 _0371_ -*726 _0372_ -*727 _0373_ -*728 _0374_ -*729 _0375_ -*730 _0376_ -*731 _0377_ -*732 _0378_ -*733 _0379_ -*734 _0380_ -*735 _0381_ -*736 _0382_ -*737 _0383_ -*738 _0384_ -*739 _0385_ -*740 _0386_ -*741 _0387_ -*742 _0388_ -*743 _0389_ -*744 _0390_ -*745 _0391_ -*746 _0392_ -*747 _0393_ -*748 _0394_ -*749 _0395_ -*750 _0396_ -*751 _0397_ -*752 _0398_ -*753 _0399_ -*754 _0400_ -*755 _0401_ -*756 _0402_ -*757 _0403_ -*758 _0404_ -*759 _0405_ -*760 _0406_ -*761 _0407_ -*762 _0408_ -*763 _0409_ -*764 _0410_ -*765 _0411_ -*766 _0412_ -*767 _0413_ -*768 _0414_ -*769 _0415_ -*770 _0416_ -*771 _0417_ -*772 _0418_ -*773 _0419_ -*774 _0420_ -*775 _0421_ -*776 _0422_ -*777 _0423_ -*778 _0424_ -*779 _0425_ -*780 _0426_ -*781 _0427_ -*782 _0428_ -*783 _0429_ -*784 _0430_ -*785 _0431_ -*786 _0432_ -*787 _0433_ -*788 _0434_ -*789 _0435_ -*790 _0436_ -*791 _0437_ -*792 _0438_ -*793 _0439_ -*794 _0440_ -*795 _0441_ -*796 _0442_ -*797 _0443_ -*798 _0444_ -*799 _0445_ -*800 _0446_ -*801 _0447_ -*802 _0448_ -*803 _0449_ -*804 _0450_ -*805 _0451_ -*806 _0452_ -*807 _0453_ -*808 _0454_ -*809 _0455_ -*810 _0456_ -*811 _0457_ -*812 _0458_ -*813 _0459_ -*814 _0460_ -*815 _0461_ -*816 _0462_ -*817 _0463_ -*818 _0464_ -*819 _0465_ -*820 _0466_ -*821 _0467_ -*822 _0468_ -*823 _0469_ -*824 _0470_ -*825 _0471_ -*826 _0472_ -*827 _0473_ -*828 _0474_ -*829 _0475_ -*830 _0476_ -*831 _0477_ -*832 _0478_ -*833 _0479_ -*834 _0480_ -*835 _0481_ -*836 _0482_ -*837 _0483_ -*838 _0484_ -*839 _0485_ -*840 _0486_ -*841 _0487_ -*842 _0488_ -*843 _0489_ -*844 _0490_ -*845 _0491_ -*846 _0492_ -*847 _0493_ -*848 _0494_ -*849 _0495_ -*850 _0496_ -*851 _0497_ -*852 _0498_ -*853 _0499_ -*854 _0500_ -*855 _0501_ -*856 _0502_ -*857 _0503_ -*858 _0504_ -*859 _0505_ -*860 _0506_ -*861 _0507_ -*862 _0508_ -*863 _0509_ -*864 _0510_ -*865 _0511_ -*866 _0512_ -*867 _0513_ -*868 _0514_ -*869 _0515_ -*870 _0516_ -*871 _0517_ -*872 _0518_ -*873 _0519_ -*874 _0520_ -*875 _0521_ -*876 _0522_ -*877 _0523_ -*878 _0524_ -*879 _0525_ -*880 _0526_ -*881 _0527_ -*882 _0528_ -*883 _0529_ -*884 _0530_ -*885 _0531_ -*886 _0532_ -*887 _0533_ -*888 _0534_ -*889 _0535_ -*890 _0536_ -*891 _0537_ -*892 _0538_ -*893 _0539_ -*894 _0540_ -*895 _0541_ -*896 _0542_ -*897 _0543_ -*898 _0544_ -*899 _0545_ -*900 _0546_ -*901 _0547_ -*902 _0548_ -*903 _0549_ -*904 _0550_ -*905 _0551_ -*906 _0552_ -*907 _0553_ -*908 _0554_ -*909 _0555_ -*910 _0556_ -*911 _0557_ -*912 _0558_ -*913 _0559_ -*914 _0560_ -*915 _0561_ -*916 _0562_ -*917 _0563_ -*918 _0564_ -*919 _0565_ -*920 _0566_ -*921 _0567_ -*922 _0568_ -*923 _0569_ -*924 _0570_ -*925 _0571_ -*926 _0572_ -*927 _0573_ -*928 _0574_ -*929 _0575_ -*930 _0576_ -*931 _0577_ -*932 _0578_ -*933 _0579_ -*934 _0580_ -*935 _0581_ -*936 _0582_ -*937 _0583_ -*938 _0584_ -*939 _0585_ -*940 _0586_ -*941 _0587_ -*942 _0588_ -*943 _0589_ -*944 _0590_ -*945 _0591_ -*946 _0592_ -*947 _0593_ -*948 _0594_ -*949 _0595_ -*950 _0596_ -*951 _0597_ -*952 _0598_ -*953 _0599_ -*954 _0600_ -*955 _0601_ -*956 _0602_ -*957 _0603_ -*958 _0604_ -*959 _0605_ -*960 _0606_ -*961 _0607_ -*962 _0608_ -*963 _0609_ -*964 _0610_ -*965 _0611_ -*966 _0612_ -*967 _0613_ -*968 _0614_ -*969 _0615_ -*970 _0616_ -*971 _0617_ -*972 _0618_ -*973 _0619_ -*974 _0620_ -*975 _0621_ -*976 _0622_ -*977 _0623_ -*978 _0624_ -*979 _0625_ -*980 _0626_ -*981 _0627_ -*982 _0628_ -*983 _0629_ -*984 _0630_ -*985 _0631_ -*986 _0632_ -*987 _0633_ -*988 _0634_ -*989 _0635_ -*990 _0636_ -*991 _0637_ -*992 _0638_ -*993 _0639_ -*994 _0640_ -*995 _0641_ -*996 _0642_ -*997 _0643_ -*998 _0644_ -*999 _0645_ -*1000 _0646_ -*1001 _0647_ -*1002 _0648_ -*1003 _0649_ -*1004 _0650_ -*1005 _0651_ -*1006 _0652_ -*1007 _0653_ -*1008 _0654_ -*1009 _0655_ -*1010 _0656_ -*1011 _0657_ -*1012 _0658_ -*1013 _0659_ -*1014 _0660_ -*1015 _0661_ -*1016 _0662_ -*1017 _0663_ -*1018 _0664_ -*1019 _0665_ -*1020 _0666_ -*1021 _0667_ -*1022 _0668_ -*1023 _0669_ -*1024 _0670_ -*1025 _0671_ -*1026 _0672_ -*1027 _0673_ -*1028 _0674_ -*1029 _0675_ -*1030 _0676_ -*1031 _0677_ -*1032 _0678_ -*1033 _0679_ -*1034 _0680_ -*1035 _0681_ -*1036 _0682_ -*1037 _0683_ -*1038 _0684_ -*1039 _0685_ -*1040 _0686_ -*1041 _0687_ -*1042 _0688_ -*1043 _0689_ -*1044 _0690_ -*1045 _0691_ -*1046 _0692_ -*1047 _0693_ -*1048 _0694_ -*1049 _0695_ -*1050 _0696_ -*1051 _0697_ -*1052 _0698_ -*1053 _0699_ -*1054 _0700_ -*1055 _0701_ -*1056 _0702_ -*1057 _0703_ -*1058 _0704_ -*1059 _0705_ -*1060 _0706_ -*1061 _0707_ -*1062 _0708_ -*1063 _0709_ -*1064 _0710_ -*1065 _0711_ -*1066 _0712_ -*1067 _0713_ -*1068 _0714_ -*1069 _0715_ -*1070 _0716_ -*1071 _0717_ -*1072 _0718_ -*1073 _0719_ -*1074 _0720_ -*1075 _0721_ -*1076 _0722_ -*1077 _0723_ -*1078 _0724_ -*1079 _0725_ -*1080 _0726_ -*1081 _0727_ -*1082 _0728_ -*1083 _0729_ -*1084 _0730_ -*1085 _0731_ -*1086 _0732_ -*1087 _0733_ -*1088 _0734_ -*1089 _0735_ -*1090 _0736_ -*1091 _0737_ -*1092 _0738_ -*1093 _0739_ -*1094 _0740_ -*1095 _0741_ -*1096 _0742_ -*1097 _0743_ -*1098 _0744_ -*1099 _0745_ -*1100 _0746_ -*1101 _0747_ -*1102 _0748_ -*1103 _0749_ -*1104 _0750_ -*1105 _0751_ -*1106 _0752_ -*1107 _0753_ -*1108 _0754_ -*1109 _0755_ -*1110 _0756_ -*1111 _0757_ -*1112 _0758_ -*1113 _0759_ -*1114 _0760_ -*1115 _0761_ -*1116 _0762_ -*1117 _0763_ -*1118 _0764_ -*1119 _0765_ -*1120 _0766_ -*1121 _0767_ -*1122 _0768_ -*1123 _0769_ -*1124 _0770_ -*1125 _0771_ -*1126 _0772_ -*1127 _0773_ -*1128 _0774_ -*1129 _0775_ -*1130 _0776_ -*1131 _0777_ -*1132 _0778_ -*1133 _0779_ -*1134 _0780_ -*1135 _0781_ -*1136 _0782_ -*1137 _0783_ -*1138 _0784_ -*1139 _0785_ -*1140 _0786_ -*1141 _0787_ -*1142 _0788_ -*1143 _0789_ -*1144 _0790_ -*1145 _0791_ -*1146 _0792_ -*1147 _0793_ -*1148 _0794_ -*1149 _0795_ -*1150 _0796_ -*1151 _0797_ -*1152 _0798_ -*1153 _0799_ -*1154 _0800_ -*1155 _0801_ -*1156 _0802_ -*1157 _0803_ -*1158 _0804_ -*1159 _0805_ -*1160 _0806_ -*1161 _0807_ -*1162 _0808_ -*1163 _0809_ -*1164 _0810_ -*1165 _0811_ -*1166 _0812_ -*1167 _0813_ -*1168 _0814_ -*1169 _0815_ -*1170 _0816_ -*1171 _0817_ -*1172 _0818_ -*1173 _0819_ -*1174 _0820_ -*1175 _0821_ -*1176 _0822_ -*1177 _0823_ -*1178 _0824_ -*1179 _0825_ -*1180 _0826_ -*1181 _0827_ -*1182 _0828_ -*1183 _0829_ -*1184 _0830_ -*1185 _0831_ -*1186 _0832_ -*1187 _0833_ -*1188 _0834_ -*1189 _0835_ -*1190 _0836_ -*1191 _0837_ -*1192 _0838_ -*1193 _0839_ -*1194 _0840_ -*1195 _0841_ -*1196 _0842_ -*1197 _0843_ -*1198 _0844_ -*1199 _0845_ -*1200 _0846_ -*1201 _0847_ -*1202 _0848_ -*1203 _0849_ -*1204 _0850_ -*1205 _0851_ -*1206 _0852_ -*1207 _0853_ -*1208 _0854_ -*1209 _0855_ -*1210 _0856_ -*1211 _0857_ -*1212 _0858_ -*1213 _0859_ -*1214 _0860_ -*1215 _0861_ -*1216 _0862_ -*1217 _0863_ -*1218 _0864_ -*1219 _0865_ -*1220 _0866_ -*1221 _0867_ -*1222 _0868_ -*1223 _0869_ -*1224 _0870_ -*1225 _0871_ -*1226 _0872_ -*1227 _0873_ -*1228 _0874_ -*1229 _0875_ -*1230 _0876_ -*1231 _0877_ -*1232 _0878_ -*1233 _0879_ -*1234 _0880_ -*1235 _0881_ -*1236 _0882_ -*1237 _0883_ -*1238 _0884_ -*1239 _0885_ -*1240 _0886_ -*1241 _0887_ -*1242 _0888_ -*1243 _0889_ -*1244 _0890_ -*1245 _0891_ -*1246 _0892_ -*1247 _0893_ -*1248 _0894_ -*1249 _0895_ -*1250 _0896_ -*1251 _0897_ -*1252 _0898_ -*1253 _0899_ -*1254 _0900_ -*1255 _0901_ -*1256 _0902_ -*1257 _0903_ -*1258 _0904_ -*1259 _0905_ -*1260 _0906_ -*1261 _0907_ -*1262 _0908_ -*1263 _0909_ -*1264 _0910_ -*1265 _0911_ -*1266 _0912_ -*1267 _0913_ -*1268 _0914_ -*1269 _0915_ -*1270 _0916_ -*1271 _0917_ -*1272 _0918_ -*1273 _0919_ -*1274 _0920_ -*1275 _0921_ -*1276 _0922_ -*1277 _0923_ -*1278 _0924_ -*1279 _0925_ -*1280 _0926_ -*1281 _0927_ -*1282 _0928_ -*1283 _0929_ -*1284 _0930_ -*1285 _0931_ -*1286 _0932_ -*1287 _0933_ -*1288 _0934_ -*1289 _0935_ -*1290 _0936_ -*1291 _0937_ -*1292 _0938_ -*1293 _0939_ -*1294 _0940_ -*1295 _0941_ -*1296 _0942_ -*1297 _0943_ -*1298 _0944_ -*1299 _0945_ -*1300 _0946_ -*1301 _0947_ -*1302 _0948_ -*1303 _0949_ -*1304 _0950_ -*1305 _0951_ -*1306 _0952_ -*1307 _0953_ -*1308 _0954_ -*1309 _0955_ -*1310 _0956_ -*1311 _0957_ -*1312 _0958_ -*1313 _0959_ -*1314 _0960_ -*1315 _0961_ -*1316 _0962_ -*1317 _0963_ -*1318 _0964_ -*1319 _0965_ -*1320 _0966_ -*1321 _0967_ -*1322 _0968_ -*1323 _0969_ -*1324 _0970_ -*1325 _0971_ -*1326 _0972_ -*1327 _0973_ -*1328 _0974_ -*1329 _0975_ -*1330 _0976_ -*1331 _0977_ -*1332 _0978_ -*1333 _0979_ -*1334 _0980_ -*1335 _0981_ -*1336 _0982_ -*1337 _0983_ -*1338 _0984_ -*1339 _0985_ -*1340 _0986_ -*1341 _0987_ -*1342 _0988_ -*1343 _0989_ -*1344 _0990_ -*1345 _0991_ -*1346 _0992_ -*1347 _0993_ -*1348 _0994_ -*1349 _0995_ -*1350 _0996_ -*1351 _0997_ -*1352 _0998_ -*1353 _0999_ -*1354 _1000_ -*1355 _1001_ -*1356 _1002_ -*1357 _1003_ -*1358 _1004_ -*1359 _1005_ -*1360 _1006_ -*1361 _1007_ -*1362 _1008_ -*1363 _1009_ -*1364 _1010_ -*1365 _1011_ -*1366 _1012_ -*1367 _1013_ -*1368 _1014_ -*1369 _1015_ -*1370 _1016_ -*1371 _1017_ -*1372 _1018_ -*1373 _1019_ -*1374 _1020_ -*1375 _1021_ -*1376 _1022_ -*1377 _1023_ -*1378 _1024_ -*1379 _1025_ -*1380 _1026_ -*1381 _1027_ -*1382 _1028_ -*1383 _1029_ -*1384 _1030_ -*1385 _1031_ -*1386 _1032_ -*1387 _1033_ -*1388 _1034_ -*1389 _1035_ -*1390 _1036_ -*1391 _1037_ -*1392 _1038_ -*1393 _1039_ -*1394 _1040_ -*1395 _1041_ -*1396 _1042_ -*1397 _1043_ -*1398 _1044_ -*1399 _1045_ -*1400 _1046_ -*1401 _1047_ -*1402 _1048_ -*1403 _1049_ -*1404 _1050_ -*1405 _1051_ -*1406 _1052_ -*1407 _1053_ -*1408 _1054_ -*1409 _1055_ -*1410 _1056_ -*1411 _1057_ -*1412 _1058_ -*1413 _1059_ -*1414 _1060_ -*1415 _1061_ -*1416 _1062_ -*1417 _1063_ -*1418 _1064_ -*1419 _1065_ -*1420 _1066_ -*1421 _1067_ -*1422 _1068_ -*1423 _1069_ -*1424 _1070_ -*1425 _1071_ -*1426 _1072_ -*1427 _1073_ -*1428 _1074_ -*1429 _1075_ -*1430 _1076_ -*1431 _1077_ -*1432 _1078_ -*1433 _1079_ -*1434 _1080_ -*1435 _1081_ -*1436 _1082_ -*1437 _1083_ -*1438 _1084_ -*1439 _1085_ -*1440 _1086_ -*1441 _1087_ -*1442 _1088_ -*1443 _1089_ -*1444 _1090_ -*1445 _1091_ -*1446 _1092_ -*1447 _1093_ -*1448 _1094_ -*1449 _1095_ -*1450 _1096_ -*1451 _1097_ -*1452 _1098_ -*1453 _1099_ -*1454 _1100_ -*1455 _1101_ -*1456 _1102_ -*1457 _1103_ -*1458 _1104_ -*1459 _1105_ -*1460 _1106_ -*1461 _1107_ -*1462 _1108_ -*1463 _1109_ -*1464 _1110_ -*1465 _1111_ -*1466 _1112_ -*1467 _1113_ -*1468 _1114_ -*1469 _1115_ -*1470 _1116_ -*1471 _1117_ -*1472 _1118_ -*1473 _1119_ -*1474 _1120_ -*1475 _1121_ -*1476 _1122_ -*1477 _1123_ -*1478 _1124_ -*1479 _1125_ -*1480 _1126_ -*1481 _1127_ -*1482 _1128_ -*1483 _1129_ -*1484 _1130_ -*1485 _1131_ -*1486 _1132_ -*1487 _1133_ -*1488 _1134_ -*1489 _1135_ -*1490 _1136_ -*1491 _1137_ -*1492 _1138_ -*1493 _1139_ -*1494 _1140_ -*1495 _1141_ -*1496 _1142_ -*1497 _1143_ -*1498 _1144_ -*1499 _1145_ -*1500 _1146_ -*1501 _1147_ -*1502 _1148_ -*1503 _1149_ -*1504 _1150_ -*1505 _1151_ -*1506 _1152_ -*1507 _1153_ -*1508 _1154_ -*1509 _1155_ -*1510 _1156_ -*1511 _1157_ -*1512 _1158_ -*1513 _1159_ -*1514 _1160_ -*1515 _1161_ -*1516 _1162_ -*1517 _1163_ -*1518 _1164_ -*1519 _1165_ -*1520 _1166_ -*1521 _1167_ -*1522 _1168_ -*1523 _1169_ -*1524 _1170_ -*1525 _1171_ -*1526 _1172_ -*1527 _1173_ -*1528 _1174_ -*1529 _1175_ -*1530 _1176_ -*1531 _1177_ -*1532 _1178_ -*1533 _1179_ -*1534 _1180_ -*1535 _1181_ -*1536 _1182_ -*1537 _1183_ -*1538 _1184_ -*1539 _1185_ -*1540 _1186_ -*1541 _1187_ -*1542 _1188_ -*1543 _1189_ -*1544 _1190_ -*1545 _1191_ -*1546 _1192_ -*1547 _1193_ -*1548 _1194_ -*1549 _1195_ -*1550 _1196_ -*1551 _1197_ -*1552 _1198_ -*1553 _1199_ -*1554 _1200_ -*1555 _1201_ -*1556 _1202_ -*1557 _1203_ -*1558 _1204_ -*1559 _1205_ -*1560 _1206_ -*1561 _1207_ -*1562 _1208_ -*1563 _1209_ -*1564 _1210_ -*1565 _1211_ -*1566 _1212_ -*1567 _1213_ -*1568 _1214_ -*1569 _1215_ -*1570 _1216_ -*1571 _1217_ -*1572 _1218_ -*1573 _1219_ -*1574 _1220_ -*1575 _1221_ -*1576 _1222_ -*1577 _1223_ -*1578 _1224_ -*1579 _1225_ -*1580 _1226_ -*1581 _1227_ -*1582 _1228_ -*1583 _1229_ -*1584 _1230_ -*1585 _1231_ -*1586 _1232_ -*1587 _1233_ -*1588 _1234_ -*1589 _1235_ -*1590 _1236_ -*1591 _1237_ -*1592 _1238_ -*1593 _1239_ -*1594 _1240_ -*1595 _1241_ -*1596 _1242_ -*1597 _1243_ -*1598 _1244_ -*1599 _1245_ -*1600 _1246_ -*1601 _1247_ -*1602 _1248_ -*1603 _1249_ -*1604 _1250_ -*1605 _1251_ -*1606 _1252_ -*1607 _1253_ -*1608 _1254_ -*1609 _1255_ -*1610 _1256_ -*1611 _1257_ -*1612 _1258_ -*1613 _1259_ -*1614 _1260_ -*1615 _1261_ -*1616 _1262_ -*1617 _1263_ -*1618 _1264_ -*1619 _1265_ -*1620 _1266_ -*1621 _1267_ -*1622 _1268_ -*1623 _1269_ -*1624 _1270_ -*1625 _1271_ -*1626 _1272_ -*1627 _1273_ -*1628 _1274_ -*1629 _1275_ -*1630 _1276_ -*1631 _1277_ -*1632 _1278_ -*1633 _1279_ -*1634 _1280_ -*1635 _1281_ -*1636 _1282_ -*1637 _1283_ -*1638 _1284_ -*1639 _1285_ -*1640 _1286_ -*1641 _1287_ -*1642 _1288_ -*1643 _1289_ -*1644 _1290_ -*1645 _1291_ -*1646 _1292_ -*1647 _1293_ -*1648 _1294_ -*1649 _1295_ -*1650 _1296_ -*1651 _1297_ -*1652 _1298_ -*1653 _1299_ -*1654 _1300_ -*1655 _1301_ -*1656 _1302_ -*1657 _1303_ -*1658 _1304_ -*1659 _1305_ -*1660 _1306_ -*1661 _1307_ -*1662 _1308_ -*1663 _1309_ -*1664 _1310_ -*1665 _1311_ -*1666 _1312_ -*1667 _1313_ -*1668 _1314_ -*1669 _1315_ -*1670 _1316_ -*1671 _1317_ -*1672 _1318_ -*1673 _1319_ -*1674 _1320_ -*1675 _1321_ -*1676 _1322_ -*1677 _1323_ -*1678 _1324_ -*1679 _1325_ -*1680 _1326_ -*1681 _1327_ -*1682 _1328_ -*1683 _1329_ -*1684 _1330_ -*1685 _1331_ -*1686 _1332_ -*1687 _1333_ -*1688 _1334_ -*1689 _1335_ -*1690 _1336_ -*1691 _1337_ -*1692 _1338_ -*1693 _1339_ -*1694 _1340_ -*1695 _1341_ -*1696 _1342_ -*1697 _1343_ -*1698 _1344_ -*1699 _1345_ -*1700 _1346_ -*1701 _1347_ -*1702 _1348_ -*1703 _1349_ -*1704 _1350_ -*1705 _1351_ -*1706 _1352_ -*1707 _1353_ -*1708 _1354_ -*1709 _1355_ -*1710 _1356_ -*1711 _1357_ -*1712 _1358_ -*1713 _1359_ -*1714 _1360_ -*1715 _1361_ -*1716 _1362_ -*1717 _1363_ -*1718 _1364_ -*1719 _1365_ -*1720 _1366_ -*1721 _1367_ -*1722 _1368_ -*1723 _1369_ -*1724 _1370_ -*1725 _1371_ -*1726 _1372_ -*1727 _1373_ -*1728 _1374_ -*1729 _1375_ -*1730 _1376_ -*1731 _1377_ -*1732 _1378_ -*1733 _1379_ -*1734 _1380_ -*1735 _1381_ -*1736 _1382_ -*1737 _1383_ -*1738 _1384_ -*1739 _1385_ -*1740 _1386_ -*1741 _1387_ -*1742 _1388_ -*1743 _1389_ -*1744 _1390_ -*1745 _1391_ -*1746 _1392_ -*1747 _1393_ -*1748 _1394_ -*1749 _1395_ -*1750 _1396_ -*1751 _1397_ -*1752 _1398_ -*1753 _1399_ -*1754 _1400_ -*1755 _1401_ -*1756 _1402_ -*1757 _1403_ -*1758 _1404_ -*1759 _1405_ -*1760 _1406_ -*1761 _1407_ -*1762 _1408_ -*1763 _1409_ -*1764 _1410_ -*1765 _1411_ -*1766 _1412_ -*1767 _1413_ -*1768 _1414_ -*1769 _1415_ -*1770 _1416_ -*1771 _1417_ -*1772 _1418_ -*1773 _1419_ -*1774 _1420_ -*1775 _1421_ -*1776 _1422_ -*1777 _1423_ -*1778 _1424_ -*1779 _1425_ -*1780 _1426_ -*1781 _1427_ -*1782 _1428_ -*1783 _1429_ -*1784 _1430_ -*1785 _1431_ -*1786 _1432_ -*1787 _1433_ -*1788 _1434_ -*1789 _1435_ -*1790 _1436_ -*1791 _1437_ -*1792 _1438_ -*1793 _1439_ -*1794 _1440_ -*1795 _1441_ -*1796 _1442_ -*1797 _1443_ -*1798 _1444_ -*1799 _1445_ -*1800 _1446_ -*1801 _1447_ -*1802 _1448_ -*1803 _1449_ -*1804 _1450_ -*1805 _1451_ -*1806 _1452_ -*1807 _1453_ -*1808 _1454_ -*1809 _1455_ -*1810 _1456_ -*1811 _1457_ -*1812 _1458_ -*1813 _1459_ -*1814 _1460_ -*1815 _1461_ -*1816 _1462_ -*1817 _1463_ -*1818 _1464_ -*1819 _1465_ -*1820 _1466_ -*1821 _1467_ -*1822 _1468_ -*1823 _1469_ -*1824 _1470_ -*1825 _1471_ -*1826 _1472_ -*1827 _1473_ -*1828 _1474_ -*1829 _1475_ -*1830 _1476_ -*1831 _1477_ -*1832 _1478_ -*1833 _1479_ -*1834 _1480_ -*1835 _1481_ -*1836 _1482_ -*1837 _1483_ -*1838 _1484_ -*1839 _1485_ -*1840 _1486_ -*1841 _1487_ -*1842 _1488_ -*1843 _1489_ -*1844 _1490_ -*1845 _1491_ -*1846 _1492_ -*1847 _1493_ -*1848 _1494_ -*1849 _1495_ -*1850 _1496_ -*1851 _1497_ -*1852 _1498_ -*1853 _1499_ -*1854 _1500_ -*1855 _1501_ -*1856 _1502_ -*1857 _1503_ -*1858 _1504_ -*1859 _1505_ -*1860 _1506_ -*1861 _1507_ -*1862 _1508_ -*1863 _1509_ -*1864 _1510_ -*1865 _1511_ -*1866 _1512_ -*1867 _1513_ -*1868 _1514_ -*1869 _1515_ -*1870 _1516_ -*1871 _1517_ -*1872 _1518_ -*1873 _1519_ -*1874 _1520_ -*1875 _1521_ -*1876 _1522_ -*1877 _1523_ -*1878 _1524_ -*1879 _1525_ -*1880 _1526_ -*1881 _1527_ -*1882 _1528_ -*1883 _1529_ -*1884 _1530_ -*1885 _1531_ -*1886 _1532_ -*1887 _1533_ -*1888 _1534_ -*1889 _1535_ -*1890 _1536_ -*1891 _1537_ -*1892 _1538_ -*1893 _1539_ -*1894 _1540_ -*1895 _1541_ -*1896 _1542_ -*1897 _1543_ -*1898 _1544_ -*1899 _1545_ -*1900 _1546_ -*1901 _1547_ -*1902 _1548_ -*1903 _1549_ -*1904 _1550_ -*1905 _1551_ -*1906 _1552_ -*1907 _1553_ -*1908 _1554_ -*1909 _1555_ -*1910 _1556_ -*1911 _1557_ -*1912 _1558_ -*1913 _1559_ -*1914 _1560_ -*1915 _1561_ -*1916 _1562_ -*1917 _1563_ -*1918 _1564_ -*1919 _1565_ -*1920 _1566_ -*1921 _1567_ -*1922 _1568_ -*1923 _1569_ -*1924 _1570_ -*1925 _1571_ -*1926 _1572_ -*1927 _1573_ -*1928 _1574_ -*1929 _1575_ -*1930 _1576_ -*1931 _1577_ -*1932 _1578_ -*1933 _1579_ -*1934 _1580_ -*1935 _1581_ -*1936 _1582_ -*1937 _1583_ -*1938 _1584_ -*1939 _1585_ -*1940 _1586_ -*1941 _1587_ -*1942 _1588_ -*1943 _1589_ -*1944 _1590_ -*1945 _1591_ -*1946 _1592_ -*1947 _1593_ -*1948 _1594_ -*1949 _1595_ -*1950 _1596_ -*1951 _1597_ -*1952 _1598_ -*1953 _1599_ -*1954 _1600_ -*1955 _1601_ -*1956 _1602_ -*1957 _1603_ -*1958 _1604_ -*1959 _1605_ -*1960 _1606_ -*1961 _1607_ -*1962 _1608_ -*1963 _1609_ -*1964 _1610_ -*1965 _1611_ -*1966 _1612_ -*1967 _1613_ -*1968 _1614_ -*1969 _1615_ -*1970 _1616_ -*1971 _1617_ -*1972 _1618_ -*1973 _1619_ -*1974 _1620_ -*1975 _1621_ -*1976 _1622_ -*1977 _1623_ -*1978 _1624_ -*1979 _1625_ -*1980 _1626_ -*1981 _1627_ -*1982 _1628_ -*1983 _1629_ -*1984 _1630_ -*1985 _1631_ -*1986 _1632_ -*1987 _1633_ -*1988 _1634_ -*1989 _1635_ -*1990 _1636_ -*1991 _1637_ -*1992 _1638_ -*1993 _1639_ -*1994 _1640_ -*1995 _1641_ -*1996 _1642_ -*1997 _1643_ -*1998 _1644_ -*1999 _1645_ -*2000 _1646_ -*2001 _1647_ -*2002 _1648_ -*2003 _1649_ -*2004 _1650_ -*2005 _1651_ -*2006 _1652_ -*2007 _1653_ -*2008 _1654_ -*2009 _1655_ -*2010 _1656_ -*2011 _1657_ -*2012 _1658_ -*2013 _1659_ -*2014 _1660_ -*2015 _1661_ -*2016 _1662_ -*2017 _1663_ -*2018 _1664_ -*2019 _1665_ -*2020 _1666_ -*2021 _1667_ -*2022 _1668_ -*2023 _1669_ -*2024 _1670_ -*2025 _1671_ -*2026 _1672_ -*2027 _1673_ -*2028 _1674_ -*2029 _1675_ -*2030 _1676_ -*2031 _1677_ -*2032 _1678_ -*2033 _1679_ -*2034 _1680_ -*2035 _1681_ -*2036 _1682_ -*2037 _1683_ -*2038 _1684_ -*2039 _1685_ -*2040 _1686_ -*2041 _1687_ -*2042 _1688_ -*2043 _1689_ -*2044 _1690_ -*2045 _1691_ -*2046 _1692_ -*2047 _1693_ -*2048 _1694_ -*2049 _1695_ -*2050 _1696_ -*2051 _1697_ -*2052 _1698_ -*2053 _1699_ -*2054 _1700_ -*2055 _1701_ -*2056 _1702_ -*2057 _1703_ -*2058 _1704_ -*2059 _1705_ -*2060 _1706_ -*2061 _1707_ -*2062 _1708_ -*2063 _1709_ -*2064 _1710_ -*2065 _1711_ -*2066 _1712_ -*2067 _1713_ -*2068 _1714_ -*2069 _1715_ -*2070 _1716_ -*2071 _1717_ -*2072 _1718_ -*2073 _1719_ -*2074 _1720_ -*2075 _1721_ -*2076 _1722_ -*2077 _1723_ -*2078 _1724_ -*2079 _1725_ -*2080 _1726_ -*2081 _1727_ -*2082 _1728_ -*2083 _1729_ -*2084 _1730_ -*2085 _1731_ -*2086 _1732_ -*2087 _1733_ -*2088 _1734_ -*2089 _1735_ -*2090 _1736_ -*2091 _1737_ -*2092 _1738_ -*2093 _1739_ -*2094 _1740_ -*2095 _1741_ -*2096 _1742_ -*2097 _1743_ -*2098 _1744_ -*2099 _1745_ -*2100 _1746_ -*2101 _1747_ -*2102 _1748_ -*2103 _1749_ -*2104 _1750_ -*2105 _1751_ -*2106 _1752_ -*2107 _1753_ -*2108 _1754_ -*2109 _1755_ -*2110 _1756_ -*2111 _1757_ -*2112 _1758_ -*2113 _1759_ -*2114 _1760_ -*2115 _1761_ -*2116 _1762_ -*2117 _1763_ -*2118 _1764_ -*2119 _1765_ -*2120 _1766_ -*2121 _1767_ -*2122 _1768_ -*2123 _1769_ -*2124 _1770_ -*2125 _1771_ -*2126 _1772_ -*2127 _1773_ -*2128 _1774_ -*2129 _1775_ -*2130 _1776_ -*2131 _1777_ -*2132 _1778_ -*2133 _1779_ -*2134 _1780_ -*2135 _1781_ -*2136 _1782_ -*2137 _1783_ -*2138 _1784_ -*2139 _1785_ -*2140 _1786_ -*2141 _1787_ -*2142 _1788_ -*2143 _1789_ -*2144 _1790_ -*2145 _1791_ -*2146 _1792_ -*2147 _1793_ -*2148 _1794_ -*2149 _1795_ -*2150 _1796_ -*2151 _1797_ -*2152 _1798_ -*2153 _1799_ -*2154 _1800_ -*2155 _1801_ -*2156 _1802_ -*2157 _1803_ -*2158 _1804_ -*2159 _1805_ -*2160 _1806_ -*2161 _1807_ -*2162 _1808_ -*2163 _1809_ -*2164 _1810_ -*2165 _1811_ -*2166 _1812_ -*2167 _1813_ -*2168 _1814_ -*2169 _1815_ -*2170 _1816_ -*2171 _1817_ -*2172 _1818_ -*2173 _1819_ -*2174 _1820_ -*2175 _1821_ -*2176 _1822_ -*2177 _1823_ -*2178 _1824_ -*2179 _1825_ -*2180 _1826_ -*2181 _1827_ -*2182 _1828_ -*2183 _1829_ -*2184 _1830_ -*2185 _1831_ -*2186 _1832_ -*2187 _1833_ -*2188 _1834_ -*2189 _1835_ -*2190 _1836_ -*2191 _1837_ -*2192 _1838_ -*2193 _1839_ -*2194 _1840_ -*2195 _1841_ -*2196 _1842_ -*2197 _1843_ -*2198 _1844_ -*2199 _1845_ -*2200 _1846_ -*2201 _1847_ -*2202 _1848_ -*2203 _1849_ -*2204 _1850_ -*2205 _1851_ -*2206 _1852_ -*2207 _1853_ -*2208 _1854_ -*2209 _1855_ -*2210 _1856_ -*2211 _1857_ -*2212 _1858_ -*2213 _1859_ -*2214 _1860_ -*2215 _1861_ -*2216 _1862_ -*2217 _1863_ -*2218 _1864_ -*2219 _1865_ -*2220 _1866_ -*2221 _1867_ -*2222 _1868_ -*2223 _1869_ -*2224 _1870_ -*2225 _1871_ -*2226 _1872_ -*2227 _1873_ -*2228 _1874_ -*2229 _1875_ -*2230 _1876_ -*2231 _1877_ -*2232 _1878_ -*2233 _1879_ -*2234 _1880_ -*2235 _1881_ -*2236 _1882_ -*2237 _1883_ -*2238 _1884_ -*2239 _1885_ -*2240 _1886_ -*2241 _1887_ -*2242 _1888_ -*2243 _1889_ -*2244 _1890_ -*2245 _1891_ -*2246 _1892_ -*2247 _1893_ -*2248 _1894_ -*2249 _1895_ -*2250 _1896_ -*2251 _1897_ -*2252 _1898_ -*2253 _1899_ -*2254 _1900_ -*2255 _1901_ -*2256 _1902_ -*2257 _1903_ -*2258 _1904_ -*2259 _1905_ -*2260 _1906_ -*2261 _1907_ -*2262 _1908_ -*2263 _1909_ -*2264 _1910_ -*2265 _1911_ -*2266 _1912_ -*2267 _1913_ -*2268 _1914_ -*2269 _1915_ -*2270 _1916_ -*2271 _1917_ -*2272 _1918_ -*2273 _1919_ -*2274 _1920_ -*2275 _1921_ -*2276 _1922_ -*2277 _1923_ -*2278 _1924_ -*2279 _1925_ -*2280 _1926_ -*2281 _1927_ -*2282 _1928_ -*2283 _1929_ -*2284 _1930_ -*2285 _1931_ -*2286 _1932_ -*2287 _1933_ -*2288 _1934_ -*2289 _1935_ -*2290 _1936_ -*2291 _1937_ -*2292 _1938_ -*2293 _1939_ -*2294 _1940_ -*2295 _1941_ -*2296 _1942_ -*2297 _1943_ -*2298 _1944_ -*2299 _1945_ -*2300 _1946_ -*2301 _1947_ -*2302 _1948_ -*2303 _1949_ -*2304 _1950_ -*2305 _1951_ -*2306 _1952_ -*2307 _1953_ -*2308 _1954_ -*2309 _1955_ -*2310 _1956_ -*2311 _1957_ -*2312 _1958_ -*2313 _1959_ -*2314 _1960_ -*2315 _1961_ -*2316 _1962_ -*2317 _1963_ -*2318 _1964_ -*2319 _1965_ -*2320 _1966_ -*2321 _1967_ -*2322 _1968_ -*2323 _1969_ -*2324 _1970_ -*2325 _1971_ -*2326 _1972_ -*2327 _1973_ -*2328 _1974_ -*2329 _1975_ -*2330 _1976_ -*2331 _1977_ -*2332 _1978_ -*2333 _1979_ -*2334 _1980_ -*2335 _1981_ -*2336 _1982_ -*2337 _1983_ -*2338 _1984_ -*2339 _1985_ -*2340 _1986_ -*2341 _1987_ -*2342 _1988_ -*2343 _1989_ -*2344 _1990_ -*2345 _1991_ -*2346 _1992_ -*2347 _1993_ -*2348 _1994_ -*2349 _1995_ -*2350 _1996_ -*2351 _1997_ -*2352 _1998_ -*2353 _1999_ -*2354 _2000_ -*2355 _2001_ -*2356 _2002_ -*2357 _2003_ -*2358 _2004_ -*2359 _2005_ -*2360 _2006_ -*2361 _2007_ -*2362 _2008_ -*2363 _2009_ -*2364 _2010_ -*2365 _2011_ -*2366 _2012_ -*2367 _2013_ -*2368 _2014_ -*2369 _2015_ -*2370 _2016_ -*2371 _2017_ -*2372 _2018_ -*2373 _2019_ -*2374 _2020_ -*2375 _2021_ -*2376 _2022_ -*2377 _2023_ -*2378 _2024_ -*2379 _2025_ -*2380 _2026_ -*2381 _2027_ -*2382 _2028_ -*2383 _2029_ -*2384 _2030_ -*2385 _2031_ -*2386 _2032_ -*2387 _2033_ -*2388 _2034_ -*2389 _2035_ -*2390 _2036_ -*2391 _2037_ -*2392 _2038_ -*2393 _2039_ -*2394 _2040_ -*2395 _2041_ -*2396 _2042_ -*2397 _2043_ -*2398 _2044_ -*2399 _2045_ -*2400 _2046_ -*2401 _2047_ -*2402 _2048_ -*2403 _2049_ -*2404 _2050_ -*2405 _2051_ -*2406 _2052_ -*2407 _2053_ -*2408 _2054_ -*2409 _2055_ -*2410 _2056_ -*2411 _2057_ -*2412 _2058_ -*2413 _2059_ -*2414 _2060_ -*2415 _2061_ -*2416 _2062_ -*2417 _2063_ -*2418 _2064_ -*2419 _2065_ -*2420 _2066_ -*2421 _2067_ -*2422 _2068_ -*2423 _2069_ -*2424 _2070_ -*2425 _2071_ -*2426 _2072_ -*2427 _2073_ -*2428 _2074_ -*2429 _2075_ -*2430 _2076_ -*2431 _2077_ -*2432 _2078_ -*2433 _2079_ -*2434 _2080_ -*2435 _2081_ -*2436 _2082_ -*2437 _2083_ -*2438 _2084_ -*2439 _2085_ -*2440 _2086_ -*2441 _2087_ -*2442 _2088_ -*2443 _2089_ -*2444 _2090_ -*2445 _2091_ -*2446 _2092_ -*2447 _2093_ -*2448 _2094_ -*2449 _2095_ -*2450 _2096_ -*2451 _2097_ -*2452 _2098_ -*2453 _2099_ -*2454 _2100_ -*2455 _2101_ -*2456 _2102_ -*2457 _2103_ -*2458 _2104_ -*2459 _2105_ -*2460 _2106_ -*2461 _2107_ -*2462 _2108_ -*2463 _2109_ -*2464 _2110_ -*2465 _2111_ -*2466 _2112_ -*2467 _2113_ -*2468 _2114_ -*2469 _2115_ -*2470 _2116_ -*2471 _2117_ -*2472 _2118_ -*2473 _2119_ -*2474 _2120_ -*2475 _2121_ -*2476 _2122_ -*2477 _2123_ -*2478 _2124_ -*2479 _2125_ -*2480 _2126_ -*2481 _2127_ -*2482 _2128_ -*2483 _2129_ -*2484 _2130_ -*2485 _2131_ -*2486 _2132_ -*2487 _2133_ -*2488 _2134_ -*2489 _2135_ -*2490 _2136_ -*2491 _2137_ -*2492 _2138_ -*2493 _2139_ -*2494 _2140_ -*2495 _2141_ -*2496 _2142_ -*2497 _2143_ -*2498 _2144_ -*2499 _2145_ -*2500 _2146_ -*2501 _2147_ -*2502 _2148_ -*2503 _2149_ -*2504 _2150_ -*2505 _2151_ -*2506 _2152_ -*2507 _2153_ -*2508 _2154_ -*2509 _2155_ -*2510 _2156_ -*2511 _2157_ -*2512 _2158_ -*2513 _2159_ -*2514 _2160_ -*2515 _2161_ -*2516 _2162_ -*2517 _2163_ -*2518 _2164_ -*2519 _2165_ -*2520 _2166_ -*2521 _2167_ -*2522 _2168_ -*2523 _2169_ -*2524 _2170_ -*2525 _2171_ -*2526 _2172_ -*2527 _2173_ -*2528 _2174_ -*2529 _2175_ -*2530 _2176_ -*2531 _2177_ -*2532 _2178_ -*2533 _2179_ -*2534 _2180_ -*2535 _2181_ -*2536 _2182_ -*2537 _2183_ -*2538 _2184_ -*2539 _2185_ -*2540 _2186_ -*2541 _2187_ -*2542 _2188_ -*2543 _2189_ -*2544 _2190_ -*2545 _2191_ -*2546 _2192_ -*2547 _2193_ -*2548 _2194_ -*2549 _2195_ -*2550 _2196_ -*2551 _2197_ -*2552 _2198_ -*2553 _2199_ -*2554 _2200_ -*2555 _2201_ -*2556 _2202_ -*2557 _2203_ -*2558 _2204_ -*2559 _2205_ -*2560 _2206_ -*2561 _2207_ -*2562 _2208_ -*2563 _2209_ -*2564 _2210_ -*2565 _2211_ -*2566 _2212_ -*2567 _2213_ -*2568 _2214_ -*2569 _2215_ -*2570 _2216_ -*2571 _2217_ -*2572 _2218_ -*2573 _2219_ -*2574 _2220_ -*2575 _2221_ -*2576 _2222_ -*2577 _2223_ -*2578 _2224_ -*2579 _2225_ -*2580 _2226_ -*2581 _2227_ -*2582 _2228_ -*2583 _2229_ -*2584 _2230_ -*2585 _2231_ -*2586 _2232_ -*2587 _2233_ -*2588 _2234_ -*2589 _2235_ -*2590 _2236_ -*2591 _2237_ -*2592 _2238_ -*2593 _2239_ -*2594 _2240_ -*2595 _2241_ -*2596 _2242_ -*2597 _2243_ -*2598 _2244_ -*2599 _2245_ -*2600 _2246_ -*2601 _2247_ -*2602 _2248_ -*2603 _2249_ -*2604 _2250_ -*2605 _2251_ -*2606 _2252_ -*2607 _2253_ -*2608 _2254_ -*2609 _2255_ -*2610 _2256_ -*2611 _2257_ -*2612 _2258_ -*2613 _2259_ -*2614 _2260_ -*2615 _2261_ -*2616 _2262_ -*2617 _2263_ -*2618 _2264_ -*2619 _2265_ -*2620 _2266_ -*2621 _2267_ -*2622 _2268_ -*2623 _2269_ -*2624 _2270_ -*2625 _2271_ -*2626 _2272_ -*2627 _2273_ -*2628 _2274_ -*2629 _2275_ -*2630 _2276_ -*2631 _2277_ -*2632 _2278_ -*2633 _2279_ -*2634 _2280_ -*2635 _2281_ -*2636 _2282_ -*2637 _2283_ -*2638 _2284_ -*2639 _2285_ -*2640 _2286_ -*2641 _2287_ -*2642 _2288_ -*2643 _2289_ -*2644 _2290_ -*2645 _2291_ -*2646 _2292_ -*2647 _2293_ -*2648 _2294_ -*2649 _2295_ -*2650 _2296_ -*2651 _2297_ -*2652 _2298_ -*2653 _2299_ -*2654 _2300_ -*2655 _2301_ -*2656 _2302_ -*2657 _2303_ -*2658 _2304_ -*2659 _2305_ -*2660 _2306_ -*2661 _2307_ -*2662 _2308_ -*2663 _2309_ -*2664 _2310_ -*2665 _2311_ -*2666 _2312_ -*2667 _2313_ -*2668 _2314_ -*2669 _2315_ -*2670 _2316_ -*2671 _2317_ -*2672 _2318_ -*2673 _2319_ -*2674 _2320_ -*2675 _2321_ -*2676 _2322_ -*2677 _2323_ -*2678 _2324_ -*2679 _2325_ -*2680 _2326_ -*2681 _2327_ -*2682 _2328_ -*2683 _2329_ -*2684 _2330_ -*2685 _2331_ -*2686 _2332_ -*2687 _2333_ -*2688 _2334_ -*2689 _2335_ -*2690 _2336_ -*2691 _2337_ -*2692 _2338_ -*2693 _2339_ -*2694 _2340_ -*2695 _2341_ -*2696 _2342_ -*2697 _2343_ -*2698 _2344_ -*2699 _2345_ -*2700 _2346_ -*2701 _2347_ -*2702 _2348_ -*2703 _2349_ -*2704 _2350_ -*2705 _2351_ -*2706 _2352_ -*2707 _2353_ -*2708 _2354_ -*2709 _2355_ -*2710 _2356_ -*2711 _2357_ -*2712 _2358_ -*2713 _2359_ -*2714 _2360_ -*2715 _2361_ -*2716 _2362_ -*2717 _2363_ -*2718 _2364_ -*2719 _2365_ -*2720 _2366_ -*2721 _2367_ -*2722 _2368_ -*2723 _2369_ -*2724 _2370_ -*2725 _2371_ -*2726 _2372_ -*2727 _2373_ -*2728 _2374_ -*2729 _2375_ -*2730 _2376_ -*2731 _2377_ -*2732 _2378_ -*2733 _2379_ -*2734 _2380_ -*2735 _2381_ -*2736 _2382_ -*2737 _2383_ -*2738 _2384_ -*2739 _2385_ -*2740 _2386_ -*2741 _2387_ -*2742 _2388_ -*2743 _2389_ -*2744 _2390_ -*2745 _2391_ -*2746 _2392_ -*2747 _2393_ -*2748 _2394_ -*2749 _2395_ -*2750 _2396_ -*2751 _2397_ -*2752 _2398_ -*2753 _2399_ -*2754 _2400_ -*2755 _2401_ -*2756 _2402_ -*2757 _2403_ -*2758 _2404_ -*2759 _2405_ -*2760 _2406_ -*2761 _2407_ -*2762 _2408_ -*2763 _2409_ -*2764 _2410_ -*2765 _2411_ -*2766 _2412_ -*2767 _2413_ -*2768 _2414_ -*2769 _2415_ -*2770 _2416_ -*2771 _2417_ -*2772 _2418_ -*2773 _2419_ -*2774 _2420_ -*2775 _2421_ -*2776 _2422_ -*2777 _2423_ -*2778 _2424_ -*2779 _2425_ -*2780 _2426_ -*2781 _2427_ -*2782 _2428_ -*2783 _2429_ -*2784 _2430_ -*2785 _2431_ -*2786 _2432_ -*2787 _2433_ -*2788 _2434_ -*2789 _2435_ -*2790 _2436_ -*2791 _2437_ -*2792 _2438_ -*2793 _2439_ -*2794 _2440_ -*2795 _2441_ -*2796 _2442_ -*2797 _2443_ -*2798 _2444_ -*2799 _2445_ -*2800 _2446_ -*2801 _2447_ -*2802 _2448_ -*2803 _2449_ -*2804 _2450_ -*2805 _2451_ -*2806 _2452_ -*2807 _2453_ -*2808 _2454_ -*2809 _2455_ -*2810 _2456_ -*2811 _2457_ -*2812 _2458_ -*2813 _2459_ -*2814 _2460_ -*2815 _2461_ -*2816 _2462_ -*2817 _2463_ -*2818 _2464_ -*2819 _2465_ -*2820 _2466_ -*2821 _2467_ -*2822 _2468_ -*2823 _2469_ -*2824 _2470_ -*2825 _2471_ -*2826 _2472_ -*2827 _2473_ -*2828 _2474_ -*2829 _2475_ -*2830 _2476_ -*2831 _2477_ -*2832 _2478_ -*2833 _2479_ -*2834 _2480_ -*2835 _2481_ -*2836 _2482_ -*2837 _2483_ -*2838 _2484_ -*2839 _2485_ -*2840 _2486_ -*2841 _2487_ -*2842 _2488_ -*2843 _2489_ -*2844 _2490_ -*2845 _2491_ -*2846 _2492_ -*2847 _2493_ -*2848 _2494_ -*2849 _2495_ -*2850 _2496_ -*2851 _2497_ -*2852 _2498_ -*2853 _2499_ -*2854 _2500_ -*2855 _2501_ -*2856 _2502_ -*2857 _2503_ -*2858 _2504_ -*2859 _2505_ -*2860 _2506_ -*2861 _2507_ -*2862 _2508_ -*2863 _2509_ -*2864 _2510_ -*2865 _2511_ -*2866 _2512_ -*2867 _2513_ -*2868 _2514_ -*2869 _2515_ -*2870 _2516_ -*2871 _2517_ -*2872 _2518_ -*2873 _2519_ -*2874 _2520_ -*2875 _2521_ -*2876 _2522_ -*2877 _2523_ -*2878 _2524_ -*2879 _2525_ -*2880 _2526_ -*2881 _2527_ -*2882 _2528_ -*2883 _2529_ -*2884 _2530_ -*2885 _2531_ -*2886 _2532_ -*2887 _2533_ -*2888 _2534_ -*2889 _2535_ -*2890 _2536_ -*2891 _2537_ -*2892 _2538_ -*2893 _2539_ -*2894 _2540_ -*2895 _2541_ -*2896 _2542_ -*2897 _2543_ -*2898 _2544_ -*2899 _2545_ -*2900 _2546_ -*2901 _2547_ -*2902 _2548_ -*2903 _2549_ -*2904 _2550_ -*2905 _2551_ -*2906 _2552_ -*2907 _2553_ -*2908 _2554_ -*2909 _2555_ -*2910 _2556_ -*2911 _2557_ -*2912 _2558_ -*2913 _2559_ -*2914 _2560_ -*2915 _2561_ -*2916 _2562_ -*2917 _2563_ -*2918 _2564_ -*2919 _2565_ -*2920 _2566_ -*2921 _2567_ -*2922 _2568_ -*2923 _2569_ -*2924 _2570_ -*2925 _2571_ -*2926 _2572_ -*2927 _2573_ -*2928 _2574_ -*2929 _2575_ -*2930 _2576_ -*2931 _2577_ -*2932 _2578_ -*2933 _2579_ -*2934 _2580_ -*2935 _2581_ -*2936 _2582_ -*2937 _2583_ -*2938 _2584_ -*2939 _2585_ -*2940 _2586_ -*2941 _2587_ -*2942 _2588_ -*2943 _2589_ -*2944 _2590_ -*2945 _2591_ -*2946 _2592_ -*2947 _2593_ -*2948 _2594_ -*2949 _2595_ -*2950 _2596_ -*2951 _2597_ -*2952 _2598_ -*2953 _2599_ -*2954 _2600_ -*2955 _2601_ -*2956 _2602_ -*2957 _2603_ -*2958 _2604_ -*2959 _2605_ -*2960 _2606_ -*2961 _2607_ -*2962 _2608_ -*2963 _2609_ -*2964 _2610_ -*2965 _2611_ -*2966 _2612_ -*2967 _2613_ -*2968 _2614_ -*2969 _2615_ -*2970 _2616_ -*2971 _2617_ -*2972 _2618_ -*2973 _2619_ -*2974 _2620_ -*2975 _2621_ -*2976 _2622_ -*2977 _2623_ -*2978 _2624_ -*2979 _2625_ -*2980 _2626_ -*2981 _2627_ -*2982 _2628_ -*2983 _2629_ -*2984 _2630_ -*2985 _2631_ -*2986 _2632_ -*2987 _2633_ -*2988 _2634_ -*2989 _2635_ -*2990 _2636_ -*2991 _2637_ -*2992 _2638_ -*2993 _2639_ -*2994 _2640_ -*2995 _2641_ -*2996 _2642_ -*2997 _2643_ -*2998 _2644_ -*2999 _2645_ -*3000 _2646_ -*3001 _2647_ -*3002 _2648_ -*3003 _2649_ -*3004 _2650_ -*3005 _2651_ -*3006 _2652_ -*3007 _2653_ -*3008 _2654_ -*3009 _2655_ -*3010 _2656_ -*3011 _2657_ -*3012 _2658_ -*3013 _2659_ -*3014 _2660_ -*3015 _2661_ -*3016 _2662_ -*3017 _2663_ -*3018 _2664_ -*3019 _2665_ -*3020 _2666_ -*3021 _2667_ -*3022 _2668_ -*3023 _2669_ -*3024 _2670_ -*3025 _2671_ -*3026 _2672_ -*3027 _2673_ -*3028 _2674_ -*3029 _2675_ -*3030 _2676_ -*3031 _2677_ -*3032 _2678_ -*3033 _2679_ -*3034 _2680_ -*3035 _2681_ -*3036 _2682_ -*3037 _2683_ -*3038 _2684_ -*3039 _2685_ -*3040 _2686_ -*3041 _2687_ -*3042 _2688_ -*3043 _2689_ -*3044 _2690_ -*3045 _2691_ -*3046 _2692_ -*3047 _2693_ -*3048 _2694_ -*3049 _2695_ -*3050 _2696_ -*3051 _2697_ -*3052 _2698_ -*3053 _2699_ -*3054 _2700_ -*3055 _2701_ -*3056 _2702_ -*3057 _2703_ -*3058 _2704_ -*3059 _2705_ -*3060 _2706_ -*3061 _2707_ -*3062 _2708_ -*3063 _2709_ -*3064 _2710_ -*3065 _2711_ -*3066 _2712_ -*3067 _2713_ -*3068 _2714_ -*3069 _2715_ -*3070 _2716_ -*3071 _2717_ -*3072 _2718_ -*3073 _2719_ -*3074 _2720_ -*3075 _2721_ -*3076 _2722_ -*3077 _2723_ -*3078 _2724_ -*3079 _2725_ -*3080 _2726_ -*3081 _2727_ -*3082 _2728_ -*3083 _2729_ -*3084 _2730_ -*3085 _2731_ -*3086 _2732_ -*3087 _2733_ -*3088 _2734_ -*3089 _2735_ -*3090 _2736_ -*3091 _2737_ -*3092 _2738_ -*3093 _2739_ -*3094 _2740_ -*3095 _2741_ -*3096 _2742_ -*3097 _2743_ -*3098 _2744_ -*3099 _2745_ -*3100 _2746_ -*3101 _2747_ -*3102 _2748_ -*3103 _2749_ -*3104 _2750_ -*3105 _2751_ -*3106 _2752_ -*3107 _2753_ -*3108 _2754_ -*3109 _2755_ -*3110 _2756_ -*3111 _2757_ -*3112 _2758_ -*3113 _2759_ -*3114 _2760_ -*3115 _2761_ -*3116 _2762_ -*3117 _2763_ -*3118 _2764_ -*3119 _2765_ -*3120 _2766_ -*3121 _2767_ -*3122 _2768_ -*3123 _2769_ -*3124 _2770_ -*3125 _2771_ -*3126 _2772_ -*3127 _2773_ -*3128 _2774_ -*3129 _2775_ -*3130 _2776_ -*3131 _2777_ -*3132 _2778_ -*3133 _2779_ -*3134 _2780_ -*3135 _2781_ -*3136 _2782_ -*3137 _2783_ -*3138 _2784_ -*3139 _2785_ -*3140 _2786_ -*3141 _2787_ -*3142 _2788_ -*3143 _2789_ -*3144 _2790_ -*3145 _2791_ -*3146 _2792_ -*3147 _2793_ -*3148 _2794_ -*3149 _2795_ -*3150 _2796_ -*3151 _2797_ -*3152 _2798_ -*3153 _2799_ -*3154 _2800_ -*3155 _2801_ -*3156 _2802_ -*3157 _2803_ -*3158 _2804_ -*3159 _2805_ -*3160 _2806_ -*3161 _2807_ -*3162 _2808_ -*3163 _2809_ -*3164 _2810_ -*3165 _2811_ -*3166 _2812_ -*3167 _2813_ -*3168 _2814_ -*3169 _2815_ -*3170 _2816_ -*3171 _2817_ -*3172 _2818_ -*3173 _2819_ -*3174 _2820_ -*3175 _2821_ -*3176 _2822_ -*3177 _2823_ -*3178 _2824_ -*3179 _2825_ -*3180 _2826_ -*3181 _2827_ -*3182 _2828_ -*3183 _2829_ -*3184 _2830_ -*3185 _2831_ -*3186 _2832_ -*3187 _2833_ -*3188 _2834_ -*3189 _2835_ -*3190 _2836_ -*3191 _2837_ -*3192 _2838_ -*3193 _2839_ -*3194 _2840_ -*3195 _2841_ -*3196 _2842_ -*3197 _2843_ -*3198 _2844_ -*3199 _2845_ -*3200 _2846_ -*3201 _2847_ -*3202 _2848_ -*3203 _2849_ -*3204 _2850_ -*3205 _2851_ -*3206 _2852_ -*3207 _2853_ -*3208 _2854_ -*3209 _2855_ -*3210 _2856_ -*3211 _2857_ -*3212 _2858_ -*3213 _2859_ -*3214 _2860_ -*3215 _2861_ -*3216 _2862_ -*3217 _2863_ -*3218 _2864_ -*3219 _2865_ -*3220 _2866_ -*3221 _2867_ -*3222 _2868_ -*3223 _2869_ -*3224 _2870_ -*3225 _2871_ -*3226 _2872_ -*3227 _2873_ -*3228 _2874_ -*3229 _2875_ -*3230 _2876_ -*3231 _2877_ -*3232 _2878_ -*3233 _2879_ -*3234 _2880_ -*3235 _2881_ -*3236 _2882_ -*3237 _2883_ -*3238 _2884_ -*3239 _2885_ -*3240 _2886_ -*3241 _2887_ -*3242 _2888_ -*3243 _2889_ -*3244 _2890_ -*3245 _2891_ -*3246 _2892_ -*3247 _2893_ -*3248 _2894_ -*3249 _2895_ -*3250 _2896_ -*3251 _2897_ -*3252 _2898_ -*3253 _2899_ -*3254 _2900_ -*3255 _2901_ -*3256 _2902_ -*3257 _2903_ -*3258 _2904_ -*3259 _2905_ -*3260 _2906_ -*3261 _2907_ -*3262 _2908_ -*3263 _2909_ -*3264 _2910_ -*3265 _2911_ -*3266 _2912_ -*3267 _2913_ -*3268 _2914_ -*3269 _2915_ -*3270 _2916_ -*3271 _2917_ -*3272 _2918_ -*3273 _2919_ -*3274 _2920_ -*3275 _2921_ -*3276 _2922_ -*3277 _2923_ -*3278 _2924_ -*3279 _2925_ -*3280 _2926_ -*3281 _2927_ -*3282 _2928_ -*3283 _2929_ -*3284 _2930_ -*3285 _2931_ -*3286 _2932_ -*3287 _2933_ -*3288 _2934_ -*3289 _2935_ -*3290 _2936_ -*3291 _2937_ -*3292 _2938_ -*3293 _2939_ -*3294 _2940_ -*3295 _2941_ -*3296 _2942_ -*3297 _2943_ -*3298 _2944_ -*3299 _2945_ -*3300 _2946_ -*3301 _2947_ -*3302 _2948_ -*3303 _2949_ -*3304 _2950_ -*3305 _2951_ -*3306 _2952_ -*3307 _2953_ -*3308 _2954_ -*3309 _2955_ -*3310 _2956_ -*3311 _2957_ -*3312 _2958_ -*3313 _2959_ -*3314 _2960_ -*3315 _2961_ -*3316 _2962_ -*3317 _2963_ -*3318 _2964_ -*3319 _2965_ -*3320 _2966_ -*3321 _2967_ -*3322 _2968_ -*3323 _2969_ -*3324 _2970_ -*3325 _2971_ -*3326 _2972_ -*3327 _2973_ -*3328 _2974_ -*3329 _2975_ -*3330 _2976_ -*3331 _2977_ -*3332 _2978_ -*3333 _2979_ -*3334 _2980_ -*3335 _2981_ -*3336 _2982_ -*3337 _2983_ -*3338 _2984_ -*3339 _2985_ -*3340 _2986_ -*3341 _2987_ -*3342 _2988_ -*3343 _2989_ -*3344 _2990_ -*3345 _2991_ -*3346 _2992_ -*3347 _2993_ -*3348 _2994_ -*3349 _2995_ -*3350 _2996_ -*3351 _2997_ -*3352 _2998_ -*3353 _2999_ -*3354 _3000_ -*3355 _3001_ -*3356 _3002_ -*3357 _3003_ -*3358 _3004_ -*3359 _3005_ -*3360 _3006_ -*3361 _3007_ -*3362 _3008_ -*3363 _3009_ -*3364 _3010_ -*3365 _3011_ -*3366 _3012_ -*3367 _3013_ -*3368 _3014_ -*3369 _3015_ -*3370 _3016_ -*3371 _3017_ -*3372 _3018_ -*3373 _3019_ -*3374 _3020_ -*3375 _3021_ -*3376 _3022_ -*3377 _3023_ -*3378 _3024_ -*3379 _3025_ -*3380 _3026_ -*3381 _3027_ -*3382 _3028_ -*3383 _3029_ -*3384 _3030_ -*3385 _3031_ -*3386 _3032_ -*3387 _3033_ -*3388 _3034_ -*3389 _3035_ -*3390 _3036_ -*3391 _3037_ -*3392 _3038_ -*3393 _3039_ -*3394 _3040_ -*3395 _3041_ -*3396 _3042_ -*3397 _3043_ -*3398 _3044_ -*3399 _3045_ -*3400 _3046_ -*3401 _3047_ -*3402 _3048_ -*3403 _3049_ -*3404 _3050_ -*3405 _3051_ -*3406 _3052_ -*3407 _3053_ -*3408 _3054_ -*3409 _3055_ -*3410 _3056_ -*3411 _3057_ -*3412 _3058_ -*3413 _3059_ -*3414 _3060_ -*3415 _3061_ -*3416 _3062_ -*3417 _3063_ -*3418 _3064_ -*3419 _3065_ -*3420 _3066_ -*3421 _3067_ -*3422 _3068_ -*3423 _3069_ -*3424 _3070_ -*3425 _3071_ -*3426 _3072_ -*3427 _3073_ -*3428 _3074_ -*3429 _3075_ -*3430 _3076_ -*3431 _3077_ -*3432 _3078_ -*3433 _3079_ -*3434 _3080_ -*3435 _3081_ -*3436 _3082_ -*3437 _3083_ -*3438 _3084_ -*3439 _3085_ -*3440 _3086_ -*3441 _3087_ -*3442 _3088_ -*3443 _3089_ -*3444 _3090_ -*3445 _3091_ -*3446 _3092_ -*3447 _3093_ -*3448 _3094_ -*3449 _3095_ -*3450 _3096_ -*3451 _3097_ -*3452 _3098_ -*3453 _3099_ -*3454 _3100_ -*3455 _3101_ -*3456 _3102_ -*3457 _3103_ -*3458 _3104_ -*3459 _3105_ -*3460 _3106_ -*3461 _3107_ -*3462 _3108_ -*3463 _3109_ -*3464 _3110_ -*3465 _3111_ -*3466 _3112_ -*3467 _3113_ -*3468 _3114_ -*3469 _3115_ -*3470 _3116_ -*3471 _3117_ -*3472 _3118_ -*3473 _3119_ -*3474 _3120_ -*3475 _3121_ -*3476 _3122_ -*3477 _3123_ -*3478 _3124_ -*3479 _3125_ -*3480 _3126_ -*3481 _3127_ -*3482 _3128_ -*3483 _3129_ -*3484 _3130_ -*3485 _3131_ -*3486 _3132_ -*3487 _3133_ -*3488 _3134_ -*3489 _3135_ -*3490 _3136_ -*3491 _3137_ -*3492 _3138_ -*3493 _3139_ -*3494 _3140_ -*3495 _3141_ -*3496 _3142_ -*3497 _3143_ -*3498 _3144_ -*3499 _3145_ -*3500 _3146_ -*3501 _3147_ -*3502 _3148_ -*3503 _3149_ -*3504 _3150_ -*3505 _3151_ -*3506 _3152_ -*3507 _3153_ -*3508 _3154_ -*3509 _3155_ -*3510 _3156_ -*3511 _3157_ -*3512 _3158_ -*3513 _3159_ -*3514 _3160_ -*3515 _3161_ -*3516 _3162_ -*3517 _3163_ -*3518 _3164_ -*3519 _3165_ -*3520 _3166_ -*3521 _3167_ -*3522 _3168_ -*3523 _3169_ -*3524 _3170_ -*3525 _3171_ -*3526 _3172_ -*3527 _3173_ -*3528 _3174_ -*3529 _3175_ -*3530 _3176_ -*3531 _3177_ -*3532 _3178_ -*3533 _3179_ -*3534 _3180_ -*3535 _3181_ -*3536 _3182_ -*3537 clk1_output_dest -*3538 clk2_output_dest -*3539 clknet_0__1134_ -*3540 clknet_0_csclk -*3541 clknet_0_mgmt_gpio_in[4] -*3542 clknet_0_wb_clk_i -*3543 clknet_0_wbbd_sck -*3544 clknet_1_0_0_csclk -*3545 clknet_1_0_0_wb_clk_i -*3546 clknet_1_0_1_csclk -*3547 clknet_1_0_1_wb_clk_i -*3548 clknet_1_0__leaf__1134_ -*3549 clknet_1_0__leaf_wbbd_sck -*3550 clknet_1_1_0_csclk -*3551 clknet_1_1_0_wb_clk_i -*3552 clknet_1_1_1_csclk -*3553 clknet_1_1_1_wb_clk_i -*3554 clknet_1_1__leaf__1134_ -*3555 clknet_1_1__leaf_wbbd_sck -*3556 clknet_2_0_0_csclk -*3557 clknet_2_0_0_wb_clk_i -*3558 clknet_2_0__leaf_mgmt_gpio_in[4] -*3559 clknet_2_1_0_csclk -*3560 clknet_2_1_0_wb_clk_i -*3561 clknet_2_1__leaf_mgmt_gpio_in[4] -*3562 clknet_2_2_0_csclk -*3563 clknet_2_2_0_wb_clk_i -*3564 clknet_2_2__leaf_mgmt_gpio_in[4] -*3565 clknet_2_3_0_csclk -*3566 clknet_2_3_0_wb_clk_i -*3567 clknet_2_3__leaf_mgmt_gpio_in[4] -*3568 clknet_3_0_0_csclk -*3569 clknet_3_0_0_wb_clk_i -*3570 clknet_3_1_0_csclk -*3571 clknet_3_1_0_wb_clk_i -*3572 clknet_3_2_0_csclk -*3573 clknet_3_2_0_wb_clk_i -*3574 clknet_3_3_0_csclk -*3575 clknet_3_3_0_wb_clk_i -*3576 clknet_3_4_0_csclk -*3577 clknet_3_4_0_wb_clk_i -*3578 clknet_3_5_0_csclk -*3579 clknet_3_5_0_wb_clk_i -*3580 clknet_3_6_0_csclk -*3581 clknet_3_6_0_wb_clk_i -*3582 clknet_3_7_0_csclk -*3583 clknet_3_7_0_wb_clk_i -*3584 clknet_leaf_0_csclk -*3585 clknet_leaf_10_csclk -*3586 clknet_leaf_11_csclk -*3587 clknet_leaf_12_csclk -*3588 clknet_leaf_14_csclk -*3589 clknet_leaf_15_csclk -*3590 clknet_leaf_16_csclk -*3591 clknet_leaf_17_csclk -*3592 clknet_leaf_18_csclk -*3593 clknet_leaf_19_csclk -*3594 clknet_leaf_1_csclk -*3595 clknet_leaf_20_csclk -*3596 clknet_leaf_21_csclk -*3597 clknet_leaf_22_csclk -*3598 clknet_leaf_23_csclk -*3599 clknet_leaf_24_csclk -*3600 clknet_leaf_25_csclk -*3601 clknet_leaf_26_csclk -*3602 clknet_leaf_27_csclk -*3603 clknet_leaf_28_csclk -*3604 clknet_leaf_29_csclk -*3605 clknet_leaf_2_csclk -*3606 clknet_leaf_30_csclk -*3607 clknet_leaf_31_csclk -*3608 clknet_leaf_32_csclk -*3609 clknet_leaf_33_csclk -*3610 clknet_leaf_34_csclk -*3611 clknet_leaf_35_csclk -*3612 clknet_leaf_36_csclk -*3613 clknet_leaf_37_csclk -*3614 clknet_leaf_38_csclk -*3615 clknet_leaf_39_csclk -*3616 clknet_leaf_3_csclk -*3617 clknet_leaf_40_csclk -*3618 clknet_leaf_41_csclk -*3619 clknet_leaf_43_csclk -*3620 clknet_leaf_44_csclk -*3621 clknet_leaf_45_csclk -*3622 clknet_leaf_46_csclk -*3623 clknet_leaf_47_csclk -*3624 clknet_leaf_48_csclk -*3625 clknet_leaf_49_csclk -*3626 clknet_leaf_4_csclk -*3627 clknet_leaf_50_csclk -*3628 clknet_leaf_51_csclk -*3629 clknet_leaf_52_csclk -*3630 clknet_leaf_53_csclk -*3631 clknet_leaf_54_csclk -*3632 clknet_leaf_55_csclk -*3633 clknet_leaf_56_csclk -*3634 clknet_leaf_57_csclk -*3635 clknet_leaf_58_csclk -*3636 clknet_leaf_59_csclk -*3637 clknet_leaf_5_csclk -*3638 clknet_leaf_60_csclk -*3639 clknet_leaf_61_csclk -*3640 clknet_leaf_62_csclk -*3641 clknet_leaf_63_csclk -*3642 clknet_leaf_64_csclk -*3643 clknet_leaf_65_csclk -*3644 clknet_leaf_67_csclk -*3645 clknet_leaf_69_csclk -*3646 clknet_leaf_6_csclk -*3647 clknet_leaf_70_csclk -*3648 clknet_leaf_71_csclk -*3649 clknet_leaf_72_csclk -*3650 clknet_leaf_73_csclk -*3651 clknet_leaf_75_csclk -*3652 clknet_leaf_76_csclk -*3653 clknet_leaf_77_csclk -*3654 clknet_leaf_78_csclk -*3655 clknet_leaf_8_csclk -*3656 clknet_leaf_9_csclk -*3657 clknet_opt_1_0_csclk -*3658 clknet_opt_2_0_csclk -*3659 csclk -*3660 gpio_configure\[0\]\[0\] -*3661 gpio_configure\[0\]\[10\] -*3662 gpio_configure\[0\]\[11\] -*3663 gpio_configure\[0\]\[12\] -*3664 gpio_configure\[0\]\[1\] -*3665 gpio_configure\[0\]\[2\] -*3666 gpio_configure\[0\]\[3\] -*3667 gpio_configure\[0\]\[4\] -*3668 gpio_configure\[0\]\[5\] -*3669 gpio_configure\[0\]\[6\] -*3670 gpio_configure\[0\]\[7\] -*3671 gpio_configure\[0\]\[8\] -*3672 gpio_configure\[0\]\[9\] -*3673 gpio_configure\[10\]\[0\] -*3674 gpio_configure\[10\]\[10\] -*3675 gpio_configure\[10\]\[11\] -*3676 gpio_configure\[10\]\[12\] -*3677 gpio_configure\[10\]\[1\] -*3678 gpio_configure\[10\]\[2\] -*3679 gpio_configure\[10\]\[3\] -*3680 gpio_configure\[10\]\[4\] -*3681 gpio_configure\[10\]\[5\] -*3682 gpio_configure\[10\]\[6\] -*3683 gpio_configure\[10\]\[7\] -*3684 gpio_configure\[10\]\[8\] -*3685 gpio_configure\[10\]\[9\] -*3686 gpio_configure\[11\]\[0\] -*3687 gpio_configure\[11\]\[10\] -*3688 gpio_configure\[11\]\[11\] -*3689 gpio_configure\[11\]\[12\] -*3690 gpio_configure\[11\]\[1\] -*3691 gpio_configure\[11\]\[2\] -*3692 gpio_configure\[11\]\[3\] -*3693 gpio_configure\[11\]\[4\] -*3694 gpio_configure\[11\]\[5\] -*3695 gpio_configure\[11\]\[6\] -*3696 gpio_configure\[11\]\[7\] -*3697 gpio_configure\[11\]\[8\] -*3698 gpio_configure\[11\]\[9\] -*3699 gpio_configure\[12\]\[0\] -*3700 gpio_configure\[12\]\[10\] -*3701 gpio_configure\[12\]\[11\] -*3702 gpio_configure\[12\]\[12\] -*3703 gpio_configure\[12\]\[1\] -*3704 gpio_configure\[12\]\[2\] -*3705 gpio_configure\[12\]\[3\] -*3706 gpio_configure\[12\]\[4\] -*3707 gpio_configure\[12\]\[5\] -*3708 gpio_configure\[12\]\[6\] -*3709 gpio_configure\[12\]\[7\] -*3710 gpio_configure\[12\]\[8\] -*3711 gpio_configure\[12\]\[9\] -*3712 gpio_configure\[13\]\[0\] -*3713 gpio_configure\[13\]\[10\] -*3714 gpio_configure\[13\]\[11\] -*3715 gpio_configure\[13\]\[12\] -*3716 gpio_configure\[13\]\[1\] -*3717 gpio_configure\[13\]\[2\] -*3718 gpio_configure\[13\]\[3\] -*3719 gpio_configure\[13\]\[4\] -*3720 gpio_configure\[13\]\[5\] -*3721 gpio_configure\[13\]\[6\] -*3722 gpio_configure\[13\]\[7\] -*3723 gpio_configure\[13\]\[8\] -*3724 gpio_configure\[13\]\[9\] -*3725 gpio_configure\[14\]\[0\] -*3726 gpio_configure\[14\]\[10\] -*3727 gpio_configure\[14\]\[11\] -*3728 gpio_configure\[14\]\[12\] -*3729 gpio_configure\[14\]\[1\] -*3730 gpio_configure\[14\]\[2\] -*3731 gpio_configure\[14\]\[3\] -*3732 gpio_configure\[14\]\[4\] -*3733 gpio_configure\[14\]\[5\] -*3734 gpio_configure\[14\]\[6\] -*3735 gpio_configure\[14\]\[7\] -*3736 gpio_configure\[14\]\[8\] -*3737 gpio_configure\[14\]\[9\] -*3738 gpio_configure\[15\]\[0\] -*3739 gpio_configure\[15\]\[10\] -*3740 gpio_configure\[15\]\[11\] -*3741 gpio_configure\[15\]\[12\] -*3742 gpio_configure\[15\]\[1\] -*3743 gpio_configure\[15\]\[2\] -*3744 gpio_configure\[15\]\[3\] -*3745 gpio_configure\[15\]\[4\] -*3746 gpio_configure\[15\]\[5\] -*3747 gpio_configure\[15\]\[6\] -*3748 gpio_configure\[15\]\[7\] -*3749 gpio_configure\[15\]\[8\] -*3750 gpio_configure\[15\]\[9\] -*3751 gpio_configure\[16\]\[0\] -*3752 gpio_configure\[16\]\[10\] -*3753 gpio_configure\[16\]\[11\] -*3754 gpio_configure\[16\]\[12\] -*3755 gpio_configure\[16\]\[1\] -*3756 gpio_configure\[16\]\[2\] -*3757 gpio_configure\[16\]\[3\] -*3758 gpio_configure\[16\]\[4\] -*3759 gpio_configure\[16\]\[5\] -*3760 gpio_configure\[16\]\[6\] -*3761 gpio_configure\[16\]\[7\] -*3762 gpio_configure\[16\]\[8\] -*3763 gpio_configure\[16\]\[9\] -*3764 gpio_configure\[17\]\[0\] -*3765 gpio_configure\[17\]\[10\] -*3766 gpio_configure\[17\]\[11\] -*3767 gpio_configure\[17\]\[12\] -*3768 gpio_configure\[17\]\[1\] -*3769 gpio_configure\[17\]\[2\] -*3770 gpio_configure\[17\]\[3\] -*3771 gpio_configure\[17\]\[4\] -*3772 gpio_configure\[17\]\[5\] -*3773 gpio_configure\[17\]\[6\] -*3774 gpio_configure\[17\]\[7\] -*3775 gpio_configure\[17\]\[8\] -*3776 gpio_configure\[17\]\[9\] -*3777 gpio_configure\[18\]\[0\] -*3778 gpio_configure\[18\]\[10\] -*3779 gpio_configure\[18\]\[11\] -*3780 gpio_configure\[18\]\[12\] -*3781 gpio_configure\[18\]\[1\] -*3782 gpio_configure\[18\]\[2\] -*3783 gpio_configure\[18\]\[3\] -*3784 gpio_configure\[18\]\[4\] -*3785 gpio_configure\[18\]\[5\] -*3786 gpio_configure\[18\]\[6\] -*3787 gpio_configure\[18\]\[7\] -*3788 gpio_configure\[18\]\[8\] -*3789 gpio_configure\[18\]\[9\] -*3790 gpio_configure\[19\]\[0\] -*3791 gpio_configure\[19\]\[10\] -*3792 gpio_configure\[19\]\[11\] -*3793 gpio_configure\[19\]\[12\] -*3794 gpio_configure\[19\]\[1\] -*3795 gpio_configure\[19\]\[2\] -*3796 gpio_configure\[19\]\[3\] -*3797 gpio_configure\[19\]\[4\] -*3798 gpio_configure\[19\]\[5\] -*3799 gpio_configure\[19\]\[6\] -*3800 gpio_configure\[19\]\[7\] -*3801 gpio_configure\[19\]\[8\] -*3802 gpio_configure\[19\]\[9\] -*3803 gpio_configure\[1\]\[0\] -*3804 gpio_configure\[1\]\[10\] -*3805 gpio_configure\[1\]\[11\] -*3806 gpio_configure\[1\]\[12\] -*3807 gpio_configure\[1\]\[1\] -*3808 gpio_configure\[1\]\[2\] -*3809 gpio_configure\[1\]\[3\] -*3810 gpio_configure\[1\]\[4\] -*3811 gpio_configure\[1\]\[5\] -*3812 gpio_configure\[1\]\[6\] -*3813 gpio_configure\[1\]\[7\] -*3814 gpio_configure\[1\]\[8\] -*3815 gpio_configure\[1\]\[9\] -*3816 gpio_configure\[20\]\[0\] -*3817 gpio_configure\[20\]\[10\] -*3818 gpio_configure\[20\]\[11\] -*3819 gpio_configure\[20\]\[12\] -*3820 gpio_configure\[20\]\[1\] -*3821 gpio_configure\[20\]\[2\] -*3822 gpio_configure\[20\]\[3\] -*3823 gpio_configure\[20\]\[4\] -*3824 gpio_configure\[20\]\[5\] -*3825 gpio_configure\[20\]\[6\] -*3826 gpio_configure\[20\]\[7\] -*3827 gpio_configure\[20\]\[8\] -*3828 gpio_configure\[20\]\[9\] -*3829 gpio_configure\[21\]\[0\] -*3830 gpio_configure\[21\]\[10\] -*3831 gpio_configure\[21\]\[11\] -*3832 gpio_configure\[21\]\[12\] -*3833 gpio_configure\[21\]\[1\] -*3834 gpio_configure\[21\]\[2\] -*3835 gpio_configure\[21\]\[3\] -*3836 gpio_configure\[21\]\[4\] -*3837 gpio_configure\[21\]\[5\] -*3838 gpio_configure\[21\]\[6\] -*3839 gpio_configure\[21\]\[7\] -*3840 gpio_configure\[21\]\[8\] -*3841 gpio_configure\[21\]\[9\] -*3842 gpio_configure\[22\]\[0\] -*3843 gpio_configure\[22\]\[10\] -*3844 gpio_configure\[22\]\[11\] -*3845 gpio_configure\[22\]\[12\] -*3846 gpio_configure\[22\]\[1\] -*3847 gpio_configure\[22\]\[2\] -*3848 gpio_configure\[22\]\[3\] -*3849 gpio_configure\[22\]\[4\] -*3850 gpio_configure\[22\]\[5\] -*3851 gpio_configure\[22\]\[6\] -*3852 gpio_configure\[22\]\[7\] -*3853 gpio_configure\[22\]\[8\] -*3854 gpio_configure\[22\]\[9\] -*3855 gpio_configure\[23\]\[0\] -*3856 gpio_configure\[23\]\[10\] -*3857 gpio_configure\[23\]\[11\] -*3858 gpio_configure\[23\]\[12\] -*3859 gpio_configure\[23\]\[1\] -*3860 gpio_configure\[23\]\[2\] -*3861 gpio_configure\[23\]\[3\] -*3862 gpio_configure\[23\]\[4\] -*3863 gpio_configure\[23\]\[5\] -*3864 gpio_configure\[23\]\[6\] -*3865 gpio_configure\[23\]\[7\] -*3866 gpio_configure\[23\]\[8\] -*3867 gpio_configure\[23\]\[9\] -*3868 gpio_configure\[24\]\[0\] -*3869 gpio_configure\[24\]\[10\] -*3870 gpio_configure\[24\]\[11\] -*3871 gpio_configure\[24\]\[12\] -*3872 gpio_configure\[24\]\[1\] -*3873 gpio_configure\[24\]\[2\] -*3874 gpio_configure\[24\]\[3\] -*3875 gpio_configure\[24\]\[4\] -*3876 gpio_configure\[24\]\[5\] -*3877 gpio_configure\[24\]\[6\] -*3878 gpio_configure\[24\]\[7\] -*3879 gpio_configure\[24\]\[8\] -*3880 gpio_configure\[24\]\[9\] -*3881 gpio_configure\[25\]\[0\] -*3882 gpio_configure\[25\]\[10\] -*3883 gpio_configure\[25\]\[11\] -*3884 gpio_configure\[25\]\[12\] -*3885 gpio_configure\[25\]\[1\] -*3886 gpio_configure\[25\]\[2\] -*3887 gpio_configure\[25\]\[3\] -*3888 gpio_configure\[25\]\[4\] -*3889 gpio_configure\[25\]\[5\] -*3890 gpio_configure\[25\]\[6\] -*3891 gpio_configure\[25\]\[7\] -*3892 gpio_configure\[25\]\[8\] -*3893 gpio_configure\[25\]\[9\] -*3894 gpio_configure\[26\]\[0\] -*3895 gpio_configure\[26\]\[10\] -*3896 gpio_configure\[26\]\[11\] -*3897 gpio_configure\[26\]\[12\] -*3898 gpio_configure\[26\]\[1\] -*3899 gpio_configure\[26\]\[2\] -*3900 gpio_configure\[26\]\[3\] -*3901 gpio_configure\[26\]\[4\] -*3902 gpio_configure\[26\]\[5\] -*3903 gpio_configure\[26\]\[6\] -*3904 gpio_configure\[26\]\[7\] -*3905 gpio_configure\[26\]\[8\] -*3906 gpio_configure\[26\]\[9\] -*3907 gpio_configure\[27\]\[0\] -*3908 gpio_configure\[27\]\[10\] -*3909 gpio_configure\[27\]\[11\] -*3910 gpio_configure\[27\]\[12\] -*3911 gpio_configure\[27\]\[1\] -*3912 gpio_configure\[27\]\[2\] -*3913 gpio_configure\[27\]\[3\] -*3914 gpio_configure\[27\]\[4\] -*3915 gpio_configure\[27\]\[5\] -*3916 gpio_configure\[27\]\[6\] -*3917 gpio_configure\[27\]\[7\] -*3918 gpio_configure\[27\]\[8\] -*3919 gpio_configure\[27\]\[9\] -*3920 gpio_configure\[28\]\[0\] -*3921 gpio_configure\[28\]\[10\] -*3922 gpio_configure\[28\]\[11\] -*3923 gpio_configure\[28\]\[12\] -*3924 gpio_configure\[28\]\[1\] -*3925 gpio_configure\[28\]\[2\] -*3926 gpio_configure\[28\]\[3\] -*3927 gpio_configure\[28\]\[4\] -*3928 gpio_configure\[28\]\[5\] -*3929 gpio_configure\[28\]\[6\] -*3930 gpio_configure\[28\]\[7\] -*3931 gpio_configure\[28\]\[8\] -*3932 gpio_configure\[28\]\[9\] -*3933 gpio_configure\[29\]\[0\] -*3934 gpio_configure\[29\]\[10\] -*3935 gpio_configure\[29\]\[11\] -*3936 gpio_configure\[29\]\[12\] -*3937 gpio_configure\[29\]\[1\] -*3938 gpio_configure\[29\]\[2\] -*3939 gpio_configure\[29\]\[3\] -*3940 gpio_configure\[29\]\[4\] -*3941 gpio_configure\[29\]\[5\] -*3942 gpio_configure\[29\]\[6\] -*3943 gpio_configure\[29\]\[7\] -*3944 gpio_configure\[29\]\[8\] -*3945 gpio_configure\[29\]\[9\] -*3946 gpio_configure\[2\]\[0\] -*3947 gpio_configure\[2\]\[10\] -*3948 gpio_configure\[2\]\[11\] -*3949 gpio_configure\[2\]\[12\] -*3950 gpio_configure\[2\]\[1\] -*3951 gpio_configure\[2\]\[2\] -*3952 gpio_configure\[2\]\[3\] -*3953 gpio_configure\[2\]\[4\] -*3954 gpio_configure\[2\]\[5\] -*3955 gpio_configure\[2\]\[6\] -*3956 gpio_configure\[2\]\[7\] -*3957 gpio_configure\[2\]\[8\] -*3958 gpio_configure\[2\]\[9\] -*3959 gpio_configure\[30\]\[0\] -*3960 gpio_configure\[30\]\[10\] -*3961 gpio_configure\[30\]\[11\] -*3962 gpio_configure\[30\]\[12\] -*3963 gpio_configure\[30\]\[1\] -*3964 gpio_configure\[30\]\[2\] -*3965 gpio_configure\[30\]\[3\] -*3966 gpio_configure\[30\]\[4\] -*3967 gpio_configure\[30\]\[5\] -*3968 gpio_configure\[30\]\[6\] -*3969 gpio_configure\[30\]\[7\] -*3970 gpio_configure\[30\]\[8\] -*3971 gpio_configure\[30\]\[9\] -*3972 gpio_configure\[31\]\[0\] -*3973 gpio_configure\[31\]\[10\] -*3974 gpio_configure\[31\]\[11\] -*3975 gpio_configure\[31\]\[12\] -*3976 gpio_configure\[31\]\[1\] -*3977 gpio_configure\[31\]\[2\] -*3978 gpio_configure\[31\]\[3\] -*3979 gpio_configure\[31\]\[4\] -*3980 gpio_configure\[31\]\[5\] -*3981 gpio_configure\[31\]\[6\] -*3982 gpio_configure\[31\]\[7\] -*3983 gpio_configure\[31\]\[8\] -*3984 gpio_configure\[31\]\[9\] -*3985 gpio_configure\[32\]\[0\] -*3986 gpio_configure\[32\]\[10\] -*3987 gpio_configure\[32\]\[11\] -*3988 gpio_configure\[32\]\[12\] -*3989 gpio_configure\[32\]\[1\] -*3990 gpio_configure\[32\]\[2\] -*3991 gpio_configure\[32\]\[3\] -*3992 gpio_configure\[32\]\[4\] -*3993 gpio_configure\[32\]\[5\] -*3994 gpio_configure\[32\]\[6\] -*3995 gpio_configure\[32\]\[7\] -*3996 gpio_configure\[32\]\[8\] -*3997 gpio_configure\[32\]\[9\] -*3998 gpio_configure\[33\]\[0\] -*3999 gpio_configure\[33\]\[10\] -*4000 gpio_configure\[33\]\[11\] -*4001 gpio_configure\[33\]\[12\] -*4002 gpio_configure\[33\]\[1\] -*4003 gpio_configure\[33\]\[2\] -*4004 gpio_configure\[33\]\[3\] -*4005 gpio_configure\[33\]\[4\] -*4006 gpio_configure\[33\]\[5\] -*4007 gpio_configure\[33\]\[6\] -*4008 gpio_configure\[33\]\[7\] -*4009 gpio_configure\[33\]\[8\] -*4010 gpio_configure\[33\]\[9\] -*4011 gpio_configure\[34\]\[0\] -*4012 gpio_configure\[34\]\[10\] -*4013 gpio_configure\[34\]\[11\] -*4014 gpio_configure\[34\]\[12\] -*4015 gpio_configure\[34\]\[1\] -*4016 gpio_configure\[34\]\[2\] -*4017 gpio_configure\[34\]\[3\] -*4018 gpio_configure\[34\]\[4\] -*4019 gpio_configure\[34\]\[5\] -*4020 gpio_configure\[34\]\[6\] -*4021 gpio_configure\[34\]\[7\] -*4022 gpio_configure\[34\]\[8\] -*4023 gpio_configure\[34\]\[9\] -*4024 gpio_configure\[35\]\[0\] -*4025 gpio_configure\[35\]\[10\] -*4026 gpio_configure\[35\]\[11\] -*4027 gpio_configure\[35\]\[12\] -*4028 gpio_configure\[35\]\[1\] -*4029 gpio_configure\[35\]\[2\] -*4030 gpio_configure\[35\]\[3\] -*4031 gpio_configure\[35\]\[4\] -*4032 gpio_configure\[35\]\[5\] -*4033 gpio_configure\[35\]\[6\] -*4034 gpio_configure\[35\]\[7\] -*4035 gpio_configure\[35\]\[8\] -*4036 gpio_configure\[35\]\[9\] -*4037 gpio_configure\[36\]\[0\] -*4038 gpio_configure\[36\]\[10\] -*4039 gpio_configure\[36\]\[11\] -*4040 gpio_configure\[36\]\[12\] -*4041 gpio_configure\[36\]\[1\] -*4042 gpio_configure\[36\]\[2\] -*4043 gpio_configure\[36\]\[3\] -*4044 gpio_configure\[36\]\[4\] -*4045 gpio_configure\[36\]\[5\] -*4046 gpio_configure\[36\]\[6\] -*4047 gpio_configure\[36\]\[7\] -*4048 gpio_configure\[36\]\[8\] -*4049 gpio_configure\[36\]\[9\] -*4050 gpio_configure\[37\]\[0\] -*4051 gpio_configure\[37\]\[10\] -*4052 gpio_configure\[37\]\[11\] -*4053 gpio_configure\[37\]\[12\] -*4054 gpio_configure\[37\]\[1\] -*4055 gpio_configure\[37\]\[2\] -*4056 gpio_configure\[37\]\[3\] -*4057 gpio_configure\[37\]\[4\] -*4058 gpio_configure\[37\]\[5\] -*4059 gpio_configure\[37\]\[6\] -*4060 gpio_configure\[37\]\[7\] -*4061 gpio_configure\[37\]\[8\] -*4062 gpio_configure\[37\]\[9\] -*4063 gpio_configure\[3\]\[0\] -*4064 gpio_configure\[3\]\[10\] -*4065 gpio_configure\[3\]\[11\] -*4066 gpio_configure\[3\]\[12\] -*4067 gpio_configure\[3\]\[1\] -*4068 gpio_configure\[3\]\[2\] -*4069 gpio_configure\[3\]\[3\] -*4070 gpio_configure\[3\]\[4\] -*4071 gpio_configure\[3\]\[5\] -*4072 gpio_configure\[3\]\[6\] -*4073 gpio_configure\[3\]\[7\] -*4074 gpio_configure\[3\]\[8\] -*4075 gpio_configure\[3\]\[9\] -*4076 gpio_configure\[4\]\[0\] -*4077 gpio_configure\[4\]\[10\] -*4078 gpio_configure\[4\]\[11\] -*4079 gpio_configure\[4\]\[12\] -*4080 gpio_configure\[4\]\[1\] -*4081 gpio_configure\[4\]\[2\] -*4082 gpio_configure\[4\]\[3\] -*4083 gpio_configure\[4\]\[4\] -*4084 gpio_configure\[4\]\[5\] -*4085 gpio_configure\[4\]\[6\] -*4086 gpio_configure\[4\]\[7\] -*4087 gpio_configure\[4\]\[8\] -*4088 gpio_configure\[4\]\[9\] -*4089 gpio_configure\[5\]\[0\] -*4090 gpio_configure\[5\]\[10\] -*4091 gpio_configure\[5\]\[11\] -*4092 gpio_configure\[5\]\[12\] -*4093 gpio_configure\[5\]\[1\] -*4094 gpio_configure\[5\]\[2\] -*4095 gpio_configure\[5\]\[3\] -*4096 gpio_configure\[5\]\[4\] -*4097 gpio_configure\[5\]\[5\] -*4098 gpio_configure\[5\]\[6\] -*4099 gpio_configure\[5\]\[7\] -*4100 gpio_configure\[5\]\[8\] -*4101 gpio_configure\[5\]\[9\] -*4102 gpio_configure\[6\]\[0\] -*4103 gpio_configure\[6\]\[10\] -*4104 gpio_configure\[6\]\[11\] -*4105 gpio_configure\[6\]\[12\] -*4106 gpio_configure\[6\]\[1\] -*4107 gpio_configure\[6\]\[2\] -*4108 gpio_configure\[6\]\[3\] -*4109 gpio_configure\[6\]\[4\] -*4110 gpio_configure\[6\]\[5\] -*4111 gpio_configure\[6\]\[6\] -*4112 gpio_configure\[6\]\[7\] -*4113 gpio_configure\[6\]\[8\] -*4114 gpio_configure\[6\]\[9\] -*4115 gpio_configure\[7\]\[0\] -*4116 gpio_configure\[7\]\[10\] -*4117 gpio_configure\[7\]\[11\] -*4118 gpio_configure\[7\]\[12\] -*4119 gpio_configure\[7\]\[1\] -*4120 gpio_configure\[7\]\[2\] -*4121 gpio_configure\[7\]\[3\] -*4122 gpio_configure\[7\]\[4\] -*4123 gpio_configure\[7\]\[5\] -*4124 gpio_configure\[7\]\[6\] -*4125 gpio_configure\[7\]\[7\] -*4126 gpio_configure\[7\]\[8\] -*4127 gpio_configure\[7\]\[9\] -*4128 gpio_configure\[8\]\[0\] -*4129 gpio_configure\[8\]\[10\] -*4130 gpio_configure\[8\]\[11\] -*4131 gpio_configure\[8\]\[12\] -*4132 gpio_configure\[8\]\[1\] -*4133 gpio_configure\[8\]\[2\] -*4134 gpio_configure\[8\]\[3\] -*4135 gpio_configure\[8\]\[4\] -*4136 gpio_configure\[8\]\[5\] -*4137 gpio_configure\[8\]\[6\] -*4138 gpio_configure\[8\]\[7\] -*4139 gpio_configure\[8\]\[8\] -*4140 gpio_configure\[8\]\[9\] -*4141 gpio_configure\[9\]\[0\] -*4142 gpio_configure\[9\]\[10\] -*4143 gpio_configure\[9\]\[11\] -*4144 gpio_configure\[9\]\[12\] -*4145 gpio_configure\[9\]\[1\] -*4146 gpio_configure\[9\]\[2\] -*4147 gpio_configure\[9\]\[3\] -*4148 gpio_configure\[9\]\[4\] -*4149 gpio_configure\[9\]\[5\] -*4150 gpio_configure\[9\]\[6\] -*4151 gpio_configure\[9\]\[7\] -*4152 gpio_configure\[9\]\[8\] -*4153 gpio_configure\[9\]\[9\] -*4154 hkspi\.SDO -*4155 hkspi\.addr\[0\] -*4156 hkspi\.addr\[1\] -*4157 hkspi\.addr\[2\] -*4158 hkspi\.addr\[3\] -*4159 hkspi\.addr\[4\] -*4160 hkspi\.addr\[5\] -*4161 hkspi\.addr\[6\] -*4162 hkspi\.addr\[7\] -*4163 hkspi\.count\[0\] -*4164 hkspi\.count\[1\] -*4165 hkspi\.count\[2\] -*4166 hkspi\.fixed\[0\] -*4167 hkspi\.fixed\[1\] -*4168 hkspi\.fixed\[2\] -*4169 hkspi\.ldata\[0\] -*4170 hkspi\.ldata\[1\] -*4171 hkspi\.ldata\[2\] -*4172 hkspi\.ldata\[3\] -*4173 hkspi\.ldata\[4\] -*4174 hkspi\.ldata\[5\] -*4175 hkspi\.ldata\[6\] -*4176 hkspi\.odata\[1\] -*4177 hkspi\.odata\[2\] -*4178 hkspi\.odata\[3\] -*4179 hkspi\.odata\[4\] -*4180 hkspi\.odata\[5\] -*4181 hkspi\.odata\[6\] -*4182 hkspi\.odata\[7\] -*4183 hkspi\.pass_thru_mgmt -*4184 hkspi\.pass_thru_mgmt_delay -*4185 hkspi\.pass_thru_user -*4186 hkspi\.pass_thru_user_delay -*4187 hkspi\.pre_pass_thru_mgmt -*4188 hkspi\.pre_pass_thru_user -*4189 hkspi\.rdstb -*4190 hkspi\.readmode -*4191 hkspi\.sdoenb -*4192 hkspi\.state\[0\] -*4193 hkspi\.state\[1\] -*4194 hkspi\.state\[2\] -*4195 hkspi\.state\[3\] -*4196 hkspi\.state\[4\] -*4197 hkspi\.writemode -*4198 hkspi\.wrstb -*4199 hkspi_disable -*4200 irq_1_inputsrc -*4201 irq_2_inputsrc -*4202 mgmt_gpio_data\[0\] -*4203 mgmt_gpio_data\[10\] -*4204 mgmt_gpio_data\[13\] -*4205 mgmt_gpio_data\[14\] -*4206 mgmt_gpio_data\[15\] -*4207 mgmt_gpio_data\[1\] -*4208 mgmt_gpio_data\[32\] -*4209 mgmt_gpio_data\[33\] -*4210 mgmt_gpio_data\[35\] -*4211 mgmt_gpio_data\[36\] -*4212 mgmt_gpio_data\[37\] -*4213 mgmt_gpio_data\[6\] -*4214 mgmt_gpio_data\[8\] -*4215 mgmt_gpio_data\[9\] -*4216 mgmt_gpio_data_buf\[0\] -*4217 mgmt_gpio_data_buf\[10\] -*4218 mgmt_gpio_data_buf\[11\] -*4219 mgmt_gpio_data_buf\[12\] -*4220 mgmt_gpio_data_buf\[13\] -*4221 mgmt_gpio_data_buf\[14\] -*4222 mgmt_gpio_data_buf\[15\] -*4223 mgmt_gpio_data_buf\[16\] -*4224 mgmt_gpio_data_buf\[17\] -*4225 mgmt_gpio_data_buf\[18\] -*4226 mgmt_gpio_data_buf\[19\] -*4227 mgmt_gpio_data_buf\[1\] -*4228 mgmt_gpio_data_buf\[20\] -*4229 mgmt_gpio_data_buf\[21\] -*4230 mgmt_gpio_data_buf\[22\] -*4231 mgmt_gpio_data_buf\[23\] -*4232 mgmt_gpio_data_buf\[2\] -*4233 mgmt_gpio_data_buf\[3\] -*4234 mgmt_gpio_data_buf\[4\] -*4235 mgmt_gpio_data_buf\[5\] -*4236 mgmt_gpio_data_buf\[6\] -*4237 mgmt_gpio_data_buf\[7\] -*4238 mgmt_gpio_data_buf\[8\] -*4239 mgmt_gpio_data_buf\[9\] -*4240 net1 -*4241 net10 -*4242 net100 -*4243 net1000 -*4244 net1001 -*4245 net1002 -*4246 net1003 -*4247 net1004 -*4248 net1005 -*4249 net1006 -*4250 net1007 -*4251 net1008 -*4252 net1009 -*4253 net101 -*4254 net1010 -*4255 net1011 -*4256 net1012 -*4257 net1013 -*4258 net1014 -*4259 net1015 -*4260 net1016 -*4261 net1017 -*4262 net1018 -*4263 net1019 -*4264 net102 -*4265 net1020 -*4266 net1021 -*4267 net1022 -*4268 net1023 -*4269 net1024 -*4270 net1025 -*4271 net1026 -*4272 net1027 -*4273 net1028 -*4274 net1029 -*4275 net103 -*4276 net1030 -*4277 net1031 -*4278 net1032 -*4279 net1033 -*4280 net1034 -*4281 net1035 -*4282 net1036 -*4283 net1037 -*4284 net1038 -*4285 net1039 -*4286 net104 -*4287 net1040 -*4288 net1041 -*4289 net1042 -*4290 net1043 -*4291 net1044 -*4292 net1045 -*4293 net1046 -*4294 net1047 -*4295 net1048 -*4296 net1049 -*4297 net105 -*4298 net1050 -*4299 net1051 -*4300 net1052 -*4301 net1053 -*4302 net1054 -*4303 net1055 -*4304 net1056 -*4305 net1057 -*4306 net1058 -*4307 net1059 -*4308 net106 -*4309 net1060 -*4310 net1061 -*4311 net1062 -*4312 net1063 -*4313 net1064 -*4314 net1065 -*4315 net1066 -*4316 net1067 -*4317 net1068 -*4318 net1069 -*4319 net107 -*4320 net1070 -*4321 net1071 -*4322 net1072 -*4323 net1073 -*4324 net1074 -*4325 net1075 -*4326 net1076 -*4327 net1077 -*4328 net1078 -*4329 net1079 -*4330 net108 -*4331 net1080 -*4332 net1081 -*4333 net1082 -*4334 net1083 -*4335 net1084 -*4336 net1085 -*4337 net1086 -*4338 net1087 -*4339 net1088 -*4340 net1089 -*4341 net109 -*4342 net1090 -*4343 net1091 -*4344 net1092 -*4345 net1093 -*4346 net1094 -*4347 net1095 -*4348 net1096 -*4349 net1097 -*4350 net1098 -*4351 net1099 -*4352 net11 -*4353 net110 -*4354 net1100 -*4355 net1101 -*4356 net1102 -*4357 net1103 -*4358 net1104 -*4359 net1105 -*4360 net1106 -*4361 net1107 -*4362 net1108 -*4363 net1109 -*4364 net111 -*4365 net1110 -*4366 net1111 -*4367 net1112 -*4368 net1113 -*4369 net1114 -*4370 net1115 -*4371 net1116 -*4372 net1117 -*4373 net1118 -*4374 net1119 -*4375 net112 -*4376 net1120 -*4377 net1121 -*4378 net1122 -*4379 net1123 -*4380 net1124 -*4381 net1125 -*4382 net1126 -*4383 net1127 -*4384 net1128 -*4385 net1129 -*4386 net113 -*4387 net1130 -*4388 net1131 -*4389 net1132 -*4390 net1133 -*4391 net1134 -*4392 net1135 -*4393 net1136 -*4394 net1137 -*4395 net1138 -*4396 net1139 -*4397 net114 -*4398 net1140 -*4399 net1141 -*4400 net1142 -*4401 net1143 -*4402 net1144 -*4403 net1145 -*4404 net1146 -*4405 net1147 -*4406 net1148 -*4407 net1149 -*4408 net115 -*4409 net1150 -*4410 net1151 -*4411 net1152 -*4412 net1153 -*4413 net1154 -*4414 net1155 -*4415 net1156 -*4416 net1157 -*4417 net1158 -*4418 net1159 -*4419 net116 -*4420 net1160 -*4421 net1161 -*4422 net1162 -*4423 net1163 -*4424 net1164 -*4425 net1165 -*4426 net1166 -*4427 net1167 -*4428 net1168 -*4429 net1169 -*4430 net117 -*4431 net1170 -*4432 net1171 -*4433 net1172 -*4434 net1173 -*4435 net1174 -*4436 net1175 -*4437 net1176 -*4438 net1177 -*4439 net1178 -*4440 net1179 -*4441 net118 -*4442 net1180 -*4443 net1181 -*4444 net1182 -*4445 net1183 -*4446 net1184 -*4447 net1185 -*4448 net1186 -*4449 net1187 -*4450 net1188 -*4451 net1189 -*4452 net119 -*4453 net1190 -*4454 net1191 -*4455 net1192 -*4456 net1193 -*4457 net1194 -*4458 net1195 -*4459 net1196 -*4460 net1197 -*4461 net1198 -*4462 net1199 -*4463 net12 -*4464 net120 -*4465 net1200 -*4466 net1201 -*4467 net1202 -*4468 net1203 -*4469 net1204 -*4470 net1205 -*4471 net1206 -*4472 net1207 -*4473 net1208 -*4474 net1209 -*4475 net121 -*4476 net1210 -*4477 net1211 -*4478 net1212 -*4479 net1213 -*4480 net1214 -*4481 net1215 -*4482 net1216 -*4483 net1217 -*4484 net1218 -*4485 net1219 -*4486 net122 -*4487 net1220 -*4488 net1221 -*4489 net1222 -*4490 net1223 -*4491 net1224 -*4492 net1225 -*4493 net1226 -*4494 net1227 -*4495 net1228 -*4496 net1229 -*4497 net123 -*4498 net1230 -*4499 net1231 -*4500 net1232 -*4501 net1233 -*4502 net1234 -*4503 net1235 -*4504 net1236 -*4505 net1237 -*4506 net1238 -*4507 net1239 -*4508 net124 -*4509 net1240 -*4510 net1241 -*4511 net1242 -*4512 net1243 -*4513 net1244 -*4514 net1245 -*4515 net1246 -*4516 net1247 -*4517 net1248 -*4518 net1249 -*4519 net125 -*4520 net1250 -*4521 net1251 -*4522 net1252 -*4523 net1253 -*4524 net1254 -*4525 net1255 -*4526 net1256 -*4527 net1257 -*4528 net1258 -*4529 net1259 -*4530 net126 -*4531 net1260 -*4532 net1261 -*4533 net1262 -*4534 net1263 -*4535 net1264 -*4536 net1265 -*4537 net1266 -*4538 net1267 -*4539 net1268 -*4540 net1269 -*4541 net127 -*4542 net1270 -*4543 net1271 -*4544 net1272 -*4545 net1273 -*4546 net1274 -*4547 net1275 -*4548 net1276 -*4549 net1277 -*4550 net1278 -*4551 net1279 -*4552 net128 -*4553 net1280 -*4554 net1281 -*4555 net1282 -*4556 net1283 -*4557 net1284 -*4558 net1285 -*4559 net1286 -*4560 net1287 -*4561 net1288 -*4562 net1289 -*4563 net129 -*4564 net1290 -*4565 net1291 -*4566 net1292 -*4567 net1293 -*4568 net1294 -*4569 net1295 -*4570 net1296 -*4571 net1297 -*4572 net1298 -*4573 net1299 -*4574 net13 -*4575 net130 -*4576 net1300 -*4577 net1301 -*4578 net1302 -*4579 net1303 -*4580 net1304 -*4581 net1305 -*4582 net1306 -*4583 net1307 -*4584 net1308 -*4585 net1309 -*4586 net131 -*4587 net1310 -*4588 net1311 -*4589 net1312 -*4590 net1313 -*4591 net1314 -*4592 net1315 -*4593 net1316 -*4594 net1317 -*4595 net1318 -*4596 net1319 -*4597 net132 -*4598 net1320 -*4599 net1321 -*4600 net1322 -*4601 net1323 -*4602 net1324 -*4603 net1325 -*4604 net1326 -*4605 net1327 -*4606 net1328 -*4607 net1329 -*4608 net133 -*4609 net1330 -*4610 net1331 -*4611 net1332 -*4612 net1333 -*4613 net1334 -*4614 net1335 -*4615 net1336 -*4616 net1337 -*4617 net1338 -*4618 net1339 -*4619 net134 -*4620 net1340 -*4621 net1341 -*4622 net1342 -*4623 net1343 -*4624 net1344 -*4625 net1345 -*4626 net1346 -*4627 net1347 -*4628 net1348 -*4629 net1349 -*4630 net135 -*4631 net1350 -*4632 net1351 -*4633 net1352 -*4634 net1353 -*4635 net1354 -*4636 net1355 -*4637 net1356 -*4638 net1357 -*4639 net1358 -*4640 net1359 -*4641 net136 -*4642 net1360 -*4643 net1361 -*4644 net1362 -*4645 net1363 -*4646 net1364 -*4647 net1365 -*4648 net1366 -*4649 net1367 -*4650 net1368 -*4651 net1369 -*4652 net137 -*4653 net1370 -*4654 net1371 -*4655 net1372 -*4656 net1373 -*4657 net1374 -*4658 net1375 -*4659 net1376 -*4660 net1377 -*4661 net1378 -*4662 net1379 -*4663 net138 -*4664 net1380 -*4665 net1381 -*4666 net1382 -*4667 net1383 -*4668 net1384 -*4669 net1385 -*4670 net1386 -*4671 net1387 -*4672 net1388 -*4673 net1389 -*4674 net139 -*4675 net1390 -*4676 net1391 -*4677 net1392 -*4678 net1393 -*4679 net1394 -*4680 net1395 -*4681 net1396 -*4682 net1397 -*4683 net1398 -*4684 net1399 -*4685 net14 -*4686 net140 -*4687 net1400 -*4688 net1401 -*4689 net1402 -*4690 net1403 -*4691 net1404 -*4692 net1405 -*4693 net1406 -*4694 net1407 -*4695 net1408 -*4696 net1409 -*4697 net141 -*4698 net1410 -*4699 net1411 -*4700 net1412 -*4701 net1413 -*4702 net1414 -*4703 net1415 -*4704 net1416 -*4705 net1417 -*4706 net1418 -*4707 net1419 -*4708 net142 -*4709 net1420 -*4710 net1421 -*4711 net1422 -*4712 net1423 -*4713 net1424 -*4714 net1425 -*4715 net1426 -*4716 net1427 -*4717 net1428 -*4718 net1429 -*4719 net143 -*4720 net1430 -*4721 net1431 -*4722 net1432 -*4723 net1433 -*4724 net1434 -*4725 net1435 -*4726 net1436 -*4727 net1437 -*4728 net1438 -*4729 net1439 -*4730 net144 -*4731 net1440 -*4732 net1441 -*4733 net1442 -*4734 net1443 -*4735 net1444 -*4736 net1445 -*4737 net1446 -*4738 net1447 -*4739 net1448 -*4740 net1449 -*4741 net145 -*4742 net1450 -*4743 net1451 -*4744 net1452 -*4745 net1453 -*4746 net1454 -*4747 net1455 -*4748 net1456 -*4749 net1457 -*4750 net1458 -*4751 net1459 -*4752 net146 -*4753 net1460 -*4754 net1461 -*4755 net1462 -*4756 net1463 -*4757 net1464 -*4758 net1465 -*4759 net1466 -*4760 net1467 -*4761 net1468 -*4762 net1469 -*4763 net147 -*4764 net1470 -*4765 net1471 -*4766 net1472 -*4767 net1473 -*4768 net1474 -*4769 net1475 -*4770 net1476 -*4771 net1477 -*4772 net1478 -*4773 net1479 -*4774 net148 -*4775 net1480 -*4776 net1481 -*4777 net1482 -*4778 net1483 -*4779 net1484 -*4780 net1485 -*4781 net1486 -*4782 net1487 -*4783 net1488 -*4784 net1489 -*4785 net149 -*4786 net1490 -*4787 net1491 -*4788 net1492 -*4789 net1493 -*4790 net1494 -*4791 net1495 -*4792 net1496 -*4793 net1497 -*4794 net1498 -*4795 net1499 -*4796 net15 -*4797 net150 -*4798 net1500 -*4799 net1501 -*4800 net1502 -*4801 net1503 -*4802 net1504 -*4803 net1505 -*4804 net1506 -*4805 net1507 -*4806 net1508 -*4807 net1509 -*4808 net151 -*4809 net1510 -*4810 net1511 -*4811 net1512 -*4812 net1513 -*4813 net1514 -*4814 net1515 -*4815 net1516 -*4816 net1517 -*4817 net1518 -*4818 net1519 -*4819 net152 -*4820 net1520 -*4821 net1521 -*4822 net1522 -*4823 net1523 -*4824 net1524 -*4825 net1525 -*4826 net1526 -*4827 net1527 -*4828 net1528 -*4829 net1529 -*4830 net153 -*4831 net1530 -*4832 net1531 -*4833 net1532 -*4834 net1533 -*4835 net1534 -*4836 net1535 -*4837 net1536 -*4838 net1537 -*4839 net1538 -*4840 net1539 -*4841 net154 -*4842 net1540 -*4843 net1541 -*4844 net1542 -*4845 net1543 -*4846 net1544 -*4847 net1545 -*4848 net1546 -*4849 net1547 -*4850 net1548 -*4851 net1549 -*4852 net155 -*4853 net1550 -*4854 net1551 -*4855 net1552 -*4856 net1553 -*4857 net1554 -*4858 net1555 -*4859 net1556 -*4860 net1557 -*4861 net1558 -*4862 net1559 -*4863 net156 -*4864 net1560 -*4865 net1561 -*4866 net1562 -*4867 net1563 -*4868 net1564 -*4869 net1565 -*4870 net1566 -*4871 net1567 -*4872 net1568 -*4873 net1569 -*4874 net157 -*4875 net1570 -*4876 net1571 -*4877 net1572 -*4878 net1573 -*4879 net1574 -*4880 net1575 -*4881 net1576 -*4882 net1577 -*4883 net1578 -*4884 net1579 -*4885 net158 -*4886 net1580 -*4887 net1581 -*4888 net1582 -*4889 net1583 -*4890 net1584 -*4891 net1585 -*4892 net1586 -*4893 net1587 -*4894 net1588 -*4895 net1589 -*4896 net159 -*4897 net1590 -*4898 net1591 -*4899 net1592 -*4900 net1593 -*4901 net1594 -*4902 net1595 -*4903 net1596 -*4904 net1597 -*4905 net1598 -*4906 net1599 -*4907 net16 -*4908 net160 -*4909 net1600 -*4910 net1601 -*4911 net1602 -*4912 net1603 -*4913 net1604 -*4914 net1605 -*4915 net1606 -*4916 net1607 -*4917 net1608 -*4918 net1609 -*4919 net161 -*4920 net1610 -*4921 net1611 -*4922 net1612 -*4923 net1613 -*4924 net1614 -*4925 net1615 -*4926 net1616 -*4927 net1617 -*4928 net1618 -*4929 net1619 -*4930 net162 -*4931 net1620 -*4932 net1621 -*4933 net1622 -*4934 net1623 -*4935 net1624 -*4936 net1625 -*4937 net1626 -*4938 net1627 -*4939 net1628 -*4940 net1629 -*4941 net163 -*4942 net1630 -*4943 net1631 -*4944 net1632 -*4945 net1633 -*4946 net1634 -*4947 net1635 -*4948 net1636 -*4949 net1637 -*4950 net1638 -*4951 net1639 -*4952 net164 -*4953 net1640 -*4954 net1641 -*4955 net1642 -*4956 net1643 -*4957 net1644 -*4958 net1645 -*4959 net1646 -*4960 net1647 -*4961 net1648 -*4962 net1649 -*4963 net165 -*4964 net1650 -*4965 net1651 -*4966 net1652 -*4967 net1653 -*4968 net1654 -*4969 net1655 -*4970 net1656 -*4971 net1657 -*4972 net1658 -*4973 net1659 -*4974 net166 -*4975 net1660 -*4976 net1661 -*4977 net1662 -*4978 net1663 -*4979 net1664 -*4980 net1665 -*4981 net1666 -*4982 net1667 -*4983 net1668 -*4984 net1669 -*4985 net167 -*4986 net1670 -*4987 net1671 -*4988 net1672 -*4989 net1673 -*4990 net1674 -*4991 net1675 -*4992 net1676 -*4993 net1677 -*4994 net1678 -*4995 net1679 -*4996 net168 -*4997 net1680 -*4998 net1681 -*4999 net1682 -*5000 net1683 -*5001 net1684 -*5002 net1685 -*5003 net1686 -*5004 net1687 -*5005 net1688 -*5006 net1689 -*5007 net169 -*5008 net1690 -*5009 net1691 -*5010 net1692 -*5011 net1693 -*5012 net1694 -*5013 net1695 -*5014 net1696 -*5015 net1697 -*5016 net1698 -*5017 net1699 -*5018 net17 -*5019 net170 -*5020 net1700 -*5021 net1701 -*5022 net1702 -*5023 net1703 -*5024 net1704 -*5025 net1705 -*5026 net1706 -*5027 net1707 -*5028 net1708 -*5029 net1709 -*5030 net171 -*5031 net1710 -*5032 net1711 -*5033 net1712 -*5034 net1713 -*5035 net1714 -*5036 net1715 -*5037 net1716 -*5038 net1717 -*5039 net1718 -*5040 net1719 -*5041 net172 -*5042 net1720 -*5043 net1721 -*5044 net1722 -*5045 net1723 -*5046 net1724 -*5047 net1725 -*5048 net1726 -*5049 net1727 -*5050 net1728 -*5051 net1729 -*5052 net173 -*5053 net1730 -*5054 net1731 -*5055 net1732 -*5056 net1733 -*5057 net1734 -*5058 net1735 -*5059 net1736 -*5060 net1737 -*5061 net1738 -*5062 net1739 -*5063 net174 -*5064 net1740 -*5065 net1741 -*5066 net1742 -*5067 net1743 -*5068 net1744 -*5069 net1745 -*5070 net1746 -*5071 net1747 -*5072 net1748 -*5073 net1749 -*5074 net175 -*5075 net1750 -*5076 net1751 -*5077 net1752 -*5078 net1753 -*5079 net1754 -*5080 net1755 -*5081 net1756 -*5082 net1757 -*5083 net1758 -*5084 net1759 -*5085 net176 -*5086 net1760 -*5087 net1761 -*5088 net1762 -*5089 net1763 -*5090 net1764 -*5091 net1765 -*5092 net1766 -*5093 net1767 -*5094 net1768 -*5095 net1769 -*5096 net177 -*5097 net1770 -*5098 net1771 -*5099 net1772 -*5100 net1773 -*5101 net1774 -*5102 net1775 -*5103 net1776 -*5104 net1777 -*5105 net1778 -*5106 net1779 -*5107 net178 -*5108 net1780 -*5109 net1781 -*5110 net1782 -*5111 net1783 -*5112 net1784 -*5113 net1785 -*5114 net1786 -*5115 net1787 -*5116 net1788 -*5117 net1789 -*5118 net179 -*5119 net1790 -*5120 net1791 -*5121 net1792 -*5122 net1793 -*5123 net1794 -*5124 net1795 -*5125 net1796 -*5126 net1797 -*5127 net1798 -*5128 net1799 -*5129 net18 -*5130 net180 -*5131 net1800 -*5132 net1801 -*5133 net1802 -*5134 net1803 -*5135 net1804 -*5136 net1805 -*5137 net1806 -*5138 net1807 -*5139 net1808 -*5140 net1809 -*5141 net181 -*5142 net1810 -*5143 net1811 -*5144 net1812 -*5145 net1813 -*5146 net1814 -*5147 net1815 -*5148 net1816 -*5149 net1817 -*5150 net1818 -*5151 net1819 -*5152 net182 -*5153 net1820 -*5154 net1821 -*5155 net1822 -*5156 net1823 -*5157 net1824 -*5158 net1825 -*5159 net1826 -*5160 net1827 -*5161 net1828 -*5162 net1829 -*5163 net183 -*5164 net1830 -*5165 net1831 -*5166 net1832 -*5167 net1833 -*5168 net1834 -*5169 net1835 -*5170 net1836 -*5171 net1837 -*5172 net1838 -*5173 net1839 -*5174 net184 -*5175 net1840 -*5176 net1841 -*5177 net1842 -*5178 net1843 -*5179 net1844 -*5180 net1845 -*5181 net1846 -*5182 net1847 -*5183 net1848 -*5184 net1849 -*5185 net185 -*5186 net1850 -*5187 net1851 -*5188 net1852 -*5189 net1853 -*5190 net1854 -*5191 net1855 -*5192 net1856 -*5193 net1857 -*5194 net1858 -*5195 net1859 -*5196 net186 -*5197 net1860 -*5198 net1861 -*5199 net1862 -*5200 net1863 -*5201 net1864 -*5202 net1865 -*5203 net1866 -*5204 net1867 -*5205 net1868 -*5206 net1869 -*5207 net187 -*5208 net1870 -*5209 net1871 -*5210 net1872 -*5211 net1873 -*5212 net1874 -*5213 net1875 -*5214 net1876 -*5215 net1877 -*5216 net1878 -*5217 net1879 -*5218 net188 -*5219 net1880 -*5220 net1881 -*5221 net1882 -*5222 net1883 -*5223 net1884 -*5224 net1885 -*5225 net1886 -*5226 net1887 -*5227 net1888 -*5228 net1889 -*5229 net189 -*5230 net1890 -*5231 net1891 -*5232 net1892 -*5233 net1893 -*5234 net1894 -*5235 net1895 -*5236 net1896 -*5237 net1897 -*5238 net1898 -*5239 net1899 -*5240 net19 -*5241 net190 -*5242 net1900 -*5243 net1901 -*5244 net1902 -*5245 net1903 -*5246 net1904 -*5247 net1905 -*5248 net1906 -*5249 net1907 -*5250 net1908 -*5251 net1909 -*5252 net191 -*5253 net1910 -*5254 net1911 -*5255 net1912 -*5256 net1913 -*5257 net1914 -*5258 net1915 -*5259 net1916 -*5260 net1917 -*5261 net1918 -*5262 net1919 -*5263 net192 -*5264 net1920 -*5265 net1921 -*5266 net1922 -*5267 net1923 -*5268 net1924 -*5269 net1925 -*5270 net1926 -*5271 net1927 -*5272 net1928 -*5273 net1929 -*5274 net193 -*5275 net1930 -*5276 net1931 -*5277 net1932 -*5278 net1933 -*5279 net1934 -*5280 net1935 -*5281 net1936 -*5282 net1937 -*5283 net1938 -*5284 net1939 -*5285 net194 -*5286 net1940 -*5287 net1941 -*5288 net1942 -*5289 net1943 -*5290 net1944 -*5291 net1945 -*5292 net1946 -*5293 net1947 -*5294 net1948 -*5295 net1949 -*5296 net195 -*5297 net1950 -*5298 net1951 -*5299 net1952 -*5300 net1953 -*5301 net1954 -*5302 net1955 -*5303 net1956 -*5304 net1957 -*5305 net1958 -*5306 net1959 -*5307 net196 -*5308 net1960 -*5309 net1961 -*5310 net1962 -*5311 net1963 -*5312 net1964 -*5313 net1965 -*5314 net1966 -*5315 net1967 -*5316 net1968 -*5317 net1969 -*5318 net197 -*5319 net1970 -*5320 net1971 -*5321 net1972 -*5322 net1973 -*5323 net1974 -*5324 net1975 -*5325 net1976 -*5326 net1977 -*5327 net1978 -*5328 net1979 -*5329 net198 -*5330 net1980 -*5331 net1981 -*5332 net1982 -*5333 net1983 -*5334 net1984 -*5335 net1985 -*5336 net1986 -*5337 net1987 -*5338 net1988 -*5339 net1989 -*5340 net199 -*5341 net1990 -*5342 net1991 -*5343 net1992 -*5344 net1993 -*5345 net1994 -*5346 net1995 -*5347 net1996 -*5348 net1997 -*5349 net1998 -*5350 net1999 -*5351 net2 -*5352 net20 -*5353 net200 -*5354 net2000 -*5355 net2001 -*5356 net2002 -*5357 net2003 -*5358 net2004 -*5359 net2005 -*5360 net2006 -*5361 net2007 -*5362 net2008 -*5363 net2009 -*5364 net201 -*5365 net2010 -*5366 net2011 -*5367 net2012 -*5368 net2013 -*5369 net2014 -*5370 net2015 -*5371 net2016 -*5372 net2017 -*5373 net2018 -*5374 net2019 -*5375 net202 -*5376 net2020 -*5377 net2021 -*5378 net2022 -*5379 net2023 -*5380 net2024 -*5381 net2025 -*5382 net2026 -*5383 net2027 -*5384 net2028 -*5385 net2029 -*5386 net203 -*5387 net2030 -*5388 net2031 -*5389 net2032 -*5390 net2033 -*5391 net2034 -*5392 net2035 -*5393 net2036 -*5394 net2037 -*5395 net2038 -*5396 net2039 -*5397 net204 -*5398 net2040 -*5399 net2041 -*5400 net2042 -*5401 net2043 -*5402 net2044 -*5403 net2045 -*5404 net2046 -*5405 net2047 -*5406 net2048 -*5407 net2049 -*5408 net205 -*5409 net2050 -*5410 net2051 -*5411 net2052 -*5412 net2053 -*5413 net2054 -*5414 net2055 -*5415 net2056 -*5416 net2057 -*5417 net2058 -*5418 net2059 -*5419 net206 -*5420 net2060 -*5421 net2061 -*5422 net2062 -*5423 net207 -*5424 net208 -*5425 net209 -*5426 net21 -*5427 net210 -*5428 net211 -*5429 net212 -*5430 net213 -*5431 net214 -*5432 net215 -*5433 net216 -*5434 net217 -*5435 net218 -*5436 net219 -*5437 net22 -*5438 net220 -*5439 net221 -*5440 net222 -*5441 net223 -*5442 net224 -*5443 net225 -*5444 net226 -*5445 net227 -*5446 net228 -*5447 net229 -*5448 net23 -*5449 net230 -*5450 net231 -*5451 net232 -*5452 net233 -*5453 net234 -*5454 net235 -*5455 net236 -*5456 net237 -*5457 net238 -*5458 net239 -*5459 net24 -*5460 net240 -*5461 net241 -*5462 net242 -*5463 net243 -*5464 net244 -*5465 net245 -*5466 net246 -*5467 net247 -*5468 net248 -*5469 net249 -*5470 net25 -*5471 net250 -*5472 net251 -*5473 net252 -*5474 net253 -*5475 net254 -*5476 net255 -*5477 net256 -*5478 net257 -*5479 net258 -*5480 net259 -*5481 net26 -*5482 net260 -*5483 net261 -*5484 net262 -*5485 net263 -*5486 net264 -*5487 net265 -*5488 net266 -*5489 net267 -*5490 net268 -*5491 net269 -*5492 net27 -*5493 net270 -*5494 net271 -*5495 net272 -*5496 net273 -*5497 net274 -*5498 net275 -*5499 net276 -*5500 net277 -*5501 net278 -*5502 net279 -*5503 net28 -*5504 net280 -*5505 net281 -*5506 net282 -*5507 net283 -*5508 net284 -*5509 net285 -*5510 net286 -*5511 net287 -*5512 net288 -*5513 net289 -*5514 net29 -*5515 net290 -*5516 net291 -*5517 net292 -*5518 net293 -*5519 net294 -*5520 net295 -*5521 net296 -*5522 net297 -*5523 net298 -*5524 net299 -*5525 net3 -*5526 net30 -*5527 net300 -*5528 net301 -*5529 net302 -*5530 net303 -*5531 net304 -*5532 net305 -*5533 net306 -*5534 net307 -*5535 net308 -*5536 net309 -*5537 net31 -*5538 net310 -*5539 net311 -*5540 net312 -*5541 net313 -*5542 net314 -*5543 net315 -*5544 net316 -*5545 net317 -*5546 net318 -*5547 net319 -*5548 net32 -*5549 net320 -*5550 net321 -*5551 net322 -*5552 net323 -*5553 net324 -*5554 net325 -*5555 net326 -*5556 net327 -*5557 net328 -*5558 net329 -*5559 net33 -*5560 net330 -*5561 net331 -*5562 net332 -*5563 net333 -*5564 net334 -*5565 net335 -*5566 net336 -*5567 net337 -*5568 net338 -*5569 net339 -*5570 net34 -*5571 net340 -*5572 net341 -*5573 net342 -*5574 net343 -*5575 net344 -*5576 net345 -*5577 net346 -*5578 net347 -*5579 net348 -*5580 net349 -*5581 net35 -*5582 net350 -*5583 net351 -*5584 net352 -*5585 net353 -*5586 net354 -*5587 net355 -*5588 net356 -*5589 net357 -*5590 net358 -*5591 net359 -*5592 net36 -*5593 net360 -*5594 net361 -*5595 net362 -*5596 net363 -*5597 net364 -*5598 net365 -*5599 net366 -*5600 net367 -*5601 net368 -*5602 net369 -*5603 net37 -*5604 net370 -*5605 net371 -*5606 net372 -*5607 net373 -*5608 net374 -*5609 net375 -*5610 net376 -*5611 net377 -*5612 net378 -*5613 net379 -*5614 net38 -*5615 net380 -*5616 net381 -*5617 net382 -*5618 net383 -*5619 net384 -*5620 net385 -*5621 net386 -*5622 net387 -*5623 net388 -*5624 net389 -*5625 net39 -*5626 net390 -*5627 net391 -*5628 net392 -*5629 net393 -*5630 net394 -*5631 net395 -*5632 net396 -*5633 net397 -*5634 net398 -*5635 net399 -*5636 net4 -*5637 net40 -*5638 net400 -*5639 net401 -*5640 net402 -*5641 net403 -*5642 net404 -*5643 net405 -*5644 net406 -*5645 net407 -*5646 net408 -*5647 net409 -*5648 net41 -*5649 net410 -*5650 net411 -*5651 net412 -*5652 net413 -*5653 net414 -*5654 net415 -*5655 net416 -*5656 net417 -*5657 net418 -*5658 net419 -*5659 net42 -*5660 net420 -*5661 net421 -*5662 net422 -*5663 net423 -*5664 net424 -*5665 net425 -*5666 net426 -*5667 net427 -*5668 net428 -*5669 net429 -*5670 net43 -*5671 net430 -*5672 net431 -*5673 net432 -*5674 net433 -*5675 net434 -*5676 net435 -*5677 net436 -*5678 net437 -*5679 net438 -*5680 net439 -*5681 net44 -*5682 net440 -*5683 net441 -*5684 net442 -*5685 net443 -*5686 net444 -*5687 net445 -*5688 net446 -*5689 net447 -*5690 net448 -*5691 net449 -*5692 net45 -*5693 net450 -*5694 net451 -*5695 net452 -*5696 net453 -*5697 net454 -*5698 net455 -*5699 net456 -*5700 net457 -*5701 net458 -*5702 net459 -*5703 net46 -*5704 net460 -*5705 net461 -*5706 net462 -*5707 net463 -*5708 net464 -*5709 net465 -*5710 net466 -*5711 net467 -*5712 net468 -*5713 net469 -*5714 net47 -*5715 net470 -*5716 net471 -*5717 net472 -*5718 net473 -*5719 net474 -*5720 net475 -*5721 net476 -*5722 net477 -*5723 net478 -*5724 net479 -*5725 net48 -*5726 net480 -*5727 net481 -*5728 net482 -*5729 net483 -*5730 net484 -*5731 net485 -*5732 net486 -*5733 net487 -*5734 net488 -*5735 net489 -*5736 net49 -*5737 net490 -*5738 net491 -*5739 net492 -*5740 net493 -*5741 net494 -*5742 net495 -*5743 net496 -*5744 net497 -*5745 net498 -*5746 net499 -*5747 net5 -*5748 net50 -*5749 net500 -*5750 net501 -*5751 net502 -*5752 net503 -*5753 net504 -*5754 net505 -*5755 net506 -*5756 net507 -*5757 net508 -*5758 net509 -*5759 net51 -*5760 net510 -*5761 net511 -*5762 net512 -*5763 net513 -*5764 net514 -*5765 net515 -*5766 net516 -*5767 net517 -*5768 net518 -*5769 net519 -*5770 net52 -*5771 net520 -*5772 net521 -*5773 net522 -*5774 net523 -*5775 net524 -*5776 net525 -*5777 net526 -*5778 net527 -*5779 net528 -*5780 net529 -*5781 net53 -*5782 net530 -*5783 net531 -*5784 net532 -*5785 net533 -*5786 net534 -*5787 net535 -*5788 net536 -*5789 net537 -*5790 net538 -*5791 net539 -*5792 net54 -*5793 net540 -*5794 net541 -*5795 net542 -*5796 net543 -*5797 net544 -*5798 net545 -*5799 net546 -*5800 net547 -*5801 net548 -*5802 net549 -*5803 net55 -*5804 net550 -*5805 net551 -*5806 net552 -*5807 net553 -*5808 net554 -*5809 net555 -*5810 net556 -*5811 net557 -*5812 net558 -*5813 net559 -*5814 net56 -*5815 net560 -*5816 net561 -*5817 net562 -*5818 net563 -*5819 net564 -*5820 net565 -*5821 net566 -*5822 net567 -*5823 net568 -*5824 net569 -*5825 net57 -*5826 net570 -*5827 net571 -*5828 net572 -*5829 net573 -*5830 net574 -*5831 net575 -*5832 net576 -*5833 net577 -*5834 net578 -*5835 net579 -*5836 net58 -*5837 net580 -*5838 net581 -*5839 net582 -*5840 net583 -*5841 net584 -*5842 net585 -*5843 net586 -*5844 net587 -*5845 net588 -*5846 net589 -*5847 net59 -*5848 net590 -*5849 net591 -*5850 net592 -*5851 net593 -*5852 net594 -*5853 net595 -*5854 net596 -*5855 net597 -*5856 net598 -*5857 net599 -*5858 net6 -*5859 net60 -*5860 net600 -*5861 net601 -*5862 net602 -*5863 net603 -*5864 net604 -*5865 net605 -*5866 net606 -*5867 net607 -*5868 net608 -*5869 net609 -*5870 net61 -*5871 net610 -*5872 net611 -*5873 net612 -*5874 net613 -*5875 net614 -*5876 net615 -*5877 net616 -*5878 net617 -*5879 net618 -*5880 net619 -*5881 net62 -*5882 net620 -*5883 net621 -*5884 net622 -*5885 net623 -*5886 net624 -*5887 net625 -*5888 net626 -*5889 net627 -*5890 net628 -*5891 net629 -*5892 net63 -*5893 net630 -*5894 net631 -*5895 net632 -*5896 net633 -*5897 net634 -*5898 net635 -*5899 net636 -*5900 net637 -*5901 net638 -*5902 net639 -*5903 net64 -*5904 net640 -*5905 net641 -*5906 net642 -*5907 net643 -*5908 net644 -*5909 net645 -*5910 net646 -*5911 net647 -*5912 net648 -*5913 net649 -*5914 net65 -*5915 net650 -*5916 net651 -*5917 net652 -*5918 net653 -*5919 net654 -*5920 net655 -*5921 net656 -*5922 net657 -*5923 net658 -*5924 net659 -*5925 net66 -*5926 net660 -*5927 net661 -*5928 net662 -*5929 net663 -*5930 net664 -*5931 net665 -*5932 net666 -*5933 net667 -*5934 net668 -*5935 net669 -*5936 net67 -*5937 net670 -*5938 net671 -*5939 net672 -*5940 net673 -*5941 net674 -*5942 net675 -*5943 net676 -*5944 net677 -*5945 net678 -*5946 net679 -*5947 net68 -*5948 net680 -*5949 net681 -*5950 net682 -*5951 net683 -*5952 net684 -*5953 net685 -*5954 net686 -*5955 net687 -*5956 net688 -*5957 net689 -*5958 net69 -*5959 net690 -*5960 net691 -*5961 net692 -*5962 net693 -*5963 net694 -*5964 net695 -*5965 net696 -*5966 net697 -*5967 net698 -*5968 net699 -*5969 net7 -*5970 net70 -*5971 net700 -*5972 net701 -*5973 net702 -*5974 net703 -*5975 net704 -*5976 net705 -*5977 net706 -*5978 net707 -*5979 net708 -*5980 net709 -*5981 net71 -*5982 net710 -*5983 net711 -*5984 net712 -*5985 net713 -*5986 net714 -*5987 net715 -*5988 net716 -*5989 net717 -*5990 net718 -*5991 net719 -*5992 net72 -*5993 net720 -*5994 net721 -*5995 net722 -*5996 net723 -*5997 net724 -*5998 net725 -*5999 net726 -*6000 net727 -*6001 net728 -*6002 net729 -*6003 net73 -*6004 net730 -*6005 net731 -*6006 net732 -*6007 net733 -*6008 net734 -*6009 net735 -*6010 net736 -*6011 net737 -*6012 net738 -*6013 net739 -*6014 net74 -*6015 net740 -*6016 net741 -*6017 net742 -*6018 net743 -*6019 net744 -*6020 net745 -*6021 net746 -*6022 net747 -*6023 net748 -*6024 net749 -*6025 net75 -*6026 net750 -*6027 net751 -*6028 net752 -*6029 net753 -*6030 net754 -*6031 net755 -*6032 net756 -*6033 net757 -*6034 net758 -*6035 net759 -*6036 net76 -*6037 net760 -*6038 net761 -*6039 net762 -*6040 net763 -*6041 net764 -*6042 net765 -*6043 net766 -*6044 net767 -*6045 net768 -*6046 net769 -*6047 net77 -*6048 net770 -*6049 net771 -*6050 net772 -*6051 net773 -*6052 net774 -*6053 net775 -*6054 net776 -*6055 net777 -*6056 net778 -*6057 net779 -*6058 net78 -*6059 net780 -*6060 net781 -*6061 net782 -*6062 net783 -*6063 net784 -*6064 net785 -*6065 net786 -*6066 net787 -*6067 net788 -*6068 net789 -*6069 net79 -*6070 net790 -*6071 net791 -*6072 net792 -*6073 net793 -*6074 net794 -*6075 net795 -*6076 net796 -*6077 net797 -*6078 net798 -*6079 net799 -*6080 net8 -*6081 net80 -*6082 net800 -*6083 net801 -*6084 net802 -*6085 net803 -*6086 net804 -*6087 net805 -*6088 net806 -*6089 net807 -*6090 net808 -*6091 net809 -*6092 net81 -*6093 net810 -*6094 net811 -*6095 net812 -*6096 net813 -*6097 net814 -*6098 net815 -*6099 net816 -*6100 net817 -*6101 net818 -*6102 net819 -*6103 net82 -*6104 net820 -*6105 net821 -*6106 net822 -*6107 net823 -*6108 net824 -*6109 net825 -*6110 net826 -*6111 net827 -*6112 net828 -*6113 net829 -*6114 net83 -*6115 net830 -*6116 net831 -*6117 net832 -*6118 net833 -*6119 net834 -*6120 net835 -*6121 net836 -*6122 net837 -*6123 net838 -*6124 net839 -*6125 net84 -*6126 net840 -*6127 net841 -*6128 net842 -*6129 net843 -*6130 net844 -*6131 net845 -*6132 net846 -*6133 net847 -*6134 net848 -*6135 net849 -*6136 net85 -*6137 net850 -*6138 net851 -*6139 net852 -*6140 net853 -*6141 net854 -*6142 net855 -*6143 net856 -*6144 net857 -*6145 net858 -*6146 net859 -*6147 net86 -*6148 net860 -*6149 net861 -*6150 net862 -*6151 net863 -*6152 net864 -*6153 net865 -*6154 net866 -*6155 net867 -*6156 net868 -*6157 net869 -*6158 net87 -*6159 net870 -*6160 net871 -*6161 net872 -*6162 net873 -*6163 net874 -*6164 net875 -*6165 net876 -*6166 net877 -*6167 net878 -*6168 net879 -*6169 net88 -*6170 net880 -*6171 net881 -*6172 net882 -*6173 net883 -*6174 net884 -*6175 net885 -*6176 net886 -*6177 net887 -*6178 net888 -*6179 net889 -*6180 net89 -*6181 net890 -*6182 net891 -*6183 net892 -*6184 net893 -*6185 net894 -*6186 net895 -*6187 net896 -*6188 net897 -*6189 net898 -*6190 net899 -*6191 net9 -*6192 net90 -*6193 net900 -*6194 net901 -*6195 net902 -*6196 net903 -*6197 net904 -*6198 net905 -*6199 net906 -*6200 net907 -*6201 net908 -*6202 net909 -*6203 net91 -*6204 net910 -*6205 net911 -*6206 net912 -*6207 net913 -*6208 net914 -*6209 net915 -*6210 net916 -*6211 net917 -*6212 net918 -*6213 net919 -*6214 net92 -*6215 net920 -*6216 net921 -*6217 net922 -*6218 net923 -*6219 net924 -*6220 net925 -*6221 net926 -*6222 net927 -*6223 net928 -*6224 net929 -*6225 net93 -*6226 net930 -*6227 net931 -*6228 net932 -*6229 net933 -*6230 net934 -*6231 net935 -*6232 net936 -*6233 net937 -*6234 net938 -*6235 net939 -*6236 net94 -*6237 net940 -*6238 net941 -*6239 net942 -*6240 net943 -*6241 net944 -*6242 net945 -*6243 net946 -*6244 net947 -*6245 net948 -*6246 net949 -*6247 net95 -*6248 net950 -*6249 net951 -*6250 net952 -*6251 net953 -*6252 net954 -*6253 net955 -*6254 net956 -*6255 net957 -*6256 net958 -*6257 net959 -*6258 net96 -*6259 net960 -*6260 net961 -*6261 net962 -*6262 net963 -*6263 net964 -*6264 net965 -*6265 net966 -*6266 net967 -*6267 net968 -*6268 net969 -*6269 net97 -*6270 net970 -*6271 net971 -*6272 net972 -*6273 net973 -*6274 net974 -*6275 net975 -*6276 net976 -*6277 net977 -*6278 net978 -*6279 net979 -*6280 net98 -*6281 net980 -*6282 net981 -*6283 net982 -*6284 net983 -*6285 net984 -*6286 net985 -*6287 net986 -*6288 net987 -*6289 net988 -*6290 net989 -*6291 net99 -*6292 net990 -*6293 net991 -*6294 net992 -*6295 net993 -*6296 net994 -*6297 net995 -*6298 net996 -*6299 net997 -*6300 net998 -*6301 net999 -*6302 pad_count_1\[0\] -*6303 pad_count_1\[1\] -*6304 pad_count_1\[2\] -*6305 pad_count_1\[3\] -*6306 pad_count_1\[4\] -*6307 pad_count_2\[0\] -*6308 pad_count_2\[1\] -*6309 pad_count_2\[2\] -*6310 pad_count_2\[3\] -*6311 pad_count_2\[4\] -*6312 pad_count_2\[5\] -*6313 reset_reg -*6314 serial_bb_clock -*6315 serial_bb_data_1 -*6316 serial_bb_data_2 -*6317 serial_bb_enable -*6318 serial_bb_load -*6319 serial_bb_resetn -*6320 serial_busy -*6321 serial_clock_pre -*6322 serial_data_staging_1\[0\] -*6323 serial_data_staging_1\[10\] -*6324 serial_data_staging_1\[11\] -*6325 serial_data_staging_1\[12\] -*6326 serial_data_staging_1\[1\] -*6327 serial_data_staging_1\[2\] -*6328 serial_data_staging_1\[3\] -*6329 serial_data_staging_1\[4\] -*6330 serial_data_staging_1\[5\] -*6331 serial_data_staging_1\[6\] -*6332 serial_data_staging_1\[7\] -*6333 serial_data_staging_1\[8\] -*6334 serial_data_staging_1\[9\] -*6335 serial_data_staging_2\[0\] -*6336 serial_data_staging_2\[10\] -*6337 serial_data_staging_2\[11\] -*6338 serial_data_staging_2\[12\] -*6339 serial_data_staging_2\[1\] -*6340 serial_data_staging_2\[2\] -*6341 serial_data_staging_2\[3\] -*6342 serial_data_staging_2\[4\] -*6343 serial_data_staging_2\[5\] -*6344 serial_data_staging_2\[6\] -*6345 serial_data_staging_2\[7\] -*6346 serial_data_staging_2\[8\] -*6347 serial_data_staging_2\[9\] -*6348 serial_load_pre -*6349 serial_resetn_pre -*6350 serial_xfer -*6351 trap_output_dest -*6352 wbbd_addr\[0\] -*6353 wbbd_addr\[1\] -*6354 wbbd_addr\[2\] -*6355 wbbd_addr\[3\] -*6356 wbbd_addr\[4\] -*6357 wbbd_addr\[5\] -*6358 wbbd_addr\[6\] -*6359 wbbd_busy -*6360 wbbd_data\[0\] -*6361 wbbd_data\[1\] -*6362 wbbd_data\[2\] -*6363 wbbd_data\[3\] -*6364 wbbd_data\[4\] -*6365 wbbd_data\[5\] -*6366 wbbd_data\[6\] -*6367 wbbd_data\[7\] -*6368 wbbd_sck -*6369 wbbd_state\[0\] -*6370 wbbd_state\[1\] -*6371 wbbd_state\[2\] -*6372 wbbd_state\[3\] -*6373 wbbd_state\[4\] -*6374 wbbd_state\[5\] -*6375 wbbd_state\[6\] -*6376 wbbd_state\[7\] -*6377 wbbd_state\[8\] -*6378 wbbd_state\[9\] -*6379 wbbd_write -*6380 xfer_count\[0\] -*6381 xfer_count\[1\] -*6382 xfer_count\[2\] -*6383 xfer_count\[3\] -*6384 xfer_state\[0\] -*6385 xfer_state\[1\] -*6386 xfer_state\[2\] -*6387 xfer_state\[3\] -*6388 ANTENNA_1 -*6389 ANTENNA_10 -*6390 ANTENNA_100 -*6391 ANTENNA_101 -*6392 ANTENNA_102 -*6393 ANTENNA_103 -*6394 ANTENNA_104 -*6395 ANTENNA_105 -*6396 ANTENNA_106 -*6397 ANTENNA_107 -*6398 ANTENNA_108 -*6399 ANTENNA_109 -*6400 ANTENNA_11 -*6401 ANTENNA_110 -*6402 ANTENNA_111 -*6403 ANTENNA_112 -*6404 ANTENNA_113 -*6405 ANTENNA_114 -*6406 ANTENNA_115 -*6407 ANTENNA_116 -*6408 ANTENNA_117 -*6409 ANTENNA_118 -*6410 ANTENNA_119 -*6411 ANTENNA_12 -*6412 ANTENNA_120 -*6413 ANTENNA_121 -*6414 ANTENNA_122 -*6415 ANTENNA_123 -*6416 ANTENNA_124 -*6417 ANTENNA_125 -*6418 ANTENNA_126 -*6419 ANTENNA_127 -*6420 ANTENNA_128 -*6421 ANTENNA_129 -*6422 ANTENNA_13 -*6423 ANTENNA_130 -*6424 ANTENNA_131 -*6425 ANTENNA_132 -*6426 ANTENNA_133 -*6427 ANTENNA_134 -*6428 ANTENNA_135 -*6429 ANTENNA_136 -*6430 ANTENNA_137 -*6431 ANTENNA_138 -*6432 ANTENNA_139 -*6433 ANTENNA_14 -*6434 ANTENNA_140 -*6435 ANTENNA_141 -*6436 ANTENNA_142 -*6437 ANTENNA_143 -*6438 ANTENNA_144 -*6439 ANTENNA_145 -*6440 ANTENNA_146 -*6441 ANTENNA_147 -*6442 ANTENNA_148 -*6443 ANTENNA_149 -*6444 ANTENNA_15 -*6445 ANTENNA_150 -*6446 ANTENNA_151 -*6447 ANTENNA_152 -*6448 ANTENNA_153 -*6449 ANTENNA_154 -*6450 ANTENNA_155 -*6451 ANTENNA_156 -*6452 ANTENNA_157 -*6453 ANTENNA_158 -*6454 ANTENNA_159 -*6455 ANTENNA_16 -*6456 ANTENNA_160 -*6457 ANTENNA_161 -*6458 ANTENNA_162 -*6459 ANTENNA_163 -*6460 ANTENNA_164 -*6461 ANTENNA_165 -*6462 ANTENNA_166 -*6463 ANTENNA_167 -*6464 ANTENNA_168 -*6465 ANTENNA_169 -*6466 ANTENNA_17 -*6467 ANTENNA_170 -*6468 ANTENNA_171 -*6469 ANTENNA_172 -*6470 ANTENNA_173 -*6471 ANTENNA_174 -*6472 ANTENNA_175 -*6473 ANTENNA_176 -*6474 ANTENNA_177 -*6475 ANTENNA_178 -*6476 ANTENNA_179 -*6477 ANTENNA_18 -*6478 ANTENNA_180 -*6479 ANTENNA_181 -*6480 ANTENNA_182 -*6481 ANTENNA_183 -*6482 ANTENNA_184 -*6483 ANTENNA_185 -*6484 ANTENNA_186 -*6485 ANTENNA_187 -*6486 ANTENNA_188 -*6487 ANTENNA_189 -*6488 ANTENNA_19 -*6489 ANTENNA_190 -*6490 ANTENNA_191 -*6491 ANTENNA_192 -*6492 ANTENNA_193 -*6493 ANTENNA_194 -*6494 ANTENNA_195 -*6495 ANTENNA_196 -*6496 ANTENNA_197 -*6497 ANTENNA_198 -*6498 ANTENNA_199 -*6499 ANTENNA_2 -*6500 ANTENNA_20 -*6501 ANTENNA_200 -*6502 ANTENNA_201 -*6503 ANTENNA_202 -*6504 ANTENNA_203 -*6505 ANTENNA_204 -*6506 ANTENNA_205 -*6507 ANTENNA_206 -*6508 ANTENNA_207 -*6509 ANTENNA_208 -*6510 ANTENNA_209 -*6511 ANTENNA_21 -*6512 ANTENNA_210 -*6513 ANTENNA_211 -*6514 ANTENNA_212 -*6515 ANTENNA_213 -*6516 ANTENNA_214 -*6517 ANTENNA_215 -*6518 ANTENNA_216 -*6519 ANTENNA_217 -*6520 ANTENNA_218 -*6521 ANTENNA_219 -*6522 ANTENNA_22 -*6523 ANTENNA_220 -*6524 ANTENNA_221 -*6525 ANTENNA_222 -*6526 ANTENNA_223 -*6527 ANTENNA_224 -*6528 ANTENNA_225 -*6529 ANTENNA_226 -*6530 ANTENNA_227 -*6531 ANTENNA_228 -*6532 ANTENNA_229 -*6533 ANTENNA_23 -*6534 ANTENNA_230 -*6535 ANTENNA_231 -*6536 ANTENNA_232 -*6537 ANTENNA_233 -*6538 ANTENNA_234 -*6539 ANTENNA_235 -*6540 ANTENNA_236 -*6541 ANTENNA_237 -*6542 ANTENNA_238 -*6543 ANTENNA_239 -*6544 ANTENNA_24 -*6545 ANTENNA_240 -*6546 ANTENNA_241 -*6547 ANTENNA_242 -*6548 ANTENNA_243 -*6549 ANTENNA_244 -*6550 ANTENNA_245 -*6551 ANTENNA_246 -*6552 ANTENNA_247 -*6553 ANTENNA_248 -*6554 ANTENNA_249 -*6555 ANTENNA_25 -*6556 ANTENNA_250 -*6557 ANTENNA_251 -*6558 ANTENNA_252 -*6559 ANTENNA_253 -*6560 ANTENNA_254 -*6561 ANTENNA_255 -*6562 ANTENNA_256 -*6563 ANTENNA_257 -*6564 ANTENNA_258 -*6565 ANTENNA_259 -*6566 ANTENNA_26 -*6567 ANTENNA_260 -*6568 ANTENNA_261 -*6569 ANTENNA_262 -*6570 ANTENNA_263 -*6571 ANTENNA_264 -*6572 ANTENNA_265 -*6573 ANTENNA_266 -*6574 ANTENNA_267 -*6575 ANTENNA_27 -*6576 ANTENNA_28 -*6577 ANTENNA_29 -*6578 ANTENNA_3 -*6579 ANTENNA_30 -*6580 ANTENNA_31 -*6581 ANTENNA_32 -*6582 ANTENNA_33 -*6583 ANTENNA_34 -*6584 ANTENNA_35 -*6585 ANTENNA_36 -*6586 ANTENNA_37 -*6587 ANTENNA_38 -*6588 ANTENNA_39 -*6589 ANTENNA_4 -*6590 ANTENNA_40 -*6591 ANTENNA_41 -*6592 ANTENNA_42 -*6593 ANTENNA_43 -*6594 ANTENNA_44 -*6595 ANTENNA_45 -*6596 ANTENNA_46 -*6597 ANTENNA_47 -*6598 ANTENNA_48 -*6599 ANTENNA_49 -*6600 ANTENNA_5 -*6601 ANTENNA_50 -*6602 ANTENNA_51 -*6603 ANTENNA_52 -*6604 ANTENNA_53 -*6605 ANTENNA_54 -*6606 ANTENNA_55 -*6607 ANTENNA_56 -*6608 ANTENNA_57 -*6609 ANTENNA_58 -*6610 ANTENNA_59 -*6611 ANTENNA_6 -*6612 ANTENNA_60 -*6613 ANTENNA_61 -*6614 ANTENNA_62 -*6615 ANTENNA_63 -*6616 ANTENNA_64 -*6617 ANTENNA_65 -*6618 ANTENNA_66 -*6619 ANTENNA_67 -*6620 ANTENNA_68 -*6621 ANTENNA_69 -*6622 ANTENNA_7 -*6623 ANTENNA_70 -*6624 ANTENNA_71 -*6625 ANTENNA_72 -*6626 ANTENNA_73 -*6627 ANTENNA_74 -*6628 ANTENNA_75 -*6629 ANTENNA_76 -*6630 ANTENNA_77 -*6631 ANTENNA_78 -*6632 ANTENNA_79 -*6633 ANTENNA_8 -*6634 ANTENNA_80 -*6635 ANTENNA_81 -*6636 ANTENNA_82 -*6637 ANTENNA_83 -*6638 ANTENNA_84 -*6639 ANTENNA_85 -*6640 ANTENNA_86 -*6641 ANTENNA_87 -*6642 ANTENNA_88 -*6643 ANTENNA_89 -*6644 ANTENNA_9 -*6645 ANTENNA_90 -*6646 ANTENNA_91 -*6647 ANTENNA_92 -*6648 ANTENNA_93 -*6649 ANTENNA_94 -*6650 ANTENNA_95 -*6651 ANTENNA_96 -*6652 ANTENNA_97 -*6653 ANTENNA_98 -*6654 ANTENNA_99 -*6655 FILLER_0_110 -*6656 FILLER_0_113 -*6657 FILLER_0_121 -*6658 FILLER_0_138 -*6659 FILLER_0_141 -*6660 FILLER_0_146 -*6661 FILLER_0_166 -*6662 FILLER_0_169 -*6663 FILLER_0_177 -*6664 FILLER_0_194 -*6665 FILLER_0_197 -*6666 FILLER_0_205 -*6667 FILLER_0_21 -*6668 FILLER_0_222 -*6669 FILLER_0_225 -*6670 FILLER_0_233 -*6671 FILLER_0_250 -*6672 FILLER_0_253 -*6673 FILLER_0_261 -*6674 FILLER_0_27 -*6675 FILLER_0_278 -*6676 FILLER_0_281 -*6677 FILLER_0_289 -*6678 FILLER_0_29 -*6679 FILLER_0_3 -*6680 FILLER_0_306 -*6681 FILLER_0_309 -*6682 FILLER_0_317 -*6683 FILLER_0_334 -*6684 FILLER_0_337 -*6685 FILLER_0_34 -*6686 FILLER_0_345 -*6687 FILLER_0_362 -*6688 FILLER_0_365 -*6689 FILLER_0_373 -*6690 FILLER_0_390 -*6691 FILLER_0_393 -*6692 FILLER_0_415 -*6693 FILLER_0_419 -*6694 FILLER_0_421 -*6695 FILLER_0_439 -*6696 FILLER_0_447 -*6697 FILLER_0_449 -*6698 FILLER_0_467 -*6699 FILLER_0_474 -*6700 FILLER_0_477 -*6701 FILLER_0_495 -*6702 FILLER_0_502 -*6703 FILLER_0_505 -*6704 FILLER_0_523 -*6705 FILLER_0_530 -*6706 FILLER_0_533 -*6707 FILLER_0_54 -*6708 FILLER_0_543 -*6709 FILLER_0_555 -*6710 FILLER_0_559 -*6711 FILLER_0_561 -*6712 FILLER_0_57 -*6713 FILLER_0_571 -*6714 FILLER_0_575 -*6715 FILLER_0_584 -*6716 FILLER_0_589 -*6717 FILLER_0_604 -*6718 FILLER_0_613 -*6719 FILLER_0_617 -*6720 FILLER_0_629 -*6721 FILLER_0_641 -*6722 FILLER_0_645 -*6723 FILLER_0_655 -*6724 FILLER_0_666 -*6725 FILLER_0_673 -*6726 FILLER_0_679 -*6727 FILLER_0_697 -*6728 FILLER_0_701 -*6729 FILLER_0_707 -*6730 FILLER_0_715 -*6731 FILLER_0_726 -*6732 FILLER_0_729 -*6733 FILLER_0_751 -*6734 FILLER_0_755 -*6735 FILLER_0_757 -*6736 FILLER_0_775 -*6737 FILLER_0_82 -*6738 FILLER_0_85 -*6739 FILLER_0_90 -*6740 FILLER_100_104 -*6741 FILLER_100_112 -*6742 FILLER_100_122 -*6743 FILLER_100_138 -*6744 FILLER_100_141 -*6745 FILLER_100_149 -*6746 FILLER_100_173 -*6747 FILLER_100_186 -*6748 FILLER_100_194 -*6749 FILLER_100_197 -*6750 FILLER_100_209 -*6751 FILLER_100_221 -*6752 FILLER_100_225 -*6753 FILLER_100_233 -*6754 FILLER_100_245 -*6755 FILLER_100_251 -*6756 FILLER_100_253 -*6757 FILLER_100_26 -*6758 FILLER_100_263 -*6759 FILLER_100_267 -*6760 FILLER_100_279 -*6761 FILLER_100_29 -*6762 FILLER_100_291 -*6763 FILLER_100_3 -*6764 FILLER_100_301 -*6765 FILLER_100_307 -*6766 FILLER_100_309 -*6767 FILLER_100_321 -*6768 FILLER_100_325 -*6769 FILLER_100_334 -*6770 FILLER_100_346 -*6771 FILLER_100_357 -*6772 FILLER_100_363 -*6773 FILLER_100_365 -*6774 FILLER_100_377 -*6775 FILLER_100_389 -*6776 FILLER_100_397 -*6777 FILLER_100_40 -*6778 FILLER_100_407 -*6779 FILLER_100_419 -*6780 FILLER_100_421 -*6781 FILLER_100_439 -*6782 FILLER_100_44 -*6783 FILLER_100_451 -*6784 FILLER_100_463 -*6785 FILLER_100_475 -*6786 FILLER_100_477 -*6787 FILLER_100_489 -*6788 FILLER_100_493 -*6789 FILLER_100_50 -*6790 FILLER_100_515 -*6791 FILLER_100_527 -*6792 FILLER_100_531 -*6793 FILLER_100_533 -*6794 FILLER_100_545 -*6795 FILLER_100_557 -*6796 FILLER_100_563 -*6797 FILLER_100_574 -*6798 FILLER_100_586 -*6799 FILLER_100_589 -*6800 FILLER_100_601 -*6801 FILLER_100_61 -*6802 FILLER_100_613 -*6803 FILLER_100_625 -*6804 FILLER_100_637 -*6805 FILLER_100_643 -*6806 FILLER_100_645 -*6807 FILLER_100_657 -*6808 FILLER_100_669 -*6809 FILLER_100_675 -*6810 FILLER_100_684 -*6811 FILLER_100_696 -*6812 FILLER_100_701 -*6813 FILLER_100_710 -*6814 FILLER_100_714 -*6815 FILLER_100_721 -*6816 FILLER_100_729 -*6817 FILLER_100_73 -*6818 FILLER_100_740 -*6819 FILLER_100_752 -*6820 FILLER_100_757 -*6821 FILLER_100_775 -*6822 FILLER_100_81 -*6823 FILLER_100_85 -*6824 FILLER_100_9 -*6825 FILLER_100_92 -*6826 FILLER_101_105 -*6827 FILLER_101_111 -*6828 FILLER_101_113 -*6829 FILLER_101_119 -*6830 FILLER_101_128 -*6831 FILLER_101_153 -*6832 FILLER_101_157 -*6833 FILLER_101_166 -*6834 FILLER_101_169 -*6835 FILLER_101_193 -*6836 FILLER_101_208 -*6837 FILLER_101_21 -*6838 FILLER_101_220 -*6839 FILLER_101_225 -*6840 FILLER_101_229 -*6841 FILLER_101_239 -*6842 FILLER_101_251 -*6843 FILLER_101_263 -*6844 FILLER_101_275 -*6845 FILLER_101_279 -*6846 FILLER_101_281 -*6847 FILLER_101_293 -*6848 FILLER_101_3 -*6849 FILLER_101_315 -*6850 FILLER_101_327 -*6851 FILLER_101_335 -*6852 FILLER_101_337 -*6853 FILLER_101_34 -*6854 FILLER_101_349 -*6855 FILLER_101_361 -*6856 FILLER_101_373 -*6857 FILLER_101_385 -*6858 FILLER_101_391 -*6859 FILLER_101_393 -*6860 FILLER_101_405 -*6861 FILLER_101_417 -*6862 FILLER_101_429 -*6863 FILLER_101_438 -*6864 FILLER_101_446 -*6865 FILLER_101_449 -*6866 FILLER_101_46 -*6867 FILLER_101_461 -*6868 FILLER_101_469 -*6869 FILLER_101_481 -*6870 FILLER_101_493 -*6871 FILLER_101_501 -*6872 FILLER_101_505 -*6873 FILLER_101_515 -*6874 FILLER_101_523 -*6875 FILLER_101_531 -*6876 FILLER_101_54 -*6877 FILLER_101_543 -*6878 FILLER_101_555 -*6879 FILLER_101_559 -*6880 FILLER_101_561 -*6881 FILLER_101_57 -*6882 FILLER_101_584 -*6883 FILLER_101_596 -*6884 FILLER_101_608 -*6885 FILLER_101_617 -*6886 FILLER_101_629 -*6887 FILLER_101_642 -*6888 FILLER_101_654 -*6889 FILLER_101_662 -*6890 FILLER_101_67 -*6891 FILLER_101_670 -*6892 FILLER_101_673 -*6893 FILLER_101_685 -*6894 FILLER_101_697 -*6895 FILLER_101_709 -*6896 FILLER_101_721 -*6897 FILLER_101_727 -*6898 FILLER_101_729 -*6899 FILLER_101_741 -*6900 FILLER_101_749 -*6901 FILLER_101_761 -*6902 FILLER_101_773 -*6903 FILLER_101_79 -*6904 FILLER_101_87 -*6905 FILLER_101_93 -*6906 FILLER_102_109 -*6907 FILLER_102_120 -*6908 FILLER_102_128 -*6909 FILLER_102_138 -*6910 FILLER_102_141 -*6911 FILLER_102_148 -*6912 FILLER_102_172 -*6913 FILLER_102_185 -*6914 FILLER_102_193 -*6915 FILLER_102_197 -*6916 FILLER_102_207 -*6917 FILLER_102_21 -*6918 FILLER_102_219 -*6919 FILLER_102_231 -*6920 FILLER_102_243 -*6921 FILLER_102_251 -*6922 FILLER_102_253 -*6923 FILLER_102_265 -*6924 FILLER_102_27 -*6925 FILLER_102_277 -*6926 FILLER_102_289 -*6927 FILLER_102_29 -*6928 FILLER_102_3 -*6929 FILLER_102_301 -*6930 FILLER_102_307 -*6931 FILLER_102_309 -*6932 FILLER_102_321 -*6933 FILLER_102_333 -*6934 FILLER_102_345 -*6935 FILLER_102_349 -*6936 FILLER_102_359 -*6937 FILLER_102_363 -*6938 FILLER_102_365 -*6939 FILLER_102_379 -*6940 FILLER_102_39 -*6941 FILLER_102_391 -*6942 FILLER_102_403 -*6943 FILLER_102_415 -*6944 FILLER_102_419 -*6945 FILLER_102_421 -*6946 FILLER_102_433 -*6947 FILLER_102_445 -*6948 FILLER_102_457 -*6949 FILLER_102_469 -*6950 FILLER_102_475 -*6951 FILLER_102_477 -*6952 FILLER_102_48 -*6953 FILLER_102_487 -*6954 FILLER_102_499 -*6955 FILLER_102_511 -*6956 FILLER_102_523 -*6957 FILLER_102_531 -*6958 FILLER_102_533 -*6959 FILLER_102_545 -*6960 FILLER_102_554 -*6961 FILLER_102_574 -*6962 FILLER_102_586 -*6963 FILLER_102_589 -*6964 FILLER_102_60 -*6965 FILLER_102_601 -*6966 FILLER_102_613 -*6967 FILLER_102_625 -*6968 FILLER_102_637 -*6969 FILLER_102_643 -*6970 FILLER_102_645 -*6971 FILLER_102_655 -*6972 FILLER_102_667 -*6973 FILLER_102_679 -*6974 FILLER_102_691 -*6975 FILLER_102_699 -*6976 FILLER_102_701 -*6977 FILLER_102_713 -*6978 FILLER_102_72 -*6979 FILLER_102_725 -*6980 FILLER_102_737 -*6981 FILLER_102_749 -*6982 FILLER_102_755 -*6983 FILLER_102_757 -*6984 FILLER_102_770 -*6985 FILLER_102_774 -*6986 FILLER_102_85 -*6987 FILLER_102_97 -*6988 FILLER_103_105 -*6989 FILLER_103_111 -*6990 FILLER_103_113 -*6991 FILLER_103_125 -*6992 FILLER_103_141 -*6993 FILLER_103_153 -*6994 FILLER_103_166 -*6995 FILLER_103_169 -*6996 FILLER_103_17 -*6997 FILLER_103_180 -*6998 FILLER_103_194 -*6999 FILLER_103_209 -*7000 FILLER_103_221 -*7001 FILLER_103_225 -*7002 FILLER_103_237 -*7003 FILLER_103_245 -*7004 FILLER_103_258 -*7005 FILLER_103_270 -*7006 FILLER_103_278 -*7007 FILLER_103_281 -*7008 FILLER_103_29 -*7009 FILLER_103_293 -*7010 FILLER_103_3 -*7011 FILLER_103_305 -*7012 FILLER_103_317 -*7013 FILLER_103_334 -*7014 FILLER_103_337 -*7015 FILLER_103_348 -*7016 FILLER_103_368 -*7017 FILLER_103_38 -*7018 FILLER_103_380 -*7019 FILLER_103_393 -*7020 FILLER_103_405 -*7021 FILLER_103_413 -*7022 FILLER_103_417 -*7023 FILLER_103_427 -*7024 FILLER_103_433 -*7025 FILLER_103_446 -*7026 FILLER_103_449 -*7027 FILLER_103_457 -*7028 FILLER_103_461 -*7029 FILLER_103_473 -*7030 FILLER_103_485 -*7031 FILLER_103_493 -*7032 FILLER_103_50 -*7033 FILLER_103_502 -*7034 FILLER_103_505 -*7035 FILLER_103_517 -*7036 FILLER_103_529 -*7037 FILLER_103_541 -*7038 FILLER_103_550 -*7039 FILLER_103_558 -*7040 FILLER_103_561 -*7041 FILLER_103_57 -*7042 FILLER_103_573 -*7043 FILLER_103_585 -*7044 FILLER_103_597 -*7045 FILLER_103_609 -*7046 FILLER_103_615 -*7047 FILLER_103_617 -*7048 FILLER_103_632 -*7049 FILLER_103_644 -*7050 FILLER_103_656 -*7051 FILLER_103_668 -*7052 FILLER_103_673 -*7053 FILLER_103_685 -*7054 FILLER_103_69 -*7055 FILLER_103_697 -*7056 FILLER_103_7 -*7057 FILLER_103_709 -*7058 FILLER_103_721 -*7059 FILLER_103_727 -*7060 FILLER_103_729 -*7061 FILLER_103_775 -*7062 FILLER_103_81 -*7063 FILLER_103_93 -*7064 FILLER_104_104 -*7065 FILLER_104_111 -*7066 FILLER_104_123 -*7067 FILLER_104_129 -*7068 FILLER_104_137 -*7069 FILLER_104_141 -*7070 FILLER_104_147 -*7071 FILLER_104_15 -*7072 FILLER_104_174 -*7073 FILLER_104_178 -*7074 FILLER_104_188 -*7075 FILLER_104_197 -*7076 FILLER_104_220 -*7077 FILLER_104_233 -*7078 FILLER_104_245 -*7079 FILLER_104_251 -*7080 FILLER_104_253 -*7081 FILLER_104_257 -*7082 FILLER_104_265 -*7083 FILLER_104_27 -*7084 FILLER_104_273 -*7085 FILLER_104_282 -*7086 FILLER_104_29 -*7087 FILLER_104_294 -*7088 FILLER_104_3 -*7089 FILLER_104_306 -*7090 FILLER_104_309 -*7091 FILLER_104_317 -*7092 FILLER_104_325 -*7093 FILLER_104_337 -*7094 FILLER_104_345 -*7095 FILLER_104_355 -*7096 FILLER_104_363 -*7097 FILLER_104_365 -*7098 FILLER_104_38 -*7099 FILLER_104_381 -*7100 FILLER_104_392 -*7101 FILLER_104_404 -*7102 FILLER_104_416 -*7103 FILLER_104_421 -*7104 FILLER_104_444 -*7105 FILLER_104_464 -*7106 FILLER_104_477 -*7107 FILLER_104_489 -*7108 FILLER_104_50 -*7109 FILLER_104_501 -*7110 FILLER_104_513 -*7111 FILLER_104_525 -*7112 FILLER_104_531 -*7113 FILLER_104_533 -*7114 FILLER_104_545 -*7115 FILLER_104_567 -*7116 FILLER_104_575 -*7117 FILLER_104_586 -*7118 FILLER_104_589 -*7119 FILLER_104_601 -*7120 FILLER_104_62 -*7121 FILLER_104_628 -*7122 FILLER_104_640 -*7123 FILLER_104_645 -*7124 FILLER_104_657 -*7125 FILLER_104_669 -*7126 FILLER_104_681 -*7127 FILLER_104_693 -*7128 FILLER_104_699 -*7129 FILLER_104_701 -*7130 FILLER_104_713 -*7131 FILLER_104_725 -*7132 FILLER_104_738 -*7133 FILLER_104_74 -*7134 FILLER_104_742 -*7135 FILLER_104_746 -*7136 FILLER_104_750 -*7137 FILLER_104_754 -*7138 FILLER_104_760 -*7139 FILLER_104_764 -*7140 FILLER_104_775 -*7141 FILLER_104_82 -*7142 FILLER_104_85 -*7143 FILLER_104_93 -*7144 FILLER_105_111 -*7145 FILLER_105_113 -*7146 FILLER_105_125 -*7147 FILLER_105_137 -*7148 FILLER_105_141 -*7149 FILLER_105_145 -*7150 FILLER_105_154 -*7151 FILLER_105_166 -*7152 FILLER_105_169 -*7153 FILLER_105_194 -*7154 FILLER_105_208 -*7155 FILLER_105_21 -*7156 FILLER_105_220 -*7157 FILLER_105_225 -*7158 FILLER_105_234 -*7159 FILLER_105_246 -*7160 FILLER_105_252 -*7161 FILLER_105_260 -*7162 FILLER_105_272 -*7163 FILLER_105_281 -*7164 FILLER_105_287 -*7165 FILLER_105_296 -*7166 FILLER_105_3 -*7167 FILLER_105_308 -*7168 FILLER_105_312 -*7169 FILLER_105_322 -*7170 FILLER_105_33 -*7171 FILLER_105_334 -*7172 FILLER_105_337 -*7173 FILLER_105_349 -*7174 FILLER_105_361 -*7175 FILLER_105_373 -*7176 FILLER_105_385 -*7177 FILLER_105_391 -*7178 FILLER_105_393 -*7179 FILLER_105_405 -*7180 FILLER_105_41 -*7181 FILLER_105_417 -*7182 FILLER_105_425 -*7183 FILLER_105_428 -*7184 FILLER_105_439 -*7185 FILLER_105_447 -*7186 FILLER_105_449 -*7187 FILLER_105_461 -*7188 FILLER_105_473 -*7189 FILLER_105_485 -*7190 FILLER_105_497 -*7191 FILLER_105_503 -*7192 FILLER_105_505 -*7193 FILLER_105_517 -*7194 FILLER_105_52 -*7195 FILLER_105_529 -*7196 FILLER_105_541 -*7197 FILLER_105_553 -*7198 FILLER_105_559 -*7199 FILLER_105_561 -*7200 FILLER_105_57 -*7201 FILLER_105_573 -*7202 FILLER_105_585 -*7203 FILLER_105_597 -*7204 FILLER_105_614 -*7205 FILLER_105_617 -*7206 FILLER_105_628 -*7207 FILLER_105_640 -*7208 FILLER_105_652 -*7209 FILLER_105_664 -*7210 FILLER_105_673 -*7211 FILLER_105_68 -*7212 FILLER_105_685 -*7213 FILLER_105_697 -*7214 FILLER_105_709 -*7215 FILLER_105_721 -*7216 FILLER_105_727 -*7217 FILLER_105_729 -*7218 FILLER_105_741 -*7219 FILLER_105_75 -*7220 FILLER_105_765 -*7221 FILLER_105_769 -*7222 FILLER_105_775 -*7223 FILLER_105_87 -*7224 FILLER_105_99 -*7225 FILLER_106_103 -*7226 FILLER_106_115 -*7227 FILLER_106_124 -*7228 FILLER_106_135 -*7229 FILLER_106_139 -*7230 FILLER_106_141 -*7231 FILLER_106_152 -*7232 FILLER_106_169 -*7233 FILLER_106_17 -*7234 FILLER_106_194 -*7235 FILLER_106_197 -*7236 FILLER_106_219 -*7237 FILLER_106_231 -*7238 FILLER_106_243 -*7239 FILLER_106_25 -*7240 FILLER_106_251 -*7241 FILLER_106_253 -*7242 FILLER_106_263 -*7243 FILLER_106_275 -*7244 FILLER_106_287 -*7245 FILLER_106_29 -*7246 FILLER_106_299 -*7247 FILLER_106_3 -*7248 FILLER_106_307 -*7249 FILLER_106_309 -*7250 FILLER_106_321 -*7251 FILLER_106_333 -*7252 FILLER_106_345 -*7253 FILLER_106_357 -*7254 FILLER_106_363 -*7255 FILLER_106_365 -*7256 FILLER_106_377 -*7257 FILLER_106_38 -*7258 FILLER_106_389 -*7259 FILLER_106_401 -*7260 FILLER_106_418 -*7261 FILLER_106_421 -*7262 FILLER_106_437 -*7263 FILLER_106_449 -*7264 FILLER_106_461 -*7265 FILLER_106_465 -*7266 FILLER_106_474 -*7267 FILLER_106_477 -*7268 FILLER_106_489 -*7269 FILLER_106_501 -*7270 FILLER_106_513 -*7271 FILLER_106_528 -*7272 FILLER_106_533 -*7273 FILLER_106_543 -*7274 FILLER_106_555 -*7275 FILLER_106_567 -*7276 FILLER_106_57 -*7277 FILLER_106_579 -*7278 FILLER_106_587 -*7279 FILLER_106_589 -*7280 FILLER_106_601 -*7281 FILLER_106_627 -*7282 FILLER_106_639 -*7283 FILLER_106_643 -*7284 FILLER_106_645 -*7285 FILLER_106_656 -*7286 FILLER_106_66 -*7287 FILLER_106_668 -*7288 FILLER_106_678 -*7289 FILLER_106_690 -*7290 FILLER_106_698 -*7291 FILLER_106_7 -*7292 FILLER_106_701 -*7293 FILLER_106_705 -*7294 FILLER_106_714 -*7295 FILLER_106_726 -*7296 FILLER_106_738 -*7297 FILLER_106_754 -*7298 FILLER_106_757 -*7299 FILLER_106_767 -*7300 FILLER_106_775 -*7301 FILLER_106_78 -*7302 FILLER_106_85 -*7303 FILLER_106_91 -*7304 FILLER_107_110 -*7305 FILLER_107_113 -*7306 FILLER_107_125 -*7307 FILLER_107_137 -*7308 FILLER_107_149 -*7309 FILLER_107_157 -*7310 FILLER_107_166 -*7311 FILLER_107_169 -*7312 FILLER_107_194 -*7313 FILLER_107_202 -*7314 FILLER_107_21 -*7315 FILLER_107_213 -*7316 FILLER_107_222 -*7317 FILLER_107_225 -*7318 FILLER_107_243 -*7319 FILLER_107_254 -*7320 FILLER_107_266 -*7321 FILLER_107_278 -*7322 FILLER_107_281 -*7323 FILLER_107_293 -*7324 FILLER_107_3 -*7325 FILLER_107_305 -*7326 FILLER_107_317 -*7327 FILLER_107_329 -*7328 FILLER_107_335 -*7329 FILLER_107_337 -*7330 FILLER_107_34 -*7331 FILLER_107_349 -*7332 FILLER_107_360 -*7333 FILLER_107_372 -*7334 FILLER_107_384 -*7335 FILLER_107_393 -*7336 FILLER_107_405 -*7337 FILLER_107_417 -*7338 FILLER_107_429 -*7339 FILLER_107_44 -*7340 FILLER_107_441 -*7341 FILLER_107_447 -*7342 FILLER_107_449 -*7343 FILLER_107_461 -*7344 FILLER_107_473 -*7345 FILLER_107_485 -*7346 FILLER_107_495 -*7347 FILLER_107_503 -*7348 FILLER_107_505 -*7349 FILLER_107_513 -*7350 FILLER_107_538 -*7351 FILLER_107_550 -*7352 FILLER_107_558 -*7353 FILLER_107_561 -*7354 FILLER_107_57 -*7355 FILLER_107_573 -*7356 FILLER_107_585 -*7357 FILLER_107_589 -*7358 FILLER_107_598 -*7359 FILLER_107_604 -*7360 FILLER_107_614 -*7361 FILLER_107_617 -*7362 FILLER_107_627 -*7363 FILLER_107_642 -*7364 FILLER_107_654 -*7365 FILLER_107_666 -*7366 FILLER_107_673 -*7367 FILLER_107_685 -*7368 FILLER_107_69 -*7369 FILLER_107_697 -*7370 FILLER_107_709 -*7371 FILLER_107_721 -*7372 FILLER_107_727 -*7373 FILLER_107_729 -*7374 FILLER_107_746 -*7375 FILLER_107_758 -*7376 FILLER_107_77 -*7377 FILLER_107_770 -*7378 FILLER_107_774 -*7379 FILLER_107_83 -*7380 FILLER_107_91 -*7381 FILLER_107_98 -*7382 FILLER_108_108 -*7383 FILLER_108_119 -*7384 FILLER_108_131 -*7385 FILLER_108_139 -*7386 FILLER_108_141 -*7387 FILLER_108_153 -*7388 FILLER_108_157 -*7389 FILLER_108_166 -*7390 FILLER_108_180 -*7391 FILLER_108_192 -*7392 FILLER_108_197 -*7393 FILLER_108_21 -*7394 FILLER_108_222 -*7395 FILLER_108_234 -*7396 FILLER_108_246 -*7397 FILLER_108_253 -*7398 FILLER_108_265 -*7399 FILLER_108_27 -*7400 FILLER_108_277 -*7401 FILLER_108_289 -*7402 FILLER_108_29 -*7403 FILLER_108_3 -*7404 FILLER_108_301 -*7405 FILLER_108_307 -*7406 FILLER_108_309 -*7407 FILLER_108_318 -*7408 FILLER_108_330 -*7409 FILLER_108_339 -*7410 FILLER_108_351 -*7411 FILLER_108_363 -*7412 FILLER_108_365 -*7413 FILLER_108_376 -*7414 FILLER_108_388 -*7415 FILLER_108_39 -*7416 FILLER_108_408 -*7417 FILLER_108_421 -*7418 FILLER_108_439 -*7419 FILLER_108_451 -*7420 FILLER_108_463 -*7421 FILLER_108_475 -*7422 FILLER_108_477 -*7423 FILLER_108_489 -*7424 FILLER_108_501 -*7425 FILLER_108_51 -*7426 FILLER_108_513 -*7427 FILLER_108_527 -*7428 FILLER_108_531 -*7429 FILLER_108_533 -*7430 FILLER_108_537 -*7431 FILLER_108_540 -*7432 FILLER_108_549 -*7433 FILLER_108_561 -*7434 FILLER_108_575 -*7435 FILLER_108_587 -*7436 FILLER_108_589 -*7437 FILLER_108_601 -*7438 FILLER_108_613 -*7439 FILLER_108_617 -*7440 FILLER_108_626 -*7441 FILLER_108_63 -*7442 FILLER_108_638 -*7443 FILLER_108_645 -*7444 FILLER_108_657 -*7445 FILLER_108_667 -*7446 FILLER_108_679 -*7447 FILLER_108_691 -*7448 FILLER_108_699 -*7449 FILLER_108_701 -*7450 FILLER_108_713 -*7451 FILLER_108_724 -*7452 FILLER_108_75 -*7453 FILLER_108_753 -*7454 FILLER_108_757 -*7455 FILLER_108_775 -*7456 FILLER_108_83 -*7457 FILLER_108_85 -*7458 FILLER_109_105 -*7459 FILLER_109_111 -*7460 FILLER_109_113 -*7461 FILLER_109_125 -*7462 FILLER_109_137 -*7463 FILLER_109_145 -*7464 FILLER_109_154 -*7465 FILLER_109_166 -*7466 FILLER_109_169 -*7467 FILLER_109_175 -*7468 FILLER_109_184 -*7469 FILLER_109_196 -*7470 FILLER_109_21 -*7471 FILLER_109_221 -*7472 FILLER_109_225 -*7473 FILLER_109_235 -*7474 FILLER_109_247 -*7475 FILLER_109_259 -*7476 FILLER_109_265 -*7477 FILLER_109_268 -*7478 FILLER_109_277 -*7479 FILLER_109_281 -*7480 FILLER_109_291 -*7481 FILLER_109_3 -*7482 FILLER_109_305 -*7483 FILLER_109_317 -*7484 FILLER_109_329 -*7485 FILLER_109_335 -*7486 FILLER_109_337 -*7487 FILLER_109_34 -*7488 FILLER_109_349 -*7489 FILLER_109_361 -*7490 FILLER_109_373 -*7491 FILLER_109_38 -*7492 FILLER_109_385 -*7493 FILLER_109_391 -*7494 FILLER_109_393 -*7495 FILLER_109_405 -*7496 FILLER_109_432 -*7497 FILLER_109_445 -*7498 FILLER_109_449 -*7499 FILLER_109_459 -*7500 FILLER_109_46 -*7501 FILLER_109_471 -*7502 FILLER_109_483 -*7503 FILLER_109_495 -*7504 FILLER_109_503 -*7505 FILLER_109_505 -*7506 FILLER_109_517 -*7507 FILLER_109_529 -*7508 FILLER_109_537 -*7509 FILLER_109_54 -*7510 FILLER_109_548 -*7511 FILLER_109_561 -*7512 FILLER_109_57 -*7513 FILLER_109_573 -*7514 FILLER_109_585 -*7515 FILLER_109_597 -*7516 FILLER_109_601 -*7517 FILLER_109_604 -*7518 FILLER_109_614 -*7519 FILLER_109_617 -*7520 FILLER_109_629 -*7521 FILLER_109_641 -*7522 FILLER_109_653 -*7523 FILLER_109_665 -*7524 FILLER_109_671 -*7525 FILLER_109_673 -*7526 FILLER_109_685 -*7527 FILLER_109_69 -*7528 FILLER_109_694 -*7529 FILLER_109_706 -*7530 FILLER_109_718 -*7531 FILLER_109_726 -*7532 FILLER_109_729 -*7533 FILLER_109_735 -*7534 FILLER_109_744 -*7535 FILLER_109_752 -*7536 FILLER_109_775 -*7537 FILLER_109_81 -*7538 FILLER_109_93 -*7539 FILLER_10_101 -*7540 FILLER_10_113 -*7541 FILLER_10_125 -*7542 FILLER_10_138 -*7543 FILLER_10_141 -*7544 FILLER_10_15 -*7545 FILLER_10_166 -*7546 FILLER_10_191 -*7547 FILLER_10_195 -*7548 FILLER_10_197 -*7549 FILLER_10_226 -*7550 FILLER_10_237 -*7551 FILLER_10_250 -*7552 FILLER_10_253 -*7553 FILLER_10_261 -*7554 FILLER_10_27 -*7555 FILLER_10_282 -*7556 FILLER_10_29 -*7557 FILLER_10_3 -*7558 FILLER_10_306 -*7559 FILLER_10_309 -*7560 FILLER_10_331 -*7561 FILLER_10_339 -*7562 FILLER_10_349 -*7563 FILLER_10_362 -*7564 FILLER_10_365 -*7565 FILLER_10_388 -*7566 FILLER_10_398 -*7567 FILLER_10_41 -*7568 FILLER_10_418 -*7569 FILLER_10_421 -*7570 FILLER_10_432 -*7571 FILLER_10_456 -*7572 FILLER_10_468 -*7573 FILLER_10_477 -*7574 FILLER_10_487 -*7575 FILLER_10_49 -*7576 FILLER_10_513 -*7577 FILLER_10_530 -*7578 FILLER_10_533 -*7579 FILLER_10_545 -*7580 FILLER_10_572 -*7581 FILLER_10_58 -*7582 FILLER_10_584 -*7583 FILLER_10_589 -*7584 FILLER_10_598 -*7585 FILLER_10_622 -*7586 FILLER_10_635 -*7587 FILLER_10_642 -*7588 FILLER_10_645 -*7589 FILLER_10_670 -*7590 FILLER_10_678 -*7591 FILLER_10_690 -*7592 FILLER_10_698 -*7593 FILLER_10_70 -*7594 FILLER_10_701 -*7595 FILLER_10_713 -*7596 FILLER_10_725 -*7597 FILLER_10_737 -*7598 FILLER_10_749 -*7599 FILLER_10_755 -*7600 FILLER_10_757 -*7601 FILLER_10_775 -*7602 FILLER_10_82 -*7603 FILLER_10_85 -*7604 FILLER_110_109 -*7605 FILLER_110_121 -*7606 FILLER_110_127 -*7607 FILLER_110_135 -*7608 FILLER_110_139 -*7609 FILLER_110_141 -*7610 FILLER_110_153 -*7611 FILLER_110_165 -*7612 FILLER_110_17 -*7613 FILLER_110_177 -*7614 FILLER_110_183 -*7615 FILLER_110_193 -*7616 FILLER_110_197 -*7617 FILLER_110_214 -*7618 FILLER_110_226 -*7619 FILLER_110_238 -*7620 FILLER_110_25 -*7621 FILLER_110_250 -*7622 FILLER_110_253 -*7623 FILLER_110_262 -*7624 FILLER_110_274 -*7625 FILLER_110_286 -*7626 FILLER_110_29 -*7627 FILLER_110_297 -*7628 FILLER_110_3 -*7629 FILLER_110_305 -*7630 FILLER_110_309 -*7631 FILLER_110_318 -*7632 FILLER_110_330 -*7633 FILLER_110_344 -*7634 FILLER_110_356 -*7635 FILLER_110_365 -*7636 FILLER_110_377 -*7637 FILLER_110_38 -*7638 FILLER_110_389 -*7639 FILLER_110_397 -*7640 FILLER_110_415 -*7641 FILLER_110_419 -*7642 FILLER_110_42 -*7643 FILLER_110_421 -*7644 FILLER_110_427 -*7645 FILLER_110_449 -*7646 FILLER_110_461 -*7647 FILLER_110_473 -*7648 FILLER_110_477 -*7649 FILLER_110_489 -*7650 FILLER_110_495 -*7651 FILLER_110_504 -*7652 FILLER_110_516 -*7653 FILLER_110_52 -*7654 FILLER_110_528 -*7655 FILLER_110_533 -*7656 FILLER_110_556 -*7657 FILLER_110_568 -*7658 FILLER_110_580 -*7659 FILLER_110_589 -*7660 FILLER_110_601 -*7661 FILLER_110_613 -*7662 FILLER_110_625 -*7663 FILLER_110_637 -*7664 FILLER_110_64 -*7665 FILLER_110_643 -*7666 FILLER_110_645 -*7667 FILLER_110_649 -*7668 FILLER_110_656 -*7669 FILLER_110_660 -*7670 FILLER_110_664 -*7671 FILLER_110_668 -*7672 FILLER_110_678 -*7673 FILLER_110_692 -*7674 FILLER_110_701 -*7675 FILLER_110_713 -*7676 FILLER_110_721 -*7677 FILLER_110_730 -*7678 FILLER_110_754 -*7679 FILLER_110_757 -*7680 FILLER_110_76 -*7681 FILLER_110_769 -*7682 FILLER_110_85 -*7683 FILLER_110_97 -*7684 FILLER_111_107 -*7685 FILLER_111_111 -*7686 FILLER_111_113 -*7687 FILLER_111_121 -*7688 FILLER_111_129 -*7689 FILLER_111_137 -*7690 FILLER_111_144 -*7691 FILLER_111_160 -*7692 FILLER_111_169 -*7693 FILLER_111_181 -*7694 FILLER_111_193 -*7695 FILLER_111_205 -*7696 FILLER_111_21 -*7697 FILLER_111_218 -*7698 FILLER_111_225 -*7699 FILLER_111_229 -*7700 FILLER_111_238 -*7701 FILLER_111_250 -*7702 FILLER_111_262 -*7703 FILLER_111_274 -*7704 FILLER_111_281 -*7705 FILLER_111_289 -*7706 FILLER_111_3 -*7707 FILLER_111_306 -*7708 FILLER_111_318 -*7709 FILLER_111_33 -*7710 FILLER_111_330 -*7711 FILLER_111_337 -*7712 FILLER_111_355 -*7713 FILLER_111_367 -*7714 FILLER_111_379 -*7715 FILLER_111_391 -*7716 FILLER_111_393 -*7717 FILLER_111_417 -*7718 FILLER_111_437 -*7719 FILLER_111_445 -*7720 FILLER_111_449 -*7721 FILLER_111_45 -*7722 FILLER_111_460 -*7723 FILLER_111_472 -*7724 FILLER_111_484 -*7725 FILLER_111_495 -*7726 FILLER_111_503 -*7727 FILLER_111_505 -*7728 FILLER_111_515 -*7729 FILLER_111_519 -*7730 FILLER_111_53 -*7731 FILLER_111_531 -*7732 FILLER_111_547 -*7733 FILLER_111_559 -*7734 FILLER_111_561 -*7735 FILLER_111_57 -*7736 FILLER_111_570 -*7737 FILLER_111_582 -*7738 FILLER_111_594 -*7739 FILLER_111_606 -*7740 FILLER_111_614 -*7741 FILLER_111_617 -*7742 FILLER_111_623 -*7743 FILLER_111_644 -*7744 FILLER_111_668 -*7745 FILLER_111_673 -*7746 FILLER_111_68 -*7747 FILLER_111_696 -*7748 FILLER_111_708 -*7749 FILLER_111_716 -*7750 FILLER_111_726 -*7751 FILLER_111_729 -*7752 FILLER_111_751 -*7753 FILLER_111_76 -*7754 FILLER_111_764 -*7755 FILLER_111_768 -*7756 FILLER_111_775 -*7757 FILLER_111_83 -*7758 FILLER_111_95 -*7759 FILLER_112_100 -*7760 FILLER_112_111 -*7761 FILLER_112_122 -*7762 FILLER_112_134 -*7763 FILLER_112_141 -*7764 FILLER_112_153 -*7765 FILLER_112_168 -*7766 FILLER_112_180 -*7767 FILLER_112_194 -*7768 FILLER_112_197 -*7769 FILLER_112_21 -*7770 FILLER_112_220 -*7771 FILLER_112_232 -*7772 FILLER_112_236 -*7773 FILLER_112_245 -*7774 FILLER_112_251 -*7775 FILLER_112_253 -*7776 FILLER_112_261 -*7777 FILLER_112_27 -*7778 FILLER_112_272 -*7779 FILLER_112_284 -*7780 FILLER_112_29 -*7781 FILLER_112_296 -*7782 FILLER_112_3 -*7783 FILLER_112_309 -*7784 FILLER_112_321 -*7785 FILLER_112_333 -*7786 FILLER_112_345 -*7787 FILLER_112_357 -*7788 FILLER_112_363 -*7789 FILLER_112_365 -*7790 FILLER_112_371 -*7791 FILLER_112_380 -*7792 FILLER_112_392 -*7793 FILLER_112_40 -*7794 FILLER_112_406 -*7795 FILLER_112_418 -*7796 FILLER_112_421 -*7797 FILLER_112_429 -*7798 FILLER_112_452 -*7799 FILLER_112_464 -*7800 FILLER_112_477 -*7801 FILLER_112_502 -*7802 FILLER_112_510 -*7803 FILLER_112_52 -*7804 FILLER_112_520 -*7805 FILLER_112_533 -*7806 FILLER_112_541 -*7807 FILLER_112_553 -*7808 FILLER_112_565 -*7809 FILLER_112_577 -*7810 FILLER_112_586 -*7811 FILLER_112_589 -*7812 FILLER_112_60 -*7813 FILLER_112_601 -*7814 FILLER_112_613 -*7815 FILLER_112_625 -*7816 FILLER_112_629 -*7817 FILLER_112_639 -*7818 FILLER_112_643 -*7819 FILLER_112_645 -*7820 FILLER_112_655 -*7821 FILLER_112_667 -*7822 FILLER_112_675 -*7823 FILLER_112_68 -*7824 FILLER_112_686 -*7825 FILLER_112_698 -*7826 FILLER_112_701 -*7827 FILLER_112_713 -*7828 FILLER_112_725 -*7829 FILLER_112_729 -*7830 FILLER_112_739 -*7831 FILLER_112_745 -*7832 FILLER_112_75 -*7833 FILLER_112_754 -*7834 FILLER_112_757 -*7835 FILLER_112_775 -*7836 FILLER_112_82 -*7837 FILLER_112_85 -*7838 FILLER_112_92 -*7839 FILLER_113_105 -*7840 FILLER_113_111 -*7841 FILLER_113_113 -*7842 FILLER_113_125 -*7843 FILLER_113_136 -*7844 FILLER_113_150 -*7845 FILLER_113_158 -*7846 FILLER_113_164 -*7847 FILLER_113_169 -*7848 FILLER_113_173 -*7849 FILLER_113_177 -*7850 FILLER_113_189 -*7851 FILLER_113_201 -*7852 FILLER_113_21 -*7853 FILLER_113_222 -*7854 FILLER_113_225 -*7855 FILLER_113_243 -*7856 FILLER_113_255 -*7857 FILLER_113_267 -*7858 FILLER_113_27 -*7859 FILLER_113_279 -*7860 FILLER_113_281 -*7861 FILLER_113_292 -*7862 FILLER_113_296 -*7863 FILLER_113_3 -*7864 FILLER_113_317 -*7865 FILLER_113_329 -*7866 FILLER_113_33 -*7867 FILLER_113_335 -*7868 FILLER_113_337 -*7869 FILLER_113_349 -*7870 FILLER_113_357 -*7871 FILLER_113_375 -*7872 FILLER_113_387 -*7873 FILLER_113_391 -*7874 FILLER_113_393 -*7875 FILLER_113_405 -*7876 FILLER_113_411 -*7877 FILLER_113_421 -*7878 FILLER_113_434 -*7879 FILLER_113_446 -*7880 FILLER_113_449 -*7881 FILLER_113_45 -*7882 FILLER_113_458 -*7883 FILLER_113_464 -*7884 FILLER_113_472 -*7885 FILLER_113_476 -*7886 FILLER_113_485 -*7887 FILLER_113_497 -*7888 FILLER_113_503 -*7889 FILLER_113_505 -*7890 FILLER_113_51 -*7891 FILLER_113_528 -*7892 FILLER_113_540 -*7893 FILLER_113_55 -*7894 FILLER_113_552 -*7895 FILLER_113_561 -*7896 FILLER_113_57 -*7897 FILLER_113_573 -*7898 FILLER_113_585 -*7899 FILLER_113_597 -*7900 FILLER_113_609 -*7901 FILLER_113_615 -*7902 FILLER_113_617 -*7903 FILLER_113_62 -*7904 FILLER_113_637 -*7905 FILLER_113_649 -*7906 FILLER_113_661 -*7907 FILLER_113_669 -*7908 FILLER_113_673 -*7909 FILLER_113_685 -*7910 FILLER_113_697 -*7911 FILLER_113_709 -*7912 FILLER_113_721 -*7913 FILLER_113_727 -*7914 FILLER_113_729 -*7915 FILLER_113_74 -*7916 FILLER_113_741 -*7917 FILLER_113_749 -*7918 FILLER_113_770 -*7919 FILLER_113_776 -*7920 FILLER_113_82 -*7921 FILLER_113_93 -*7922 FILLER_114_109 -*7923 FILLER_114_121 -*7924 FILLER_114_133 -*7925 FILLER_114_139 -*7926 FILLER_114_141 -*7927 FILLER_114_153 -*7928 FILLER_114_170 -*7929 FILLER_114_178 -*7930 FILLER_114_185 -*7931 FILLER_114_193 -*7932 FILLER_114_197 -*7933 FILLER_114_209 -*7934 FILLER_114_21 -*7935 FILLER_114_222 -*7936 FILLER_114_234 -*7937 FILLER_114_249 -*7938 FILLER_114_253 -*7939 FILLER_114_261 -*7940 FILLER_114_269 -*7941 FILLER_114_27 -*7942 FILLER_114_281 -*7943 FILLER_114_29 -*7944 FILLER_114_293 -*7945 FILLER_114_3 -*7946 FILLER_114_304 -*7947 FILLER_114_309 -*7948 FILLER_114_321 -*7949 FILLER_114_329 -*7950 FILLER_114_336 -*7951 FILLER_114_344 -*7952 FILLER_114_353 -*7953 FILLER_114_360 -*7954 FILLER_114_365 -*7955 FILLER_114_373 -*7956 FILLER_114_378 -*7957 FILLER_114_388 -*7958 FILLER_114_400 -*7959 FILLER_114_41 -*7960 FILLER_114_412 -*7961 FILLER_114_421 -*7962 FILLER_114_443 -*7963 FILLER_114_455 -*7964 FILLER_114_467 -*7965 FILLER_114_475 -*7966 FILLER_114_477 -*7967 FILLER_114_489 -*7968 FILLER_114_515 -*7969 FILLER_114_527 -*7970 FILLER_114_53 -*7971 FILLER_114_531 -*7972 FILLER_114_533 -*7973 FILLER_114_545 -*7974 FILLER_114_557 -*7975 FILLER_114_568 -*7976 FILLER_114_580 -*7977 FILLER_114_589 -*7978 FILLER_114_597 -*7979 FILLER_114_602 -*7980 FILLER_114_611 -*7981 FILLER_114_623 -*7982 FILLER_114_627 -*7983 FILLER_114_636 -*7984 FILLER_114_645 -*7985 FILLER_114_65 -*7986 FILLER_114_657 -*7987 FILLER_114_669 -*7988 FILLER_114_672 -*7989 FILLER_114_682 -*7990 FILLER_114_694 -*7991 FILLER_114_701 -*7992 FILLER_114_713 -*7993 FILLER_114_732 -*7994 FILLER_114_740 -*7995 FILLER_114_751 -*7996 FILLER_114_755 -*7997 FILLER_114_757 -*7998 FILLER_114_761 -*7999 FILLER_114_764 -*8000 FILLER_114_77 -*8001 FILLER_114_775 -*8002 FILLER_114_83 -*8003 FILLER_114_85 -*8004 FILLER_114_97 -*8005 FILLER_115_111 -*8006 FILLER_115_113 -*8007 FILLER_115_121 -*8008 FILLER_115_130 -*8009 FILLER_115_142 -*8010 FILLER_115_15 -*8011 FILLER_115_154 -*8012 FILLER_115_166 -*8013 FILLER_115_169 -*8014 FILLER_115_181 -*8015 FILLER_115_187 -*8016 FILLER_115_194 -*8017 FILLER_115_198 -*8018 FILLER_115_207 -*8019 FILLER_115_22 -*8020 FILLER_115_220 -*8021 FILLER_115_225 -*8022 FILLER_115_235 -*8023 FILLER_115_245 -*8024 FILLER_115_257 -*8025 FILLER_115_269 -*8026 FILLER_115_277 -*8027 FILLER_115_281 -*8028 FILLER_115_293 -*8029 FILLER_115_3 -*8030 FILLER_115_305 -*8031 FILLER_115_314 -*8032 FILLER_115_326 -*8033 FILLER_115_334 -*8034 FILLER_115_337 -*8035 FILLER_115_34 -*8036 FILLER_115_345 -*8037 FILLER_115_356 -*8038 FILLER_115_368 -*8039 FILLER_115_380 -*8040 FILLER_115_393 -*8041 FILLER_115_405 -*8042 FILLER_115_417 -*8043 FILLER_115_425 -*8044 FILLER_115_434 -*8045 FILLER_115_446 -*8046 FILLER_115_449 -*8047 FILLER_115_46 -*8048 FILLER_115_461 -*8049 FILLER_115_473 -*8050 FILLER_115_485 -*8051 FILLER_115_497 -*8052 FILLER_115_503 -*8053 FILLER_115_505 -*8054 FILLER_115_517 -*8055 FILLER_115_529 -*8056 FILLER_115_54 -*8057 FILLER_115_541 -*8058 FILLER_115_553 -*8059 FILLER_115_559 -*8060 FILLER_115_561 -*8061 FILLER_115_57 -*8062 FILLER_115_573 -*8063 FILLER_115_579 -*8064 FILLER_115_585 -*8065 FILLER_115_597 -*8066 FILLER_115_609 -*8067 FILLER_115_615 -*8068 FILLER_115_617 -*8069 FILLER_115_629 -*8070 FILLER_115_63 -*8071 FILLER_115_641 -*8072 FILLER_115_653 -*8073 FILLER_115_665 -*8074 FILLER_115_671 -*8075 FILLER_115_673 -*8076 FILLER_115_685 -*8077 FILLER_115_699 -*8078 FILLER_115_711 -*8079 FILLER_115_723 -*8080 FILLER_115_727 -*8081 FILLER_115_729 -*8082 FILLER_115_745 -*8083 FILLER_115_75 -*8084 FILLER_115_769 -*8085 FILLER_115_87 -*8086 FILLER_115_99 -*8087 FILLER_116_109 -*8088 FILLER_116_118 -*8089 FILLER_116_126 -*8090 FILLER_116_138 -*8091 FILLER_116_141 -*8092 FILLER_116_15 -*8093 FILLER_116_153 -*8094 FILLER_116_165 -*8095 FILLER_116_177 -*8096 FILLER_116_185 -*8097 FILLER_116_194 -*8098 FILLER_116_197 -*8099 FILLER_116_223 -*8100 FILLER_116_235 -*8101 FILLER_116_246 -*8102 FILLER_116_253 -*8103 FILLER_116_265 -*8104 FILLER_116_27 -*8105 FILLER_116_277 -*8106 FILLER_116_289 -*8107 FILLER_116_29 -*8108 FILLER_116_3 -*8109 FILLER_116_301 -*8110 FILLER_116_307 -*8111 FILLER_116_309 -*8112 FILLER_116_323 -*8113 FILLER_116_335 -*8114 FILLER_116_359 -*8115 FILLER_116_363 -*8116 FILLER_116_365 -*8117 FILLER_116_369 -*8118 FILLER_116_378 -*8119 FILLER_116_390 -*8120 FILLER_116_394 -*8121 FILLER_116_402 -*8122 FILLER_116_413 -*8123 FILLER_116_419 -*8124 FILLER_116_421 -*8125 FILLER_116_45 -*8126 FILLER_116_454 -*8127 FILLER_116_466 -*8128 FILLER_116_474 -*8129 FILLER_116_477 -*8130 FILLER_116_489 -*8131 FILLER_116_501 -*8132 FILLER_116_513 -*8133 FILLER_116_525 -*8134 FILLER_116_531 -*8135 FILLER_116_533 -*8136 FILLER_116_541 -*8137 FILLER_116_550 -*8138 FILLER_116_562 -*8139 FILLER_116_57 -*8140 FILLER_116_574 -*8141 FILLER_116_586 -*8142 FILLER_116_589 -*8143 FILLER_116_601 -*8144 FILLER_116_613 -*8145 FILLER_116_628 -*8146 FILLER_116_640 -*8147 FILLER_116_645 -*8148 FILLER_116_657 -*8149 FILLER_116_665 -*8150 FILLER_116_674 -*8151 FILLER_116_686 -*8152 FILLER_116_698 -*8153 FILLER_116_701 -*8154 FILLER_116_709 -*8155 FILLER_116_72 -*8156 FILLER_116_721 -*8157 FILLER_116_729 -*8158 FILLER_116_737 -*8159 FILLER_116_741 -*8160 FILLER_116_752 -*8161 FILLER_116_757 -*8162 FILLER_116_768 -*8163 FILLER_116_776 -*8164 FILLER_116_79 -*8165 FILLER_116_83 -*8166 FILLER_116_85 -*8167 FILLER_116_97 -*8168 FILLER_117_111 -*8169 FILLER_117_113 -*8170 FILLER_117_124 -*8171 FILLER_117_132 -*8172 FILLER_117_139 -*8173 FILLER_117_148 -*8174 FILLER_117_160 -*8175 FILLER_117_169 -*8176 FILLER_117_181 -*8177 FILLER_117_190 -*8178 FILLER_117_198 -*8179 FILLER_117_21 -*8180 FILLER_117_222 -*8181 FILLER_117_225 -*8182 FILLER_117_235 -*8183 FILLER_117_241 -*8184 FILLER_117_250 -*8185 FILLER_117_263 -*8186 FILLER_117_275 -*8187 FILLER_117_279 -*8188 FILLER_117_28 -*8189 FILLER_117_281 -*8190 FILLER_117_293 -*8191 FILLER_117_3 -*8192 FILLER_117_305 -*8193 FILLER_117_317 -*8194 FILLER_117_334 -*8195 FILLER_117_337 -*8196 FILLER_117_348 -*8197 FILLER_117_354 -*8198 FILLER_117_363 -*8199 FILLER_117_367 -*8200 FILLER_117_377 -*8201 FILLER_117_389 -*8202 FILLER_117_393 -*8203 FILLER_117_397 -*8204 FILLER_117_409 -*8205 FILLER_117_438 -*8206 FILLER_117_446 -*8207 FILLER_117_449 -*8208 FILLER_117_459 -*8209 FILLER_117_47 -*8210 FILLER_117_471 -*8211 FILLER_117_487 -*8212 FILLER_117_499 -*8213 FILLER_117_503 -*8214 FILLER_117_505 -*8215 FILLER_117_513 -*8216 FILLER_117_521 -*8217 FILLER_117_530 -*8218 FILLER_117_542 -*8219 FILLER_117_55 -*8220 FILLER_117_554 -*8221 FILLER_117_561 -*8222 FILLER_117_57 -*8223 FILLER_117_573 -*8224 FILLER_117_585 -*8225 FILLER_117_597 -*8226 FILLER_117_609 -*8227 FILLER_117_615 -*8228 FILLER_117_617 -*8229 FILLER_117_629 -*8230 FILLER_117_641 -*8231 FILLER_117_653 -*8232 FILLER_117_665 -*8233 FILLER_117_671 -*8234 FILLER_117_673 -*8235 FILLER_117_685 -*8236 FILLER_117_697 -*8237 FILLER_117_709 -*8238 FILLER_117_71 -*8239 FILLER_117_721 -*8240 FILLER_117_727 -*8241 FILLER_117_729 -*8242 FILLER_117_740 -*8243 FILLER_117_765 -*8244 FILLER_117_769 -*8245 FILLER_117_774 -*8246 FILLER_117_81 -*8247 FILLER_117_92 -*8248 FILLER_117_99 -*8249 FILLER_118_105 -*8250 FILLER_118_117 -*8251 FILLER_118_132 -*8252 FILLER_118_14 -*8253 FILLER_118_141 -*8254 FILLER_118_156 -*8255 FILLER_118_168 -*8256 FILLER_118_180 -*8257 FILLER_118_191 -*8258 FILLER_118_195 -*8259 FILLER_118_197 -*8260 FILLER_118_209 -*8261 FILLER_118_221 -*8262 FILLER_118_225 -*8263 FILLER_118_234 -*8264 FILLER_118_250 -*8265 FILLER_118_253 -*8266 FILLER_118_26 -*8267 FILLER_118_263 -*8268 FILLER_118_271 -*8269 FILLER_118_282 -*8270 FILLER_118_287 -*8271 FILLER_118_29 -*8272 FILLER_118_298 -*8273 FILLER_118_3 -*8274 FILLER_118_306 -*8275 FILLER_118_309 -*8276 FILLER_118_321 -*8277 FILLER_118_333 -*8278 FILLER_118_345 -*8279 FILLER_118_362 -*8280 FILLER_118_37 -*8281 FILLER_118_386 -*8282 FILLER_118_390 -*8283 FILLER_118_399 -*8284 FILLER_118_414 -*8285 FILLER_118_421 -*8286 FILLER_118_432 -*8287 FILLER_118_449 -*8288 FILLER_118_459 -*8289 FILLER_118_463 -*8290 FILLER_118_472 -*8291 FILLER_118_477 -*8292 FILLER_118_485 -*8293 FILLER_118_497 -*8294 FILLER_118_509 -*8295 FILLER_118_51 -*8296 FILLER_118_517 -*8297 FILLER_118_526 -*8298 FILLER_118_533 -*8299 FILLER_118_545 -*8300 FILLER_118_557 -*8301 FILLER_118_565 -*8302 FILLER_118_572 -*8303 FILLER_118_584 -*8304 FILLER_118_589 -*8305 FILLER_118_601 -*8306 FILLER_118_613 -*8307 FILLER_118_625 -*8308 FILLER_118_63 -*8309 FILLER_118_636 -*8310 FILLER_118_645 -*8311 FILLER_118_657 -*8312 FILLER_118_669 -*8313 FILLER_118_681 -*8314 FILLER_118_691 -*8315 FILLER_118_699 -*8316 FILLER_118_701 -*8317 FILLER_118_713 -*8318 FILLER_118_717 -*8319 FILLER_118_738 -*8320 FILLER_118_744 -*8321 FILLER_118_753 -*8322 FILLER_118_757 -*8323 FILLER_118_76 -*8324 FILLER_118_775 -*8325 FILLER_118_85 -*8326 FILLER_118_97 -*8327 FILLER_119_100 -*8328 FILLER_119_109 -*8329 FILLER_119_113 -*8330 FILLER_119_125 -*8331 FILLER_119_133 -*8332 FILLER_119_147 -*8333 FILLER_119_155 -*8334 FILLER_119_164 -*8335 FILLER_119_169 -*8336 FILLER_119_178 -*8337 FILLER_119_190 -*8338 FILLER_119_200 -*8339 FILLER_119_21 -*8340 FILLER_119_212 -*8341 FILLER_119_222 -*8342 FILLER_119_225 -*8343 FILLER_119_236 -*8344 FILLER_119_240 -*8345 FILLER_119_262 -*8346 FILLER_119_274 -*8347 FILLER_119_281 -*8348 FILLER_119_293 -*8349 FILLER_119_3 -*8350 FILLER_119_315 -*8351 FILLER_119_327 -*8352 FILLER_119_33 -*8353 FILLER_119_335 -*8354 FILLER_119_337 -*8355 FILLER_119_349 -*8356 FILLER_119_355 -*8357 FILLER_119_367 -*8358 FILLER_119_389 -*8359 FILLER_119_393 -*8360 FILLER_119_416 -*8361 FILLER_119_428 -*8362 FILLER_119_440 -*8363 FILLER_119_449 -*8364 FILLER_119_472 -*8365 FILLER_119_48 -*8366 FILLER_119_484 -*8367 FILLER_119_496 -*8368 FILLER_119_505 -*8369 FILLER_119_517 -*8370 FILLER_119_529 -*8371 FILLER_119_541 -*8372 FILLER_119_553 -*8373 FILLER_119_559 -*8374 FILLER_119_561 -*8375 FILLER_119_567 -*8376 FILLER_119_57 -*8377 FILLER_119_576 -*8378 FILLER_119_584 -*8379 FILLER_119_594 -*8380 FILLER_119_605 -*8381 FILLER_119_613 -*8382 FILLER_119_617 -*8383 FILLER_119_628 -*8384 FILLER_119_632 -*8385 FILLER_119_654 -*8386 FILLER_119_666 -*8387 FILLER_119_673 -*8388 FILLER_119_677 -*8389 FILLER_119_701 -*8390 FILLER_119_713 -*8391 FILLER_119_725 -*8392 FILLER_119_729 -*8393 FILLER_119_740 -*8394 FILLER_119_752 -*8395 FILLER_119_76 -*8396 FILLER_119_764 -*8397 FILLER_119_768 -*8398 FILLER_119_775 -*8399 FILLER_119_88 -*8400 FILLER_11_110 -*8401 FILLER_11_113 -*8402 FILLER_11_125 -*8403 FILLER_11_15 -*8404 FILLER_11_150 -*8405 FILLER_11_156 -*8406 FILLER_11_166 -*8407 FILLER_11_169 -*8408 FILLER_11_192 -*8409 FILLER_11_200 -*8410 FILLER_11_211 -*8411 FILLER_11_222 -*8412 FILLER_11_225 -*8413 FILLER_11_250 -*8414 FILLER_11_263 -*8415 FILLER_11_27 -*8416 FILLER_11_276 -*8417 FILLER_11_281 -*8418 FILLER_11_291 -*8419 FILLER_11_3 -*8420 FILLER_11_304 -*8421 FILLER_11_321 -*8422 FILLER_11_325 -*8423 FILLER_11_334 -*8424 FILLER_11_337 -*8425 FILLER_11_348 -*8426 FILLER_11_360 -*8427 FILLER_11_373 -*8428 FILLER_11_386 -*8429 FILLER_11_39 -*8430 FILLER_11_393 -*8431 FILLER_11_417 -*8432 FILLER_11_423 -*8433 FILLER_11_432 -*8434 FILLER_11_436 -*8435 FILLER_11_445 -*8436 FILLER_11_449 -*8437 FILLER_11_478 -*8438 FILLER_11_482 -*8439 FILLER_11_493 -*8440 FILLER_11_501 -*8441 FILLER_11_505 -*8442 FILLER_11_51 -*8443 FILLER_11_513 -*8444 FILLER_11_536 -*8445 FILLER_11_548 -*8446 FILLER_11_55 -*8447 FILLER_11_552 -*8448 FILLER_11_558 -*8449 FILLER_11_561 -*8450 FILLER_11_568 -*8451 FILLER_11_57 -*8452 FILLER_11_595 -*8453 FILLER_11_603 -*8454 FILLER_11_612 -*8455 FILLER_11_617 -*8456 FILLER_11_631 -*8457 FILLER_11_643 -*8458 FILLER_11_65 -*8459 FILLER_11_656 -*8460 FILLER_11_670 -*8461 FILLER_11_673 -*8462 FILLER_11_698 -*8463 FILLER_11_710 -*8464 FILLER_11_722 -*8465 FILLER_11_729 -*8466 FILLER_11_74 -*8467 FILLER_11_741 -*8468 FILLER_11_754 -*8469 FILLER_11_766 -*8470 FILLER_11_774 -*8471 FILLER_11_86 -*8472 FILLER_11_98 -*8473 FILLER_120_105 -*8474 FILLER_120_117 -*8475 FILLER_120_129 -*8476 FILLER_120_137 -*8477 FILLER_120_141 -*8478 FILLER_120_149 -*8479 FILLER_120_172 -*8480 FILLER_120_179 -*8481 FILLER_120_183 -*8482 FILLER_120_191 -*8483 FILLER_120_195 -*8484 FILLER_120_197 -*8485 FILLER_120_209 -*8486 FILLER_120_21 -*8487 FILLER_120_213 -*8488 FILLER_120_237 -*8489 FILLER_120_250 -*8490 FILLER_120_253 -*8491 FILLER_120_263 -*8492 FILLER_120_27 -*8493 FILLER_120_275 -*8494 FILLER_120_287 -*8495 FILLER_120_29 -*8496 FILLER_120_299 -*8497 FILLER_120_3 -*8498 FILLER_120_307 -*8499 FILLER_120_309 -*8500 FILLER_120_320 -*8501 FILLER_120_332 -*8502 FILLER_120_344 -*8503 FILLER_120_356 -*8504 FILLER_120_365 -*8505 FILLER_120_373 -*8506 FILLER_120_378 -*8507 FILLER_120_389 -*8508 FILLER_120_401 -*8509 FILLER_120_41 -*8510 FILLER_120_410 -*8511 FILLER_120_418 -*8512 FILLER_120_421 -*8513 FILLER_120_425 -*8514 FILLER_120_435 -*8515 FILLER_120_447 -*8516 FILLER_120_45 -*8517 FILLER_120_451 -*8518 FILLER_120_461 -*8519 FILLER_120_473 -*8520 FILLER_120_477 -*8521 FILLER_120_489 -*8522 FILLER_120_49 -*8523 FILLER_120_501 -*8524 FILLER_120_513 -*8525 FILLER_120_525 -*8526 FILLER_120_531 -*8527 FILLER_120_533 -*8528 FILLER_120_545 -*8529 FILLER_120_557 -*8530 FILLER_120_569 -*8531 FILLER_120_57 -*8532 FILLER_120_581 -*8533 FILLER_120_587 -*8534 FILLER_120_589 -*8535 FILLER_120_601 -*8536 FILLER_120_609 -*8537 FILLER_120_63 -*8538 FILLER_120_631 -*8539 FILLER_120_643 -*8540 FILLER_120_645 -*8541 FILLER_120_667 -*8542 FILLER_120_679 -*8543 FILLER_120_690 -*8544 FILLER_120_698 -*8545 FILLER_120_701 -*8546 FILLER_120_713 -*8547 FILLER_120_730 -*8548 FILLER_120_742 -*8549 FILLER_120_754 -*8550 FILLER_120_757 -*8551 FILLER_120_775 -*8552 FILLER_120_79 -*8553 FILLER_120_83 -*8554 FILLER_120_85 -*8555 FILLER_120_89 -*8556 FILLER_120_93 -*8557 FILLER_121_101 -*8558 FILLER_121_109 -*8559 FILLER_121_113 -*8560 FILLER_121_125 -*8561 FILLER_121_137 -*8562 FILLER_121_149 -*8563 FILLER_121_15 -*8564 FILLER_121_161 -*8565 FILLER_121_167 -*8566 FILLER_121_169 -*8567 FILLER_121_178 -*8568 FILLER_121_186 -*8569 FILLER_121_207 -*8570 FILLER_121_22 -*8571 FILLER_121_222 -*8572 FILLER_121_225 -*8573 FILLER_121_236 -*8574 FILLER_121_263 -*8575 FILLER_121_275 -*8576 FILLER_121_279 -*8577 FILLER_121_281 -*8578 FILLER_121_291 -*8579 FILLER_121_3 -*8580 FILLER_121_303 -*8581 FILLER_121_313 -*8582 FILLER_121_333 -*8583 FILLER_121_337 -*8584 FILLER_121_34 -*8585 FILLER_121_349 -*8586 FILLER_121_364 -*8587 FILLER_121_384 -*8588 FILLER_121_393 -*8589 FILLER_121_403 -*8590 FILLER_121_415 -*8591 FILLER_121_42 -*8592 FILLER_121_421 -*8593 FILLER_121_443 -*8594 FILLER_121_447 -*8595 FILLER_121_449 -*8596 FILLER_121_453 -*8597 FILLER_121_462 -*8598 FILLER_121_474 -*8599 FILLER_121_486 -*8600 FILLER_121_498 -*8601 FILLER_121_505 -*8602 FILLER_121_51 -*8603 FILLER_121_517 -*8604 FILLER_121_529 -*8605 FILLER_121_537 -*8606 FILLER_121_547 -*8607 FILLER_121_55 -*8608 FILLER_121_559 -*8609 FILLER_121_561 -*8610 FILLER_121_57 -*8611 FILLER_121_570 -*8612 FILLER_121_582 -*8613 FILLER_121_594 -*8614 FILLER_121_606 -*8615 FILLER_121_614 -*8616 FILLER_121_617 -*8617 FILLER_121_627 -*8618 FILLER_121_631 -*8619 FILLER_121_641 -*8620 FILLER_121_653 -*8621 FILLER_121_670 -*8622 FILLER_121_673 -*8623 FILLER_121_685 -*8624 FILLER_121_697 -*8625 FILLER_121_71 -*8626 FILLER_121_710 -*8627 FILLER_121_721 -*8628 FILLER_121_727 -*8629 FILLER_121_729 -*8630 FILLER_121_740 -*8631 FILLER_121_752 -*8632 FILLER_121_773 -*8633 FILLER_121_83 -*8634 FILLER_121_94 -*8635 FILLER_122_110 -*8636 FILLER_122_117 -*8637 FILLER_122_134 -*8638 FILLER_122_141 -*8639 FILLER_122_15 -*8640 FILLER_122_153 -*8641 FILLER_122_165 -*8642 FILLER_122_173 -*8643 FILLER_122_194 -*8644 FILLER_122_197 -*8645 FILLER_122_208 -*8646 FILLER_122_235 -*8647 FILLER_122_250 -*8648 FILLER_122_253 -*8649 FILLER_122_264 -*8650 FILLER_122_27 -*8651 FILLER_122_29 -*8652 FILLER_122_291 -*8653 FILLER_122_3 -*8654 FILLER_122_303 -*8655 FILLER_122_307 -*8656 FILLER_122_309 -*8657 FILLER_122_319 -*8658 FILLER_122_331 -*8659 FILLER_122_343 -*8660 FILLER_122_347 -*8661 FILLER_122_35 -*8662 FILLER_122_357 -*8663 FILLER_122_363 -*8664 FILLER_122_365 -*8665 FILLER_122_377 -*8666 FILLER_122_389 -*8667 FILLER_122_412 -*8668 FILLER_122_421 -*8669 FILLER_122_435 -*8670 FILLER_122_44 -*8671 FILLER_122_447 -*8672 FILLER_122_459 -*8673 FILLER_122_471 -*8674 FILLER_122_475 -*8675 FILLER_122_477 -*8676 FILLER_122_489 -*8677 FILLER_122_497 -*8678 FILLER_122_507 -*8679 FILLER_122_519 -*8680 FILLER_122_531 -*8681 FILLER_122_533 -*8682 FILLER_122_558 -*8683 FILLER_122_570 -*8684 FILLER_122_576 -*8685 FILLER_122_586 -*8686 FILLER_122_589 -*8687 FILLER_122_601 -*8688 FILLER_122_61 -*8689 FILLER_122_613 -*8690 FILLER_122_625 -*8691 FILLER_122_633 -*8692 FILLER_122_642 -*8693 FILLER_122_645 -*8694 FILLER_122_656 -*8695 FILLER_122_668 -*8696 FILLER_122_680 -*8697 FILLER_122_69 -*8698 FILLER_122_692 -*8699 FILLER_122_701 -*8700 FILLER_122_721 -*8701 FILLER_122_748 -*8702 FILLER_122_757 -*8703 FILLER_122_767 -*8704 FILLER_122_774 -*8705 FILLER_122_80 -*8706 FILLER_122_85 -*8707 FILLER_122_97 -*8708 FILLER_123_105 -*8709 FILLER_123_111 -*8710 FILLER_123_113 -*8711 FILLER_123_121 -*8712 FILLER_123_130 -*8713 FILLER_123_138 -*8714 FILLER_123_146 -*8715 FILLER_123_153 -*8716 FILLER_123_165 -*8717 FILLER_123_169 -*8718 FILLER_123_177 -*8719 FILLER_123_186 -*8720 FILLER_123_21 -*8721 FILLER_123_218 -*8722 FILLER_123_225 -*8723 FILLER_123_239 -*8724 FILLER_123_272 -*8725 FILLER_123_281 -*8726 FILLER_123_289 -*8727 FILLER_123_3 -*8728 FILLER_123_300 -*8729 FILLER_123_31 -*8730 FILLER_123_312 -*8731 FILLER_123_324 -*8732 FILLER_123_337 -*8733 FILLER_123_349 -*8734 FILLER_123_369 -*8735 FILLER_123_381 -*8736 FILLER_123_39 -*8737 FILLER_123_390 -*8738 FILLER_123_393 -*8739 FILLER_123_415 -*8740 FILLER_123_443 -*8741 FILLER_123_447 -*8742 FILLER_123_449 -*8743 FILLER_123_458 -*8744 FILLER_123_47 -*8745 FILLER_123_470 -*8746 FILLER_123_482 -*8747 FILLER_123_494 -*8748 FILLER_123_502 -*8749 FILLER_123_505 -*8750 FILLER_123_517 -*8751 FILLER_123_525 -*8752 FILLER_123_537 -*8753 FILLER_123_546 -*8754 FILLER_123_55 -*8755 FILLER_123_558 -*8756 FILLER_123_561 -*8757 FILLER_123_57 -*8758 FILLER_123_573 -*8759 FILLER_123_585 -*8760 FILLER_123_597 -*8761 FILLER_123_609 -*8762 FILLER_123_615 -*8763 FILLER_123_617 -*8764 FILLER_123_628 -*8765 FILLER_123_63 -*8766 FILLER_123_640 -*8767 FILLER_123_652 -*8768 FILLER_123_664 -*8769 FILLER_123_673 -*8770 FILLER_123_685 -*8771 FILLER_123_697 -*8772 FILLER_123_709 -*8773 FILLER_123_717 -*8774 FILLER_123_726 -*8775 FILLER_123_729 -*8776 FILLER_123_760 -*8777 FILLER_123_773 -*8778 FILLER_123_81 -*8779 FILLER_123_93 -*8780 FILLER_124_109 -*8781 FILLER_124_121 -*8782 FILLER_124_131 -*8783 FILLER_124_139 -*8784 FILLER_124_14 -*8785 FILLER_124_141 -*8786 FILLER_124_151 -*8787 FILLER_124_163 -*8788 FILLER_124_172 -*8789 FILLER_124_179 -*8790 FILLER_124_185 -*8791 FILLER_124_191 -*8792 FILLER_124_195 -*8793 FILLER_124_197 -*8794 FILLER_124_205 -*8795 FILLER_124_217 -*8796 FILLER_124_242 -*8797 FILLER_124_250 -*8798 FILLER_124_253 -*8799 FILLER_124_259 -*8800 FILLER_124_26 -*8801 FILLER_124_268 -*8802 FILLER_124_281 -*8803 FILLER_124_29 -*8804 FILLER_124_3 -*8805 FILLER_124_305 -*8806 FILLER_124_309 -*8807 FILLER_124_317 -*8808 FILLER_124_327 -*8809 FILLER_124_339 -*8810 FILLER_124_351 -*8811 FILLER_124_363 -*8812 FILLER_124_365 -*8813 FILLER_124_369 -*8814 FILLER_124_378 -*8815 FILLER_124_390 -*8816 FILLER_124_402 -*8817 FILLER_124_41 -*8818 FILLER_124_410 -*8819 FILLER_124_418 -*8820 FILLER_124_421 -*8821 FILLER_124_433 -*8822 FILLER_124_440 -*8823 FILLER_124_452 -*8824 FILLER_124_464 -*8825 FILLER_124_472 -*8826 FILLER_124_477 -*8827 FILLER_124_489 -*8828 FILLER_124_501 -*8829 FILLER_124_513 -*8830 FILLER_124_525 -*8831 FILLER_124_53 -*8832 FILLER_124_531 -*8833 FILLER_124_533 -*8834 FILLER_124_537 -*8835 FILLER_124_545 -*8836 FILLER_124_557 -*8837 FILLER_124_569 -*8838 FILLER_124_581 -*8839 FILLER_124_587 -*8840 FILLER_124_589 -*8841 FILLER_124_601 -*8842 FILLER_124_613 -*8843 FILLER_124_625 -*8844 FILLER_124_637 -*8845 FILLER_124_643 -*8846 FILLER_124_645 -*8847 FILLER_124_65 -*8848 FILLER_124_657 -*8849 FILLER_124_669 -*8850 FILLER_124_673 -*8851 FILLER_124_683 -*8852 FILLER_124_695 -*8853 FILLER_124_699 -*8854 FILLER_124_701 -*8855 FILLER_124_713 -*8856 FILLER_124_725 -*8857 FILLER_124_73 -*8858 FILLER_124_737 -*8859 FILLER_124_750 -*8860 FILLER_124_757 -*8861 FILLER_124_772 -*8862 FILLER_124_776 -*8863 FILLER_124_81 -*8864 FILLER_124_85 -*8865 FILLER_124_97 -*8866 FILLER_125_104 -*8867 FILLER_125_113 -*8868 FILLER_125_125 -*8869 FILLER_125_137 -*8870 FILLER_125_141 -*8871 FILLER_125_149 -*8872 FILLER_125_157 -*8873 FILLER_125_163 -*8874 FILLER_125_167 -*8875 FILLER_125_169 -*8876 FILLER_125_175 -*8877 FILLER_125_187 -*8878 FILLER_125_198 -*8879 FILLER_125_21 -*8880 FILLER_125_210 -*8881 FILLER_125_222 -*8882 FILLER_125_225 -*8883 FILLER_125_235 -*8884 FILLER_125_262 -*8885 FILLER_125_278 -*8886 FILLER_125_281 -*8887 FILLER_125_3 -*8888 FILLER_125_303 -*8889 FILLER_125_311 -*8890 FILLER_125_334 -*8891 FILLER_125_337 -*8892 FILLER_125_349 -*8893 FILLER_125_361 -*8894 FILLER_125_37 -*8895 FILLER_125_373 -*8896 FILLER_125_379 -*8897 FILLER_125_388 -*8898 FILLER_125_393 -*8899 FILLER_125_405 -*8900 FILLER_125_417 -*8901 FILLER_125_429 -*8902 FILLER_125_441 -*8903 FILLER_125_447 -*8904 FILLER_125_449 -*8905 FILLER_125_461 -*8906 FILLER_125_473 -*8907 FILLER_125_483 -*8908 FILLER_125_49 -*8909 FILLER_125_495 -*8910 FILLER_125_503 -*8911 FILLER_125_505 -*8912 FILLER_125_515 -*8913 FILLER_125_527 -*8914 FILLER_125_535 -*8915 FILLER_125_55 -*8916 FILLER_125_556 -*8917 FILLER_125_561 -*8918 FILLER_125_57 -*8919 FILLER_125_573 -*8920 FILLER_125_593 -*8921 FILLER_125_605 -*8922 FILLER_125_61 -*8923 FILLER_125_613 -*8924 FILLER_125_617 -*8925 FILLER_125_626 -*8926 FILLER_125_632 -*8927 FILLER_125_640 -*8928 FILLER_125_652 -*8929 FILLER_125_664 -*8930 FILLER_125_673 -*8931 FILLER_125_68 -*8932 FILLER_125_685 -*8933 FILLER_125_689 -*8934 FILLER_125_711 -*8935 FILLER_125_717 -*8936 FILLER_125_726 -*8937 FILLER_125_729 -*8938 FILLER_125_749 -*8939 FILLER_125_773 -*8940 FILLER_125_80 -*8941 FILLER_125_92 -*8942 FILLER_126_107 -*8943 FILLER_126_119 -*8944 FILLER_126_131 -*8945 FILLER_126_139 -*8946 FILLER_126_141 -*8947 FILLER_126_148 -*8948 FILLER_126_156 -*8949 FILLER_126_160 -*8950 FILLER_126_167 -*8951 FILLER_126_182 -*8952 FILLER_126_186 -*8953 FILLER_126_194 -*8954 FILLER_126_197 -*8955 FILLER_126_206 -*8956 FILLER_126_21 -*8957 FILLER_126_218 -*8958 FILLER_126_231 -*8959 FILLER_126_247 -*8960 FILLER_126_251 -*8961 FILLER_126_253 -*8962 FILLER_126_260 -*8963 FILLER_126_27 -*8964 FILLER_126_287 -*8965 FILLER_126_29 -*8966 FILLER_126_3 -*8967 FILLER_126_300 -*8968 FILLER_126_309 -*8969 FILLER_126_315 -*8970 FILLER_126_324 -*8971 FILLER_126_336 -*8972 FILLER_126_348 -*8973 FILLER_126_360 -*8974 FILLER_126_365 -*8975 FILLER_126_377 -*8976 FILLER_126_389 -*8977 FILLER_126_401 -*8978 FILLER_126_41 -*8979 FILLER_126_413 -*8980 FILLER_126_419 -*8981 FILLER_126_421 -*8982 FILLER_126_432 -*8983 FILLER_126_456 -*8984 FILLER_126_468 -*8985 FILLER_126_477 -*8986 FILLER_126_489 -*8987 FILLER_126_495 -*8988 FILLER_126_502 -*8989 FILLER_126_514 -*8990 FILLER_126_527 -*8991 FILLER_126_53 -*8992 FILLER_126_531 -*8993 FILLER_126_533 -*8994 FILLER_126_539 -*8995 FILLER_126_550 -*8996 FILLER_126_562 -*8997 FILLER_126_573 -*8998 FILLER_126_585 -*8999 FILLER_126_589 -*9000 FILLER_126_601 -*9001 FILLER_126_613 -*9002 FILLER_126_625 -*9003 FILLER_126_637 -*9004 FILLER_126_643 -*9005 FILLER_126_645 -*9006 FILLER_126_653 -*9007 FILLER_126_663 -*9008 FILLER_126_675 -*9009 FILLER_126_68 -*9010 FILLER_126_687 -*9011 FILLER_126_698 -*9012 FILLER_126_701 -*9013 FILLER_126_715 -*9014 FILLER_126_731 -*9015 FILLER_126_743 -*9016 FILLER_126_754 -*9017 FILLER_126_757 -*9018 FILLER_126_775 -*9019 FILLER_126_80 -*9020 FILLER_126_85 -*9021 FILLER_126_89 -*9022 FILLER_126_96 -*9023 FILLER_127_109 -*9024 FILLER_127_11 -*9025 FILLER_127_113 -*9026 FILLER_127_125 -*9027 FILLER_127_137 -*9028 FILLER_127_149 -*9029 FILLER_127_16 -*9030 FILLER_127_161 -*9031 FILLER_127_167 -*9032 FILLER_127_169 -*9033 FILLER_127_186 -*9034 FILLER_127_192 -*9035 FILLER_127_198 -*9036 FILLER_127_222 -*9037 FILLER_127_225 -*9038 FILLER_127_233 -*9039 FILLER_127_257 -*9040 FILLER_127_270 -*9041 FILLER_127_278 -*9042 FILLER_127_28 -*9043 FILLER_127_281 -*9044 FILLER_127_289 -*9045 FILLER_127_299 -*9046 FILLER_127_3 -*9047 FILLER_127_315 -*9048 FILLER_127_327 -*9049 FILLER_127_335 -*9050 FILLER_127_337 -*9051 FILLER_127_349 -*9052 FILLER_127_361 -*9053 FILLER_127_373 -*9054 FILLER_127_385 -*9055 FILLER_127_391 -*9056 FILLER_127_393 -*9057 FILLER_127_40 -*9058 FILLER_127_403 -*9059 FILLER_127_415 -*9060 FILLER_127_427 -*9061 FILLER_127_435 -*9062 FILLER_127_446 -*9063 FILLER_127_449 -*9064 FILLER_127_460 -*9065 FILLER_127_472 -*9066 FILLER_127_484 -*9067 FILLER_127_497 -*9068 FILLER_127_503 -*9069 FILLER_127_505 -*9070 FILLER_127_509 -*9071 FILLER_127_531 -*9072 FILLER_127_54 -*9073 FILLER_127_558 -*9074 FILLER_127_561 -*9075 FILLER_127_57 -*9076 FILLER_127_573 -*9077 FILLER_127_585 -*9078 FILLER_127_597 -*9079 FILLER_127_609 -*9080 FILLER_127_615 -*9081 FILLER_127_617 -*9082 FILLER_127_629 -*9083 FILLER_127_641 -*9084 FILLER_127_653 -*9085 FILLER_127_665 -*9086 FILLER_127_671 -*9087 FILLER_127_673 -*9088 FILLER_127_685 -*9089 FILLER_127_69 -*9090 FILLER_127_698 -*9091 FILLER_127_725 -*9092 FILLER_127_729 -*9093 FILLER_127_737 -*9094 FILLER_127_75 -*9095 FILLER_127_761 -*9096 FILLER_127_774 -*9097 FILLER_127_87 -*9098 FILLER_127_95 -*9099 FILLER_128_102 -*9100 FILLER_128_112 -*9101 FILLER_128_122 -*9102 FILLER_128_126 -*9103 FILLER_128_130 -*9104 FILLER_128_138 -*9105 FILLER_128_141 -*9106 FILLER_128_148 -*9107 FILLER_128_15 -*9108 FILLER_128_160 -*9109 FILLER_128_170 -*9110 FILLER_128_178 -*9111 FILLER_128_184 -*9112 FILLER_128_194 -*9113 FILLER_128_197 -*9114 FILLER_128_205 -*9115 FILLER_128_214 -*9116 FILLER_128_241 -*9117 FILLER_128_250 -*9118 FILLER_128_253 -*9119 FILLER_128_259 -*9120 FILLER_128_27 -*9121 FILLER_128_281 -*9122 FILLER_128_29 -*9123 FILLER_128_293 -*9124 FILLER_128_3 -*9125 FILLER_128_305 -*9126 FILLER_128_309 -*9127 FILLER_128_317 -*9128 FILLER_128_327 -*9129 FILLER_128_331 -*9130 FILLER_128_341 -*9131 FILLER_128_353 -*9132 FILLER_128_36 -*9133 FILLER_128_361 -*9134 FILLER_128_365 -*9135 FILLER_128_377 -*9136 FILLER_128_389 -*9137 FILLER_128_401 -*9138 FILLER_128_413 -*9139 FILLER_128_419 -*9140 FILLER_128_421 -*9141 FILLER_128_433 -*9142 FILLER_128_441 -*9143 FILLER_128_465 -*9144 FILLER_128_473 -*9145 FILLER_128_477 -*9146 FILLER_128_48 -*9147 FILLER_128_489 -*9148 FILLER_128_501 -*9149 FILLER_128_513 -*9150 FILLER_128_524 -*9151 FILLER_128_533 -*9152 FILLER_128_543 -*9153 FILLER_128_555 -*9154 FILLER_128_567 -*9155 FILLER_128_57 -*9156 FILLER_128_579 -*9157 FILLER_128_587 -*9158 FILLER_128_589 -*9159 FILLER_128_601 -*9160 FILLER_128_613 -*9161 FILLER_128_625 -*9162 FILLER_128_639 -*9163 FILLER_128_643 -*9164 FILLER_128_645 -*9165 FILLER_128_657 -*9166 FILLER_128_669 -*9167 FILLER_128_675 -*9168 FILLER_128_682 -*9169 FILLER_128_69 -*9170 FILLER_128_698 -*9171 FILLER_128_701 -*9172 FILLER_128_707 -*9173 FILLER_128_717 -*9174 FILLER_128_729 -*9175 FILLER_128_737 -*9176 FILLER_128_748 -*9177 FILLER_128_757 -*9178 FILLER_128_768 -*9179 FILLER_128_775 -*9180 FILLER_128_81 -*9181 FILLER_128_85 -*9182 FILLER_128_97 -*9183 FILLER_129_105 -*9184 FILLER_129_109 -*9185 FILLER_129_113 -*9186 FILLER_129_125 -*9187 FILLER_129_131 -*9188 FILLER_129_135 -*9189 FILLER_129_146 -*9190 FILLER_129_161 -*9191 FILLER_129_167 -*9192 FILLER_129_169 -*9193 FILLER_129_177 -*9194 FILLER_129_185 -*9195 FILLER_129_197 -*9196 FILLER_129_209 -*9197 FILLER_129_21 -*9198 FILLER_129_222 -*9199 FILLER_129_225 -*9200 FILLER_129_236 -*9201 FILLER_129_249 -*9202 FILLER_129_257 -*9203 FILLER_129_267 -*9204 FILLER_129_276 -*9205 FILLER_129_28 -*9206 FILLER_129_281 -*9207 FILLER_129_287 -*9208 FILLER_129_297 -*9209 FILLER_129_3 -*9210 FILLER_129_306 -*9211 FILLER_129_318 -*9212 FILLER_129_32 -*9213 FILLER_129_330 -*9214 FILLER_129_337 -*9215 FILLER_129_350 -*9216 FILLER_129_362 -*9217 FILLER_129_374 -*9218 FILLER_129_386 -*9219 FILLER_129_39 -*9220 FILLER_129_393 -*9221 FILLER_129_405 -*9222 FILLER_129_417 -*9223 FILLER_129_429 -*9224 FILLER_129_441 -*9225 FILLER_129_447 -*9226 FILLER_129_449 -*9227 FILLER_129_460 -*9228 FILLER_129_472 -*9229 FILLER_129_484 -*9230 FILLER_129_495 -*9231 FILLER_129_503 -*9232 FILLER_129_505 -*9233 FILLER_129_51 -*9234 FILLER_129_517 -*9235 FILLER_129_527 -*9236 FILLER_129_539 -*9237 FILLER_129_549 -*9238 FILLER_129_55 -*9239 FILLER_129_557 -*9240 FILLER_129_561 -*9241 FILLER_129_57 -*9242 FILLER_129_573 -*9243 FILLER_129_585 -*9244 FILLER_129_597 -*9245 FILLER_129_609 -*9246 FILLER_129_615 -*9247 FILLER_129_617 -*9248 FILLER_129_629 -*9249 FILLER_129_641 -*9250 FILLER_129_661 -*9251 FILLER_129_669 -*9252 FILLER_129_673 -*9253 FILLER_129_677 -*9254 FILLER_129_686 -*9255 FILLER_129_69 -*9256 FILLER_129_698 -*9257 FILLER_129_710 -*9258 FILLER_129_722 -*9259 FILLER_129_729 -*9260 FILLER_129_764 -*9261 FILLER_129_776 -*9262 FILLER_129_81 -*9263 FILLER_129_93 -*9264 FILLER_12_101 -*9265 FILLER_12_11 -*9266 FILLER_12_113 -*9267 FILLER_12_125 -*9268 FILLER_12_138 -*9269 FILLER_12_141 -*9270 FILLER_12_152 -*9271 FILLER_12_164 -*9272 FILLER_12_186 -*9273 FILLER_12_194 -*9274 FILLER_12_197 -*9275 FILLER_12_205 -*9276 FILLER_12_216 -*9277 FILLER_12_224 -*9278 FILLER_12_23 -*9279 FILLER_12_243 -*9280 FILLER_12_251 -*9281 FILLER_12_253 -*9282 FILLER_12_264 -*9283 FILLER_12_27 -*9284 FILLER_12_270 -*9285 FILLER_12_280 -*9286 FILLER_12_29 -*9287 FILLER_12_294 -*9288 FILLER_12_3 -*9289 FILLER_12_306 -*9290 FILLER_12_309 -*9291 FILLER_12_320 -*9292 FILLER_12_326 -*9293 FILLER_12_347 -*9294 FILLER_12_351 -*9295 FILLER_12_360 -*9296 FILLER_12_365 -*9297 FILLER_12_375 -*9298 FILLER_12_399 -*9299 FILLER_12_41 -*9300 FILLER_12_411 -*9301 FILLER_12_419 -*9302 FILLER_12_421 -*9303 FILLER_12_431 -*9304 FILLER_12_443 -*9305 FILLER_12_447 -*9306 FILLER_12_457 -*9307 FILLER_12_463 -*9308 FILLER_12_473 -*9309 FILLER_12_485 -*9310 FILLER_12_489 -*9311 FILLER_12_499 -*9312 FILLER_12_512 -*9313 FILLER_12_520 -*9314 FILLER_12_53 -*9315 FILLER_12_530 -*9316 FILLER_12_533 -*9317 FILLER_12_539 -*9318 FILLER_12_563 -*9319 FILLER_12_575 -*9320 FILLER_12_586 -*9321 FILLER_12_589 -*9322 FILLER_12_595 -*9323 FILLER_12_61 -*9324 FILLER_12_619 -*9325 FILLER_12_634 -*9326 FILLER_12_641 -*9327 FILLER_12_645 -*9328 FILLER_12_659 -*9329 FILLER_12_671 -*9330 FILLER_12_683 -*9331 FILLER_12_695 -*9332 FILLER_12_699 -*9333 FILLER_12_70 -*9334 FILLER_12_701 -*9335 FILLER_12_705 -*9336 FILLER_12_715 -*9337 FILLER_12_719 -*9338 FILLER_12_746 -*9339 FILLER_12_754 -*9340 FILLER_12_757 -*9341 FILLER_12_775 -*9342 FILLER_12_82 -*9343 FILLER_12_85 -*9344 FILLER_130_102 -*9345 FILLER_130_114 -*9346 FILLER_130_126 -*9347 FILLER_130_136 -*9348 FILLER_130_141 -*9349 FILLER_130_146 -*9350 FILLER_130_154 -*9351 FILLER_130_161 -*9352 FILLER_130_173 -*9353 FILLER_130_185 -*9354 FILLER_130_194 -*9355 FILLER_130_197 -*9356 FILLER_130_202 -*9357 FILLER_130_21 -*9358 FILLER_130_214 -*9359 FILLER_130_226 -*9360 FILLER_130_250 -*9361 FILLER_130_253 -*9362 FILLER_130_27 -*9363 FILLER_130_276 -*9364 FILLER_130_29 -*9365 FILLER_130_3 -*9366 FILLER_130_301 -*9367 FILLER_130_307 -*9368 FILLER_130_309 -*9369 FILLER_130_320 -*9370 FILLER_130_332 -*9371 FILLER_130_344 -*9372 FILLER_130_35 -*9373 FILLER_130_356 -*9374 FILLER_130_365 -*9375 FILLER_130_369 -*9376 FILLER_130_377 -*9377 FILLER_130_391 -*9378 FILLER_130_397 -*9379 FILLER_130_405 -*9380 FILLER_130_409 -*9381 FILLER_130_418 -*9382 FILLER_130_421 -*9383 FILLER_130_433 -*9384 FILLER_130_439 -*9385 FILLER_130_460 -*9386 FILLER_130_472 -*9387 FILLER_130_477 -*9388 FILLER_130_489 -*9389 FILLER_130_49 -*9390 FILLER_130_501 -*9391 FILLER_130_513 -*9392 FILLER_130_528 -*9393 FILLER_130_533 -*9394 FILLER_130_545 -*9395 FILLER_130_551 -*9396 FILLER_130_560 -*9397 FILLER_130_572 -*9398 FILLER_130_584 -*9399 FILLER_130_589 -*9400 FILLER_130_598 -*9401 FILLER_130_606 -*9402 FILLER_130_61 -*9403 FILLER_130_612 -*9404 FILLER_130_621 -*9405 FILLER_130_633 -*9406 FILLER_130_641 -*9407 FILLER_130_645 -*9408 FILLER_130_657 -*9409 FILLER_130_669 -*9410 FILLER_130_677 -*9411 FILLER_130_686 -*9412 FILLER_130_698 -*9413 FILLER_130_701 -*9414 FILLER_130_711 -*9415 FILLER_130_719 -*9416 FILLER_130_73 -*9417 FILLER_130_730 -*9418 FILLER_130_754 -*9419 FILLER_130_757 -*9420 FILLER_130_775 -*9421 FILLER_130_81 -*9422 FILLER_130_85 -*9423 FILLER_130_90 -*9424 FILLER_131_110 -*9425 FILLER_131_113 -*9426 FILLER_131_125 -*9427 FILLER_131_133 -*9428 FILLER_131_14 -*9429 FILLER_131_143 -*9430 FILLER_131_155 -*9431 FILLER_131_167 -*9432 FILLER_131_169 -*9433 FILLER_131_180 -*9434 FILLER_131_188 -*9435 FILLER_131_198 -*9436 FILLER_131_210 -*9437 FILLER_131_222 -*9438 FILLER_131_225 -*9439 FILLER_131_251 -*9440 FILLER_131_26 -*9441 FILLER_131_263 -*9442 FILLER_131_275 -*9443 FILLER_131_279 -*9444 FILLER_131_281 -*9445 FILLER_131_3 -*9446 FILLER_131_301 -*9447 FILLER_131_328 -*9448 FILLER_131_337 -*9449 FILLER_131_35 -*9450 FILLER_131_368 -*9451 FILLER_131_380 -*9452 FILLER_131_393 -*9453 FILLER_131_405 -*9454 FILLER_131_417 -*9455 FILLER_131_429 -*9456 FILLER_131_441 -*9457 FILLER_131_447 -*9458 FILLER_131_449 -*9459 FILLER_131_461 -*9460 FILLER_131_47 -*9461 FILLER_131_474 -*9462 FILLER_131_486 -*9463 FILLER_131_498 -*9464 FILLER_131_505 -*9465 FILLER_131_516 -*9466 FILLER_131_527 -*9467 FILLER_131_539 -*9468 FILLER_131_55 -*9469 FILLER_131_551 -*9470 FILLER_131_559 -*9471 FILLER_131_561 -*9472 FILLER_131_57 -*9473 FILLER_131_573 -*9474 FILLER_131_579 -*9475 FILLER_131_588 -*9476 FILLER_131_600 -*9477 FILLER_131_612 -*9478 FILLER_131_617 -*9479 FILLER_131_629 -*9480 FILLER_131_641 -*9481 FILLER_131_653 -*9482 FILLER_131_665 -*9483 FILLER_131_671 -*9484 FILLER_131_673 -*9485 FILLER_131_685 -*9486 FILLER_131_698 -*9487 FILLER_131_726 -*9488 FILLER_131_729 -*9489 FILLER_131_74 -*9490 FILLER_131_740 -*9491 FILLER_131_752 -*9492 FILLER_131_773 -*9493 FILLER_131_86 -*9494 FILLER_131_98 -*9495 FILLER_132_102 -*9496 FILLER_132_118 -*9497 FILLER_132_130 -*9498 FILLER_132_138 -*9499 FILLER_132_141 -*9500 FILLER_132_153 -*9501 FILLER_132_164 -*9502 FILLER_132_172 -*9503 FILLER_132_183 -*9504 FILLER_132_187 -*9505 FILLER_132_194 -*9506 FILLER_132_197 -*9507 FILLER_132_204 -*9508 FILLER_132_21 -*9509 FILLER_132_216 -*9510 FILLER_132_240 -*9511 FILLER_132_253 -*9512 FILLER_132_265 -*9513 FILLER_132_27 -*9514 FILLER_132_277 -*9515 FILLER_132_285 -*9516 FILLER_132_29 -*9517 FILLER_132_294 -*9518 FILLER_132_3 -*9519 FILLER_132_305 -*9520 FILLER_132_309 -*9521 FILLER_132_329 -*9522 FILLER_132_341 -*9523 FILLER_132_349 -*9524 FILLER_132_359 -*9525 FILLER_132_363 -*9526 FILLER_132_365 -*9527 FILLER_132_375 -*9528 FILLER_132_387 -*9529 FILLER_132_399 -*9530 FILLER_132_41 -*9531 FILLER_132_411 -*9532 FILLER_132_419 -*9533 FILLER_132_421 -*9534 FILLER_132_432 -*9535 FILLER_132_444 -*9536 FILLER_132_456 -*9537 FILLER_132_468 -*9538 FILLER_132_477 -*9539 FILLER_132_489 -*9540 FILLER_132_520 -*9541 FILLER_132_53 -*9542 FILLER_132_533 -*9543 FILLER_132_545 -*9544 FILLER_132_557 -*9545 FILLER_132_561 -*9546 FILLER_132_564 -*9547 FILLER_132_574 -*9548 FILLER_132_585 -*9549 FILLER_132_589 -*9550 FILLER_132_601 -*9551 FILLER_132_609 -*9552 FILLER_132_618 -*9553 FILLER_132_630 -*9554 FILLER_132_642 -*9555 FILLER_132_645 -*9556 FILLER_132_657 -*9557 FILLER_132_669 -*9558 FILLER_132_677 -*9559 FILLER_132_698 -*9560 FILLER_132_70 -*9561 FILLER_132_701 -*9562 FILLER_132_723 -*9563 FILLER_132_747 -*9564 FILLER_132_755 -*9565 FILLER_132_757 -*9566 FILLER_132_775 -*9567 FILLER_132_82 -*9568 FILLER_132_85 -*9569 FILLER_132_94 -*9570 FILLER_133_104 -*9571 FILLER_133_113 -*9572 FILLER_133_124 -*9573 FILLER_133_136 -*9574 FILLER_133_148 -*9575 FILLER_133_154 -*9576 FILLER_133_16 -*9577 FILLER_133_162 -*9578 FILLER_133_169 -*9579 FILLER_133_176 -*9580 FILLER_133_180 -*9581 FILLER_133_187 -*9582 FILLER_133_198 -*9583 FILLER_133_210 -*9584 FILLER_133_222 -*9585 FILLER_133_225 -*9586 FILLER_133_237 -*9587 FILLER_133_262 -*9588 FILLER_133_278 -*9589 FILLER_133_28 -*9590 FILLER_133_281 -*9591 FILLER_133_292 -*9592 FILLER_133_298 -*9593 FILLER_133_3 -*9594 FILLER_133_322 -*9595 FILLER_133_334 -*9596 FILLER_133_337 -*9597 FILLER_133_357 -*9598 FILLER_133_369 -*9599 FILLER_133_381 -*9600 FILLER_133_389 -*9601 FILLER_133_393 -*9602 FILLER_133_40 -*9603 FILLER_133_405 -*9604 FILLER_133_417 -*9605 FILLER_133_429 -*9606 FILLER_133_437 -*9607 FILLER_133_446 -*9608 FILLER_133_449 -*9609 FILLER_133_460 -*9610 FILLER_133_485 -*9611 FILLER_133_502 -*9612 FILLER_133_505 -*9613 FILLER_133_515 -*9614 FILLER_133_52 -*9615 FILLER_133_525 -*9616 FILLER_133_529 -*9617 FILLER_133_538 -*9618 FILLER_133_550 -*9619 FILLER_133_558 -*9620 FILLER_133_561 -*9621 FILLER_133_57 -*9622 FILLER_133_573 -*9623 FILLER_133_585 -*9624 FILLER_133_597 -*9625 FILLER_133_609 -*9626 FILLER_133_615 -*9627 FILLER_133_617 -*9628 FILLER_133_625 -*9629 FILLER_133_634 -*9630 FILLER_133_646 -*9631 FILLER_133_667 -*9632 FILLER_133_67 -*9633 FILLER_133_671 -*9634 FILLER_133_673 -*9635 FILLER_133_693 -*9636 FILLER_133_719 -*9637 FILLER_133_727 -*9638 FILLER_133_729 -*9639 FILLER_133_737 -*9640 FILLER_133_747 -*9641 FILLER_133_771 -*9642 FILLER_133_79 -*9643 FILLER_133_92 -*9644 FILLER_134_110 -*9645 FILLER_134_114 -*9646 FILLER_134_119 -*9647 FILLER_134_130 -*9648 FILLER_134_138 -*9649 FILLER_134_141 -*9650 FILLER_134_15 -*9651 FILLER_134_150 -*9652 FILLER_134_162 -*9653 FILLER_134_174 -*9654 FILLER_134_183 -*9655 FILLER_134_193 -*9656 FILLER_134_197 -*9657 FILLER_134_205 -*9658 FILLER_134_218 -*9659 FILLER_134_225 -*9660 FILLER_134_237 -*9661 FILLER_134_250 -*9662 FILLER_134_253 -*9663 FILLER_134_264 -*9664 FILLER_134_27 -*9665 FILLER_134_29 -*9666 FILLER_134_297 -*9667 FILLER_134_3 -*9668 FILLER_134_305 -*9669 FILLER_134_309 -*9670 FILLER_134_320 -*9671 FILLER_134_33 -*9672 FILLER_134_348 -*9673 FILLER_134_360 -*9674 FILLER_134_365 -*9675 FILLER_134_37 -*9676 FILLER_134_377 -*9677 FILLER_134_389 -*9678 FILLER_134_401 -*9679 FILLER_134_410 -*9680 FILLER_134_418 -*9681 FILLER_134_421 -*9682 FILLER_134_433 -*9683 FILLER_134_445 -*9684 FILLER_134_457 -*9685 FILLER_134_474 -*9686 FILLER_134_477 -*9687 FILLER_134_489 -*9688 FILLER_134_49 -*9689 FILLER_134_513 -*9690 FILLER_134_525 -*9691 FILLER_134_531 -*9692 FILLER_134_533 -*9693 FILLER_134_543 -*9694 FILLER_134_555 -*9695 FILLER_134_563 -*9696 FILLER_134_572 -*9697 FILLER_134_584 -*9698 FILLER_134_589 -*9699 FILLER_134_61 -*9700 FILLER_134_617 -*9701 FILLER_134_629 -*9702 FILLER_134_641 -*9703 FILLER_134_645 -*9704 FILLER_134_649 -*9705 FILLER_134_65 -*9706 FILLER_134_658 -*9707 FILLER_134_673 -*9708 FILLER_134_685 -*9709 FILLER_134_689 -*9710 FILLER_134_698 -*9711 FILLER_134_701 -*9712 FILLER_134_712 -*9713 FILLER_134_716 -*9714 FILLER_134_72 -*9715 FILLER_134_727 -*9716 FILLER_134_754 -*9717 FILLER_134_757 -*9718 FILLER_134_768 -*9719 FILLER_134_776 -*9720 FILLER_134_85 -*9721 FILLER_134_98 -*9722 FILLER_135_106 -*9723 FILLER_135_113 -*9724 FILLER_135_121 -*9725 FILLER_135_129 -*9726 FILLER_135_138 -*9727 FILLER_135_150 -*9728 FILLER_135_162 -*9729 FILLER_135_169 -*9730 FILLER_135_181 -*9731 FILLER_135_192 -*9732 FILLER_135_202 -*9733 FILLER_135_21 -*9734 FILLER_135_212 -*9735 FILLER_135_216 -*9736 FILLER_135_222 -*9737 FILLER_135_225 -*9738 FILLER_135_231 -*9739 FILLER_135_240 -*9740 FILLER_135_267 -*9741 FILLER_135_277 -*9742 FILLER_135_281 -*9743 FILLER_135_292 -*9744 FILLER_135_3 -*9745 FILLER_135_300 -*9746 FILLER_135_309 -*9747 FILLER_135_321 -*9748 FILLER_135_33 -*9749 FILLER_135_334 -*9750 FILLER_135_337 -*9751 FILLER_135_341 -*9752 FILLER_135_349 -*9753 FILLER_135_355 -*9754 FILLER_135_363 -*9755 FILLER_135_374 -*9756 FILLER_135_386 -*9757 FILLER_135_393 -*9758 FILLER_135_405 -*9759 FILLER_135_427 -*9760 FILLER_135_435 -*9761 FILLER_135_446 -*9762 FILLER_135_449 -*9763 FILLER_135_45 -*9764 FILLER_135_461 -*9765 FILLER_135_475 -*9766 FILLER_135_487 -*9767 FILLER_135_501 -*9768 FILLER_135_505 -*9769 FILLER_135_515 -*9770 FILLER_135_527 -*9771 FILLER_135_53 -*9772 FILLER_135_539 -*9773 FILLER_135_547 -*9774 FILLER_135_555 -*9775 FILLER_135_559 -*9776 FILLER_135_561 -*9777 FILLER_135_567 -*9778 FILLER_135_57 -*9779 FILLER_135_579 -*9780 FILLER_135_585 -*9781 FILLER_135_594 -*9782 FILLER_135_598 -*9783 FILLER_135_608 -*9784 FILLER_135_617 -*9785 FILLER_135_629 -*9786 FILLER_135_637 -*9787 FILLER_135_648 -*9788 FILLER_135_660 -*9789 FILLER_135_673 -*9790 FILLER_135_689 -*9791 FILLER_135_69 -*9792 FILLER_135_701 -*9793 FILLER_135_713 -*9794 FILLER_135_725 -*9795 FILLER_135_729 -*9796 FILLER_135_738 -*9797 FILLER_135_751 -*9798 FILLER_135_775 -*9799 FILLER_135_79 -*9800 FILLER_135_87 -*9801 FILLER_135_94 -*9802 FILLER_136_105 -*9803 FILLER_136_11 -*9804 FILLER_136_117 -*9805 FILLER_136_129 -*9806 FILLER_136_137 -*9807 FILLER_136_141 -*9808 FILLER_136_153 -*9809 FILLER_136_162 -*9810 FILLER_136_171 -*9811 FILLER_136_18 -*9812 FILLER_136_183 -*9813 FILLER_136_188 -*9814 FILLER_136_197 -*9815 FILLER_136_206 -*9816 FILLER_136_210 -*9817 FILLER_136_217 -*9818 FILLER_136_226 -*9819 FILLER_136_238 -*9820 FILLER_136_250 -*9821 FILLER_136_253 -*9822 FILLER_136_26 -*9823 FILLER_136_265 -*9824 FILLER_136_29 -*9825 FILLER_136_292 -*9826 FILLER_136_3 -*9827 FILLER_136_304 -*9828 FILLER_136_309 -*9829 FILLER_136_318 -*9830 FILLER_136_33 -*9831 FILLER_136_330 -*9832 FILLER_136_342 -*9833 FILLER_136_354 -*9834 FILLER_136_362 -*9835 FILLER_136_365 -*9836 FILLER_136_373 -*9837 FILLER_136_382 -*9838 FILLER_136_393 -*9839 FILLER_136_405 -*9840 FILLER_136_418 -*9841 FILLER_136_421 -*9842 FILLER_136_43 -*9843 FILLER_136_431 -*9844 FILLER_136_443 -*9845 FILLER_136_451 -*9846 FILLER_136_461 -*9847 FILLER_136_473 -*9848 FILLER_136_477 -*9849 FILLER_136_487 -*9850 FILLER_136_502 -*9851 FILLER_136_514 -*9852 FILLER_136_526 -*9853 FILLER_136_533 -*9854 FILLER_136_545 -*9855 FILLER_136_55 -*9856 FILLER_136_553 -*9857 FILLER_136_563 -*9858 FILLER_136_575 -*9859 FILLER_136_587 -*9860 FILLER_136_589 -*9861 FILLER_136_59 -*9862 FILLER_136_593 -*9863 FILLER_136_614 -*9864 FILLER_136_626 -*9865 FILLER_136_638 -*9866 FILLER_136_645 -*9867 FILLER_136_65 -*9868 FILLER_136_657 -*9869 FILLER_136_669 -*9870 FILLER_136_677 -*9871 FILLER_136_686 -*9872 FILLER_136_698 -*9873 FILLER_136_701 -*9874 FILLER_136_710 -*9875 FILLER_136_734 -*9876 FILLER_136_754 -*9877 FILLER_136_757 -*9878 FILLER_136_77 -*9879 FILLER_136_775 -*9880 FILLER_136_83 -*9881 FILLER_136_85 -*9882 FILLER_136_93 -*9883 FILLER_137_111 -*9884 FILLER_137_113 -*9885 FILLER_137_117 -*9886 FILLER_137_122 -*9887 FILLER_137_13 -*9888 FILLER_137_134 -*9889 FILLER_137_142 -*9890 FILLER_137_146 -*9891 FILLER_137_158 -*9892 FILLER_137_162 -*9893 FILLER_137_166 -*9894 FILLER_137_169 -*9895 FILLER_137_177 -*9896 FILLER_137_189 -*9897 FILLER_137_201 -*9898 FILLER_137_21 -*9899 FILLER_137_213 -*9900 FILLER_137_221 -*9901 FILLER_137_225 -*9902 FILLER_137_233 -*9903 FILLER_137_258 -*9904 FILLER_137_278 -*9905 FILLER_137_281 -*9906 FILLER_137_29 -*9907 FILLER_137_297 -*9908 FILLER_137_3 -*9909 FILLER_137_317 -*9910 FILLER_137_328 -*9911 FILLER_137_337 -*9912 FILLER_137_349 -*9913 FILLER_137_361 -*9914 FILLER_137_373 -*9915 FILLER_137_385 -*9916 FILLER_137_391 -*9917 FILLER_137_393 -*9918 FILLER_137_397 -*9919 FILLER_137_405 -*9920 FILLER_137_409 -*9921 FILLER_137_41 -*9922 FILLER_137_418 -*9923 FILLER_137_422 -*9924 FILLER_137_430 -*9925 FILLER_137_442 -*9926 FILLER_137_449 -*9927 FILLER_137_462 -*9928 FILLER_137_474 -*9929 FILLER_137_502 -*9930 FILLER_137_505 -*9931 FILLER_137_515 -*9932 FILLER_137_527 -*9933 FILLER_137_53 -*9934 FILLER_137_539 -*9935 FILLER_137_551 -*9936 FILLER_137_559 -*9937 FILLER_137_561 -*9938 FILLER_137_57 -*9939 FILLER_137_573 -*9940 FILLER_137_585 -*9941 FILLER_137_597 -*9942 FILLER_137_606 -*9943 FILLER_137_614 -*9944 FILLER_137_617 -*9945 FILLER_137_628 -*9946 FILLER_137_63 -*9947 FILLER_137_640 -*9948 FILLER_137_647 -*9949 FILLER_137_659 -*9950 FILLER_137_671 -*9951 FILLER_137_673 -*9952 FILLER_137_681 -*9953 FILLER_137_689 -*9954 FILLER_137_7 -*9955 FILLER_137_701 -*9956 FILLER_137_713 -*9957 FILLER_137_726 -*9958 FILLER_137_729 -*9959 FILLER_137_739 -*9960 FILLER_137_747 -*9961 FILLER_137_75 -*9962 FILLER_137_769 -*9963 FILLER_137_87 -*9964 FILLER_137_99 -*9965 FILLER_138_101 -*9966 FILLER_138_113 -*9967 FILLER_138_125 -*9968 FILLER_138_133 -*9969 FILLER_138_137 -*9970 FILLER_138_141 -*9971 FILLER_138_150 -*9972 FILLER_138_162 -*9973 FILLER_138_174 -*9974 FILLER_138_186 -*9975 FILLER_138_191 -*9976 FILLER_138_195 -*9977 FILLER_138_197 -*9978 FILLER_138_205 -*9979 FILLER_138_21 -*9980 FILLER_138_217 -*9981 FILLER_138_221 -*9982 FILLER_138_227 -*9983 FILLER_138_234 -*9984 FILLER_138_238 -*9985 FILLER_138_248 -*9986 FILLER_138_253 -*9987 FILLER_138_27 -*9988 FILLER_138_276 -*9989 FILLER_138_284 -*9990 FILLER_138_29 -*9991 FILLER_138_3 -*9992 FILLER_138_306 -*9993 FILLER_138_309 -*9994 FILLER_138_320 -*9995 FILLER_138_332 -*9996 FILLER_138_349 -*9997 FILLER_138_361 -*9998 FILLER_138_365 -*9999 FILLER_138_374 -*10000 FILLER_138_386 -*10001 FILLER_138_398 -*10002 FILLER_138_406 -*10003 FILLER_138_41 -*10004 FILLER_138_416 -*10005 FILLER_138_421 -*10006 FILLER_138_434 -*10007 FILLER_138_446 -*10008 FILLER_138_470 -*10009 FILLER_138_477 -*10010 FILLER_138_510 -*10011 FILLER_138_522 -*10012 FILLER_138_53 -*10013 FILLER_138_530 -*10014 FILLER_138_533 -*10015 FILLER_138_544 -*10016 FILLER_138_548 -*10017 FILLER_138_560 -*10018 FILLER_138_572 -*10019 FILLER_138_584 -*10020 FILLER_138_589 -*10021 FILLER_138_601 -*10022 FILLER_138_613 -*10023 FILLER_138_625 -*10024 FILLER_138_637 -*10025 FILLER_138_643 -*10026 FILLER_138_645 -*10027 FILLER_138_65 -*10028 FILLER_138_657 -*10029 FILLER_138_665 -*10030 FILLER_138_674 -*10031 FILLER_138_686 -*10032 FILLER_138_698 -*10033 FILLER_138_701 -*10034 FILLER_138_709 -*10035 FILLER_138_719 -*10036 FILLER_138_727 -*10037 FILLER_138_739 -*10038 FILLER_138_743 -*10039 FILLER_138_754 -*10040 FILLER_138_757 -*10041 FILLER_138_77 -*10042 FILLER_138_775 -*10043 FILLER_138_83 -*10044 FILLER_138_85 -*10045 FILLER_139_105 -*10046 FILLER_139_111 -*10047 FILLER_139_113 -*10048 FILLER_139_118 -*10049 FILLER_139_125 -*10050 FILLER_139_137 -*10051 FILLER_139_145 -*10052 FILLER_139_15 -*10053 FILLER_139_154 -*10054 FILLER_139_166 -*10055 FILLER_139_169 -*10056 FILLER_139_181 -*10057 FILLER_139_193 -*10058 FILLER_139_204 -*10059 FILLER_139_214 -*10060 FILLER_139_222 -*10061 FILLER_139_225 -*10062 FILLER_139_234 -*10063 FILLER_139_242 -*10064 FILLER_139_252 -*10065 FILLER_139_264 -*10066 FILLER_139_27 -*10067 FILLER_139_276 -*10068 FILLER_139_281 -*10069 FILLER_139_289 -*10070 FILLER_139_299 -*10071 FILLER_139_3 -*10072 FILLER_139_324 -*10073 FILLER_139_337 -*10074 FILLER_139_362 -*10075 FILLER_139_375 -*10076 FILLER_139_387 -*10077 FILLER_139_39 -*10078 FILLER_139_391 -*10079 FILLER_139_393 -*10080 FILLER_139_405 -*10081 FILLER_139_417 -*10082 FILLER_139_425 -*10083 FILLER_139_434 -*10084 FILLER_139_445 -*10085 FILLER_139_449 -*10086 FILLER_139_460 -*10087 FILLER_139_472 -*10088 FILLER_139_483 -*10089 FILLER_139_491 -*10090 FILLER_139_50 -*10091 FILLER_139_501 -*10092 FILLER_139_505 -*10093 FILLER_139_517 -*10094 FILLER_139_529 -*10095 FILLER_139_541 -*10096 FILLER_139_553 -*10097 FILLER_139_559 -*10098 FILLER_139_561 -*10099 FILLER_139_569 -*10100 FILLER_139_57 -*10101 FILLER_139_578 -*10102 FILLER_139_590 -*10103 FILLER_139_614 -*10104 FILLER_139_617 -*10105 FILLER_139_624 -*10106 FILLER_139_636 -*10107 FILLER_139_648 -*10108 FILLER_139_656 -*10109 FILLER_139_665 -*10110 FILLER_139_671 -*10111 FILLER_139_673 -*10112 FILLER_139_681 -*10113 FILLER_139_69 -*10114 FILLER_139_691 -*10115 FILLER_139_703 -*10116 FILLER_139_713 -*10117 FILLER_139_725 -*10118 FILLER_139_729 -*10119 FILLER_139_751 -*10120 FILLER_139_775 -*10121 FILLER_139_79 -*10122 FILLER_139_91 -*10123 FILLER_13_110 -*10124 FILLER_13_113 -*10125 FILLER_13_117 -*10126 FILLER_13_126 -*10127 FILLER_13_139 -*10128 FILLER_13_15 -*10129 FILLER_13_163 -*10130 FILLER_13_167 -*10131 FILLER_13_169 -*10132 FILLER_13_173 -*10133 FILLER_13_183 -*10134 FILLER_13_191 -*10135 FILLER_13_217 -*10136 FILLER_13_223 -*10137 FILLER_13_225 -*10138 FILLER_13_243 -*10139 FILLER_13_268 -*10140 FILLER_13_27 -*10141 FILLER_13_278 -*10142 FILLER_13_281 -*10143 FILLER_13_3 -*10144 FILLER_13_303 -*10145 FILLER_13_310 -*10146 FILLER_13_322 -*10147 FILLER_13_334 -*10148 FILLER_13_337 -*10149 FILLER_13_347 -*10150 FILLER_13_359 -*10151 FILLER_13_365 -*10152 FILLER_13_374 -*10153 FILLER_13_39 -*10154 FILLER_13_390 -*10155 FILLER_13_393 -*10156 FILLER_13_403 -*10157 FILLER_13_415 -*10158 FILLER_13_427 -*10159 FILLER_13_446 -*10160 FILLER_13_449 -*10161 FILLER_13_472 -*10162 FILLER_13_484 -*10163 FILLER_13_496 -*10164 FILLER_13_505 -*10165 FILLER_13_51 -*10166 FILLER_13_513 -*10167 FILLER_13_536 -*10168 FILLER_13_55 -*10169 FILLER_13_552 -*10170 FILLER_13_561 -*10171 FILLER_13_57 -*10172 FILLER_13_571 -*10173 FILLER_13_583 -*10174 FILLER_13_595 -*10175 FILLER_13_603 -*10176 FILLER_13_614 -*10177 FILLER_13_617 -*10178 FILLER_13_623 -*10179 FILLER_13_647 -*10180 FILLER_13_659 -*10181 FILLER_13_669 -*10182 FILLER_13_673 -*10183 FILLER_13_685 -*10184 FILLER_13_69 -*10185 FILLER_13_691 -*10186 FILLER_13_712 -*10187 FILLER_13_724 -*10188 FILLER_13_729 -*10189 FILLER_13_760 -*10190 FILLER_13_77 -*10191 FILLER_13_772 -*10192 FILLER_13_776 -*10193 FILLER_13_86 -*10194 FILLER_13_98 -*10195 FILLER_140_102 -*10196 FILLER_140_106 -*10197 FILLER_140_115 -*10198 FILLER_140_126 -*10199 FILLER_140_133 -*10200 FILLER_140_139 -*10201 FILLER_140_141 -*10202 FILLER_140_150 -*10203 FILLER_140_154 -*10204 FILLER_140_159 -*10205 FILLER_140_167 -*10206 FILLER_140_178 -*10207 FILLER_140_189 -*10208 FILLER_140_195 -*10209 FILLER_140_197 -*10210 FILLER_140_205 -*10211 FILLER_140_21 -*10212 FILLER_140_218 -*10213 FILLER_140_230 -*10214 FILLER_140_238 -*10215 FILLER_140_247 -*10216 FILLER_140_251 -*10217 FILLER_140_253 -*10218 FILLER_140_264 -*10219 FILLER_140_27 -*10220 FILLER_140_276 -*10221 FILLER_140_284 -*10222 FILLER_140_29 -*10223 FILLER_140_294 -*10224 FILLER_140_3 -*10225 FILLER_140_306 -*10226 FILLER_140_309 -*10227 FILLER_140_317 -*10228 FILLER_140_327 -*10229 FILLER_140_339 -*10230 FILLER_140_362 -*10231 FILLER_140_365 -*10232 FILLER_140_371 -*10233 FILLER_140_379 -*10234 FILLER_140_391 -*10235 FILLER_140_395 -*10236 FILLER_140_404 -*10237 FILLER_140_41 -*10238 FILLER_140_416 -*10239 FILLER_140_421 -*10240 FILLER_140_436 -*10241 FILLER_140_440 -*10242 FILLER_140_461 -*10243 FILLER_140_473 -*10244 FILLER_140_477 -*10245 FILLER_140_489 -*10246 FILLER_140_502 -*10247 FILLER_140_514 -*10248 FILLER_140_520 -*10249 FILLER_140_529 -*10250 FILLER_140_53 -*10251 FILLER_140_540 -*10252 FILLER_140_544 -*10253 FILLER_140_554 -*10254 FILLER_140_558 -*10255 FILLER_140_565 -*10256 FILLER_140_577 -*10257 FILLER_140_585 -*10258 FILLER_140_589 -*10259 FILLER_140_601 -*10260 FILLER_140_611 -*10261 FILLER_140_623 -*10262 FILLER_140_635 -*10263 FILLER_140_643 -*10264 FILLER_140_645 -*10265 FILLER_140_657 -*10266 FILLER_140_665 -*10267 FILLER_140_675 -*10268 FILLER_140_686 -*10269 FILLER_140_69 -*10270 FILLER_140_698 -*10271 FILLER_140_701 -*10272 FILLER_140_709 -*10273 FILLER_140_721 -*10274 FILLER_140_729 -*10275 FILLER_140_73 -*10276 FILLER_140_754 -*10277 FILLER_140_757 -*10278 FILLER_140_772 -*10279 FILLER_140_776 -*10280 FILLER_140_82 -*10281 FILLER_140_85 -*10282 FILLER_140_95 -*10283 FILLER_141_107 -*10284 FILLER_141_11 -*10285 FILLER_141_111 -*10286 FILLER_141_113 -*10287 FILLER_141_119 -*10288 FILLER_141_130 -*10289 FILLER_141_134 -*10290 FILLER_141_138 -*10291 FILLER_141_151 -*10292 FILLER_141_161 -*10293 FILLER_141_167 -*10294 FILLER_141_169 -*10295 FILLER_141_180 -*10296 FILLER_141_192 -*10297 FILLER_141_200 -*10298 FILLER_141_209 -*10299 FILLER_141_221 -*10300 FILLER_141_225 -*10301 FILLER_141_229 -*10302 FILLER_141_237 -*10303 FILLER_141_272 -*10304 FILLER_141_281 -*10305 FILLER_141_293 -*10306 FILLER_141_3 -*10307 FILLER_141_302 -*10308 FILLER_141_314 -*10309 FILLER_141_322 -*10310 FILLER_141_334 -*10311 FILLER_141_337 -*10312 FILLER_141_34 -*10313 FILLER_141_345 -*10314 FILLER_141_356 -*10315 FILLER_141_366 -*10316 FILLER_141_376 -*10317 FILLER_141_382 -*10318 FILLER_141_390 -*10319 FILLER_141_393 -*10320 FILLER_141_401 -*10321 FILLER_141_412 -*10322 FILLER_141_42 -*10323 FILLER_141_438 -*10324 FILLER_141_446 -*10325 FILLER_141_449 -*10326 FILLER_141_459 -*10327 FILLER_141_471 -*10328 FILLER_141_487 -*10329 FILLER_141_499 -*10330 FILLER_141_503 -*10331 FILLER_141_505 -*10332 FILLER_141_513 -*10333 FILLER_141_525 -*10334 FILLER_141_537 -*10335 FILLER_141_54 -*10336 FILLER_141_549 -*10337 FILLER_141_557 -*10338 FILLER_141_561 -*10339 FILLER_141_57 -*10340 FILLER_141_573 -*10341 FILLER_141_585 -*10342 FILLER_141_593 -*10343 FILLER_141_614 -*10344 FILLER_141_617 -*10345 FILLER_141_627 -*10346 FILLER_141_635 -*10347 FILLER_141_644 -*10348 FILLER_141_656 -*10349 FILLER_141_668 -*10350 FILLER_141_673 -*10351 FILLER_141_689 -*10352 FILLER_141_701 -*10353 FILLER_141_72 -*10354 FILLER_141_726 -*10355 FILLER_141_729 -*10356 FILLER_141_744 -*10357 FILLER_141_772 -*10358 FILLER_141_776 -*10359 FILLER_141_84 -*10360 FILLER_141_95 -*10361 FILLER_142_109 -*10362 FILLER_142_121 -*10363 FILLER_142_13 -*10364 FILLER_142_132 -*10365 FILLER_142_141 -*10366 FILLER_142_162 -*10367 FILLER_142_170 -*10368 FILLER_142_174 -*10369 FILLER_142_178 -*10370 FILLER_142_190 -*10371 FILLER_142_197 -*10372 FILLER_142_209 -*10373 FILLER_142_221 -*10374 FILLER_142_23 -*10375 FILLER_142_232 -*10376 FILLER_142_241 -*10377 FILLER_142_249 -*10378 FILLER_142_253 -*10379 FILLER_142_263 -*10380 FILLER_142_27 -*10381 FILLER_142_29 -*10382 FILLER_142_291 -*10383 FILLER_142_3 -*10384 FILLER_142_305 -*10385 FILLER_142_309 -*10386 FILLER_142_321 -*10387 FILLER_142_333 -*10388 FILLER_142_341 -*10389 FILLER_142_350 -*10390 FILLER_142_362 -*10391 FILLER_142_365 -*10392 FILLER_142_377 -*10393 FILLER_142_389 -*10394 FILLER_142_401 -*10395 FILLER_142_409 -*10396 FILLER_142_41 -*10397 FILLER_142_417 -*10398 FILLER_142_421 -*10399 FILLER_142_433 -*10400 FILLER_142_445 -*10401 FILLER_142_449 -*10402 FILLER_142_459 -*10403 FILLER_142_471 -*10404 FILLER_142_475 -*10405 FILLER_142_477 -*10406 FILLER_142_488 -*10407 FILLER_142_500 -*10408 FILLER_142_512 -*10409 FILLER_142_521 -*10410 FILLER_142_529 -*10411 FILLER_142_53 -*10412 FILLER_142_533 -*10413 FILLER_142_545 -*10414 FILLER_142_557 -*10415 FILLER_142_569 -*10416 FILLER_142_581 -*10417 FILLER_142_587 -*10418 FILLER_142_589 -*10419 FILLER_142_599 -*10420 FILLER_142_611 -*10421 FILLER_142_623 -*10422 FILLER_142_628 -*10423 FILLER_142_637 -*10424 FILLER_142_643 -*10425 FILLER_142_645 -*10426 FILLER_142_65 -*10427 FILLER_142_657 -*10428 FILLER_142_669 -*10429 FILLER_142_681 -*10430 FILLER_142_689 -*10431 FILLER_142_698 -*10432 FILLER_142_7 -*10433 FILLER_142_701 -*10434 FILLER_142_709 -*10435 FILLER_142_720 -*10436 FILLER_142_741 -*10437 FILLER_142_754 -*10438 FILLER_142_757 -*10439 FILLER_142_775 -*10440 FILLER_142_82 -*10441 FILLER_142_85 -*10442 FILLER_142_97 -*10443 FILLER_143_107 -*10444 FILLER_143_111 -*10445 FILLER_143_113 -*10446 FILLER_143_132 -*10447 FILLER_143_144 -*10448 FILLER_143_15 -*10449 FILLER_143_156 -*10450 FILLER_143_169 -*10451 FILLER_143_175 -*10452 FILLER_143_179 -*10453 FILLER_143_191 -*10454 FILLER_143_203 -*10455 FILLER_143_215 -*10456 FILLER_143_223 -*10457 FILLER_143_225 -*10458 FILLER_143_242 -*10459 FILLER_143_249 -*10460 FILLER_143_261 -*10461 FILLER_143_269 -*10462 FILLER_143_27 -*10463 FILLER_143_278 -*10464 FILLER_143_281 -*10465 FILLER_143_293 -*10466 FILLER_143_3 -*10467 FILLER_143_303 -*10468 FILLER_143_315 -*10469 FILLER_143_327 -*10470 FILLER_143_335 -*10471 FILLER_143_337 -*10472 FILLER_143_349 -*10473 FILLER_143_368 -*10474 FILLER_143_380 -*10475 FILLER_143_39 -*10476 FILLER_143_393 -*10477 FILLER_143_405 -*10478 FILLER_143_417 -*10479 FILLER_143_429 -*10480 FILLER_143_43 -*10481 FILLER_143_437 -*10482 FILLER_143_446 -*10483 FILLER_143_449 -*10484 FILLER_143_453 -*10485 FILLER_143_463 -*10486 FILLER_143_471 -*10487 FILLER_143_495 -*10488 FILLER_143_503 -*10489 FILLER_143_505 -*10490 FILLER_143_51 -*10491 FILLER_143_517 -*10492 FILLER_143_529 -*10493 FILLER_143_541 -*10494 FILLER_143_55 -*10495 FILLER_143_550 -*10496 FILLER_143_558 -*10497 FILLER_143_561 -*10498 FILLER_143_57 -*10499 FILLER_143_573 -*10500 FILLER_143_585 -*10501 FILLER_143_597 -*10502 FILLER_143_609 -*10503 FILLER_143_615 -*10504 FILLER_143_617 -*10505 FILLER_143_625 -*10506 FILLER_143_637 -*10507 FILLER_143_649 -*10508 FILLER_143_65 -*10509 FILLER_143_661 -*10510 FILLER_143_669 -*10511 FILLER_143_673 -*10512 FILLER_143_685 -*10513 FILLER_143_694 -*10514 FILLER_143_706 -*10515 FILLER_143_726 -*10516 FILLER_143_729 -*10517 FILLER_143_739 -*10518 FILLER_143_763 -*10519 FILLER_143_775 -*10520 FILLER_143_88 -*10521 FILLER_143_95 -*10522 FILLER_144_109 -*10523 FILLER_144_117 -*10524 FILLER_144_125 -*10525 FILLER_144_135 -*10526 FILLER_144_139 -*10527 FILLER_144_141 -*10528 FILLER_144_153 -*10529 FILLER_144_165 -*10530 FILLER_144_169 -*10531 FILLER_144_173 -*10532 FILLER_144_185 -*10533 FILLER_144_194 -*10534 FILLER_144_197 -*10535 FILLER_144_206 -*10536 FILLER_144_21 -*10537 FILLER_144_214 -*10538 FILLER_144_220 -*10539 FILLER_144_224 -*10540 FILLER_144_230 -*10541 FILLER_144_242 -*10542 FILLER_144_250 -*10543 FILLER_144_253 -*10544 FILLER_144_265 -*10545 FILLER_144_27 -*10546 FILLER_144_273 -*10547 FILLER_144_277 -*10548 FILLER_144_29 -*10549 FILLER_144_3 -*10550 FILLER_144_301 -*10551 FILLER_144_307 -*10552 FILLER_144_309 -*10553 FILLER_144_314 -*10554 FILLER_144_326 -*10555 FILLER_144_337 -*10556 FILLER_144_349 -*10557 FILLER_144_361 -*10558 FILLER_144_365 -*10559 FILLER_144_37 -*10560 FILLER_144_377 -*10561 FILLER_144_389 -*10562 FILLER_144_401 -*10563 FILLER_144_413 -*10564 FILLER_144_419 -*10565 FILLER_144_421 -*10566 FILLER_144_43 -*10567 FILLER_144_433 -*10568 FILLER_144_445 -*10569 FILLER_144_470 -*10570 FILLER_144_477 -*10571 FILLER_144_487 -*10572 FILLER_144_499 -*10573 FILLER_144_51 -*10574 FILLER_144_511 -*10575 FILLER_144_523 -*10576 FILLER_144_531 -*10577 FILLER_144_533 -*10578 FILLER_144_557 -*10579 FILLER_144_569 -*10580 FILLER_144_581 -*10581 FILLER_144_587 -*10582 FILLER_144_589 -*10583 FILLER_144_601 -*10584 FILLER_144_609 -*10585 FILLER_144_621 -*10586 FILLER_144_63 -*10587 FILLER_144_633 -*10588 FILLER_144_641 -*10589 FILLER_144_645 -*10590 FILLER_144_657 -*10591 FILLER_144_669 -*10592 FILLER_144_681 -*10593 FILLER_144_689 -*10594 FILLER_144_698 -*10595 FILLER_144_701 -*10596 FILLER_144_711 -*10597 FILLER_144_72 -*10598 FILLER_144_739 -*10599 FILLER_144_752 -*10600 FILLER_144_757 -*10601 FILLER_144_775 -*10602 FILLER_144_79 -*10603 FILLER_144_83 -*10604 FILLER_144_85 -*10605 FILLER_144_97 -*10606 FILLER_145_107 -*10607 FILLER_145_111 -*10608 FILLER_145_113 -*10609 FILLER_145_118 -*10610 FILLER_145_130 -*10611 FILLER_145_138 -*10612 FILLER_145_14 -*10613 FILLER_145_152 -*10614 FILLER_145_164 -*10615 FILLER_145_169 -*10616 FILLER_145_18 -*10617 FILLER_145_181 -*10618 FILLER_145_193 -*10619 FILLER_145_202 -*10620 FILLER_145_214 -*10621 FILLER_145_222 -*10622 FILLER_145_225 -*10623 FILLER_145_234 -*10624 FILLER_145_259 -*10625 FILLER_145_263 -*10626 FILLER_145_273 -*10627 FILLER_145_279 -*10628 FILLER_145_281 -*10629 FILLER_145_291 -*10630 FILLER_145_3 -*10631 FILLER_145_30 -*10632 FILLER_145_320 -*10633 FILLER_145_332 -*10634 FILLER_145_337 -*10635 FILLER_145_353 -*10636 FILLER_145_378 -*10637 FILLER_145_390 -*10638 FILLER_145_393 -*10639 FILLER_145_405 -*10640 FILLER_145_417 -*10641 FILLER_145_42 -*10642 FILLER_145_429 -*10643 FILLER_145_446 -*10644 FILLER_145_449 -*10645 FILLER_145_460 -*10646 FILLER_145_468 -*10647 FILLER_145_486 -*10648 FILLER_145_490 -*10649 FILLER_145_500 -*10650 FILLER_145_505 -*10651 FILLER_145_515 -*10652 FILLER_145_54 -*10653 FILLER_145_550 -*10654 FILLER_145_558 -*10655 FILLER_145_561 -*10656 FILLER_145_57 -*10657 FILLER_145_573 -*10658 FILLER_145_585 -*10659 FILLER_145_597 -*10660 FILLER_145_609 -*10661 FILLER_145_615 -*10662 FILLER_145_617 -*10663 FILLER_145_629 -*10664 FILLER_145_641 -*10665 FILLER_145_653 -*10666 FILLER_145_66 -*10667 FILLER_145_664 -*10668 FILLER_145_673 -*10669 FILLER_145_677 -*10670 FILLER_145_680 -*10671 FILLER_145_689 -*10672 FILLER_145_701 -*10673 FILLER_145_713 -*10674 FILLER_145_726 -*10675 FILLER_145_729 -*10676 FILLER_145_751 -*10677 FILLER_145_775 -*10678 FILLER_145_78 -*10679 FILLER_145_97 -*10680 FILLER_146_108 -*10681 FILLER_146_120 -*10682 FILLER_146_128 -*10683 FILLER_146_135 -*10684 FILLER_146_139 -*10685 FILLER_146_141 -*10686 FILLER_146_159 -*10687 FILLER_146_171 -*10688 FILLER_146_187 -*10689 FILLER_146_195 -*10690 FILLER_146_197 -*10691 FILLER_146_201 -*10692 FILLER_146_209 -*10693 FILLER_146_21 -*10694 FILLER_146_216 -*10695 FILLER_146_225 -*10696 FILLER_146_239 -*10697 FILLER_146_25 -*10698 FILLER_146_250 -*10699 FILLER_146_253 -*10700 FILLER_146_259 -*10701 FILLER_146_281 -*10702 FILLER_146_29 -*10703 FILLER_146_297 -*10704 FILLER_146_3 -*10705 FILLER_146_305 -*10706 FILLER_146_309 -*10707 FILLER_146_321 -*10708 FILLER_146_333 -*10709 FILLER_146_345 -*10710 FILLER_146_353 -*10711 FILLER_146_362 -*10712 FILLER_146_365 -*10713 FILLER_146_376 -*10714 FILLER_146_380 -*10715 FILLER_146_391 -*10716 FILLER_146_403 -*10717 FILLER_146_41 -*10718 FILLER_146_412 -*10719 FILLER_146_421 -*10720 FILLER_146_429 -*10721 FILLER_146_452 -*10722 FILLER_146_464 -*10723 FILLER_146_477 -*10724 FILLER_146_485 -*10725 FILLER_146_509 -*10726 FILLER_146_521 -*10727 FILLER_146_529 -*10728 FILLER_146_53 -*10729 FILLER_146_533 -*10730 FILLER_146_544 -*10731 FILLER_146_556 -*10732 FILLER_146_568 -*10733 FILLER_146_580 -*10734 FILLER_146_589 -*10735 FILLER_146_601 -*10736 FILLER_146_613 -*10737 FILLER_146_625 -*10738 FILLER_146_637 -*10739 FILLER_146_643 -*10740 FILLER_146_645 -*10741 FILLER_146_65 -*10742 FILLER_146_657 -*10743 FILLER_146_678 -*10744 FILLER_146_698 -*10745 FILLER_146_701 -*10746 FILLER_146_717 -*10747 FILLER_146_725 -*10748 FILLER_146_734 -*10749 FILLER_146_754 -*10750 FILLER_146_757 -*10751 FILLER_146_77 -*10752 FILLER_146_775 -*10753 FILLER_146_83 -*10754 FILLER_146_85 -*10755 FILLER_146_96 -*10756 FILLER_147_110 -*10757 FILLER_147_113 -*10758 FILLER_147_125 -*10759 FILLER_147_137 -*10760 FILLER_147_149 -*10761 FILLER_147_157 -*10762 FILLER_147_165 -*10763 FILLER_147_169 -*10764 FILLER_147_182 -*10765 FILLER_147_194 -*10766 FILLER_147_206 -*10767 FILLER_147_21 -*10768 FILLER_147_215 -*10769 FILLER_147_223 -*10770 FILLER_147_225 -*10771 FILLER_147_238 -*10772 FILLER_147_262 -*10773 FILLER_147_274 -*10774 FILLER_147_281 -*10775 FILLER_147_288 -*10776 FILLER_147_296 -*10777 FILLER_147_3 -*10778 FILLER_147_31 -*10779 FILLER_147_310 -*10780 FILLER_147_322 -*10781 FILLER_147_334 -*10782 FILLER_147_337 -*10783 FILLER_147_349 -*10784 FILLER_147_361 -*10785 FILLER_147_373 -*10786 FILLER_147_382 -*10787 FILLER_147_390 -*10788 FILLER_147_393 -*10789 FILLER_147_405 -*10790 FILLER_147_417 -*10791 FILLER_147_421 -*10792 FILLER_147_429 -*10793 FILLER_147_43 -*10794 FILLER_147_445 -*10795 FILLER_147_449 -*10796 FILLER_147_457 -*10797 FILLER_147_465 -*10798 FILLER_147_477 -*10799 FILLER_147_489 -*10800 FILLER_147_498 -*10801 FILLER_147_505 -*10802 FILLER_147_516 -*10803 FILLER_147_528 -*10804 FILLER_147_532 -*10805 FILLER_147_541 -*10806 FILLER_147_55 -*10807 FILLER_147_553 -*10808 FILLER_147_559 -*10809 FILLER_147_561 -*10810 FILLER_147_57 -*10811 FILLER_147_573 -*10812 FILLER_147_577 -*10813 FILLER_147_585 -*10814 FILLER_147_595 -*10815 FILLER_147_599 -*10816 FILLER_147_609 -*10817 FILLER_147_615 -*10818 FILLER_147_617 -*10819 FILLER_147_625 -*10820 FILLER_147_634 -*10821 FILLER_147_646 -*10822 FILLER_147_658 -*10823 FILLER_147_670 -*10824 FILLER_147_673 -*10825 FILLER_147_682 -*10826 FILLER_147_69 -*10827 FILLER_147_693 -*10828 FILLER_147_705 -*10829 FILLER_147_718 -*10830 FILLER_147_726 -*10831 FILLER_147_729 -*10832 FILLER_147_738 -*10833 FILLER_147_765 -*10834 FILLER_147_769 -*10835 FILLER_147_773 -*10836 FILLER_147_90 -*10837 FILLER_147_98 -*10838 FILLER_148_107 -*10839 FILLER_148_118 -*10840 FILLER_148_126 -*10841 FILLER_148_131 -*10842 FILLER_148_139 -*10843 FILLER_148_141 -*10844 FILLER_148_149 -*10845 FILLER_148_155 -*10846 FILLER_148_16 -*10847 FILLER_148_162 -*10848 FILLER_148_168 -*10849 FILLER_148_172 -*10850 FILLER_148_184 -*10851 FILLER_148_197 -*10852 FILLER_148_209 -*10853 FILLER_148_221 -*10854 FILLER_148_233 -*10855 FILLER_148_237 -*10856 FILLER_148_245 -*10857 FILLER_148_251 -*10858 FILLER_148_253 -*10859 FILLER_148_266 -*10860 FILLER_148_271 -*10861 FILLER_148_29 -*10862 FILLER_148_294 -*10863 FILLER_148_3 -*10864 FILLER_148_306 -*10865 FILLER_148_309 -*10866 FILLER_148_321 -*10867 FILLER_148_330 -*10868 FILLER_148_338 -*10869 FILLER_148_347 -*10870 FILLER_148_359 -*10871 FILLER_148_363 -*10872 FILLER_148_365 -*10873 FILLER_148_37 -*10874 FILLER_148_377 -*10875 FILLER_148_393 -*10876 FILLER_148_405 -*10877 FILLER_148_415 -*10878 FILLER_148_419 -*10879 FILLER_148_421 -*10880 FILLER_148_433 -*10881 FILLER_148_445 -*10882 FILLER_148_457 -*10883 FILLER_148_46 -*10884 FILLER_148_469 -*10885 FILLER_148_475 -*10886 FILLER_148_477 -*10887 FILLER_148_489 -*10888 FILLER_148_502 -*10889 FILLER_148_514 -*10890 FILLER_148_520 -*10891 FILLER_148_529 -*10892 FILLER_148_533 -*10893 FILLER_148_545 -*10894 FILLER_148_557 -*10895 FILLER_148_569 -*10896 FILLER_148_58 -*10897 FILLER_148_581 -*10898 FILLER_148_587 -*10899 FILLER_148_589 -*10900 FILLER_148_601 -*10901 FILLER_148_606 -*10902 FILLER_148_617 -*10903 FILLER_148_629 -*10904 FILLER_148_641 -*10905 FILLER_148_645 -*10906 FILLER_148_655 -*10907 FILLER_148_667 -*10908 FILLER_148_675 -*10909 FILLER_148_684 -*10910 FILLER_148_698 -*10911 FILLER_148_7 -*10912 FILLER_148_70 -*10913 FILLER_148_701 -*10914 FILLER_148_724 -*10915 FILLER_148_732 -*10916 FILLER_148_754 -*10917 FILLER_148_757 -*10918 FILLER_148_768 -*10919 FILLER_148_775 -*10920 FILLER_148_82 -*10921 FILLER_148_85 -*10922 FILLER_148_95 -*10923 FILLER_149_105 -*10924 FILLER_149_110 -*10925 FILLER_149_113 -*10926 FILLER_149_122 -*10927 FILLER_149_130 -*10928 FILLER_149_140 -*10929 FILLER_149_148 -*10930 FILLER_149_16 -*10931 FILLER_149_160 -*10932 FILLER_149_169 -*10933 FILLER_149_180 -*10934 FILLER_149_189 -*10935 FILLER_149_201 -*10936 FILLER_149_209 -*10937 FILLER_149_217 -*10938 FILLER_149_223 -*10939 FILLER_149_225 -*10940 FILLER_149_237 -*10941 FILLER_149_249 -*10942 FILLER_149_276 -*10943 FILLER_149_28 -*10944 FILLER_149_281 -*10945 FILLER_149_291 -*10946 FILLER_149_3 -*10947 FILLER_149_319 -*10948 FILLER_149_323 -*10949 FILLER_149_332 -*10950 FILLER_149_337 -*10951 FILLER_149_34 -*10952 FILLER_149_347 -*10953 FILLER_149_355 -*10954 FILLER_149_380 -*10955 FILLER_149_393 -*10956 FILLER_149_405 -*10957 FILLER_149_411 -*10958 FILLER_149_42 -*10959 FILLER_149_420 -*10960 FILLER_149_432 -*10961 FILLER_149_444 -*10962 FILLER_149_449 -*10963 FILLER_149_458 -*10964 FILLER_149_470 -*10965 FILLER_149_486 -*10966 FILLER_149_498 -*10967 FILLER_149_505 -*10968 FILLER_149_517 -*10969 FILLER_149_529 -*10970 FILLER_149_535 -*10971 FILLER_149_54 -*10972 FILLER_149_541 -*10973 FILLER_149_553 -*10974 FILLER_149_559 -*10975 FILLER_149_561 -*10976 FILLER_149_57 -*10977 FILLER_149_573 -*10978 FILLER_149_585 -*10979 FILLER_149_597 -*10980 FILLER_149_609 -*10981 FILLER_149_615 -*10982 FILLER_149_617 -*10983 FILLER_149_628 -*10984 FILLER_149_641 -*10985 FILLER_149_653 -*10986 FILLER_149_665 -*10987 FILLER_149_671 -*10988 FILLER_149_673 -*10989 FILLER_149_686 -*10990 FILLER_149_69 -*10991 FILLER_149_698 -*10992 FILLER_149_726 -*10993 FILLER_149_729 -*10994 FILLER_149_748 -*10995 FILLER_149_775 -*10996 FILLER_149_81 -*10997 FILLER_149_9 -*10998 FILLER_149_93 -*10999 FILLER_14_100 -*11000 FILLER_14_112 -*11001 FILLER_14_124 -*11002 FILLER_14_138 -*11003 FILLER_14_141 -*11004 FILLER_14_148 -*11005 FILLER_14_15 -*11006 FILLER_14_163 -*11007 FILLER_14_175 -*11008 FILLER_14_187 -*11009 FILLER_14_195 -*11010 FILLER_14_197 -*11011 FILLER_14_224 -*11012 FILLER_14_250 -*11013 FILLER_14_253 -*11014 FILLER_14_264 -*11015 FILLER_14_268 -*11016 FILLER_14_27 -*11017 FILLER_14_29 -*11018 FILLER_14_290 -*11019 FILLER_14_3 -*11020 FILLER_14_303 -*11021 FILLER_14_307 -*11022 FILLER_14_309 -*11023 FILLER_14_313 -*11024 FILLER_14_322 -*11025 FILLER_14_334 -*11026 FILLER_14_347 -*11027 FILLER_14_353 -*11028 FILLER_14_362 -*11029 FILLER_14_365 -*11030 FILLER_14_383 -*11031 FILLER_14_395 -*11032 FILLER_14_407 -*11033 FILLER_14_41 -*11034 FILLER_14_419 -*11035 FILLER_14_421 -*11036 FILLER_14_433 -*11037 FILLER_14_441 -*11038 FILLER_14_452 -*11039 FILLER_14_458 -*11040 FILLER_14_467 -*11041 FILLER_14_475 -*11042 FILLER_14_477 -*11043 FILLER_14_513 -*11044 FILLER_14_525 -*11045 FILLER_14_53 -*11046 FILLER_14_531 -*11047 FILLER_14_533 -*11048 FILLER_14_543 -*11049 FILLER_14_572 -*11050 FILLER_14_584 -*11051 FILLER_14_589 -*11052 FILLER_14_599 -*11053 FILLER_14_607 -*11054 FILLER_14_616 -*11055 FILLER_14_640 -*11056 FILLER_14_645 -*11057 FILLER_14_65 -*11058 FILLER_14_656 -*11059 FILLER_14_668 -*11060 FILLER_14_680 -*11061 FILLER_14_692 -*11062 FILLER_14_701 -*11063 FILLER_14_711 -*11064 FILLER_14_723 -*11065 FILLER_14_73 -*11066 FILLER_14_754 -*11067 FILLER_14_757 -*11068 FILLER_14_769 -*11069 FILLER_14_82 -*11070 FILLER_14_85 -*11071 FILLER_14_91 -*11072 FILLER_150_106 -*11073 FILLER_150_119 -*11074 FILLER_150_132 -*11075 FILLER_150_141 -*11076 FILLER_150_153 -*11077 FILLER_150_160 -*11078 FILLER_150_166 -*11079 FILLER_150_170 -*11080 FILLER_150_181 -*11081 FILLER_150_194 -*11082 FILLER_150_197 -*11083 FILLER_150_209 -*11084 FILLER_150_21 -*11085 FILLER_150_221 -*11086 FILLER_150_236 -*11087 FILLER_150_250 -*11088 FILLER_150_253 -*11089 FILLER_150_259 -*11090 FILLER_150_268 -*11091 FILLER_150_27 -*11092 FILLER_150_280 -*11093 FILLER_150_29 -*11094 FILLER_150_3 -*11095 FILLER_150_305 -*11096 FILLER_150_309 -*11097 FILLER_150_317 -*11098 FILLER_150_339 -*11099 FILLER_150_351 -*11100 FILLER_150_362 -*11101 FILLER_150_365 -*11102 FILLER_150_376 -*11103 FILLER_150_388 -*11104 FILLER_150_400 -*11105 FILLER_150_41 -*11106 FILLER_150_413 -*11107 FILLER_150_419 -*11108 FILLER_150_421 -*11109 FILLER_150_433 -*11110 FILLER_150_441 -*11111 FILLER_150_453 -*11112 FILLER_150_467 -*11113 FILLER_150_475 -*11114 FILLER_150_477 -*11115 FILLER_150_486 -*11116 FILLER_150_490 -*11117 FILLER_150_502 -*11118 FILLER_150_514 -*11119 FILLER_150_526 -*11120 FILLER_150_53 -*11121 FILLER_150_533 -*11122 FILLER_150_539 -*11123 FILLER_150_542 -*11124 FILLER_150_552 -*11125 FILLER_150_564 -*11126 FILLER_150_568 -*11127 FILLER_150_576 -*11128 FILLER_150_589 -*11129 FILLER_150_60 -*11130 FILLER_150_601 -*11131 FILLER_150_609 -*11132 FILLER_150_633 -*11133 FILLER_150_641 -*11134 FILLER_150_645 -*11135 FILLER_150_657 -*11136 FILLER_150_668 -*11137 FILLER_150_67 -*11138 FILLER_150_692 -*11139 FILLER_150_701 -*11140 FILLER_150_717 -*11141 FILLER_150_741 -*11142 FILLER_150_75 -*11143 FILLER_150_754 -*11144 FILLER_150_757 -*11145 FILLER_150_81 -*11146 FILLER_150_85 -*11147 FILLER_150_97 -*11148 FILLER_151_110 -*11149 FILLER_151_113 -*11150 FILLER_151_120 -*11151 FILLER_151_132 -*11152 FILLER_151_144 -*11153 FILLER_151_15 -*11154 FILLER_151_152 -*11155 FILLER_151_160 -*11156 FILLER_151_169 -*11157 FILLER_151_187 -*11158 FILLER_151_199 -*11159 FILLER_151_211 -*11160 FILLER_151_222 -*11161 FILLER_151_225 -*11162 FILLER_151_229 -*11163 FILLER_151_235 -*11164 FILLER_151_244 -*11165 FILLER_151_253 -*11166 FILLER_151_27 -*11167 FILLER_151_277 -*11168 FILLER_151_281 -*11169 FILLER_151_292 -*11170 FILLER_151_298 -*11171 FILLER_151_3 -*11172 FILLER_151_320 -*11173 FILLER_151_324 -*11174 FILLER_151_33 -*11175 FILLER_151_334 -*11176 FILLER_151_337 -*11177 FILLER_151_359 -*11178 FILLER_151_373 -*11179 FILLER_151_384 -*11180 FILLER_151_39 -*11181 FILLER_151_393 -*11182 FILLER_151_418 -*11183 FILLER_151_434 -*11184 FILLER_151_446 -*11185 FILLER_151_449 -*11186 FILLER_151_464 -*11187 FILLER_151_47 -*11188 FILLER_151_476 -*11189 FILLER_151_487 -*11190 FILLER_151_499 -*11191 FILLER_151_503 -*11192 FILLER_151_505 -*11193 FILLER_151_517 -*11194 FILLER_151_527 -*11195 FILLER_151_539 -*11196 FILLER_151_54 -*11197 FILLER_151_547 -*11198 FILLER_151_556 -*11199 FILLER_151_561 -*11200 FILLER_151_57 -*11201 FILLER_151_571 -*11202 FILLER_151_583 -*11203 FILLER_151_595 -*11204 FILLER_151_603 -*11205 FILLER_151_614 -*11206 FILLER_151_617 -*11207 FILLER_151_627 -*11208 FILLER_151_639 -*11209 FILLER_151_658 -*11210 FILLER_151_67 -*11211 FILLER_151_670 -*11212 FILLER_151_673 -*11213 FILLER_151_697 -*11214 FILLER_151_703 -*11215 FILLER_151_71 -*11216 FILLER_151_712 -*11217 FILLER_151_716 -*11218 FILLER_151_726 -*11219 FILLER_151_729 -*11220 FILLER_151_737 -*11221 FILLER_151_748 -*11222 FILLER_151_77 -*11223 FILLER_151_775 -*11224 FILLER_151_81 -*11225 FILLER_151_90 -*11226 FILLER_152_100 -*11227 FILLER_152_111 -*11228 FILLER_152_123 -*11229 FILLER_152_138 -*11230 FILLER_152_141 -*11231 FILLER_152_150 -*11232 FILLER_152_162 -*11233 FILLER_152_171 -*11234 FILLER_152_175 -*11235 FILLER_152_181 -*11236 FILLER_152_19 -*11237 FILLER_152_193 -*11238 FILLER_152_197 -*11239 FILLER_152_211 -*11240 FILLER_152_223 -*11241 FILLER_152_238 -*11242 FILLER_152_245 -*11243 FILLER_152_251 -*11244 FILLER_152_253 -*11245 FILLER_152_257 -*11246 FILLER_152_261 -*11247 FILLER_152_27 -*11248 FILLER_152_284 -*11249 FILLER_152_288 -*11250 FILLER_152_29 -*11251 FILLER_152_291 -*11252 FILLER_152_3 -*11253 FILLER_152_302 -*11254 FILLER_152_309 -*11255 FILLER_152_327 -*11256 FILLER_152_335 -*11257 FILLER_152_346 -*11258 FILLER_152_358 -*11259 FILLER_152_365 -*11260 FILLER_152_375 -*11261 FILLER_152_38 -*11262 FILLER_152_387 -*11263 FILLER_152_399 -*11264 FILLER_152_409 -*11265 FILLER_152_417 -*11266 FILLER_152_421 -*11267 FILLER_152_446 -*11268 FILLER_152_450 -*11269 FILLER_152_471 -*11270 FILLER_152_475 -*11271 FILLER_152_477 -*11272 FILLER_152_48 -*11273 FILLER_152_483 -*11274 FILLER_152_493 -*11275 FILLER_152_499 -*11276 FILLER_152_508 -*11277 FILLER_152_520 -*11278 FILLER_152_533 -*11279 FILLER_152_545 -*11280 FILLER_152_555 -*11281 FILLER_152_567 -*11282 FILLER_152_579 -*11283 FILLER_152_587 -*11284 FILLER_152_589 -*11285 FILLER_152_599 -*11286 FILLER_152_60 -*11287 FILLER_152_624 -*11288 FILLER_152_636 -*11289 FILLER_152_645 -*11290 FILLER_152_661 -*11291 FILLER_152_68 -*11292 FILLER_152_685 -*11293 FILLER_152_689 -*11294 FILLER_152_698 -*11295 FILLER_152_701 -*11296 FILLER_152_711 -*11297 FILLER_152_738 -*11298 FILLER_152_744 -*11299 FILLER_152_754 -*11300 FILLER_152_757 -*11301 FILLER_152_77 -*11302 FILLER_152_775 -*11303 FILLER_152_83 -*11304 FILLER_152_85 -*11305 FILLER_152_9 -*11306 FILLER_152_92 -*11307 FILLER_153_107 -*11308 FILLER_153_111 -*11309 FILLER_153_113 -*11310 FILLER_153_119 -*11311 FILLER_153_130 -*11312 FILLER_153_150 -*11313 FILLER_153_159 -*11314 FILLER_153_167 -*11315 FILLER_153_169 -*11316 FILLER_153_181 -*11317 FILLER_153_185 -*11318 FILLER_153_191 -*11319 FILLER_153_203 -*11320 FILLER_153_21 -*11321 FILLER_153_210 -*11322 FILLER_153_222 -*11323 FILLER_153_225 -*11324 FILLER_153_237 -*11325 FILLER_153_246 -*11326 FILLER_153_258 -*11327 FILLER_153_273 -*11328 FILLER_153_279 -*11329 FILLER_153_281 -*11330 FILLER_153_3 -*11331 FILLER_153_303 -*11332 FILLER_153_316 -*11333 FILLER_153_328 -*11334 FILLER_153_33 -*11335 FILLER_153_337 -*11336 FILLER_153_345 -*11337 FILLER_153_371 -*11338 FILLER_153_379 -*11339 FILLER_153_390 -*11340 FILLER_153_393 -*11341 FILLER_153_403 -*11342 FILLER_153_417 -*11343 FILLER_153_425 -*11344 FILLER_153_436 -*11345 FILLER_153_449 -*11346 FILLER_153_45 -*11347 FILLER_153_459 -*11348 FILLER_153_471 -*11349 FILLER_153_479 -*11350 FILLER_153_501 -*11351 FILLER_153_505 -*11352 FILLER_153_515 -*11353 FILLER_153_527 -*11354 FILLER_153_53 -*11355 FILLER_153_539 -*11356 FILLER_153_551 -*11357 FILLER_153_559 -*11358 FILLER_153_561 -*11359 FILLER_153_57 -*11360 FILLER_153_573 -*11361 FILLER_153_604 -*11362 FILLER_153_617 -*11363 FILLER_153_639 -*11364 FILLER_153_64 -*11365 FILLER_153_655 -*11366 FILLER_153_661 -*11367 FILLER_153_670 -*11368 FILLER_153_673 -*11369 FILLER_153_68 -*11370 FILLER_153_689 -*11371 FILLER_153_701 -*11372 FILLER_153_711 -*11373 FILLER_153_715 -*11374 FILLER_153_726 -*11375 FILLER_153_729 -*11376 FILLER_153_735 -*11377 FILLER_153_75 -*11378 FILLER_153_759 -*11379 FILLER_153_763 -*11380 FILLER_153_774 -*11381 FILLER_153_83 -*11382 FILLER_153_95 -*11383 FILLER_154_104 -*11384 FILLER_154_116 -*11385 FILLER_154_138 -*11386 FILLER_154_141 -*11387 FILLER_154_149 -*11388 FILLER_154_16 -*11389 FILLER_154_161 -*11390 FILLER_154_173 -*11391 FILLER_154_185 -*11392 FILLER_154_194 -*11393 FILLER_154_197 -*11394 FILLER_154_207 -*11395 FILLER_154_218 -*11396 FILLER_154_230 -*11397 FILLER_154_243 -*11398 FILLER_154_251 -*11399 FILLER_154_253 -*11400 FILLER_154_282 -*11401 FILLER_154_29 -*11402 FILLER_154_294 -*11403 FILLER_154_3 -*11404 FILLER_154_304 -*11405 FILLER_154_309 -*11406 FILLER_154_319 -*11407 FILLER_154_327 -*11408 FILLER_154_350 -*11409 FILLER_154_362 -*11410 FILLER_154_365 -*11411 FILLER_154_377 -*11412 FILLER_154_401 -*11413 FILLER_154_41 -*11414 FILLER_154_413 -*11415 FILLER_154_419 -*11416 FILLER_154_421 -*11417 FILLER_154_425 -*11418 FILLER_154_434 -*11419 FILLER_154_447 -*11420 FILLER_154_459 -*11421 FILLER_154_471 -*11422 FILLER_154_475 -*11423 FILLER_154_477 -*11424 FILLER_154_488 -*11425 FILLER_154_500 -*11426 FILLER_154_512 -*11427 FILLER_154_524 -*11428 FILLER_154_53 -*11429 FILLER_154_533 -*11430 FILLER_154_545 -*11431 FILLER_154_557 -*11432 FILLER_154_569 -*11433 FILLER_154_581 -*11434 FILLER_154_587 -*11435 FILLER_154_589 -*11436 FILLER_154_600 -*11437 FILLER_154_612 -*11438 FILLER_154_620 -*11439 FILLER_154_632 -*11440 FILLER_154_645 -*11441 FILLER_154_65 -*11442 FILLER_154_655 -*11443 FILLER_154_663 -*11444 FILLER_154_684 -*11445 FILLER_154_69 -*11446 FILLER_154_696 -*11447 FILLER_154_701 -*11448 FILLER_154_705 -*11449 FILLER_154_714 -*11450 FILLER_154_726 -*11451 FILLER_154_730 -*11452 FILLER_154_75 -*11453 FILLER_154_83 -*11454 FILLER_154_85 -*11455 FILLER_154_92 -*11456 FILLER_155_107 -*11457 FILLER_155_111 -*11458 FILLER_155_113 -*11459 FILLER_155_118 -*11460 FILLER_155_130 -*11461 FILLER_155_142 -*11462 FILLER_155_15 -*11463 FILLER_155_154 -*11464 FILLER_155_166 -*11465 FILLER_155_169 -*11466 FILLER_155_174 -*11467 FILLER_155_186 -*11468 FILLER_155_198 -*11469 FILLER_155_210 -*11470 FILLER_155_221 -*11471 FILLER_155_225 -*11472 FILLER_155_229 -*11473 FILLER_155_233 -*11474 FILLER_155_258 -*11475 FILLER_155_27 -*11476 FILLER_155_278 -*11477 FILLER_155_281 -*11478 FILLER_155_3 -*11479 FILLER_155_305 -*11480 FILLER_155_318 -*11481 FILLER_155_330 -*11482 FILLER_155_337 -*11483 FILLER_155_349 -*11484 FILLER_155_362 -*11485 FILLER_155_374 -*11486 FILLER_155_39 -*11487 FILLER_155_390 -*11488 FILLER_155_393 -*11489 FILLER_155_415 -*11490 FILLER_155_423 -*11491 FILLER_155_446 -*11492 FILLER_155_449 -*11493 FILLER_155_455 -*11494 FILLER_155_465 -*11495 FILLER_155_490 -*11496 FILLER_155_502 -*11497 FILLER_155_505 -*11498 FILLER_155_51 -*11499 FILLER_155_515 -*11500 FILLER_155_527 -*11501 FILLER_155_535 -*11502 FILLER_155_547 -*11503 FILLER_155_55 -*11504 FILLER_155_559 -*11505 FILLER_155_561 -*11506 FILLER_155_57 -*11507 FILLER_155_573 -*11508 FILLER_155_585 -*11509 FILLER_155_593 -*11510 FILLER_155_603 -*11511 FILLER_155_614 -*11512 FILLER_155_617 -*11513 FILLER_155_627 -*11514 FILLER_155_639 -*11515 FILLER_155_65 -*11516 FILLER_155_660 -*11517 FILLER_155_670 -*11518 FILLER_155_673 -*11519 FILLER_155_696 -*11520 FILLER_155_708 -*11521 FILLER_155_716 -*11522 FILLER_155_726 -*11523 FILLER_155_729 -*11524 FILLER_155_737 -*11525 FILLER_155_75 -*11526 FILLER_155_768 -*11527 FILLER_155_773 -*11528 FILLER_155_81 -*11529 FILLER_155_90 -*11530 FILLER_156_111 -*11531 FILLER_156_120 -*11532 FILLER_156_129 -*11533 FILLER_156_137 -*11534 FILLER_156_141 -*11535 FILLER_156_147 -*11536 FILLER_156_151 -*11537 FILLER_156_160 -*11538 FILLER_156_173 -*11539 FILLER_156_185 -*11540 FILLER_156_193 -*11541 FILLER_156_197 -*11542 FILLER_156_209 -*11543 FILLER_156_21 -*11544 FILLER_156_217 -*11545 FILLER_156_225 -*11546 FILLER_156_231 -*11547 FILLER_156_243 -*11548 FILLER_156_251 -*11549 FILLER_156_253 -*11550 FILLER_156_257 -*11551 FILLER_156_266 -*11552 FILLER_156_27 -*11553 FILLER_156_281 -*11554 FILLER_156_29 -*11555 FILLER_156_3 -*11556 FILLER_156_306 -*11557 FILLER_156_309 -*11558 FILLER_156_316 -*11559 FILLER_156_330 -*11560 FILLER_156_334 -*11561 FILLER_156_356 -*11562 FILLER_156_365 -*11563 FILLER_156_37 -*11564 FILLER_156_377 -*11565 FILLER_156_389 -*11566 FILLER_156_401 -*11567 FILLER_156_413 -*11568 FILLER_156_419 -*11569 FILLER_156_421 -*11570 FILLER_156_431 -*11571 FILLER_156_443 -*11572 FILLER_156_447 -*11573 FILLER_156_468 -*11574 FILLER_156_477 -*11575 FILLER_156_49 -*11576 FILLER_156_499 -*11577 FILLER_156_511 -*11578 FILLER_156_523 -*11579 FILLER_156_531 -*11580 FILLER_156_533 -*11581 FILLER_156_545 -*11582 FILLER_156_557 -*11583 FILLER_156_561 -*11584 FILLER_156_571 -*11585 FILLER_156_583 -*11586 FILLER_156_587 -*11587 FILLER_156_589 -*11588 FILLER_156_59 -*11589 FILLER_156_595 -*11590 FILLER_156_604 -*11591 FILLER_156_616 -*11592 FILLER_156_629 -*11593 FILLER_156_641 -*11594 FILLER_156_645 -*11595 FILLER_156_667 -*11596 FILLER_156_67 -*11597 FILLER_156_680 -*11598 FILLER_156_693 -*11599 FILLER_156_699 -*11600 FILLER_156_701 -*11601 FILLER_156_711 -*11602 FILLER_156_726 -*11603 FILLER_156_753 -*11604 FILLER_156_757 -*11605 FILLER_156_761 -*11606 FILLER_156_773 -*11607 FILLER_156_78 -*11608 FILLER_156_85 -*11609 FILLER_156_9 -*11610 FILLER_156_90 -*11611 FILLER_156_94 -*11612 FILLER_157_110 -*11613 FILLER_157_113 -*11614 FILLER_157_121 -*11615 FILLER_157_132 -*11616 FILLER_157_142 -*11617 FILLER_157_15 -*11618 FILLER_157_151 -*11619 FILLER_157_155 -*11620 FILLER_157_159 -*11621 FILLER_157_166 -*11622 FILLER_157_169 -*11623 FILLER_157_176 -*11624 FILLER_157_183 -*11625 FILLER_157_194 -*11626 FILLER_157_205 -*11627 FILLER_157_21 -*11628 FILLER_157_217 -*11629 FILLER_157_223 -*11630 FILLER_157_225 -*11631 FILLER_157_240 -*11632 FILLER_157_252 -*11633 FILLER_157_258 -*11634 FILLER_157_269 -*11635 FILLER_157_27 -*11636 FILLER_157_277 -*11637 FILLER_157_281 -*11638 FILLER_157_289 -*11639 FILLER_157_298 -*11640 FILLER_157_3 -*11641 FILLER_157_310 -*11642 FILLER_157_322 -*11643 FILLER_157_334 -*11644 FILLER_157_337 -*11645 FILLER_157_349 -*11646 FILLER_157_358 -*11647 FILLER_157_36 -*11648 FILLER_157_366 -*11649 FILLER_157_374 -*11650 FILLER_157_386 -*11651 FILLER_157_413 -*11652 FILLER_157_417 -*11653 FILLER_157_428 -*11654 FILLER_157_440 -*11655 FILLER_157_449 -*11656 FILLER_157_45 -*11657 FILLER_157_472 -*11658 FILLER_157_485 -*11659 FILLER_157_497 -*11660 FILLER_157_503 -*11661 FILLER_157_505 -*11662 FILLER_157_515 -*11663 FILLER_157_519 -*11664 FILLER_157_528 -*11665 FILLER_157_54 -*11666 FILLER_157_540 -*11667 FILLER_157_548 -*11668 FILLER_157_556 -*11669 FILLER_157_561 -*11670 FILLER_157_569 -*11671 FILLER_157_57 -*11672 FILLER_157_576 -*11673 FILLER_157_588 -*11674 FILLER_157_592 -*11675 FILLER_157_600 -*11676 FILLER_157_614 -*11677 FILLER_157_617 -*11678 FILLER_157_63 -*11679 FILLER_157_640 -*11680 FILLER_157_648 -*11681 FILLER_157_659 -*11682 FILLER_157_670 -*11683 FILLER_157_673 -*11684 FILLER_157_683 -*11685 FILLER_157_69 -*11686 FILLER_157_695 -*11687 FILLER_157_703 -*11688 FILLER_157_714 -*11689 FILLER_157_726 -*11690 FILLER_157_729 -*11691 FILLER_157_733 -*11692 FILLER_157_736 -*11693 FILLER_157_740 -*11694 FILLER_157_744 -*11695 FILLER_157_748 -*11696 FILLER_157_752 -*11697 FILLER_157_756 -*11698 FILLER_157_760 -*11699 FILLER_157_764 -*11700 FILLER_157_775 -*11701 FILLER_157_79 -*11702 FILLER_157_87 -*11703 FILLER_157_96 -*11704 FILLER_158_105 -*11705 FILLER_158_116 -*11706 FILLER_158_120 -*11707 FILLER_158_130 -*11708 FILLER_158_137 -*11709 FILLER_158_141 -*11710 FILLER_158_152 -*11711 FILLER_158_167 -*11712 FILLER_158_178 -*11713 FILLER_158_189 -*11714 FILLER_158_195 -*11715 FILLER_158_197 -*11716 FILLER_158_20 -*11717 FILLER_158_206 -*11718 FILLER_158_213 -*11719 FILLER_158_225 -*11720 FILLER_158_232 -*11721 FILLER_158_241 -*11722 FILLER_158_249 -*11723 FILLER_158_253 -*11724 FILLER_158_275 -*11725 FILLER_158_285 -*11726 FILLER_158_29 -*11727 FILLER_158_291 -*11728 FILLER_158_3 -*11729 FILLER_158_300 -*11730 FILLER_158_309 -*11731 FILLER_158_321 -*11732 FILLER_158_333 -*11733 FILLER_158_339 -*11734 FILLER_158_348 -*11735 FILLER_158_352 -*11736 FILLER_158_362 -*11737 FILLER_158_365 -*11738 FILLER_158_375 -*11739 FILLER_158_388 -*11740 FILLER_158_400 -*11741 FILLER_158_404 -*11742 FILLER_158_41 -*11743 FILLER_158_413 -*11744 FILLER_158_419 -*11745 FILLER_158_421 -*11746 FILLER_158_433 -*11747 FILLER_158_437 -*11748 FILLER_158_459 -*11749 FILLER_158_471 -*11750 FILLER_158_475 -*11751 FILLER_158_477 -*11752 FILLER_158_487 -*11753 FILLER_158_491 -*11754 FILLER_158_512 -*11755 FILLER_158_523 -*11756 FILLER_158_53 -*11757 FILLER_158_531 -*11758 FILLER_158_533 -*11759 FILLER_158_541 -*11760 FILLER_158_550 -*11761 FILLER_158_562 -*11762 FILLER_158_57 -*11763 FILLER_158_574 -*11764 FILLER_158_581 -*11765 FILLER_158_587 -*11766 FILLER_158_589 -*11767 FILLER_158_597 -*11768 FILLER_158_606 -*11769 FILLER_158_633 -*11770 FILLER_158_641 -*11771 FILLER_158_645 -*11772 FILLER_158_65 -*11773 FILLER_158_654 -*11774 FILLER_158_658 -*11775 FILLER_158_670 -*11776 FILLER_158_686 -*11777 FILLER_158_698 -*11778 FILLER_158_701 -*11779 FILLER_158_709 -*11780 FILLER_158_736 -*11781 FILLER_158_749 -*11782 FILLER_158_75 -*11783 FILLER_158_753 -*11784 FILLER_158_757 -*11785 FILLER_158_768 -*11786 FILLER_158_775 -*11787 FILLER_158_82 -*11788 FILLER_158_85 -*11789 FILLER_158_92 -*11790 FILLER_158_96 -*11791 FILLER_159_108 -*11792 FILLER_159_113 -*11793 FILLER_159_13 -*11794 FILLER_159_130 -*11795 FILLER_159_142 -*11796 FILLER_159_154 -*11797 FILLER_159_164 -*11798 FILLER_159_169 -*11799 FILLER_159_17 -*11800 FILLER_159_182 -*11801 FILLER_159_191 -*11802 FILLER_159_204 -*11803 FILLER_159_211 -*11804 FILLER_159_222 -*11805 FILLER_159_225 -*11806 FILLER_159_234 -*11807 FILLER_159_238 -*11808 FILLER_159_246 -*11809 FILLER_159_261 -*11810 FILLER_159_272 -*11811 FILLER_159_281 -*11812 FILLER_159_29 -*11813 FILLER_159_291 -*11814 FILLER_159_299 -*11815 FILLER_159_3 -*11816 FILLER_159_322 -*11817 FILLER_159_334 -*11818 FILLER_159_337 -*11819 FILLER_159_343 -*11820 FILLER_159_365 -*11821 FILLER_159_390 -*11822 FILLER_159_393 -*11823 FILLER_159_406 -*11824 FILLER_159_41 -*11825 FILLER_159_418 -*11826 FILLER_159_422 -*11827 FILLER_159_446 -*11828 FILLER_159_449 -*11829 FILLER_159_460 -*11830 FILLER_159_474 -*11831 FILLER_159_486 -*11832 FILLER_159_492 -*11833 FILLER_159_502 -*11834 FILLER_159_505 -*11835 FILLER_159_515 -*11836 FILLER_159_527 -*11837 FILLER_159_53 -*11838 FILLER_159_539 -*11839 FILLER_159_551 -*11840 FILLER_159_559 -*11841 FILLER_159_561 -*11842 FILLER_159_57 -*11843 FILLER_159_573 -*11844 FILLER_159_585 -*11845 FILLER_159_593 -*11846 FILLER_159_601 -*11847 FILLER_159_614 -*11848 FILLER_159_617 -*11849 FILLER_159_639 -*11850 FILLER_159_651 -*11851 FILLER_159_659 -*11852 FILLER_159_670 -*11853 FILLER_159_673 -*11854 FILLER_159_689 -*11855 FILLER_159_69 -*11856 FILLER_159_701 -*11857 FILLER_159_713 -*11858 FILLER_159_726 -*11859 FILLER_159_729 -*11860 FILLER_159_73 -*11861 FILLER_159_764 -*11862 FILLER_159_768 -*11863 FILLER_159_775 -*11864 FILLER_159_80 -*11865 FILLER_159_9 -*11866 FILLER_159_99 -*11867 FILLER_15_110 -*11868 FILLER_15_113 -*11869 FILLER_15_129 -*11870 FILLER_15_154 -*11871 FILLER_15_166 -*11872 FILLER_15_169 -*11873 FILLER_15_177 -*11874 FILLER_15_181 -*11875 FILLER_15_205 -*11876 FILLER_15_21 -*11877 FILLER_15_213 -*11878 FILLER_15_222 -*11879 FILLER_15_225 -*11880 FILLER_15_236 -*11881 FILLER_15_240 -*11882 FILLER_15_262 -*11883 FILLER_15_268 -*11884 FILLER_15_278 -*11885 FILLER_15_281 -*11886 FILLER_15_288 -*11887 FILLER_15_3 -*11888 FILLER_15_308 -*11889 FILLER_15_312 -*11890 FILLER_15_321 -*11891 FILLER_15_33 -*11892 FILLER_15_334 -*11893 FILLER_15_337 -*11894 FILLER_15_359 -*11895 FILLER_15_371 -*11896 FILLER_15_379 -*11897 FILLER_15_390 -*11898 FILLER_15_393 -*11899 FILLER_15_401 -*11900 FILLER_15_419 -*11901 FILLER_15_428 -*11902 FILLER_15_440 -*11903 FILLER_15_446 -*11904 FILLER_15_449 -*11905 FILLER_15_45 -*11906 FILLER_15_455 -*11907 FILLER_15_464 -*11908 FILLER_15_472 -*11909 FILLER_15_483 -*11910 FILLER_15_491 -*11911 FILLER_15_502 -*11912 FILLER_15_505 -*11913 FILLER_15_515 -*11914 FILLER_15_527 -*11915 FILLER_15_53 -*11916 FILLER_15_535 -*11917 FILLER_15_557 -*11918 FILLER_15_561 -*11919 FILLER_15_57 -*11920 FILLER_15_572 -*11921 FILLER_15_581 -*11922 FILLER_15_606 -*11923 FILLER_15_614 -*11924 FILLER_15_617 -*11925 FILLER_15_622 -*11926 FILLER_15_633 -*11927 FILLER_15_640 -*11928 FILLER_15_665 -*11929 FILLER_15_671 -*11930 FILLER_15_673 -*11931 FILLER_15_69 -*11932 FILLER_15_698 -*11933 FILLER_15_710 -*11934 FILLER_15_722 -*11935 FILLER_15_729 -*11936 FILLER_15_737 -*11937 FILLER_15_747 -*11938 FILLER_15_759 -*11939 FILLER_15_771 -*11940 FILLER_15_81 -*11941 FILLER_15_89 -*11942 FILLER_15_98 -*11943 FILLER_160_100 -*11944 FILLER_160_106 -*11945 FILLER_160_11 -*11946 FILLER_160_118 -*11947 FILLER_160_138 -*11948 FILLER_160_141 -*11949 FILLER_160_146 -*11950 FILLER_160_154 -*11951 FILLER_160_159 -*11952 FILLER_160_170 -*11953 FILLER_160_179 -*11954 FILLER_160_19 -*11955 FILLER_160_191 -*11956 FILLER_160_195 -*11957 FILLER_160_197 -*11958 FILLER_160_202 -*11959 FILLER_160_214 -*11960 FILLER_160_222 -*11961 FILLER_160_227 -*11962 FILLER_160_239 -*11963 FILLER_160_246 -*11964 FILLER_160_253 -*11965 FILLER_160_264 -*11966 FILLER_160_27 -*11967 FILLER_160_272 -*11968 FILLER_160_29 -*11969 FILLER_160_296 -*11970 FILLER_160_3 -*11971 FILLER_160_309 -*11972 FILLER_160_319 -*11973 FILLER_160_331 -*11974 FILLER_160_343 -*11975 FILLER_160_359 -*11976 FILLER_160_363 -*11977 FILLER_160_365 -*11978 FILLER_160_373 -*11979 FILLER_160_383 -*11980 FILLER_160_408 -*11981 FILLER_160_41 -*11982 FILLER_160_421 -*11983 FILLER_160_433 -*11984 FILLER_160_443 -*11985 FILLER_160_455 -*11986 FILLER_160_468 -*11987 FILLER_160_477 -*11988 FILLER_160_487 -*11989 FILLER_160_511 -*11990 FILLER_160_519 -*11991 FILLER_160_53 -*11992 FILLER_160_530 -*11993 FILLER_160_533 -*11994 FILLER_160_562 -*11995 FILLER_160_574 -*11996 FILLER_160_586 -*11997 FILLER_160_589 -*11998 FILLER_160_595 -*11999 FILLER_160_604 -*12000 FILLER_160_61 -*12001 FILLER_160_619 -*12002 FILLER_160_634 -*12003 FILLER_160_642 -*12004 FILLER_160_645 -*12005 FILLER_160_65 -*12006 FILLER_160_655 -*12007 FILLER_160_661 -*12008 FILLER_160_670 -*12009 FILLER_160_682 -*12010 FILLER_160_694 -*12011 FILLER_160_701 -*12012 FILLER_160_723 -*12013 FILLER_160_73 -*12014 FILLER_160_731 -*12015 FILLER_160_754 -*12016 FILLER_160_757 -*12017 FILLER_160_762 -*12018 FILLER_160_775 -*12019 FILLER_160_82 -*12020 FILLER_160_85 -*12021 FILLER_160_92 -*12022 FILLER_161_110 -*12023 FILLER_161_113 -*12024 FILLER_161_119 -*12025 FILLER_161_132 -*12026 FILLER_161_141 -*12027 FILLER_161_148 -*12028 FILLER_161_15 -*12029 FILLER_161_160 -*12030 FILLER_161_169 -*12031 FILLER_161_181 -*12032 FILLER_161_193 -*12033 FILLER_161_205 -*12034 FILLER_161_217 -*12035 FILLER_161_222 -*12036 FILLER_161_225 -*12037 FILLER_161_23 -*12038 FILLER_161_233 -*12039 FILLER_161_239 -*12040 FILLER_161_267 -*12041 FILLER_161_27 -*12042 FILLER_161_277 -*12043 FILLER_161_281 -*12044 FILLER_161_289 -*12045 FILLER_161_299 -*12046 FILLER_161_3 -*12047 FILLER_161_311 -*12048 FILLER_161_323 -*12049 FILLER_161_335 -*12050 FILLER_161_337 -*12051 FILLER_161_346 -*12052 FILLER_161_358 -*12053 FILLER_161_370 -*12054 FILLER_161_382 -*12055 FILLER_161_39 -*12056 FILLER_161_390 -*12057 FILLER_161_393 -*12058 FILLER_161_409 -*12059 FILLER_161_421 -*12060 FILLER_161_429 -*12061 FILLER_161_438 -*12062 FILLER_161_446 -*12063 FILLER_161_449 -*12064 FILLER_161_453 -*12065 FILLER_161_475 -*12066 FILLER_161_488 -*12067 FILLER_161_502 -*12068 FILLER_161_505 -*12069 FILLER_161_51 -*12070 FILLER_161_514 -*12071 FILLER_161_543 -*12072 FILLER_161_55 -*12073 FILLER_161_555 -*12074 FILLER_161_559 -*12075 FILLER_161_561 -*12076 FILLER_161_57 -*12077 FILLER_161_573 -*12078 FILLER_161_585 -*12079 FILLER_161_597 -*12080 FILLER_161_614 -*12081 FILLER_161_617 -*12082 FILLER_161_642 -*12083 FILLER_161_646 -*12084 FILLER_161_654 -*12085 FILLER_161_666 -*12086 FILLER_161_673 -*12087 FILLER_161_68 -*12088 FILLER_161_681 -*12089 FILLER_161_692 -*12090 FILLER_161_725 -*12091 FILLER_161_729 -*12092 FILLER_161_760 -*12093 FILLER_161_775 -*12094 FILLER_161_81 -*12095 FILLER_161_91 -*12096 FILLER_161_99 -*12097 FILLER_162_111 -*12098 FILLER_162_123 -*12099 FILLER_162_134 -*12100 FILLER_162_141 -*12101 FILLER_162_149 -*12102 FILLER_162_156 -*12103 FILLER_162_164 -*12104 FILLER_162_171 -*12105 FILLER_162_183 -*12106 FILLER_162_194 -*12107 FILLER_162_197 -*12108 FILLER_162_205 -*12109 FILLER_162_21 -*12110 FILLER_162_217 -*12111 FILLER_162_223 -*12112 FILLER_162_231 -*12113 FILLER_162_238 -*12114 FILLER_162_246 -*12115 FILLER_162_253 -*12116 FILLER_162_260 -*12117 FILLER_162_27 -*12118 FILLER_162_272 -*12119 FILLER_162_29 -*12120 FILLER_162_296 -*12121 FILLER_162_3 -*12122 FILLER_162_309 -*12123 FILLER_162_318 -*12124 FILLER_162_330 -*12125 FILLER_162_342 -*12126 FILLER_162_354 -*12127 FILLER_162_362 -*12128 FILLER_162_365 -*12129 FILLER_162_378 -*12130 FILLER_162_39 -*12131 FILLER_162_390 -*12132 FILLER_162_394 -*12133 FILLER_162_403 -*12134 FILLER_162_415 -*12135 FILLER_162_419 -*12136 FILLER_162_421 -*12137 FILLER_162_432 -*12138 FILLER_162_445 -*12139 FILLER_162_457 -*12140 FILLER_162_469 -*12141 FILLER_162_475 -*12142 FILLER_162_477 -*12143 FILLER_162_502 -*12144 FILLER_162_514 -*12145 FILLER_162_53 -*12146 FILLER_162_530 -*12147 FILLER_162_533 -*12148 FILLER_162_541 -*12149 FILLER_162_565 -*12150 FILLER_162_577 -*12151 FILLER_162_586 -*12152 FILLER_162_589 -*12153 FILLER_162_598 -*12154 FILLER_162_606 -*12155 FILLER_162_631 -*12156 FILLER_162_643 -*12157 FILLER_162_645 -*12158 FILLER_162_654 -*12159 FILLER_162_665 -*12160 FILLER_162_673 -*12161 FILLER_162_682 -*12162 FILLER_162_698 -*12163 FILLER_162_70 -*12164 FILLER_162_701 -*12165 FILLER_162_712 -*12166 FILLER_162_725 -*12167 FILLER_162_733 -*12168 FILLER_162_754 -*12169 FILLER_162_757 -*12170 FILLER_162_775 -*12171 FILLER_162_82 -*12172 FILLER_162_85 -*12173 FILLER_162_93 -*12174 FILLER_162_97 -*12175 FILLER_163_103 -*12176 FILLER_163_110 -*12177 FILLER_163_113 -*12178 FILLER_163_121 -*12179 FILLER_163_130 -*12180 FILLER_163_145 -*12181 FILLER_163_153 -*12182 FILLER_163_163 -*12183 FILLER_163_167 -*12184 FILLER_163_169 -*12185 FILLER_163_177 -*12186 FILLER_163_189 -*12187 FILLER_163_19 -*12188 FILLER_163_195 -*12189 FILLER_163_207 -*12190 FILLER_163_218 -*12191 FILLER_163_225 -*12192 FILLER_163_231 -*12193 FILLER_163_235 -*12194 FILLER_163_247 -*12195 FILLER_163_255 -*12196 FILLER_163_277 -*12197 FILLER_163_281 -*12198 FILLER_163_291 -*12199 FILLER_163_3 -*12200 FILLER_163_308 -*12201 FILLER_163_31 -*12202 FILLER_163_320 -*12203 FILLER_163_332 -*12204 FILLER_163_337 -*12205 FILLER_163_349 -*12206 FILLER_163_361 -*12207 FILLER_163_372 -*12208 FILLER_163_380 -*12209 FILLER_163_389 -*12210 FILLER_163_393 -*12211 FILLER_163_421 -*12212 FILLER_163_43 -*12213 FILLER_163_446 -*12214 FILLER_163_449 -*12215 FILLER_163_459 -*12216 FILLER_163_471 -*12217 FILLER_163_479 -*12218 FILLER_163_489 -*12219 FILLER_163_501 -*12220 FILLER_163_505 -*12221 FILLER_163_513 -*12222 FILLER_163_522 -*12223 FILLER_163_534 -*12224 FILLER_163_544 -*12225 FILLER_163_55 -*12226 FILLER_163_557 -*12227 FILLER_163_561 -*12228 FILLER_163_57 -*12229 FILLER_163_571 -*12230 FILLER_163_583 -*12231 FILLER_163_591 -*12232 FILLER_163_601 -*12233 FILLER_163_605 -*12234 FILLER_163_614 -*12235 FILLER_163_617 -*12236 FILLER_163_628 -*12237 FILLER_163_640 -*12238 FILLER_163_652 -*12239 FILLER_163_664 -*12240 FILLER_163_673 -*12241 FILLER_163_685 -*12242 FILLER_163_70 -*12243 FILLER_163_701 -*12244 FILLER_163_713 -*12245 FILLER_163_725 -*12246 FILLER_163_729 -*12247 FILLER_163_754 -*12248 FILLER_163_758 -*12249 FILLER_163_77 -*12250 FILLER_163_775 -*12251 FILLER_163_85 -*12252 FILLER_163_9 -*12253 FILLER_163_95 -*12254 FILLER_164_107 -*12255 FILLER_164_116 -*12256 FILLER_164_128 -*12257 FILLER_164_132 -*12258 FILLER_164_138 -*12259 FILLER_164_141 -*12260 FILLER_164_15 -*12261 FILLER_164_150 -*12262 FILLER_164_159 -*12263 FILLER_164_168 -*12264 FILLER_164_172 -*12265 FILLER_164_182 -*12266 FILLER_164_188 -*12267 FILLER_164_194 -*12268 FILLER_164_197 -*12269 FILLER_164_204 -*12270 FILLER_164_216 -*12271 FILLER_164_228 -*12272 FILLER_164_240 -*12273 FILLER_164_244 -*12274 FILLER_164_250 -*12275 FILLER_164_253 -*12276 FILLER_164_259 -*12277 FILLER_164_268 -*12278 FILLER_164_27 -*12279 FILLER_164_29 -*12280 FILLER_164_295 -*12281 FILLER_164_3 -*12282 FILLER_164_305 -*12283 FILLER_164_309 -*12284 FILLER_164_323 -*12285 FILLER_164_335 -*12286 FILLER_164_347 -*12287 FILLER_164_359 -*12288 FILLER_164_363 -*12289 FILLER_164_365 -*12290 FILLER_164_372 -*12291 FILLER_164_380 -*12292 FILLER_164_404 -*12293 FILLER_164_41 -*12294 FILLER_164_416 -*12295 FILLER_164_421 -*12296 FILLER_164_435 -*12297 FILLER_164_447 -*12298 FILLER_164_459 -*12299 FILLER_164_474 -*12300 FILLER_164_477 -*12301 FILLER_164_510 -*12302 FILLER_164_523 -*12303 FILLER_164_531 -*12304 FILLER_164_533 -*12305 FILLER_164_541 -*12306 FILLER_164_562 -*12307 FILLER_164_574 -*12308 FILLER_164_58 -*12309 FILLER_164_586 -*12310 FILLER_164_589 -*12311 FILLER_164_601 -*12312 FILLER_164_613 -*12313 FILLER_164_625 -*12314 FILLER_164_640 -*12315 FILLER_164_645 -*12316 FILLER_164_655 -*12317 FILLER_164_667 -*12318 FILLER_164_679 -*12319 FILLER_164_685 -*12320 FILLER_164_688 -*12321 FILLER_164_698 -*12322 FILLER_164_701 -*12323 FILLER_164_707 -*12324 FILLER_164_71 -*12325 FILLER_164_716 -*12326 FILLER_164_743 -*12327 FILLER_164_755 -*12328 FILLER_164_757 -*12329 FILLER_164_763 -*12330 FILLER_164_773 -*12331 FILLER_164_83 -*12332 FILLER_164_85 -*12333 FILLER_164_93 -*12334 FILLER_164_98 -*12335 FILLER_165_110 -*12336 FILLER_165_113 -*12337 FILLER_165_125 -*12338 FILLER_165_137 -*12339 FILLER_165_149 -*12340 FILLER_165_161 -*12341 FILLER_165_166 -*12342 FILLER_165_169 -*12343 FILLER_165_174 -*12344 FILLER_165_183 -*12345 FILLER_165_195 -*12346 FILLER_165_203 -*12347 FILLER_165_21 -*12348 FILLER_165_213 -*12349 FILLER_165_221 -*12350 FILLER_165_225 -*12351 FILLER_165_238 -*12352 FILLER_165_246 -*12353 FILLER_165_267 -*12354 FILLER_165_277 -*12355 FILLER_165_281 -*12356 FILLER_165_291 -*12357 FILLER_165_3 -*12358 FILLER_165_313 -*12359 FILLER_165_326 -*12360 FILLER_165_33 -*12361 FILLER_165_334 -*12362 FILLER_165_337 -*12363 FILLER_165_349 -*12364 FILLER_165_361 -*12365 FILLER_165_373 -*12366 FILLER_165_381 -*12367 FILLER_165_39 -*12368 FILLER_165_390 -*12369 FILLER_165_393 -*12370 FILLER_165_404 -*12371 FILLER_165_422 -*12372 FILLER_165_43 -*12373 FILLER_165_442 -*12374 FILLER_165_449 -*12375 FILLER_165_485 -*12376 FILLER_165_489 -*12377 FILLER_165_497 -*12378 FILLER_165_503 -*12379 FILLER_165_505 -*12380 FILLER_165_532 -*12381 FILLER_165_55 -*12382 FILLER_165_556 -*12383 FILLER_165_561 -*12384 FILLER_165_57 -*12385 FILLER_165_571 -*12386 FILLER_165_582 -*12387 FILLER_165_590 -*12388 FILLER_165_601 -*12389 FILLER_165_605 -*12390 FILLER_165_614 -*12391 FILLER_165_617 -*12392 FILLER_165_625 -*12393 FILLER_165_647 -*12394 FILLER_165_660 -*12395 FILLER_165_673 -*12396 FILLER_165_685 -*12397 FILLER_165_697 -*12398 FILLER_165_70 -*12399 FILLER_165_713 -*12400 FILLER_165_726 -*12401 FILLER_165_729 -*12402 FILLER_165_746 -*12403 FILLER_165_758 -*12404 FILLER_165_768 -*12405 FILLER_165_775 -*12406 FILLER_165_83 -*12407 FILLER_165_89 -*12408 FILLER_165_9 -*12409 FILLER_165_98 -*12410 FILLER_166_108 -*12411 FILLER_166_112 -*12412 FILLER_166_118 -*12413 FILLER_166_130 -*12414 FILLER_166_138 -*12415 FILLER_166_14 -*12416 FILLER_166_141 -*12417 FILLER_166_153 -*12418 FILLER_166_164 -*12419 FILLER_166_168 -*12420 FILLER_166_173 -*12421 FILLER_166_185 -*12422 FILLER_166_193 -*12423 FILLER_166_197 -*12424 FILLER_166_205 -*12425 FILLER_166_217 -*12426 FILLER_166_229 -*12427 FILLER_166_238 -*12428 FILLER_166_246 -*12429 FILLER_166_250 -*12430 FILLER_166_253 -*12431 FILLER_166_259 -*12432 FILLER_166_26 -*12433 FILLER_166_268 -*12434 FILLER_166_29 -*12435 FILLER_166_292 -*12436 FILLER_166_3 -*12437 FILLER_166_306 -*12438 FILLER_166_309 -*12439 FILLER_166_317 -*12440 FILLER_166_320 -*12441 FILLER_166_324 -*12442 FILLER_166_335 -*12443 FILLER_166_344 -*12444 FILLER_166_356 -*12445 FILLER_166_36 -*12446 FILLER_166_365 -*12447 FILLER_166_377 -*12448 FILLER_166_389 -*12449 FILLER_166_395 -*12450 FILLER_166_404 -*12451 FILLER_166_408 -*12452 FILLER_166_417 -*12453 FILLER_166_421 -*12454 FILLER_166_432 -*12455 FILLER_166_448 -*12456 FILLER_166_461 -*12457 FILLER_166_47 -*12458 FILLER_166_473 -*12459 FILLER_166_477 -*12460 FILLER_166_487 -*12461 FILLER_166_499 -*12462 FILLER_166_511 -*12463 FILLER_166_526 -*12464 FILLER_166_533 -*12465 FILLER_166_546 -*12466 FILLER_166_558 -*12467 FILLER_166_57 -*12468 FILLER_166_570 -*12469 FILLER_166_582 -*12470 FILLER_166_589 -*12471 FILLER_166_621 -*12472 FILLER_166_634 -*12473 FILLER_166_642 -*12474 FILLER_166_645 -*12475 FILLER_166_669 -*12476 FILLER_166_681 -*12477 FILLER_166_685 -*12478 FILLER_166_694 -*12479 FILLER_166_70 -*12480 FILLER_166_701 -*12481 FILLER_166_713 -*12482 FILLER_166_721 -*12483 FILLER_166_731 -*12484 FILLER_166_74 -*12485 FILLER_166_743 -*12486 FILLER_166_754 -*12487 FILLER_166_757 -*12488 FILLER_166_775 -*12489 FILLER_166_80 -*12490 FILLER_166_85 -*12491 FILLER_166_91 -*12492 FILLER_167_104 -*12493 FILLER_167_113 -*12494 FILLER_167_122 -*12495 FILLER_167_130 -*12496 FILLER_167_137 -*12497 FILLER_167_141 -*12498 FILLER_167_148 -*12499 FILLER_167_15 -*12500 FILLER_167_156 -*12501 FILLER_167_169 -*12502 FILLER_167_181 -*12503 FILLER_167_19 -*12504 FILLER_167_193 -*12505 FILLER_167_204 -*12506 FILLER_167_216 -*12507 FILLER_167_222 -*12508 FILLER_167_225 -*12509 FILLER_167_231 -*12510 FILLER_167_237 -*12511 FILLER_167_244 -*12512 FILLER_167_25 -*12513 FILLER_167_251 -*12514 FILLER_167_260 -*12515 FILLER_167_266 -*12516 FILLER_167_276 -*12517 FILLER_167_281 -*12518 FILLER_167_3 -*12519 FILLER_167_309 -*12520 FILLER_167_329 -*12521 FILLER_167_335 -*12522 FILLER_167_337 -*12523 FILLER_167_349 -*12524 FILLER_167_362 -*12525 FILLER_167_37 -*12526 FILLER_167_374 -*12527 FILLER_167_386 -*12528 FILLER_167_393 -*12529 FILLER_167_405 -*12530 FILLER_167_417 -*12531 FILLER_167_429 -*12532 FILLER_167_441 -*12533 FILLER_167_447 -*12534 FILLER_167_449 -*12535 FILLER_167_461 -*12536 FILLER_167_465 -*12537 FILLER_167_487 -*12538 FILLER_167_499 -*12539 FILLER_167_503 -*12540 FILLER_167_505 -*12541 FILLER_167_51 -*12542 FILLER_167_515 -*12543 FILLER_167_527 -*12544 FILLER_167_539 -*12545 FILLER_167_55 -*12546 FILLER_167_554 -*12547 FILLER_167_561 -*12548 FILLER_167_57 -*12549 FILLER_167_571 -*12550 FILLER_167_583 -*12551 FILLER_167_595 -*12552 FILLER_167_603 -*12553 FILLER_167_612 -*12554 FILLER_167_617 -*12555 FILLER_167_632 -*12556 FILLER_167_64 -*12557 FILLER_167_644 -*12558 FILLER_167_670 -*12559 FILLER_167_673 -*12560 FILLER_167_682 -*12561 FILLER_167_694 -*12562 FILLER_167_706 -*12563 FILLER_167_72 -*12564 FILLER_167_726 -*12565 FILLER_167_729 -*12566 FILLER_167_739 -*12567 FILLER_167_751 -*12568 FILLER_167_775 -*12569 FILLER_167_79 -*12570 FILLER_167_92 -*12571 FILLER_168_11 -*12572 FILLER_168_110 -*12573 FILLER_168_120 -*12574 FILLER_168_132 -*12575 FILLER_168_141 -*12576 FILLER_168_150 -*12577 FILLER_168_160 -*12578 FILLER_168_172 -*12579 FILLER_168_184 -*12580 FILLER_168_19 -*12581 FILLER_168_197 -*12582 FILLER_168_209 -*12583 FILLER_168_215 -*12584 FILLER_168_227 -*12585 FILLER_168_239 -*12586 FILLER_168_247 -*12587 FILLER_168_25 -*12588 FILLER_168_251 -*12589 FILLER_168_253 -*12590 FILLER_168_258 -*12591 FILLER_168_269 -*12592 FILLER_168_29 -*12593 FILLER_168_296 -*12594 FILLER_168_3 -*12595 FILLER_168_305 -*12596 FILLER_168_309 -*12597 FILLER_168_313 -*12598 FILLER_168_322 -*12599 FILLER_168_331 -*12600 FILLER_168_343 -*12601 FILLER_168_355 -*12602 FILLER_168_363 -*12603 FILLER_168_365 -*12604 FILLER_168_377 -*12605 FILLER_168_389 -*12606 FILLER_168_40 -*12607 FILLER_168_401 -*12608 FILLER_168_413 -*12609 FILLER_168_419 -*12610 FILLER_168_421 -*12611 FILLER_168_439 -*12612 FILLER_168_451 -*12613 FILLER_168_461 -*12614 FILLER_168_473 -*12615 FILLER_168_477 -*12616 FILLER_168_488 -*12617 FILLER_168_500 -*12618 FILLER_168_511 -*12619 FILLER_168_519 -*12620 FILLER_168_52 -*12621 FILLER_168_530 -*12622 FILLER_168_533 -*12623 FILLER_168_564 -*12624 FILLER_168_577 -*12625 FILLER_168_585 -*12626 FILLER_168_589 -*12627 FILLER_168_599 -*12628 FILLER_168_627 -*12629 FILLER_168_63 -*12630 FILLER_168_639 -*12631 FILLER_168_643 -*12632 FILLER_168_645 -*12633 FILLER_168_649 -*12634 FILLER_168_659 -*12635 FILLER_168_67 -*12636 FILLER_168_671 -*12637 FILLER_168_683 -*12638 FILLER_168_695 -*12639 FILLER_168_699 -*12640 FILLER_168_701 -*12641 FILLER_168_713 -*12642 FILLER_168_725 -*12643 FILLER_168_735 -*12644 FILLER_168_747 -*12645 FILLER_168_755 -*12646 FILLER_168_757 -*12647 FILLER_168_768 -*12648 FILLER_168_776 -*12649 FILLER_168_81 -*12650 FILLER_168_85 -*12651 FILLER_168_99 -*12652 FILLER_169_105 -*12653 FILLER_169_111 -*12654 FILLER_169_113 -*12655 FILLER_169_123 -*12656 FILLER_169_138 -*12657 FILLER_169_15 -*12658 FILLER_169_154 -*12659 FILLER_169_166 -*12660 FILLER_169_169 -*12661 FILLER_169_181 -*12662 FILLER_169_192 -*12663 FILLER_169_198 -*12664 FILLER_169_206 -*12665 FILLER_169_217 -*12666 FILLER_169_223 -*12667 FILLER_169_225 -*12668 FILLER_169_231 -*12669 FILLER_169_237 -*12670 FILLER_169_24 -*12671 FILLER_169_243 -*12672 FILLER_169_267 -*12673 FILLER_169_278 -*12674 FILLER_169_281 -*12675 FILLER_169_299 -*12676 FILLER_169_3 -*12677 FILLER_169_309 -*12678 FILLER_169_334 -*12679 FILLER_169_337 -*12680 FILLER_169_342 -*12681 FILLER_169_354 -*12682 FILLER_169_366 -*12683 FILLER_169_37 -*12684 FILLER_169_378 -*12685 FILLER_169_390 -*12686 FILLER_169_393 -*12687 FILLER_169_405 -*12688 FILLER_169_417 -*12689 FILLER_169_441 -*12690 FILLER_169_447 -*12691 FILLER_169_449 -*12692 FILLER_169_472 -*12693 FILLER_169_48 -*12694 FILLER_169_493 -*12695 FILLER_169_501 -*12696 FILLER_169_505 -*12697 FILLER_169_515 -*12698 FILLER_169_527 -*12699 FILLER_169_548 -*12700 FILLER_169_561 -*12701 FILLER_169_57 -*12702 FILLER_169_579 -*12703 FILLER_169_591 -*12704 FILLER_169_603 -*12705 FILLER_169_614 -*12706 FILLER_169_617 -*12707 FILLER_169_628 -*12708 FILLER_169_640 -*12709 FILLER_169_652 -*12710 FILLER_169_664 -*12711 FILLER_169_673 -*12712 FILLER_169_685 -*12713 FILLER_169_697 -*12714 FILLER_169_709 -*12715 FILLER_169_721 -*12716 FILLER_169_727 -*12717 FILLER_169_729 -*12718 FILLER_169_741 -*12719 FILLER_169_76 -*12720 FILLER_169_762 -*12721 FILLER_169_775 -*12722 FILLER_169_88 -*12723 FILLER_169_96 -*12724 FILLER_16_106 -*12725 FILLER_16_118 -*12726 FILLER_16_138 -*12727 FILLER_16_141 -*12728 FILLER_16_145 -*12729 FILLER_16_15 -*12730 FILLER_16_169 -*12731 FILLER_16_181 -*12732 FILLER_16_194 -*12733 FILLER_16_197 -*12734 FILLER_16_201 -*12735 FILLER_16_210 -*12736 FILLER_16_234 -*12737 FILLER_16_240 -*12738 FILLER_16_250 -*12739 FILLER_16_253 -*12740 FILLER_16_27 -*12741 FILLER_16_280 -*12742 FILLER_16_29 -*12743 FILLER_16_294 -*12744 FILLER_16_3 -*12745 FILLER_16_306 -*12746 FILLER_16_309 -*12747 FILLER_16_321 -*12748 FILLER_16_346 -*12749 FILLER_16_351 -*12750 FILLER_16_361 -*12751 FILLER_16_365 -*12752 FILLER_16_375 -*12753 FILLER_16_388 -*12754 FILLER_16_396 -*12755 FILLER_16_400 -*12756 FILLER_16_408 -*12757 FILLER_16_41 -*12758 FILLER_16_421 -*12759 FILLER_16_439 -*12760 FILLER_16_447 -*12761 FILLER_16_457 -*12762 FILLER_16_469 -*12763 FILLER_16_475 -*12764 FILLER_16_477 -*12765 FILLER_16_502 -*12766 FILLER_16_519 -*12767 FILLER_16_53 -*12768 FILLER_16_530 -*12769 FILLER_16_533 -*12770 FILLER_16_540 -*12771 FILLER_16_556 -*12772 FILLER_16_565 -*12773 FILLER_16_577 -*12774 FILLER_16_586 -*12775 FILLER_16_589 -*12776 FILLER_16_597 -*12777 FILLER_16_607 -*12778 FILLER_16_615 -*12779 FILLER_16_642 -*12780 FILLER_16_645 -*12781 FILLER_16_65 -*12782 FILLER_16_655 -*12783 FILLER_16_667 -*12784 FILLER_16_671 -*12785 FILLER_16_681 -*12786 FILLER_16_694 -*12787 FILLER_16_701 -*12788 FILLER_16_712 -*12789 FILLER_16_720 -*12790 FILLER_16_731 -*12791 FILLER_16_745 -*12792 FILLER_16_753 -*12793 FILLER_16_757 -*12794 FILLER_16_77 -*12795 FILLER_16_775 -*12796 FILLER_16_83 -*12797 FILLER_16_85 -*12798 FILLER_16_97 -*12799 FILLER_170_101 -*12800 FILLER_170_109 -*12801 FILLER_170_114 -*12802 FILLER_170_118 -*12803 FILLER_170_123 -*12804 FILLER_170_135 -*12805 FILLER_170_139 -*12806 FILLER_170_141 -*12807 FILLER_170_15 -*12808 FILLER_170_153 -*12809 FILLER_170_161 -*12810 FILLER_170_169 -*12811 FILLER_170_180 -*12812 FILLER_170_194 -*12813 FILLER_170_197 -*12814 FILLER_170_207 -*12815 FILLER_170_214 -*12816 FILLER_170_223 -*12817 FILLER_170_233 -*12818 FILLER_170_241 -*12819 FILLER_170_250 -*12820 FILLER_170_253 -*12821 FILLER_170_263 -*12822 FILLER_170_27 -*12823 FILLER_170_29 -*12824 FILLER_170_292 -*12825 FILLER_170_3 -*12826 FILLER_170_306 -*12827 FILLER_170_309 -*12828 FILLER_170_315 -*12829 FILLER_170_325 -*12830 FILLER_170_337 -*12831 FILLER_170_349 -*12832 FILLER_170_361 -*12833 FILLER_170_365 -*12834 FILLER_170_377 -*12835 FILLER_170_385 -*12836 FILLER_170_397 -*12837 FILLER_170_401 -*12838 FILLER_170_41 -*12839 FILLER_170_418 -*12840 FILLER_170_421 -*12841 FILLER_170_435 -*12842 FILLER_170_447 -*12843 FILLER_170_458 -*12844 FILLER_170_471 -*12845 FILLER_170_475 -*12846 FILLER_170_477 -*12847 FILLER_170_499 -*12848 FILLER_170_511 -*12849 FILLER_170_523 -*12850 FILLER_170_53 -*12851 FILLER_170_531 -*12852 FILLER_170_533 -*12853 FILLER_170_551 -*12854 FILLER_170_555 -*12855 FILLER_170_579 -*12856 FILLER_170_587 -*12857 FILLER_170_589 -*12858 FILLER_170_599 -*12859 FILLER_170_607 -*12860 FILLER_170_61 -*12861 FILLER_170_616 -*12862 FILLER_170_620 -*12863 FILLER_170_630 -*12864 FILLER_170_642 -*12865 FILLER_170_645 -*12866 FILLER_170_654 -*12867 FILLER_170_658 -*12868 FILLER_170_676 -*12869 FILLER_170_688 -*12870 FILLER_170_701 -*12871 FILLER_170_719 -*12872 FILLER_170_727 -*12873 FILLER_170_73 -*12874 FILLER_170_746 -*12875 FILLER_170_754 -*12876 FILLER_170_757 -*12877 FILLER_170_768 -*12878 FILLER_170_775 -*12879 FILLER_170_81 -*12880 FILLER_170_85 -*12881 FILLER_170_97 -*12882 FILLER_171_107 -*12883 FILLER_171_111 -*12884 FILLER_171_113 -*12885 FILLER_171_125 -*12886 FILLER_171_137 -*12887 FILLER_171_141 -*12888 FILLER_171_149 -*12889 FILLER_171_166 -*12890 FILLER_171_169 -*12891 FILLER_171_176 -*12892 FILLER_171_182 -*12893 FILLER_171_187 -*12894 FILLER_171_191 -*12895 FILLER_171_196 -*12896 FILLER_171_205 -*12897 FILLER_171_21 -*12898 FILLER_171_219 -*12899 FILLER_171_223 -*12900 FILLER_171_225 -*12901 FILLER_171_232 -*12902 FILLER_171_236 -*12903 FILLER_171_242 -*12904 FILLER_171_250 -*12905 FILLER_171_272 -*12906 FILLER_171_281 -*12907 FILLER_171_287 -*12908 FILLER_171_299 -*12909 FILLER_171_3 -*12910 FILLER_171_324 -*12911 FILLER_171_33 -*12912 FILLER_171_337 -*12913 FILLER_171_351 -*12914 FILLER_171_363 -*12915 FILLER_171_375 -*12916 FILLER_171_387 -*12917 FILLER_171_391 -*12918 FILLER_171_393 -*12919 FILLER_171_405 -*12920 FILLER_171_417 -*12921 FILLER_171_429 -*12922 FILLER_171_441 -*12923 FILLER_171_447 -*12924 FILLER_171_449 -*12925 FILLER_171_45 -*12926 FILLER_171_457 -*12927 FILLER_171_479 -*12928 FILLER_171_491 -*12929 FILLER_171_503 -*12930 FILLER_171_505 -*12931 FILLER_171_513 -*12932 FILLER_171_524 -*12933 FILLER_171_53 -*12934 FILLER_171_536 -*12935 FILLER_171_553 -*12936 FILLER_171_559 -*12937 FILLER_171_561 -*12938 FILLER_171_57 -*12939 FILLER_171_572 -*12940 FILLER_171_584 -*12941 FILLER_171_596 -*12942 FILLER_171_606 -*12943 FILLER_171_614 -*12944 FILLER_171_617 -*12945 FILLER_171_628 -*12946 FILLER_171_64 -*12947 FILLER_171_642 -*12948 FILLER_171_654 -*12949 FILLER_171_665 -*12950 FILLER_171_671 -*12951 FILLER_171_673 -*12952 FILLER_171_677 -*12953 FILLER_171_694 -*12954 FILLER_171_71 -*12955 FILLER_171_715 -*12956 FILLER_171_727 -*12957 FILLER_171_729 -*12958 FILLER_171_739 -*12959 FILLER_171_751 -*12960 FILLER_171_763 -*12961 FILLER_171_775 -*12962 FILLER_171_83 -*12963 FILLER_171_95 -*12964 FILLER_172_109 -*12965 FILLER_172_113 -*12966 FILLER_172_122 -*12967 FILLER_172_136 -*12968 FILLER_172_14 -*12969 FILLER_172_141 -*12970 FILLER_172_149 -*12971 FILLER_172_155 -*12972 FILLER_172_165 -*12973 FILLER_172_173 -*12974 FILLER_172_179 -*12975 FILLER_172_190 -*12976 FILLER_172_197 -*12977 FILLER_172_203 -*12978 FILLER_172_211 -*12979 FILLER_172_219 -*12980 FILLER_172_227 -*12981 FILLER_172_232 -*12982 FILLER_172_241 -*12983 FILLER_172_250 -*12984 FILLER_172_253 -*12985 FILLER_172_26 -*12986 FILLER_172_260 -*12987 FILLER_172_272 -*12988 FILLER_172_280 -*12989 FILLER_172_29 -*12990 FILLER_172_291 -*12991 FILLER_172_3 -*12992 FILLER_172_305 -*12993 FILLER_172_309 -*12994 FILLER_172_316 -*12995 FILLER_172_320 -*12996 FILLER_172_333 -*12997 FILLER_172_345 -*12998 FILLER_172_357 -*12999 FILLER_172_363 -*13000 FILLER_172_365 -*13001 FILLER_172_37 -*13002 FILLER_172_379 -*13003 FILLER_172_387 -*13004 FILLER_172_409 -*13005 FILLER_172_417 -*13006 FILLER_172_421 -*13007 FILLER_172_43 -*13008 FILLER_172_430 -*13009 FILLER_172_442 -*13010 FILLER_172_458 -*13011 FILLER_172_470 -*13012 FILLER_172_477 -*13013 FILLER_172_499 -*13014 FILLER_172_530 -*13015 FILLER_172_533 -*13016 FILLER_172_544 -*13017 FILLER_172_556 -*13018 FILLER_172_573 -*13019 FILLER_172_586 -*13020 FILLER_172_589 -*13021 FILLER_172_593 -*13022 FILLER_172_617 -*13023 FILLER_172_63 -*13024 FILLER_172_642 -*13025 FILLER_172_645 -*13026 FILLER_172_655 -*13027 FILLER_172_667 -*13028 FILLER_172_677 -*13029 FILLER_172_690 -*13030 FILLER_172_698 -*13031 FILLER_172_7 -*13032 FILLER_172_701 -*13033 FILLER_172_719 -*13034 FILLER_172_731 -*13035 FILLER_172_74 -*13036 FILLER_172_743 -*13037 FILLER_172_753 -*13038 FILLER_172_757 -*13039 FILLER_172_775 -*13040 FILLER_172_82 -*13041 FILLER_172_85 -*13042 FILLER_172_97 -*13043 FILLER_173_101 -*13044 FILLER_173_108 -*13045 FILLER_173_11 -*13046 FILLER_173_113 -*13047 FILLER_173_123 -*13048 FILLER_173_127 -*13049 FILLER_173_131 -*13050 FILLER_173_146 -*13051 FILLER_173_153 -*13052 FILLER_173_165 -*13053 FILLER_173_169 -*13054 FILLER_173_177 -*13055 FILLER_173_190 -*13056 FILLER_173_199 -*13057 FILLER_173_206 -*13058 FILLER_173_21 -*13059 FILLER_173_218 -*13060 FILLER_173_225 -*13061 FILLER_173_231 -*13062 FILLER_173_240 -*13063 FILLER_173_249 -*13064 FILLER_173_262 -*13065 FILLER_173_278 -*13066 FILLER_173_281 -*13067 FILLER_173_291 -*13068 FILLER_173_3 -*13069 FILLER_173_305 -*13070 FILLER_173_311 -*13071 FILLER_173_320 -*13072 FILLER_173_33 -*13073 FILLER_173_332 -*13074 FILLER_173_337 -*13075 FILLER_173_344 -*13076 FILLER_173_356 -*13077 FILLER_173_362 -*13078 FILLER_173_372 -*13079 FILLER_173_376 -*13080 FILLER_173_385 -*13081 FILLER_173_391 -*13082 FILLER_173_393 -*13083 FILLER_173_415 -*13084 FILLER_173_427 -*13085 FILLER_173_435 -*13086 FILLER_173_446 -*13087 FILLER_173_449 -*13088 FILLER_173_46 -*13089 FILLER_173_460 -*13090 FILLER_173_466 -*13091 FILLER_173_488 -*13092 FILLER_173_500 -*13093 FILLER_173_505 -*13094 FILLER_173_516 -*13095 FILLER_173_530 -*13096 FILLER_173_54 -*13097 FILLER_173_542 -*13098 FILLER_173_552 -*13099 FILLER_173_561 -*13100 FILLER_173_57 -*13101 FILLER_173_585 -*13102 FILLER_173_589 -*13103 FILLER_173_599 -*13104 FILLER_173_611 -*13105 FILLER_173_615 -*13106 FILLER_173_617 -*13107 FILLER_173_623 -*13108 FILLER_173_633 -*13109 FILLER_173_64 -*13110 FILLER_173_645 -*13111 FILLER_173_657 -*13112 FILLER_173_661 -*13113 FILLER_173_670 -*13114 FILLER_173_673 -*13115 FILLER_173_695 -*13116 FILLER_173_707 -*13117 FILLER_173_719 -*13118 FILLER_173_727 -*13119 FILLER_173_729 -*13120 FILLER_173_741 -*13121 FILLER_173_753 -*13122 FILLER_173_775 -*13123 FILLER_173_79 -*13124 FILLER_173_91 -*13125 FILLER_174_106 -*13126 FILLER_174_11 -*13127 FILLER_174_112 -*13128 FILLER_174_121 -*13129 FILLER_174_132 -*13130 FILLER_174_141 -*13131 FILLER_174_15 -*13132 FILLER_174_159 -*13133 FILLER_174_167 -*13134 FILLER_174_175 -*13135 FILLER_174_183 -*13136 FILLER_174_191 -*13137 FILLER_174_195 -*13138 FILLER_174_197 -*13139 FILLER_174_209 -*13140 FILLER_174_221 -*13141 FILLER_174_226 -*13142 FILLER_174_238 -*13143 FILLER_174_250 -*13144 FILLER_174_253 -*13145 FILLER_174_26 -*13146 FILLER_174_275 -*13147 FILLER_174_283 -*13148 FILLER_174_29 -*13149 FILLER_174_3 -*13150 FILLER_174_304 -*13151 FILLER_174_309 -*13152 FILLER_174_319 -*13153 FILLER_174_331 -*13154 FILLER_174_346 -*13155 FILLER_174_358 -*13156 FILLER_174_36 -*13157 FILLER_174_365 -*13158 FILLER_174_388 -*13159 FILLER_174_402 -*13160 FILLER_174_418 -*13161 FILLER_174_421 -*13162 FILLER_174_427 -*13163 FILLER_174_436 -*13164 FILLER_174_44 -*13165 FILLER_174_461 -*13166 FILLER_174_473 -*13167 FILLER_174_477 -*13168 FILLER_174_488 -*13169 FILLER_174_517 -*13170 FILLER_174_529 -*13171 FILLER_174_53 -*13172 FILLER_174_533 -*13173 FILLER_174_541 -*13174 FILLER_174_567 -*13175 FILLER_174_579 -*13176 FILLER_174_587 -*13177 FILLER_174_589 -*13178 FILLER_174_599 -*13179 FILLER_174_61 -*13180 FILLER_174_611 -*13181 FILLER_174_637 -*13182 FILLER_174_643 -*13183 FILLER_174_645 -*13184 FILLER_174_658 -*13185 FILLER_174_662 -*13186 FILLER_174_683 -*13187 FILLER_174_69 -*13188 FILLER_174_695 -*13189 FILLER_174_699 -*13190 FILLER_174_701 -*13191 FILLER_174_716 -*13192 FILLER_174_728 -*13193 FILLER_174_740 -*13194 FILLER_174_754 -*13195 FILLER_174_757 -*13196 FILLER_174_775 -*13197 FILLER_174_80 -*13198 FILLER_174_85 -*13199 FILLER_174_95 -*13200 FILLER_175_100 -*13201 FILLER_175_110 -*13202 FILLER_175_113 -*13203 FILLER_175_117 -*13204 FILLER_175_128 -*13205 FILLER_175_140 -*13206 FILLER_175_15 -*13207 FILLER_175_159 -*13208 FILLER_175_167 -*13209 FILLER_175_169 -*13210 FILLER_175_186 -*13211 FILLER_175_198 -*13212 FILLER_175_203 -*13213 FILLER_175_21 -*13214 FILLER_175_215 -*13215 FILLER_175_222 -*13216 FILLER_175_225 -*13217 FILLER_175_230 -*13218 FILLER_175_254 -*13219 FILLER_175_278 -*13220 FILLER_175_281 -*13221 FILLER_175_293 -*13222 FILLER_175_3 -*13223 FILLER_175_31 -*13224 FILLER_175_317 -*13225 FILLER_175_329 -*13226 FILLER_175_335 -*13227 FILLER_175_337 -*13228 FILLER_175_347 -*13229 FILLER_175_359 -*13230 FILLER_175_382 -*13231 FILLER_175_390 -*13232 FILLER_175_393 -*13233 FILLER_175_408 -*13234 FILLER_175_41 -*13235 FILLER_175_421 -*13236 FILLER_175_433 -*13237 FILLER_175_437 -*13238 FILLER_175_446 -*13239 FILLER_175_449 -*13240 FILLER_175_461 -*13241 FILLER_175_471 -*13242 FILLER_175_484 -*13243 FILLER_175_496 -*13244 FILLER_175_505 -*13245 FILLER_175_515 -*13246 FILLER_175_53 -*13247 FILLER_175_542 -*13248 FILLER_175_554 -*13249 FILLER_175_561 -*13250 FILLER_175_57 -*13251 FILLER_175_573 -*13252 FILLER_175_583 -*13253 FILLER_175_604 -*13254 FILLER_175_617 -*13255 FILLER_175_621 -*13256 FILLER_175_642 -*13257 FILLER_175_65 -*13258 FILLER_175_669 -*13259 FILLER_175_673 -*13260 FILLER_175_683 -*13261 FILLER_175_694 -*13262 FILLER_175_698 -*13263 FILLER_175_709 -*13264 FILLER_175_721 -*13265 FILLER_175_727 -*13266 FILLER_175_729 -*13267 FILLER_175_741 -*13268 FILLER_175_75 -*13269 FILLER_175_769 -*13270 FILLER_175_87 -*13271 FILLER_176_104 -*13272 FILLER_176_116 -*13273 FILLER_176_128 -*13274 FILLER_176_141 -*13275 FILLER_176_160 -*13276 FILLER_176_167 -*13277 FILLER_176_179 -*13278 FILLER_176_191 -*13279 FILLER_176_195 -*13280 FILLER_176_197 -*13281 FILLER_176_20 -*13282 FILLER_176_203 -*13283 FILLER_176_212 -*13284 FILLER_176_220 -*13285 FILLER_176_226 -*13286 FILLER_176_237 -*13287 FILLER_176_250 -*13288 FILLER_176_253 -*13289 FILLER_176_261 -*13290 FILLER_176_272 -*13291 FILLER_176_29 -*13292 FILLER_176_296 -*13293 FILLER_176_3 -*13294 FILLER_176_309 -*13295 FILLER_176_331 -*13296 FILLER_176_343 -*13297 FILLER_176_351 -*13298 FILLER_176_362 -*13299 FILLER_176_365 -*13300 FILLER_176_376 -*13301 FILLER_176_380 -*13302 FILLER_176_402 -*13303 FILLER_176_41 -*13304 FILLER_176_414 -*13305 FILLER_176_421 -*13306 FILLER_176_450 -*13307 FILLER_176_463 -*13308 FILLER_176_475 -*13309 FILLER_176_477 -*13310 FILLER_176_498 -*13311 FILLER_176_506 -*13312 FILLER_176_521 -*13313 FILLER_176_529 -*13314 FILLER_176_533 -*13315 FILLER_176_544 -*13316 FILLER_176_556 -*13317 FILLER_176_564 -*13318 FILLER_176_57 -*13319 FILLER_176_586 -*13320 FILLER_176_589 -*13321 FILLER_176_614 -*13322 FILLER_176_626 -*13323 FILLER_176_638 -*13324 FILLER_176_645 -*13325 FILLER_176_655 -*13326 FILLER_176_667 -*13327 FILLER_176_684 -*13328 FILLER_176_69 -*13329 FILLER_176_696 -*13330 FILLER_176_701 -*13331 FILLER_176_723 -*13332 FILLER_176_735 -*13333 FILLER_176_743 -*13334 FILLER_176_754 -*13335 FILLER_176_757 -*13336 FILLER_176_768 -*13337 FILLER_176_776 -*13338 FILLER_176_82 -*13339 FILLER_176_85 -*13340 FILLER_176_97 -*13341 FILLER_177_103 -*13342 FILLER_177_11 -*13343 FILLER_177_110 -*13344 FILLER_177_113 -*13345 FILLER_177_124 -*13346 FILLER_177_139 -*13347 FILLER_177_15 -*13348 FILLER_177_159 -*13349 FILLER_177_166 -*13350 FILLER_177_169 -*13351 FILLER_177_174 -*13352 FILLER_177_183 -*13353 FILLER_177_19 -*13354 FILLER_177_190 -*13355 FILLER_177_205 -*13356 FILLER_177_217 -*13357 FILLER_177_222 -*13358 FILLER_177_225 -*13359 FILLER_177_23 -*13360 FILLER_177_231 -*13361 FILLER_177_240 -*13362 FILLER_177_253 -*13363 FILLER_177_27 -*13364 FILLER_177_278 -*13365 FILLER_177_281 -*13366 FILLER_177_292 -*13367 FILLER_177_3 -*13368 FILLER_177_305 -*13369 FILLER_177_31 -*13370 FILLER_177_318 -*13371 FILLER_177_334 -*13372 FILLER_177_337 -*13373 FILLER_177_347 -*13374 FILLER_177_35 -*13375 FILLER_177_363 -*13376 FILLER_177_376 -*13377 FILLER_177_389 -*13378 FILLER_177_393 -*13379 FILLER_177_429 -*13380 FILLER_177_441 -*13381 FILLER_177_447 -*13382 FILLER_177_449 -*13383 FILLER_177_47 -*13384 FILLER_177_473 -*13385 FILLER_177_481 -*13386 FILLER_177_502 -*13387 FILLER_177_505 -*13388 FILLER_177_515 -*13389 FILLER_177_52 -*13390 FILLER_177_529 -*13391 FILLER_177_558 -*13392 FILLER_177_561 -*13393 FILLER_177_57 -*13394 FILLER_177_592 -*13395 FILLER_177_609 -*13396 FILLER_177_615 -*13397 FILLER_177_617 -*13398 FILLER_177_625 -*13399 FILLER_177_649 -*13400 FILLER_177_662 -*13401 FILLER_177_670 -*13402 FILLER_177_673 -*13403 FILLER_177_681 -*13404 FILLER_177_69 -*13405 FILLER_177_704 -*13406 FILLER_177_716 -*13407 FILLER_177_729 -*13408 FILLER_177_741 -*13409 FILLER_177_749 -*13410 FILLER_177_759 -*13411 FILLER_177_772 -*13412 FILLER_177_776 -*13413 FILLER_177_81 -*13414 FILLER_177_89 -*13415 FILLER_177_97 -*13416 FILLER_178_111 -*13417 FILLER_178_123 -*13418 FILLER_178_127 -*13419 FILLER_178_131 -*13420 FILLER_178_139 -*13421 FILLER_178_141 -*13422 FILLER_178_148 -*13423 FILLER_178_15 -*13424 FILLER_178_152 -*13425 FILLER_178_160 -*13426 FILLER_178_173 -*13427 FILLER_178_185 -*13428 FILLER_178_193 -*13429 FILLER_178_197 -*13430 FILLER_178_209 -*13431 FILLER_178_221 -*13432 FILLER_178_233 -*13433 FILLER_178_241 -*13434 FILLER_178_250 -*13435 FILLER_178_253 -*13436 FILLER_178_259 -*13437 FILLER_178_27 -*13438 FILLER_178_281 -*13439 FILLER_178_29 -*13440 FILLER_178_297 -*13441 FILLER_178_3 -*13442 FILLER_178_305 -*13443 FILLER_178_309 -*13444 FILLER_178_313 -*13445 FILLER_178_323 -*13446 FILLER_178_336 -*13447 FILLER_178_350 -*13448 FILLER_178_36 -*13449 FILLER_178_362 -*13450 FILLER_178_365 -*13451 FILLER_178_377 -*13452 FILLER_178_386 -*13453 FILLER_178_399 -*13454 FILLER_178_407 -*13455 FILLER_178_417 -*13456 FILLER_178_421 -*13457 FILLER_178_431 -*13458 FILLER_178_443 -*13459 FILLER_178_455 -*13460 FILLER_178_467 -*13461 FILLER_178_475 -*13462 FILLER_178_477 -*13463 FILLER_178_488 -*13464 FILLER_178_49 -*13465 FILLER_178_500 -*13466 FILLER_178_512 -*13467 FILLER_178_525 -*13468 FILLER_178_531 -*13469 FILLER_178_533 -*13470 FILLER_178_539 -*13471 FILLER_178_549 -*13472 FILLER_178_576 -*13473 FILLER_178_58 -*13474 FILLER_178_589 -*13475 FILLER_178_597 -*13476 FILLER_178_62 -*13477 FILLER_178_622 -*13478 FILLER_178_626 -*13479 FILLER_178_636 -*13480 FILLER_178_645 -*13481 FILLER_178_651 -*13482 FILLER_178_661 -*13483 FILLER_178_689 -*13484 FILLER_178_697 -*13485 FILLER_178_70 -*13486 FILLER_178_701 -*13487 FILLER_178_711 -*13488 FILLER_178_723 -*13489 FILLER_178_735 -*13490 FILLER_178_747 -*13491 FILLER_178_755 -*13492 FILLER_178_757 -*13493 FILLER_178_772 -*13494 FILLER_178_776 -*13495 FILLER_178_82 -*13496 FILLER_178_85 -*13497 FILLER_178_93 -*13498 FILLER_178_99 -*13499 FILLER_179_10 -*13500 FILLER_179_100 -*13501 FILLER_179_113 -*13502 FILLER_179_122 -*13503 FILLER_179_134 -*13504 FILLER_179_146 -*13505 FILLER_179_158 -*13506 FILLER_179_166 -*13507 FILLER_179_169 -*13508 FILLER_179_174 -*13509 FILLER_179_182 -*13510 FILLER_179_192 -*13511 FILLER_179_201 -*13512 FILLER_179_22 -*13513 FILLER_179_221 -*13514 FILLER_179_225 -*13515 FILLER_179_229 -*13516 FILLER_179_235 -*13517 FILLER_179_241 -*13518 FILLER_179_250 -*13519 FILLER_179_262 -*13520 FILLER_179_278 -*13521 FILLER_179_281 -*13522 FILLER_179_3 -*13523 FILLER_179_301 -*13524 FILLER_179_309 -*13525 FILLER_179_319 -*13526 FILLER_179_331 -*13527 FILLER_179_335 -*13528 FILLER_179_337 -*13529 FILLER_179_34 -*13530 FILLER_179_348 -*13531 FILLER_179_378 -*13532 FILLER_179_390 -*13533 FILLER_179_393 -*13534 FILLER_179_405 -*13535 FILLER_179_417 -*13536 FILLER_179_429 -*13537 FILLER_179_439 -*13538 FILLER_179_447 -*13539 FILLER_179_449 -*13540 FILLER_179_46 -*13541 FILLER_179_462 -*13542 FILLER_179_470 -*13543 FILLER_179_494 -*13544 FILLER_179_502 -*13545 FILLER_179_505 -*13546 FILLER_179_534 -*13547 FILLER_179_54 -*13548 FILLER_179_546 -*13549 FILLER_179_558 -*13550 FILLER_179_561 -*13551 FILLER_179_57 -*13552 FILLER_179_572 -*13553 FILLER_179_585 -*13554 FILLER_179_597 -*13555 FILLER_179_614 -*13556 FILLER_179_617 -*13557 FILLER_179_64 -*13558 FILLER_179_640 -*13559 FILLER_179_648 -*13560 FILLER_179_670 -*13561 FILLER_179_673 -*13562 FILLER_179_685 -*13563 FILLER_179_691 -*13564 FILLER_179_712 -*13565 FILLER_179_724 -*13566 FILLER_179_729 -*13567 FILLER_179_741 -*13568 FILLER_179_749 -*13569 FILLER_179_76 -*13570 FILLER_179_771 -*13571 FILLER_179_91 -*13572 FILLER_17_101 -*13573 FILLER_17_110 -*13574 FILLER_17_113 -*13575 FILLER_17_118 -*13576 FILLER_17_134 -*13577 FILLER_17_148 -*13578 FILLER_17_15 -*13579 FILLER_17_161 -*13580 FILLER_17_167 -*13581 FILLER_17_169 -*13582 FILLER_17_192 -*13583 FILLER_17_202 -*13584 FILLER_17_222 -*13585 FILLER_17_225 -*13586 FILLER_17_248 -*13587 FILLER_17_260 -*13588 FILLER_17_27 -*13589 FILLER_17_273 -*13590 FILLER_17_279 -*13591 FILLER_17_281 -*13592 FILLER_17_291 -*13593 FILLER_17_3 -*13594 FILLER_17_303 -*13595 FILLER_17_311 -*13596 FILLER_17_322 -*13597 FILLER_17_334 -*13598 FILLER_17_337 -*13599 FILLER_17_347 -*13600 FILLER_17_359 -*13601 FILLER_17_375 -*13602 FILLER_17_386 -*13603 FILLER_17_39 -*13604 FILLER_17_393 -*13605 FILLER_17_399 -*13606 FILLER_17_416 -*13607 FILLER_17_428 -*13608 FILLER_17_440 -*13609 FILLER_17_449 -*13610 FILLER_17_471 -*13611 FILLER_17_483 -*13612 FILLER_17_502 -*13613 FILLER_17_505 -*13614 FILLER_17_51 -*13615 FILLER_17_517 -*13616 FILLER_17_539 -*13617 FILLER_17_55 -*13618 FILLER_17_552 -*13619 FILLER_17_561 -*13620 FILLER_17_567 -*13621 FILLER_17_57 -*13622 FILLER_17_584 -*13623 FILLER_17_592 -*13624 FILLER_17_601 -*13625 FILLER_17_613 -*13626 FILLER_17_617 -*13627 FILLER_17_627 -*13628 FILLER_17_639 -*13629 FILLER_17_649 -*13630 FILLER_17_653 -*13631 FILLER_17_662 -*13632 FILLER_17_670 -*13633 FILLER_17_673 -*13634 FILLER_17_679 -*13635 FILLER_17_688 -*13636 FILLER_17_69 -*13637 FILLER_17_715 -*13638 FILLER_17_727 -*13639 FILLER_17_729 -*13640 FILLER_17_756 -*13641 FILLER_17_768 -*13642 FILLER_17_776 -*13643 FILLER_17_81 -*13644 FILLER_17_93 -*13645 FILLER_180_104 -*13646 FILLER_180_115 -*13647 FILLER_180_128 -*13648 FILLER_180_135 -*13649 FILLER_180_139 -*13650 FILLER_180_141 -*13651 FILLER_180_153 -*13652 FILLER_180_165 -*13653 FILLER_180_177 -*13654 FILLER_180_194 -*13655 FILLER_180_197 -*13656 FILLER_180_21 -*13657 FILLER_180_214 -*13658 FILLER_180_226 -*13659 FILLER_180_238 -*13660 FILLER_180_250 -*13661 FILLER_180_253 -*13662 FILLER_180_261 -*13663 FILLER_180_27 -*13664 FILLER_180_272 -*13665 FILLER_180_29 -*13666 FILLER_180_296 -*13667 FILLER_180_3 -*13668 FILLER_180_309 -*13669 FILLER_180_315 -*13670 FILLER_180_324 -*13671 FILLER_180_349 -*13672 FILLER_180_36 -*13673 FILLER_180_362 -*13674 FILLER_180_365 -*13675 FILLER_180_390 -*13676 FILLER_180_403 -*13677 FILLER_180_409 -*13678 FILLER_180_418 -*13679 FILLER_180_421 -*13680 FILLER_180_432 -*13681 FILLER_180_444 -*13682 FILLER_180_471 -*13683 FILLER_180_475 -*13684 FILLER_180_477 -*13685 FILLER_180_48 -*13686 FILLER_180_506 -*13687 FILLER_180_521 -*13688 FILLER_180_529 -*13689 FILLER_180_533 -*13690 FILLER_180_537 -*13691 FILLER_180_546 -*13692 FILLER_180_558 -*13693 FILLER_180_586 -*13694 FILLER_180_589 -*13695 FILLER_180_60 -*13696 FILLER_180_611 -*13697 FILLER_180_623 -*13698 FILLER_180_635 -*13699 FILLER_180_643 -*13700 FILLER_180_645 -*13701 FILLER_180_656 -*13702 FILLER_180_668 -*13703 FILLER_180_674 -*13704 FILLER_180_68 -*13705 FILLER_180_698 -*13706 FILLER_180_701 -*13707 FILLER_180_711 -*13708 FILLER_180_723 -*13709 FILLER_180_735 -*13710 FILLER_180_743 -*13711 FILLER_180_754 -*13712 FILLER_180_757 -*13713 FILLER_180_762 -*13714 FILLER_180_766 -*13715 FILLER_180_775 -*13716 FILLER_180_80 -*13717 FILLER_180_85 -*13718 FILLER_180_96 -*13719 FILLER_181_104 -*13720 FILLER_181_113 -*13721 FILLER_181_122 -*13722 FILLER_181_131 -*13723 FILLER_181_139 -*13724 FILLER_181_144 -*13725 FILLER_181_15 -*13726 FILLER_181_156 -*13727 FILLER_181_169 -*13728 FILLER_181_189 -*13729 FILLER_181_197 -*13730 FILLER_181_208 -*13731 FILLER_181_217 -*13732 FILLER_181_223 -*13733 FILLER_181_225 -*13734 FILLER_181_236 -*13735 FILLER_181_248 -*13736 FILLER_181_27 -*13737 FILLER_181_272 -*13738 FILLER_181_281 -*13739 FILLER_181_3 -*13740 FILLER_181_303 -*13741 FILLER_181_329 -*13742 FILLER_181_335 -*13743 FILLER_181_337 -*13744 FILLER_181_345 -*13745 FILLER_181_354 -*13746 FILLER_181_366 -*13747 FILLER_181_39 -*13748 FILLER_181_390 -*13749 FILLER_181_393 -*13750 FILLER_181_399 -*13751 FILLER_181_409 -*13752 FILLER_181_419 -*13753 FILLER_181_423 -*13754 FILLER_181_445 -*13755 FILLER_181_449 -*13756 FILLER_181_459 -*13757 FILLER_181_471 -*13758 FILLER_181_483 -*13759 FILLER_181_496 -*13760 FILLER_181_505 -*13761 FILLER_181_529 -*13762 FILLER_181_54 -*13763 FILLER_181_543 -*13764 FILLER_181_555 -*13765 FILLER_181_559 -*13766 FILLER_181_561 -*13767 FILLER_181_569 -*13768 FILLER_181_57 -*13769 FILLER_181_593 -*13770 FILLER_181_606 -*13771 FILLER_181_614 -*13772 FILLER_181_617 -*13773 FILLER_181_627 -*13774 FILLER_181_634 -*13775 FILLER_181_661 -*13776 FILLER_181_669 -*13777 FILLER_181_673 -*13778 FILLER_181_683 -*13779 FILLER_181_69 -*13780 FILLER_181_700 -*13781 FILLER_181_712 -*13782 FILLER_181_724 -*13783 FILLER_181_729 -*13784 FILLER_181_741 -*13785 FILLER_181_753 -*13786 FILLER_181_775 -*13787 FILLER_181_81 -*13788 FILLER_181_85 -*13789 FILLER_181_91 -*13790 FILLER_181_95 -*13791 FILLER_182_106 -*13792 FILLER_182_117 -*13793 FILLER_182_134 -*13794 FILLER_182_14 -*13795 FILLER_182_141 -*13796 FILLER_182_150 -*13797 FILLER_182_158 -*13798 FILLER_182_164 -*13799 FILLER_182_176 -*13800 FILLER_182_188 -*13801 FILLER_182_197 -*13802 FILLER_182_209 -*13803 FILLER_182_222 -*13804 FILLER_182_237 -*13805 FILLER_182_249 -*13806 FILLER_182_253 -*13807 FILLER_182_26 -*13808 FILLER_182_279 -*13809 FILLER_182_285 -*13810 FILLER_182_29 -*13811 FILLER_182_3 -*13812 FILLER_182_306 -*13813 FILLER_182_309 -*13814 FILLER_182_322 -*13815 FILLER_182_352 -*13816 FILLER_182_365 -*13817 FILLER_182_379 -*13818 FILLER_182_391 -*13819 FILLER_182_41 -*13820 FILLER_182_418 -*13821 FILLER_182_421 -*13822 FILLER_182_444 -*13823 FILLER_182_448 -*13824 FILLER_182_458 -*13825 FILLER_182_464 -*13826 FILLER_182_474 -*13827 FILLER_182_477 -*13828 FILLER_182_487 -*13829 FILLER_182_499 -*13830 FILLER_182_503 -*13831 FILLER_182_527 -*13832 FILLER_182_53 -*13833 FILLER_182_531 -*13834 FILLER_182_533 -*13835 FILLER_182_541 -*13836 FILLER_182_566 -*13837 FILLER_182_581 -*13838 FILLER_182_587 -*13839 FILLER_182_589 -*13840 FILLER_182_599 -*13841 FILLER_182_603 -*13842 FILLER_182_627 -*13843 FILLER_182_639 -*13844 FILLER_182_643 -*13845 FILLER_182_645 -*13846 FILLER_182_65 -*13847 FILLER_182_655 -*13848 FILLER_182_659 -*13849 FILLER_182_681 -*13850 FILLER_182_693 -*13851 FILLER_182_699 -*13852 FILLER_182_701 -*13853 FILLER_182_711 -*13854 FILLER_182_721 -*13855 FILLER_182_733 -*13856 FILLER_182_753 -*13857 FILLER_182_757 -*13858 FILLER_182_769 -*13859 FILLER_182_773 -*13860 FILLER_182_79 -*13861 FILLER_182_83 -*13862 FILLER_182_85 -*13863 FILLER_182_96 -*13864 FILLER_183_100 -*13865 FILLER_183_113 -*13866 FILLER_183_130 -*13867 FILLER_183_137 -*13868 FILLER_183_149 -*13869 FILLER_183_15 -*13870 FILLER_183_155 -*13871 FILLER_183_167 -*13872 FILLER_183_169 -*13873 FILLER_183_177 -*13874 FILLER_183_189 -*13875 FILLER_183_201 -*13876 FILLER_183_213 -*13877 FILLER_183_221 -*13878 FILLER_183_225 -*13879 FILLER_183_23 -*13880 FILLER_183_245 -*13881 FILLER_183_269 -*13882 FILLER_183_277 -*13883 FILLER_183_281 -*13884 FILLER_183_285 -*13885 FILLER_183_295 -*13886 FILLER_183_3 -*13887 FILLER_183_32 -*13888 FILLER_183_321 -*13889 FILLER_183_325 -*13890 FILLER_183_334 -*13891 FILLER_183_337 -*13892 FILLER_183_348 -*13893 FILLER_183_360 -*13894 FILLER_183_364 -*13895 FILLER_183_374 -*13896 FILLER_183_387 -*13897 FILLER_183_391 -*13898 FILLER_183_393 -*13899 FILLER_183_40 -*13900 FILLER_183_416 -*13901 FILLER_183_428 -*13902 FILLER_183_440 -*13903 FILLER_183_449 -*13904 FILLER_183_475 -*13905 FILLER_183_500 -*13906 FILLER_183_505 -*13907 FILLER_183_509 -*13908 FILLER_183_519 -*13909 FILLER_183_531 -*13910 FILLER_183_535 -*13911 FILLER_183_54 -*13912 FILLER_183_545 -*13913 FILLER_183_558 -*13914 FILLER_183_561 -*13915 FILLER_183_57 -*13916 FILLER_183_571 -*13917 FILLER_183_583 -*13918 FILLER_183_595 -*13919 FILLER_183_603 -*13920 FILLER_183_614 -*13921 FILLER_183_617 -*13922 FILLER_183_622 -*13923 FILLER_183_649 -*13924 FILLER_183_670 -*13925 FILLER_183_673 -*13926 FILLER_183_683 -*13927 FILLER_183_69 -*13928 FILLER_183_695 -*13929 FILLER_183_707 -*13930 FILLER_183_719 -*13931 FILLER_183_727 -*13932 FILLER_183_729 -*13933 FILLER_183_740 -*13934 FILLER_183_744 -*13935 FILLER_183_765 -*13936 FILLER_183_775 -*13937 FILLER_183_79 -*13938 FILLER_183_87 -*13939 FILLER_183_91 -*13940 FILLER_184_105 -*13941 FILLER_184_112 -*13942 FILLER_184_124 -*13943 FILLER_184_136 -*13944 FILLER_184_141 -*13945 FILLER_184_15 -*13946 FILLER_184_153 -*13947 FILLER_184_165 -*13948 FILLER_184_177 -*13949 FILLER_184_184 -*13950 FILLER_184_194 -*13951 FILLER_184_197 -*13952 FILLER_184_201 -*13953 FILLER_184_207 -*13954 FILLER_184_219 -*13955 FILLER_184_237 -*13956 FILLER_184_241 -*13957 FILLER_184_25 -*13958 FILLER_184_250 -*13959 FILLER_184_253 -*13960 FILLER_184_264 -*13961 FILLER_184_277 -*13962 FILLER_184_29 -*13963 FILLER_184_292 -*13964 FILLER_184_296 -*13965 FILLER_184_3 -*13966 FILLER_184_306 -*13967 FILLER_184_309 -*13968 FILLER_184_320 -*13969 FILLER_184_332 -*13970 FILLER_184_340 -*13971 FILLER_184_352 -*13972 FILLER_184_365 -*13973 FILLER_184_38 -*13974 FILLER_184_390 -*13975 FILLER_184_398 -*13976 FILLER_184_409 -*13977 FILLER_184_417 -*13978 FILLER_184_421 -*13979 FILLER_184_431 -*13980 FILLER_184_443 -*13981 FILLER_184_455 -*13982 FILLER_184_46 -*13983 FILLER_184_464 -*13984 FILLER_184_474 -*13985 FILLER_184_477 -*13986 FILLER_184_481 -*13987 FILLER_184_491 -*13988 FILLER_184_504 -*13989 FILLER_184_516 -*13990 FILLER_184_528 -*13991 FILLER_184_533 -*13992 FILLER_184_54 -*13993 FILLER_184_558 -*13994 FILLER_184_571 -*13995 FILLER_184_586 -*13996 FILLER_184_589 -*13997 FILLER_184_599 -*13998 FILLER_184_605 -*13999 FILLER_184_629 -*14000 FILLER_184_642 -*14001 FILLER_184_645 -*14002 FILLER_184_66 -*14003 FILLER_184_667 -*14004 FILLER_184_679 -*14005 FILLER_184_691 -*14006 FILLER_184_699 -*14007 FILLER_184_701 -*14008 FILLER_184_713 -*14009 FILLER_184_725 -*14010 FILLER_184_739 -*14011 FILLER_184_743 -*14012 FILLER_184_75 -*14013 FILLER_184_754 -*14014 FILLER_184_757 -*14015 FILLER_184_775 -*14016 FILLER_184_83 -*14017 FILLER_184_85 -*14018 FILLER_184_96 -*14019 FILLER_185_100 -*14020 FILLER_185_107 -*14021 FILLER_185_111 -*14022 FILLER_185_113 -*14023 FILLER_185_118 -*14024 FILLER_185_130 -*14025 FILLER_185_142 -*14026 FILLER_185_15 -*14027 FILLER_185_150 -*14028 FILLER_185_162 -*14029 FILLER_185_169 -*14030 FILLER_185_177 -*14031 FILLER_185_185 -*14032 FILLER_185_194 -*14033 FILLER_185_208 -*14034 FILLER_185_220 -*14035 FILLER_185_225 -*14036 FILLER_185_23 -*14037 FILLER_185_237 -*14038 FILLER_185_257 -*14039 FILLER_185_270 -*14040 FILLER_185_278 -*14041 FILLER_185_281 -*14042 FILLER_185_29 -*14043 FILLER_185_295 -*14044 FILLER_185_3 -*14045 FILLER_185_307 -*14046 FILLER_185_319 -*14047 FILLER_185_331 -*14048 FILLER_185_335 -*14049 FILLER_185_337 -*14050 FILLER_185_347 -*14051 FILLER_185_351 -*14052 FILLER_185_360 -*14053 FILLER_185_372 -*14054 FILLER_185_382 -*14055 FILLER_185_390 -*14056 FILLER_185_393 -*14057 FILLER_185_405 -*14058 FILLER_185_417 -*14059 FILLER_185_422 -*14060 FILLER_185_434 -*14061 FILLER_185_446 -*14062 FILLER_185_449 -*14063 FILLER_185_459 -*14064 FILLER_185_471 -*14065 FILLER_185_477 -*14066 FILLER_185_49 -*14067 FILLER_185_501 -*14068 FILLER_185_505 -*14069 FILLER_185_527 -*14070 FILLER_185_539 -*14071 FILLER_185_55 -*14072 FILLER_185_551 -*14073 FILLER_185_559 -*14074 FILLER_185_561 -*14075 FILLER_185_57 -*14076 FILLER_185_586 -*14077 FILLER_185_613 -*14078 FILLER_185_617 -*14079 FILLER_185_627 -*14080 FILLER_185_639 -*14081 FILLER_185_65 -*14082 FILLER_185_651 -*14083 FILLER_185_663 -*14084 FILLER_185_670 -*14085 FILLER_185_673 -*14086 FILLER_185_683 -*14087 FILLER_185_695 -*14088 FILLER_185_703 -*14089 FILLER_185_711 -*14090 FILLER_185_717 -*14091 FILLER_185_726 -*14092 FILLER_185_729 -*14093 FILLER_185_73 -*14094 FILLER_185_736 -*14095 FILLER_185_748 -*14096 FILLER_185_754 -*14097 FILLER_185_77 -*14098 FILLER_185_775 -*14099 FILLER_185_84 -*14100 FILLER_185_91 -*14101 FILLER_186_103 -*14102 FILLER_186_108 -*14103 FILLER_186_115 -*14104 FILLER_186_123 -*14105 FILLER_186_135 -*14106 FILLER_186_139 -*14107 FILLER_186_141 -*14108 FILLER_186_153 -*14109 FILLER_186_161 -*14110 FILLER_186_172 -*14111 FILLER_186_180 -*14112 FILLER_186_185 -*14113 FILLER_186_193 -*14114 FILLER_186_197 -*14115 FILLER_186_203 -*14116 FILLER_186_21 -*14117 FILLER_186_211 -*14118 FILLER_186_223 -*14119 FILLER_186_242 -*14120 FILLER_186_250 -*14121 FILLER_186_253 -*14122 FILLER_186_261 -*14123 FILLER_186_27 -*14124 FILLER_186_273 -*14125 FILLER_186_285 -*14126 FILLER_186_29 -*14127 FILLER_186_298 -*14128 FILLER_186_3 -*14129 FILLER_186_306 -*14130 FILLER_186_309 -*14131 FILLER_186_317 -*14132 FILLER_186_327 -*14133 FILLER_186_339 -*14134 FILLER_186_351 -*14135 FILLER_186_362 -*14136 FILLER_186_365 -*14137 FILLER_186_375 -*14138 FILLER_186_387 -*14139 FILLER_186_399 -*14140 FILLER_186_41 -*14141 FILLER_186_411 -*14142 FILLER_186_419 -*14143 FILLER_186_421 -*14144 FILLER_186_432 -*14145 FILLER_186_444 -*14146 FILLER_186_456 -*14147 FILLER_186_468 -*14148 FILLER_186_477 -*14149 FILLER_186_482 -*14150 FILLER_186_488 -*14151 FILLER_186_509 -*14152 FILLER_186_52 -*14153 FILLER_186_522 -*14154 FILLER_186_530 -*14155 FILLER_186_533 -*14156 FILLER_186_544 -*14157 FILLER_186_556 -*14158 FILLER_186_568 -*14159 FILLER_186_580 -*14160 FILLER_186_589 -*14161 FILLER_186_59 -*14162 FILLER_186_599 -*14163 FILLER_186_607 -*14164 FILLER_186_618 -*14165 FILLER_186_630 -*14166 FILLER_186_642 -*14167 FILLER_186_645 -*14168 FILLER_186_655 -*14169 FILLER_186_66 -*14170 FILLER_186_667 -*14171 FILLER_186_679 -*14172 FILLER_186_691 -*14173 FILLER_186_699 -*14174 FILLER_186_701 -*14175 FILLER_186_707 -*14176 FILLER_186_716 -*14177 FILLER_186_728 -*14178 FILLER_186_73 -*14179 FILLER_186_732 -*14180 FILLER_186_741 -*14181 FILLER_186_753 -*14182 FILLER_186_757 -*14183 FILLER_186_775 -*14184 FILLER_186_82 -*14185 FILLER_186_85 -*14186 FILLER_186_9 -*14187 FILLER_186_91 -*14188 FILLER_186_97 -*14189 FILLER_187_103 -*14190 FILLER_187_110 -*14191 FILLER_187_113 -*14192 FILLER_187_124 -*14193 FILLER_187_131 -*14194 FILLER_187_143 -*14195 FILLER_187_15 -*14196 FILLER_187_152 -*14197 FILLER_187_160 -*14198 FILLER_187_166 -*14199 FILLER_187_169 -*14200 FILLER_187_181 -*14201 FILLER_187_193 -*14202 FILLER_187_199 -*14203 FILLER_187_206 -*14204 FILLER_187_214 -*14205 FILLER_187_219 -*14206 FILLER_187_223 -*14207 FILLER_187_225 -*14208 FILLER_187_234 -*14209 FILLER_187_262 -*14210 FILLER_187_27 -*14211 FILLER_187_278 -*14212 FILLER_187_281 -*14213 FILLER_187_289 -*14214 FILLER_187_3 -*14215 FILLER_187_300 -*14216 FILLER_187_307 -*14217 FILLER_187_322 -*14218 FILLER_187_334 -*14219 FILLER_187_337 -*14220 FILLER_187_341 -*14221 FILLER_187_363 -*14222 FILLER_187_375 -*14223 FILLER_187_387 -*14224 FILLER_187_39 -*14225 FILLER_187_391 -*14226 FILLER_187_393 -*14227 FILLER_187_405 -*14228 FILLER_187_415 -*14229 FILLER_187_427 -*14230 FILLER_187_435 -*14231 FILLER_187_446 -*14232 FILLER_187_449 -*14233 FILLER_187_471 -*14234 FILLER_187_495 -*14235 FILLER_187_503 -*14236 FILLER_187_505 -*14237 FILLER_187_516 -*14238 FILLER_187_529 -*14239 FILLER_187_54 -*14240 FILLER_187_542 -*14241 FILLER_187_555 -*14242 FILLER_187_559 -*14243 FILLER_187_561 -*14244 FILLER_187_57 -*14245 FILLER_187_571 -*14246 FILLER_187_595 -*14247 FILLER_187_599 -*14248 FILLER_187_609 -*14249 FILLER_187_615 -*14250 FILLER_187_617 -*14251 FILLER_187_621 -*14252 FILLER_187_63 -*14253 FILLER_187_630 -*14254 FILLER_187_642 -*14255 FILLER_187_654 -*14256 FILLER_187_666 -*14257 FILLER_187_673 -*14258 FILLER_187_683 -*14259 FILLER_187_695 -*14260 FILLER_187_70 -*14261 FILLER_187_711 -*14262 FILLER_187_717 -*14263 FILLER_187_726 -*14264 FILLER_187_729 -*14265 FILLER_187_735 -*14266 FILLER_187_741 -*14267 FILLER_187_762 -*14268 FILLER_187_775 -*14269 FILLER_187_84 -*14270 FILLER_187_94 -*14271 FILLER_188_111 -*14272 FILLER_188_131 -*14273 FILLER_188_138 -*14274 FILLER_188_141 -*14275 FILLER_188_148 -*14276 FILLER_188_15 -*14277 FILLER_188_157 -*14278 FILLER_188_168 -*14279 FILLER_188_180 -*14280 FILLER_188_185 -*14281 FILLER_188_193 -*14282 FILLER_188_197 -*14283 FILLER_188_209 -*14284 FILLER_188_214 -*14285 FILLER_188_222 -*14286 FILLER_188_23 -*14287 FILLER_188_236 -*14288 FILLER_188_250 -*14289 FILLER_188_253 -*14290 FILLER_188_27 -*14291 FILLER_188_277 -*14292 FILLER_188_29 -*14293 FILLER_188_3 -*14294 FILLER_188_301 -*14295 FILLER_188_307 -*14296 FILLER_188_309 -*14297 FILLER_188_313 -*14298 FILLER_188_322 -*14299 FILLER_188_334 -*14300 FILLER_188_344 -*14301 FILLER_188_358 -*14302 FILLER_188_365 -*14303 FILLER_188_377 -*14304 FILLER_188_388 -*14305 FILLER_188_39 -*14306 FILLER_188_396 -*14307 FILLER_188_418 -*14308 FILLER_188_421 -*14309 FILLER_188_429 -*14310 FILLER_188_451 -*14311 FILLER_188_464 -*14312 FILLER_188_474 -*14313 FILLER_188_477 -*14314 FILLER_188_488 -*14315 FILLER_188_492 -*14316 FILLER_188_497 -*14317 FILLER_188_517 -*14318 FILLER_188_52 -*14319 FILLER_188_530 -*14320 FILLER_188_533 -*14321 FILLER_188_537 -*14322 FILLER_188_558 -*14323 FILLER_188_575 -*14324 FILLER_188_583 -*14325 FILLER_188_587 -*14326 FILLER_188_589 -*14327 FILLER_188_61 -*14328 FILLER_188_612 -*14329 FILLER_188_618 -*14330 FILLER_188_628 -*14331 FILLER_188_632 -*14332 FILLER_188_642 -*14333 FILLER_188_645 -*14334 FILLER_188_667 -*14335 FILLER_188_683 -*14336 FILLER_188_695 -*14337 FILLER_188_699 -*14338 FILLER_188_701 -*14339 FILLER_188_711 -*14340 FILLER_188_723 -*14341 FILLER_188_731 -*14342 FILLER_188_741 -*14343 FILLER_188_754 -*14344 FILLER_188_757 -*14345 FILLER_188_775 -*14346 FILLER_188_81 -*14347 FILLER_188_85 -*14348 FILLER_188_95 -*14349 FILLER_189_110 -*14350 FILLER_189_113 -*14351 FILLER_189_122 -*14352 FILLER_189_136 -*14353 FILLER_189_145 -*14354 FILLER_189_151 -*14355 FILLER_189_157 -*14356 FILLER_189_166 -*14357 FILLER_189_169 -*14358 FILLER_189_179 -*14359 FILLER_189_191 -*14360 FILLER_189_197 -*14361 FILLER_189_209 -*14362 FILLER_189_21 -*14363 FILLER_189_221 -*14364 FILLER_189_225 -*14365 FILLER_189_236 -*14366 FILLER_189_249 -*14367 FILLER_189_27 -*14368 FILLER_189_273 -*14369 FILLER_189_279 -*14370 FILLER_189_281 -*14371 FILLER_189_290 -*14372 FILLER_189_3 -*14373 FILLER_189_303 -*14374 FILLER_189_315 -*14375 FILLER_189_327 -*14376 FILLER_189_335 -*14377 FILLER_189_337 -*14378 FILLER_189_345 -*14379 FILLER_189_357 -*14380 FILLER_189_369 -*14381 FILLER_189_37 -*14382 FILLER_189_390 -*14383 FILLER_189_393 -*14384 FILLER_189_401 -*14385 FILLER_189_412 -*14386 FILLER_189_424 -*14387 FILLER_189_435 -*14388 FILLER_189_443 -*14389 FILLER_189_447 -*14390 FILLER_189_449 -*14391 FILLER_189_471 -*14392 FILLER_189_484 -*14393 FILLER_189_497 -*14394 FILLER_189_503 -*14395 FILLER_189_505 -*14396 FILLER_189_527 -*14397 FILLER_189_53 -*14398 FILLER_189_551 -*14399 FILLER_189_558 -*14400 FILLER_189_561 -*14401 FILLER_189_57 -*14402 FILLER_189_583 -*14403 FILLER_189_596 -*14404 FILLER_189_608 -*14405 FILLER_189_617 -*14406 FILLER_189_639 -*14407 FILLER_189_663 -*14408 FILLER_189_670 -*14409 FILLER_189_673 -*14410 FILLER_189_683 -*14411 FILLER_189_69 -*14412 FILLER_189_695 -*14413 FILLER_189_707 -*14414 FILLER_189_719 -*14415 FILLER_189_726 -*14416 FILLER_189_729 -*14417 FILLER_189_737 -*14418 FILLER_189_741 -*14419 FILLER_189_751 -*14420 FILLER_189_775 -*14421 FILLER_189_82 -*14422 FILLER_189_98 -*14423 FILLER_18_112 -*14424 FILLER_18_126 -*14425 FILLER_18_138 -*14426 FILLER_18_141 -*14427 FILLER_18_145 -*14428 FILLER_18_154 -*14429 FILLER_18_166 -*14430 FILLER_18_178 -*14431 FILLER_18_191 -*14432 FILLER_18_195 -*14433 FILLER_18_197 -*14434 FILLER_18_208 -*14435 FILLER_18_21 -*14436 FILLER_18_235 -*14437 FILLER_18_248 -*14438 FILLER_18_253 -*14439 FILLER_18_259 -*14440 FILLER_18_269 -*14441 FILLER_18_27 -*14442 FILLER_18_281 -*14443 FILLER_18_29 -*14444 FILLER_18_293 -*14445 FILLER_18_297 -*14446 FILLER_18_3 -*14447 FILLER_18_306 -*14448 FILLER_18_315 -*14449 FILLER_18_319 -*14450 FILLER_18_329 -*14451 FILLER_18_340 -*14452 FILLER_18_352 -*14453 FILLER_18_356 -*14454 FILLER_18_362 -*14455 FILLER_18_365 -*14456 FILLER_18_381 -*14457 FILLER_18_406 -*14458 FILLER_18_41 -*14459 FILLER_18_418 -*14460 FILLER_18_421 -*14461 FILLER_18_428 -*14462 FILLER_18_440 -*14463 FILLER_18_444 -*14464 FILLER_18_451 -*14465 FILLER_18_463 -*14466 FILLER_18_475 -*14467 FILLER_18_477 -*14468 FILLER_18_481 -*14469 FILLER_18_490 -*14470 FILLER_18_502 -*14471 FILLER_18_514 -*14472 FILLER_18_522 -*14473 FILLER_18_53 -*14474 FILLER_18_530 -*14475 FILLER_18_533 -*14476 FILLER_18_539 -*14477 FILLER_18_549 -*14478 FILLER_18_561 -*14479 FILLER_18_586 -*14480 FILLER_18_589 -*14481 FILLER_18_596 -*14482 FILLER_18_621 -*14483 FILLER_18_631 -*14484 FILLER_18_640 -*14485 FILLER_18_645 -*14486 FILLER_18_649 -*14487 FILLER_18_65 -*14488 FILLER_18_652 -*14489 FILLER_18_661 -*14490 FILLER_18_669 -*14491 FILLER_18_680 -*14492 FILLER_18_684 -*14493 FILLER_18_693 -*14494 FILLER_18_699 -*14495 FILLER_18_701 -*14496 FILLER_18_726 -*14497 FILLER_18_753 -*14498 FILLER_18_757 -*14499 FILLER_18_769 -*14500 FILLER_18_77 -*14501 FILLER_18_775 -*14502 FILLER_18_83 -*14503 FILLER_18_85 -*14504 FILLER_18_97 -*14505 FILLER_190_107 -*14506 FILLER_190_115 -*14507 FILLER_190_122 -*14508 FILLER_190_135 -*14509 FILLER_190_139 -*14510 FILLER_190_141 -*14511 FILLER_190_152 -*14512 FILLER_190_163 -*14513 FILLER_190_17 -*14514 FILLER_190_176 -*14515 FILLER_190_187 -*14516 FILLER_190_194 -*14517 FILLER_190_197 -*14518 FILLER_190_204 -*14519 FILLER_190_211 -*14520 FILLER_190_231 -*14521 FILLER_190_239 -*14522 FILLER_190_250 -*14523 FILLER_190_253 -*14524 FILLER_190_257 -*14525 FILLER_190_26 -*14526 FILLER_190_261 -*14527 FILLER_190_273 -*14528 FILLER_190_285 -*14529 FILLER_190_29 -*14530 FILLER_190_297 -*14531 FILLER_190_3 -*14532 FILLER_190_306 -*14533 FILLER_190_309 -*14534 FILLER_190_319 -*14535 FILLER_190_330 -*14536 FILLER_190_337 -*14537 FILLER_190_349 -*14538 FILLER_190_361 -*14539 FILLER_190_365 -*14540 FILLER_190_394 -*14541 FILLER_190_40 -*14542 FILLER_190_406 -*14543 FILLER_190_418 -*14544 FILLER_190_421 -*14545 FILLER_190_444 -*14546 FILLER_190_468 -*14547 FILLER_190_477 -*14548 FILLER_190_482 -*14549 FILLER_190_506 -*14550 FILLER_190_512 -*14551 FILLER_190_517 -*14552 FILLER_190_53 -*14553 FILLER_190_530 -*14554 FILLER_190_533 -*14555 FILLER_190_544 -*14556 FILLER_190_557 -*14557 FILLER_190_57 -*14558 FILLER_190_571 -*14559 FILLER_190_583 -*14560 FILLER_190_587 -*14561 FILLER_190_589 -*14562 FILLER_190_599 -*14563 FILLER_190_611 -*14564 FILLER_190_623 -*14565 FILLER_190_63 -*14566 FILLER_190_635 -*14567 FILLER_190_642 -*14568 FILLER_190_645 -*14569 FILLER_190_656 -*14570 FILLER_190_680 -*14571 FILLER_190_692 -*14572 FILLER_190_701 -*14573 FILLER_190_705 -*14574 FILLER_190_717 -*14575 FILLER_190_729 -*14576 FILLER_190_737 -*14577 FILLER_190_754 -*14578 FILLER_190_757 -*14579 FILLER_190_775 -*14580 FILLER_190_8 -*14581 FILLER_190_81 -*14582 FILLER_190_85 -*14583 FILLER_190_93 -*14584 FILLER_191_110 -*14585 FILLER_191_113 -*14586 FILLER_191_119 -*14587 FILLER_191_132 -*14588 FILLER_191_150 -*14589 FILLER_191_159 -*14590 FILLER_191_166 -*14591 FILLER_191_169 -*14592 FILLER_191_17 -*14593 FILLER_191_175 -*14594 FILLER_191_181 -*14595 FILLER_191_192 -*14596 FILLER_191_204 -*14597 FILLER_191_208 -*14598 FILLER_191_215 -*14599 FILLER_191_222 -*14600 FILLER_191_225 -*14601 FILLER_191_23 -*14602 FILLER_191_237 -*14603 FILLER_191_249 -*14604 FILLER_191_265 -*14605 FILLER_191_269 -*14606 FILLER_191_278 -*14607 FILLER_191_281 -*14608 FILLER_191_291 -*14609 FILLER_191_3 -*14610 FILLER_191_305 -*14611 FILLER_191_317 -*14612 FILLER_191_328 -*14613 FILLER_191_337 -*14614 FILLER_191_349 -*14615 FILLER_191_361 -*14616 FILLER_191_373 -*14617 FILLER_191_377 -*14618 FILLER_191_386 -*14619 FILLER_191_39 -*14620 FILLER_191_393 -*14621 FILLER_191_408 -*14622 FILLER_191_420 -*14623 FILLER_191_433 -*14624 FILLER_191_446 -*14625 FILLER_191_449 -*14626 FILLER_191_461 -*14627 FILLER_191_487 -*14628 FILLER_191_501 -*14629 FILLER_191_505 -*14630 FILLER_191_52 -*14631 FILLER_191_523 -*14632 FILLER_191_543 -*14633 FILLER_191_547 -*14634 FILLER_191_557 -*14635 FILLER_191_561 -*14636 FILLER_191_57 -*14637 FILLER_191_579 -*14638 FILLER_191_599 -*14639 FILLER_191_611 -*14640 FILLER_191_615 -*14641 FILLER_191_617 -*14642 FILLER_191_635 -*14643 FILLER_191_639 -*14644 FILLER_191_656 -*14645 FILLER_191_668 -*14646 FILLER_191_67 -*14647 FILLER_191_673 -*14648 FILLER_191_692 -*14649 FILLER_191_716 -*14650 FILLER_191_724 -*14651 FILLER_191_729 -*14652 FILLER_191_747 -*14653 FILLER_191_767 -*14654 FILLER_191_774 -*14655 FILLER_191_78 -*14656 FILLER_191_86 -*14657 FILLER_191_9 -*14658 FILLER_191_97 -*14659 FILLER_192_102 -*14660 FILLER_192_111 -*14661 FILLER_192_12 -*14662 FILLER_192_123 -*14663 FILLER_192_129 -*14664 FILLER_192_136 -*14665 FILLER_192_141 -*14666 FILLER_192_153 -*14667 FILLER_192_161 -*14668 FILLER_192_169 -*14669 FILLER_192_173 -*14670 FILLER_192_180 -*14671 FILLER_192_187 -*14672 FILLER_192_194 -*14673 FILLER_192_197 -*14674 FILLER_192_208 -*14675 FILLER_192_215 -*14676 FILLER_192_222 -*14677 FILLER_192_226 -*14678 FILLER_192_231 -*14679 FILLER_192_238 -*14680 FILLER_192_249 -*14681 FILLER_192_25 -*14682 FILLER_192_253 -*14683 FILLER_192_263 -*14684 FILLER_192_277 -*14685 FILLER_192_285 -*14686 FILLER_192_29 -*14687 FILLER_192_293 -*14688 FILLER_192_3 -*14689 FILLER_192_305 -*14690 FILLER_192_309 -*14691 FILLER_192_318 -*14692 FILLER_192_325 -*14693 FILLER_192_332 -*14694 FILLER_192_343 -*14695 FILLER_192_355 -*14696 FILLER_192_361 -*14697 FILLER_192_365 -*14698 FILLER_192_369 -*14699 FILLER_192_373 -*14700 FILLER_192_385 -*14701 FILLER_192_390 -*14702 FILLER_192_397 -*14703 FILLER_192_401 -*14704 FILLER_192_406 -*14705 FILLER_192_418 -*14706 FILLER_192_421 -*14707 FILLER_192_425 -*14708 FILLER_192_434 -*14709 FILLER_192_446 -*14710 FILLER_192_464 -*14711 FILLER_192_472 -*14712 FILLER_192_477 -*14713 FILLER_192_49 -*14714 FILLER_192_495 -*14715 FILLER_192_515 -*14716 FILLER_192_528 -*14717 FILLER_192_533 -*14718 FILLER_192_551 -*14719 FILLER_192_571 -*14720 FILLER_192_583 -*14721 FILLER_192_587 -*14722 FILLER_192_589 -*14723 FILLER_192_607 -*14724 FILLER_192_62 -*14725 FILLER_192_627 -*14726 FILLER_192_639 -*14727 FILLER_192_643 -*14728 FILLER_192_645 -*14729 FILLER_192_663 -*14730 FILLER_192_683 -*14731 FILLER_192_697 -*14732 FILLER_192_7 -*14733 FILLER_192_701 -*14734 FILLER_192_71 -*14735 FILLER_192_719 -*14736 FILLER_192_739 -*14737 FILLER_192_743 -*14738 FILLER_192_754 -*14739 FILLER_192_757 -*14740 FILLER_192_775 -*14741 FILLER_192_81 -*14742 FILLER_192_85 -*14743 FILLER_192_91 -*14744 FILLER_193_105 -*14745 FILLER_193_111 -*14746 FILLER_193_113 -*14747 FILLER_193_119 -*14748 FILLER_193_126 -*14749 FILLER_193_136 -*14750 FILLER_193_141 -*14751 FILLER_193_147 -*14752 FILLER_193_158 -*14753 FILLER_193_162 -*14754 FILLER_193_166 -*14755 FILLER_193_169 -*14756 FILLER_193_180 -*14757 FILLER_193_187 -*14758 FILLER_193_194 -*14759 FILLER_193_197 -*14760 FILLER_193_208 -*14761 FILLER_193_215 -*14762 FILLER_193_222 -*14763 FILLER_193_225 -*14764 FILLER_193_231 -*14765 FILLER_193_238 -*14766 FILLER_193_249 -*14767 FILLER_193_253 -*14768 FILLER_193_259 -*14769 FILLER_193_26 -*14770 FILLER_193_263 -*14771 FILLER_193_271 -*14772 FILLER_193_278 -*14773 FILLER_193_281 -*14774 FILLER_193_29 -*14775 FILLER_193_290 -*14776 FILLER_193_298 -*14777 FILLER_193_3 -*14778 FILLER_193_306 -*14779 FILLER_193_309 -*14780 FILLER_193_320 -*14781 FILLER_193_327 -*14782 FILLER_193_334 -*14783 FILLER_193_337 -*14784 FILLER_193_348 -*14785 FILLER_193_355 -*14786 FILLER_193_362 -*14787 FILLER_193_365 -*14788 FILLER_193_376 -*14789 FILLER_193_383 -*14790 FILLER_193_390 -*14791 FILLER_193_393 -*14792 FILLER_193_399 -*14793 FILLER_193_403 -*14794 FILLER_193_411 -*14795 FILLER_193_418 -*14796 FILLER_193_421 -*14797 FILLER_193_433 -*14798 FILLER_193_437 -*14799 FILLER_193_446 -*14800 FILLER_193_449 -*14801 FILLER_193_454 -*14802 FILLER_193_474 -*14803 FILLER_193_477 -*14804 FILLER_193_495 -*14805 FILLER_193_502 -*14806 FILLER_193_505 -*14807 FILLER_193_51 -*14808 FILLER_193_523 -*14809 FILLER_193_530 -*14810 FILLER_193_533 -*14811 FILLER_193_55 -*14812 FILLER_193_551 -*14813 FILLER_193_558 -*14814 FILLER_193_561 -*14815 FILLER_193_57 -*14816 FILLER_193_579 -*14817 FILLER_193_586 -*14818 FILLER_193_589 -*14819 FILLER_193_607 -*14820 FILLER_193_614 -*14821 FILLER_193_617 -*14822 FILLER_193_635 -*14823 FILLER_193_642 -*14824 FILLER_193_645 -*14825 FILLER_193_663 -*14826 FILLER_193_670 -*14827 FILLER_193_673 -*14828 FILLER_193_68 -*14829 FILLER_193_691 -*14830 FILLER_193_698 -*14831 FILLER_193_701 -*14832 FILLER_193_719 -*14833 FILLER_193_726 -*14834 FILLER_193_729 -*14835 FILLER_193_747 -*14836 FILLER_193_754 -*14837 FILLER_193_757 -*14838 FILLER_193_775 -*14839 FILLER_193_78 -*14840 FILLER_193_85 -*14841 FILLER_193_9 -*14842 FILLER_193_96 -*14843 FILLER_19_108 -*14844 FILLER_19_113 -*14845 FILLER_19_124 -*14846 FILLER_19_15 -*14847 FILLER_19_151 -*14848 FILLER_19_157 -*14849 FILLER_19_166 -*14850 FILLER_19_169 -*14851 FILLER_19_176 -*14852 FILLER_19_188 -*14853 FILLER_19_215 -*14854 FILLER_19_223 -*14855 FILLER_19_225 -*14856 FILLER_19_234 -*14857 FILLER_19_261 -*14858 FILLER_19_267 -*14859 FILLER_19_27 -*14860 FILLER_19_277 -*14861 FILLER_19_281 -*14862 FILLER_19_287 -*14863 FILLER_19_296 -*14864 FILLER_19_3 -*14865 FILLER_19_300 -*14866 FILLER_19_310 -*14867 FILLER_19_318 -*14868 FILLER_19_328 -*14869 FILLER_19_337 -*14870 FILLER_19_347 -*14871 FILLER_19_355 -*14872 FILLER_19_376 -*14873 FILLER_19_39 -*14874 FILLER_19_390 -*14875 FILLER_19_393 -*14876 FILLER_19_404 -*14877 FILLER_19_416 -*14878 FILLER_19_422 -*14879 FILLER_19_430 -*14880 FILLER_19_442 -*14881 FILLER_19_449 -*14882 FILLER_19_461 -*14883 FILLER_19_477 -*14884 FILLER_19_489 -*14885 FILLER_19_501 -*14886 FILLER_19_505 -*14887 FILLER_19_51 -*14888 FILLER_19_517 -*14889 FILLER_19_521 -*14890 FILLER_19_529 -*14891 FILLER_19_541 -*14892 FILLER_19_55 -*14893 FILLER_19_553 -*14894 FILLER_19_559 -*14895 FILLER_19_561 -*14896 FILLER_19_57 -*14897 FILLER_19_572 -*14898 FILLER_19_585 -*14899 FILLER_19_592 -*14900 FILLER_19_604 -*14901 FILLER_19_614 -*14902 FILLER_19_617 -*14903 FILLER_19_627 -*14904 FILLER_19_639 -*14905 FILLER_19_651 -*14906 FILLER_19_663 -*14907 FILLER_19_671 -*14908 FILLER_19_673 -*14909 FILLER_19_69 -*14910 FILLER_19_696 -*14911 FILLER_19_713 -*14912 FILLER_19_725 -*14913 FILLER_19_729 -*14914 FILLER_19_733 -*14915 FILLER_19_736 -*14916 FILLER_19_747 -*14917 FILLER_19_775 -*14918 FILLER_19_81 -*14919 FILLER_19_93 -*14920 FILLER_1_10 -*14921 FILLER_1_110 -*14922 FILLER_1_113 -*14923 FILLER_1_126 -*14924 FILLER_1_146 -*14925 FILLER_1_166 -*14926 FILLER_1_169 -*14927 FILLER_1_193 -*14928 FILLER_1_218 -*14929 FILLER_1_22 -*14930 FILLER_1_225 -*14931 FILLER_1_231 -*14932 FILLER_1_253 -*14933 FILLER_1_278 -*14934 FILLER_1_281 -*14935 FILLER_1_294 -*14936 FILLER_1_3 -*14937 FILLER_1_319 -*14938 FILLER_1_334 -*14939 FILLER_1_337 -*14940 FILLER_1_34 -*14941 FILLER_1_341 -*14942 FILLER_1_358 -*14943 FILLER_1_383 -*14944 FILLER_1_391 -*14945 FILLER_1_393 -*14946 FILLER_1_411 -*14947 FILLER_1_421 -*14948 FILLER_1_446 -*14949 FILLER_1_449 -*14950 FILLER_1_474 -*14951 FILLER_1_494 -*14952 FILLER_1_498 -*14953 FILLER_1_502 -*14954 FILLER_1_505 -*14955 FILLER_1_519 -*14956 FILLER_1_54 -*14957 FILLER_1_546 -*14958 FILLER_1_558 -*14959 FILLER_1_561 -*14960 FILLER_1_571 -*14961 FILLER_1_577 -*14962 FILLER_1_599 -*14963 FILLER_1_611 -*14964 FILLER_1_615 -*14965 FILLER_1_617 -*14966 FILLER_1_646 -*14967 FILLER_1_658 -*14968 FILLER_1_670 -*14969 FILLER_1_673 -*14970 FILLER_1_679 -*14971 FILLER_1_683 -*14972 FILLER_1_707 -*14973 FILLER_1_715 -*14974 FILLER_1_722 -*14975 FILLER_1_729 -*14976 FILLER_1_73 -*14977 FILLER_1_734 -*14978 FILLER_1_738 -*14979 FILLER_1_742 -*14980 FILLER_1_759 -*14981 FILLER_1_771 -*14982 FILLER_1_90 -*14983 FILLER_20_129 -*14984 FILLER_20_137 -*14985 FILLER_20_141 -*14986 FILLER_20_15 -*14987 FILLER_20_152 -*14988 FILLER_20_158 -*14989 FILLER_20_167 -*14990 FILLER_20_194 -*14991 FILLER_20_197 -*14992 FILLER_20_210 -*14993 FILLER_20_225 -*14994 FILLER_20_250 -*14995 FILLER_20_253 -*14996 FILLER_20_27 -*14997 FILLER_20_279 -*14998 FILLER_20_29 -*14999 FILLER_20_291 -*15000 FILLER_20_3 -*15001 FILLER_20_303 -*15002 FILLER_20_307 -*15003 FILLER_20_309 -*15004 FILLER_20_313 -*15005 FILLER_20_322 -*15006 FILLER_20_328 -*15007 FILLER_20_337 -*15008 FILLER_20_349 -*15009 FILLER_20_362 -*15010 FILLER_20_365 -*15011 FILLER_20_377 -*15012 FILLER_20_406 -*15013 FILLER_20_41 -*15014 FILLER_20_418 -*15015 FILLER_20_421 -*15016 FILLER_20_431 -*15017 FILLER_20_443 -*15018 FILLER_20_455 -*15019 FILLER_20_467 -*15020 FILLER_20_475 -*15021 FILLER_20_477 -*15022 FILLER_20_500 -*15023 FILLER_20_511 -*15024 FILLER_20_523 -*15025 FILLER_20_53 -*15026 FILLER_20_530 -*15027 FILLER_20_533 -*15028 FILLER_20_537 -*15029 FILLER_20_558 -*15030 FILLER_20_570 -*15031 FILLER_20_582 -*15032 FILLER_20_589 -*15033 FILLER_20_594 -*15034 FILLER_20_598 -*15035 FILLER_20_619 -*15036 FILLER_20_626 -*15037 FILLER_20_641 -*15038 FILLER_20_645 -*15039 FILLER_20_65 -*15040 FILLER_20_657 -*15041 FILLER_20_661 -*15042 FILLER_20_673 -*15043 FILLER_20_687 -*15044 FILLER_20_699 -*15045 FILLER_20_701 -*15046 FILLER_20_713 -*15047 FILLER_20_725 -*15048 FILLER_20_731 -*15049 FILLER_20_740 -*15050 FILLER_20_754 -*15051 FILLER_20_757 -*15052 FILLER_20_765 -*15053 FILLER_20_77 -*15054 FILLER_20_775 -*15055 FILLER_20_83 -*15056 FILLER_20_85 -*15057 FILLER_20_97 -*15058 FILLER_21_101 -*15059 FILLER_21_110 -*15060 FILLER_21_113 -*15061 FILLER_21_117 -*15062 FILLER_21_126 -*15063 FILLER_21_153 -*15064 FILLER_21_165 -*15065 FILLER_21_169 -*15066 FILLER_21_178 -*15067 FILLER_21_191 -*15068 FILLER_21_195 -*15069 FILLER_21_21 -*15070 FILLER_21_219 -*15071 FILLER_21_223 -*15072 FILLER_21_225 -*15073 FILLER_21_239 -*15074 FILLER_21_252 -*15075 FILLER_21_256 -*15076 FILLER_21_278 -*15077 FILLER_21_281 -*15078 FILLER_21_291 -*15079 FILLER_21_299 -*15080 FILLER_21_3 -*15081 FILLER_21_308 -*15082 FILLER_21_320 -*15083 FILLER_21_33 -*15084 FILLER_21_332 -*15085 FILLER_21_337 -*15086 FILLER_21_346 -*15087 FILLER_21_358 -*15088 FILLER_21_382 -*15089 FILLER_21_389 -*15090 FILLER_21_393 -*15091 FILLER_21_404 -*15092 FILLER_21_408 -*15093 FILLER_21_432 -*15094 FILLER_21_444 -*15095 FILLER_21_449 -*15096 FILLER_21_45 -*15097 FILLER_21_460 -*15098 FILLER_21_488 -*15099 FILLER_21_500 -*15100 FILLER_21_505 -*15101 FILLER_21_509 -*15102 FILLER_21_53 -*15103 FILLER_21_530 -*15104 FILLER_21_534 -*15105 FILLER_21_555 -*15106 FILLER_21_559 -*15107 FILLER_21_561 -*15108 FILLER_21_567 -*15109 FILLER_21_57 -*15110 FILLER_21_592 -*15111 FILLER_21_604 -*15112 FILLER_21_614 -*15113 FILLER_21_617 -*15114 FILLER_21_623 -*15115 FILLER_21_640 -*15116 FILLER_21_652 -*15117 FILLER_21_664 -*15118 FILLER_21_673 -*15119 FILLER_21_685 -*15120 FILLER_21_69 -*15121 FILLER_21_697 -*15122 FILLER_21_710 -*15123 FILLER_21_721 -*15124 FILLER_21_727 -*15125 FILLER_21_737 -*15126 FILLER_21_741 -*15127 FILLER_21_748 -*15128 FILLER_21_760 -*15129 FILLER_21_766 -*15130 FILLER_21_775 -*15131 FILLER_21_81 -*15132 FILLER_21_93 -*15133 FILLER_22_109 -*15134 FILLER_22_123 -*15135 FILLER_22_138 -*15136 FILLER_22_141 -*15137 FILLER_22_149 -*15138 FILLER_22_15 -*15139 FILLER_22_173 -*15140 FILLER_22_185 -*15141 FILLER_22_194 -*15142 FILLER_22_197 -*15143 FILLER_22_207 -*15144 FILLER_22_219 -*15145 FILLER_22_225 -*15146 FILLER_22_234 -*15147 FILLER_22_247 -*15148 FILLER_22_251 -*15149 FILLER_22_253 -*15150 FILLER_22_261 -*15151 FILLER_22_27 -*15152 FILLER_22_274 -*15153 FILLER_22_286 -*15154 FILLER_22_29 -*15155 FILLER_22_3 -*15156 FILLER_22_300 -*15157 FILLER_22_309 -*15158 FILLER_22_319 -*15159 FILLER_22_323 -*15160 FILLER_22_331 -*15161 FILLER_22_337 -*15162 FILLER_22_341 -*15163 FILLER_22_348 -*15164 FILLER_22_361 -*15165 FILLER_22_365 -*15166 FILLER_22_379 -*15167 FILLER_22_391 -*15168 FILLER_22_403 -*15169 FILLER_22_41 -*15170 FILLER_22_416 -*15171 FILLER_22_421 -*15172 FILLER_22_444 -*15173 FILLER_22_453 -*15174 FILLER_22_465 -*15175 FILLER_22_473 -*15176 FILLER_22_477 -*15177 FILLER_22_481 -*15178 FILLER_22_491 -*15179 FILLER_22_495 -*15180 FILLER_22_510 -*15181 FILLER_22_518 -*15182 FILLER_22_529 -*15183 FILLER_22_53 -*15184 FILLER_22_533 -*15185 FILLER_22_543 -*15186 FILLER_22_550 -*15187 FILLER_22_577 -*15188 FILLER_22_586 -*15189 FILLER_22_589 -*15190 FILLER_22_599 -*15191 FILLER_22_607 -*15192 FILLER_22_617 -*15193 FILLER_22_628 -*15194 FILLER_22_632 -*15195 FILLER_22_640 -*15196 FILLER_22_645 -*15197 FILLER_22_65 -*15198 FILLER_22_657 -*15199 FILLER_22_669 -*15200 FILLER_22_681 -*15201 FILLER_22_693 -*15202 FILLER_22_699 -*15203 FILLER_22_701 -*15204 FILLER_22_713 -*15205 FILLER_22_725 -*15206 FILLER_22_740 -*15207 FILLER_22_752 -*15208 FILLER_22_757 -*15209 FILLER_22_77 -*15210 FILLER_22_775 -*15211 FILLER_22_83 -*15212 FILLER_22_85 -*15213 FILLER_22_97 -*15214 FILLER_23_107 -*15215 FILLER_23_111 -*15216 FILLER_23_113 -*15217 FILLER_23_127 -*15218 FILLER_23_133 -*15219 FILLER_23_142 -*15220 FILLER_23_15 -*15221 FILLER_23_166 -*15222 FILLER_23_169 -*15223 FILLER_23_180 -*15224 FILLER_23_192 -*15225 FILLER_23_200 -*15226 FILLER_23_210 -*15227 FILLER_23_222 -*15228 FILLER_23_225 -*15229 FILLER_23_235 -*15230 FILLER_23_249 -*15231 FILLER_23_261 -*15232 FILLER_23_27 -*15233 FILLER_23_276 -*15234 FILLER_23_281 -*15235 FILLER_23_297 -*15236 FILLER_23_3 -*15237 FILLER_23_309 -*15238 FILLER_23_318 -*15239 FILLER_23_322 -*15240 FILLER_23_332 -*15241 FILLER_23_337 -*15242 FILLER_23_349 -*15243 FILLER_23_367 -*15244 FILLER_23_378 -*15245 FILLER_23_39 -*15246 FILLER_23_390 -*15247 FILLER_23_393 -*15248 FILLER_23_399 -*15249 FILLER_23_409 -*15250 FILLER_23_429 -*15251 FILLER_23_442 -*15252 FILLER_23_449 -*15253 FILLER_23_461 -*15254 FILLER_23_469 -*15255 FILLER_23_481 -*15256 FILLER_23_493 -*15257 FILLER_23_501 -*15258 FILLER_23_505 -*15259 FILLER_23_51 -*15260 FILLER_23_511 -*15261 FILLER_23_520 -*15262 FILLER_23_532 -*15263 FILLER_23_536 -*15264 FILLER_23_544 -*15265 FILLER_23_55 -*15266 FILLER_23_555 -*15267 FILLER_23_559 -*15268 FILLER_23_561 -*15269 FILLER_23_57 -*15270 FILLER_23_573 -*15271 FILLER_23_585 -*15272 FILLER_23_597 -*15273 FILLER_23_609 -*15274 FILLER_23_615 -*15275 FILLER_23_617 -*15276 FILLER_23_623 -*15277 FILLER_23_633 -*15278 FILLER_23_646 -*15279 FILLER_23_658 -*15280 FILLER_23_670 -*15281 FILLER_23_673 -*15282 FILLER_23_685 -*15283 FILLER_23_69 -*15284 FILLER_23_697 -*15285 FILLER_23_709 -*15286 FILLER_23_721 -*15287 FILLER_23_727 -*15288 FILLER_23_729 -*15289 FILLER_23_741 -*15290 FILLER_23_762 -*15291 FILLER_23_774 -*15292 FILLER_23_81 -*15293 FILLER_23_95 -*15294 FILLER_24_126 -*15295 FILLER_24_138 -*15296 FILLER_24_141 -*15297 FILLER_24_15 -*15298 FILLER_24_155 -*15299 FILLER_24_168 -*15300 FILLER_24_182 -*15301 FILLER_24_193 -*15302 FILLER_24_197 -*15303 FILLER_24_205 -*15304 FILLER_24_216 -*15305 FILLER_24_228 -*15306 FILLER_24_234 -*15307 FILLER_24_243 -*15308 FILLER_24_251 -*15309 FILLER_24_253 -*15310 FILLER_24_261 -*15311 FILLER_24_27 -*15312 FILLER_24_271 -*15313 FILLER_24_279 -*15314 FILLER_24_289 -*15315 FILLER_24_29 -*15316 FILLER_24_293 -*15317 FILLER_24_3 -*15318 FILLER_24_303 -*15319 FILLER_24_307 -*15320 FILLER_24_309 -*15321 FILLER_24_318 -*15322 FILLER_24_330 -*15323 FILLER_24_342 -*15324 FILLER_24_350 -*15325 FILLER_24_354 -*15326 FILLER_24_362 -*15327 FILLER_24_365 -*15328 FILLER_24_379 -*15329 FILLER_24_391 -*15330 FILLER_24_403 -*15331 FILLER_24_41 -*15332 FILLER_24_418 -*15333 FILLER_24_421 -*15334 FILLER_24_429 -*15335 FILLER_24_439 -*15336 FILLER_24_451 -*15337 FILLER_24_463 -*15338 FILLER_24_475 -*15339 FILLER_24_477 -*15340 FILLER_24_487 -*15341 FILLER_24_499 -*15342 FILLER_24_511 -*15343 FILLER_24_522 -*15344 FILLER_24_53 -*15345 FILLER_24_530 -*15346 FILLER_24_533 -*15347 FILLER_24_550 -*15348 FILLER_24_561 -*15349 FILLER_24_565 -*15350 FILLER_24_575 -*15351 FILLER_24_586 -*15352 FILLER_24_589 -*15353 FILLER_24_600 -*15354 FILLER_24_617 -*15355 FILLER_24_625 -*15356 FILLER_24_642 -*15357 FILLER_24_645 -*15358 FILLER_24_65 -*15359 FILLER_24_655 -*15360 FILLER_24_667 -*15361 FILLER_24_679 -*15362 FILLER_24_691 -*15363 FILLER_24_699 -*15364 FILLER_24_701 -*15365 FILLER_24_713 -*15366 FILLER_24_746 -*15367 FILLER_24_754 -*15368 FILLER_24_757 -*15369 FILLER_24_77 -*15370 FILLER_24_772 -*15371 FILLER_24_776 -*15372 FILLER_24_83 -*15373 FILLER_24_85 -*15374 FILLER_24_97 -*15375 FILLER_25_100 -*15376 FILLER_25_110 -*15377 FILLER_25_113 -*15378 FILLER_25_146 -*15379 FILLER_25_15 -*15380 FILLER_25_159 -*15381 FILLER_25_167 -*15382 FILLER_25_169 -*15383 FILLER_25_179 -*15384 FILLER_25_191 -*15385 FILLER_25_206 -*15386 FILLER_25_218 -*15387 FILLER_25_225 -*15388 FILLER_25_233 -*15389 FILLER_25_250 -*15390 FILLER_25_258 -*15391 FILLER_25_269 -*15392 FILLER_25_27 -*15393 FILLER_25_278 -*15394 FILLER_25_281 -*15395 FILLER_25_291 -*15396 FILLER_25_295 -*15397 FILLER_25_3 -*15398 FILLER_25_304 -*15399 FILLER_25_316 -*15400 FILLER_25_328 -*15401 FILLER_25_337 -*15402 FILLER_25_349 -*15403 FILLER_25_358 -*15404 FILLER_25_369 -*15405 FILLER_25_382 -*15406 FILLER_25_39 -*15407 FILLER_25_390 -*15408 FILLER_25_393 -*15409 FILLER_25_408 -*15410 FILLER_25_424 -*15411 FILLER_25_436 -*15412 FILLER_25_449 -*15413 FILLER_25_459 -*15414 FILLER_25_471 -*15415 FILLER_25_483 -*15416 FILLER_25_491 -*15417 FILLER_25_502 -*15418 FILLER_25_505 -*15419 FILLER_25_51 -*15420 FILLER_25_530 -*15421 FILLER_25_55 -*15422 FILLER_25_558 -*15423 FILLER_25_561 -*15424 FILLER_25_57 -*15425 FILLER_25_572 -*15426 FILLER_25_584 -*15427 FILLER_25_608 -*15428 FILLER_25_617 -*15429 FILLER_25_626 -*15430 FILLER_25_630 -*15431 FILLER_25_654 -*15432 FILLER_25_667 -*15433 FILLER_25_671 -*15434 FILLER_25_673 -*15435 FILLER_25_685 -*15436 FILLER_25_69 -*15437 FILLER_25_697 -*15438 FILLER_25_709 -*15439 FILLER_25_721 -*15440 FILLER_25_727 -*15441 FILLER_25_729 -*15442 FILLER_25_745 -*15443 FILLER_25_749 -*15444 FILLER_25_770 -*15445 FILLER_25_776 -*15446 FILLER_25_80 -*15447 FILLER_25_92 -*15448 FILLER_26_107 -*15449 FILLER_26_115 -*15450 FILLER_26_138 -*15451 FILLER_26_141 -*15452 FILLER_26_149 -*15453 FILLER_26_15 -*15454 FILLER_26_158 -*15455 FILLER_26_172 -*15456 FILLER_26_184 -*15457 FILLER_26_197 -*15458 FILLER_26_206 -*15459 FILLER_26_210 -*15460 FILLER_26_222 -*15461 FILLER_26_234 -*15462 FILLER_26_246 -*15463 FILLER_26_253 -*15464 FILLER_26_262 -*15465 FILLER_26_268 -*15466 FILLER_26_27 -*15467 FILLER_26_276 -*15468 FILLER_26_287 -*15469 FILLER_26_29 -*15470 FILLER_26_3 -*15471 FILLER_26_302 -*15472 FILLER_26_309 -*15473 FILLER_26_321 -*15474 FILLER_26_333 -*15475 FILLER_26_348 -*15476 FILLER_26_362 -*15477 FILLER_26_365 -*15478 FILLER_26_390 -*15479 FILLER_26_41 -*15480 FILLER_26_418 -*15481 FILLER_26_421 -*15482 FILLER_26_425 -*15483 FILLER_26_442 -*15484 FILLER_26_454 -*15485 FILLER_26_466 -*15486 FILLER_26_474 -*15487 FILLER_26_477 -*15488 FILLER_26_499 -*15489 FILLER_26_523 -*15490 FILLER_26_53 -*15491 FILLER_26_531 -*15492 FILLER_26_533 -*15493 FILLER_26_544 -*15494 FILLER_26_556 -*15495 FILLER_26_564 -*15496 FILLER_26_578 -*15497 FILLER_26_586 -*15498 FILLER_26_589 -*15499 FILLER_26_602 -*15500 FILLER_26_615 -*15501 FILLER_26_626 -*15502 FILLER_26_642 -*15503 FILLER_26_645 -*15504 FILLER_26_65 -*15505 FILLER_26_656 -*15506 FILLER_26_672 -*15507 FILLER_26_676 -*15508 FILLER_26_684 -*15509 FILLER_26_688 -*15510 FILLER_26_701 -*15511 FILLER_26_713 -*15512 FILLER_26_721 -*15513 FILLER_26_73 -*15514 FILLER_26_731 -*15515 FILLER_26_751 -*15516 FILLER_26_755 -*15517 FILLER_26_757 -*15518 FILLER_26_768 -*15519 FILLER_26_776 -*15520 FILLER_26_82 -*15521 FILLER_26_85 -*15522 FILLER_27_107 -*15523 FILLER_27_111 -*15524 FILLER_27_113 -*15525 FILLER_27_126 -*15526 FILLER_27_139 -*15527 FILLER_27_15 -*15528 FILLER_27_151 -*15529 FILLER_27_157 -*15530 FILLER_27_166 -*15531 FILLER_27_169 -*15532 FILLER_27_177 -*15533 FILLER_27_188 -*15534 FILLER_27_200 -*15535 FILLER_27_212 -*15536 FILLER_27_225 -*15537 FILLER_27_237 -*15538 FILLER_27_249 -*15539 FILLER_27_261 -*15540 FILLER_27_27 -*15541 FILLER_27_273 -*15542 FILLER_27_279 -*15543 FILLER_27_281 -*15544 FILLER_27_290 -*15545 FILLER_27_3 -*15546 FILLER_27_302 -*15547 FILLER_27_315 -*15548 FILLER_27_327 -*15549 FILLER_27_335 -*15550 FILLER_27_337 -*15551 FILLER_27_357 -*15552 FILLER_27_39 -*15553 FILLER_27_390 -*15554 FILLER_27_393 -*15555 FILLER_27_397 -*15556 FILLER_27_406 -*15557 FILLER_27_431 -*15558 FILLER_27_437 -*15559 FILLER_27_446 -*15560 FILLER_27_449 -*15561 FILLER_27_461 -*15562 FILLER_27_485 -*15563 FILLER_27_497 -*15564 FILLER_27_503 -*15565 FILLER_27_505 -*15566 FILLER_27_51 -*15567 FILLER_27_513 -*15568 FILLER_27_537 -*15569 FILLER_27_541 -*15570 FILLER_27_545 -*15571 FILLER_27_55 -*15572 FILLER_27_558 -*15573 FILLER_27_561 -*15574 FILLER_27_57 -*15575 FILLER_27_589 -*15576 FILLER_27_605 -*15577 FILLER_27_613 -*15578 FILLER_27_617 -*15579 FILLER_27_621 -*15580 FILLER_27_638 -*15581 FILLER_27_656 -*15582 FILLER_27_660 -*15583 FILLER_27_669 -*15584 FILLER_27_673 -*15585 FILLER_27_685 -*15586 FILLER_27_69 -*15587 FILLER_27_697 -*15588 FILLER_27_701 -*15589 FILLER_27_709 -*15590 FILLER_27_721 -*15591 FILLER_27_727 -*15592 FILLER_27_729 -*15593 FILLER_27_73 -*15594 FILLER_27_737 -*15595 FILLER_27_748 -*15596 FILLER_27_775 -*15597 FILLER_27_83 -*15598 FILLER_28_104 -*15599 FILLER_28_126 -*15600 FILLER_28_130 -*15601 FILLER_28_138 -*15602 FILLER_28_141 -*15603 FILLER_28_15 -*15604 FILLER_28_151 -*15605 FILLER_28_163 -*15606 FILLER_28_175 -*15607 FILLER_28_187 -*15608 FILLER_28_195 -*15609 FILLER_28_197 -*15610 FILLER_28_209 -*15611 FILLER_28_221 -*15612 FILLER_28_233 -*15613 FILLER_28_245 -*15614 FILLER_28_251 -*15615 FILLER_28_253 -*15616 FILLER_28_265 -*15617 FILLER_28_27 -*15618 FILLER_28_277 -*15619 FILLER_28_289 -*15620 FILLER_28_29 -*15621 FILLER_28_3 -*15622 FILLER_28_301 -*15623 FILLER_28_307 -*15624 FILLER_28_309 -*15625 FILLER_28_320 -*15626 FILLER_28_331 -*15627 FILLER_28_343 -*15628 FILLER_28_355 -*15629 FILLER_28_363 -*15630 FILLER_28_365 -*15631 FILLER_28_377 -*15632 FILLER_28_388 -*15633 FILLER_28_400 -*15634 FILLER_28_41 -*15635 FILLER_28_410 -*15636 FILLER_28_418 -*15637 FILLER_28_421 -*15638 FILLER_28_432 -*15639 FILLER_28_444 -*15640 FILLER_28_456 -*15641 FILLER_28_468 -*15642 FILLER_28_477 -*15643 FILLER_28_488 -*15644 FILLER_28_500 -*15645 FILLER_28_512 -*15646 FILLER_28_524 -*15647 FILLER_28_53 -*15648 FILLER_28_533 -*15649 FILLER_28_543 -*15650 FILLER_28_556 -*15651 FILLER_28_560 -*15652 FILLER_28_566 -*15653 FILLER_28_586 -*15654 FILLER_28_589 -*15655 FILLER_28_607 -*15656 FILLER_28_622 -*15657 FILLER_28_635 -*15658 FILLER_28_643 -*15659 FILLER_28_645 -*15660 FILLER_28_65 -*15661 FILLER_28_656 -*15662 FILLER_28_668 -*15663 FILLER_28_680 -*15664 FILLER_28_692 -*15665 FILLER_28_701 -*15666 FILLER_28_713 -*15667 FILLER_28_725 -*15668 FILLER_28_73 -*15669 FILLER_28_741 -*15670 FILLER_28_754 -*15671 FILLER_28_757 -*15672 FILLER_28_775 -*15673 FILLER_28_82 -*15674 FILLER_28_85 -*15675 FILLER_28_93 -*15676 FILLER_29_105 -*15677 FILLER_29_111 -*15678 FILLER_29_113 -*15679 FILLER_29_135 -*15680 FILLER_29_141 -*15681 FILLER_29_15 -*15682 FILLER_29_150 -*15683 FILLER_29_162 -*15684 FILLER_29_169 -*15685 FILLER_29_181 -*15686 FILLER_29_193 -*15687 FILLER_29_201 -*15688 FILLER_29_211 -*15689 FILLER_29_223 -*15690 FILLER_29_225 -*15691 FILLER_29_229 -*15692 FILLER_29_238 -*15693 FILLER_29_250 -*15694 FILLER_29_262 -*15695 FILLER_29_27 -*15696 FILLER_29_274 -*15697 FILLER_29_281 -*15698 FILLER_29_293 -*15699 FILLER_29_3 -*15700 FILLER_29_300 -*15701 FILLER_29_312 -*15702 FILLER_29_324 -*15703 FILLER_29_334 -*15704 FILLER_29_337 -*15705 FILLER_29_350 -*15706 FILLER_29_362 -*15707 FILLER_29_372 -*15708 FILLER_29_384 -*15709 FILLER_29_39 -*15710 FILLER_29_393 -*15711 FILLER_29_405 -*15712 FILLER_29_417 -*15713 FILLER_29_427 -*15714 FILLER_29_439 -*15715 FILLER_29_447 -*15716 FILLER_29_449 -*15717 FILLER_29_471 -*15718 FILLER_29_483 -*15719 FILLER_29_487 -*15720 FILLER_29_496 -*15721 FILLER_29_505 -*15722 FILLER_29_51 -*15723 FILLER_29_518 -*15724 FILLER_29_526 -*15725 FILLER_29_535 -*15726 FILLER_29_548 -*15727 FILLER_29_55 -*15728 FILLER_29_558 -*15729 FILLER_29_561 -*15730 FILLER_29_57 -*15731 FILLER_29_574 -*15732 FILLER_29_582 -*15733 FILLER_29_599 -*15734 FILLER_29_612 -*15735 FILLER_29_617 -*15736 FILLER_29_635 -*15737 FILLER_29_645 -*15738 FILLER_29_65 -*15739 FILLER_29_657 -*15740 FILLER_29_669 -*15741 FILLER_29_673 -*15742 FILLER_29_685 -*15743 FILLER_29_697 -*15744 FILLER_29_709 -*15745 FILLER_29_721 -*15746 FILLER_29_727 -*15747 FILLER_29_729 -*15748 FILLER_29_737 -*15749 FILLER_29_748 -*15750 FILLER_29_75 -*15751 FILLER_29_761 -*15752 FILLER_29_774 -*15753 FILLER_29_87 -*15754 FILLER_29_95 -*15755 FILLER_2_101 -*15756 FILLER_2_118 -*15757 FILLER_2_138 -*15758 FILLER_2_14 -*15759 FILLER_2_141 -*15760 FILLER_2_166 -*15761 FILLER_2_193 -*15762 FILLER_2_197 -*15763 FILLER_2_201 -*15764 FILLER_2_223 -*15765 FILLER_2_250 -*15766 FILLER_2_253 -*15767 FILLER_2_26 -*15768 FILLER_2_280 -*15769 FILLER_2_29 -*15770 FILLER_2_3 -*15771 FILLER_2_305 -*15772 FILLER_2_309 -*15773 FILLER_2_332 -*15774 FILLER_2_359 -*15775 FILLER_2_363 -*15776 FILLER_2_365 -*15777 FILLER_2_371 -*15778 FILLER_2_39 -*15779 FILLER_2_393 -*15780 FILLER_2_418 -*15781 FILLER_2_421 -*15782 FILLER_2_444 -*15783 FILLER_2_452 -*15784 FILLER_2_474 -*15785 FILLER_2_477 -*15786 FILLER_2_490 -*15787 FILLER_2_51 -*15788 FILLER_2_517 -*15789 FILLER_2_530 -*15790 FILLER_2_533 -*15791 FILLER_2_543 -*15792 FILLER_2_567 -*15793 FILLER_2_583 -*15794 FILLER_2_587 -*15795 FILLER_2_589 -*15796 FILLER_2_594 -*15797 FILLER_2_598 -*15798 FILLER_2_62 -*15799 FILLER_2_620 -*15800 FILLER_2_632 -*15801 FILLER_2_642 -*15802 FILLER_2_645 -*15803 FILLER_2_655 -*15804 FILLER_2_663 -*15805 FILLER_2_67 -*15806 FILLER_2_673 -*15807 FILLER_2_680 -*15808 FILLER_2_697 -*15809 FILLER_2_701 -*15810 FILLER_2_71 -*15811 FILLER_2_711 -*15812 FILLER_2_718 -*15813 FILLER_2_725 -*15814 FILLER_2_732 -*15815 FILLER_2_739 -*15816 FILLER_2_75 -*15817 FILLER_2_751 -*15818 FILLER_2_755 -*15819 FILLER_2_757 -*15820 FILLER_2_775 -*15821 FILLER_2_82 -*15822 FILLER_2_85 -*15823 FILLER_2_89 -*15824 FILLER_2_93 -*15825 FILLER_2_97 -*15826 FILLER_30_106 -*15827 FILLER_30_114 -*15828 FILLER_30_125 -*15829 FILLER_30_137 -*15830 FILLER_30_141 -*15831 FILLER_30_151 -*15832 FILLER_30_163 -*15833 FILLER_30_175 -*15834 FILLER_30_187 -*15835 FILLER_30_195 -*15836 FILLER_30_197 -*15837 FILLER_30_20 -*15838 FILLER_30_209 -*15839 FILLER_30_221 -*15840 FILLER_30_233 -*15841 FILLER_30_245 -*15842 FILLER_30_251 -*15843 FILLER_30_253 -*15844 FILLER_30_265 -*15845 FILLER_30_277 -*15846 FILLER_30_289 -*15847 FILLER_30_29 -*15848 FILLER_30_3 -*15849 FILLER_30_301 -*15850 FILLER_30_307 -*15851 FILLER_30_309 -*15852 FILLER_30_321 -*15853 FILLER_30_333 -*15854 FILLER_30_345 -*15855 FILLER_30_349 -*15856 FILLER_30_360 -*15857 FILLER_30_365 -*15858 FILLER_30_377 -*15859 FILLER_30_389 -*15860 FILLER_30_401 -*15861 FILLER_30_41 -*15862 FILLER_30_413 -*15863 FILLER_30_419 -*15864 FILLER_30_421 -*15865 FILLER_30_433 -*15866 FILLER_30_445 -*15867 FILLER_30_451 -*15868 FILLER_30_461 -*15869 FILLER_30_473 -*15870 FILLER_30_477 -*15871 FILLER_30_489 -*15872 FILLER_30_500 -*15873 FILLER_30_506 -*15874 FILLER_30_53 -*15875 FILLER_30_530 -*15876 FILLER_30_533 -*15877 FILLER_30_545 -*15878 FILLER_30_559 -*15879 FILLER_30_565 -*15880 FILLER_30_575 -*15881 FILLER_30_586 -*15882 FILLER_30_589 -*15883 FILLER_30_607 -*15884 FILLER_30_623 -*15885 FILLER_30_636 -*15886 FILLER_30_645 -*15887 FILLER_30_65 -*15888 FILLER_30_652 -*15889 FILLER_30_664 -*15890 FILLER_30_676 -*15891 FILLER_30_684 -*15892 FILLER_30_693 -*15893 FILLER_30_699 -*15894 FILLER_30_701 -*15895 FILLER_30_713 -*15896 FILLER_30_724 -*15897 FILLER_30_73 -*15898 FILLER_30_736 -*15899 FILLER_30_744 -*15900 FILLER_30_754 -*15901 FILLER_30_757 -*15902 FILLER_30_775 -*15903 FILLER_30_8 -*15904 FILLER_30_82 -*15905 FILLER_30_85 -*15906 FILLER_30_95 -*15907 FILLER_31_103 -*15908 FILLER_31_111 -*15909 FILLER_31_113 -*15910 FILLER_31_121 -*15911 FILLER_31_132 -*15912 FILLER_31_144 -*15913 FILLER_31_15 -*15914 FILLER_31_156 -*15915 FILLER_31_169 -*15916 FILLER_31_179 -*15917 FILLER_31_191 -*15918 FILLER_31_203 -*15919 FILLER_31_215 -*15920 FILLER_31_223 -*15921 FILLER_31_225 -*15922 FILLER_31_237 -*15923 FILLER_31_249 -*15924 FILLER_31_261 -*15925 FILLER_31_27 -*15926 FILLER_31_275 -*15927 FILLER_31_279 -*15928 FILLER_31_281 -*15929 FILLER_31_293 -*15930 FILLER_31_3 -*15931 FILLER_31_305 -*15932 FILLER_31_317 -*15933 FILLER_31_329 -*15934 FILLER_31_335 -*15935 FILLER_31_337 -*15936 FILLER_31_349 -*15937 FILLER_31_361 -*15938 FILLER_31_369 -*15939 FILLER_31_379 -*15940 FILLER_31_39 -*15941 FILLER_31_391 -*15942 FILLER_31_393 -*15943 FILLER_31_404 -*15944 FILLER_31_416 -*15945 FILLER_31_424 -*15946 FILLER_31_436 -*15947 FILLER_31_449 -*15948 FILLER_31_461 -*15949 FILLER_31_473 -*15950 FILLER_31_502 -*15951 FILLER_31_505 -*15952 FILLER_31_51 -*15953 FILLER_31_511 -*15954 FILLER_31_522 -*15955 FILLER_31_534 -*15956 FILLER_31_549 -*15957 FILLER_31_55 -*15958 FILLER_31_558 -*15959 FILLER_31_561 -*15960 FILLER_31_57 -*15961 FILLER_31_572 -*15962 FILLER_31_584 -*15963 FILLER_31_601 -*15964 FILLER_31_614 -*15965 FILLER_31_617 -*15966 FILLER_31_635 -*15967 FILLER_31_648 -*15968 FILLER_31_661 -*15969 FILLER_31_669 -*15970 FILLER_31_673 -*15971 FILLER_31_685 -*15972 FILLER_31_69 -*15973 FILLER_31_697 -*15974 FILLER_31_709 -*15975 FILLER_31_717 -*15976 FILLER_31_726 -*15977 FILLER_31_729 -*15978 FILLER_31_739 -*15979 FILLER_31_751 -*15980 FILLER_31_775 -*15981 FILLER_31_78 -*15982 FILLER_31_90 -*15983 FILLER_32_107 -*15984 FILLER_32_113 -*15985 FILLER_32_135 -*15986 FILLER_32_139 -*15987 FILLER_32_141 -*15988 FILLER_32_15 -*15989 FILLER_32_153 -*15990 FILLER_32_165 -*15991 FILLER_32_177 -*15992 FILLER_32_189 -*15993 FILLER_32_195 -*15994 FILLER_32_197 -*15995 FILLER_32_209 -*15996 FILLER_32_221 -*15997 FILLER_32_229 -*15998 FILLER_32_239 -*15999 FILLER_32_251 -*16000 FILLER_32_253 -*16001 FILLER_32_265 -*16002 FILLER_32_27 -*16003 FILLER_32_287 -*16004 FILLER_32_29 -*16005 FILLER_32_299 -*16006 FILLER_32_3 -*16007 FILLER_32_307 -*16008 FILLER_32_309 -*16009 FILLER_32_321 -*16010 FILLER_32_333 -*16011 FILLER_32_345 -*16012 FILLER_32_357 -*16013 FILLER_32_363 -*16014 FILLER_32_365 -*16015 FILLER_32_377 -*16016 FILLER_32_396 -*16017 FILLER_32_408 -*16018 FILLER_32_41 -*16019 FILLER_32_421 -*16020 FILLER_32_433 -*16021 FILLER_32_445 -*16022 FILLER_32_457 -*16023 FILLER_32_469 -*16024 FILLER_32_475 -*16025 FILLER_32_477 -*16026 FILLER_32_497 -*16027 FILLER_32_509 -*16028 FILLER_32_521 -*16029 FILLER_32_529 -*16030 FILLER_32_53 -*16031 FILLER_32_533 -*16032 FILLER_32_541 -*16033 FILLER_32_556 -*16034 FILLER_32_569 -*16035 FILLER_32_582 -*16036 FILLER_32_589 -*16037 FILLER_32_611 -*16038 FILLER_32_622 -*16039 FILLER_32_642 -*16040 FILLER_32_645 -*16041 FILLER_32_65 -*16042 FILLER_32_657 -*16043 FILLER_32_669 -*16044 FILLER_32_677 -*16045 FILLER_32_689 -*16046 FILLER_32_697 -*16047 FILLER_32_701 -*16048 FILLER_32_713 -*16049 FILLER_32_717 -*16050 FILLER_32_726 -*16051 FILLER_32_73 -*16052 FILLER_32_754 -*16053 FILLER_32_757 -*16054 FILLER_32_774 -*16055 FILLER_32_82 -*16056 FILLER_32_85 -*16057 FILLER_33_102 -*16058 FILLER_33_110 -*16059 FILLER_33_113 -*16060 FILLER_33_136 -*16061 FILLER_33_151 -*16062 FILLER_33_163 -*16063 FILLER_33_167 -*16064 FILLER_33_169 -*16065 FILLER_33_181 -*16066 FILLER_33_193 -*16067 FILLER_33_20 -*16068 FILLER_33_202 -*16069 FILLER_33_214 -*16070 FILLER_33_222 -*16071 FILLER_33_225 -*16072 FILLER_33_237 -*16073 FILLER_33_258 -*16074 FILLER_33_266 -*16075 FILLER_33_277 -*16076 FILLER_33_281 -*16077 FILLER_33_289 -*16078 FILLER_33_298 -*16079 FILLER_33_3 -*16080 FILLER_33_310 -*16081 FILLER_33_32 -*16082 FILLER_33_323 -*16083 FILLER_33_335 -*16084 FILLER_33_337 -*16085 FILLER_33_349 -*16086 FILLER_33_361 -*16087 FILLER_33_373 -*16088 FILLER_33_385 -*16089 FILLER_33_391 -*16090 FILLER_33_393 -*16091 FILLER_33_401 -*16092 FILLER_33_423 -*16093 FILLER_33_436 -*16094 FILLER_33_44 -*16095 FILLER_33_449 -*16096 FILLER_33_459 -*16097 FILLER_33_465 -*16098 FILLER_33_468 -*16099 FILLER_33_478 -*16100 FILLER_33_482 -*16101 FILLER_33_494 -*16102 FILLER_33_502 -*16103 FILLER_33_505 -*16104 FILLER_33_513 -*16105 FILLER_33_535 -*16106 FILLER_33_550 -*16107 FILLER_33_558 -*16108 FILLER_33_561 -*16109 FILLER_33_565 -*16110 FILLER_33_57 -*16111 FILLER_33_575 -*16112 FILLER_33_591 -*16113 FILLER_33_611 -*16114 FILLER_33_615 -*16115 FILLER_33_617 -*16116 FILLER_33_635 -*16117 FILLER_33_647 -*16118 FILLER_33_659 -*16119 FILLER_33_663 -*16120 FILLER_33_670 -*16121 FILLER_33_673 -*16122 FILLER_33_685 -*16123 FILLER_33_69 -*16124 FILLER_33_691 -*16125 FILLER_33_712 -*16126 FILLER_33_716 -*16127 FILLER_33_726 -*16128 FILLER_33_729 -*16129 FILLER_33_741 -*16130 FILLER_33_765 -*16131 FILLER_33_78 -*16132 FILLER_33_8 -*16133 FILLER_34_126 -*16134 FILLER_34_138 -*16135 FILLER_34_141 -*16136 FILLER_34_15 -*16137 FILLER_34_152 -*16138 FILLER_34_164 -*16139 FILLER_34_172 -*16140 FILLER_34_184 -*16141 FILLER_34_197 -*16142 FILLER_34_203 -*16143 FILLER_34_211 -*16144 FILLER_34_223 -*16145 FILLER_34_227 -*16146 FILLER_34_235 -*16147 FILLER_34_250 -*16148 FILLER_34_253 -*16149 FILLER_34_257 -*16150 FILLER_34_263 -*16151 FILLER_34_27 -*16152 FILLER_34_275 -*16153 FILLER_34_287 -*16154 FILLER_34_29 -*16155 FILLER_34_299 -*16156 FILLER_34_3 -*16157 FILLER_34_307 -*16158 FILLER_34_309 -*16159 FILLER_34_320 -*16160 FILLER_34_329 -*16161 FILLER_34_345 -*16162 FILLER_34_357 -*16163 FILLER_34_363 -*16164 FILLER_34_365 -*16165 FILLER_34_375 -*16166 FILLER_34_399 -*16167 FILLER_34_41 -*16168 FILLER_34_412 -*16169 FILLER_34_421 -*16170 FILLER_34_431 -*16171 FILLER_34_443 -*16172 FILLER_34_455 -*16173 FILLER_34_467 -*16174 FILLER_34_475 -*16175 FILLER_34_477 -*16176 FILLER_34_489 -*16177 FILLER_34_495 -*16178 FILLER_34_519 -*16179 FILLER_34_53 -*16180 FILLER_34_530 -*16181 FILLER_34_533 -*16182 FILLER_34_543 -*16183 FILLER_34_547 -*16184 FILLER_34_564 -*16185 FILLER_34_576 -*16186 FILLER_34_589 -*16187 FILLER_34_607 -*16188 FILLER_34_627 -*16189 FILLER_34_640 -*16190 FILLER_34_645 -*16191 FILLER_34_65 -*16192 FILLER_34_657 -*16193 FILLER_34_669 -*16194 FILLER_34_681 -*16195 FILLER_34_693 -*16196 FILLER_34_699 -*16197 FILLER_34_701 -*16198 FILLER_34_712 -*16199 FILLER_34_73 -*16200 FILLER_34_737 -*16201 FILLER_34_743 -*16202 FILLER_34_753 -*16203 FILLER_34_757 -*16204 FILLER_34_775 -*16205 FILLER_34_82 -*16206 FILLER_34_85 -*16207 FILLER_34_89 -*16208 FILLER_34_99 -*16209 FILLER_35_107 -*16210 FILLER_35_111 -*16211 FILLER_35_113 -*16212 FILLER_35_121 -*16213 FILLER_35_132 -*16214 FILLER_35_144 -*16215 FILLER_35_15 -*16216 FILLER_35_156 -*16217 FILLER_35_169 -*16218 FILLER_35_179 -*16219 FILLER_35_191 -*16220 FILLER_35_203 -*16221 FILLER_35_215 -*16222 FILLER_35_223 -*16223 FILLER_35_225 -*16224 FILLER_35_237 -*16225 FILLER_35_241 -*16226 FILLER_35_247 -*16227 FILLER_35_259 -*16228 FILLER_35_267 -*16229 FILLER_35_27 -*16230 FILLER_35_276 -*16231 FILLER_35_281 -*16232 FILLER_35_293 -*16233 FILLER_35_3 -*16234 FILLER_35_301 -*16235 FILLER_35_313 -*16236 FILLER_35_325 -*16237 FILLER_35_333 -*16238 FILLER_35_337 -*16239 FILLER_35_349 -*16240 FILLER_35_353 -*16241 FILLER_35_362 -*16242 FILLER_35_374 -*16243 FILLER_35_386 -*16244 FILLER_35_39 -*16245 FILLER_35_393 -*16246 FILLER_35_405 -*16247 FILLER_35_417 -*16248 FILLER_35_421 -*16249 FILLER_35_430 -*16250 FILLER_35_434 -*16251 FILLER_35_443 -*16252 FILLER_35_447 -*16253 FILLER_35_449 -*16254 FILLER_35_460 -*16255 FILLER_35_472 -*16256 FILLER_35_484 -*16257 FILLER_35_492 -*16258 FILLER_35_502 -*16259 FILLER_35_505 -*16260 FILLER_35_51 -*16261 FILLER_35_527 -*16262 FILLER_35_539 -*16263 FILLER_35_55 -*16264 FILLER_35_556 -*16265 FILLER_35_561 -*16266 FILLER_35_57 -*16267 FILLER_35_573 -*16268 FILLER_35_581 -*16269 FILLER_35_601 -*16270 FILLER_35_61 -*16271 FILLER_35_614 -*16272 FILLER_35_617 -*16273 FILLER_35_631 -*16274 FILLER_35_643 -*16275 FILLER_35_655 -*16276 FILLER_35_667 -*16277 FILLER_35_671 -*16278 FILLER_35_673 -*16279 FILLER_35_685 -*16280 FILLER_35_705 -*16281 FILLER_35_71 -*16282 FILLER_35_717 -*16283 FILLER_35_725 -*16284 FILLER_35_729 -*16285 FILLER_35_739 -*16286 FILLER_35_751 -*16287 FILLER_35_775 -*16288 FILLER_35_83 -*16289 FILLER_35_92 -*16290 FILLER_35_96 -*16291 FILLER_36_100 -*16292 FILLER_36_112 -*16293 FILLER_36_125 -*16294 FILLER_36_137 -*16295 FILLER_36_141 -*16296 FILLER_36_153 -*16297 FILLER_36_165 -*16298 FILLER_36_177 -*16299 FILLER_36_185 -*16300 FILLER_36_194 -*16301 FILLER_36_197 -*16302 FILLER_36_209 -*16303 FILLER_36_21 -*16304 FILLER_36_221 -*16305 FILLER_36_233 -*16306 FILLER_36_245 -*16307 FILLER_36_251 -*16308 FILLER_36_253 -*16309 FILLER_36_265 -*16310 FILLER_36_27 -*16311 FILLER_36_277 -*16312 FILLER_36_289 -*16313 FILLER_36_29 -*16314 FILLER_36_3 -*16315 FILLER_36_301 -*16316 FILLER_36_307 -*16317 FILLER_36_309 -*16318 FILLER_36_321 -*16319 FILLER_36_333 -*16320 FILLER_36_345 -*16321 FILLER_36_357 -*16322 FILLER_36_363 -*16323 FILLER_36_365 -*16324 FILLER_36_371 -*16325 FILLER_36_380 -*16326 FILLER_36_392 -*16327 FILLER_36_404 -*16328 FILLER_36_41 -*16329 FILLER_36_416 -*16330 FILLER_36_421 -*16331 FILLER_36_433 -*16332 FILLER_36_462 -*16333 FILLER_36_474 -*16334 FILLER_36_477 -*16335 FILLER_36_491 -*16336 FILLER_36_503 -*16337 FILLER_36_516 -*16338 FILLER_36_529 -*16339 FILLER_36_53 -*16340 FILLER_36_533 -*16341 FILLER_36_543 -*16342 FILLER_36_555 -*16343 FILLER_36_561 -*16344 FILLER_36_571 -*16345 FILLER_36_586 -*16346 FILLER_36_589 -*16347 FILLER_36_607 -*16348 FILLER_36_627 -*16349 FILLER_36_640 -*16350 FILLER_36_645 -*16351 FILLER_36_65 -*16352 FILLER_36_657 -*16353 FILLER_36_669 -*16354 FILLER_36_681 -*16355 FILLER_36_693 -*16356 FILLER_36_699 -*16357 FILLER_36_701 -*16358 FILLER_36_709 -*16359 FILLER_36_720 -*16360 FILLER_36_726 -*16361 FILLER_36_73 -*16362 FILLER_36_735 -*16363 FILLER_36_747 -*16364 FILLER_36_754 -*16365 FILLER_36_757 -*16366 FILLER_36_775 -*16367 FILLER_36_82 -*16368 FILLER_36_85 -*16369 FILLER_36_91 -*16370 FILLER_37_110 -*16371 FILLER_37_113 -*16372 FILLER_37_124 -*16373 FILLER_37_136 -*16374 FILLER_37_147 -*16375 FILLER_37_15 -*16376 FILLER_37_153 -*16377 FILLER_37_161 -*16378 FILLER_37_167 -*16379 FILLER_37_169 -*16380 FILLER_37_177 -*16381 FILLER_37_189 -*16382 FILLER_37_201 -*16383 FILLER_37_213 -*16384 FILLER_37_221 -*16385 FILLER_37_225 -*16386 FILLER_37_237 -*16387 FILLER_37_249 -*16388 FILLER_37_261 -*16389 FILLER_37_27 -*16390 FILLER_37_273 -*16391 FILLER_37_279 -*16392 FILLER_37_281 -*16393 FILLER_37_293 -*16394 FILLER_37_3 -*16395 FILLER_37_305 -*16396 FILLER_37_317 -*16397 FILLER_37_329 -*16398 FILLER_37_335 -*16399 FILLER_37_337 -*16400 FILLER_37_349 -*16401 FILLER_37_362 -*16402 FILLER_37_374 -*16403 FILLER_37_386 -*16404 FILLER_37_39 -*16405 FILLER_37_393 -*16406 FILLER_37_405 -*16407 FILLER_37_417 -*16408 FILLER_37_434 -*16409 FILLER_37_446 -*16410 FILLER_37_449 -*16411 FILLER_37_457 -*16412 FILLER_37_482 -*16413 FILLER_37_494 -*16414 FILLER_37_502 -*16415 FILLER_37_505 -*16416 FILLER_37_51 -*16417 FILLER_37_517 -*16418 FILLER_37_529 -*16419 FILLER_37_541 -*16420 FILLER_37_55 -*16421 FILLER_37_551 -*16422 FILLER_37_559 -*16423 FILLER_37_561 -*16424 FILLER_37_57 -*16425 FILLER_37_573 -*16426 FILLER_37_579 -*16427 FILLER_37_589 -*16428 FILLER_37_609 -*16429 FILLER_37_615 -*16430 FILLER_37_617 -*16431 FILLER_37_636 -*16432 FILLER_37_644 -*16433 FILLER_37_653 -*16434 FILLER_37_665 -*16435 FILLER_37_671 -*16436 FILLER_37_673 -*16437 FILLER_37_681 -*16438 FILLER_37_69 -*16439 FILLER_37_693 -*16440 FILLER_37_701 -*16441 FILLER_37_710 -*16442 FILLER_37_726 -*16443 FILLER_37_729 -*16444 FILLER_37_737 -*16445 FILLER_37_748 -*16446 FILLER_37_774 -*16447 FILLER_37_85 -*16448 FILLER_38_118 -*16449 FILLER_38_131 -*16450 FILLER_38_139 -*16451 FILLER_38_141 -*16452 FILLER_38_15 -*16453 FILLER_38_151 -*16454 FILLER_38_163 -*16455 FILLER_38_175 -*16456 FILLER_38_184 -*16457 FILLER_38_197 -*16458 FILLER_38_209 -*16459 FILLER_38_221 -*16460 FILLER_38_231 -*16461 FILLER_38_239 -*16462 FILLER_38_248 -*16463 FILLER_38_253 -*16464 FILLER_38_257 -*16465 FILLER_38_265 -*16466 FILLER_38_27 -*16467 FILLER_38_277 -*16468 FILLER_38_289 -*16469 FILLER_38_29 -*16470 FILLER_38_3 -*16471 FILLER_38_301 -*16472 FILLER_38_307 -*16473 FILLER_38_309 -*16474 FILLER_38_321 -*16475 FILLER_38_333 -*16476 FILLER_38_343 -*16477 FILLER_38_355 -*16478 FILLER_38_363 -*16479 FILLER_38_365 -*16480 FILLER_38_374 -*16481 FILLER_38_382 -*16482 FILLER_38_389 -*16483 FILLER_38_401 -*16484 FILLER_38_41 -*16485 FILLER_38_413 -*16486 FILLER_38_419 -*16487 FILLER_38_421 -*16488 FILLER_38_433 -*16489 FILLER_38_455 -*16490 FILLER_38_463 -*16491 FILLER_38_474 -*16492 FILLER_38_477 -*16493 FILLER_38_487 -*16494 FILLER_38_493 -*16495 FILLER_38_506 -*16496 FILLER_38_518 -*16497 FILLER_38_53 -*16498 FILLER_38_530 -*16499 FILLER_38_533 -*16500 FILLER_38_543 -*16501 FILLER_38_555 -*16502 FILLER_38_567 -*16503 FILLER_38_580 -*16504 FILLER_38_589 -*16505 FILLER_38_607 -*16506 FILLER_38_623 -*16507 FILLER_38_637 -*16508 FILLER_38_643 -*16509 FILLER_38_645 -*16510 FILLER_38_65 -*16511 FILLER_38_656 -*16512 FILLER_38_665 -*16513 FILLER_38_677 -*16514 FILLER_38_689 -*16515 FILLER_38_697 -*16516 FILLER_38_701 -*16517 FILLER_38_718 -*16518 FILLER_38_729 -*16519 FILLER_38_73 -*16520 FILLER_38_753 -*16521 FILLER_38_757 -*16522 FILLER_38_774 -*16523 FILLER_38_82 -*16524 FILLER_38_85 -*16525 FILLER_39_100 -*16526 FILLER_39_113 -*16527 FILLER_39_139 -*16528 FILLER_39_151 -*16529 FILLER_39_162 -*16530 FILLER_39_169 -*16531 FILLER_39_181 -*16532 FILLER_39_193 -*16533 FILLER_39_20 -*16534 FILLER_39_209 -*16535 FILLER_39_213 -*16536 FILLER_39_222 -*16537 FILLER_39_225 -*16538 FILLER_39_237 -*16539 FILLER_39_245 -*16540 FILLER_39_252 -*16541 FILLER_39_264 -*16542 FILLER_39_276 -*16543 FILLER_39_281 -*16544 FILLER_39_290 -*16545 FILLER_39_3 -*16546 FILLER_39_302 -*16547 FILLER_39_311 -*16548 FILLER_39_319 -*16549 FILLER_39_32 -*16550 FILLER_39_330 -*16551 FILLER_39_337 -*16552 FILLER_39_349 -*16553 FILLER_39_353 -*16554 FILLER_39_363 -*16555 FILLER_39_371 -*16556 FILLER_39_379 -*16557 FILLER_39_391 -*16558 FILLER_39_393 -*16559 FILLER_39_408 -*16560 FILLER_39_44 -*16561 FILLER_39_441 -*16562 FILLER_39_447 -*16563 FILLER_39_449 -*16564 FILLER_39_458 -*16565 FILLER_39_483 -*16566 FILLER_39_496 -*16567 FILLER_39_505 -*16568 FILLER_39_517 -*16569 FILLER_39_538 -*16570 FILLER_39_551 -*16571 FILLER_39_559 -*16572 FILLER_39_561 -*16573 FILLER_39_57 -*16574 FILLER_39_573 -*16575 FILLER_39_578 -*16576 FILLER_39_591 -*16577 FILLER_39_607 -*16578 FILLER_39_615 -*16579 FILLER_39_617 -*16580 FILLER_39_636 -*16581 FILLER_39_656 -*16582 FILLER_39_666 -*16583 FILLER_39_673 -*16584 FILLER_39_685 -*16585 FILLER_39_69 -*16586 FILLER_39_693 -*16587 FILLER_39_702 -*16588 FILLER_39_726 -*16589 FILLER_39_729 -*16590 FILLER_39_739 -*16591 FILLER_39_763 -*16592 FILLER_39_775 -*16593 FILLER_39_78 -*16594 FILLER_39_8 -*16595 FILLER_39_90 -*16596 FILLER_3_132 -*16597 FILLER_3_157 -*16598 FILLER_3_166 -*16599 FILLER_3_169 -*16600 FILLER_3_173 -*16601 FILLER_3_18 -*16602 FILLER_3_183 -*16603 FILLER_3_208 -*16604 FILLER_3_212 -*16605 FILLER_3_222 -*16606 FILLER_3_225 -*16607 FILLER_3_244 -*16608 FILLER_3_269 -*16609 FILLER_3_278 -*16610 FILLER_3_281 -*16611 FILLER_3_289 -*16612 FILLER_3_3 -*16613 FILLER_3_30 -*16614 FILLER_3_309 -*16615 FILLER_3_334 -*16616 FILLER_3_337 -*16617 FILLER_3_345 -*16618 FILLER_3_370 -*16619 FILLER_3_390 -*16620 FILLER_3_393 -*16621 FILLER_3_403 -*16622 FILLER_3_42 -*16623 FILLER_3_428 -*16624 FILLER_3_444 -*16625 FILLER_3_449 -*16626 FILLER_3_460 -*16627 FILLER_3_491 -*16628 FILLER_3_502 -*16629 FILLER_3_505 -*16630 FILLER_3_516 -*16631 FILLER_3_524 -*16632 FILLER_3_54 -*16633 FILLER_3_545 -*16634 FILLER_3_557 -*16635 FILLER_3_561 -*16636 FILLER_3_57 -*16637 FILLER_3_572 -*16638 FILLER_3_584 -*16639 FILLER_3_592 -*16640 FILLER_3_614 -*16641 FILLER_3_617 -*16642 FILLER_3_624 -*16643 FILLER_3_637 -*16644 FILLER_3_649 -*16645 FILLER_3_661 -*16646 FILLER_3_668 -*16647 FILLER_3_673 -*16648 FILLER_3_678 -*16649 FILLER_3_686 -*16650 FILLER_3_696 -*16651 FILLER_3_702 -*16652 FILLER_3_709 -*16653 FILLER_3_71 -*16654 FILLER_3_716 -*16655 FILLER_3_723 -*16656 FILLER_3_727 -*16657 FILLER_3_729 -*16658 FILLER_3_741 -*16659 FILLER_3_753 -*16660 FILLER_3_775 -*16661 FILLER_3_83 -*16662 FILLER_3_9 -*16663 FILLER_40_107 -*16664 FILLER_40_121 -*16665 FILLER_40_138 -*16666 FILLER_40_141 -*16667 FILLER_40_15 -*16668 FILLER_40_151 -*16669 FILLER_40_163 -*16670 FILLER_40_174 -*16671 FILLER_40_180 -*16672 FILLER_40_187 -*16673 FILLER_40_195 -*16674 FILLER_40_197 -*16675 FILLER_40_207 -*16676 FILLER_40_217 -*16677 FILLER_40_229 -*16678 FILLER_40_241 -*16679 FILLER_40_249 -*16680 FILLER_40_253 -*16681 FILLER_40_265 -*16682 FILLER_40_27 -*16683 FILLER_40_277 -*16684 FILLER_40_289 -*16685 FILLER_40_29 -*16686 FILLER_40_3 -*16687 FILLER_40_300 -*16688 FILLER_40_309 -*16689 FILLER_40_317 -*16690 FILLER_40_329 -*16691 FILLER_40_341 -*16692 FILLER_40_353 -*16693 FILLER_40_361 -*16694 FILLER_40_365 -*16695 FILLER_40_377 -*16696 FILLER_40_389 -*16697 FILLER_40_401 -*16698 FILLER_40_41 -*16699 FILLER_40_413 -*16700 FILLER_40_419 -*16701 FILLER_40_421 -*16702 FILLER_40_432 -*16703 FILLER_40_456 -*16704 FILLER_40_468 -*16705 FILLER_40_477 -*16706 FILLER_40_487 -*16707 FILLER_40_499 -*16708 FILLER_40_503 -*16709 FILLER_40_525 -*16710 FILLER_40_53 -*16711 FILLER_40_531 -*16712 FILLER_40_533 -*16713 FILLER_40_543 -*16714 FILLER_40_556 -*16715 FILLER_40_569 -*16716 FILLER_40_586 -*16717 FILLER_40_589 -*16718 FILLER_40_605 -*16719 FILLER_40_625 -*16720 FILLER_40_638 -*16721 FILLER_40_645 -*16722 FILLER_40_654 -*16723 FILLER_40_666 -*16724 FILLER_40_678 -*16725 FILLER_40_69 -*16726 FILLER_40_690 -*16727 FILLER_40_698 -*16728 FILLER_40_701 -*16729 FILLER_40_709 -*16730 FILLER_40_718 -*16731 FILLER_40_73 -*16732 FILLER_40_730 -*16733 FILLER_40_736 -*16734 FILLER_40_753 -*16735 FILLER_40_757 -*16736 FILLER_40_775 -*16737 FILLER_40_82 -*16738 FILLER_40_85 -*16739 FILLER_41_102 -*16740 FILLER_41_110 -*16741 FILLER_41_113 -*16742 FILLER_41_125 -*16743 FILLER_41_138 -*16744 FILLER_41_15 -*16745 FILLER_41_150 -*16746 FILLER_41_156 -*16747 FILLER_41_166 -*16748 FILLER_41_169 -*16749 FILLER_41_181 -*16750 FILLER_41_193 -*16751 FILLER_41_205 -*16752 FILLER_41_217 -*16753 FILLER_41_223 -*16754 FILLER_41_225 -*16755 FILLER_41_237 -*16756 FILLER_41_249 -*16757 FILLER_41_257 -*16758 FILLER_41_265 -*16759 FILLER_41_27 -*16760 FILLER_41_277 -*16761 FILLER_41_281 -*16762 FILLER_41_295 -*16763 FILLER_41_3 -*16764 FILLER_41_307 -*16765 FILLER_41_319 -*16766 FILLER_41_331 -*16767 FILLER_41_335 -*16768 FILLER_41_337 -*16769 FILLER_41_348 -*16770 FILLER_41_360 -*16771 FILLER_41_366 -*16772 FILLER_41_375 -*16773 FILLER_41_39 -*16774 FILLER_41_390 -*16775 FILLER_41_393 -*16776 FILLER_41_405 -*16777 FILLER_41_417 -*16778 FILLER_41_429 -*16779 FILLER_41_446 -*16780 FILLER_41_449 -*16781 FILLER_41_459 -*16782 FILLER_41_47 -*16783 FILLER_41_470 -*16784 FILLER_41_482 -*16785 FILLER_41_494 -*16786 FILLER_41_502 -*16787 FILLER_41_505 -*16788 FILLER_41_516 -*16789 FILLER_41_528 -*16790 FILLER_41_54 -*16791 FILLER_41_540 -*16792 FILLER_41_544 -*16793 FILLER_41_554 -*16794 FILLER_41_561 -*16795 FILLER_41_57 -*16796 FILLER_41_573 -*16797 FILLER_41_585 -*16798 FILLER_41_602 -*16799 FILLER_41_611 -*16800 FILLER_41_615 -*16801 FILLER_41_617 -*16802 FILLER_41_62 -*16803 FILLER_41_628 -*16804 FILLER_41_632 -*16805 FILLER_41_649 -*16806 FILLER_41_661 -*16807 FILLER_41_669 -*16808 FILLER_41_673 -*16809 FILLER_41_684 -*16810 FILLER_41_696 -*16811 FILLER_41_708 -*16812 FILLER_41_720 -*16813 FILLER_41_729 -*16814 FILLER_41_737 -*16815 FILLER_41_762 -*16816 FILLER_41_775 -*16817 FILLER_41_78 -*16818 FILLER_42_108 -*16819 FILLER_42_116 -*16820 FILLER_42_138 -*16821 FILLER_42_141 -*16822 FILLER_42_152 -*16823 FILLER_42_161 -*16824 FILLER_42_176 -*16825 FILLER_42_180 -*16826 FILLER_42_189 -*16827 FILLER_42_195 -*16828 FILLER_42_197 -*16829 FILLER_42_209 -*16830 FILLER_42_21 -*16831 FILLER_42_221 -*16832 FILLER_42_233 -*16833 FILLER_42_245 -*16834 FILLER_42_251 -*16835 FILLER_42_253 -*16836 FILLER_42_265 -*16837 FILLER_42_27 -*16838 FILLER_42_277 -*16839 FILLER_42_289 -*16840 FILLER_42_29 -*16841 FILLER_42_3 -*16842 FILLER_42_301 -*16843 FILLER_42_307 -*16844 FILLER_42_309 -*16845 FILLER_42_321 -*16846 FILLER_42_333 -*16847 FILLER_42_345 -*16848 FILLER_42_35 -*16849 FILLER_42_357 -*16850 FILLER_42_363 -*16851 FILLER_42_365 -*16852 FILLER_42_376 -*16853 FILLER_42_382 -*16854 FILLER_42_399 -*16855 FILLER_42_41 -*16856 FILLER_42_411 -*16857 FILLER_42_419 -*16858 FILLER_42_421 -*16859 FILLER_42_430 -*16860 FILLER_42_434 -*16861 FILLER_42_446 -*16862 FILLER_42_453 -*16863 FILLER_42_461 -*16864 FILLER_42_474 -*16865 FILLER_42_477 -*16866 FILLER_42_489 -*16867 FILLER_42_50 -*16868 FILLER_42_501 -*16869 FILLER_42_515 -*16870 FILLER_42_527 -*16871 FILLER_42_531 -*16872 FILLER_42_533 -*16873 FILLER_42_542 -*16874 FILLER_42_546 -*16875 FILLER_42_558 -*16876 FILLER_42_57 -*16877 FILLER_42_570 -*16878 FILLER_42_581 -*16879 FILLER_42_587 -*16880 FILLER_42_589 -*16881 FILLER_42_607 -*16882 FILLER_42_620 -*16883 FILLER_42_633 -*16884 FILLER_42_641 -*16885 FILLER_42_645 -*16886 FILLER_42_657 -*16887 FILLER_42_669 -*16888 FILLER_42_681 -*16889 FILLER_42_69 -*16890 FILLER_42_693 -*16891 FILLER_42_699 -*16892 FILLER_42_701 -*16893 FILLER_42_713 -*16894 FILLER_42_717 -*16895 FILLER_42_726 -*16896 FILLER_42_740 -*16897 FILLER_42_753 -*16898 FILLER_42_757 -*16899 FILLER_42_774 -*16900 FILLER_42_82 -*16901 FILLER_42_85 -*16902 FILLER_43_108 -*16903 FILLER_43_113 -*16904 FILLER_43_124 -*16905 FILLER_43_128 -*16906 FILLER_43_150 -*16907 FILLER_43_162 -*16908 FILLER_43_169 -*16909 FILLER_43_181 -*16910 FILLER_43_19 -*16911 FILLER_43_193 -*16912 FILLER_43_205 -*16913 FILLER_43_217 -*16914 FILLER_43_223 -*16915 FILLER_43_225 -*16916 FILLER_43_237 -*16917 FILLER_43_249 -*16918 FILLER_43_26 -*16919 FILLER_43_261 -*16920 FILLER_43_273 -*16921 FILLER_43_279 -*16922 FILLER_43_281 -*16923 FILLER_43_296 -*16924 FILLER_43_3 -*16925 FILLER_43_300 -*16926 FILLER_43_312 -*16927 FILLER_43_324 -*16928 FILLER_43_333 -*16929 FILLER_43_337 -*16930 FILLER_43_349 -*16931 FILLER_43_35 -*16932 FILLER_43_357 -*16933 FILLER_43_361 -*16934 FILLER_43_383 -*16935 FILLER_43_390 -*16936 FILLER_43_393 -*16937 FILLER_43_418 -*16938 FILLER_43_426 -*16939 FILLER_43_435 -*16940 FILLER_43_44 -*16941 FILLER_43_446 -*16942 FILLER_43_449 -*16943 FILLER_43_460 -*16944 FILLER_43_472 -*16945 FILLER_43_484 -*16946 FILLER_43_490 -*16947 FILLER_43_497 -*16948 FILLER_43_50 -*16949 FILLER_43_501 -*16950 FILLER_43_505 -*16951 FILLER_43_517 -*16952 FILLER_43_529 -*16953 FILLER_43_54 -*16954 FILLER_43_541 -*16955 FILLER_43_553 -*16956 FILLER_43_559 -*16957 FILLER_43_561 -*16958 FILLER_43_57 -*16959 FILLER_43_572 -*16960 FILLER_43_584 -*16961 FILLER_43_592 -*16962 FILLER_43_607 -*16963 FILLER_43_615 -*16964 FILLER_43_617 -*16965 FILLER_43_629 -*16966 FILLER_43_643 -*16967 FILLER_43_655 -*16968 FILLER_43_667 -*16969 FILLER_43_671 -*16970 FILLER_43_673 -*16971 FILLER_43_68 -*16972 FILLER_43_685 -*16973 FILLER_43_697 -*16974 FILLER_43_709 -*16975 FILLER_43_717 -*16976 FILLER_43_72 -*16977 FILLER_43_726 -*16978 FILLER_43_729 -*16979 FILLER_43_737 -*16980 FILLER_43_747 -*16981 FILLER_43_759 -*16982 FILLER_43_765 -*16983 FILLER_43_775 -*16984 FILLER_43_8 -*16985 FILLER_43_84 -*16986 FILLER_44_100 -*16987 FILLER_44_106 -*16988 FILLER_44_11 -*16989 FILLER_44_128 -*16990 FILLER_44_137 -*16991 FILLER_44_141 -*16992 FILLER_44_155 -*16993 FILLER_44_167 -*16994 FILLER_44_178 -*16995 FILLER_44_190 -*16996 FILLER_44_197 -*16997 FILLER_44_20 -*16998 FILLER_44_206 -*16999 FILLER_44_218 -*17000 FILLER_44_230 -*17001 FILLER_44_24 -*17002 FILLER_44_242 -*17003 FILLER_44_250 -*17004 FILLER_44_253 -*17005 FILLER_44_265 -*17006 FILLER_44_277 -*17007 FILLER_44_289 -*17008 FILLER_44_29 -*17009 FILLER_44_299 -*17010 FILLER_44_3 -*17011 FILLER_44_307 -*17012 FILLER_44_309 -*17013 FILLER_44_321 -*17014 FILLER_44_345 -*17015 FILLER_44_357 -*17016 FILLER_44_363 -*17017 FILLER_44_365 -*17018 FILLER_44_373 -*17019 FILLER_44_38 -*17020 FILLER_44_382 -*17021 FILLER_44_402 -*17022 FILLER_44_414 -*17023 FILLER_44_421 -*17024 FILLER_44_431 -*17025 FILLER_44_439 -*17026 FILLER_44_462 -*17027 FILLER_44_474 -*17028 FILLER_44_477 -*17029 FILLER_44_48 -*17030 FILLER_44_489 -*17031 FILLER_44_501 -*17032 FILLER_44_513 -*17033 FILLER_44_525 -*17034 FILLER_44_531 -*17035 FILLER_44_533 -*17036 FILLER_44_541 -*17037 FILLER_44_552 -*17038 FILLER_44_564 -*17039 FILLER_44_584 -*17040 FILLER_44_589 -*17041 FILLER_44_60 -*17042 FILLER_44_601 -*17043 FILLER_44_611 -*17044 FILLER_44_623 -*17045 FILLER_44_635 -*17046 FILLER_44_643 -*17047 FILLER_44_645 -*17048 FILLER_44_653 -*17049 FILLER_44_662 -*17050 FILLER_44_674 -*17051 FILLER_44_686 -*17052 FILLER_44_698 -*17053 FILLER_44_701 -*17054 FILLER_44_709 -*17055 FILLER_44_721 -*17056 FILLER_44_729 -*17057 FILLER_44_73 -*17058 FILLER_44_739 -*17059 FILLER_44_753 -*17060 FILLER_44_757 -*17061 FILLER_44_768 -*17062 FILLER_44_775 -*17063 FILLER_44_82 -*17064 FILLER_44_85 -*17065 FILLER_45_108 -*17066 FILLER_45_113 -*17067 FILLER_45_135 -*17068 FILLER_45_14 -*17069 FILLER_45_156 -*17070 FILLER_45_169 -*17071 FILLER_45_179 -*17072 FILLER_45_187 -*17073 FILLER_45_196 -*17074 FILLER_45_200 -*17075 FILLER_45_210 -*17076 FILLER_45_222 -*17077 FILLER_45_225 -*17078 FILLER_45_237 -*17079 FILLER_45_248 -*17080 FILLER_45_25 -*17081 FILLER_45_254 -*17082 FILLER_45_262 -*17083 FILLER_45_270 -*17084 FILLER_45_278 -*17085 FILLER_45_281 -*17086 FILLER_45_296 -*17087 FILLER_45_3 -*17088 FILLER_45_307 -*17089 FILLER_45_319 -*17090 FILLER_45_323 -*17091 FILLER_45_333 -*17092 FILLER_45_337 -*17093 FILLER_45_347 -*17094 FILLER_45_357 -*17095 FILLER_45_363 -*17096 FILLER_45_370 -*17097 FILLER_45_38 -*17098 FILLER_45_390 -*17099 FILLER_45_393 -*17100 FILLER_45_403 -*17101 FILLER_45_425 -*17102 FILLER_45_437 -*17103 FILLER_45_445 -*17104 FILLER_45_449 -*17105 FILLER_45_476 -*17106 FILLER_45_488 -*17107 FILLER_45_500 -*17108 FILLER_45_505 -*17109 FILLER_45_513 -*17110 FILLER_45_52 -*17111 FILLER_45_523 -*17112 FILLER_45_535 -*17113 FILLER_45_543 -*17114 FILLER_45_555 -*17115 FILLER_45_559 -*17116 FILLER_45_561 -*17117 FILLER_45_57 -*17118 FILLER_45_573 -*17119 FILLER_45_585 -*17120 FILLER_45_597 -*17121 FILLER_45_601 -*17122 FILLER_45_611 -*17123 FILLER_45_615 -*17124 FILLER_45_617 -*17125 FILLER_45_625 -*17126 FILLER_45_634 -*17127 FILLER_45_644 -*17128 FILLER_45_656 -*17129 FILLER_45_668 -*17130 FILLER_45_673 -*17131 FILLER_45_684 -*17132 FILLER_45_696 -*17133 FILLER_45_705 -*17134 FILLER_45_717 -*17135 FILLER_45_726 -*17136 FILLER_45_729 -*17137 FILLER_45_737 -*17138 FILLER_45_748 -*17139 FILLER_45_772 -*17140 FILLER_45_776 -*17141 FILLER_45_83 -*17142 FILLER_46_125 -*17143 FILLER_46_137 -*17144 FILLER_46_141 -*17145 FILLER_46_166 -*17146 FILLER_46_17 -*17147 FILLER_46_178 -*17148 FILLER_46_190 -*17149 FILLER_46_197 -*17150 FILLER_46_209 -*17151 FILLER_46_221 -*17152 FILLER_46_230 -*17153 FILLER_46_242 -*17154 FILLER_46_250 -*17155 FILLER_46_253 -*17156 FILLER_46_26 -*17157 FILLER_46_265 -*17158 FILLER_46_269 -*17159 FILLER_46_29 -*17160 FILLER_46_291 -*17161 FILLER_46_3 -*17162 FILLER_46_300 -*17163 FILLER_46_309 -*17164 FILLER_46_321 -*17165 FILLER_46_329 -*17166 FILLER_46_346 -*17167 FILLER_46_358 -*17168 FILLER_46_365 -*17169 FILLER_46_369 -*17170 FILLER_46_378 -*17171 FILLER_46_387 -*17172 FILLER_46_39 -*17173 FILLER_46_395 -*17174 FILLER_46_413 -*17175 FILLER_46_419 -*17176 FILLER_46_421 -*17177 FILLER_46_428 -*17178 FILLER_46_432 -*17179 FILLER_46_440 -*17180 FILLER_46_452 -*17181 FILLER_46_469 -*17182 FILLER_46_475 -*17183 FILLER_46_477 -*17184 FILLER_46_487 -*17185 FILLER_46_502 -*17186 FILLER_46_514 -*17187 FILLER_46_526 -*17188 FILLER_46_533 -*17189 FILLER_46_539 -*17190 FILLER_46_55 -*17191 FILLER_46_551 -*17192 FILLER_46_559 -*17193 FILLER_46_570 -*17194 FILLER_46_582 -*17195 FILLER_46_589 -*17196 FILLER_46_601 -*17197 FILLER_46_613 -*17198 FILLER_46_622 -*17199 FILLER_46_634 -*17200 FILLER_46_642 -*17201 FILLER_46_645 -*17202 FILLER_46_657 -*17203 FILLER_46_669 -*17204 FILLER_46_681 -*17205 FILLER_46_693 -*17206 FILLER_46_699 -*17207 FILLER_46_701 -*17208 FILLER_46_713 -*17209 FILLER_46_725 -*17210 FILLER_46_733 -*17211 FILLER_46_754 -*17212 FILLER_46_757 -*17213 FILLER_46_775 -*17214 FILLER_46_82 -*17215 FILLER_46_85 -*17216 FILLER_46_89 -*17217 FILLER_46_98 -*17218 FILLER_47_110 -*17219 FILLER_47_113 -*17220 FILLER_47_124 -*17221 FILLER_47_150 -*17222 FILLER_47_165 -*17223 FILLER_47_169 -*17224 FILLER_47_179 -*17225 FILLER_47_18 -*17226 FILLER_47_191 -*17227 FILLER_47_203 -*17228 FILLER_47_215 -*17229 FILLER_47_223 -*17230 FILLER_47_225 -*17231 FILLER_47_237 -*17232 FILLER_47_249 -*17233 FILLER_47_261 -*17234 FILLER_47_27 -*17235 FILLER_47_278 -*17236 FILLER_47_281 -*17237 FILLER_47_292 -*17238 FILLER_47_3 -*17239 FILLER_47_301 -*17240 FILLER_47_313 -*17241 FILLER_47_325 -*17242 FILLER_47_333 -*17243 FILLER_47_337 -*17244 FILLER_47_349 -*17245 FILLER_47_361 -*17246 FILLER_47_371 -*17247 FILLER_47_383 -*17248 FILLER_47_391 -*17249 FILLER_47_393 -*17250 FILLER_47_405 -*17251 FILLER_47_416 -*17252 FILLER_47_427 -*17253 FILLER_47_431 -*17254 FILLER_47_439 -*17255 FILLER_47_447 -*17256 FILLER_47_449 -*17257 FILLER_47_461 -*17258 FILLER_47_490 -*17259 FILLER_47_502 -*17260 FILLER_47_505 -*17261 FILLER_47_523 -*17262 FILLER_47_535 -*17263 FILLER_47_54 -*17264 FILLER_47_543 -*17265 FILLER_47_555 -*17266 FILLER_47_559 -*17267 FILLER_47_561 -*17268 FILLER_47_57 -*17269 FILLER_47_572 -*17270 FILLER_47_585 -*17271 FILLER_47_593 -*17272 FILLER_47_610 -*17273 FILLER_47_617 -*17274 FILLER_47_62 -*17275 FILLER_47_629 -*17276 FILLER_47_641 -*17277 FILLER_47_653 -*17278 FILLER_47_665 -*17279 FILLER_47_671 -*17280 FILLER_47_673 -*17281 FILLER_47_685 -*17282 FILLER_47_697 -*17283 FILLER_47_709 -*17284 FILLER_47_717 -*17285 FILLER_47_726 -*17286 FILLER_47_729 -*17287 FILLER_47_733 -*17288 FILLER_47_755 -*17289 FILLER_47_763 -*17290 FILLER_47_773 -*17291 FILLER_47_86 -*17292 FILLER_47_9 -*17293 FILLER_47_97 -*17294 FILLER_48_110 -*17295 FILLER_48_138 -*17296 FILLER_48_141 -*17297 FILLER_48_154 -*17298 FILLER_48_167 -*17299 FILLER_48_17 -*17300 FILLER_48_179 -*17301 FILLER_48_191 -*17302 FILLER_48_195 -*17303 FILLER_48_197 -*17304 FILLER_48_207 -*17305 FILLER_48_219 -*17306 FILLER_48_231 -*17307 FILLER_48_243 -*17308 FILLER_48_251 -*17309 FILLER_48_253 -*17310 FILLER_48_257 -*17311 FILLER_48_26 -*17312 FILLER_48_266 -*17313 FILLER_48_278 -*17314 FILLER_48_29 -*17315 FILLER_48_290 -*17316 FILLER_48_3 -*17317 FILLER_48_302 -*17318 FILLER_48_309 -*17319 FILLER_48_321 -*17320 FILLER_48_333 -*17321 FILLER_48_34 -*17322 FILLER_48_345 -*17323 FILLER_48_353 -*17324 FILLER_48_362 -*17325 FILLER_48_365 -*17326 FILLER_48_393 -*17327 FILLER_48_405 -*17328 FILLER_48_418 -*17329 FILLER_48_421 -*17330 FILLER_48_429 -*17331 FILLER_48_43 -*17332 FILLER_48_443 -*17333 FILLER_48_451 -*17334 FILLER_48_459 -*17335 FILLER_48_465 -*17336 FILLER_48_474 -*17337 FILLER_48_477 -*17338 FILLER_48_488 -*17339 FILLER_48_501 -*17340 FILLER_48_505 -*17341 FILLER_48_526 -*17342 FILLER_48_533 -*17343 FILLER_48_542 -*17344 FILLER_48_555 -*17345 FILLER_48_568 -*17346 FILLER_48_580 -*17347 FILLER_48_589 -*17348 FILLER_48_601 -*17349 FILLER_48_605 -*17350 FILLER_48_610 -*17351 FILLER_48_622 -*17352 FILLER_48_634 -*17353 FILLER_48_642 -*17354 FILLER_48_645 -*17355 FILLER_48_657 -*17356 FILLER_48_671 -*17357 FILLER_48_683 -*17358 FILLER_48_695 -*17359 FILLER_48_699 -*17360 FILLER_48_70 -*17361 FILLER_48_701 -*17362 FILLER_48_713 -*17363 FILLER_48_717 -*17364 FILLER_48_741 -*17365 FILLER_48_754 -*17366 FILLER_48_757 -*17367 FILLER_48_763 -*17368 FILLER_48_773 -*17369 FILLER_48_8 -*17370 FILLER_48_82 -*17371 FILLER_48_85 -*17372 FILLER_49_101 -*17373 FILLER_49_110 -*17374 FILLER_49_113 -*17375 FILLER_49_122 -*17376 FILLER_49_135 -*17377 FILLER_49_139 -*17378 FILLER_49_149 -*17379 FILLER_49_161 -*17380 FILLER_49_167 -*17381 FILLER_49_169 -*17382 FILLER_49_17 -*17383 FILLER_49_181 -*17384 FILLER_49_208 -*17385 FILLER_49_220 -*17386 FILLER_49_225 -*17387 FILLER_49_237 -*17388 FILLER_49_249 -*17389 FILLER_49_26 -*17390 FILLER_49_261 -*17391 FILLER_49_273 -*17392 FILLER_49_279 -*17393 FILLER_49_281 -*17394 FILLER_49_293 -*17395 FILLER_49_3 -*17396 FILLER_49_315 -*17397 FILLER_49_327 -*17398 FILLER_49_335 -*17399 FILLER_49_337 -*17400 FILLER_49_34 -*17401 FILLER_49_349 -*17402 FILLER_49_361 -*17403 FILLER_49_369 -*17404 FILLER_49_387 -*17405 FILLER_49_391 -*17406 FILLER_49_393 -*17407 FILLER_49_402 -*17408 FILLER_49_414 -*17409 FILLER_49_418 -*17410 FILLER_49_42 -*17411 FILLER_49_440 -*17412 FILLER_49_449 -*17413 FILLER_49_453 -*17414 FILLER_49_456 -*17415 FILLER_49_466 -*17416 FILLER_49_478 -*17417 FILLER_49_502 -*17418 FILLER_49_505 -*17419 FILLER_49_515 -*17420 FILLER_49_523 -*17421 FILLER_49_532 -*17422 FILLER_49_54 -*17423 FILLER_49_540 -*17424 FILLER_49_550 -*17425 FILLER_49_557 -*17426 FILLER_49_561 -*17427 FILLER_49_57 -*17428 FILLER_49_573 -*17429 FILLER_49_586 -*17430 FILLER_49_596 -*17431 FILLER_49_600 -*17432 FILLER_49_608 -*17433 FILLER_49_617 -*17434 FILLER_49_629 -*17435 FILLER_49_641 -*17436 FILLER_49_650 -*17437 FILLER_49_662 -*17438 FILLER_49_670 -*17439 FILLER_49_673 -*17440 FILLER_49_685 -*17441 FILLER_49_69 -*17442 FILLER_49_697 -*17443 FILLER_49_705 -*17444 FILLER_49_714 -*17445 FILLER_49_726 -*17446 FILLER_49_729 -*17447 FILLER_49_740 -*17448 FILLER_49_752 -*17449 FILLER_49_758 -*17450 FILLER_49_775 -*17451 FILLER_49_8 -*17452 FILLER_49_81 -*17453 FILLER_49_93 -*17454 FILLER_4_115 -*17455 FILLER_4_135 -*17456 FILLER_4_139 -*17457 FILLER_4_141 -*17458 FILLER_4_159 -*17459 FILLER_4_17 -*17460 FILLER_4_184 -*17461 FILLER_4_194 -*17462 FILLER_4_197 -*17463 FILLER_4_209 -*17464 FILLER_4_236 -*17465 FILLER_4_240 -*17466 FILLER_4_250 -*17467 FILLER_4_253 -*17468 FILLER_4_259 -*17469 FILLER_4_26 -*17470 FILLER_4_281 -*17471 FILLER_4_29 -*17472 FILLER_4_3 -*17473 FILLER_4_306 -*17474 FILLER_4_309 -*17475 FILLER_4_324 -*17476 FILLER_4_348 -*17477 FILLER_4_35 -*17478 FILLER_4_352 -*17479 FILLER_4_362 -*17480 FILLER_4_365 -*17481 FILLER_4_376 -*17482 FILLER_4_401 -*17483 FILLER_4_405 -*17484 FILLER_4_418 -*17485 FILLER_4_421 -*17486 FILLER_4_432 -*17487 FILLER_4_436 -*17488 FILLER_4_45 -*17489 FILLER_4_459 -*17490 FILLER_4_472 -*17491 FILLER_4_477 -*17492 FILLER_4_488 -*17493 FILLER_4_494 -*17494 FILLER_4_505 -*17495 FILLER_4_517 -*17496 FILLER_4_530 -*17497 FILLER_4_533 -*17498 FILLER_4_542 -*17499 FILLER_4_566 -*17500 FILLER_4_57 -*17501 FILLER_4_579 -*17502 FILLER_4_586 -*17503 FILLER_4_589 -*17504 FILLER_4_598 -*17505 FILLER_4_622 -*17506 FILLER_4_634 -*17507 FILLER_4_641 -*17508 FILLER_4_645 -*17509 FILLER_4_655 -*17510 FILLER_4_663 -*17511 FILLER_4_672 -*17512 FILLER_4_682 -*17513 FILLER_4_689 -*17514 FILLER_4_69 -*17515 FILLER_4_696 -*17516 FILLER_4_701 -*17517 FILLER_4_726 -*17518 FILLER_4_738 -*17519 FILLER_4_750 -*17520 FILLER_4_757 -*17521 FILLER_4_775 -*17522 FILLER_4_82 -*17523 FILLER_4_85 -*17524 FILLER_4_9 -*17525 FILLER_4_98 -*17526 FILLER_50_108 -*17527 FILLER_50_116 -*17528 FILLER_50_125 -*17529 FILLER_50_138 -*17530 FILLER_50_141 -*17531 FILLER_50_151 -*17532 FILLER_50_163 -*17533 FILLER_50_17 -*17534 FILLER_50_175 -*17535 FILLER_50_183 -*17536 FILLER_50_194 -*17537 FILLER_50_197 -*17538 FILLER_50_208 -*17539 FILLER_50_220 -*17540 FILLER_50_228 -*17541 FILLER_50_238 -*17542 FILLER_50_250 -*17543 FILLER_50_253 -*17544 FILLER_50_26 -*17545 FILLER_50_261 -*17546 FILLER_50_284 -*17547 FILLER_50_29 -*17548 FILLER_50_296 -*17549 FILLER_50_3 -*17550 FILLER_50_306 -*17551 FILLER_50_309 -*17552 FILLER_50_317 -*17553 FILLER_50_328 -*17554 FILLER_50_35 -*17555 FILLER_50_357 -*17556 FILLER_50_363 -*17557 FILLER_50_365 -*17558 FILLER_50_374 -*17559 FILLER_50_398 -*17560 FILLER_50_406 -*17561 FILLER_50_412 -*17562 FILLER_50_42 -*17563 FILLER_50_421 -*17564 FILLER_50_434 -*17565 FILLER_50_446 -*17566 FILLER_50_458 -*17567 FILLER_50_470 -*17568 FILLER_50_477 -*17569 FILLER_50_485 -*17570 FILLER_50_495 -*17571 FILLER_50_507 -*17572 FILLER_50_519 -*17573 FILLER_50_529 -*17574 FILLER_50_53 -*17575 FILLER_50_533 -*17576 FILLER_50_545 -*17577 FILLER_50_556 -*17578 FILLER_50_564 -*17579 FILLER_50_584 -*17580 FILLER_50_589 -*17581 FILLER_50_611 -*17582 FILLER_50_624 -*17583 FILLER_50_636 -*17584 FILLER_50_645 -*17585 FILLER_50_65 -*17586 FILLER_50_657 -*17587 FILLER_50_669 -*17588 FILLER_50_681 -*17589 FILLER_50_694 -*17590 FILLER_50_701 -*17591 FILLER_50_713 -*17592 FILLER_50_725 -*17593 FILLER_50_733 -*17594 FILLER_50_754 -*17595 FILLER_50_757 -*17596 FILLER_50_768 -*17597 FILLER_50_77 -*17598 FILLER_50_776 -*17599 FILLER_50_8 -*17600 FILLER_50_83 -*17601 FILLER_50_85 -*17602 FILLER_51_105 -*17603 FILLER_51_11 -*17604 FILLER_51_111 -*17605 FILLER_51_113 -*17606 FILLER_51_144 -*17607 FILLER_51_156 -*17608 FILLER_51_166 -*17609 FILLER_51_169 -*17610 FILLER_51_181 -*17611 FILLER_51_19 -*17612 FILLER_51_209 -*17613 FILLER_51_221 -*17614 FILLER_51_225 -*17615 FILLER_51_235 -*17616 FILLER_51_247 -*17617 FILLER_51_259 -*17618 FILLER_51_267 -*17619 FILLER_51_27 -*17620 FILLER_51_277 -*17621 FILLER_51_281 -*17622 FILLER_51_292 -*17623 FILLER_51_3 -*17624 FILLER_51_304 -*17625 FILLER_51_313 -*17626 FILLER_51_325 -*17627 FILLER_51_333 -*17628 FILLER_51_337 -*17629 FILLER_51_349 -*17630 FILLER_51_361 -*17631 FILLER_51_373 -*17632 FILLER_51_379 -*17633 FILLER_51_389 -*17634 FILLER_51_393 -*17635 FILLER_51_423 -*17636 FILLER_51_427 -*17637 FILLER_51_431 -*17638 FILLER_51_441 -*17639 FILLER_51_445 -*17640 FILLER_51_449 -*17641 FILLER_51_459 -*17642 FILLER_51_471 -*17643 FILLER_51_481 -*17644 FILLER_51_493 -*17645 FILLER_51_502 -*17646 FILLER_51_505 -*17647 FILLER_51_527 -*17648 FILLER_51_535 -*17649 FILLER_51_54 -*17650 FILLER_51_545 -*17651 FILLER_51_557 -*17652 FILLER_51_561 -*17653 FILLER_51_57 -*17654 FILLER_51_589 -*17655 FILLER_51_613 -*17656 FILLER_51_617 -*17657 FILLER_51_627 -*17658 FILLER_51_633 -*17659 FILLER_51_642 -*17660 FILLER_51_654 -*17661 FILLER_51_666 -*17662 FILLER_51_673 -*17663 FILLER_51_685 -*17664 FILLER_51_69 -*17665 FILLER_51_697 -*17666 FILLER_51_709 -*17667 FILLER_51_721 -*17668 FILLER_51_727 -*17669 FILLER_51_729 -*17670 FILLER_51_737 -*17671 FILLER_51_748 -*17672 FILLER_51_775 -*17673 FILLER_51_80 -*17674 FILLER_52_102 -*17675 FILLER_52_11 -*17676 FILLER_52_114 -*17677 FILLER_52_123 -*17678 FILLER_52_138 -*17679 FILLER_52_141 -*17680 FILLER_52_152 -*17681 FILLER_52_161 -*17682 FILLER_52_194 -*17683 FILLER_52_197 -*17684 FILLER_52_207 -*17685 FILLER_52_222 -*17686 FILLER_52_234 -*17687 FILLER_52_246 -*17688 FILLER_52_253 -*17689 FILLER_52_26 -*17690 FILLER_52_261 -*17691 FILLER_52_282 -*17692 FILLER_52_29 -*17693 FILLER_52_295 -*17694 FILLER_52_3 -*17695 FILLER_52_306 -*17696 FILLER_52_309 -*17697 FILLER_52_321 -*17698 FILLER_52_333 -*17699 FILLER_52_339 -*17700 FILLER_52_348 -*17701 FILLER_52_360 -*17702 FILLER_52_365 -*17703 FILLER_52_377 -*17704 FILLER_52_389 -*17705 FILLER_52_401 -*17706 FILLER_52_413 -*17707 FILLER_52_419 -*17708 FILLER_52_421 -*17709 FILLER_52_433 -*17710 FILLER_52_445 -*17711 FILLER_52_457 -*17712 FILLER_52_469 -*17713 FILLER_52_475 -*17714 FILLER_52_477 -*17715 FILLER_52_489 -*17716 FILLER_52_501 -*17717 FILLER_52_513 -*17718 FILLER_52_52 -*17719 FILLER_52_521 -*17720 FILLER_52_530 -*17721 FILLER_52_533 -*17722 FILLER_52_558 -*17723 FILLER_52_570 -*17724 FILLER_52_576 -*17725 FILLER_52_586 -*17726 FILLER_52_589 -*17727 FILLER_52_593 -*17728 FILLER_52_603 -*17729 FILLER_52_615 -*17730 FILLER_52_627 -*17731 FILLER_52_639 -*17732 FILLER_52_643 -*17733 FILLER_52_645 -*17734 FILLER_52_657 -*17735 FILLER_52_669 -*17736 FILLER_52_681 -*17737 FILLER_52_693 -*17738 FILLER_52_699 -*17739 FILLER_52_701 -*17740 FILLER_52_713 -*17741 FILLER_52_725 -*17742 FILLER_52_737 -*17743 FILLER_52_747 -*17744 FILLER_52_755 -*17745 FILLER_52_757 -*17746 FILLER_52_767 -*17747 FILLER_52_775 -*17748 FILLER_52_81 -*17749 FILLER_52_85 -*17750 FILLER_53_106 -*17751 FILLER_53_113 -*17752 FILLER_53_138 -*17753 FILLER_53_153 -*17754 FILLER_53_162 -*17755 FILLER_53_169 -*17756 FILLER_53_178 -*17757 FILLER_53_18 -*17758 FILLER_53_186 -*17759 FILLER_53_196 -*17760 FILLER_53_208 -*17761 FILLER_53_217 -*17762 FILLER_53_223 -*17763 FILLER_53_225 -*17764 FILLER_53_237 -*17765 FILLER_53_266 -*17766 FILLER_53_278 -*17767 FILLER_53_281 -*17768 FILLER_53_291 -*17769 FILLER_53_3 -*17770 FILLER_53_30 -*17771 FILLER_53_303 -*17772 FILLER_53_315 -*17773 FILLER_53_327 -*17774 FILLER_53_335 -*17775 FILLER_53_337 -*17776 FILLER_53_349 -*17777 FILLER_53_355 -*17778 FILLER_53_364 -*17779 FILLER_53_376 -*17780 FILLER_53_388 -*17781 FILLER_53_393 -*17782 FILLER_53_401 -*17783 FILLER_53_414 -*17784 FILLER_53_42 -*17785 FILLER_53_426 -*17786 FILLER_53_438 -*17787 FILLER_53_446 -*17788 FILLER_53_449 -*17789 FILLER_53_461 -*17790 FILLER_53_473 -*17791 FILLER_53_485 -*17792 FILLER_53_497 -*17793 FILLER_53_503 -*17794 FILLER_53_505 -*17795 FILLER_53_517 -*17796 FILLER_53_529 -*17797 FILLER_53_54 -*17798 FILLER_53_541 -*17799 FILLER_53_552 -*17800 FILLER_53_561 -*17801 FILLER_53_569 -*17802 FILLER_53_57 -*17803 FILLER_53_581 -*17804 FILLER_53_605 -*17805 FILLER_53_613 -*17806 FILLER_53_617 -*17807 FILLER_53_629 -*17808 FILLER_53_641 -*17809 FILLER_53_655 -*17810 FILLER_53_667 -*17811 FILLER_53_671 -*17812 FILLER_53_673 -*17813 FILLER_53_68 -*17814 FILLER_53_682 -*17815 FILLER_53_694 -*17816 FILLER_53_710 -*17817 FILLER_53_722 -*17818 FILLER_53_729 -*17819 FILLER_53_741 -*17820 FILLER_53_757 -*17821 FILLER_53_765 -*17822 FILLER_53_775 -*17823 FILLER_53_80 -*17824 FILLER_53_84 -*17825 FILLER_53_93 -*17826 FILLER_54_108 -*17827 FILLER_54_114 -*17828 FILLER_54_124 -*17829 FILLER_54_136 -*17830 FILLER_54_141 -*17831 FILLER_54_149 -*17832 FILLER_54_165 -*17833 FILLER_54_177 -*17834 FILLER_54_181 -*17835 FILLER_54_190 -*17836 FILLER_54_197 -*17837 FILLER_54_202 -*17838 FILLER_54_21 -*17839 FILLER_54_212 -*17840 FILLER_54_216 -*17841 FILLER_54_228 -*17842 FILLER_54_240 -*17843 FILLER_54_253 -*17844 FILLER_54_266 -*17845 FILLER_54_27 -*17846 FILLER_54_278 -*17847 FILLER_54_29 -*17848 FILLER_54_290 -*17849 FILLER_54_3 -*17850 FILLER_54_302 -*17851 FILLER_54_309 -*17852 FILLER_54_321 -*17853 FILLER_54_333 -*17854 FILLER_54_345 -*17855 FILLER_54_35 -*17856 FILLER_54_357 -*17857 FILLER_54_363 -*17858 FILLER_54_365 -*17859 FILLER_54_377 -*17860 FILLER_54_381 -*17861 FILLER_54_398 -*17862 FILLER_54_418 -*17863 FILLER_54_421 -*17864 FILLER_54_433 -*17865 FILLER_54_44 -*17866 FILLER_54_445 -*17867 FILLER_54_449 -*17868 FILLER_54_456 -*17869 FILLER_54_468 -*17870 FILLER_54_477 -*17871 FILLER_54_491 -*17872 FILLER_54_503 -*17873 FILLER_54_515 -*17874 FILLER_54_523 -*17875 FILLER_54_531 -*17876 FILLER_54_533 -*17877 FILLER_54_559 -*17878 FILLER_54_56 -*17879 FILLER_54_575 -*17880 FILLER_54_587 -*17881 FILLER_54_589 -*17882 FILLER_54_600 -*17883 FILLER_54_612 -*17884 FILLER_54_622 -*17885 FILLER_54_634 -*17886 FILLER_54_642 -*17887 FILLER_54_645 -*17888 FILLER_54_657 -*17889 FILLER_54_669 -*17890 FILLER_54_68 -*17891 FILLER_54_681 -*17892 FILLER_54_689 -*17893 FILLER_54_698 -*17894 FILLER_54_701 -*17895 FILLER_54_713 -*17896 FILLER_54_725 -*17897 FILLER_54_729 -*17898 FILLER_54_751 -*17899 FILLER_54_755 -*17900 FILLER_54_757 -*17901 FILLER_54_775 -*17902 FILLER_54_80 -*17903 FILLER_54_85 -*17904 FILLER_55_10 -*17905 FILLER_55_106 -*17906 FILLER_55_113 -*17907 FILLER_55_123 -*17908 FILLER_55_135 -*17909 FILLER_55_147 -*17910 FILLER_55_157 -*17911 FILLER_55_165 -*17912 FILLER_55_169 -*17913 FILLER_55_181 -*17914 FILLER_55_193 -*17915 FILLER_55_205 -*17916 FILLER_55_217 -*17917 FILLER_55_22 -*17918 FILLER_55_223 -*17919 FILLER_55_225 -*17920 FILLER_55_237 -*17921 FILLER_55_249 -*17922 FILLER_55_264 -*17923 FILLER_55_276 -*17924 FILLER_55_281 -*17925 FILLER_55_292 -*17926 FILLER_55_3 -*17927 FILLER_55_304 -*17928 FILLER_55_316 -*17929 FILLER_55_329 -*17930 FILLER_55_335 -*17931 FILLER_55_337 -*17932 FILLER_55_34 -*17933 FILLER_55_349 -*17934 FILLER_55_361 -*17935 FILLER_55_373 -*17936 FILLER_55_38 -*17937 FILLER_55_385 -*17938 FILLER_55_391 -*17939 FILLER_55_393 -*17940 FILLER_55_421 -*17941 FILLER_55_427 -*17942 FILLER_55_435 -*17943 FILLER_55_447 -*17944 FILLER_55_449 -*17945 FILLER_55_459 -*17946 FILLER_55_47 -*17947 FILLER_55_471 -*17948 FILLER_55_483 -*17949 FILLER_55_495 -*17950 FILLER_55_503 -*17951 FILLER_55_505 -*17952 FILLER_55_517 -*17953 FILLER_55_529 -*17954 FILLER_55_54 -*17955 FILLER_55_541 -*17956 FILLER_55_549 -*17957 FILLER_55_558 -*17958 FILLER_55_561 -*17959 FILLER_55_57 -*17960 FILLER_55_583 -*17961 FILLER_55_596 -*17962 FILLER_55_606 -*17963 FILLER_55_613 -*17964 FILLER_55_617 -*17965 FILLER_55_629 -*17966 FILLER_55_641 -*17967 FILLER_55_653 -*17968 FILLER_55_665 -*17969 FILLER_55_671 -*17970 FILLER_55_673 -*17971 FILLER_55_681 -*17972 FILLER_55_684 -*17973 FILLER_55_693 -*17974 FILLER_55_705 -*17975 FILLER_55_717 -*17976 FILLER_55_725 -*17977 FILLER_55_729 -*17978 FILLER_55_742 -*17979 FILLER_55_754 -*17980 FILLER_55_775 -*17981 FILLER_55_85 -*17982 FILLER_55_94 -*17983 FILLER_56_100 -*17984 FILLER_56_12 -*17985 FILLER_56_124 -*17986 FILLER_56_137 -*17987 FILLER_56_141 -*17988 FILLER_56_148 -*17989 FILLER_56_152 -*17990 FILLER_56_173 -*17991 FILLER_56_184 -*17992 FILLER_56_197 -*17993 FILLER_56_209 -*17994 FILLER_56_217 -*17995 FILLER_56_237 -*17996 FILLER_56_24 -*17997 FILLER_56_249 -*17998 FILLER_56_253 -*17999 FILLER_56_263 -*18000 FILLER_56_275 -*18001 FILLER_56_287 -*18002 FILLER_56_29 -*18003 FILLER_56_291 -*18004 FILLER_56_3 -*18005 FILLER_56_300 -*18006 FILLER_56_309 -*18007 FILLER_56_321 -*18008 FILLER_56_333 -*18009 FILLER_56_345 -*18010 FILLER_56_357 -*18011 FILLER_56_36 -*18012 FILLER_56_363 -*18013 FILLER_56_365 -*18014 FILLER_56_377 -*18015 FILLER_56_389 -*18016 FILLER_56_407 -*18017 FILLER_56_419 -*18018 FILLER_56_421 -*18019 FILLER_56_433 -*18020 FILLER_56_448 -*18021 FILLER_56_460 -*18022 FILLER_56_472 -*18023 FILLER_56_477 -*18024 FILLER_56_48 -*18025 FILLER_56_489 -*18026 FILLER_56_497 -*18027 FILLER_56_506 -*18028 FILLER_56_530 -*18029 FILLER_56_533 -*18030 FILLER_56_545 -*18031 FILLER_56_55 -*18032 FILLER_56_555 -*18033 FILLER_56_568 -*18034 FILLER_56_583 -*18035 FILLER_56_587 -*18036 FILLER_56_589 -*18037 FILLER_56_599 -*18038 FILLER_56_603 -*18039 FILLER_56_612 -*18040 FILLER_56_628 -*18041 FILLER_56_640 -*18042 FILLER_56_645 -*18043 FILLER_56_657 -*18044 FILLER_56_669 -*18045 FILLER_56_67 -*18046 FILLER_56_681 -*18047 FILLER_56_685 -*18048 FILLER_56_694 -*18049 FILLER_56_701 -*18050 FILLER_56_713 -*18051 FILLER_56_725 -*18052 FILLER_56_73 -*18053 FILLER_56_741 -*18054 FILLER_56_753 -*18055 FILLER_56_757 -*18056 FILLER_56_766 -*18057 FILLER_56_774 -*18058 FILLER_56_82 -*18059 FILLER_56_85 -*18060 FILLER_56_89 -*18061 FILLER_57_106 -*18062 FILLER_57_113 -*18063 FILLER_57_137 -*18064 FILLER_57_14 -*18065 FILLER_57_147 -*18066 FILLER_57_151 -*18067 FILLER_57_160 -*18068 FILLER_57_169 -*18069 FILLER_57_179 -*18070 FILLER_57_185 -*18071 FILLER_57_194 -*18072 FILLER_57_206 -*18073 FILLER_57_218 -*18074 FILLER_57_225 -*18075 FILLER_57_237 -*18076 FILLER_57_256 -*18077 FILLER_57_26 -*18078 FILLER_57_269 -*18079 FILLER_57_277 -*18080 FILLER_57_281 -*18081 FILLER_57_291 -*18082 FILLER_57_3 -*18083 FILLER_57_303 -*18084 FILLER_57_315 -*18085 FILLER_57_327 -*18086 FILLER_57_335 -*18087 FILLER_57_337 -*18088 FILLER_57_349 -*18089 FILLER_57_368 -*18090 FILLER_57_376 -*18091 FILLER_57_38 -*18092 FILLER_57_382 -*18093 FILLER_57_390 -*18094 FILLER_57_393 -*18095 FILLER_57_404 -*18096 FILLER_57_416 -*18097 FILLER_57_432 -*18098 FILLER_57_444 -*18099 FILLER_57_449 -*18100 FILLER_57_461 -*18101 FILLER_57_473 -*18102 FILLER_57_485 -*18103 FILLER_57_497 -*18104 FILLER_57_503 -*18105 FILLER_57_505 -*18106 FILLER_57_51 -*18107 FILLER_57_527 -*18108 FILLER_57_539 -*18109 FILLER_57_55 -*18110 FILLER_57_551 -*18111 FILLER_57_558 -*18112 FILLER_57_561 -*18113 FILLER_57_567 -*18114 FILLER_57_57 -*18115 FILLER_57_588 -*18116 FILLER_57_600 -*18117 FILLER_57_604 -*18118 FILLER_57_614 -*18119 FILLER_57_617 -*18120 FILLER_57_627 -*18121 FILLER_57_639 -*18122 FILLER_57_651 -*18123 FILLER_57_663 -*18124 FILLER_57_671 -*18125 FILLER_57_673 -*18126 FILLER_57_695 -*18127 FILLER_57_707 -*18128 FILLER_57_719 -*18129 FILLER_57_727 -*18130 FILLER_57_729 -*18131 FILLER_57_741 -*18132 FILLER_57_753 -*18133 FILLER_57_765 -*18134 FILLER_57_775 -*18135 FILLER_57_79 -*18136 FILLER_57_85 -*18137 FILLER_58_12 -*18138 FILLER_58_124 -*18139 FILLER_58_137 -*18140 FILLER_58_141 -*18141 FILLER_58_148 -*18142 FILLER_58_160 -*18143 FILLER_58_184 -*18144 FILLER_58_197 -*18145 FILLER_58_209 -*18146 FILLER_58_221 -*18147 FILLER_58_233 -*18148 FILLER_58_24 -*18149 FILLER_58_241 -*18150 FILLER_58_249 -*18151 FILLER_58_253 -*18152 FILLER_58_276 -*18153 FILLER_58_288 -*18154 FILLER_58_29 -*18155 FILLER_58_3 -*18156 FILLER_58_300 -*18157 FILLER_58_309 -*18158 FILLER_58_321 -*18159 FILLER_58_333 -*18160 FILLER_58_338 -*18161 FILLER_58_348 -*18162 FILLER_58_358 -*18163 FILLER_58_365 -*18164 FILLER_58_377 -*18165 FILLER_58_389 -*18166 FILLER_58_401 -*18167 FILLER_58_414 -*18168 FILLER_58_421 -*18169 FILLER_58_433 -*18170 FILLER_58_447 -*18171 FILLER_58_451 -*18172 FILLER_58_458 -*18173 FILLER_58_463 -*18174 FILLER_58_473 -*18175 FILLER_58_477 -*18176 FILLER_58_488 -*18177 FILLER_58_500 -*18178 FILLER_58_51 -*18179 FILLER_58_512 -*18180 FILLER_58_518 -*18181 FILLER_58_528 -*18182 FILLER_58_533 -*18183 FILLER_58_545 -*18184 FILLER_58_555 -*18185 FILLER_58_567 -*18186 FILLER_58_575 -*18187 FILLER_58_586 -*18188 FILLER_58_589 -*18189 FILLER_58_597 -*18190 FILLER_58_623 -*18191 FILLER_58_635 -*18192 FILLER_58_64 -*18193 FILLER_58_643 -*18194 FILLER_58_645 -*18195 FILLER_58_653 -*18196 FILLER_58_664 -*18197 FILLER_58_684 -*18198 FILLER_58_696 -*18199 FILLER_58_701 -*18200 FILLER_58_713 -*18201 FILLER_58_72 -*18202 FILLER_58_725 -*18203 FILLER_58_737 -*18204 FILLER_58_749 -*18205 FILLER_58_755 -*18206 FILLER_58_757 -*18207 FILLER_58_775 -*18208 FILLER_58_82 -*18209 FILLER_58_85 -*18210 FILLER_58_89 -*18211 FILLER_58_99 -*18212 FILLER_59_100 -*18213 FILLER_59_11 -*18214 FILLER_59_110 -*18215 FILLER_59_113 -*18216 FILLER_59_117 -*18217 FILLER_59_138 -*18218 FILLER_59_150 -*18219 FILLER_59_156 -*18220 FILLER_59_166 -*18221 FILLER_59_169 -*18222 FILLER_59_175 -*18223 FILLER_59_187 -*18224 FILLER_59_199 -*18225 FILLER_59_215 -*18226 FILLER_59_223 -*18227 FILLER_59_225 -*18228 FILLER_59_23 -*18229 FILLER_59_237 -*18230 FILLER_59_249 -*18231 FILLER_59_257 -*18232 FILLER_59_266 -*18233 FILLER_59_278 -*18234 FILLER_59_281 -*18235 FILLER_59_293 -*18236 FILLER_59_3 -*18237 FILLER_59_302 -*18238 FILLER_59_306 -*18239 FILLER_59_316 -*18240 FILLER_59_328 -*18241 FILLER_59_337 -*18242 FILLER_59_349 -*18243 FILLER_59_35 -*18244 FILLER_59_361 -*18245 FILLER_59_373 -*18246 FILLER_59_385 -*18247 FILLER_59_391 -*18248 FILLER_59_393 -*18249 FILLER_59_405 -*18250 FILLER_59_417 -*18251 FILLER_59_429 -*18252 FILLER_59_441 -*18253 FILLER_59_447 -*18254 FILLER_59_449 -*18255 FILLER_59_461 -*18256 FILLER_59_467 -*18257 FILLER_59_48 -*18258 FILLER_59_491 -*18259 FILLER_59_503 -*18260 FILLER_59_505 -*18261 FILLER_59_513 -*18262 FILLER_59_524 -*18263 FILLER_59_532 -*18264 FILLER_59_542 -*18265 FILLER_59_554 -*18266 FILLER_59_561 -*18267 FILLER_59_57 -*18268 FILLER_59_572 -*18269 FILLER_59_603 -*18270 FILLER_59_615 -*18271 FILLER_59_617 -*18272 FILLER_59_629 -*18273 FILLER_59_641 -*18274 FILLER_59_65 -*18275 FILLER_59_653 -*18276 FILLER_59_665 -*18277 FILLER_59_671 -*18278 FILLER_59_673 -*18279 FILLER_59_685 -*18280 FILLER_59_697 -*18281 FILLER_59_709 -*18282 FILLER_59_721 -*18283 FILLER_59_727 -*18284 FILLER_59_729 -*18285 FILLER_59_738 -*18286 FILLER_59_750 -*18287 FILLER_59_758 -*18288 FILLER_59_768 -*18289 FILLER_59_776 -*18290 FILLER_59_92 -*18291 FILLER_5_110 -*18292 FILLER_5_113 -*18293 FILLER_5_12 -*18294 FILLER_5_122 -*18295 FILLER_5_135 -*18296 FILLER_5_160 -*18297 FILLER_5_169 -*18298 FILLER_5_18 -*18299 FILLER_5_194 -*18300 FILLER_5_222 -*18301 FILLER_5_225 -*18302 FILLER_5_236 -*18303 FILLER_5_260 -*18304 FILLER_5_268 -*18305 FILLER_5_278 -*18306 FILLER_5_281 -*18307 FILLER_5_291 -*18308 FILLER_5_3 -*18309 FILLER_5_30 -*18310 FILLER_5_311 -*18311 FILLER_5_317 -*18312 FILLER_5_334 -*18313 FILLER_5_337 -*18314 FILLER_5_341 -*18315 FILLER_5_351 -*18316 FILLER_5_376 -*18317 FILLER_5_380 -*18318 FILLER_5_390 -*18319 FILLER_5_393 -*18320 FILLER_5_401 -*18321 FILLER_5_42 -*18322 FILLER_5_426 -*18323 FILLER_5_446 -*18324 FILLER_5_449 -*18325 FILLER_5_467 -*18326 FILLER_5_477 -*18327 FILLER_5_481 -*18328 FILLER_5_489 -*18329 FILLER_5_502 -*18330 FILLER_5_505 -*18331 FILLER_5_515 -*18332 FILLER_5_525 -*18333 FILLER_5_529 -*18334 FILLER_5_54 -*18335 FILLER_5_550 -*18336 FILLER_5_558 -*18337 FILLER_5_561 -*18338 FILLER_5_568 -*18339 FILLER_5_57 -*18340 FILLER_5_581 -*18341 FILLER_5_589 -*18342 FILLER_5_603 -*18343 FILLER_5_614 -*18344 FILLER_5_617 -*18345 FILLER_5_627 -*18346 FILLER_5_63 -*18347 FILLER_5_639 -*18348 FILLER_5_650 -*18349 FILLER_5_659 -*18350 FILLER_5_663 -*18351 FILLER_5_668 -*18352 FILLER_5_673 -*18353 FILLER_5_678 -*18354 FILLER_5_709 -*18355 FILLER_5_72 -*18356 FILLER_5_722 -*18357 FILLER_5_729 -*18358 FILLER_5_741 -*18359 FILLER_5_753 -*18360 FILLER_5_765 -*18361 FILLER_5_8 -*18362 FILLER_5_84 -*18363 FILLER_5_97 -*18364 FILLER_60_107 -*18365 FILLER_60_123 -*18366 FILLER_60_135 -*18367 FILLER_60_139 -*18368 FILLER_60_141 -*18369 FILLER_60_158 -*18370 FILLER_60_169 -*18371 FILLER_60_178 -*18372 FILLER_60_190 -*18373 FILLER_60_197 -*18374 FILLER_60_209 -*18375 FILLER_60_21 -*18376 FILLER_60_221 -*18377 FILLER_60_233 -*18378 FILLER_60_245 -*18379 FILLER_60_251 -*18380 FILLER_60_253 -*18381 FILLER_60_265 -*18382 FILLER_60_269 -*18383 FILLER_60_27 -*18384 FILLER_60_277 -*18385 FILLER_60_29 -*18386 FILLER_60_291 -*18387 FILLER_60_3 -*18388 FILLER_60_303 -*18389 FILLER_60_307 -*18390 FILLER_60_309 -*18391 FILLER_60_321 -*18392 FILLER_60_333 -*18393 FILLER_60_345 -*18394 FILLER_60_357 -*18395 FILLER_60_363 -*18396 FILLER_60_365 -*18397 FILLER_60_377 -*18398 FILLER_60_38 -*18399 FILLER_60_389 -*18400 FILLER_60_401 -*18401 FILLER_60_407 -*18402 FILLER_60_416 -*18403 FILLER_60_421 -*18404 FILLER_60_433 -*18405 FILLER_60_445 -*18406 FILLER_60_456 -*18407 FILLER_60_468 -*18408 FILLER_60_477 -*18409 FILLER_60_487 -*18410 FILLER_60_499 -*18411 FILLER_60_50 -*18412 FILLER_60_511 -*18413 FILLER_60_523 -*18414 FILLER_60_531 -*18415 FILLER_60_533 -*18416 FILLER_60_545 -*18417 FILLER_60_553 -*18418 FILLER_60_57 -*18419 FILLER_60_575 -*18420 FILLER_60_587 -*18421 FILLER_60_589 -*18422 FILLER_60_600 -*18423 FILLER_60_613 -*18424 FILLER_60_625 -*18425 FILLER_60_637 -*18426 FILLER_60_643 -*18427 FILLER_60_645 -*18428 FILLER_60_654 -*18429 FILLER_60_658 -*18430 FILLER_60_670 -*18431 FILLER_60_682 -*18432 FILLER_60_69 -*18433 FILLER_60_694 -*18434 FILLER_60_701 -*18435 FILLER_60_712 -*18436 FILLER_60_724 -*18437 FILLER_60_73 -*18438 FILLER_60_736 -*18439 FILLER_60_748 -*18440 FILLER_60_757 -*18441 FILLER_60_774 -*18442 FILLER_60_82 -*18443 FILLER_60_85 -*18444 FILLER_61_104 -*18445 FILLER_61_113 -*18446 FILLER_61_117 -*18447 FILLER_61_12 -*18448 FILLER_61_126 -*18449 FILLER_61_138 -*18450 FILLER_61_163 -*18451 FILLER_61_167 -*18452 FILLER_61_169 -*18453 FILLER_61_178 -*18454 FILLER_61_187 -*18455 FILLER_61_199 -*18456 FILLER_61_211 -*18457 FILLER_61_223 -*18458 FILLER_61_225 -*18459 FILLER_61_233 -*18460 FILLER_61_24 -*18461 FILLER_61_242 -*18462 FILLER_61_250 -*18463 FILLER_61_253 -*18464 FILLER_61_263 -*18465 FILLER_61_275 -*18466 FILLER_61_279 -*18467 FILLER_61_281 -*18468 FILLER_61_293 -*18469 FILLER_61_3 -*18470 FILLER_61_305 -*18471 FILLER_61_317 -*18472 FILLER_61_32 -*18473 FILLER_61_331 -*18474 FILLER_61_335 -*18475 FILLER_61_337 -*18476 FILLER_61_348 -*18477 FILLER_61_357 -*18478 FILLER_61_369 -*18479 FILLER_61_372 -*18480 FILLER_61_390 -*18481 FILLER_61_393 -*18482 FILLER_61_405 -*18483 FILLER_61_417 -*18484 FILLER_61_42 -*18485 FILLER_61_429 -*18486 FILLER_61_441 -*18487 FILLER_61_447 -*18488 FILLER_61_449 -*18489 FILLER_61_461 -*18490 FILLER_61_473 -*18491 FILLER_61_485 -*18492 FILLER_61_495 -*18493 FILLER_61_503 -*18494 FILLER_61_505 -*18495 FILLER_61_513 -*18496 FILLER_61_522 -*18497 FILLER_61_534 -*18498 FILLER_61_54 -*18499 FILLER_61_546 -*18500 FILLER_61_558 -*18501 FILLER_61_561 -*18502 FILLER_61_57 -*18503 FILLER_61_571 -*18504 FILLER_61_583 -*18505 FILLER_61_591 -*18506 FILLER_61_613 -*18507 FILLER_61_617 -*18508 FILLER_61_627 -*18509 FILLER_61_639 -*18510 FILLER_61_64 -*18511 FILLER_61_651 -*18512 FILLER_61_663 -*18513 FILLER_61_671 -*18514 FILLER_61_673 -*18515 FILLER_61_685 -*18516 FILLER_61_693 -*18517 FILLER_61_716 -*18518 FILLER_61_729 -*18519 FILLER_61_741 -*18520 FILLER_61_751 -*18521 FILLER_61_775 -*18522 FILLER_61_91 -*18523 FILLER_62_111 -*18524 FILLER_62_117 -*18525 FILLER_62_126 -*18526 FILLER_62_138 -*18527 FILLER_62_141 -*18528 FILLER_62_151 -*18529 FILLER_62_157 -*18530 FILLER_62_166 -*18531 FILLER_62_178 -*18532 FILLER_62_190 -*18533 FILLER_62_197 -*18534 FILLER_62_209 -*18535 FILLER_62_21 -*18536 FILLER_62_217 -*18537 FILLER_62_221 -*18538 FILLER_62_230 -*18539 FILLER_62_242 -*18540 FILLER_62_250 -*18541 FILLER_62_253 -*18542 FILLER_62_261 -*18543 FILLER_62_27 -*18544 FILLER_62_273 -*18545 FILLER_62_281 -*18546 FILLER_62_29 -*18547 FILLER_62_293 -*18548 FILLER_62_3 -*18549 FILLER_62_305 -*18550 FILLER_62_309 -*18551 FILLER_62_321 -*18552 FILLER_62_333 -*18553 FILLER_62_345 -*18554 FILLER_62_35 -*18555 FILLER_62_357 -*18556 FILLER_62_363 -*18557 FILLER_62_365 -*18558 FILLER_62_377 -*18559 FILLER_62_389 -*18560 FILLER_62_395 -*18561 FILLER_62_402 -*18562 FILLER_62_406 -*18563 FILLER_62_413 -*18564 FILLER_62_419 -*18565 FILLER_62_421 -*18566 FILLER_62_433 -*18567 FILLER_62_442 -*18568 FILLER_62_454 -*18569 FILLER_62_466 -*18570 FILLER_62_474 -*18571 FILLER_62_477 -*18572 FILLER_62_489 -*18573 FILLER_62_501 -*18574 FILLER_62_513 -*18575 FILLER_62_525 -*18576 FILLER_62_531 -*18577 FILLER_62_533 -*18578 FILLER_62_545 -*18579 FILLER_62_557 -*18580 FILLER_62_571 -*18581 FILLER_62_583 -*18582 FILLER_62_587 -*18583 FILLER_62_589 -*18584 FILLER_62_59 -*18585 FILLER_62_611 -*18586 FILLER_62_623 -*18587 FILLER_62_634 -*18588 FILLER_62_642 -*18589 FILLER_62_645 -*18590 FILLER_62_657 -*18591 FILLER_62_669 -*18592 FILLER_62_681 -*18593 FILLER_62_690 -*18594 FILLER_62_698 -*18595 FILLER_62_701 -*18596 FILLER_62_711 -*18597 FILLER_62_72 -*18598 FILLER_62_723 -*18599 FILLER_62_731 -*18600 FILLER_62_741 -*18601 FILLER_62_754 -*18602 FILLER_62_757 -*18603 FILLER_62_770 -*18604 FILLER_62_774 -*18605 FILLER_62_82 -*18606 FILLER_62_85 -*18607 FILLER_62_99 -*18608 FILLER_63_105 -*18609 FILLER_63_111 -*18610 FILLER_63_113 -*18611 FILLER_63_136 -*18612 FILLER_63_149 -*18613 FILLER_63_161 -*18614 FILLER_63_167 -*18615 FILLER_63_174 -*18616 FILLER_63_178 -*18617 FILLER_63_187 -*18618 FILLER_63_199 -*18619 FILLER_63_203 -*18620 FILLER_63_21 -*18621 FILLER_63_211 -*18622 FILLER_63_223 -*18623 FILLER_63_225 -*18624 FILLER_63_237 -*18625 FILLER_63_249 -*18626 FILLER_63_25 -*18627 FILLER_63_265 -*18628 FILLER_63_278 -*18629 FILLER_63_281 -*18630 FILLER_63_287 -*18631 FILLER_63_3 -*18632 FILLER_63_300 -*18633 FILLER_63_312 -*18634 FILLER_63_323 -*18635 FILLER_63_335 -*18636 FILLER_63_337 -*18637 FILLER_63_347 -*18638 FILLER_63_359 -*18639 FILLER_63_369 -*18640 FILLER_63_381 -*18641 FILLER_63_389 -*18642 FILLER_63_393 -*18643 FILLER_63_403 -*18644 FILLER_63_411 -*18645 FILLER_63_424 -*18646 FILLER_63_436 -*18647 FILLER_63_444 -*18648 FILLER_63_449 -*18649 FILLER_63_46 -*18650 FILLER_63_461 -*18651 FILLER_63_473 -*18652 FILLER_63_485 -*18653 FILLER_63_497 -*18654 FILLER_63_503 -*18655 FILLER_63_505 -*18656 FILLER_63_517 -*18657 FILLER_63_529 -*18658 FILLER_63_54 -*18659 FILLER_63_541 -*18660 FILLER_63_553 -*18661 FILLER_63_559 -*18662 FILLER_63_561 -*18663 FILLER_63_57 -*18664 FILLER_63_573 -*18665 FILLER_63_585 -*18666 FILLER_63_606 -*18667 FILLER_63_614 -*18668 FILLER_63_617 -*18669 FILLER_63_627 -*18670 FILLER_63_639 -*18671 FILLER_63_659 -*18672 FILLER_63_663 -*18673 FILLER_63_67 -*18674 FILLER_63_670 -*18675 FILLER_63_673 -*18676 FILLER_63_685 -*18677 FILLER_63_707 -*18678 FILLER_63_71 -*18679 FILLER_63_718 -*18680 FILLER_63_726 -*18681 FILLER_63_729 -*18682 FILLER_63_741 -*18683 FILLER_63_751 -*18684 FILLER_63_775 -*18685 FILLER_63_80 -*18686 FILLER_63_84 -*18687 FILLER_63_92 -*18688 FILLER_64_104 -*18689 FILLER_64_112 -*18690 FILLER_64_138 -*18691 FILLER_64_15 -*18692 FILLER_64_162 -*18693 FILLER_64_166 -*18694 FILLER_64_176 -*18695 FILLER_64_184 -*18696 FILLER_64_194 -*18697 FILLER_64_197 -*18698 FILLER_64_206 -*18699 FILLER_64_210 -*18700 FILLER_64_220 -*18701 FILLER_64_232 -*18702 FILLER_64_244 -*18703 FILLER_64_253 -*18704 FILLER_64_26 -*18705 FILLER_64_268 -*18706 FILLER_64_280 -*18707 FILLER_64_29 -*18708 FILLER_64_3 -*18709 FILLER_64_304 -*18710 FILLER_64_309 -*18711 FILLER_64_319 -*18712 FILLER_64_331 -*18713 FILLER_64_339 -*18714 FILLER_64_349 -*18715 FILLER_64_361 -*18716 FILLER_64_365 -*18717 FILLER_64_377 -*18718 FILLER_64_389 -*18719 FILLER_64_401 -*18720 FILLER_64_418 -*18721 FILLER_64_421 -*18722 FILLER_64_43 -*18723 FILLER_64_433 -*18724 FILLER_64_445 -*18725 FILLER_64_457 -*18726 FILLER_64_471 -*18727 FILLER_64_475 -*18728 FILLER_64_477 -*18729 FILLER_64_489 -*18730 FILLER_64_501 -*18731 FILLER_64_513 -*18732 FILLER_64_525 -*18733 FILLER_64_531 -*18734 FILLER_64_533 -*18735 FILLER_64_541 -*18736 FILLER_64_550 -*18737 FILLER_64_563 -*18738 FILLER_64_567 -*18739 FILLER_64_577 -*18740 FILLER_64_581 -*18741 FILLER_64_587 -*18742 FILLER_64_589 -*18743 FILLER_64_611 -*18744 FILLER_64_623 -*18745 FILLER_64_635 -*18746 FILLER_64_643 -*18747 FILLER_64_645 -*18748 FILLER_64_657 -*18749 FILLER_64_669 -*18750 FILLER_64_681 -*18751 FILLER_64_693 -*18752 FILLER_64_699 -*18753 FILLER_64_701 -*18754 FILLER_64_713 -*18755 FILLER_64_725 -*18756 FILLER_64_737 -*18757 FILLER_64_74 -*18758 FILLER_64_749 -*18759 FILLER_64_755 -*18760 FILLER_64_757 -*18761 FILLER_64_775 -*18762 FILLER_64_82 -*18763 FILLER_64_89 -*18764 FILLER_64_93 -*18765 FILLER_65_100 -*18766 FILLER_65_110 -*18767 FILLER_65_113 -*18768 FILLER_65_119 -*18769 FILLER_65_129 -*18770 FILLER_65_156 -*18771 FILLER_65_166 -*18772 FILLER_65_169 -*18773 FILLER_65_179 -*18774 FILLER_65_190 -*18775 FILLER_65_196 -*18776 FILLER_65_203 -*18777 FILLER_65_21 -*18778 FILLER_65_215 -*18779 FILLER_65_223 -*18780 FILLER_65_225 -*18781 FILLER_65_237 -*18782 FILLER_65_241 -*18783 FILLER_65_250 -*18784 FILLER_65_274 -*18785 FILLER_65_281 -*18786 FILLER_65_3 -*18787 FILLER_65_303 -*18788 FILLER_65_307 -*18789 FILLER_65_317 -*18790 FILLER_65_329 -*18791 FILLER_65_33 -*18792 FILLER_65_335 -*18793 FILLER_65_337 -*18794 FILLER_65_345 -*18795 FILLER_65_348 -*18796 FILLER_65_358 -*18797 FILLER_65_362 -*18798 FILLER_65_374 -*18799 FILLER_65_386 -*18800 FILLER_65_393 -*18801 FILLER_65_405 -*18802 FILLER_65_417 -*18803 FILLER_65_429 -*18804 FILLER_65_441 -*18805 FILLER_65_447 -*18806 FILLER_65_449 -*18807 FILLER_65_45 -*18808 FILLER_65_461 -*18809 FILLER_65_473 -*18810 FILLER_65_485 -*18811 FILLER_65_497 -*18812 FILLER_65_503 -*18813 FILLER_65_505 -*18814 FILLER_65_514 -*18815 FILLER_65_518 -*18816 FILLER_65_530 -*18817 FILLER_65_54 -*18818 FILLER_65_541 -*18819 FILLER_65_553 -*18820 FILLER_65_559 -*18821 FILLER_65_561 -*18822 FILLER_65_57 -*18823 FILLER_65_573 -*18824 FILLER_65_585 -*18825 FILLER_65_596 -*18826 FILLER_65_608 -*18827 FILLER_65_617 -*18828 FILLER_65_629 -*18829 FILLER_65_641 -*18830 FILLER_65_653 -*18831 FILLER_65_665 -*18832 FILLER_65_671 -*18833 FILLER_65_673 -*18834 FILLER_65_685 -*18835 FILLER_65_69 -*18836 FILLER_65_697 -*18837 FILLER_65_709 -*18838 FILLER_65_721 -*18839 FILLER_65_727 -*18840 FILLER_65_729 -*18841 FILLER_65_741 -*18842 FILLER_65_753 -*18843 FILLER_65_764 -*18844 FILLER_65_776 -*18845 FILLER_65_96 -*18846 FILLER_66_118 -*18847 FILLER_66_135 -*18848 FILLER_66_139 -*18849 FILLER_66_141 -*18850 FILLER_66_154 -*18851 FILLER_66_166 -*18852 FILLER_66_178 -*18853 FILLER_66_187 -*18854 FILLER_66_195 -*18855 FILLER_66_197 -*18856 FILLER_66_209 -*18857 FILLER_66_22 -*18858 FILLER_66_221 -*18859 FILLER_66_229 -*18860 FILLER_66_237 -*18861 FILLER_66_247 -*18862 FILLER_66_251 -*18863 FILLER_66_253 -*18864 FILLER_66_281 -*18865 FILLER_66_285 -*18866 FILLER_66_29 -*18867 FILLER_66_298 -*18868 FILLER_66_3 -*18869 FILLER_66_306 -*18870 FILLER_66_309 -*18871 FILLER_66_321 -*18872 FILLER_66_332 -*18873 FILLER_66_343 -*18874 FILLER_66_355 -*18875 FILLER_66_363 -*18876 FILLER_66_365 -*18877 FILLER_66_373 -*18878 FILLER_66_382 -*18879 FILLER_66_394 -*18880 FILLER_66_40 -*18881 FILLER_66_404 -*18882 FILLER_66_416 -*18883 FILLER_66_421 -*18884 FILLER_66_433 -*18885 FILLER_66_445 -*18886 FILLER_66_457 -*18887 FILLER_66_469 -*18888 FILLER_66_475 -*18889 FILLER_66_477 -*18890 FILLER_66_483 -*18891 FILLER_66_493 -*18892 FILLER_66_505 -*18893 FILLER_66_513 -*18894 FILLER_66_52 -*18895 FILLER_66_525 -*18896 FILLER_66_531 -*18897 FILLER_66_533 -*18898 FILLER_66_545 -*18899 FILLER_66_557 -*18900 FILLER_66_56 -*18901 FILLER_66_569 -*18902 FILLER_66_581 -*18903 FILLER_66_587 -*18904 FILLER_66_589 -*18905 FILLER_66_614 -*18906 FILLER_66_626 -*18907 FILLER_66_638 -*18908 FILLER_66_645 -*18909 FILLER_66_657 -*18910 FILLER_66_66 -*18911 FILLER_66_669 -*18912 FILLER_66_681 -*18913 FILLER_66_693 -*18914 FILLER_66_699 -*18915 FILLER_66_701 -*18916 FILLER_66_713 -*18917 FILLER_66_721 -*18918 FILLER_66_731 -*18919 FILLER_66_743 -*18920 FILLER_66_755 -*18921 FILLER_66_757 -*18922 FILLER_66_769 -*18923 FILLER_66_82 -*18924 FILLER_66_85 -*18925 FILLER_66_91 -*18926 FILLER_67_110 -*18927 FILLER_67_113 -*18928 FILLER_67_117 -*18929 FILLER_67_127 -*18930 FILLER_67_139 -*18931 FILLER_67_151 -*18932 FILLER_67_155 -*18933 FILLER_67_164 -*18934 FILLER_67_169 -*18935 FILLER_67_178 -*18936 FILLER_67_187 -*18937 FILLER_67_199 -*18938 FILLER_67_21 -*18939 FILLER_67_211 -*18940 FILLER_67_223 -*18941 FILLER_67_225 -*18942 FILLER_67_237 -*18943 FILLER_67_245 -*18944 FILLER_67_254 -*18945 FILLER_67_267 -*18946 FILLER_67_279 -*18947 FILLER_67_281 -*18948 FILLER_67_287 -*18949 FILLER_67_296 -*18950 FILLER_67_3 -*18951 FILLER_67_308 -*18952 FILLER_67_317 -*18953 FILLER_67_329 -*18954 FILLER_67_335 -*18955 FILLER_67_337 -*18956 FILLER_67_34 -*18957 FILLER_67_355 -*18958 FILLER_67_363 -*18959 FILLER_67_373 -*18960 FILLER_67_385 -*18961 FILLER_67_391 -*18962 FILLER_67_393 -*18963 FILLER_67_405 -*18964 FILLER_67_417 -*18965 FILLER_67_423 -*18966 FILLER_67_426 -*18967 FILLER_67_436 -*18968 FILLER_67_449 -*18969 FILLER_67_461 -*18970 FILLER_67_469 -*18971 FILLER_67_47 -*18972 FILLER_67_478 -*18973 FILLER_67_482 -*18974 FILLER_67_491 -*18975 FILLER_67_503 -*18976 FILLER_67_505 -*18977 FILLER_67_511 -*18978 FILLER_67_532 -*18979 FILLER_67_54 -*18980 FILLER_67_544 -*18981 FILLER_67_556 -*18982 FILLER_67_561 -*18983 FILLER_67_57 -*18984 FILLER_67_573 -*18985 FILLER_67_585 -*18986 FILLER_67_597 -*18987 FILLER_67_614 -*18988 FILLER_67_617 -*18989 FILLER_67_627 -*18990 FILLER_67_639 -*18991 FILLER_67_659 -*18992 FILLER_67_67 -*18993 FILLER_67_671 -*18994 FILLER_67_673 -*18995 FILLER_67_687 -*18996 FILLER_67_701 -*18997 FILLER_67_713 -*18998 FILLER_67_725 -*18999 FILLER_67_729 -*19000 FILLER_67_73 -*19001 FILLER_67_741 -*19002 FILLER_67_753 -*19003 FILLER_67_775 -*19004 FILLER_67_82 -*19005 FILLER_67_86 -*19006 FILLER_68_112 -*19007 FILLER_68_120 -*19008 FILLER_68_131 -*19009 FILLER_68_138 -*19010 FILLER_68_141 -*19011 FILLER_68_152 -*19012 FILLER_68_176 -*19013 FILLER_68_187 -*19014 FILLER_68_195 -*19015 FILLER_68_197 -*19016 FILLER_68_209 -*19017 FILLER_68_21 -*19018 FILLER_68_221 -*19019 FILLER_68_233 -*19020 FILLER_68_245 -*19021 FILLER_68_251 -*19022 FILLER_68_253 -*19023 FILLER_68_265 -*19024 FILLER_68_27 -*19025 FILLER_68_273 -*19026 FILLER_68_283 -*19027 FILLER_68_29 -*19028 FILLER_68_295 -*19029 FILLER_68_3 -*19030 FILLER_68_307 -*19031 FILLER_68_309 -*19032 FILLER_68_321 -*19033 FILLER_68_343 -*19034 FILLER_68_349 -*19035 FILLER_68_359 -*19036 FILLER_68_363 -*19037 FILLER_68_365 -*19038 FILLER_68_377 -*19039 FILLER_68_389 -*19040 FILLER_68_403 -*19041 FILLER_68_416 -*19042 FILLER_68_421 -*19043 FILLER_68_433 -*19044 FILLER_68_441 -*19045 FILLER_68_451 -*19046 FILLER_68_455 -*19047 FILLER_68_462 -*19048 FILLER_68_474 -*19049 FILLER_68_477 -*19050 FILLER_68_489 -*19051 FILLER_68_501 -*19052 FILLER_68_51 -*19053 FILLER_68_513 -*19054 FILLER_68_524 -*19055 FILLER_68_533 -*19056 FILLER_68_545 -*19057 FILLER_68_557 -*19058 FILLER_68_569 -*19059 FILLER_68_57 -*19060 FILLER_68_581 -*19061 FILLER_68_587 -*19062 FILLER_68_589 -*19063 FILLER_68_601 -*19064 FILLER_68_623 -*19065 FILLER_68_635 -*19066 FILLER_68_643 -*19067 FILLER_68_645 -*19068 FILLER_68_657 -*19069 FILLER_68_66 -*19070 FILLER_68_669 -*19071 FILLER_68_681 -*19072 FILLER_68_693 -*19073 FILLER_68_699 -*19074 FILLER_68_701 -*19075 FILLER_68_713 -*19076 FILLER_68_725 -*19077 FILLER_68_737 -*19078 FILLER_68_743 -*19079 FILLER_68_750 -*19080 FILLER_68_757 -*19081 FILLER_68_769 -*19082 FILLER_68_79 -*19083 FILLER_68_83 -*19084 FILLER_68_85 -*19085 FILLER_68_99 -*19086 FILLER_69_105 -*19087 FILLER_69_111 -*19088 FILLER_69_113 -*19089 FILLER_69_138 -*19090 FILLER_69_15 -*19091 FILLER_69_153 -*19092 FILLER_69_165 -*19093 FILLER_69_169 -*19094 FILLER_69_180 -*19095 FILLER_69_184 -*19096 FILLER_69_194 -*19097 FILLER_69_202 -*19098 FILLER_69_207 -*19099 FILLER_69_216 -*19100 FILLER_69_225 -*19101 FILLER_69_23 -*19102 FILLER_69_235 -*19103 FILLER_69_247 -*19104 FILLER_69_259 -*19105 FILLER_69_271 -*19106 FILLER_69_279 -*19107 FILLER_69_281 -*19108 FILLER_69_289 -*19109 FILLER_69_298 -*19110 FILLER_69_3 -*19111 FILLER_69_307 -*19112 FILLER_69_319 -*19113 FILLER_69_331 -*19114 FILLER_69_335 -*19115 FILLER_69_337 -*19116 FILLER_69_355 -*19117 FILLER_69_367 -*19118 FILLER_69_379 -*19119 FILLER_69_391 -*19120 FILLER_69_393 -*19121 FILLER_69_405 -*19122 FILLER_69_415 -*19123 FILLER_69_424 -*19124 FILLER_69_436 -*19125 FILLER_69_44 -*19126 FILLER_69_449 -*19127 FILLER_69_461 -*19128 FILLER_69_473 -*19129 FILLER_69_485 -*19130 FILLER_69_496 -*19131 FILLER_69_505 -*19132 FILLER_69_517 -*19133 FILLER_69_529 -*19134 FILLER_69_54 -*19135 FILLER_69_541 -*19136 FILLER_69_558 -*19137 FILLER_69_561 -*19138 FILLER_69_57 -*19139 FILLER_69_572 -*19140 FILLER_69_584 -*19141 FILLER_69_596 -*19142 FILLER_69_604 -*19143 FILLER_69_614 -*19144 FILLER_69_617 -*19145 FILLER_69_628 -*19146 FILLER_69_640 -*19147 FILLER_69_652 -*19148 FILLER_69_664 -*19149 FILLER_69_673 -*19150 FILLER_69_683 -*19151 FILLER_69_69 -*19152 FILLER_69_695 -*19153 FILLER_69_703 -*19154 FILLER_69_708 -*19155 FILLER_69_717 -*19156 FILLER_69_725 -*19157 FILLER_69_729 -*19158 FILLER_69_741 -*19159 FILLER_69_753 -*19160 FILLER_69_759 -*19161 FILLER_69_767 -*19162 FILLER_69_775 -*19163 FILLER_69_93 -*19164 FILLER_6_116 -*19165 FILLER_6_128 -*19166 FILLER_6_138 -*19167 FILLER_6_141 -*19168 FILLER_6_147 -*19169 FILLER_6_16 -*19170 FILLER_6_174 -*19171 FILLER_6_194 -*19172 FILLER_6_197 -*19173 FILLER_6_219 -*19174 FILLER_6_230 -*19175 FILLER_6_250 -*19176 FILLER_6_253 -*19177 FILLER_6_26 -*19178 FILLER_6_263 -*19179 FILLER_6_283 -*19180 FILLER_6_29 -*19181 FILLER_6_3 -*19182 FILLER_6_303 -*19183 FILLER_6_307 -*19184 FILLER_6_309 -*19185 FILLER_6_313 -*19186 FILLER_6_33 -*19187 FILLER_6_330 -*19188 FILLER_6_354 -*19189 FILLER_6_362 -*19190 FILLER_6_365 -*19191 FILLER_6_373 -*19192 FILLER_6_377 -*19193 FILLER_6_399 -*19194 FILLER_6_405 -*19195 FILLER_6_415 -*19196 FILLER_6_419 -*19197 FILLER_6_42 -*19198 FILLER_6_421 -*19199 FILLER_6_428 -*19200 FILLER_6_441 -*19201 FILLER_6_454 -*19202 FILLER_6_467 -*19203 FILLER_6_475 -*19204 FILLER_6_477 -*19205 FILLER_6_487 -*19206 FILLER_6_499 -*19207 FILLER_6_506 -*19208 FILLER_6_530 -*19209 FILLER_6_533 -*19210 FILLER_6_538 -*19211 FILLER_6_54 -*19212 FILLER_6_551 -*19213 FILLER_6_558 -*19214 FILLER_6_564 -*19215 FILLER_6_581 -*19216 FILLER_6_587 -*19217 FILLER_6_589 -*19218 FILLER_6_599 -*19219 FILLER_6_611 -*19220 FILLER_6_642 -*19221 FILLER_6_645 -*19222 FILLER_6_654 -*19223 FILLER_6_66 -*19224 FILLER_6_663 -*19225 FILLER_6_670 -*19226 FILLER_6_677 -*19227 FILLER_6_684 -*19228 FILLER_6_698 -*19229 FILLER_6_701 -*19230 FILLER_6_706 -*19231 FILLER_6_710 -*19232 FILLER_6_719 -*19233 FILLER_6_731 -*19234 FILLER_6_743 -*19235 FILLER_6_755 -*19236 FILLER_6_757 -*19237 FILLER_6_769 -*19238 FILLER_6_78 -*19239 FILLER_6_8 -*19240 FILLER_6_85 -*19241 FILLER_6_93 -*19242 FILLER_70_103 -*19243 FILLER_70_111 -*19244 FILLER_70_133 -*19245 FILLER_70_139 -*19246 FILLER_70_141 -*19247 FILLER_70_166 -*19248 FILLER_70_179 -*19249 FILLER_70_191 -*19250 FILLER_70_195 -*19251 FILLER_70_197 -*19252 FILLER_70_209 -*19253 FILLER_70_21 -*19254 FILLER_70_221 -*19255 FILLER_70_233 -*19256 FILLER_70_245 -*19257 FILLER_70_251 -*19258 FILLER_70_253 -*19259 FILLER_70_263 -*19260 FILLER_70_27 -*19261 FILLER_70_275 -*19262 FILLER_70_287 -*19263 FILLER_70_29 -*19264 FILLER_70_299 -*19265 FILLER_70_3 -*19266 FILLER_70_307 -*19267 FILLER_70_309 -*19268 FILLER_70_321 -*19269 FILLER_70_333 -*19270 FILLER_70_345 -*19271 FILLER_70_357 -*19272 FILLER_70_363 -*19273 FILLER_70_365 -*19274 FILLER_70_377 -*19275 FILLER_70_389 -*19276 FILLER_70_39 -*19277 FILLER_70_401 -*19278 FILLER_70_413 -*19279 FILLER_70_419 -*19280 FILLER_70_421 -*19281 FILLER_70_433 -*19282 FILLER_70_445 -*19283 FILLER_70_457 -*19284 FILLER_70_469 -*19285 FILLER_70_47 -*19286 FILLER_70_475 -*19287 FILLER_70_477 -*19288 FILLER_70_483 -*19289 FILLER_70_504 -*19290 FILLER_70_516 -*19291 FILLER_70_528 -*19292 FILLER_70_533 -*19293 FILLER_70_541 -*19294 FILLER_70_549 -*19295 FILLER_70_555 -*19296 FILLER_70_576 -*19297 FILLER_70_589 -*19298 FILLER_70_602 -*19299 FILLER_70_614 -*19300 FILLER_70_626 -*19301 FILLER_70_638 -*19302 FILLER_70_645 -*19303 FILLER_70_657 -*19304 FILLER_70_663 -*19305 FILLER_70_684 -*19306 FILLER_70_696 -*19307 FILLER_70_701 -*19308 FILLER_70_71 -*19309 FILLER_70_713 -*19310 FILLER_70_725 -*19311 FILLER_70_737 -*19312 FILLER_70_749 -*19313 FILLER_70_755 -*19314 FILLER_70_757 -*19315 FILLER_70_769 -*19316 FILLER_70_775 -*19317 FILLER_70_82 -*19318 FILLER_70_85 -*19319 FILLER_70_90 -*19320 FILLER_71_108 -*19321 FILLER_71_113 -*19322 FILLER_71_136 -*19323 FILLER_71_140 -*19324 FILLER_71_15 -*19325 FILLER_71_162 -*19326 FILLER_71_169 -*19327 FILLER_71_179 -*19328 FILLER_71_191 -*19329 FILLER_71_202 -*19330 FILLER_71_214 -*19331 FILLER_71_222 -*19332 FILLER_71_225 -*19333 FILLER_71_237 -*19334 FILLER_71_249 -*19335 FILLER_71_261 -*19336 FILLER_71_27 -*19337 FILLER_71_272 -*19338 FILLER_71_281 -*19339 FILLER_71_293 -*19340 FILLER_71_3 -*19341 FILLER_71_305 -*19342 FILLER_71_316 -*19343 FILLER_71_328 -*19344 FILLER_71_337 -*19345 FILLER_71_346 -*19346 FILLER_71_350 -*19347 FILLER_71_362 -*19348 FILLER_71_374 -*19349 FILLER_71_386 -*19350 FILLER_71_39 -*19351 FILLER_71_393 -*19352 FILLER_71_402 -*19353 FILLER_71_414 -*19354 FILLER_71_426 -*19355 FILLER_71_438 -*19356 FILLER_71_446 -*19357 FILLER_71_449 -*19358 FILLER_71_45 -*19359 FILLER_71_461 -*19360 FILLER_71_484 -*19361 FILLER_71_496 -*19362 FILLER_71_505 -*19363 FILLER_71_517 -*19364 FILLER_71_529 -*19365 FILLER_71_54 -*19366 FILLER_71_541 -*19367 FILLER_71_545 -*19368 FILLER_71_550 -*19369 FILLER_71_558 -*19370 FILLER_71_561 -*19371 FILLER_71_57 -*19372 FILLER_71_571 -*19373 FILLER_71_596 -*19374 FILLER_71_609 -*19375 FILLER_71_615 -*19376 FILLER_71_617 -*19377 FILLER_71_629 -*19378 FILLER_71_641 -*19379 FILLER_71_653 -*19380 FILLER_71_665 -*19381 FILLER_71_671 -*19382 FILLER_71_673 -*19383 FILLER_71_684 -*19384 FILLER_71_688 -*19385 FILLER_71_709 -*19386 FILLER_71_721 -*19387 FILLER_71_727 -*19388 FILLER_71_729 -*19389 FILLER_71_741 -*19390 FILLER_71_753 -*19391 FILLER_71_765 -*19392 FILLER_71_83 -*19393 FILLER_72_103 -*19394 FILLER_72_113 -*19395 FILLER_72_137 -*19396 FILLER_72_141 -*19397 FILLER_72_158 -*19398 FILLER_72_170 -*19399 FILLER_72_182 -*19400 FILLER_72_194 -*19401 FILLER_72_197 -*19402 FILLER_72_207 -*19403 FILLER_72_21 -*19404 FILLER_72_218 -*19405 FILLER_72_230 -*19406 FILLER_72_245 -*19407 FILLER_72_251 -*19408 FILLER_72_253 -*19409 FILLER_72_27 -*19410 FILLER_72_279 -*19411 FILLER_72_29 -*19412 FILLER_72_291 -*19413 FILLER_72_3 -*19414 FILLER_72_303 -*19415 FILLER_72_307 -*19416 FILLER_72_309 -*19417 FILLER_72_321 -*19418 FILLER_72_333 -*19419 FILLER_72_345 -*19420 FILLER_72_351 -*19421 FILLER_72_358 -*19422 FILLER_72_365 -*19423 FILLER_72_373 -*19424 FILLER_72_385 -*19425 FILLER_72_397 -*19426 FILLER_72_409 -*19427 FILLER_72_417 -*19428 FILLER_72_421 -*19429 FILLER_72_43 -*19430 FILLER_72_433 -*19431 FILLER_72_445 -*19432 FILLER_72_457 -*19433 FILLER_72_469 -*19434 FILLER_72_475 -*19435 FILLER_72_477 -*19436 FILLER_72_487 -*19437 FILLER_72_499 -*19438 FILLER_72_509 -*19439 FILLER_72_521 -*19440 FILLER_72_530 -*19441 FILLER_72_533 -*19442 FILLER_72_545 -*19443 FILLER_72_55 -*19444 FILLER_72_557 -*19445 FILLER_72_569 -*19446 FILLER_72_577 -*19447 FILLER_72_586 -*19448 FILLER_72_589 -*19449 FILLER_72_59 -*19450 FILLER_72_601 -*19451 FILLER_72_610 -*19452 FILLER_72_622 -*19453 FILLER_72_631 -*19454 FILLER_72_643 -*19455 FILLER_72_645 -*19456 FILLER_72_654 -*19457 FILLER_72_666 -*19458 FILLER_72_670 -*19459 FILLER_72_679 -*19460 FILLER_72_68 -*19461 FILLER_72_687 -*19462 FILLER_72_698 -*19463 FILLER_72_701 -*19464 FILLER_72_711 -*19465 FILLER_72_723 -*19466 FILLER_72_731 -*19467 FILLER_72_748 -*19468 FILLER_72_757 -*19469 FILLER_72_769 -*19470 FILLER_72_82 -*19471 FILLER_72_85 -*19472 FILLER_72_95 -*19473 FILLER_73_108 -*19474 FILLER_73_113 -*19475 FILLER_73_120 -*19476 FILLER_73_145 -*19477 FILLER_73_158 -*19478 FILLER_73_16 -*19479 FILLER_73_166 -*19480 FILLER_73_169 -*19481 FILLER_73_179 -*19482 FILLER_73_206 -*19483 FILLER_73_217 -*19484 FILLER_73_223 -*19485 FILLER_73_225 -*19486 FILLER_73_237 -*19487 FILLER_73_24 -*19488 FILLER_73_249 -*19489 FILLER_73_261 -*19490 FILLER_73_271 -*19491 FILLER_73_279 -*19492 FILLER_73_281 -*19493 FILLER_73_293 -*19494 FILLER_73_3 -*19495 FILLER_73_305 -*19496 FILLER_73_317 -*19497 FILLER_73_329 -*19498 FILLER_73_335 -*19499 FILLER_73_337 -*19500 FILLER_73_34 -*19501 FILLER_73_349 -*19502 FILLER_73_361 -*19503 FILLER_73_373 -*19504 FILLER_73_385 -*19505 FILLER_73_391 -*19506 FILLER_73_393 -*19507 FILLER_73_405 -*19508 FILLER_73_424 -*19509 FILLER_73_436 -*19510 FILLER_73_449 -*19511 FILLER_73_458 -*19512 FILLER_73_462 -*19513 FILLER_73_468 -*19514 FILLER_73_478 -*19515 FILLER_73_48 -*19516 FILLER_73_490 -*19517 FILLER_73_502 -*19518 FILLER_73_505 -*19519 FILLER_73_517 -*19520 FILLER_73_529 -*19521 FILLER_73_541 -*19522 FILLER_73_553 -*19523 FILLER_73_559 -*19524 FILLER_73_561 -*19525 FILLER_73_57 -*19526 FILLER_73_573 -*19527 FILLER_73_585 -*19528 FILLER_73_595 -*19529 FILLER_73_599 -*19530 FILLER_73_602 -*19531 FILLER_73_61 -*19532 FILLER_73_613 -*19533 FILLER_73_617 -*19534 FILLER_73_627 -*19535 FILLER_73_638 -*19536 FILLER_73_650 -*19537 FILLER_73_658 -*19538 FILLER_73_666 -*19539 FILLER_73_673 -*19540 FILLER_73_685 -*19541 FILLER_73_699 -*19542 FILLER_73_70 -*19543 FILLER_73_711 -*19544 FILLER_73_723 -*19545 FILLER_73_727 -*19546 FILLER_73_729 -*19547 FILLER_73_752 -*19548 FILLER_73_758 -*19549 FILLER_73_775 -*19550 FILLER_73_82 -*19551 FILLER_73_96 -*19552 FILLER_74_122 -*19553 FILLER_74_138 -*19554 FILLER_74_141 -*19555 FILLER_74_148 -*19556 FILLER_74_173 -*19557 FILLER_74_194 -*19558 FILLER_74_197 -*19559 FILLER_74_208 -*19560 FILLER_74_21 -*19561 FILLER_74_220 -*19562 FILLER_74_224 -*19563 FILLER_74_231 -*19564 FILLER_74_243 -*19565 FILLER_74_251 -*19566 FILLER_74_253 -*19567 FILLER_74_261 -*19568 FILLER_74_27 -*19569 FILLER_74_270 -*19570 FILLER_74_282 -*19571 FILLER_74_29 -*19572 FILLER_74_290 -*19573 FILLER_74_299 -*19574 FILLER_74_3 -*19575 FILLER_74_307 -*19576 FILLER_74_309 -*19577 FILLER_74_321 -*19578 FILLER_74_333 -*19579 FILLER_74_345 -*19580 FILLER_74_357 -*19581 FILLER_74_363 -*19582 FILLER_74_365 -*19583 FILLER_74_377 -*19584 FILLER_74_387 -*19585 FILLER_74_399 -*19586 FILLER_74_411 -*19587 FILLER_74_419 -*19588 FILLER_74_421 -*19589 FILLER_74_433 -*19590 FILLER_74_445 -*19591 FILLER_74_457 -*19592 FILLER_74_469 -*19593 FILLER_74_475 -*19594 FILLER_74_477 -*19595 FILLER_74_489 -*19596 FILLER_74_501 -*19597 FILLER_74_51 -*19598 FILLER_74_513 -*19599 FILLER_74_525 -*19600 FILLER_74_531 -*19601 FILLER_74_533 -*19602 FILLER_74_545 -*19603 FILLER_74_557 -*19604 FILLER_74_569 -*19605 FILLER_74_581 -*19606 FILLER_74_587 -*19607 FILLER_74_589 -*19608 FILLER_74_595 -*19609 FILLER_74_619 -*19610 FILLER_74_634 -*19611 FILLER_74_642 -*19612 FILLER_74_645 -*19613 FILLER_74_677 -*19614 FILLER_74_68 -*19615 FILLER_74_683 -*19616 FILLER_74_691 -*19617 FILLER_74_699 -*19618 FILLER_74_701 -*19619 FILLER_74_713 -*19620 FILLER_74_725 -*19621 FILLER_74_737 -*19622 FILLER_74_749 -*19623 FILLER_74_755 -*19624 FILLER_74_757 -*19625 FILLER_74_769 -*19626 FILLER_74_773 -*19627 FILLER_74_82 -*19628 FILLER_74_85 -*19629 FILLER_74_95 -*19630 FILLER_75_107 -*19631 FILLER_75_111 -*19632 FILLER_75_113 -*19633 FILLER_75_118 -*19634 FILLER_75_145 -*19635 FILLER_75_166 -*19636 FILLER_75_169 -*19637 FILLER_75_173 -*19638 FILLER_75_182 -*19639 FILLER_75_207 -*19640 FILLER_75_21 -*19641 FILLER_75_219 -*19642 FILLER_75_223 -*19643 FILLER_75_225 -*19644 FILLER_75_235 -*19645 FILLER_75_247 -*19646 FILLER_75_259 -*19647 FILLER_75_271 -*19648 FILLER_75_279 -*19649 FILLER_75_28 -*19650 FILLER_75_281 -*19651 FILLER_75_291 -*19652 FILLER_75_299 -*19653 FILLER_75_3 -*19654 FILLER_75_307 -*19655 FILLER_75_319 -*19656 FILLER_75_331 -*19657 FILLER_75_335 -*19658 FILLER_75_337 -*19659 FILLER_75_349 -*19660 FILLER_75_361 -*19661 FILLER_75_373 -*19662 FILLER_75_385 -*19663 FILLER_75_391 -*19664 FILLER_75_393 -*19665 FILLER_75_399 -*19666 FILLER_75_409 -*19667 FILLER_75_41 -*19668 FILLER_75_421 -*19669 FILLER_75_433 -*19670 FILLER_75_445 -*19671 FILLER_75_449 -*19672 FILLER_75_461 -*19673 FILLER_75_473 -*19674 FILLER_75_481 -*19675 FILLER_75_502 -*19676 FILLER_75_505 -*19677 FILLER_75_517 -*19678 FILLER_75_529 -*19679 FILLER_75_54 -*19680 FILLER_75_541 -*19681 FILLER_75_553 -*19682 FILLER_75_559 -*19683 FILLER_75_561 -*19684 FILLER_75_57 -*19685 FILLER_75_571 -*19686 FILLER_75_583 -*19687 FILLER_75_606 -*19688 FILLER_75_614 -*19689 FILLER_75_617 -*19690 FILLER_75_628 -*19691 FILLER_75_632 -*19692 FILLER_75_644 -*19693 FILLER_75_656 -*19694 FILLER_75_668 -*19695 FILLER_75_673 -*19696 FILLER_75_685 -*19697 FILLER_75_697 -*19698 FILLER_75_709 -*19699 FILLER_75_71 -*19700 FILLER_75_721 -*19701 FILLER_75_727 -*19702 FILLER_75_729 -*19703 FILLER_75_741 -*19704 FILLER_75_751 -*19705 FILLER_75_755 -*19706 FILLER_75_764 -*19707 FILLER_75_776 -*19708 FILLER_75_95 -*19709 FILLER_76_108 -*19710 FILLER_76_121 -*19711 FILLER_76_127 -*19712 FILLER_76_137 -*19713 FILLER_76_141 -*19714 FILLER_76_156 -*19715 FILLER_76_16 -*19716 FILLER_76_166 -*19717 FILLER_76_179 -*19718 FILLER_76_191 -*19719 FILLER_76_195 -*19720 FILLER_76_197 -*19721 FILLER_76_209 -*19722 FILLER_76_220 -*19723 FILLER_76_232 -*19724 FILLER_76_240 -*19725 FILLER_76_249 -*19726 FILLER_76_253 -*19727 FILLER_76_26 -*19728 FILLER_76_272 -*19729 FILLER_76_284 -*19730 FILLER_76_29 -*19731 FILLER_76_296 -*19732 FILLER_76_3 -*19733 FILLER_76_309 -*19734 FILLER_76_321 -*19735 FILLER_76_333 -*19736 FILLER_76_345 -*19737 FILLER_76_354 -*19738 FILLER_76_362 -*19739 FILLER_76_365 -*19740 FILLER_76_377 -*19741 FILLER_76_389 -*19742 FILLER_76_401 -*19743 FILLER_76_413 -*19744 FILLER_76_419 -*19745 FILLER_76_421 -*19746 FILLER_76_429 -*19747 FILLER_76_438 -*19748 FILLER_76_450 -*19749 FILLER_76_462 -*19750 FILLER_76_474 -*19751 FILLER_76_477 -*19752 FILLER_76_504 -*19753 FILLER_76_51 -*19754 FILLER_76_517 -*19755 FILLER_76_529 -*19756 FILLER_76_533 -*19757 FILLER_76_545 -*19758 FILLER_76_557 -*19759 FILLER_76_569 -*19760 FILLER_76_581 -*19761 FILLER_76_587 -*19762 FILLER_76_589 -*19763 FILLER_76_601 -*19764 FILLER_76_624 -*19765 FILLER_76_636 -*19766 FILLER_76_645 -*19767 FILLER_76_657 -*19768 FILLER_76_669 -*19769 FILLER_76_681 -*19770 FILLER_76_693 -*19771 FILLER_76_699 -*19772 FILLER_76_701 -*19773 FILLER_76_705 -*19774 FILLER_76_714 -*19775 FILLER_76_718 -*19776 FILLER_76_730 -*19777 FILLER_76_742 -*19778 FILLER_76_754 -*19779 FILLER_76_757 -*19780 FILLER_76_77 -*19781 FILLER_76_775 -*19782 FILLER_76_83 -*19783 FILLER_76_85 -*19784 FILLER_76_96 -*19785 FILLER_77_102 -*19786 FILLER_77_110 -*19787 FILLER_77_113 -*19788 FILLER_77_117 -*19789 FILLER_77_138 -*19790 FILLER_77_163 -*19791 FILLER_77_167 -*19792 FILLER_77_169 -*19793 FILLER_77_194 -*19794 FILLER_77_206 -*19795 FILLER_77_21 -*19796 FILLER_77_218 -*19797 FILLER_77_225 -*19798 FILLER_77_237 -*19799 FILLER_77_257 -*19800 FILLER_77_269 -*19801 FILLER_77_277 -*19802 FILLER_77_281 -*19803 FILLER_77_293 -*19804 FILLER_77_3 -*19805 FILLER_77_305 -*19806 FILLER_77_317 -*19807 FILLER_77_326 -*19808 FILLER_77_334 -*19809 FILLER_77_337 -*19810 FILLER_77_34 -*19811 FILLER_77_346 -*19812 FILLER_77_350 -*19813 FILLER_77_362 -*19814 FILLER_77_375 -*19815 FILLER_77_387 -*19816 FILLER_77_391 -*19817 FILLER_77_393 -*19818 FILLER_77_40 -*19819 FILLER_77_407 -*19820 FILLER_77_415 -*19821 FILLER_77_419 -*19822 FILLER_77_438 -*19823 FILLER_77_44 -*19824 FILLER_77_446 -*19825 FILLER_77_449 -*19826 FILLER_77_461 -*19827 FILLER_77_467 -*19828 FILLER_77_489 -*19829 FILLER_77_502 -*19830 FILLER_77_505 -*19831 FILLER_77_515 -*19832 FILLER_77_529 -*19833 FILLER_77_54 -*19834 FILLER_77_545 -*19835 FILLER_77_557 -*19836 FILLER_77_561 -*19837 FILLER_77_57 -*19838 FILLER_77_573 -*19839 FILLER_77_585 -*19840 FILLER_77_597 -*19841 FILLER_77_609 -*19842 FILLER_77_615 -*19843 FILLER_77_617 -*19844 FILLER_77_627 -*19845 FILLER_77_639 -*19846 FILLER_77_651 -*19847 FILLER_77_663 -*19848 FILLER_77_671 -*19849 FILLER_77_673 -*19850 FILLER_77_685 -*19851 FILLER_77_69 -*19852 FILLER_77_693 -*19853 FILLER_77_705 -*19854 FILLER_77_719 -*19855 FILLER_77_727 -*19856 FILLER_77_729 -*19857 FILLER_77_741 -*19858 FILLER_77_753 -*19859 FILLER_77_765 -*19860 FILLER_77_77 -*19861 FILLER_77_90 -*19862 FILLER_78_113 -*19863 FILLER_78_133 -*19864 FILLER_78_139 -*19865 FILLER_78_141 -*19866 FILLER_78_168 -*19867 FILLER_78_180 -*19868 FILLER_78_194 -*19869 FILLER_78_205 -*19870 FILLER_78_209 -*19871 FILLER_78_21 -*19872 FILLER_78_218 -*19873 FILLER_78_230 -*19874 FILLER_78_242 -*19875 FILLER_78_250 -*19876 FILLER_78_253 -*19877 FILLER_78_264 -*19878 FILLER_78_27 -*19879 FILLER_78_276 -*19880 FILLER_78_288 -*19881 FILLER_78_29 -*19882 FILLER_78_3 -*19883 FILLER_78_300 -*19884 FILLER_78_309 -*19885 FILLER_78_321 -*19886 FILLER_78_333 -*19887 FILLER_78_345 -*19888 FILLER_78_357 -*19889 FILLER_78_363 -*19890 FILLER_78_365 -*19891 FILLER_78_377 -*19892 FILLER_78_383 -*19893 FILLER_78_395 -*19894 FILLER_78_411 -*19895 FILLER_78_419 -*19896 FILLER_78_421 -*19897 FILLER_78_433 -*19898 FILLER_78_44 -*19899 FILLER_78_445 -*19900 FILLER_78_453 -*19901 FILLER_78_462 -*19902 FILLER_78_474 -*19903 FILLER_78_477 -*19904 FILLER_78_48 -*19905 FILLER_78_488 -*19906 FILLER_78_500 -*19907 FILLER_78_512 -*19908 FILLER_78_524 -*19909 FILLER_78_533 -*19910 FILLER_78_545 -*19911 FILLER_78_557 -*19912 FILLER_78_565 -*19913 FILLER_78_568 -*19914 FILLER_78_578 -*19915 FILLER_78_586 -*19916 FILLER_78_589 -*19917 FILLER_78_601 -*19918 FILLER_78_613 -*19919 FILLER_78_625 -*19920 FILLER_78_636 -*19921 FILLER_78_645 -*19922 FILLER_78_657 -*19923 FILLER_78_669 -*19924 FILLER_78_679 -*19925 FILLER_78_69 -*19926 FILLER_78_691 -*19927 FILLER_78_699 -*19928 FILLER_78_701 -*19929 FILLER_78_724 -*19930 FILLER_78_73 -*19931 FILLER_78_732 -*19932 FILLER_78_741 -*19933 FILLER_78_753 -*19934 FILLER_78_757 -*19935 FILLER_78_769 -*19936 FILLER_78_82 -*19937 FILLER_78_85 -*19938 FILLER_78_89 -*19939 FILLER_78_98 -*19940 FILLER_79_10 -*19941 FILLER_79_110 -*19942 FILLER_79_113 -*19943 FILLER_79_121 -*19944 FILLER_79_149 -*19945 FILLER_79_162 -*19946 FILLER_79_169 -*19947 FILLER_79_173 -*19948 FILLER_79_182 -*19949 FILLER_79_206 -*19950 FILLER_79_218 -*19951 FILLER_79_225 -*19952 FILLER_79_23 -*19953 FILLER_79_237 -*19954 FILLER_79_245 -*19955 FILLER_79_267 -*19956 FILLER_79_277 -*19957 FILLER_79_281 -*19958 FILLER_79_293 -*19959 FILLER_79_299 -*19960 FILLER_79_3 -*19961 FILLER_79_306 -*19962 FILLER_79_318 -*19963 FILLER_79_330 -*19964 FILLER_79_337 -*19965 FILLER_79_349 -*19966 FILLER_79_361 -*19967 FILLER_79_370 -*19968 FILLER_79_382 -*19969 FILLER_79_390 -*19970 FILLER_79_393 -*19971 FILLER_79_40 -*19972 FILLER_79_404 -*19973 FILLER_79_424 -*19974 FILLER_79_436 -*19975 FILLER_79_449 -*19976 FILLER_79_453 -*19977 FILLER_79_460 -*19978 FILLER_79_472 -*19979 FILLER_79_480 -*19980 FILLER_79_502 -*19981 FILLER_79_505 -*19982 FILLER_79_517 -*19983 FILLER_79_52 -*19984 FILLER_79_529 -*19985 FILLER_79_541 -*19986 FILLER_79_553 -*19987 FILLER_79_559 -*19988 FILLER_79_561 -*19989 FILLER_79_565 -*19990 FILLER_79_568 -*19991 FILLER_79_57 -*19992 FILLER_79_579 -*19993 FILLER_79_591 -*19994 FILLER_79_603 -*19995 FILLER_79_615 -*19996 FILLER_79_617 -*19997 FILLER_79_629 -*19998 FILLER_79_641 -*19999 FILLER_79_660 -*20000 FILLER_79_673 -*20001 FILLER_79_68 -*20002 FILLER_79_685 -*20003 FILLER_79_697 -*20004 FILLER_79_713 -*20005 FILLER_79_725 -*20006 FILLER_79_729 -*20007 FILLER_79_741 -*20008 FILLER_79_753 -*20009 FILLER_79_765 -*20010 FILLER_79_775 -*20011 FILLER_79_82 -*20012 FILLER_79_88 -*20013 FILLER_79_97 -*20014 FILLER_7_110 -*20015 FILLER_7_113 -*20016 FILLER_7_120 -*20017 FILLER_7_133 -*20018 FILLER_7_146 -*20019 FILLER_7_15 -*20020 FILLER_7_166 -*20021 FILLER_7_169 -*20022 FILLER_7_173 -*20023 FILLER_7_190 -*20024 FILLER_7_21 -*20025 FILLER_7_215 -*20026 FILLER_7_223 -*20027 FILLER_7_225 -*20028 FILLER_7_234 -*20029 FILLER_7_258 -*20030 FILLER_7_266 -*20031 FILLER_7_278 -*20032 FILLER_7_288 -*20033 FILLER_7_292 -*20034 FILLER_7_3 -*20035 FILLER_7_30 -*20036 FILLER_7_303 -*20037 FILLER_7_320 -*20038 FILLER_7_333 -*20039 FILLER_7_337 -*20040 FILLER_7_352 -*20041 FILLER_7_376 -*20042 FILLER_7_380 -*20043 FILLER_7_390 -*20044 FILLER_7_393 -*20045 FILLER_7_401 -*20046 FILLER_7_413 -*20047 FILLER_7_42 -*20048 FILLER_7_425 -*20049 FILLER_7_437 -*20050 FILLER_7_445 -*20051 FILLER_7_449 -*20052 FILLER_7_460 -*20053 FILLER_7_472 -*20054 FILLER_7_480 -*20055 FILLER_7_490 -*20056 FILLER_7_502 -*20057 FILLER_7_505 -*20058 FILLER_7_527 -*20059 FILLER_7_54 -*20060 FILLER_7_540 -*20061 FILLER_7_548 -*20062 FILLER_7_558 -*20063 FILLER_7_561 -*20064 FILLER_7_57 -*20065 FILLER_7_571 -*20066 FILLER_7_583 -*20067 FILLER_7_595 -*20068 FILLER_7_607 -*20069 FILLER_7_614 -*20070 FILLER_7_617 -*20071 FILLER_7_623 -*20072 FILLER_7_636 -*20073 FILLER_7_648 -*20074 FILLER_7_659 -*20075 FILLER_7_66 -*20076 FILLER_7_666 -*20077 FILLER_7_673 -*20078 FILLER_7_678 -*20079 FILLER_7_693 -*20080 FILLER_7_697 -*20081 FILLER_7_706 -*20082 FILLER_7_718 -*20083 FILLER_7_726 -*20084 FILLER_7_729 -*20085 FILLER_7_741 -*20086 FILLER_7_753 -*20087 FILLER_7_775 -*20088 FILLER_7_78 -*20089 FILLER_7_91 -*20090 FILLER_7_99 -*20091 FILLER_80_111 -*20092 FILLER_80_138 -*20093 FILLER_80_141 -*20094 FILLER_80_152 -*20095 FILLER_80_160 -*20096 FILLER_80_169 -*20097 FILLER_80_194 -*20098 FILLER_80_197 -*20099 FILLER_80_208 -*20100 FILLER_80_21 -*20101 FILLER_80_214 -*20102 FILLER_80_224 -*20103 FILLER_80_236 -*20104 FILLER_80_245 -*20105 FILLER_80_251 -*20106 FILLER_80_253 -*20107 FILLER_80_265 -*20108 FILLER_80_27 -*20109 FILLER_80_281 -*20110 FILLER_80_29 -*20111 FILLER_80_297 -*20112 FILLER_80_3 -*20113 FILLER_80_305 -*20114 FILLER_80_309 -*20115 FILLER_80_320 -*20116 FILLER_80_332 -*20117 FILLER_80_344 -*20118 FILLER_80_356 -*20119 FILLER_80_365 -*20120 FILLER_80_377 -*20121 FILLER_80_389 -*20122 FILLER_80_397 -*20123 FILLER_80_408 -*20124 FILLER_80_421 -*20125 FILLER_80_431 -*20126 FILLER_80_441 -*20127 FILLER_80_451 -*20128 FILLER_80_455 -*20129 FILLER_80_467 -*20130 FILLER_80_475 -*20131 FILLER_80_477 -*20132 FILLER_80_498 -*20133 FILLER_80_51 -*20134 FILLER_80_510 -*20135 FILLER_80_522 -*20136 FILLER_80_530 -*20137 FILLER_80_533 -*20138 FILLER_80_541 -*20139 FILLER_80_550 -*20140 FILLER_80_562 -*20141 FILLER_80_585 -*20142 FILLER_80_589 -*20143 FILLER_80_609 -*20144 FILLER_80_621 -*20145 FILLER_80_63 -*20146 FILLER_80_636 -*20147 FILLER_80_645 -*20148 FILLER_80_657 -*20149 FILLER_80_669 -*20150 FILLER_80_679 -*20151 FILLER_80_688 -*20152 FILLER_80_701 -*20153 FILLER_80_71 -*20154 FILLER_80_713 -*20155 FILLER_80_725 -*20156 FILLER_80_737 -*20157 FILLER_80_749 -*20158 FILLER_80_755 -*20159 FILLER_80_757 -*20160 FILLER_80_765 -*20161 FILLER_80_774 -*20162 FILLER_80_81 -*20163 FILLER_80_85 -*20164 FILLER_80_97 -*20165 FILLER_81_110 -*20166 FILLER_81_113 -*20167 FILLER_81_119 -*20168 FILLER_81_128 -*20169 FILLER_81_153 -*20170 FILLER_81_166 -*20171 FILLER_81_169 -*20172 FILLER_81_175 -*20173 FILLER_81_185 -*20174 FILLER_81_21 -*20175 FILLER_81_212 -*20176 FILLER_81_221 -*20177 FILLER_81_225 -*20178 FILLER_81_234 -*20179 FILLER_81_245 -*20180 FILLER_81_257 -*20181 FILLER_81_269 -*20182 FILLER_81_277 -*20183 FILLER_81_281 -*20184 FILLER_81_293 -*20185 FILLER_81_3 -*20186 FILLER_81_305 -*20187 FILLER_81_317 -*20188 FILLER_81_329 -*20189 FILLER_81_335 -*20190 FILLER_81_337 -*20191 FILLER_81_347 -*20192 FILLER_81_359 -*20193 FILLER_81_371 -*20194 FILLER_81_38 -*20195 FILLER_81_383 -*20196 FILLER_81_391 -*20197 FILLER_81_393 -*20198 FILLER_81_405 -*20199 FILLER_81_417 -*20200 FILLER_81_42 -*20201 FILLER_81_429 -*20202 FILLER_81_441 -*20203 FILLER_81_447 -*20204 FILLER_81_449 -*20205 FILLER_81_461 -*20206 FILLER_81_473 -*20207 FILLER_81_485 -*20208 FILLER_81_495 -*20209 FILLER_81_503 -*20210 FILLER_81_505 -*20211 FILLER_81_509 -*20212 FILLER_81_517 -*20213 FILLER_81_521 -*20214 FILLER_81_53 -*20215 FILLER_81_533 -*20216 FILLER_81_545 -*20217 FILLER_81_557 -*20218 FILLER_81_561 -*20219 FILLER_81_57 -*20220 FILLER_81_570 -*20221 FILLER_81_574 -*20222 FILLER_81_598 -*20223 FILLER_81_610 -*20224 FILLER_81_617 -*20225 FILLER_81_629 -*20226 FILLER_81_641 -*20227 FILLER_81_653 -*20228 FILLER_81_665 -*20229 FILLER_81_671 -*20230 FILLER_81_673 -*20231 FILLER_81_681 -*20232 FILLER_81_692 -*20233 FILLER_81_704 -*20234 FILLER_81_716 -*20235 FILLER_81_729 -*20236 FILLER_81_741 -*20237 FILLER_81_753 -*20238 FILLER_81_765 -*20239 FILLER_81_769 -*20240 FILLER_81_773 -*20241 FILLER_81_79 -*20242 FILLER_81_86 -*20243 FILLER_81_98 -*20244 FILLER_82_111 -*20245 FILLER_82_123 -*20246 FILLER_82_136 -*20247 FILLER_82_141 -*20248 FILLER_82_145 -*20249 FILLER_82_155 -*20250 FILLER_82_180 -*20251 FILLER_82_184 -*20252 FILLER_82_194 -*20253 FILLER_82_197 -*20254 FILLER_82_207 -*20255 FILLER_82_21 -*20256 FILLER_82_213 -*20257 FILLER_82_234 -*20258 FILLER_82_246 -*20259 FILLER_82_253 -*20260 FILLER_82_265 -*20261 FILLER_82_27 -*20262 FILLER_82_277 -*20263 FILLER_82_289 -*20264 FILLER_82_29 -*20265 FILLER_82_3 -*20266 FILLER_82_301 -*20267 FILLER_82_307 -*20268 FILLER_82_309 -*20269 FILLER_82_321 -*20270 FILLER_82_333 -*20271 FILLER_82_345 -*20272 FILLER_82_362 -*20273 FILLER_82_365 -*20274 FILLER_82_373 -*20275 FILLER_82_385 -*20276 FILLER_82_393 -*20277 FILLER_82_412 -*20278 FILLER_82_42 -*20279 FILLER_82_421 -*20280 FILLER_82_433 -*20281 FILLER_82_445 -*20282 FILLER_82_457 -*20283 FILLER_82_469 -*20284 FILLER_82_475 -*20285 FILLER_82_477 -*20286 FILLER_82_489 -*20287 FILLER_82_501 -*20288 FILLER_82_513 -*20289 FILLER_82_521 -*20290 FILLER_82_530 -*20291 FILLER_82_533 -*20292 FILLER_82_545 -*20293 FILLER_82_55 -*20294 FILLER_82_557 -*20295 FILLER_82_569 -*20296 FILLER_82_586 -*20297 FILLER_82_589 -*20298 FILLER_82_599 -*20299 FILLER_82_61 -*20300 FILLER_82_611 -*20301 FILLER_82_623 -*20302 FILLER_82_635 -*20303 FILLER_82_643 -*20304 FILLER_82_645 -*20305 FILLER_82_657 -*20306 FILLER_82_669 -*20307 FILLER_82_681 -*20308 FILLER_82_693 -*20309 FILLER_82_699 -*20310 FILLER_82_70 -*20311 FILLER_82_701 -*20312 FILLER_82_719 -*20313 FILLER_82_731 -*20314 FILLER_82_743 -*20315 FILLER_82_747 -*20316 FILLER_82_754 -*20317 FILLER_82_757 -*20318 FILLER_82_775 -*20319 FILLER_82_82 -*20320 FILLER_82_85 -*20321 FILLER_82_99 -*20322 FILLER_83_110 -*20323 FILLER_83_113 -*20324 FILLER_83_124 -*20325 FILLER_83_132 -*20326 FILLER_83_141 -*20327 FILLER_83_166 -*20328 FILLER_83_169 -*20329 FILLER_83_178 -*20330 FILLER_83_202 -*20331 FILLER_83_21 -*20332 FILLER_83_218 -*20333 FILLER_83_225 -*20334 FILLER_83_233 -*20335 FILLER_83_245 -*20336 FILLER_83_257 -*20337 FILLER_83_269 -*20338 FILLER_83_277 -*20339 FILLER_83_281 -*20340 FILLER_83_293 -*20341 FILLER_83_3 -*20342 FILLER_83_305 -*20343 FILLER_83_317 -*20344 FILLER_83_329 -*20345 FILLER_83_335 -*20346 FILLER_83_337 -*20347 FILLER_83_347 -*20348 FILLER_83_357 -*20349 FILLER_83_370 -*20350 FILLER_83_390 -*20351 FILLER_83_393 -*20352 FILLER_83_406 -*20353 FILLER_83_422 -*20354 FILLER_83_434 -*20355 FILLER_83_446 -*20356 FILLER_83_449 -*20357 FILLER_83_45 -*20358 FILLER_83_468 -*20359 FILLER_83_474 -*20360 FILLER_83_482 -*20361 FILLER_83_494 -*20362 FILLER_83_502 -*20363 FILLER_83_505 -*20364 FILLER_83_517 -*20365 FILLER_83_529 -*20366 FILLER_83_54 -*20367 FILLER_83_541 -*20368 FILLER_83_553 -*20369 FILLER_83_559 -*20370 FILLER_83_561 -*20371 FILLER_83_57 -*20372 FILLER_83_573 -*20373 FILLER_83_584 -*20374 FILLER_83_588 -*20375 FILLER_83_597 -*20376 FILLER_83_609 -*20377 FILLER_83_615 -*20378 FILLER_83_617 -*20379 FILLER_83_629 -*20380 FILLER_83_641 -*20381 FILLER_83_649 -*20382 FILLER_83_657 -*20383 FILLER_83_669 -*20384 FILLER_83_67 -*20385 FILLER_83_673 -*20386 FILLER_83_685 -*20387 FILLER_83_697 -*20388 FILLER_83_709 -*20389 FILLER_83_721 -*20390 FILLER_83_727 -*20391 FILLER_83_729 -*20392 FILLER_83_749 -*20393 FILLER_83_775 -*20394 FILLER_83_82 -*20395 FILLER_83_86 -*20396 FILLER_83_95 -*20397 FILLER_84_106 -*20398 FILLER_84_118 -*20399 FILLER_84_126 -*20400 FILLER_84_138 -*20401 FILLER_84_141 -*20402 FILLER_84_152 -*20403 FILLER_84_156 -*20404 FILLER_84_180 -*20405 FILLER_84_184 -*20406 FILLER_84_193 -*20407 FILLER_84_197 -*20408 FILLER_84_205 -*20409 FILLER_84_215 -*20410 FILLER_84_226 -*20411 FILLER_84_238 -*20412 FILLER_84_25 -*20413 FILLER_84_250 -*20414 FILLER_84_253 -*20415 FILLER_84_265 -*20416 FILLER_84_277 -*20417 FILLER_84_289 -*20418 FILLER_84_29 -*20419 FILLER_84_3 -*20420 FILLER_84_301 -*20421 FILLER_84_307 -*20422 FILLER_84_309 -*20423 FILLER_84_313 -*20424 FILLER_84_322 -*20425 FILLER_84_33 -*20426 FILLER_84_333 -*20427 FILLER_84_345 -*20428 FILLER_84_357 -*20429 FILLER_84_363 -*20430 FILLER_84_365 -*20431 FILLER_84_377 -*20432 FILLER_84_383 -*20433 FILLER_84_400 -*20434 FILLER_84_408 -*20435 FILLER_84_418 -*20436 FILLER_84_421 -*20437 FILLER_84_439 -*20438 FILLER_84_447 -*20439 FILLER_84_451 -*20440 FILLER_84_461 -*20441 FILLER_84_473 -*20442 FILLER_84_477 -*20443 FILLER_84_489 -*20444 FILLER_84_501 -*20445 FILLER_84_513 -*20446 FILLER_84_525 -*20447 FILLER_84_531 -*20448 FILLER_84_533 -*20449 FILLER_84_54 -*20450 FILLER_84_553 -*20451 FILLER_84_565 -*20452 FILLER_84_577 -*20453 FILLER_84_585 -*20454 FILLER_84_589 -*20455 FILLER_84_599 -*20456 FILLER_84_611 -*20457 FILLER_84_623 -*20458 FILLER_84_629 -*20459 FILLER_84_638 -*20460 FILLER_84_645 -*20461 FILLER_84_657 -*20462 FILLER_84_669 -*20463 FILLER_84_681 -*20464 FILLER_84_693 -*20465 FILLER_84_699 -*20466 FILLER_84_701 -*20467 FILLER_84_713 -*20468 FILLER_84_725 -*20469 FILLER_84_737 -*20470 FILLER_84_745 -*20471 FILLER_84_754 -*20472 FILLER_84_757 -*20473 FILLER_84_775 -*20474 FILLER_84_78 -*20475 FILLER_84_85 -*20476 FILLER_84_94 -*20477 FILLER_85_104 -*20478 FILLER_85_113 -*20479 FILLER_85_120 -*20480 FILLER_85_132 -*20481 FILLER_85_141 -*20482 FILLER_85_145 -*20483 FILLER_85_154 -*20484 FILLER_85_166 -*20485 FILLER_85_169 -*20486 FILLER_85_191 -*20487 FILLER_85_21 -*20488 FILLER_85_211 -*20489 FILLER_85_223 -*20490 FILLER_85_225 -*20491 FILLER_85_235 -*20492 FILLER_85_239 -*20493 FILLER_85_251 -*20494 FILLER_85_269 -*20495 FILLER_85_277 -*20496 FILLER_85_281 -*20497 FILLER_85_293 -*20498 FILLER_85_3 -*20499 FILLER_85_305 -*20500 FILLER_85_317 -*20501 FILLER_85_329 -*20502 FILLER_85_335 -*20503 FILLER_85_337 -*20504 FILLER_85_34 -*20505 FILLER_85_349 -*20506 FILLER_85_361 -*20507 FILLER_85_373 -*20508 FILLER_85_390 -*20509 FILLER_85_393 -*20510 FILLER_85_411 -*20511 FILLER_85_419 -*20512 FILLER_85_431 -*20513 FILLER_85_446 -*20514 FILLER_85_449 -*20515 FILLER_85_461 -*20516 FILLER_85_473 -*20517 FILLER_85_481 -*20518 FILLER_85_492 -*20519 FILLER_85_505 -*20520 FILLER_85_51 -*20521 FILLER_85_518 -*20522 FILLER_85_530 -*20523 FILLER_85_542 -*20524 FILLER_85_55 -*20525 FILLER_85_554 -*20526 FILLER_85_561 -*20527 FILLER_85_57 -*20528 FILLER_85_573 -*20529 FILLER_85_599 -*20530 FILLER_85_603 -*20531 FILLER_85_611 -*20532 FILLER_85_615 -*20533 FILLER_85_617 -*20534 FILLER_85_629 -*20535 FILLER_85_641 -*20536 FILLER_85_653 -*20537 FILLER_85_665 -*20538 FILLER_85_671 -*20539 FILLER_85_673 -*20540 FILLER_85_68 -*20541 FILLER_85_685 -*20542 FILLER_85_697 -*20543 FILLER_85_709 -*20544 FILLER_85_721 -*20545 FILLER_85_727 -*20546 FILLER_85_729 -*20547 FILLER_85_741 -*20548 FILLER_85_751 -*20549 FILLER_85_775 -*20550 FILLER_85_79 -*20551 FILLER_85_92 -*20552 FILLER_86_104 -*20553 FILLER_86_116 -*20554 FILLER_86_138 -*20555 FILLER_86_141 -*20556 FILLER_86_155 -*20557 FILLER_86_161 -*20558 FILLER_86_171 -*20559 FILLER_86_181 -*20560 FILLER_86_194 -*20561 FILLER_86_197 -*20562 FILLER_86_21 -*20563 FILLER_86_219 -*20564 FILLER_86_231 -*20565 FILLER_86_239 -*20566 FILLER_86_247 -*20567 FILLER_86_251 -*20568 FILLER_86_253 -*20569 FILLER_86_267 -*20570 FILLER_86_27 -*20571 FILLER_86_279 -*20572 FILLER_86_29 -*20573 FILLER_86_291 -*20574 FILLER_86_3 -*20575 FILLER_86_304 -*20576 FILLER_86_309 -*20577 FILLER_86_321 -*20578 FILLER_86_329 -*20579 FILLER_86_341 -*20580 FILLER_86_353 -*20581 FILLER_86_361 -*20582 FILLER_86_365 -*20583 FILLER_86_377 -*20584 FILLER_86_385 -*20585 FILLER_86_396 -*20586 FILLER_86_40 -*20587 FILLER_86_402 -*20588 FILLER_86_412 -*20589 FILLER_86_421 -*20590 FILLER_86_433 -*20591 FILLER_86_445 -*20592 FILLER_86_457 -*20593 FILLER_86_469 -*20594 FILLER_86_475 -*20595 FILLER_86_477 -*20596 FILLER_86_489 -*20597 FILLER_86_501 -*20598 FILLER_86_513 -*20599 FILLER_86_525 -*20600 FILLER_86_53 -*20601 FILLER_86_531 -*20602 FILLER_86_533 -*20603 FILLER_86_545 -*20604 FILLER_86_557 -*20605 FILLER_86_569 -*20606 FILLER_86_586 -*20607 FILLER_86_589 -*20608 FILLER_86_59 -*20609 FILLER_86_603 -*20610 FILLER_86_611 -*20611 FILLER_86_621 -*20612 FILLER_86_633 -*20613 FILLER_86_641 -*20614 FILLER_86_645 -*20615 FILLER_86_657 -*20616 FILLER_86_669 -*20617 FILLER_86_681 -*20618 FILLER_86_693 -*20619 FILLER_86_699 -*20620 FILLER_86_701 -*20621 FILLER_86_713 -*20622 FILLER_86_725 -*20623 FILLER_86_737 -*20624 FILLER_86_747 -*20625 FILLER_86_755 -*20626 FILLER_86_757 -*20627 FILLER_86_768 -*20628 FILLER_86_776 -*20629 FILLER_86_80 -*20630 FILLER_86_85 -*20631 FILLER_86_92 -*20632 FILLER_87_101 -*20633 FILLER_87_110 -*20634 FILLER_87_113 -*20635 FILLER_87_124 -*20636 FILLER_87_130 -*20637 FILLER_87_147 -*20638 FILLER_87_155 -*20639 FILLER_87_166 -*20640 FILLER_87_169 -*20641 FILLER_87_177 -*20642 FILLER_87_200 -*20643 FILLER_87_220 -*20644 FILLER_87_225 -*20645 FILLER_87_23 -*20646 FILLER_87_245 -*20647 FILLER_87_253 -*20648 FILLER_87_263 -*20649 FILLER_87_275 -*20650 FILLER_87_279 -*20651 FILLER_87_281 -*20652 FILLER_87_290 -*20653 FILLER_87_294 -*20654 FILLER_87_3 -*20655 FILLER_87_303 -*20656 FILLER_87_315 -*20657 FILLER_87_327 -*20658 FILLER_87_335 -*20659 FILLER_87_337 -*20660 FILLER_87_351 -*20661 FILLER_87_357 -*20662 FILLER_87_365 -*20663 FILLER_87_387 -*20664 FILLER_87_391 -*20665 FILLER_87_393 -*20666 FILLER_87_401 -*20667 FILLER_87_419 -*20668 FILLER_87_432 -*20669 FILLER_87_444 -*20670 FILLER_87_449 -*20671 FILLER_87_457 -*20672 FILLER_87_468 -*20673 FILLER_87_47 -*20674 FILLER_87_480 -*20675 FILLER_87_492 -*20676 FILLER_87_505 -*20677 FILLER_87_517 -*20678 FILLER_87_529 -*20679 FILLER_87_54 -*20680 FILLER_87_541 -*20681 FILLER_87_553 -*20682 FILLER_87_559 -*20683 FILLER_87_561 -*20684 FILLER_87_57 -*20685 FILLER_87_572 -*20686 FILLER_87_578 -*20687 FILLER_87_595 -*20688 FILLER_87_607 -*20689 FILLER_87_615 -*20690 FILLER_87_617 -*20691 FILLER_87_629 -*20692 FILLER_87_641 -*20693 FILLER_87_653 -*20694 FILLER_87_665 -*20695 FILLER_87_671 -*20696 FILLER_87_673 -*20697 FILLER_87_68 -*20698 FILLER_87_683 -*20699 FILLER_87_694 -*20700 FILLER_87_705 -*20701 FILLER_87_717 -*20702 FILLER_87_725 -*20703 FILLER_87_729 -*20704 FILLER_87_740 -*20705 FILLER_87_748 -*20706 FILLER_87_77 -*20707 FILLER_87_772 -*20708 FILLER_87_776 -*20709 FILLER_88_123 -*20710 FILLER_88_135 -*20711 FILLER_88_139 -*20712 FILLER_88_141 -*20713 FILLER_88_155 -*20714 FILLER_88_159 -*20715 FILLER_88_181 -*20716 FILLER_88_194 -*20717 FILLER_88_197 -*20718 FILLER_88_21 -*20719 FILLER_88_211 -*20720 FILLER_88_223 -*20721 FILLER_88_235 -*20722 FILLER_88_247 -*20723 FILLER_88_251 -*20724 FILLER_88_253 -*20725 FILLER_88_265 -*20726 FILLER_88_27 -*20727 FILLER_88_277 -*20728 FILLER_88_289 -*20729 FILLER_88_29 -*20730 FILLER_88_3 -*20731 FILLER_88_301 -*20732 FILLER_88_307 -*20733 FILLER_88_309 -*20734 FILLER_88_321 -*20735 FILLER_88_333 -*20736 FILLER_88_341 -*20737 FILLER_88_353 -*20738 FILLER_88_361 -*20739 FILLER_88_365 -*20740 FILLER_88_37 -*20741 FILLER_88_383 -*20742 FILLER_88_391 -*20743 FILLER_88_402 -*20744 FILLER_88_418 -*20745 FILLER_88_421 -*20746 FILLER_88_435 -*20747 FILLER_88_447 -*20748 FILLER_88_474 -*20749 FILLER_88_477 -*20750 FILLER_88_489 -*20751 FILLER_88_50 -*20752 FILLER_88_501 -*20753 FILLER_88_513 -*20754 FILLER_88_525 -*20755 FILLER_88_531 -*20756 FILLER_88_533 -*20757 FILLER_88_541 -*20758 FILLER_88_553 -*20759 FILLER_88_565 -*20760 FILLER_88_577 -*20761 FILLER_88_585 -*20762 FILLER_88_589 -*20763 FILLER_88_601 -*20764 FILLER_88_613 -*20765 FILLER_88_625 -*20766 FILLER_88_63 -*20767 FILLER_88_637 -*20768 FILLER_88_643 -*20769 FILLER_88_645 -*20770 FILLER_88_657 -*20771 FILLER_88_669 -*20772 FILLER_88_681 -*20773 FILLER_88_693 -*20774 FILLER_88_699 -*20775 FILLER_88_701 -*20776 FILLER_88_709 -*20777 FILLER_88_717 -*20778 FILLER_88_741 -*20779 FILLER_88_753 -*20780 FILLER_88_757 -*20781 FILLER_88_767 -*20782 FILLER_88_77 -*20783 FILLER_88_775 -*20784 FILLER_88_83 -*20785 FILLER_88_85 -*20786 FILLER_88_96 -*20787 FILLER_89_110 -*20788 FILLER_89_113 -*20789 FILLER_89_124 -*20790 FILLER_89_134 -*20791 FILLER_89_146 -*20792 FILLER_89_166 -*20793 FILLER_89_169 -*20794 FILLER_89_180 -*20795 FILLER_89_190 -*20796 FILLER_89_202 -*20797 FILLER_89_21 -*20798 FILLER_89_214 -*20799 FILLER_89_222 -*20800 FILLER_89_225 -*20801 FILLER_89_240 -*20802 FILLER_89_252 -*20803 FILLER_89_264 -*20804 FILLER_89_276 -*20805 FILLER_89_281 -*20806 FILLER_89_290 -*20807 FILLER_89_294 -*20808 FILLER_89_3 -*20809 FILLER_89_306 -*20810 FILLER_89_314 -*20811 FILLER_89_332 -*20812 FILLER_89_337 -*20813 FILLER_89_34 -*20814 FILLER_89_355 -*20815 FILLER_89_367 -*20816 FILLER_89_389 -*20817 FILLER_89_393 -*20818 FILLER_89_411 -*20819 FILLER_89_420 -*20820 FILLER_89_445 -*20821 FILLER_89_449 -*20822 FILLER_89_459 -*20823 FILLER_89_471 -*20824 FILLER_89_483 -*20825 FILLER_89_49 -*20826 FILLER_89_495 -*20827 FILLER_89_503 -*20828 FILLER_89_505 -*20829 FILLER_89_515 -*20830 FILLER_89_527 -*20831 FILLER_89_539 -*20832 FILLER_89_547 -*20833 FILLER_89_55 -*20834 FILLER_89_554 -*20835 FILLER_89_561 -*20836 FILLER_89_57 -*20837 FILLER_89_573 -*20838 FILLER_89_592 -*20839 FILLER_89_604 -*20840 FILLER_89_617 -*20841 FILLER_89_629 -*20842 FILLER_89_641 -*20843 FILLER_89_652 -*20844 FILLER_89_664 -*20845 FILLER_89_673 -*20846 FILLER_89_68 -*20847 FILLER_89_685 -*20848 FILLER_89_697 -*20849 FILLER_89_709 -*20850 FILLER_89_717 -*20851 FILLER_89_726 -*20852 FILLER_89_729 -*20853 FILLER_89_752 -*20854 FILLER_89_76 -*20855 FILLER_89_765 -*20856 FILLER_89_85 -*20857 FILLER_89_98 -*20858 FILLER_8_100 -*20859 FILLER_8_112 -*20860 FILLER_8_125 -*20861 FILLER_8_138 -*20862 FILLER_8_141 -*20863 FILLER_8_15 -*20864 FILLER_8_154 -*20865 FILLER_8_167 -*20866 FILLER_8_187 -*20867 FILLER_8_195 -*20868 FILLER_8_197 -*20869 FILLER_8_215 -*20870 FILLER_8_242 -*20871 FILLER_8_250 -*20872 FILLER_8_253 -*20873 FILLER_8_257 -*20874 FILLER_8_26 -*20875 FILLER_8_278 -*20876 FILLER_8_282 -*20877 FILLER_8_29 -*20878 FILLER_8_3 -*20879 FILLER_8_303 -*20880 FILLER_8_307 -*20881 FILLER_8_309 -*20882 FILLER_8_313 -*20883 FILLER_8_334 -*20884 FILLER_8_34 -*20885 FILLER_8_342 -*20886 FILLER_8_359 -*20887 FILLER_8_363 -*20888 FILLER_8_365 -*20889 FILLER_8_373 -*20890 FILLER_8_389 -*20891 FILLER_8_401 -*20892 FILLER_8_405 -*20893 FILLER_8_414 -*20894 FILLER_8_421 -*20895 FILLER_8_431 -*20896 FILLER_8_443 -*20897 FILLER_8_46 -*20898 FILLER_8_470 -*20899 FILLER_8_477 -*20900 FILLER_8_500 -*20901 FILLER_8_524 -*20902 FILLER_8_533 -*20903 FILLER_8_556 -*20904 FILLER_8_568 -*20905 FILLER_8_58 -*20906 FILLER_8_584 -*20907 FILLER_8_589 -*20908 FILLER_8_614 -*20909 FILLER_8_626 -*20910 FILLER_8_638 -*20911 FILLER_8_645 -*20912 FILLER_8_655 -*20913 FILLER_8_665 -*20914 FILLER_8_669 -*20915 FILLER_8_679 -*20916 FILLER_8_691 -*20917 FILLER_8_698 -*20918 FILLER_8_70 -*20919 FILLER_8_701 -*20920 FILLER_8_713 -*20921 FILLER_8_725 -*20922 FILLER_8_737 -*20923 FILLER_8_749 -*20924 FILLER_8_755 -*20925 FILLER_8_757 -*20926 FILLER_8_769 -*20927 FILLER_8_82 -*20928 FILLER_8_85 -*20929 FILLER_8_91 -*20930 FILLER_90_112 -*20931 FILLER_90_136 -*20932 FILLER_90_141 -*20933 FILLER_90_151 -*20934 FILLER_90_163 -*20935 FILLER_90_171 -*20936 FILLER_90_180 -*20937 FILLER_90_192 -*20938 FILLER_90_197 -*20939 FILLER_90_209 -*20940 FILLER_90_221 -*20941 FILLER_90_233 -*20942 FILLER_90_241 -*20943 FILLER_90_248 -*20944 FILLER_90_253 -*20945 FILLER_90_26 -*20946 FILLER_90_265 -*20947 FILLER_90_277 -*20948 FILLER_90_286 -*20949 FILLER_90_29 -*20950 FILLER_90_298 -*20951 FILLER_90_3 -*20952 FILLER_90_306 -*20953 FILLER_90_309 -*20954 FILLER_90_331 -*20955 FILLER_90_337 -*20956 FILLER_90_354 -*20957 FILLER_90_362 -*20958 FILLER_90_365 -*20959 FILLER_90_375 -*20960 FILLER_90_395 -*20961 FILLER_90_415 -*20962 FILLER_90_419 -*20963 FILLER_90_421 -*20964 FILLER_90_439 -*20965 FILLER_90_443 -*20966 FILLER_90_454 -*20967 FILLER_90_466 -*20968 FILLER_90_474 -*20969 FILLER_90_477 -*20970 FILLER_90_486 -*20971 FILLER_90_498 -*20972 FILLER_90_506 -*20973 FILLER_90_51 -*20974 FILLER_90_518 -*20975 FILLER_90_530 -*20976 FILLER_90_533 -*20977 FILLER_90_545 -*20978 FILLER_90_557 -*20979 FILLER_90_56 -*20980 FILLER_90_569 -*20981 FILLER_90_581 -*20982 FILLER_90_587 -*20983 FILLER_90_589 -*20984 FILLER_90_593 -*20985 FILLER_90_60 -*20986 FILLER_90_603 -*20987 FILLER_90_615 -*20988 FILLER_90_636 -*20989 FILLER_90_645 -*20990 FILLER_90_657 -*20991 FILLER_90_669 -*20992 FILLER_90_681 -*20993 FILLER_90_693 -*20994 FILLER_90_699 -*20995 FILLER_90_701 -*20996 FILLER_90_71 -*20997 FILLER_90_713 -*20998 FILLER_90_725 -*20999 FILLER_90_733 -*21000 FILLER_90_743 -*21001 FILLER_90_755 -*21002 FILLER_90_757 -*21003 FILLER_90_775 -*21004 FILLER_90_82 -*21005 FILLER_90_85 -*21006 FILLER_90_91 -*21007 FILLER_91_110 -*21008 FILLER_91_113 -*21009 FILLER_91_12 -*21010 FILLER_91_121 -*21011 FILLER_91_146 -*21012 FILLER_91_159 -*21013 FILLER_91_167 -*21014 FILLER_91_169 -*21015 FILLER_91_179 -*21016 FILLER_91_189 -*21017 FILLER_91_195 -*21018 FILLER_91_203 -*21019 FILLER_91_215 -*21020 FILLER_91_223 -*21021 FILLER_91_225 -*21022 FILLER_91_237 -*21023 FILLER_91_249 -*21024 FILLER_91_261 -*21025 FILLER_91_273 -*21026 FILLER_91_279 -*21027 FILLER_91_281 -*21028 FILLER_91_3 -*21029 FILLER_91_309 -*21030 FILLER_91_313 -*21031 FILLER_91_330 -*21032 FILLER_91_337 -*21033 FILLER_91_350 -*21034 FILLER_91_36 -*21035 FILLER_91_370 -*21036 FILLER_91_390 -*21037 FILLER_91_393 -*21038 FILLER_91_419 -*21039 FILLER_91_439 -*21040 FILLER_91_447 -*21041 FILLER_91_449 -*21042 FILLER_91_453 -*21043 FILLER_91_474 -*21044 FILLER_91_482 -*21045 FILLER_91_49 -*21046 FILLER_91_492 -*21047 FILLER_91_505 -*21048 FILLER_91_517 -*21049 FILLER_91_529 -*21050 FILLER_91_541 -*21051 FILLER_91_55 -*21052 FILLER_91_553 -*21053 FILLER_91_559 -*21054 FILLER_91_561 -*21055 FILLER_91_57 -*21056 FILLER_91_573 -*21057 FILLER_91_585 -*21058 FILLER_91_607 -*21059 FILLER_91_615 -*21060 FILLER_91_617 -*21061 FILLER_91_629 -*21062 FILLER_91_641 -*21063 FILLER_91_653 -*21064 FILLER_91_665 -*21065 FILLER_91_671 -*21066 FILLER_91_673 -*21067 FILLER_91_685 -*21068 FILLER_91_69 -*21069 FILLER_91_697 -*21070 FILLER_91_705 -*21071 FILLER_91_710 -*21072 FILLER_91_720 -*21073 FILLER_91_729 -*21074 FILLER_91_733 -*21075 FILLER_91_742 -*21076 FILLER_91_750 -*21077 FILLER_91_775 -*21078 FILLER_91_84 -*21079 FILLER_91_99 -*21080 FILLER_92_104 -*21081 FILLER_92_116 -*21082 FILLER_92_129 -*21083 FILLER_92_137 -*21084 FILLER_92_141 -*21085 FILLER_92_150 -*21086 FILLER_92_177 -*21087 FILLER_92_189 -*21088 FILLER_92_195 -*21089 FILLER_92_197 -*21090 FILLER_92_21 -*21091 FILLER_92_216 -*21092 FILLER_92_228 -*21093 FILLER_92_236 -*21094 FILLER_92_250 -*21095 FILLER_92_253 -*21096 FILLER_92_261 -*21097 FILLER_92_27 -*21098 FILLER_92_270 -*21099 FILLER_92_286 -*21100 FILLER_92_29 -*21101 FILLER_92_3 -*21102 FILLER_92_306 -*21103 FILLER_92_309 -*21104 FILLER_92_320 -*21105 FILLER_92_33 -*21106 FILLER_92_332 -*21107 FILLER_92_338 -*21108 FILLER_92_355 -*21109 FILLER_92_363 -*21110 FILLER_92_365 -*21111 FILLER_92_369 -*21112 FILLER_92_378 -*21113 FILLER_92_398 -*21114 FILLER_92_418 -*21115 FILLER_92_421 -*21116 FILLER_92_433 -*21117 FILLER_92_445 -*21118 FILLER_92_449 -*21119 FILLER_92_459 -*21120 FILLER_92_471 -*21121 FILLER_92_475 -*21122 FILLER_92_477 -*21123 FILLER_92_489 -*21124 FILLER_92_50 -*21125 FILLER_92_501 -*21126 FILLER_92_513 -*21127 FILLER_92_525 -*21128 FILLER_92_531 -*21129 FILLER_92_533 -*21130 FILLER_92_545 -*21131 FILLER_92_557 -*21132 FILLER_92_569 -*21133 FILLER_92_581 -*21134 FILLER_92_587 -*21135 FILLER_92_589 -*21136 FILLER_92_600 -*21137 FILLER_92_608 -*21138 FILLER_92_618 -*21139 FILLER_92_630 -*21140 FILLER_92_642 -*21141 FILLER_92_645 -*21142 FILLER_92_657 -*21143 FILLER_92_670 -*21144 FILLER_92_678 -*21145 FILLER_92_687 -*21146 FILLER_92_699 -*21147 FILLER_92_701 -*21148 FILLER_92_713 -*21149 FILLER_92_725 -*21150 FILLER_92_74 -*21151 FILLER_92_741 -*21152 FILLER_92_753 -*21153 FILLER_92_757 -*21154 FILLER_92_768 -*21155 FILLER_92_775 -*21156 FILLER_92_78 -*21157 FILLER_92_82 -*21158 FILLER_92_85 -*21159 FILLER_92_89 -*21160 FILLER_92_93 -*21161 FILLER_93_100 -*21162 FILLER_93_109 -*21163 FILLER_93_113 -*21164 FILLER_93_135 -*21165 FILLER_93_143 -*21166 FILLER_93_153 -*21167 FILLER_93_166 -*21168 FILLER_93_169 -*21169 FILLER_93_173 -*21170 FILLER_93_182 -*21171 FILLER_93_194 -*21172 FILLER_93_205 -*21173 FILLER_93_21 -*21174 FILLER_93_218 -*21175 FILLER_93_225 -*21176 FILLER_93_240 -*21177 FILLER_93_256 -*21178 FILLER_93_268 -*21179 FILLER_93_281 -*21180 FILLER_93_289 -*21181 FILLER_93_29 -*21182 FILLER_93_298 -*21183 FILLER_93_3 -*21184 FILLER_93_310 -*21185 FILLER_93_334 -*21186 FILLER_93_337 -*21187 FILLER_93_355 -*21188 FILLER_93_367 -*21189 FILLER_93_389 -*21190 FILLER_93_393 -*21191 FILLER_93_405 -*21192 FILLER_93_41 -*21193 FILLER_93_417 -*21194 FILLER_93_429 -*21195 FILLER_93_441 -*21196 FILLER_93_447 -*21197 FILLER_93_449 -*21198 FILLER_93_457 -*21199 FILLER_93_469 -*21200 FILLER_93_481 -*21201 FILLER_93_493 -*21202 FILLER_93_501 -*21203 FILLER_93_505 -*21204 FILLER_93_517 -*21205 FILLER_93_529 -*21206 FILLER_93_54 -*21207 FILLER_93_541 -*21208 FILLER_93_553 -*21209 FILLER_93_559 -*21210 FILLER_93_561 -*21211 FILLER_93_57 -*21212 FILLER_93_573 -*21213 FILLER_93_582 -*21214 FILLER_93_594 -*21215 FILLER_93_606 -*21216 FILLER_93_614 -*21217 FILLER_93_617 -*21218 FILLER_93_629 -*21219 FILLER_93_641 -*21220 FILLER_93_657 -*21221 FILLER_93_669 -*21222 FILLER_93_673 -*21223 FILLER_93_683 -*21224 FILLER_93_695 -*21225 FILLER_93_707 -*21226 FILLER_93_719 -*21227 FILLER_93_727 -*21228 FILLER_93_729 -*21229 FILLER_93_740 -*21230 FILLER_93_752 -*21231 FILLER_93_756 -*21232 FILLER_93_765 -*21233 FILLER_93_79 -*21234 FILLER_94_109 -*21235 FILLER_94_121 -*21236 FILLER_94_129 -*21237 FILLER_94_138 -*21238 FILLER_94_141 -*21239 FILLER_94_158 -*21240 FILLER_94_166 -*21241 FILLER_94_175 -*21242 FILLER_94_191 -*21243 FILLER_94_195 -*21244 FILLER_94_197 -*21245 FILLER_94_206 -*21246 FILLER_94_218 -*21247 FILLER_94_230 -*21248 FILLER_94_242 -*21249 FILLER_94_250 -*21250 FILLER_94_253 -*21251 FILLER_94_26 -*21252 FILLER_94_265 -*21253 FILLER_94_273 -*21254 FILLER_94_283 -*21255 FILLER_94_29 -*21256 FILLER_94_295 -*21257 FILLER_94_3 -*21258 FILLER_94_307 -*21259 FILLER_94_309 -*21260 FILLER_94_319 -*21261 FILLER_94_327 -*21262 FILLER_94_337 -*21263 FILLER_94_34 -*21264 FILLER_94_348 -*21265 FILLER_94_360 -*21266 FILLER_94_365 -*21267 FILLER_94_377 -*21268 FILLER_94_394 -*21269 FILLER_94_406 -*21270 FILLER_94_418 -*21271 FILLER_94_421 -*21272 FILLER_94_433 -*21273 FILLER_94_445 -*21274 FILLER_94_474 -*21275 FILLER_94_477 -*21276 FILLER_94_489 -*21277 FILLER_94_501 -*21278 FILLER_94_506 -*21279 FILLER_94_516 -*21280 FILLER_94_528 -*21281 FILLER_94_533 -*21282 FILLER_94_54 -*21283 FILLER_94_543 -*21284 FILLER_94_547 -*21285 FILLER_94_551 -*21286 FILLER_94_560 -*21287 FILLER_94_572 -*21288 FILLER_94_584 -*21289 FILLER_94_589 -*21290 FILLER_94_601 -*21291 FILLER_94_613 -*21292 FILLER_94_625 -*21293 FILLER_94_637 -*21294 FILLER_94_643 -*21295 FILLER_94_645 -*21296 FILLER_94_657 -*21297 FILLER_94_669 -*21298 FILLER_94_681 -*21299 FILLER_94_693 -*21300 FILLER_94_699 -*21301 FILLER_94_701 -*21302 FILLER_94_711 -*21303 FILLER_94_743 -*21304 FILLER_94_755 -*21305 FILLER_94_757 -*21306 FILLER_94_775 -*21307 FILLER_94_82 -*21308 FILLER_94_85 -*21309 FILLER_94_9 -*21310 FILLER_94_96 -*21311 FILLER_95_108 -*21312 FILLER_95_113 -*21313 FILLER_95_122 -*21314 FILLER_95_134 -*21315 FILLER_95_159 -*21316 FILLER_95_167 -*21317 FILLER_95_169 -*21318 FILLER_95_191 -*21319 FILLER_95_203 -*21320 FILLER_95_21 -*21321 FILLER_95_215 -*21322 FILLER_95_223 -*21323 FILLER_95_225 -*21324 FILLER_95_237 -*21325 FILLER_95_249 -*21326 FILLER_95_261 -*21327 FILLER_95_273 -*21328 FILLER_95_279 -*21329 FILLER_95_281 -*21330 FILLER_95_293 -*21331 FILLER_95_3 -*21332 FILLER_95_30 -*21333 FILLER_95_305 -*21334 FILLER_95_314 -*21335 FILLER_95_322 -*21336 FILLER_95_330 -*21337 FILLER_95_337 -*21338 FILLER_95_345 -*21339 FILLER_95_349 -*21340 FILLER_95_359 -*21341 FILLER_95_371 -*21342 FILLER_95_383 -*21343 FILLER_95_391 -*21344 FILLER_95_393 -*21345 FILLER_95_415 -*21346 FILLER_95_427 -*21347 FILLER_95_445 -*21348 FILLER_95_449 -*21349 FILLER_95_457 -*21350 FILLER_95_467 -*21351 FILLER_95_479 -*21352 FILLER_95_491 -*21353 FILLER_95_503 -*21354 FILLER_95_505 -*21355 FILLER_95_51 -*21356 FILLER_95_517 -*21357 FILLER_95_529 -*21358 FILLER_95_541 -*21359 FILLER_95_55 -*21360 FILLER_95_553 -*21361 FILLER_95_559 -*21362 FILLER_95_561 -*21363 FILLER_95_57 -*21364 FILLER_95_573 -*21365 FILLER_95_585 -*21366 FILLER_95_597 -*21367 FILLER_95_609 -*21368 FILLER_95_615 -*21369 FILLER_95_617 -*21370 FILLER_95_625 -*21371 FILLER_95_636 -*21372 FILLER_95_655 -*21373 FILLER_95_667 -*21374 FILLER_95_671 -*21375 FILLER_95_673 -*21376 FILLER_95_68 -*21377 FILLER_95_685 -*21378 FILLER_95_697 -*21379 FILLER_95_709 -*21380 FILLER_95_721 -*21381 FILLER_95_727 -*21382 FILLER_95_729 -*21383 FILLER_95_739 -*21384 FILLER_95_750 -*21385 FILLER_95_775 -*21386 FILLER_95_84 -*21387 FILLER_96_117 -*21388 FILLER_96_121 -*21389 FILLER_96_131 -*21390 FILLER_96_139 -*21391 FILLER_96_141 -*21392 FILLER_96_152 -*21393 FILLER_96_164 -*21394 FILLER_96_172 -*21395 FILLER_96_183 -*21396 FILLER_96_194 -*21397 FILLER_96_197 -*21398 FILLER_96_205 -*21399 FILLER_96_21 -*21400 FILLER_96_213 -*21401 FILLER_96_222 -*21402 FILLER_96_234 -*21403 FILLER_96_242 -*21404 FILLER_96_250 -*21405 FILLER_96_253 -*21406 FILLER_96_257 -*21407 FILLER_96_266 -*21408 FILLER_96_27 -*21409 FILLER_96_274 -*21410 FILLER_96_279 -*21411 FILLER_96_289 -*21412 FILLER_96_29 -*21413 FILLER_96_3 -*21414 FILLER_96_301 -*21415 FILLER_96_307 -*21416 FILLER_96_309 -*21417 FILLER_96_321 -*21418 FILLER_96_329 -*21419 FILLER_96_341 -*21420 FILLER_96_353 -*21421 FILLER_96_361 -*21422 FILLER_96_365 -*21423 FILLER_96_377 -*21424 FILLER_96_385 -*21425 FILLER_96_392 -*21426 FILLER_96_40 -*21427 FILLER_96_404 -*21428 FILLER_96_418 -*21429 FILLER_96_421 -*21430 FILLER_96_430 -*21431 FILLER_96_438 -*21432 FILLER_96_44 -*21433 FILLER_96_459 -*21434 FILLER_96_471 -*21435 FILLER_96_475 -*21436 FILLER_96_477 -*21437 FILLER_96_489 -*21438 FILLER_96_501 -*21439 FILLER_96_513 -*21440 FILLER_96_525 -*21441 FILLER_96_531 -*21442 FILLER_96_533 -*21443 FILLER_96_541 -*21444 FILLER_96_546 -*21445 FILLER_96_555 -*21446 FILLER_96_567 -*21447 FILLER_96_579 -*21448 FILLER_96_587 -*21449 FILLER_96_589 -*21450 FILLER_96_601 -*21451 FILLER_96_613 -*21452 FILLER_96_625 -*21453 FILLER_96_637 -*21454 FILLER_96_643 -*21455 FILLER_96_645 -*21456 FILLER_96_65 -*21457 FILLER_96_657 -*21458 FILLER_96_669 -*21459 FILLER_96_681 -*21460 FILLER_96_693 -*21461 FILLER_96_699 -*21462 FILLER_96_701 -*21463 FILLER_96_713 -*21464 FILLER_96_725 -*21465 FILLER_96_73 -*21466 FILLER_96_737 -*21467 FILLER_96_745 -*21468 FILLER_96_754 -*21469 FILLER_96_757 -*21470 FILLER_96_765 -*21471 FILLER_96_775 -*21472 FILLER_96_82 -*21473 FILLER_96_85 -*21474 FILLER_96_92 -*21475 FILLER_97_110 -*21476 FILLER_97_113 -*21477 FILLER_97_133 -*21478 FILLER_97_146 -*21479 FILLER_97_158 -*21480 FILLER_97_166 -*21481 FILLER_97_169 -*21482 FILLER_97_181 -*21483 FILLER_97_193 -*21484 FILLER_97_204 -*21485 FILLER_97_208 -*21486 FILLER_97_21 -*21487 FILLER_97_221 -*21488 FILLER_97_225 -*21489 FILLER_97_235 -*21490 FILLER_97_239 -*21491 FILLER_97_251 -*21492 FILLER_97_263 -*21493 FILLER_97_269 -*21494 FILLER_97_278 -*21495 FILLER_97_281 -*21496 FILLER_97_29 -*21497 FILLER_97_3 -*21498 FILLER_97_301 -*21499 FILLER_97_310 -*21500 FILLER_97_333 -*21501 FILLER_97_337 -*21502 FILLER_97_349 -*21503 FILLER_97_361 -*21504 FILLER_97_369 -*21505 FILLER_97_379 -*21506 FILLER_97_389 -*21507 FILLER_97_393 -*21508 FILLER_97_405 -*21509 FILLER_97_419 -*21510 FILLER_97_431 -*21511 FILLER_97_440 -*21512 FILLER_97_449 -*21513 FILLER_97_461 -*21514 FILLER_97_47 -*21515 FILLER_97_471 -*21516 FILLER_97_477 -*21517 FILLER_97_487 -*21518 FILLER_97_501 -*21519 FILLER_97_505 -*21520 FILLER_97_517 -*21521 FILLER_97_529 -*21522 FILLER_97_541 -*21523 FILLER_97_55 -*21524 FILLER_97_553 -*21525 FILLER_97_559 -*21526 FILLER_97_561 -*21527 FILLER_97_57 -*21528 FILLER_97_582 -*21529 FILLER_97_594 -*21530 FILLER_97_604 -*21531 FILLER_97_617 -*21532 FILLER_97_629 -*21533 FILLER_97_641 -*21534 FILLER_97_653 -*21535 FILLER_97_665 -*21536 FILLER_97_671 -*21537 FILLER_97_673 -*21538 FILLER_97_685 -*21539 FILLER_97_697 -*21540 FILLER_97_70 -*21541 FILLER_97_709 -*21542 FILLER_97_721 -*21543 FILLER_97_727 -*21544 FILLER_97_729 -*21545 FILLER_97_74 -*21546 FILLER_97_741 -*21547 FILLER_97_755 -*21548 FILLER_97_768 -*21549 FILLER_97_775 -*21550 FILLER_97_81 -*21551 FILLER_97_97 -*21552 FILLER_98_111 -*21553 FILLER_98_138 -*21554 FILLER_98_141 -*21555 FILLER_98_152 -*21556 FILLER_98_160 -*21557 FILLER_98_171 -*21558 FILLER_98_183 -*21559 FILLER_98_193 -*21560 FILLER_98_197 -*21561 FILLER_98_21 -*21562 FILLER_98_211 -*21563 FILLER_98_223 -*21564 FILLER_98_235 -*21565 FILLER_98_247 -*21566 FILLER_98_251 -*21567 FILLER_98_253 -*21568 FILLER_98_265 -*21569 FILLER_98_27 -*21570 FILLER_98_277 -*21571 FILLER_98_289 -*21572 FILLER_98_29 -*21573 FILLER_98_3 -*21574 FILLER_98_301 -*21575 FILLER_98_307 -*21576 FILLER_98_309 -*21577 FILLER_98_321 -*21578 FILLER_98_334 -*21579 FILLER_98_346 -*21580 FILLER_98_358 -*21581 FILLER_98_365 -*21582 FILLER_98_377 -*21583 FILLER_98_389 -*21584 FILLER_98_397 -*21585 FILLER_98_40 -*21586 FILLER_98_414 -*21587 FILLER_98_421 -*21588 FILLER_98_433 -*21589 FILLER_98_445 -*21590 FILLER_98_450 -*21591 FILLER_98_460 -*21592 FILLER_98_472 -*21593 FILLER_98_477 -*21594 FILLER_98_489 -*21595 FILLER_98_501 -*21596 FILLER_98_513 -*21597 FILLER_98_52 -*21598 FILLER_98_525 -*21599 FILLER_98_531 -*21600 FILLER_98_533 -*21601 FILLER_98_545 -*21602 FILLER_98_557 -*21603 FILLER_98_586 -*21604 FILLER_98_589 -*21605 FILLER_98_601 -*21606 FILLER_98_613 -*21607 FILLER_98_621 -*21608 FILLER_98_630 -*21609 FILLER_98_64 -*21610 FILLER_98_642 -*21611 FILLER_98_645 -*21612 FILLER_98_657 -*21613 FILLER_98_669 -*21614 FILLER_98_681 -*21615 FILLER_98_693 -*21616 FILLER_98_699 -*21617 FILLER_98_701 -*21618 FILLER_98_713 -*21619 FILLER_98_725 -*21620 FILLER_98_737 -*21621 FILLER_98_749 -*21622 FILLER_98_755 -*21623 FILLER_98_757 -*21624 FILLER_98_765 -*21625 FILLER_98_77 -*21626 FILLER_98_775 -*21627 FILLER_98_83 -*21628 FILLER_98_85 -*21629 FILLER_98_99 -*21630 FILLER_99_106 -*21631 FILLER_99_113 -*21632 FILLER_99_125 -*21633 FILLER_99_149 -*21634 FILLER_99_157 -*21635 FILLER_99_166 -*21636 FILLER_99_169 -*21637 FILLER_99_175 -*21638 FILLER_99_184 -*21639 FILLER_99_195 -*21640 FILLER_99_204 -*21641 FILLER_99_215 -*21642 FILLER_99_223 -*21643 FILLER_99_225 -*21644 FILLER_99_237 -*21645 FILLER_99_250 -*21646 FILLER_99_262 -*21647 FILLER_99_27 -*21648 FILLER_99_274 -*21649 FILLER_99_281 -*21650 FILLER_99_287 -*21651 FILLER_99_294 -*21652 FILLER_99_3 -*21653 FILLER_99_302 -*21654 FILLER_99_312 -*21655 FILLER_99_324 -*21656 FILLER_99_337 -*21657 FILLER_99_349 -*21658 FILLER_99_365 -*21659 FILLER_99_377 -*21660 FILLER_99_389 -*21661 FILLER_99_393 -*21662 FILLER_99_40 -*21663 FILLER_99_405 -*21664 FILLER_99_417 -*21665 FILLER_99_439 -*21666 FILLER_99_447 -*21667 FILLER_99_449 -*21668 FILLER_99_461 -*21669 FILLER_99_473 -*21670 FILLER_99_485 -*21671 FILLER_99_497 -*21672 FILLER_99_503 -*21673 FILLER_99_505 -*21674 FILLER_99_516 -*21675 FILLER_99_52 -*21676 FILLER_99_520 -*21677 FILLER_99_529 -*21678 FILLER_99_541 -*21679 FILLER_99_553 -*21680 FILLER_99_559 -*21681 FILLER_99_561 -*21682 FILLER_99_569 -*21683 FILLER_99_57 -*21684 FILLER_99_579 -*21685 FILLER_99_591 -*21686 FILLER_99_600 -*21687 FILLER_99_612 -*21688 FILLER_99_617 -*21689 FILLER_99_626 -*21690 FILLER_99_638 -*21691 FILLER_99_650 -*21692 FILLER_99_662 -*21693 FILLER_99_670 -*21694 FILLER_99_673 -*21695 FILLER_99_685 -*21696 FILLER_99_697 -*21697 FILLER_99_70 -*21698 FILLER_99_707 -*21699 FILLER_99_719 -*21700 FILLER_99_727 -*21701 FILLER_99_729 -*21702 FILLER_99_741 -*21703 FILLER_99_753 -*21704 FILLER_99_765 -*21705 FILLER_99_769 -*21706 FILLER_99_773 -*21707 FILLER_99_82 -*21708 FILLER_99_94 -*21709 FILLER_9_110 -*21710 FILLER_9_113 -*21711 FILLER_9_125 -*21712 FILLER_9_140 -*21713 FILLER_9_164 -*21714 FILLER_9_169 -*21715 FILLER_9_180 -*21716 FILLER_9_20 -*21717 FILLER_9_207 -*21718 FILLER_9_222 -*21719 FILLER_9_225 -*21720 FILLER_9_237 -*21721 FILLER_9_250 -*21722 FILLER_9_278 -*21723 FILLER_9_281 -*21724 FILLER_9_289 -*21725 FILLER_9_299 -*21726 FILLER_9_3 -*21727 FILLER_9_323 -*21728 FILLER_9_334 -*21729 FILLER_9_337 -*21730 FILLER_9_359 -*21731 FILLER_9_367 -*21732 FILLER_9_378 -*21733 FILLER_9_390 -*21734 FILLER_9_393 -*21735 FILLER_9_40 -*21736 FILLER_9_411 -*21737 FILLER_9_435 -*21738 FILLER_9_44 -*21739 FILLER_9_446 -*21740 FILLER_9_449 -*21741 FILLER_9_471 -*21742 FILLER_9_495 -*21743 FILLER_9_503 -*21744 FILLER_9_505 -*21745 FILLER_9_516 -*21746 FILLER_9_522 -*21747 FILLER_9_54 -*21748 FILLER_9_544 -*21749 FILLER_9_556 -*21750 FILLER_9_561 -*21751 FILLER_9_568 -*21752 FILLER_9_57 -*21753 FILLER_9_595 -*21754 FILLER_9_607 -*21755 FILLER_9_614 -*21756 FILLER_9_617 -*21757 FILLER_9_627 -*21758 FILLER_9_639 -*21759 FILLER_9_65 -*21760 FILLER_9_650 -*21761 FILLER_9_670 -*21762 FILLER_9_673 -*21763 FILLER_9_696 -*21764 FILLER_9_708 -*21765 FILLER_9_720 -*21766 FILLER_9_729 -*21767 FILLER_9_74 -*21768 FILLER_9_741 -*21769 FILLER_9_753 -*21770 FILLER_9_765 -*21771 FILLER_9_8 -*21772 FILLER_9_86 -*21773 FILLER_9_98 -*21774 PHY_0 -*21775 PHY_1 -*21776 PHY_10 -*21777 PHY_100 -*21778 PHY_101 -*21779 PHY_102 -*21780 PHY_103 -*21781 PHY_104 -*21782 PHY_105 -*21783 PHY_106 -*21784 PHY_107 -*21785 PHY_108 -*21786 PHY_109 -*21787 PHY_11 -*21788 PHY_110 -*21789 PHY_111 -*21790 PHY_112 -*21791 PHY_113 -*21792 PHY_114 -*21793 PHY_115 -*21794 PHY_116 -*21795 PHY_117 -*21796 PHY_118 -*21797 PHY_119 -*21798 PHY_12 -*21799 PHY_120 -*21800 PHY_121 -*21801 PHY_122 -*21802 PHY_123 -*21803 PHY_124 -*21804 PHY_125 -*21805 PHY_126 -*21806 PHY_127 -*21807 PHY_128 -*21808 PHY_129 -*21809 PHY_13 -*21810 PHY_130 -*21811 PHY_131 -*21812 PHY_132 -*21813 PHY_133 -*21814 PHY_134 -*21815 PHY_135 -*21816 PHY_136 -*21817 PHY_137 -*21818 PHY_138 -*21819 PHY_139 -*21820 PHY_14 -*21821 PHY_140 -*21822 PHY_141 -*21823 PHY_142 -*21824 PHY_143 -*21825 PHY_144 -*21826 PHY_145 -*21827 PHY_146 -*21828 PHY_147 -*21829 PHY_148 -*21830 PHY_149 -*21831 PHY_15 -*21832 PHY_150 -*21833 PHY_151 -*21834 PHY_152 -*21835 PHY_153 -*21836 PHY_154 -*21837 PHY_155 -*21838 PHY_156 -*21839 PHY_157 -*21840 PHY_158 -*21841 PHY_159 -*21842 PHY_16 -*21843 PHY_160 -*21844 PHY_161 -*21845 PHY_162 -*21846 PHY_163 -*21847 PHY_164 -*21848 PHY_165 -*21849 PHY_166 -*21850 PHY_167 -*21851 PHY_168 -*21852 PHY_169 -*21853 PHY_17 -*21854 PHY_170 -*21855 PHY_171 -*21856 PHY_172 -*21857 PHY_173 -*21858 PHY_174 -*21859 PHY_175 -*21860 PHY_176 -*21861 PHY_177 -*21862 PHY_178 -*21863 PHY_179 -*21864 PHY_18 -*21865 PHY_180 -*21866 PHY_181 -*21867 PHY_182 -*21868 PHY_183 -*21869 PHY_184 -*21870 PHY_185 -*21871 PHY_186 -*21872 PHY_187 -*21873 PHY_188 -*21874 PHY_189 -*21875 PHY_19 -*21876 PHY_190 -*21877 PHY_191 -*21878 PHY_192 -*21879 PHY_193 -*21880 PHY_194 -*21881 PHY_195 -*21882 PHY_196 -*21883 PHY_197 -*21884 PHY_198 -*21885 PHY_199 -*21886 PHY_2 -*21887 PHY_20 -*21888 PHY_200 -*21889 PHY_201 -*21890 PHY_202 -*21891 PHY_203 -*21892 PHY_204 -*21893 PHY_205 -*21894 PHY_206 -*21895 PHY_207 -*21896 PHY_208 -*21897 PHY_209 -*21898 PHY_21 -*21899 PHY_210 -*21900 PHY_211 -*21901 PHY_212 -*21902 PHY_213 -*21903 PHY_214 -*21904 PHY_215 -*21905 PHY_216 -*21906 PHY_217 -*21907 PHY_218 -*21908 PHY_219 -*21909 PHY_22 -*21910 PHY_220 -*21911 PHY_221 -*21912 PHY_222 -*21913 PHY_223 -*21914 PHY_224 -*21915 PHY_225 -*21916 PHY_226 -*21917 PHY_227 -*21918 PHY_228 -*21919 PHY_229 -*21920 PHY_23 -*21921 PHY_230 -*21922 PHY_231 -*21923 PHY_232 -*21924 PHY_233 -*21925 PHY_234 -*21926 PHY_235 -*21927 PHY_236 -*21928 PHY_237 -*21929 PHY_238 -*21930 PHY_239 -*21931 PHY_24 -*21932 PHY_240 -*21933 PHY_241 -*21934 PHY_242 -*21935 PHY_243 -*21936 PHY_244 -*21937 PHY_245 -*21938 PHY_246 -*21939 PHY_247 -*21940 PHY_248 -*21941 PHY_249 -*21942 PHY_25 -*21943 PHY_250 -*21944 PHY_251 -*21945 PHY_252 -*21946 PHY_253 -*21947 PHY_254 -*21948 PHY_255 -*21949 PHY_256 -*21950 PHY_257 -*21951 PHY_258 -*21952 PHY_259 -*21953 PHY_26 -*21954 PHY_260 -*21955 PHY_261 -*21956 PHY_262 -*21957 PHY_263 -*21958 PHY_264 -*21959 PHY_265 -*21960 PHY_266 -*21961 PHY_267 -*21962 PHY_268 -*21963 PHY_269 -*21964 PHY_27 -*21965 PHY_270 -*21966 PHY_271 -*21967 PHY_272 -*21968 PHY_273 -*21969 PHY_274 -*21970 PHY_275 -*21971 PHY_276 -*21972 PHY_277 -*21973 PHY_278 -*21974 PHY_279 -*21975 PHY_28 -*21976 PHY_280 -*21977 PHY_281 -*21978 PHY_282 -*21979 PHY_283 -*21980 PHY_284 -*21981 PHY_285 -*21982 PHY_286 -*21983 PHY_287 -*21984 PHY_288 -*21985 PHY_289 -*21986 PHY_29 -*21987 PHY_290 -*21988 PHY_291 -*21989 PHY_292 -*21990 PHY_293 -*21991 PHY_294 -*21992 PHY_295 -*21993 PHY_296 -*21994 PHY_297 -*21995 PHY_298 -*21996 PHY_299 -*21997 PHY_3 -*21998 PHY_30 -*21999 PHY_300 -*22000 PHY_301 -*22001 PHY_302 -*22002 PHY_303 -*22003 PHY_304 -*22004 PHY_305 -*22005 PHY_306 -*22006 PHY_307 -*22007 PHY_308 -*22008 PHY_309 -*22009 PHY_31 -*22010 PHY_310 -*22011 PHY_311 -*22012 PHY_312 -*22013 PHY_313 -*22014 PHY_314 -*22015 PHY_315 -*22016 PHY_316 -*22017 PHY_317 -*22018 PHY_318 -*22019 PHY_319 -*22020 PHY_32 -*22021 PHY_320 -*22022 PHY_321 -*22023 PHY_322 -*22024 PHY_323 -*22025 PHY_324 -*22026 PHY_325 -*22027 PHY_326 -*22028 PHY_327 -*22029 PHY_328 -*22030 PHY_329 -*22031 PHY_33 -*22032 PHY_330 -*22033 PHY_331 -*22034 PHY_332 -*22035 PHY_333 -*22036 PHY_334 -*22037 PHY_335 -*22038 PHY_336 -*22039 PHY_337 -*22040 PHY_338 -*22041 PHY_339 -*22042 PHY_34 -*22043 PHY_340 -*22044 PHY_341 -*22045 PHY_342 -*22046 PHY_343 -*22047 PHY_344 -*22048 PHY_345 -*22049 PHY_346 -*22050 PHY_347 -*22051 PHY_348 -*22052 PHY_349 -*22053 PHY_35 -*22054 PHY_350 -*22055 PHY_351 -*22056 PHY_352 -*22057 PHY_353 -*22058 PHY_354 -*22059 PHY_355 -*22060 PHY_356 -*22061 PHY_357 -*22062 PHY_358 -*22063 PHY_359 -*22064 PHY_36 -*22065 PHY_360 -*22066 PHY_361 -*22067 PHY_362 -*22068 PHY_363 -*22069 PHY_364 -*22070 PHY_365 -*22071 PHY_366 -*22072 PHY_367 -*22073 PHY_368 -*22074 PHY_369 -*22075 PHY_37 -*22076 PHY_370 -*22077 PHY_371 -*22078 PHY_372 -*22079 PHY_373 -*22080 PHY_374 -*22081 PHY_375 -*22082 PHY_376 -*22083 PHY_377 -*22084 PHY_378 -*22085 PHY_379 -*22086 PHY_38 -*22087 PHY_380 -*22088 PHY_381 -*22089 PHY_382 -*22090 PHY_383 -*22091 PHY_384 -*22092 PHY_385 -*22093 PHY_386 -*22094 PHY_387 -*22095 PHY_39 -*22096 PHY_4 -*22097 PHY_40 -*22098 PHY_41 -*22099 PHY_42 -*22100 PHY_43 -*22101 PHY_44 -*22102 PHY_45 -*22103 PHY_46 -*22104 PHY_47 -*22105 PHY_48 -*22106 PHY_49 -*22107 PHY_5 -*22108 PHY_50 -*22109 PHY_51 -*22110 PHY_52 -*22111 PHY_53 -*22112 PHY_54 -*22113 PHY_55 -*22114 PHY_56 -*22115 PHY_57 -*22116 PHY_58 -*22117 PHY_59 -*22118 PHY_6 -*22119 PHY_60 -*22120 PHY_61 -*22121 PHY_62 -*22122 PHY_63 -*22123 PHY_64 -*22124 PHY_65 -*22125 PHY_66 -*22126 PHY_67 -*22127 PHY_68 -*22128 PHY_69 -*22129 PHY_7 -*22130 PHY_70 -*22131 PHY_71 -*22132 PHY_72 -*22133 PHY_73 -*22134 PHY_74 -*22135 PHY_75 -*22136 PHY_76 -*22137 PHY_77 -*22138 PHY_78 -*22139 PHY_79 -*22140 PHY_8 -*22141 PHY_80 -*22142 PHY_81 -*22143 PHY_82 -*22144 PHY_83 -*22145 PHY_84 -*22146 PHY_85 -*22147 PHY_86 -*22148 PHY_87 -*22149 PHY_88 -*22150 PHY_89 -*22151 PHY_9 -*22152 PHY_90 -*22153 PHY_91 -*22154 PHY_92 -*22155 PHY_93 -*22156 PHY_94 -*22157 PHY_95 -*22158 PHY_96 -*22159 PHY_97 -*22160 PHY_98 -*22161 PHY_99 -*22162 TAP_1000 -*22163 TAP_1001 -*22164 TAP_1002 -*22165 TAP_1003 -*22166 TAP_1004 -*22167 TAP_1005 -*22168 TAP_1006 -*22169 TAP_1007 -*22170 TAP_1008 -*22171 TAP_1009 -*22172 TAP_1010 -*22173 TAP_1011 -*22174 TAP_1012 -*22175 TAP_1013 -*22176 TAP_1014 -*22177 TAP_1015 -*22178 TAP_1016 -*22179 TAP_1017 -*22180 TAP_1018 -*22181 TAP_1019 -*22182 TAP_1020 -*22183 TAP_1021 -*22184 TAP_1022 -*22185 TAP_1023 -*22186 TAP_1024 -*22187 TAP_1025 -*22188 TAP_1026 -*22189 TAP_1027 -*22190 TAP_1028 -*22191 TAP_1029 -*22192 TAP_1030 -*22193 TAP_1031 -*22194 TAP_1032 -*22195 TAP_1033 -*22196 TAP_1034 -*22197 TAP_1035 -*22198 TAP_1036 -*22199 TAP_1037 -*22200 TAP_1038 -*22201 TAP_1039 -*22202 TAP_1040 -*22203 TAP_1041 -*22204 TAP_1042 -*22205 TAP_1043 -*22206 TAP_1044 -*22207 TAP_1045 -*22208 TAP_1046 -*22209 TAP_1047 -*22210 TAP_1048 -*22211 TAP_1049 -*22212 TAP_1050 -*22213 TAP_1051 -*22214 TAP_1052 -*22215 TAP_1053 -*22216 TAP_1054 -*22217 TAP_1055 -*22218 TAP_1056 -*22219 TAP_1057 -*22220 TAP_1058 -*22221 TAP_1059 -*22222 TAP_1060 -*22223 TAP_1061 -*22224 TAP_1062 -*22225 TAP_1063 -*22226 TAP_1064 -*22227 TAP_1065 -*22228 TAP_1066 -*22229 TAP_1067 -*22230 TAP_1068 -*22231 TAP_1069 -*22232 TAP_1070 -*22233 TAP_1071 -*22234 TAP_1072 -*22235 TAP_1073 -*22236 TAP_1074 -*22237 TAP_1075 -*22238 TAP_1076 -*22239 TAP_1077 -*22240 TAP_1078 -*22241 TAP_1079 -*22242 TAP_1080 -*22243 TAP_1081 -*22244 TAP_1082 -*22245 TAP_1083 -*22246 TAP_1084 -*22247 TAP_1085 -*22248 TAP_1086 -*22249 TAP_1087 -*22250 TAP_1088 -*22251 TAP_1089 -*22252 TAP_1090 -*22253 TAP_1091 -*22254 TAP_1092 -*22255 TAP_1093 -*22256 TAP_1094 -*22257 TAP_1095 -*22258 TAP_1096 -*22259 TAP_1097 -*22260 TAP_1098 -*22261 TAP_1099 -*22262 TAP_1100 -*22263 TAP_1101 -*22264 TAP_1102 -*22265 TAP_1103 -*22266 TAP_1104 -*22267 TAP_1105 -*22268 TAP_1106 -*22269 TAP_1107 -*22270 TAP_1108 -*22271 TAP_1109 -*22272 TAP_1110 -*22273 TAP_1111 -*22274 TAP_1112 -*22275 TAP_1113 -*22276 TAP_1114 -*22277 TAP_1115 -*22278 TAP_1116 -*22279 TAP_1117 -*22280 TAP_1118 -*22281 TAP_1119 -*22282 TAP_1120 -*22283 TAP_1121 -*22284 TAP_1122 -*22285 TAP_1123 -*22286 TAP_1124 -*22287 TAP_1125 -*22288 TAP_1126 -*22289 TAP_1127 -*22290 TAP_1128 -*22291 TAP_1129 -*22292 TAP_1130 -*22293 TAP_1131 -*22294 TAP_1132 -*22295 TAP_1133 -*22296 TAP_1134 -*22297 TAP_1135 -*22298 TAP_1136 -*22299 TAP_1137 -*22300 TAP_1138 -*22301 TAP_1139 -*22302 TAP_1140 -*22303 TAP_1141 -*22304 TAP_1142 -*22305 TAP_1143 -*22306 TAP_1144 -*22307 TAP_1145 -*22308 TAP_1146 -*22309 TAP_1147 -*22310 TAP_1148 -*22311 TAP_1149 -*22312 TAP_1150 -*22313 TAP_1151 -*22314 TAP_1152 -*22315 TAP_1153 -*22316 TAP_1154 -*22317 TAP_1155 -*22318 TAP_1156 -*22319 TAP_1157 -*22320 TAP_1158 -*22321 TAP_1159 -*22322 TAP_1160 -*22323 TAP_1161 -*22324 TAP_1162 -*22325 TAP_1163 -*22326 TAP_1164 -*22327 TAP_1165 -*22328 TAP_1166 -*22329 TAP_1167 -*22330 TAP_1168 -*22331 TAP_1169 -*22332 TAP_1170 -*22333 TAP_1171 -*22334 TAP_1172 -*22335 TAP_1173 -*22336 TAP_1174 -*22337 TAP_1175 -*22338 TAP_1176 -*22339 TAP_1177 -*22340 TAP_1178 -*22341 TAP_1179 -*22342 TAP_1180 -*22343 TAP_1181 -*22344 TAP_1182 -*22345 TAP_1183 -*22346 TAP_1184 -*22347 TAP_1185 -*22348 TAP_1186 -*22349 TAP_1187 -*22350 TAP_1188 -*22351 TAP_1189 -*22352 TAP_1190 -*22353 TAP_1191 -*22354 TAP_1192 -*22355 TAP_1193 -*22356 TAP_1194 -*22357 TAP_1195 -*22358 TAP_1196 -*22359 TAP_1197 -*22360 TAP_1198 -*22361 TAP_1199 -*22362 TAP_1200 -*22363 TAP_1201 -*22364 TAP_1202 -*22365 TAP_1203 -*22366 TAP_1204 -*22367 TAP_1205 -*22368 TAP_1206 -*22369 TAP_1207 -*22370 TAP_1208 -*22371 TAP_1209 -*22372 TAP_1210 -*22373 TAP_1211 -*22374 TAP_1212 -*22375 TAP_1213 -*22376 TAP_1214 -*22377 TAP_1215 -*22378 TAP_1216 -*22379 TAP_1217 -*22380 TAP_1218 -*22381 TAP_1219 -*22382 TAP_1220 -*22383 TAP_1221 -*22384 TAP_1222 -*22385 TAP_1223 -*22386 TAP_1224 -*22387 TAP_1225 -*22388 TAP_1226 -*22389 TAP_1227 -*22390 TAP_1228 -*22391 TAP_1229 -*22392 TAP_1230 -*22393 TAP_1231 -*22394 TAP_1232 -*22395 TAP_1233 -*22396 TAP_1234 -*22397 TAP_1235 -*22398 TAP_1236 -*22399 TAP_1237 -*22400 TAP_1238 -*22401 TAP_1239 -*22402 TAP_1240 -*22403 TAP_1241 -*22404 TAP_1242 -*22405 TAP_1243 -*22406 TAP_1244 -*22407 TAP_1245 -*22408 TAP_1246 -*22409 TAP_1247 -*22410 TAP_1248 -*22411 TAP_1249 -*22412 TAP_1250 -*22413 TAP_1251 -*22414 TAP_1252 -*22415 TAP_1253 -*22416 TAP_1254 -*22417 TAP_1255 -*22418 TAP_1256 -*22419 TAP_1257 -*22420 TAP_1258 -*22421 TAP_1259 -*22422 TAP_1260 -*22423 TAP_1261 -*22424 TAP_1262 -*22425 TAP_1263 -*22426 TAP_1264 -*22427 TAP_1265 -*22428 TAP_1266 -*22429 TAP_1267 -*22430 TAP_1268 -*22431 TAP_1269 -*22432 TAP_1270 -*22433 TAP_1271 -*22434 TAP_1272 -*22435 TAP_1273 -*22436 TAP_1274 -*22437 TAP_1275 -*22438 TAP_1276 -*22439 TAP_1277 -*22440 TAP_1278 -*22441 TAP_1279 -*22442 TAP_1280 -*22443 TAP_1281 -*22444 TAP_1282 -*22445 TAP_1283 -*22446 TAP_1284 -*22447 TAP_1285 -*22448 TAP_1286 -*22449 TAP_1287 -*22450 TAP_1288 -*22451 TAP_1289 -*22452 TAP_1290 -*22453 TAP_1291 -*22454 TAP_1292 -*22455 TAP_1293 -*22456 TAP_1294 -*22457 TAP_1295 -*22458 TAP_1296 -*22459 TAP_1297 -*22460 TAP_1298 -*22461 TAP_1299 -*22462 TAP_1300 -*22463 TAP_1301 -*22464 TAP_1302 -*22465 TAP_1303 -*22466 TAP_1304 -*22467 TAP_1305 -*22468 TAP_1306 -*22469 TAP_1307 -*22470 TAP_1308 -*22471 TAP_1309 -*22472 TAP_1310 -*22473 TAP_1311 -*22474 TAP_1312 -*22475 TAP_1313 -*22476 TAP_1314 -*22477 TAP_1315 -*22478 TAP_1316 -*22479 TAP_1317 -*22480 TAP_1318 -*22481 TAP_1319 -*22482 TAP_1320 -*22483 TAP_1321 -*22484 TAP_1322 -*22485 TAP_1323 -*22486 TAP_1324 -*22487 TAP_1325 -*22488 TAP_1326 -*22489 TAP_1327 -*22490 TAP_1328 -*22491 TAP_1329 -*22492 TAP_1330 -*22493 TAP_1331 -*22494 TAP_1332 -*22495 TAP_1333 -*22496 TAP_1334 -*22497 TAP_1335 -*22498 TAP_1336 -*22499 TAP_1337 -*22500 TAP_1338 -*22501 TAP_1339 -*22502 TAP_1340 -*22503 TAP_1341 -*22504 TAP_1342 -*22505 TAP_1343 -*22506 TAP_1344 -*22507 TAP_1345 -*22508 TAP_1346 -*22509 TAP_1347 -*22510 TAP_1348 -*22511 TAP_1349 -*22512 TAP_1350 -*22513 TAP_1351 -*22514 TAP_1352 -*22515 TAP_1353 -*22516 TAP_1354 -*22517 TAP_1355 -*22518 TAP_1356 -*22519 TAP_1357 -*22520 TAP_1358 -*22521 TAP_1359 -*22522 TAP_1360 -*22523 TAP_1361 -*22524 TAP_1362 -*22525 TAP_1363 -*22526 TAP_1364 -*22527 TAP_1365 -*22528 TAP_1366 -*22529 TAP_1367 -*22530 TAP_1368 -*22531 TAP_1369 -*22532 TAP_1370 -*22533 TAP_1371 -*22534 TAP_1372 -*22535 TAP_1373 -*22536 TAP_1374 -*22537 TAP_1375 -*22538 TAP_1376 -*22539 TAP_1377 -*22540 TAP_1378 -*22541 TAP_1379 -*22542 TAP_1380 -*22543 TAP_1381 -*22544 TAP_1382 -*22545 TAP_1383 -*22546 TAP_1384 -*22547 TAP_1385 -*22548 TAP_1386 -*22549 TAP_1387 -*22550 TAP_1388 -*22551 TAP_1389 -*22552 TAP_1390 -*22553 TAP_1391 -*22554 TAP_1392 -*22555 TAP_1393 -*22556 TAP_1394 -*22557 TAP_1395 -*22558 TAP_1396 -*22559 TAP_1397 -*22560 TAP_1398 -*22561 TAP_1399 -*22562 TAP_1400 -*22563 TAP_1401 -*22564 TAP_1402 -*22565 TAP_1403 -*22566 TAP_1404 -*22567 TAP_1405 -*22568 TAP_1406 -*22569 TAP_1407 -*22570 TAP_1408 -*22571 TAP_1409 -*22572 TAP_1410 -*22573 TAP_1411 -*22574 TAP_1412 -*22575 TAP_1413 -*22576 TAP_1414 -*22577 TAP_1415 -*22578 TAP_1416 -*22579 TAP_1417 -*22580 TAP_1418 -*22581 TAP_1419 -*22582 TAP_1420 -*22583 TAP_1421 -*22584 TAP_1422 -*22585 TAP_1423 -*22586 TAP_1424 -*22587 TAP_1425 -*22588 TAP_1426 -*22589 TAP_1427 -*22590 TAP_1428 -*22591 TAP_1429 -*22592 TAP_1430 -*22593 TAP_1431 -*22594 TAP_1432 -*22595 TAP_1433 -*22596 TAP_1434 -*22597 TAP_1435 -*22598 TAP_1436 -*22599 TAP_1437 -*22600 TAP_1438 -*22601 TAP_1439 -*22602 TAP_1440 -*22603 TAP_1441 -*22604 TAP_1442 -*22605 TAP_1443 -*22606 TAP_1444 -*22607 TAP_1445 -*22608 TAP_1446 -*22609 TAP_1447 -*22610 TAP_1448 -*22611 TAP_1449 -*22612 TAP_1450 -*22613 TAP_1451 -*22614 TAP_1452 -*22615 TAP_1453 -*22616 TAP_1454 -*22617 TAP_1455 -*22618 TAP_1456 -*22619 TAP_1457 -*22620 TAP_1458 -*22621 TAP_1459 -*22622 TAP_1460 -*22623 TAP_1461 -*22624 TAP_1462 -*22625 TAP_1463 -*22626 TAP_1464 -*22627 TAP_1465 -*22628 TAP_1466 -*22629 TAP_1467 -*22630 TAP_1468 -*22631 TAP_1469 -*22632 TAP_1470 -*22633 TAP_1471 -*22634 TAP_1472 -*22635 TAP_1473 -*22636 TAP_1474 -*22637 TAP_1475 -*22638 TAP_1476 -*22639 TAP_1477 -*22640 TAP_1478 -*22641 TAP_1479 -*22642 TAP_1480 -*22643 TAP_1481 -*22644 TAP_1482 -*22645 TAP_1483 -*22646 TAP_1484 -*22647 TAP_1485 -*22648 TAP_1486 -*22649 TAP_1487 -*22650 TAP_1488 -*22651 TAP_1489 -*22652 TAP_1490 -*22653 TAP_1491 -*22654 TAP_1492 -*22655 TAP_1493 -*22656 TAP_1494 -*22657 TAP_1495 -*22658 TAP_1496 -*22659 TAP_1497 -*22660 TAP_1498 -*22661 TAP_1499 -*22662 TAP_1500 -*22663 TAP_1501 -*22664 TAP_1502 -*22665 TAP_1503 -*22666 TAP_1504 -*22667 TAP_1505 -*22668 TAP_1506 -*22669 TAP_1507 -*22670 TAP_1508 -*22671 TAP_1509 -*22672 TAP_1510 -*22673 TAP_1511 -*22674 TAP_1512 -*22675 TAP_1513 -*22676 TAP_1514 -*22677 TAP_1515 -*22678 TAP_1516 -*22679 TAP_1517 -*22680 TAP_1518 -*22681 TAP_1519 -*22682 TAP_1520 -*22683 TAP_1521 -*22684 TAP_1522 -*22685 TAP_1523 -*22686 TAP_1524 -*22687 TAP_1525 -*22688 TAP_1526 -*22689 TAP_1527 -*22690 TAP_1528 -*22691 TAP_1529 -*22692 TAP_1530 -*22693 TAP_1531 -*22694 TAP_1532 -*22695 TAP_1533 -*22696 TAP_1534 -*22697 TAP_1535 -*22698 TAP_1536 -*22699 TAP_1537 -*22700 TAP_1538 -*22701 TAP_1539 -*22702 TAP_1540 -*22703 TAP_1541 -*22704 TAP_1542 -*22705 TAP_1543 -*22706 TAP_1544 -*22707 TAP_1545 -*22708 TAP_1546 -*22709 TAP_1547 -*22710 TAP_1548 -*22711 TAP_1549 -*22712 TAP_1550 -*22713 TAP_1551 -*22714 TAP_1552 -*22715 TAP_1553 -*22716 TAP_1554 -*22717 TAP_1555 -*22718 TAP_1556 -*22719 TAP_1557 -*22720 TAP_1558 -*22721 TAP_1559 -*22722 TAP_1560 -*22723 TAP_1561 -*22724 TAP_1562 -*22725 TAP_1563 -*22726 TAP_1564 -*22727 TAP_1565 -*22728 TAP_1566 -*22729 TAP_1567 -*22730 TAP_1568 -*22731 TAP_1569 -*22732 TAP_1570 -*22733 TAP_1571 -*22734 TAP_1572 -*22735 TAP_1573 -*22736 TAP_1574 -*22737 TAP_1575 -*22738 TAP_1576 -*22739 TAP_1577 -*22740 TAP_1578 -*22741 TAP_1579 -*22742 TAP_1580 -*22743 TAP_1581 -*22744 TAP_1582 -*22745 TAP_1583 -*22746 TAP_1584 -*22747 TAP_1585 -*22748 TAP_1586 -*22749 TAP_1587 -*22750 TAP_1588 -*22751 TAP_1589 -*22752 TAP_1590 -*22753 TAP_1591 -*22754 TAP_1592 -*22755 TAP_1593 -*22756 TAP_1594 -*22757 TAP_1595 -*22758 TAP_1596 -*22759 TAP_1597 -*22760 TAP_1598 -*22761 TAP_1599 -*22762 TAP_1600 -*22763 TAP_1601 -*22764 TAP_1602 -*22765 TAP_1603 -*22766 TAP_1604 -*22767 TAP_1605 -*22768 TAP_1606 -*22769 TAP_1607 -*22770 TAP_1608 -*22771 TAP_1609 -*22772 TAP_1610 -*22773 TAP_1611 -*22774 TAP_1612 -*22775 TAP_1613 -*22776 TAP_1614 -*22777 TAP_1615 -*22778 TAP_1616 -*22779 TAP_1617 -*22780 TAP_1618 -*22781 TAP_1619 -*22782 TAP_1620 -*22783 TAP_1621 -*22784 TAP_1622 -*22785 TAP_1623 -*22786 TAP_1624 -*22787 TAP_1625 -*22788 TAP_1626 -*22789 TAP_1627 -*22790 TAP_1628 -*22791 TAP_1629 -*22792 TAP_1630 -*22793 TAP_1631 -*22794 TAP_1632 -*22795 TAP_1633 -*22796 TAP_1634 -*22797 TAP_1635 -*22798 TAP_1636 -*22799 TAP_1637 -*22800 TAP_1638 -*22801 TAP_1639 -*22802 TAP_1640 -*22803 TAP_1641 -*22804 TAP_1642 -*22805 TAP_1643 -*22806 TAP_1644 -*22807 TAP_1645 -*22808 TAP_1646 -*22809 TAP_1647 -*22810 TAP_1648 -*22811 TAP_1649 -*22812 TAP_1650 -*22813 TAP_1651 -*22814 TAP_1652 -*22815 TAP_1653 -*22816 TAP_1654 -*22817 TAP_1655 -*22818 TAP_1656 -*22819 TAP_1657 -*22820 TAP_1658 -*22821 TAP_1659 -*22822 TAP_1660 -*22823 TAP_1661 -*22824 TAP_1662 -*22825 TAP_1663 -*22826 TAP_1664 -*22827 TAP_1665 -*22828 TAP_1666 -*22829 TAP_1667 -*22830 TAP_1668 -*22831 TAP_1669 -*22832 TAP_1670 -*22833 TAP_1671 -*22834 TAP_1672 -*22835 TAP_1673 -*22836 TAP_1674 -*22837 TAP_1675 -*22838 TAP_1676 -*22839 TAP_1677 -*22840 TAP_1678 -*22841 TAP_1679 -*22842 TAP_1680 -*22843 TAP_1681 -*22844 TAP_1682 -*22845 TAP_1683 -*22846 TAP_1684 -*22847 TAP_1685 -*22848 TAP_1686 -*22849 TAP_1687 -*22850 TAP_1688 -*22851 TAP_1689 -*22852 TAP_1690 -*22853 TAP_1691 -*22854 TAP_1692 -*22855 TAP_1693 -*22856 TAP_1694 -*22857 TAP_1695 -*22858 TAP_1696 -*22859 TAP_1697 -*22860 TAP_1698 -*22861 TAP_1699 -*22862 TAP_1700 -*22863 TAP_1701 -*22864 TAP_1702 -*22865 TAP_1703 -*22866 TAP_1704 -*22867 TAP_1705 -*22868 TAP_1706 -*22869 TAP_1707 -*22870 TAP_1708 -*22871 TAP_1709 -*22872 TAP_1710 -*22873 TAP_1711 -*22874 TAP_1712 -*22875 TAP_1713 -*22876 TAP_1714 -*22877 TAP_1715 -*22878 TAP_1716 -*22879 TAP_1717 -*22880 TAP_1718 -*22881 TAP_1719 -*22882 TAP_1720 -*22883 TAP_1721 -*22884 TAP_1722 -*22885 TAP_1723 -*22886 TAP_1724 -*22887 TAP_1725 -*22888 TAP_1726 -*22889 TAP_1727 -*22890 TAP_1728 -*22891 TAP_1729 -*22892 TAP_1730 -*22893 TAP_1731 -*22894 TAP_1732 -*22895 TAP_1733 -*22896 TAP_1734 -*22897 TAP_1735 -*22898 TAP_1736 -*22899 TAP_1737 -*22900 TAP_1738 -*22901 TAP_1739 -*22902 TAP_1740 -*22903 TAP_1741 -*22904 TAP_1742 -*22905 TAP_1743 -*22906 TAP_1744 -*22907 TAP_1745 -*22908 TAP_1746 -*22909 TAP_1747 -*22910 TAP_1748 -*22911 TAP_1749 -*22912 TAP_1750 -*22913 TAP_1751 -*22914 TAP_1752 -*22915 TAP_1753 -*22916 TAP_1754 -*22917 TAP_1755 -*22918 TAP_1756 -*22919 TAP_1757 -*22920 TAP_1758 -*22921 TAP_1759 -*22922 TAP_1760 -*22923 TAP_1761 -*22924 TAP_1762 -*22925 TAP_1763 -*22926 TAP_1764 -*22927 TAP_1765 -*22928 TAP_1766 -*22929 TAP_1767 -*22930 TAP_1768 -*22931 TAP_1769 -*22932 TAP_1770 -*22933 TAP_1771 -*22934 TAP_1772 -*22935 TAP_1773 -*22936 TAP_1774 -*22937 TAP_1775 -*22938 TAP_1776 -*22939 TAP_1777 -*22940 TAP_1778 -*22941 TAP_1779 -*22942 TAP_1780 -*22943 TAP_1781 -*22944 TAP_1782 -*22945 TAP_1783 -*22946 TAP_1784 -*22947 TAP_1785 -*22948 TAP_1786 -*22949 TAP_1787 -*22950 TAP_1788 -*22951 TAP_1789 -*22952 TAP_1790 -*22953 TAP_1791 -*22954 TAP_1792 -*22955 TAP_1793 -*22956 TAP_1794 -*22957 TAP_1795 -*22958 TAP_1796 -*22959 TAP_1797 -*22960 TAP_1798 -*22961 TAP_1799 -*22962 TAP_1800 -*22963 TAP_1801 -*22964 TAP_1802 -*22965 TAP_1803 -*22966 TAP_1804 -*22967 TAP_1805 -*22968 TAP_1806 -*22969 TAP_1807 -*22970 TAP_1808 -*22971 TAP_1809 -*22972 TAP_1810 -*22973 TAP_1811 -*22974 TAP_1812 -*22975 TAP_1813 -*22976 TAP_1814 -*22977 TAP_1815 -*22978 TAP_1816 -*22979 TAP_1817 -*22980 TAP_1818 -*22981 TAP_1819 -*22982 TAP_1820 -*22983 TAP_1821 -*22984 TAP_1822 -*22985 TAP_1823 -*22986 TAP_1824 -*22987 TAP_1825 -*22988 TAP_1826 -*22989 TAP_1827 -*22990 TAP_1828 -*22991 TAP_1829 -*22992 TAP_1830 -*22993 TAP_1831 -*22994 TAP_1832 -*22995 TAP_1833 -*22996 TAP_1834 -*22997 TAP_1835 -*22998 TAP_1836 -*22999 TAP_1837 -*23000 TAP_1838 -*23001 TAP_1839 -*23002 TAP_1840 -*23003 TAP_1841 -*23004 TAP_1842 -*23005 TAP_1843 -*23006 TAP_1844 -*23007 TAP_1845 -*23008 TAP_1846 -*23009 TAP_1847 -*23010 TAP_1848 -*23011 TAP_1849 -*23012 TAP_1850 -*23013 TAP_1851 -*23014 TAP_1852 -*23015 TAP_1853 -*23016 TAP_1854 -*23017 TAP_1855 -*23018 TAP_1856 -*23019 TAP_1857 -*23020 TAP_1858 -*23021 TAP_1859 -*23022 TAP_1860 -*23023 TAP_1861 -*23024 TAP_1862 -*23025 TAP_1863 -*23026 TAP_1864 -*23027 TAP_1865 -*23028 TAP_1866 -*23029 TAP_1867 -*23030 TAP_1868 -*23031 TAP_1869 -*23032 TAP_1870 -*23033 TAP_1871 -*23034 TAP_1872 -*23035 TAP_1873 -*23036 TAP_1874 -*23037 TAP_1875 -*23038 TAP_1876 -*23039 TAP_1877 -*23040 TAP_1878 -*23041 TAP_1879 -*23042 TAP_1880 -*23043 TAP_1881 -*23044 TAP_1882 -*23045 TAP_1883 -*23046 TAP_1884 -*23047 TAP_1885 -*23048 TAP_1886 -*23049 TAP_1887 -*23050 TAP_1888 -*23051 TAP_1889 -*23052 TAP_1890 -*23053 TAP_1891 -*23054 TAP_1892 -*23055 TAP_1893 -*23056 TAP_1894 -*23057 TAP_1895 -*23058 TAP_1896 -*23059 TAP_1897 -*23060 TAP_1898 -*23061 TAP_1899 -*23062 TAP_1900 -*23063 TAP_1901 -*23064 TAP_1902 -*23065 TAP_1903 -*23066 TAP_1904 -*23067 TAP_1905 -*23068 TAP_1906 -*23069 TAP_1907 -*23070 TAP_1908 -*23071 TAP_1909 -*23072 TAP_1910 -*23073 TAP_1911 -*23074 TAP_1912 -*23075 TAP_1913 -*23076 TAP_1914 -*23077 TAP_1915 -*23078 TAP_1916 -*23079 TAP_1917 -*23080 TAP_1918 -*23081 TAP_1919 -*23082 TAP_1920 -*23083 TAP_1921 -*23084 TAP_1922 -*23085 TAP_1923 -*23086 TAP_1924 -*23087 TAP_1925 -*23088 TAP_1926 -*23089 TAP_1927 -*23090 TAP_1928 -*23091 TAP_1929 -*23092 TAP_1930 -*23093 TAP_1931 -*23094 TAP_1932 -*23095 TAP_1933 -*23096 TAP_1934 -*23097 TAP_1935 -*23098 TAP_1936 -*23099 TAP_1937 -*23100 TAP_1938 -*23101 TAP_1939 -*23102 TAP_1940 -*23103 TAP_1941 -*23104 TAP_1942 -*23105 TAP_1943 -*23106 TAP_1944 -*23107 TAP_1945 -*23108 TAP_1946 -*23109 TAP_1947 -*23110 TAP_1948 -*23111 TAP_1949 -*23112 TAP_1950 -*23113 TAP_1951 -*23114 TAP_1952 -*23115 TAP_1953 -*23116 TAP_1954 -*23117 TAP_1955 -*23118 TAP_1956 -*23119 TAP_1957 -*23120 TAP_1958 -*23121 TAP_1959 -*23122 TAP_1960 -*23123 TAP_1961 -*23124 TAP_1962 -*23125 TAP_1963 -*23126 TAP_1964 -*23127 TAP_1965 -*23128 TAP_1966 -*23129 TAP_1967 -*23130 TAP_1968 -*23131 TAP_1969 -*23132 TAP_1970 -*23133 TAP_1971 -*23134 TAP_1972 -*23135 TAP_1973 -*23136 TAP_1974 -*23137 TAP_1975 -*23138 TAP_1976 -*23139 TAP_1977 -*23140 TAP_1978 -*23141 TAP_1979 -*23142 TAP_1980 -*23143 TAP_1981 -*23144 TAP_1982 -*23145 TAP_1983 -*23146 TAP_1984 -*23147 TAP_1985 -*23148 TAP_1986 -*23149 TAP_1987 -*23150 TAP_1988 -*23151 TAP_1989 -*23152 TAP_1990 -*23153 TAP_1991 -*23154 TAP_1992 -*23155 TAP_1993 -*23156 TAP_1994 -*23157 TAP_1995 -*23158 TAP_1996 -*23159 TAP_1997 -*23160 TAP_1998 -*23161 TAP_1999 -*23162 TAP_2000 -*23163 TAP_2001 -*23164 TAP_2002 -*23165 TAP_2003 -*23166 TAP_2004 -*23167 TAP_2005 -*23168 TAP_2006 -*23169 TAP_2007 -*23170 TAP_2008 -*23171 TAP_2009 -*23172 TAP_2010 -*23173 TAP_2011 -*23174 TAP_2012 -*23175 TAP_2013 -*23176 TAP_2014 -*23177 TAP_2015 -*23178 TAP_2016 -*23179 TAP_2017 -*23180 TAP_2018 -*23181 TAP_2019 -*23182 TAP_2020 -*23183 TAP_2021 -*23184 TAP_2022 -*23185 TAP_2023 -*23186 TAP_2024 -*23187 TAP_2025 -*23188 TAP_2026 -*23189 TAP_2027 -*23190 TAP_2028 -*23191 TAP_2029 -*23192 TAP_2030 -*23193 TAP_2031 -*23194 TAP_2032 -*23195 TAP_2033 -*23196 TAP_2034 -*23197 TAP_2035 -*23198 TAP_2036 -*23199 TAP_2037 -*23200 TAP_2038 -*23201 TAP_2039 -*23202 TAP_2040 -*23203 TAP_2041 -*23204 TAP_2042 -*23205 TAP_2043 -*23206 TAP_2044 -*23207 TAP_2045 -*23208 TAP_2046 -*23209 TAP_2047 -*23210 TAP_2048 -*23211 TAP_2049 -*23212 TAP_2050 -*23213 TAP_2051 -*23214 TAP_2052 -*23215 TAP_2053 -*23216 TAP_2054 -*23217 TAP_2055 -*23218 TAP_2056 -*23219 TAP_2057 -*23220 TAP_2058 -*23221 TAP_2059 -*23222 TAP_2060 -*23223 TAP_2061 -*23224 TAP_2062 -*23225 TAP_2063 -*23226 TAP_2064 -*23227 TAP_2065 -*23228 TAP_2066 -*23229 TAP_2067 -*23230 TAP_2068 -*23231 TAP_2069 -*23232 TAP_2070 -*23233 TAP_2071 -*23234 TAP_2072 -*23235 TAP_2073 -*23236 TAP_2074 -*23237 TAP_2075 -*23238 TAP_2076 -*23239 TAP_2077 -*23240 TAP_2078 -*23241 TAP_2079 -*23242 TAP_2080 -*23243 TAP_2081 -*23244 TAP_2082 -*23245 TAP_2083 -*23246 TAP_2084 -*23247 TAP_2085 -*23248 TAP_2086 -*23249 TAP_2087 -*23250 TAP_2088 -*23251 TAP_2089 -*23252 TAP_2090 -*23253 TAP_2091 -*23254 TAP_2092 -*23255 TAP_2093 -*23256 TAP_2094 -*23257 TAP_2095 -*23258 TAP_2096 -*23259 TAP_2097 -*23260 TAP_2098 -*23261 TAP_2099 -*23262 TAP_2100 -*23263 TAP_2101 -*23264 TAP_2102 -*23265 TAP_2103 -*23266 TAP_2104 -*23267 TAP_2105 -*23268 TAP_2106 -*23269 TAP_2107 -*23270 TAP_2108 -*23271 TAP_2109 -*23272 TAP_2110 -*23273 TAP_2111 -*23274 TAP_2112 -*23275 TAP_2113 -*23276 TAP_2114 -*23277 TAP_2115 -*23278 TAP_2116 -*23279 TAP_2117 -*23280 TAP_2118 -*23281 TAP_2119 -*23282 TAP_2120 -*23283 TAP_2121 -*23284 TAP_2122 -*23285 TAP_2123 -*23286 TAP_2124 -*23287 TAP_2125 -*23288 TAP_2126 -*23289 TAP_2127 -*23290 TAP_2128 -*23291 TAP_2129 -*23292 TAP_2130 -*23293 TAP_2131 -*23294 TAP_2132 -*23295 TAP_2133 -*23296 TAP_2134 -*23297 TAP_2135 -*23298 TAP_2136 -*23299 TAP_2137 -*23300 TAP_2138 -*23301 TAP_2139 -*23302 TAP_2140 -*23303 TAP_2141 -*23304 TAP_2142 -*23305 TAP_2143 -*23306 TAP_2144 -*23307 TAP_2145 -*23308 TAP_2146 -*23309 TAP_2147 -*23310 TAP_2148 -*23311 TAP_2149 -*23312 TAP_2150 -*23313 TAP_2151 -*23314 TAP_2152 -*23315 TAP_2153 -*23316 TAP_2154 -*23317 TAP_2155 -*23318 TAP_2156 -*23319 TAP_2157 -*23320 TAP_2158 -*23321 TAP_2159 -*23322 TAP_2160 -*23323 TAP_2161 -*23324 TAP_2162 -*23325 TAP_2163 -*23326 TAP_2164 -*23327 TAP_2165 -*23328 TAP_2166 -*23329 TAP_2167 -*23330 TAP_2168 -*23331 TAP_2169 -*23332 TAP_2170 -*23333 TAP_2171 -*23334 TAP_2172 -*23335 TAP_2173 -*23336 TAP_2174 -*23337 TAP_2175 -*23338 TAP_2176 -*23339 TAP_2177 -*23340 TAP_2178 -*23341 TAP_2179 -*23342 TAP_2180 -*23343 TAP_2181 -*23344 TAP_2182 -*23345 TAP_2183 -*23346 TAP_2184 -*23347 TAP_2185 -*23348 TAP_2186 -*23349 TAP_2187 -*23350 TAP_2188 -*23351 TAP_2189 -*23352 TAP_2190 -*23353 TAP_2191 -*23354 TAP_2192 -*23355 TAP_2193 -*23356 TAP_2194 -*23357 TAP_2195 -*23358 TAP_2196 -*23359 TAP_2197 -*23360 TAP_2198 -*23361 TAP_2199 -*23362 TAP_2200 -*23363 TAP_2201 -*23364 TAP_2202 -*23365 TAP_2203 -*23366 TAP_2204 -*23367 TAP_2205 -*23368 TAP_2206 -*23369 TAP_2207 -*23370 TAP_2208 -*23371 TAP_2209 -*23372 TAP_2210 -*23373 TAP_2211 -*23374 TAP_2212 -*23375 TAP_2213 -*23376 TAP_2214 -*23377 TAP_2215 -*23378 TAP_2216 -*23379 TAP_2217 -*23380 TAP_2218 -*23381 TAP_2219 -*23382 TAP_2220 -*23383 TAP_2221 -*23384 TAP_2222 -*23385 TAP_2223 -*23386 TAP_2224 -*23387 TAP_2225 -*23388 TAP_2226 -*23389 TAP_2227 -*23390 TAP_2228 -*23391 TAP_2229 -*23392 TAP_2230 -*23393 TAP_2231 -*23394 TAP_2232 -*23395 TAP_2233 -*23396 TAP_2234 -*23397 TAP_2235 -*23398 TAP_2236 -*23399 TAP_2237 -*23400 TAP_2238 -*23401 TAP_2239 -*23402 TAP_2240 -*23403 TAP_2241 -*23404 TAP_2242 -*23405 TAP_2243 -*23406 TAP_2244 -*23407 TAP_2245 -*23408 TAP_2246 -*23409 TAP_2247 -*23410 TAP_2248 -*23411 TAP_2249 -*23412 TAP_2250 -*23413 TAP_2251 -*23414 TAP_2252 -*23415 TAP_2253 -*23416 TAP_2254 -*23417 TAP_2255 -*23418 TAP_2256 -*23419 TAP_2257 -*23420 TAP_2258 -*23421 TAP_2259 -*23422 TAP_2260 -*23423 TAP_2261 -*23424 TAP_2262 -*23425 TAP_2263 -*23426 TAP_2264 -*23427 TAP_2265 -*23428 TAP_2266 -*23429 TAP_2267 -*23430 TAP_2268 -*23431 TAP_2269 -*23432 TAP_2270 -*23433 TAP_2271 -*23434 TAP_2272 -*23435 TAP_2273 -*23436 TAP_2274 -*23437 TAP_2275 -*23438 TAP_2276 -*23439 TAP_2277 -*23440 TAP_2278 -*23441 TAP_2279 -*23442 TAP_2280 -*23443 TAP_2281 -*23444 TAP_2282 -*23445 TAP_2283 -*23446 TAP_2284 -*23447 TAP_2285 -*23448 TAP_2286 -*23449 TAP_2287 -*23450 TAP_2288 -*23451 TAP_2289 -*23452 TAP_2290 -*23453 TAP_2291 -*23454 TAP_2292 -*23455 TAP_2293 -*23456 TAP_2294 -*23457 TAP_2295 -*23458 TAP_2296 -*23459 TAP_2297 -*23460 TAP_2298 -*23461 TAP_2299 -*23462 TAP_2300 -*23463 TAP_2301 -*23464 TAP_2302 -*23465 TAP_2303 -*23466 TAP_2304 -*23467 TAP_2305 -*23468 TAP_2306 -*23469 TAP_2307 -*23470 TAP_2308 -*23471 TAP_2309 -*23472 TAP_2310 -*23473 TAP_2311 -*23474 TAP_2312 -*23475 TAP_2313 -*23476 TAP_2314 -*23477 TAP_2315 -*23478 TAP_2316 -*23479 TAP_2317 -*23480 TAP_2318 -*23481 TAP_2319 -*23482 TAP_2320 -*23483 TAP_2321 -*23484 TAP_2322 -*23485 TAP_2323 -*23486 TAP_2324 -*23487 TAP_2325 -*23488 TAP_2326 -*23489 TAP_2327 -*23490 TAP_2328 -*23491 TAP_2329 -*23492 TAP_2330 -*23493 TAP_2331 -*23494 TAP_2332 -*23495 TAP_2333 -*23496 TAP_2334 -*23497 TAP_2335 -*23498 TAP_2336 -*23499 TAP_2337 -*23500 TAP_2338 -*23501 TAP_2339 -*23502 TAP_2340 -*23503 TAP_2341 -*23504 TAP_2342 -*23505 TAP_2343 -*23506 TAP_2344 -*23507 TAP_2345 -*23508 TAP_2346 -*23509 TAP_2347 -*23510 TAP_2348 -*23511 TAP_2349 -*23512 TAP_2350 -*23513 TAP_2351 -*23514 TAP_2352 -*23515 TAP_2353 -*23516 TAP_2354 -*23517 TAP_2355 -*23518 TAP_2356 -*23519 TAP_2357 -*23520 TAP_2358 -*23521 TAP_2359 -*23522 TAP_2360 -*23523 TAP_2361 -*23524 TAP_2362 -*23525 TAP_2363 -*23526 TAP_2364 -*23527 TAP_2365 -*23528 TAP_2366 -*23529 TAP_2367 -*23530 TAP_2368 -*23531 TAP_2369 -*23532 TAP_2370 -*23533 TAP_2371 -*23534 TAP_2372 -*23535 TAP_2373 -*23536 TAP_2374 -*23537 TAP_2375 -*23538 TAP_2376 -*23539 TAP_2377 -*23540 TAP_2378 -*23541 TAP_2379 -*23542 TAP_2380 -*23543 TAP_2381 -*23544 TAP_2382 -*23545 TAP_2383 -*23546 TAP_2384 -*23547 TAP_2385 -*23548 TAP_2386 -*23549 TAP_2387 -*23550 TAP_2388 -*23551 TAP_2389 -*23552 TAP_2390 -*23553 TAP_2391 -*23554 TAP_2392 -*23555 TAP_2393 -*23556 TAP_2394 -*23557 TAP_2395 -*23558 TAP_2396 -*23559 TAP_2397 -*23560 TAP_2398 -*23561 TAP_2399 -*23562 TAP_2400 -*23563 TAP_2401 -*23564 TAP_2402 -*23565 TAP_2403 -*23566 TAP_2404 -*23567 TAP_2405 -*23568 TAP_2406 -*23569 TAP_2407 -*23570 TAP_2408 -*23571 TAP_2409 -*23572 TAP_2410 -*23573 TAP_2411 -*23574 TAP_2412 -*23575 TAP_2413 -*23576 TAP_2414 -*23577 TAP_2415 -*23578 TAP_2416 -*23579 TAP_2417 -*23580 TAP_2418 -*23581 TAP_2419 -*23582 TAP_2420 -*23583 TAP_2421 -*23584 TAP_2422 -*23585 TAP_2423 -*23586 TAP_2424 -*23587 TAP_2425 -*23588 TAP_2426 -*23589 TAP_2427 -*23590 TAP_2428 -*23591 TAP_2429 -*23592 TAP_2430 -*23593 TAP_2431 -*23594 TAP_2432 -*23595 TAP_2433 -*23596 TAP_2434 -*23597 TAP_2435 -*23598 TAP_2436 -*23599 TAP_2437 -*23600 TAP_2438 -*23601 TAP_2439 -*23602 TAP_2440 -*23603 TAP_2441 -*23604 TAP_2442 -*23605 TAP_2443 -*23606 TAP_2444 -*23607 TAP_2445 -*23608 TAP_2446 -*23609 TAP_2447 -*23610 TAP_2448 -*23611 TAP_2449 -*23612 TAP_2450 -*23613 TAP_2451 -*23614 TAP_2452 -*23615 TAP_2453 -*23616 TAP_2454 -*23617 TAP_2455 -*23618 TAP_2456 -*23619 TAP_2457 -*23620 TAP_2458 -*23621 TAP_2459 -*23622 TAP_2460 -*23623 TAP_2461 -*23624 TAP_2462 -*23625 TAP_2463 -*23626 TAP_2464 -*23627 TAP_2465 -*23628 TAP_2466 -*23629 TAP_2467 -*23630 TAP_2468 -*23631 TAP_2469 -*23632 TAP_2470 -*23633 TAP_2471 -*23634 TAP_2472 -*23635 TAP_2473 -*23636 TAP_2474 -*23637 TAP_2475 -*23638 TAP_2476 -*23639 TAP_2477 -*23640 TAP_2478 -*23641 TAP_2479 -*23642 TAP_2480 -*23643 TAP_2481 -*23644 TAP_2482 -*23645 TAP_2483 -*23646 TAP_2484 -*23647 TAP_2485 -*23648 TAP_2486 -*23649 TAP_2487 -*23650 TAP_2488 -*23651 TAP_2489 -*23652 TAP_2490 -*23653 TAP_2491 -*23654 TAP_2492 -*23655 TAP_2493 -*23656 TAP_2494 -*23657 TAP_2495 -*23658 TAP_2496 -*23659 TAP_2497 -*23660 TAP_2498 -*23661 TAP_2499 -*23662 TAP_2500 -*23663 TAP_2501 -*23664 TAP_2502 -*23665 TAP_2503 -*23666 TAP_2504 -*23667 TAP_2505 -*23668 TAP_2506 -*23669 TAP_2507 -*23670 TAP_2508 -*23671 TAP_2509 -*23672 TAP_2510 -*23673 TAP_2511 -*23674 TAP_2512 -*23675 TAP_2513 -*23676 TAP_2514 -*23677 TAP_2515 -*23678 TAP_2516 -*23679 TAP_2517 -*23680 TAP_2518 -*23681 TAP_2519 -*23682 TAP_2520 -*23683 TAP_2521 -*23684 TAP_2522 -*23685 TAP_2523 -*23686 TAP_2524 -*23687 TAP_2525 -*23688 TAP_2526 -*23689 TAP_2527 -*23690 TAP_2528 -*23691 TAP_2529 -*23692 TAP_2530 -*23693 TAP_2531 -*23694 TAP_2532 -*23695 TAP_2533 -*23696 TAP_2534 -*23697 TAP_2535 -*23698 TAP_2536 -*23699 TAP_2537 -*23700 TAP_2538 -*23701 TAP_2539 -*23702 TAP_2540 -*23703 TAP_2541 -*23704 TAP_2542 -*23705 TAP_2543 -*23706 TAP_2544 -*23707 TAP_2545 -*23708 TAP_2546 -*23709 TAP_2547 -*23710 TAP_2548 -*23711 TAP_2549 -*23712 TAP_2550 -*23713 TAP_2551 -*23714 TAP_2552 -*23715 TAP_2553 -*23716 TAP_2554 -*23717 TAP_2555 -*23718 TAP_2556 -*23719 TAP_2557 -*23720 TAP_2558 -*23721 TAP_2559 -*23722 TAP_2560 -*23723 TAP_2561 -*23724 TAP_2562 -*23725 TAP_2563 -*23726 TAP_2564 -*23727 TAP_2565 -*23728 TAP_2566 -*23729 TAP_2567 -*23730 TAP_2568 -*23731 TAP_2569 -*23732 TAP_2570 -*23733 TAP_2571 -*23734 TAP_2572 -*23735 TAP_2573 -*23736 TAP_2574 -*23737 TAP_2575 -*23738 TAP_2576 -*23739 TAP_2577 -*23740 TAP_2578 -*23741 TAP_2579 -*23742 TAP_2580 -*23743 TAP_2581 -*23744 TAP_2582 -*23745 TAP_2583 -*23746 TAP_2584 -*23747 TAP_2585 -*23748 TAP_2586 -*23749 TAP_2587 -*23750 TAP_2588 -*23751 TAP_2589 -*23752 TAP_2590 -*23753 TAP_2591 -*23754 TAP_2592 -*23755 TAP_2593 -*23756 TAP_2594 -*23757 TAP_2595 -*23758 TAP_2596 -*23759 TAP_2597 -*23760 TAP_2598 -*23761 TAP_2599 -*23762 TAP_2600 -*23763 TAP_2601 -*23764 TAP_2602 -*23765 TAP_2603 -*23766 TAP_2604 -*23767 TAP_2605 -*23768 TAP_2606 -*23769 TAP_2607 -*23770 TAP_2608 -*23771 TAP_2609 -*23772 TAP_2610 -*23773 TAP_2611 -*23774 TAP_2612 -*23775 TAP_2613 -*23776 TAP_2614 -*23777 TAP_2615 -*23778 TAP_2616 -*23779 TAP_2617 -*23780 TAP_2618 -*23781 TAP_2619 -*23782 TAP_2620 -*23783 TAP_2621 -*23784 TAP_2622 -*23785 TAP_2623 -*23786 TAP_2624 -*23787 TAP_2625 -*23788 TAP_2626 -*23789 TAP_2627 -*23790 TAP_2628 -*23791 TAP_2629 -*23792 TAP_2630 -*23793 TAP_2631 -*23794 TAP_2632 -*23795 TAP_2633 -*23796 TAP_2634 -*23797 TAP_2635 -*23798 TAP_2636 -*23799 TAP_2637 -*23800 TAP_2638 -*23801 TAP_2639 -*23802 TAP_2640 -*23803 TAP_2641 -*23804 TAP_2642 -*23805 TAP_2643 -*23806 TAP_2644 -*23807 TAP_2645 -*23808 TAP_2646 -*23809 TAP_2647 -*23810 TAP_2648 -*23811 TAP_2649 -*23812 TAP_2650 -*23813 TAP_2651 -*23814 TAP_2652 -*23815 TAP_2653 -*23816 TAP_2654 -*23817 TAP_2655 -*23818 TAP_2656 -*23819 TAP_2657 -*23820 TAP_2658 -*23821 TAP_2659 -*23822 TAP_2660 -*23823 TAP_2661 -*23824 TAP_2662 -*23825 TAP_2663 -*23826 TAP_2664 -*23827 TAP_2665 -*23828 TAP_2666 -*23829 TAP_2667 -*23830 TAP_2668 -*23831 TAP_2669 -*23832 TAP_2670 -*23833 TAP_2671 -*23834 TAP_2672 -*23835 TAP_2673 -*23836 TAP_2674 -*23837 TAP_2675 -*23838 TAP_2676 -*23839 TAP_2677 -*23840 TAP_2678 -*23841 TAP_2679 -*23842 TAP_2680 -*23843 TAP_2681 -*23844 TAP_2682 -*23845 TAP_2683 -*23846 TAP_2684 -*23847 TAP_2685 -*23848 TAP_2686 -*23849 TAP_2687 -*23850 TAP_2688 -*23851 TAP_2689 -*23852 TAP_2690 -*23853 TAP_2691 -*23854 TAP_2692 -*23855 TAP_2693 -*23856 TAP_2694 -*23857 TAP_2695 -*23858 TAP_2696 -*23859 TAP_2697 -*23860 TAP_2698 -*23861 TAP_2699 -*23862 TAP_2700 -*23863 TAP_2701 -*23864 TAP_2702 -*23865 TAP_2703 -*23866 TAP_2704 -*23867 TAP_2705 -*23868 TAP_2706 -*23869 TAP_2707 -*23870 TAP_2708 -*23871 TAP_2709 -*23872 TAP_2710 -*23873 TAP_2711 -*23874 TAP_2712 -*23875 TAP_2713 -*23876 TAP_2714 -*23877 TAP_2715 -*23878 TAP_2716 -*23879 TAP_2717 -*23880 TAP_2718 -*23881 TAP_2719 -*23882 TAP_2720 -*23883 TAP_2721 -*23884 TAP_2722 -*23885 TAP_2723 -*23886 TAP_2724 -*23887 TAP_2725 -*23888 TAP_2726 -*23889 TAP_2727 -*23890 TAP_2728 -*23891 TAP_2729 -*23892 TAP_2730 -*23893 TAP_2731 -*23894 TAP_2732 -*23895 TAP_2733 -*23896 TAP_2734 -*23897 TAP_2735 -*23898 TAP_2736 -*23899 TAP_2737 -*23900 TAP_2738 -*23901 TAP_2739 -*23902 TAP_2740 -*23903 TAP_2741 -*23904 TAP_2742 -*23905 TAP_2743 -*23906 TAP_2744 -*23907 TAP_2745 -*23908 TAP_2746 -*23909 TAP_2747 -*23910 TAP_2748 -*23911 TAP_2749 -*23912 TAP_2750 -*23913 TAP_2751 -*23914 TAP_2752 -*23915 TAP_2753 -*23916 TAP_2754 -*23917 TAP_2755 -*23918 TAP_2756 -*23919 TAP_2757 -*23920 TAP_2758 -*23921 TAP_2759 -*23922 TAP_2760 -*23923 TAP_2761 -*23924 TAP_2762 -*23925 TAP_2763 -*23926 TAP_2764 -*23927 TAP_2765 -*23928 TAP_2766 -*23929 TAP_2767 -*23930 TAP_2768 -*23931 TAP_2769 -*23932 TAP_2770 -*23933 TAP_2771 -*23934 TAP_2772 -*23935 TAP_2773 -*23936 TAP_2774 -*23937 TAP_2775 -*23938 TAP_2776 -*23939 TAP_2777 -*23940 TAP_2778 -*23941 TAP_2779 -*23942 TAP_2780 -*23943 TAP_2781 -*23944 TAP_2782 -*23945 TAP_2783 -*23946 TAP_2784 -*23947 TAP_2785 -*23948 TAP_2786 -*23949 TAP_2787 -*23950 TAP_2788 -*23951 TAP_2789 -*23952 TAP_2790 -*23953 TAP_2791 -*23954 TAP_2792 -*23955 TAP_2793 -*23956 TAP_2794 -*23957 TAP_2795 -*23958 TAP_2796 -*23959 TAP_2797 -*23960 TAP_2798 -*23961 TAP_2799 -*23962 TAP_2800 -*23963 TAP_2801 -*23964 TAP_2802 -*23965 TAP_2803 -*23966 TAP_2804 -*23967 TAP_2805 -*23968 TAP_2806 -*23969 TAP_2807 -*23970 TAP_2808 -*23971 TAP_2809 -*23972 TAP_2810 -*23973 TAP_2811 -*23974 TAP_2812 -*23975 TAP_2813 -*23976 TAP_2814 -*23977 TAP_2815 -*23978 TAP_2816 -*23979 TAP_2817 -*23980 TAP_2818 -*23981 TAP_2819 -*23982 TAP_2820 -*23983 TAP_2821 -*23984 TAP_2822 -*23985 TAP_2823 -*23986 TAP_2824 -*23987 TAP_2825 -*23988 TAP_2826 -*23989 TAP_2827 -*23990 TAP_2828 -*23991 TAP_2829 -*23992 TAP_2830 -*23993 TAP_2831 -*23994 TAP_2832 -*23995 TAP_2833 -*23996 TAP_2834 -*23997 TAP_2835 -*23998 TAP_2836 -*23999 TAP_2837 -*24000 TAP_2838 -*24001 TAP_2839 -*24002 TAP_2840 -*24003 TAP_2841 -*24004 TAP_2842 -*24005 TAP_2843 -*24006 TAP_2844 -*24007 TAP_2845 -*24008 TAP_2846 -*24009 TAP_2847 -*24010 TAP_2848 -*24011 TAP_2849 -*24012 TAP_2850 -*24013 TAP_2851 -*24014 TAP_2852 -*24015 TAP_2853 -*24016 TAP_2854 -*24017 TAP_2855 -*24018 TAP_2856 -*24019 TAP_2857 -*24020 TAP_2858 -*24021 TAP_2859 -*24022 TAP_2860 -*24023 TAP_2861 -*24024 TAP_2862 -*24025 TAP_2863 -*24026 TAP_2864 -*24027 TAP_2865 -*24028 TAP_2866 -*24029 TAP_2867 -*24030 TAP_2868 -*24031 TAP_2869 -*24032 TAP_2870 -*24033 TAP_2871 -*24034 TAP_2872 -*24035 TAP_2873 -*24036 TAP_2874 -*24037 TAP_2875 -*24038 TAP_2876 -*24039 TAP_2877 -*24040 TAP_2878 -*24041 TAP_2879 -*24042 TAP_2880 -*24043 TAP_2881 -*24044 TAP_2882 -*24045 TAP_2883 -*24046 TAP_2884 -*24047 TAP_2885 -*24048 TAP_2886 -*24049 TAP_2887 -*24050 TAP_2888 -*24051 TAP_2889 -*24052 TAP_2890 -*24053 TAP_2891 -*24054 TAP_2892 -*24055 TAP_2893 -*24056 TAP_2894 -*24057 TAP_2895 -*24058 TAP_2896 -*24059 TAP_2897 -*24060 TAP_2898 -*24061 TAP_2899 -*24062 TAP_2900 -*24063 TAP_2901 -*24064 TAP_2902 -*24065 TAP_2903 -*24066 TAP_2904 -*24067 TAP_2905 -*24068 TAP_2906 -*24069 TAP_2907 -*24070 TAP_2908 -*24071 TAP_2909 -*24072 TAP_2910 -*24073 TAP_2911 -*24074 TAP_2912 -*24075 TAP_2913 -*24076 TAP_2914 -*24077 TAP_2915 -*24078 TAP_2916 -*24079 TAP_2917 -*24080 TAP_2918 -*24081 TAP_2919 -*24082 TAP_2920 -*24083 TAP_2921 -*24084 TAP_2922 -*24085 TAP_2923 -*24086 TAP_2924 -*24087 TAP_2925 -*24088 TAP_2926 -*24089 TAP_2927 -*24090 TAP_2928 -*24091 TAP_2929 -*24092 TAP_2930 -*24093 TAP_2931 -*24094 TAP_2932 -*24095 TAP_2933 -*24096 TAP_2934 -*24097 TAP_2935 -*24098 TAP_2936 -*24099 TAP_2937 -*24100 TAP_2938 -*24101 TAP_2939 -*24102 TAP_2940 -*24103 TAP_2941 -*24104 TAP_2942 -*24105 TAP_2943 -*24106 TAP_2944 -*24107 TAP_2945 -*24108 TAP_2946 -*24109 TAP_2947 -*24110 TAP_2948 -*24111 TAP_2949 -*24112 TAP_2950 -*24113 TAP_2951 -*24114 TAP_2952 -*24115 TAP_2953 -*24116 TAP_2954 -*24117 TAP_2955 -*24118 TAP_2956 -*24119 TAP_2957 -*24120 TAP_2958 -*24121 TAP_2959 -*24122 TAP_2960 -*24123 TAP_2961 -*24124 TAP_2962 -*24125 TAP_2963 -*24126 TAP_2964 -*24127 TAP_2965 -*24128 TAP_2966 -*24129 TAP_2967 -*24130 TAP_2968 -*24131 TAP_2969 -*24132 TAP_2970 -*24133 TAP_2971 -*24134 TAP_2972 -*24135 TAP_2973 -*24136 TAP_2974 -*24137 TAP_2975 -*24138 TAP_2976 -*24139 TAP_2977 -*24140 TAP_2978 -*24141 TAP_2979 -*24142 TAP_2980 -*24143 TAP_2981 -*24144 TAP_2982 -*24145 TAP_2983 -*24146 TAP_2984 -*24147 TAP_2985 -*24148 TAP_2986 -*24149 TAP_2987 -*24150 TAP_2988 -*24151 TAP_2989 -*24152 TAP_2990 -*24153 TAP_2991 -*24154 TAP_2992 -*24155 TAP_2993 -*24156 TAP_2994 -*24157 TAP_2995 -*24158 TAP_2996 -*24159 TAP_2997 -*24160 TAP_2998 -*24161 TAP_2999 -*24162 TAP_3000 -*24163 TAP_3001 -*24164 TAP_3002 -*24165 TAP_3003 -*24166 TAP_3004 -*24167 TAP_3005 -*24168 TAP_3006 -*24169 TAP_3007 -*24170 TAP_3008 -*24171 TAP_3009 -*24172 TAP_3010 -*24173 TAP_3011 -*24174 TAP_3012 -*24175 TAP_3013 -*24176 TAP_3014 -*24177 TAP_3015 -*24178 TAP_3016 -*24179 TAP_3017 -*24180 TAP_3018 -*24181 TAP_3019 -*24182 TAP_3020 -*24183 TAP_3021 -*24184 TAP_3022 -*24185 TAP_3023 -*24186 TAP_3024 -*24187 TAP_3025 -*24188 TAP_3026 -*24189 TAP_3027 -*24190 TAP_3028 -*24191 TAP_3029 -*24192 TAP_3030 -*24193 TAP_3031 -*24194 TAP_3032 -*24195 TAP_3033 -*24196 TAP_388 -*24197 TAP_389 -*24198 TAP_390 -*24199 TAP_391 -*24200 TAP_392 -*24201 TAP_393 -*24202 TAP_394 -*24203 TAP_395 -*24204 TAP_396 -*24205 TAP_397 -*24206 TAP_398 -*24207 TAP_399 -*24208 TAP_400 -*24209 TAP_401 -*24210 TAP_402 -*24211 TAP_403 -*24212 TAP_404 -*24213 TAP_405 -*24214 TAP_406 -*24215 TAP_407 -*24216 TAP_408 -*24217 TAP_409 -*24218 TAP_410 -*24219 TAP_411 -*24220 TAP_412 -*24221 TAP_413 -*24222 TAP_414 -*24223 TAP_415 -*24224 TAP_416 -*24225 TAP_417 -*24226 TAP_418 -*24227 TAP_419 -*24228 TAP_420 -*24229 TAP_421 -*24230 TAP_422 -*24231 TAP_423 -*24232 TAP_424 -*24233 TAP_425 -*24234 TAP_426 -*24235 TAP_427 -*24236 TAP_428 -*24237 TAP_429 -*24238 TAP_430 -*24239 TAP_431 -*24240 TAP_432 -*24241 TAP_433 -*24242 TAP_434 -*24243 TAP_435 -*24244 TAP_436 -*24245 TAP_437 -*24246 TAP_438 -*24247 TAP_439 -*24248 TAP_440 -*24249 TAP_441 -*24250 TAP_442 -*24251 TAP_443 -*24252 TAP_444 -*24253 TAP_445 -*24254 TAP_446 -*24255 TAP_447 -*24256 TAP_448 -*24257 TAP_449 -*24258 TAP_450 -*24259 TAP_451 -*24260 TAP_452 -*24261 TAP_453 -*24262 TAP_454 -*24263 TAP_455 -*24264 TAP_456 -*24265 TAP_457 -*24266 TAP_458 -*24267 TAP_459 -*24268 TAP_460 -*24269 TAP_461 -*24270 TAP_462 -*24271 TAP_463 -*24272 TAP_464 -*24273 TAP_465 -*24274 TAP_466 -*24275 TAP_467 -*24276 TAP_468 -*24277 TAP_469 -*24278 TAP_470 -*24279 TAP_471 -*24280 TAP_472 -*24281 TAP_473 -*24282 TAP_474 -*24283 TAP_475 -*24284 TAP_476 -*24285 TAP_477 -*24286 TAP_478 -*24287 TAP_479 -*24288 TAP_480 -*24289 TAP_481 -*24290 TAP_482 -*24291 TAP_483 -*24292 TAP_484 -*24293 TAP_485 -*24294 TAP_486 -*24295 TAP_487 -*24296 TAP_488 -*24297 TAP_489 -*24298 TAP_490 -*24299 TAP_491 -*24300 TAP_492 -*24301 TAP_493 -*24302 TAP_494 -*24303 TAP_495 -*24304 TAP_496 -*24305 TAP_497 -*24306 TAP_498 -*24307 TAP_499 -*24308 TAP_500 -*24309 TAP_501 -*24310 TAP_502 -*24311 TAP_503 -*24312 TAP_504 -*24313 TAP_505 -*24314 TAP_506 -*24315 TAP_507 -*24316 TAP_508 -*24317 TAP_509 -*24318 TAP_510 -*24319 TAP_511 -*24320 TAP_512 -*24321 TAP_513 -*24322 TAP_514 -*24323 TAP_515 -*24324 TAP_516 -*24325 TAP_517 -*24326 TAP_518 -*24327 TAP_519 -*24328 TAP_520 -*24329 TAP_521 -*24330 TAP_522 -*24331 TAP_523 -*24332 TAP_524 -*24333 TAP_525 -*24334 TAP_526 -*24335 TAP_527 -*24336 TAP_528 -*24337 TAP_529 -*24338 TAP_530 -*24339 TAP_531 -*24340 TAP_532 -*24341 TAP_533 -*24342 TAP_534 -*24343 TAP_535 -*24344 TAP_536 -*24345 TAP_537 -*24346 TAP_538 -*24347 TAP_539 -*24348 TAP_540 -*24349 TAP_541 -*24350 TAP_542 -*24351 TAP_543 -*24352 TAP_544 -*24353 TAP_545 -*24354 TAP_546 -*24355 TAP_547 -*24356 TAP_548 -*24357 TAP_549 -*24358 TAP_550 -*24359 TAP_551 -*24360 TAP_552 -*24361 TAP_553 -*24362 TAP_554 -*24363 TAP_555 -*24364 TAP_556 -*24365 TAP_557 -*24366 TAP_558 -*24367 TAP_559 -*24368 TAP_560 -*24369 TAP_561 -*24370 TAP_562 -*24371 TAP_563 -*24372 TAP_564 -*24373 TAP_565 -*24374 TAP_566 -*24375 TAP_567 -*24376 TAP_568 -*24377 TAP_569 -*24378 TAP_570 -*24379 TAP_571 -*24380 TAP_572 -*24381 TAP_573 -*24382 TAP_574 -*24383 TAP_575 -*24384 TAP_576 -*24385 TAP_577 -*24386 TAP_578 -*24387 TAP_579 -*24388 TAP_580 -*24389 TAP_581 -*24390 TAP_582 -*24391 TAP_583 -*24392 TAP_584 -*24393 TAP_585 -*24394 TAP_586 -*24395 TAP_587 -*24396 TAP_588 -*24397 TAP_589 -*24398 TAP_590 -*24399 TAP_591 -*24400 TAP_592 -*24401 TAP_593 -*24402 TAP_594 -*24403 TAP_595 -*24404 TAP_596 -*24405 TAP_597 -*24406 TAP_598 -*24407 TAP_599 -*24408 TAP_600 -*24409 TAP_601 -*24410 TAP_602 -*24411 TAP_603 -*24412 TAP_604 -*24413 TAP_605 -*24414 TAP_606 -*24415 TAP_607 -*24416 TAP_608 -*24417 TAP_609 -*24418 TAP_610 -*24419 TAP_611 -*24420 TAP_612 -*24421 TAP_613 -*24422 TAP_614 -*24423 TAP_615 -*24424 TAP_616 -*24425 TAP_617 -*24426 TAP_618 -*24427 TAP_619 -*24428 TAP_620 -*24429 TAP_621 -*24430 TAP_622 -*24431 TAP_623 -*24432 TAP_624 -*24433 TAP_625 -*24434 TAP_626 -*24435 TAP_627 -*24436 TAP_628 -*24437 TAP_629 -*24438 TAP_630 -*24439 TAP_631 -*24440 TAP_632 -*24441 TAP_633 -*24442 TAP_634 -*24443 TAP_635 -*24444 TAP_636 -*24445 TAP_637 -*24446 TAP_638 -*24447 TAP_639 -*24448 TAP_640 -*24449 TAP_641 -*24450 TAP_642 -*24451 TAP_643 -*24452 TAP_644 -*24453 TAP_645 -*24454 TAP_646 -*24455 TAP_647 -*24456 TAP_648 -*24457 TAP_649 -*24458 TAP_650 -*24459 TAP_651 -*24460 TAP_652 -*24461 TAP_653 -*24462 TAP_654 -*24463 TAP_655 -*24464 TAP_656 -*24465 TAP_657 -*24466 TAP_658 -*24467 TAP_659 -*24468 TAP_660 -*24469 TAP_661 -*24470 TAP_662 -*24471 TAP_663 -*24472 TAP_664 -*24473 TAP_665 -*24474 TAP_666 -*24475 TAP_667 -*24476 TAP_668 -*24477 TAP_669 -*24478 TAP_670 -*24479 TAP_671 -*24480 TAP_672 -*24481 TAP_673 -*24482 TAP_674 -*24483 TAP_675 -*24484 TAP_676 -*24485 TAP_677 -*24486 TAP_678 -*24487 TAP_679 -*24488 TAP_680 -*24489 TAP_681 -*24490 TAP_682 -*24491 TAP_683 -*24492 TAP_684 -*24493 TAP_685 -*24494 TAP_686 -*24495 TAP_687 -*24496 TAP_688 -*24497 TAP_689 -*24498 TAP_690 -*24499 TAP_691 -*24500 TAP_692 -*24501 TAP_693 -*24502 TAP_694 -*24503 TAP_695 -*24504 TAP_696 -*24505 TAP_697 -*24506 TAP_698 -*24507 TAP_699 -*24508 TAP_700 -*24509 TAP_701 -*24510 TAP_702 -*24511 TAP_703 -*24512 TAP_704 -*24513 TAP_705 -*24514 TAP_706 -*24515 TAP_707 -*24516 TAP_708 -*24517 TAP_709 -*24518 TAP_710 -*24519 TAP_711 -*24520 TAP_712 -*24521 TAP_713 -*24522 TAP_714 -*24523 TAP_715 -*24524 TAP_716 -*24525 TAP_717 -*24526 TAP_718 -*24527 TAP_719 -*24528 TAP_720 -*24529 TAP_721 -*24530 TAP_722 -*24531 TAP_723 -*24532 TAP_724 -*24533 TAP_725 -*24534 TAP_726 -*24535 TAP_727 -*24536 TAP_728 -*24537 TAP_729 -*24538 TAP_730 -*24539 TAP_731 -*24540 TAP_732 -*24541 TAP_733 -*24542 TAP_734 -*24543 TAP_735 -*24544 TAP_736 -*24545 TAP_737 -*24546 TAP_738 -*24547 TAP_739 -*24548 TAP_740 -*24549 TAP_741 -*24550 TAP_742 -*24551 TAP_743 -*24552 TAP_744 -*24553 TAP_745 -*24554 TAP_746 -*24555 TAP_747 -*24556 TAP_748 -*24557 TAP_749 -*24558 TAP_750 -*24559 TAP_751 -*24560 TAP_752 -*24561 TAP_753 -*24562 TAP_754 -*24563 TAP_755 -*24564 TAP_756 -*24565 TAP_757 -*24566 TAP_758 -*24567 TAP_759 -*24568 TAP_760 -*24569 TAP_761 -*24570 TAP_762 -*24571 TAP_763 -*24572 TAP_764 -*24573 TAP_765 -*24574 TAP_766 -*24575 TAP_767 -*24576 TAP_768 -*24577 TAP_769 -*24578 TAP_770 -*24579 TAP_771 -*24580 TAP_772 -*24581 TAP_773 -*24582 TAP_774 -*24583 TAP_775 -*24584 TAP_776 -*24585 TAP_777 -*24586 TAP_778 -*24587 TAP_779 -*24588 TAP_780 -*24589 TAP_781 -*24590 TAP_782 -*24591 TAP_783 -*24592 TAP_784 -*24593 TAP_785 -*24594 TAP_786 -*24595 TAP_787 -*24596 TAP_788 -*24597 TAP_789 -*24598 TAP_790 -*24599 TAP_791 -*24600 TAP_792 -*24601 TAP_793 -*24602 TAP_794 -*24603 TAP_795 -*24604 TAP_796 -*24605 TAP_797 -*24606 TAP_798 -*24607 TAP_799 -*24608 TAP_800 -*24609 TAP_801 -*24610 TAP_802 -*24611 TAP_803 -*24612 TAP_804 -*24613 TAP_805 -*24614 TAP_806 -*24615 TAP_807 -*24616 TAP_808 -*24617 TAP_809 -*24618 TAP_810 -*24619 TAP_811 -*24620 TAP_812 -*24621 TAP_813 -*24622 TAP_814 -*24623 TAP_815 -*24624 TAP_816 -*24625 TAP_817 -*24626 TAP_818 -*24627 TAP_819 -*24628 TAP_820 -*24629 TAP_821 -*24630 TAP_822 -*24631 TAP_823 -*24632 TAP_824 -*24633 TAP_825 -*24634 TAP_826 -*24635 TAP_827 -*24636 TAP_828 -*24637 TAP_829 -*24638 TAP_830 -*24639 TAP_831 -*24640 TAP_832 -*24641 TAP_833 -*24642 TAP_834 -*24643 TAP_835 -*24644 TAP_836 -*24645 TAP_837 -*24646 TAP_838 -*24647 TAP_839 -*24648 TAP_840 -*24649 TAP_841 -*24650 TAP_842 -*24651 TAP_843 -*24652 TAP_844 -*24653 TAP_845 -*24654 TAP_846 -*24655 TAP_847 -*24656 TAP_848 -*24657 TAP_849 -*24658 TAP_850 -*24659 TAP_851 -*24660 TAP_852 -*24661 TAP_853 -*24662 TAP_854 -*24663 TAP_855 -*24664 TAP_856 -*24665 TAP_857 -*24666 TAP_858 -*24667 TAP_859 -*24668 TAP_860 -*24669 TAP_861 -*24670 TAP_862 -*24671 TAP_863 -*24672 TAP_864 -*24673 TAP_865 -*24674 TAP_866 -*24675 TAP_867 -*24676 TAP_868 -*24677 TAP_869 -*24678 TAP_870 -*24679 TAP_871 -*24680 TAP_872 -*24681 TAP_873 -*24682 TAP_874 -*24683 TAP_875 -*24684 TAP_876 -*24685 TAP_877 -*24686 TAP_878 -*24687 TAP_879 -*24688 TAP_880 -*24689 TAP_881 -*24690 TAP_882 -*24691 TAP_883 -*24692 TAP_884 -*24693 TAP_885 -*24694 TAP_886 -*24695 TAP_887 -*24696 TAP_888 -*24697 TAP_889 -*24698 TAP_890 -*24699 TAP_891 -*24700 TAP_892 -*24701 TAP_893 -*24702 TAP_894 -*24703 TAP_895 -*24704 TAP_896 -*24705 TAP_897 -*24706 TAP_898 -*24707 TAP_899 -*24708 TAP_900 -*24709 TAP_901 -*24710 TAP_902 -*24711 TAP_903 -*24712 TAP_904 -*24713 TAP_905 -*24714 TAP_906 -*24715 TAP_907 -*24716 TAP_908 -*24717 TAP_909 -*24718 TAP_910 -*24719 TAP_911 -*24720 TAP_912 -*24721 TAP_913 -*24722 TAP_914 -*24723 TAP_915 -*24724 TAP_916 -*24725 TAP_917 -*24726 TAP_918 -*24727 TAP_919 -*24728 TAP_920 -*24729 TAP_921 -*24730 TAP_922 -*24731 TAP_923 -*24732 TAP_924 -*24733 TAP_925 -*24734 TAP_926 -*24735 TAP_927 -*24736 TAP_928 -*24737 TAP_929 -*24738 TAP_930 -*24739 TAP_931 -*24740 TAP_932 -*24741 TAP_933 -*24742 TAP_934 -*24743 TAP_935 -*24744 TAP_936 -*24745 TAP_937 -*24746 TAP_938 -*24747 TAP_939 -*24748 TAP_940 -*24749 TAP_941 -*24750 TAP_942 -*24751 TAP_943 -*24752 TAP_944 -*24753 TAP_945 -*24754 TAP_946 -*24755 TAP_947 -*24756 TAP_948 -*24757 TAP_949 -*24758 TAP_950 -*24759 TAP_951 -*24760 TAP_952 -*24761 TAP_953 -*24762 TAP_954 -*24763 TAP_955 -*24764 TAP_956 -*24765 TAP_957 -*24766 TAP_958 -*24767 TAP_959 -*24768 TAP_960 -*24769 TAP_961 -*24770 TAP_962 -*24771 TAP_963 -*24772 TAP_964 -*24773 TAP_965 -*24774 TAP_966 -*24775 TAP_967 -*24776 TAP_968 -*24777 TAP_969 -*24778 TAP_970 -*24779 TAP_971 -*24780 TAP_972 -*24781 TAP_973 -*24782 TAP_974 -*24783 TAP_975 -*24784 TAP_976 -*24785 TAP_977 -*24786 TAP_978 -*24787 TAP_979 -*24788 TAP_980 -*24789 TAP_981 -*24790 TAP_982 -*24791 TAP_983 -*24792 TAP_984 -*24793 TAP_985 -*24794 TAP_986 -*24795 TAP_987 -*24796 TAP_988 -*24797 TAP_989 -*24798 TAP_990 -*24799 TAP_991 -*24800 TAP_992 -*24801 TAP_993 -*24802 TAP_994 -*24803 TAP_995 -*24804 TAP_996 -*24805 TAP_997 -*24806 TAP_998 -*24807 TAP_999 -*24808 _3184_ -*24809 _3185_ -*24810 _3186_ -*24811 _3187_ -*24812 _3188_ -*24813 _3189_ -*24814 _3190_ -*24815 _3191_ -*24816 _3192_ -*24817 _3193_ -*24818 _3194_ -*24819 _3195_ -*24820 _3196_ -*24821 _3197_ -*24822 _3198_ -*24823 _3199_ -*24824 _3200_ -*24825 _3201_ -*24826 _3202_ -*24827 _3203_ -*24828 _3204_ -*24829 _3205_ -*24830 _3206_ -*24831 _3207_ -*24832 _3208_ -*24833 _3209_ -*24834 _3210_ -*24835 _3211_ -*24836 _3212_ -*24837 _3213_ -*24838 _3214_ -*24839 _3215_ -*24840 _3216_ -*24841 _3217_ -*24842 _3218_ -*24843 _3219_ -*24844 _3220_ -*24845 _3221_ -*24846 _3222_ -*24847 _3223_ -*24848 _3224_ -*24849 _3225_ -*24850 _3226_ -*24851 _3227_ -*24852 _3228_ -*24853 _3229_ -*24854 _3230_ -*24855 _3231_ -*24856 _3232_ -*24857 _3233_ -*24858 _3234__1 -*24859 _3235_ -*24860 _3236_ -*24861 _3237_ -*24862 _3238_ -*24863 _3239_ -*24864 _3240_ -*24865 _3241_ -*24866 _3242_ -*24867 _3243_ -*24868 _3244_ -*24869 _3245_ -*24870 _3246_ -*24871 _3247_ -*24872 _3248_ -*24873 _3249_ -*24874 _3250_ -*24875 _3251_ -*24876 _3252_ -*24877 _3253_ -*24878 _3254_ -*24879 _3255_ -*24880 _3256_ -*24881 _3257_ -*24882 _3258_ -*24883 _3259_ -*24884 _3260_ -*24885 _3261_ -*24886 _3262_ -*24887 _3263_ -*24888 _3264_ -*24889 _3265_ -*24890 _3266_ -*24891 _3267_ -*24892 _3268_ -*24893 _3269_ -*24894 _3270_ -*24895 _3271_ -*24896 _3272_ -*24897 _3273_ -*24898 _3274_ -*24899 _3275_ -*24900 _3276_ -*24901 _3277_ -*24902 _3278_ -*24903 _3279_ -*24904 _3280_ -*24905 _3281_ -*24906 _3282_ -*24907 _3283_ -*24908 _3284_ -*24909 _3285_ -*24910 _3286_ -*24911 _3287_ -*24912 _3288_ -*24913 _3289_ -*24914 _3290_ -*24915 _3291_ -*24916 _3292_ -*24917 _3293_ -*24918 _3294_ -*24919 _3295_ -*24920 _3296_ -*24921 _3297_ -*24922 _3298_ -*24923 _3299_ -*24924 _3300_ -*24925 _3301_ -*24926 _3302_ -*24927 _3303_ -*24928 _3304_ -*24929 _3305_ -*24930 _3306_ -*24931 _3307_ -*24932 _3308_ -*24933 _3309_ -*24934 _3310_ -*24935 _3311_ -*24936 _3312_ -*24937 _3313_ -*24938 _3314_ -*24939 _3315_ -*24940 _3316_ -*24941 _3317_ -*24942 _3318_ -*24943 _3319_ -*24944 _3320_ -*24945 _3321_ -*24946 _3322_ -*24947 _3323_ -*24948 _3324_ -*24949 _3325_ -*24950 _3326_ -*24951 _3327_ -*24952 _3328_ -*24953 _3329_ -*24954 _3330_ -*24955 _3331_ -*24956 _3332_ -*24957 _3333_ -*24958 _3334_ -*24959 _3335_ -*24960 _3336_ -*24961 _3337_ -*24962 _3338_ -*24963 _3339_ -*24964 _3340_ -*24965 _3341_ -*24966 _3342_ -*24967 _3343_ -*24968 _3344_ -*24969 _3345_ -*24970 _3346_ -*24971 _3347_ -*24972 _3348_ -*24973 _3349_ -*24974 _3350_ -*24975 _3351_ -*24976 _3352_ -*24977 _3353_ -*24978 _3354_ -*24979 _3355_ -*24980 _3356_ -*24981 _3357_ -*24982 _3358_ -*24983 _3359_ -*24984 _3360_ -*24985 _3361_ -*24986 _3362_ -*24987 _3363_ -*24988 _3364_ -*24989 _3365_ -*24990 _3366_ -*24991 _3367_ -*24992 _3368_ -*24993 _3369_ -*24994 _3370_ -*24995 _3371_ -*24996 _3372_ -*24997 _3373_ -*24998 _3374_ -*24999 _3375_ -*25000 _3376_ -*25001 _3377_ -*25002 _3378_ -*25003 _3379_ -*25004 _3380_ -*25005 _3381_ -*25006 _3382_ -*25007 _3383_ -*25008 _3384_ -*25009 _3385_ -*25010 _3386_ -*25011 _3387_ -*25012 _3388_ -*25013 _3389_ -*25014 _3390_ -*25015 _3391_ -*25016 _3392_ -*25017 _3393_ -*25018 _3394_ -*25019 _3395_ -*25020 _3396_ -*25021 _3397_ -*25022 _3398_ -*25023 _3399_ -*25024 _3400_ -*25025 _3401_ -*25026 _3402_ -*25027 _3403_ -*25028 _3404_ -*25029 _3405_ -*25030 _3406_ -*25031 _3407_ -*25032 _3408_ -*25033 _3409_ -*25034 _3410_ -*25035 _3411_ -*25036 _3412_ -*25037 _3413_ -*25038 _3414_ -*25039 _3415_ -*25040 _3416_ -*25041 _3417_ -*25042 _3418_ -*25043 _3419_ -*25044 _3420_ -*25045 _3421_ -*25046 _3422_ -*25047 _3423_ -*25048 _3424_ -*25049 _3425_ -*25050 _3426_ -*25051 _3427_ -*25052 _3428_ -*25053 _3429_ -*25054 _3430_ -*25055 _3431_ -*25056 _3432_ -*25057 _3433_ -*25058 _3434_ -*25059 _3435_ -*25060 _3436_ -*25061 _3437_ -*25062 _3438_ -*25063 _3439_ -*25064 _3440_ -*25065 _3441_ -*25066 _3442_ -*25067 _3443_ -*25068 _3444_ -*25069 _3445_ -*25070 _3446_ -*25071 _3447_ -*25072 _3448_ -*25073 _3449_ -*25074 _3450_ -*25075 _3451_ -*25076 _3452_ -*25077 _3453_ -*25078 _3454_ -*25079 _3455_ -*25080 _3456_ -*25081 _3457_ -*25082 _3458_ -*25083 _3459_ -*25084 _3460_ -*25085 _3461_ -*25086 _3462_ -*25087 _3463_ -*25088 _3464_ -*25089 _3465_ -*25090 _3466_ -*25091 _3467_ -*25092 _3468_ -*25093 _3469_ -*25094 _3470_ -*25095 _3471_ -*25096 _3472_ -*25097 _3473_ -*25098 _3474_ -*25099 _3475_ -*25100 _3476_ -*25101 _3477_ -*25102 _3478_ -*25103 _3479_ -*25104 _3480_ -*25105 _3481_ -*25106 _3482_ -*25107 _3483_ -*25108 _3484_ -*25109 _3485_ -*25110 _3486_ -*25111 _3487_ -*25112 _3488_ -*25113 _3489_ -*25114 _3490_ -*25115 _3491_ -*25116 _3492_ -*25117 _3493_ -*25118 _3494_ -*25119 _3495_ -*25120 _3496_ -*25121 _3497_ -*25122 _3498_ -*25123 _3499_ -*25124 _3500_ -*25125 _3501_ -*25126 _3502_ -*25127 _3503_ -*25128 _3504_ -*25129 _3505_ -*25130 _3506_ -*25131 _3507_ -*25132 _3508_ -*25133 _3509_ -*25134 _3510_ -*25135 _3511_ -*25136 _3512_ -*25137 _3513_ -*25138 _3514_ -*25139 _3515_ -*25140 _3516_ -*25141 _3517_ -*25142 _3518_ -*25143 _3519_ -*25144 _3520_ -*25145 _3521_ -*25146 _3522_ -*25147 _3523_ -*25148 _3524_ -*25149 _3525_ -*25150 _3526_ -*25151 _3527_ -*25152 _3528_ -*25153 _3529_ -*25154 _3530_ -*25155 _3531_ -*25156 _3532_ -*25157 _3533_ -*25158 _3534_ -*25159 _3535_ -*25160 _3536_ -*25161 _3537_ -*25162 _3538_ -*25163 _3539_ -*25164 _3540_ -*25165 _3541_ -*25166 _3542_ -*25167 _3543_ -*25168 _3544_ -*25169 _3545_ -*25170 _3546_ -*25171 _3547_ -*25172 _3548_ -*25173 _3549_ -*25174 _3550_ -*25175 _3551_ -*25176 _3552_ -*25177 _3553_ -*25178 _3554_ -*25179 _3555_ -*25180 _3556_ -*25181 _3557_ -*25182 _3558_ -*25183 _3559_ -*25184 _3560_ -*25185 _3561_ -*25186 _3562_ -*25187 _3563_ -*25188 _3564_ -*25189 _3565_ -*25190 _3566_ -*25191 _3567_ -*25192 _3568_ -*25193 _3569_ -*25194 _3570_ -*25195 _3571_ -*25196 _3572_ -*25197 _3573_ -*25198 _3574_ -*25199 _3575_ -*25200 _3576_ -*25201 _3577_ -*25202 _3578_ -*25203 _3579_ -*25204 _3580_ -*25205 _3581_ -*25206 _3582_ -*25207 _3583_ -*25208 _3584_ -*25209 _3585_ -*25210 _3586_ -*25211 _3587_ -*25212 _3588_ -*25213 _3589_ -*25214 _3590_ -*25215 _3591_ -*25216 _3592_ -*25217 _3593_ -*25218 _3594_ -*25219 _3595_ -*25220 _3596_ -*25221 _3597_ -*25222 _3598_ -*25223 _3599_ -*25224 _3600_ -*25225 _3601_ -*25226 _3602_ -*25227 _3603_ -*25228 _3604_ -*25229 _3605_ -*25230 _3606_ -*25231 _3607_ -*25232 _3608_ -*25233 _3609_ -*25234 _3610_ -*25235 _3611_ -*25236 _3612_ -*25237 _3613_ -*25238 _3614_ -*25239 _3615_ -*25240 _3616_ -*25241 _3617_ -*25242 _3618_ -*25243 _3619_ -*25244 _3620_ -*25245 _3621_ -*25246 _3622_ -*25247 _3623_ -*25248 _3624_ -*25249 _3625_ -*25250 _3626_ -*25251 _3627_ -*25252 _3628_ -*25253 _3629_ -*25254 _3630_ -*25255 _3631_ -*25256 _3632_ -*25257 _3633_ -*25258 _3634_ -*25259 _3635_ -*25260 _3636_ -*25261 _3637_ -*25262 _3638_ -*25263 _3639_ -*25264 _3640_ -*25265 _3641_ -*25266 _3642_ -*25267 _3643_ -*25268 _3644_ -*25269 _3645_ -*25270 _3646_ -*25271 _3647_ -*25272 _3648_ -*25273 _3649_ -*25274 _3650_ -*25275 _3651_ -*25276 _3652_ -*25277 _3653_ -*25278 _3654_ -*25279 _3655_ -*25280 _3656_ -*25281 _3657_ -*25282 _3658_ -*25283 _3659_ -*25284 _3660_ -*25285 _3661_ -*25286 _3662_ -*25287 _3663_ -*25288 _3664_ -*25289 _3665_ -*25290 _3666_ -*25291 _3667_ -*25292 _3668_ -*25293 _3669_ -*25294 _3670_ -*25295 _3671_ -*25296 _3672_ -*25297 _3673_ -*25298 _3674_ -*25299 _3675_ -*25300 _3676_ -*25301 _3677_ -*25302 _3678_ -*25303 _3679_ -*25304 _3680_ -*25305 _3681_ -*25306 _3682_ -*25307 _3683_ -*25308 _3684_ -*25309 _3685_ -*25310 _3686_ -*25311 _3687_ -*25312 _3688_ -*25313 _3689_ -*25314 _3690_ -*25315 _3691_ -*25316 _3692_ -*25317 _3693_ -*25318 _3694_ -*25319 _3695_ -*25320 _3696_ -*25321 _3697_ -*25322 _3698_ -*25323 _3699_ -*25324 _3700_ -*25325 _3701_ -*25326 _3702_ -*25327 _3703_ -*25328 _3704_ -*25329 _3705_ -*25330 _3706_ -*25331 _3707_ -*25332 _3708_ -*25333 _3709_ -*25334 _3710_ -*25335 _3711_ -*25336 _3712_ -*25337 _3713_ -*25338 _3714_ -*25339 _3715_ -*25340 _3716_ -*25341 _3717_ -*25342 _3718_ -*25343 _3719_ -*25344 _3720_ -*25345 _3721_ -*25346 _3722_ -*25347 _3723_ -*25348 _3724_ -*25349 _3725_ -*25350 _3726_ -*25351 _3727_ -*25352 _3728_ -*25353 _3729_ -*25354 _3730_ -*25355 _3731_ -*25356 _3732_ -*25357 _3733_ -*25358 _3734_ -*25359 _3735_ -*25360 _3736_ -*25361 _3737_ -*25362 _3738_ -*25363 _3739_ -*25364 _3740_ -*25365 _3741_ -*25366 _3742_ -*25367 _3743_ -*25368 _3744_ -*25369 _3745_ -*25370 _3746_ -*25371 _3747_ -*25372 _3748_ -*25373 _3749_ -*25374 _3750_ -*25375 _3751_ -*25376 _3752_ -*25377 _3753_ -*25378 _3754_ -*25379 _3755_ -*25380 _3756_ -*25381 _3757_ -*25382 _3758_ -*25383 _3759_ -*25384 _3760_ -*25385 _3761_ -*25386 _3762_ -*25387 _3763_ -*25388 _3764_ -*25389 _3765_ -*25390 _3766_ -*25391 _3767_ -*25392 _3768_ -*25393 _3769_ -*25394 _3770_ -*25395 _3771_ -*25396 _3772_ -*25397 _3773_ -*25398 _3774_ -*25399 _3775_ -*25400 _3776_ -*25401 _3777_ -*25402 _3778_ -*25403 _3779_ -*25404 _3780_ -*25405 _3781_ -*25406 _3782_ -*25407 _3783_ -*25408 _3784_ -*25409 _3785_ -*25410 _3786_ -*25411 _3787_ -*25412 _3788_ -*25413 _3789_ -*25414 _3790_ -*25415 _3791_ -*25416 _3792_ -*25417 _3793_ -*25418 _3794_ -*25419 _3795_ -*25420 _3796_ -*25421 _3797_ -*25422 _3798_ -*25423 _3799_ -*25424 _3800_ -*25425 _3801_ -*25426 _3802_ -*25427 _3803_ -*25428 _3804_ -*25429 _3805_ -*25430 _3806_ -*25431 _3807_ -*25432 _3808_ -*25433 _3809_ -*25434 _3810_ -*25435 _3811_ -*25436 _3812_ -*25437 _3813_ -*25438 _3814_ -*25439 _3815_ -*25440 _3816_ -*25441 _3817_ -*25442 _3818_ -*25443 _3819_ -*25444 _3820_ -*25445 _3821_ -*25446 _3822_ -*25447 _3823_ -*25448 _3824_ -*25449 _3825_ -*25450 _3826_ -*25451 _3827_ -*25452 _3828_ -*25453 _3829_ -*25454 _3830_ -*25455 _3831_ -*25456 _3832_ -*25457 _3833_ -*25458 _3834_ -*25459 _3835_ -*25460 _3836_ -*25461 _3837_ -*25462 _3838_ -*25463 _3839_ -*25464 _3840_ -*25465 _3841_ -*25466 _3842_ -*25467 _3843_ -*25468 _3844_ -*25469 _3845_ -*25470 _3846_ -*25471 _3847_ -*25472 _3848_ -*25473 _3849_ -*25474 _3850_ -*25475 _3851_ -*25476 _3852_ -*25477 _3853_ -*25478 _3854_ -*25479 _3855_ -*25480 _3856_ -*25481 _3857_ -*25482 _3858_ -*25483 _3859_ -*25484 _3860_ -*25485 _3861_ -*25486 _3862_ -*25487 _3863_ -*25488 _3864_ -*25489 _3865_ -*25490 _3866_ -*25491 _3867_ -*25492 _3868_ -*25493 _3869_ -*25494 _3870_ -*25495 _3871_ -*25496 _3872_ -*25497 _3873_ -*25498 _3874_ -*25499 _3875_ -*25500 _3876_ -*25501 _3877_ -*25502 _3878_ -*25503 _3879_ -*25504 _3880_ -*25505 _3881_ -*25506 _3882_ -*25507 _3883_ -*25508 _3884_ -*25509 _3885_ -*25510 _3886_ -*25511 _3887_ -*25512 _3888_ -*25513 _3889_ -*25514 _3890_ -*25515 _3891_ -*25516 _3892_ -*25517 _3893_ -*25518 _3894_ -*25519 _3895_ -*25520 _3896_ -*25521 _3897_ -*25522 _3898_ -*25523 _3899_ -*25524 _3900_ -*25525 _3901_ -*25526 _3902_ -*25527 _3903_ -*25528 _3904_ -*25529 _3905_ -*25530 _3906_ -*25531 _3907_ -*25532 _3908_ -*25533 _3909_ -*25534 _3910_ -*25535 _3911_ -*25536 _3912_ -*25537 _3913_ -*25538 _3914_ -*25539 _3915_ -*25540 _3916_ -*25541 _3917_ -*25542 _3918_ -*25543 _3919_ -*25544 _3920_ -*25545 _3921_ -*25546 _3922_ -*25547 _3923_ -*25548 _3924_ -*25549 _3925_ -*25550 _3926_ -*25551 _3927_ -*25552 _3928_ -*25553 _3929_ -*25554 _3930_ -*25555 _3931_ -*25556 _3932_ -*25557 _3933_ -*25558 _3934_ -*25559 _3935_ -*25560 _3936_ -*25561 _3937_ -*25562 _3938_ -*25563 _3939_ -*25564 _3940_ -*25565 _3941_ -*25566 _3942_ -*25567 _3943_ -*25568 _3944_ -*25569 _3945_ -*25570 _3946_ -*25571 _3947_ -*25572 _3948_ -*25573 _3949_ -*25574 _3950_ -*25575 _3951_ -*25576 _3952_ -*25577 _3953_ -*25578 _3954_ -*25579 _3955_ -*25580 _3956_ -*25581 _3957_ -*25582 _3958_ -*25583 _3959_ -*25584 _3960_ -*25585 _3961_ -*25586 _3962_ -*25587 _3963_ -*25588 _3964_ -*25589 _3965_ -*25590 _3966_ -*25591 _3967_ -*25592 _3968_ -*25593 _3969_ -*25594 _3970_ -*25595 _3971_ -*25596 _3972_ -*25597 _3973_ -*25598 _3974_ -*25599 _3975_ -*25600 _3976_ -*25601 _3977_ -*25602 _3978_ -*25603 _3979_ -*25604 _3980_ -*25605 _3981_ -*25606 _3982_ -*25607 _3983_ -*25608 _3984_ -*25609 _3985_ -*25610 _3986_ -*25611 _3987_ -*25612 _3988_ -*25613 _3989_ -*25614 _3990_ -*25615 _3991_ -*25616 _3992_ -*25617 _3993_ -*25618 _3994_ -*25619 _3995_ -*25620 _3996_ -*25621 _3997_ -*25622 _3998_ -*25623 _3999_ -*25624 _4000_ -*25625 _4001_ -*25626 _4002_ -*25627 _4003_ -*25628 _4004_ -*25629 _4005_ -*25630 _4006_ -*25631 _4007_ -*25632 _4008_ -*25633 _4009_ -*25634 _4010_ -*25635 _4011_ -*25636 _4012_ -*25637 _4013_ -*25638 _4014_ -*25639 _4015_ -*25640 _4016_ -*25641 _4017_ -*25642 _4018_ -*25643 _4019_ -*25644 _4020_ -*25645 _4021_ -*25646 _4022_ -*25647 _4023_ -*25648 _4024_ -*25649 _4025_ -*25650 _4026_ -*25651 _4027_ -*25652 _4028_ -*25653 _4029_ -*25654 _4030_ -*25655 _4031_ -*25656 _4032_ -*25657 _4033_ -*25658 _4034_ -*25659 _4035_ -*25660 _4036_ -*25661 _4037_ -*25662 _4038_ -*25663 _4039_ -*25664 _4040_ -*25665 _4041_ -*25666 _4042_ -*25667 _4043_ -*25668 _4044_ -*25669 _4045_ -*25670 _4046_ -*25671 _4047_ -*25672 _4048_ -*25673 _4049_ -*25674 _4050_ -*25675 _4051_ -*25676 _4052_ -*25677 _4053_ -*25678 _4054_ -*25679 _4055_ -*25680 _4056_ -*25681 _4057_ -*25682 _4058_ -*25683 _4059_ -*25684 _4060_ -*25685 _4061_ -*25686 _4062_ -*25687 _4063_ -*25688 _4064_ -*25689 _4065_ -*25690 _4066_ -*25691 _4067_ -*25692 _4068_ -*25693 _4069_ -*25694 _4070_ -*25695 _4071_ -*25696 _4072_ -*25697 _4073_ -*25698 _4074_ -*25699 _4075_ -*25700 _4076_ -*25701 _4077_ -*25702 _4078_ -*25703 _4079_ -*25704 _4080_ -*25705 _4081_ -*25706 _4082_ -*25707 _4083_ -*25708 _4084_ -*25709 _4085_ -*25710 _4086_ -*25711 _4087_ -*25712 _4088_ -*25713 _4089_ -*25714 _4090_ -*25715 _4091_ -*25716 _4092_ -*25717 _4093_ -*25718 _4094_ -*25719 _4095_ -*25720 _4096_ -*25721 _4097_ -*25722 _4098_ -*25723 _4099_ -*25724 _4100_ -*25725 _4101_ -*25726 _4102_ -*25727 _4103_ -*25728 _4104_ -*25729 _4105_ -*25730 _4106_ -*25731 _4107_ -*25732 _4108_ -*25733 _4109_ -*25734 _4110_ -*25735 _4111_ -*25736 _4112_ -*25737 _4113_ -*25738 _4114_ -*25739 _4115_ -*25740 _4116_ -*25741 _4117_ -*25742 _4118_ -*25743 _4119_ -*25744 _4120_ -*25745 _4121_ -*25746 _4122_ -*25747 _4123_ -*25748 _4124_ -*25749 _4125_ -*25750 _4126_ -*25751 _4127_ -*25752 _4128_ -*25753 _4129_ -*25754 _4130_ -*25755 _4131_ -*25756 _4132_ -*25757 _4133_ -*25758 _4134_ -*25759 _4135_ -*25760 _4136_ -*25761 _4137_ -*25762 _4138_ -*25763 _4139_ -*25764 _4140_ -*25765 _4141_ -*25766 _4142_ -*25767 _4143_ -*25768 _4144_ -*25769 _4145_ -*25770 _4146_ -*25771 _4147_ -*25772 _4148_ -*25773 _4149_ -*25774 _4150_ -*25775 _4151_ -*25776 _4152_ -*25777 _4153_ -*25778 _4154_ -*25779 _4155_ -*25780 _4156_ -*25781 _4157_ -*25782 _4158_ -*25783 _4159_ -*25784 _4160_ -*25785 _4161_ -*25786 _4162_ -*25787 _4163_ -*25788 _4164_ -*25789 _4165_ -*25790 _4166_ -*25791 _4167_ -*25792 _4168_ -*25793 _4169_ -*25794 _4170_ -*25795 _4171_ -*25796 _4172_ -*25797 _4173_ -*25798 _4174_ -*25799 _4175_ -*25800 _4176_ -*25801 _4177_ -*25802 _4178_ -*25803 _4179_ -*25804 _4180_ -*25805 _4181_ -*25806 _4182_ -*25807 _4183_ -*25808 _4184_ -*25809 _4185_ -*25810 _4186_ -*25811 _4187_ -*25812 _4188_ -*25813 _4189_ -*25814 _4190_ -*25815 _4191_ -*25816 _4192_ -*25817 _4193_ -*25818 _4194_ -*25819 _4195_ -*25820 _4196_ -*25821 _4197_ -*25822 _4198_ -*25823 _4199_ -*25824 _4200_ -*25825 _4201_ -*25826 _4202_ -*25827 _4203_ -*25828 _4204_ -*25829 _4205_ -*25830 _4206_ -*25831 _4207_ -*25832 _4208_ -*25833 _4209_ -*25834 _4210_ -*25835 _4211_ -*25836 _4212_ -*25837 _4213_ -*25838 _4214_ -*25839 _4215_ -*25840 _4216_ -*25841 _4217_ -*25842 _4218_ -*25843 _4219_ -*25844 _4220_ -*25845 _4221_ -*25846 _4222_ -*25847 _4223_ -*25848 _4224_ -*25849 _4225_ -*25850 _4226_ -*25851 _4227_ -*25852 _4228_ -*25853 _4229_ -*25854 _4230_ -*25855 _4231_ -*25856 _4232_ -*25857 _4233_ -*25858 _4234_ -*25859 _4235_ -*25860 _4236_ -*25861 _4237_ -*25862 _4238_ -*25863 _4239_ -*25864 _4240_ -*25865 _4241_ -*25866 _4242_ -*25867 _4243_ -*25868 _4244_ -*25869 _4245_ -*25870 _4246_ -*25871 _4247_ -*25872 _4248_ -*25873 _4249_ -*25874 _4250_ -*25875 _4251_ -*25876 _4252_ -*25877 _4253_ -*25878 _4254_ -*25879 _4255_ -*25880 _4256_ -*25881 _4257_ -*25882 _4258_ -*25883 _4259_ -*25884 _4260_ -*25885 _4261_ -*25886 _4262_ -*25887 _4263_ -*25888 _4264_ -*25889 _4265_ -*25890 _4266_ -*25891 _4267_ -*25892 _4268_ -*25893 _4269_ -*25894 _4270_ -*25895 _4271_ -*25896 _4272_ -*25897 _4273_ -*25898 _4274_ -*25899 _4275_ -*25900 _4276_ -*25901 _4277_ -*25902 _4278_ -*25903 _4279_ -*25904 _4280_ -*25905 _4281_ -*25906 _4282_ -*25907 _4283_ -*25908 _4284_ -*25909 _4285_ -*25910 _4286_ -*25911 _4287_ -*25912 _4288_ -*25913 _4289_ -*25914 _4290_ -*25915 _4291_ -*25916 _4292_ -*25917 _4293_ -*25918 _4294_ -*25919 _4295_ -*25920 _4296_ -*25921 _4297_ -*25922 _4298_ -*25923 _4299_ -*25924 _4300_ -*25925 _4301_ -*25926 _4302_ -*25927 _4303_ -*25928 _4304_ -*25929 _4305_ -*25930 _4306_ -*25931 _4307_ -*25932 _4308_ -*25933 _4309_ -*25934 _4310_ -*25935 _4311_ -*25936 _4312_ -*25937 _4313_ -*25938 _4314_ -*25939 _4315_ -*25940 _4316_ -*25941 _4317_ -*25942 _4318_ -*25943 _4319_ -*25944 _4320_ -*25945 _4321_ -*25946 _4322_ -*25947 _4323_ -*25948 _4324_ -*25949 _4325_ -*25950 _4326_ -*25951 _4327_ -*25952 _4328_ -*25953 _4329_ -*25954 _4330_ -*25955 _4331_ -*25956 _4332_ -*25957 _4333_ -*25958 _4334_ -*25959 _4335_ -*25960 _4336_ -*25961 _4337_ -*25962 _4338_ -*25963 _4339_ -*25964 _4340_ -*25965 _4341_ -*25966 _4342_ -*25967 _4343_ -*25968 _4344_ -*25969 _4345_ -*25970 _4346_ -*25971 _4347_ -*25972 _4348_ -*25973 _4349_ -*25974 _4350_ -*25975 _4351_ -*25976 _4352_ -*25977 _4353_ -*25978 _4354_ -*25979 _4355_ -*25980 _4356_ -*25981 _4357_ -*25982 _4358_ -*25983 _4359_ -*25984 _4360_ -*25985 _4361_ -*25986 _4362_ -*25987 _4363_ -*25988 _4364_ -*25989 _4365_ -*25990 _4366_ -*25991 _4367_ -*25992 _4368_ -*25993 _4369_ -*25994 _4370_ -*25995 _4371_ -*25996 _4372_ -*25997 _4373_ -*25998 _4374_ -*25999 _4375_ -*26000 _4376_ -*26001 _4377_ -*26002 _4378_ -*26003 _4379_ -*26004 _4380_ -*26005 _4381_ -*26006 _4382_ -*26007 _4383_ -*26008 _4384_ -*26009 _4385_ -*26010 _4386_ -*26011 _4387_ -*26012 _4388_ -*26013 _4389_ -*26014 _4390_ -*26015 _4391_ -*26016 _4392_ -*26017 _4393_ -*26018 _4394_ -*26019 _4395_ -*26020 _4396_ -*26021 _4397_ -*26022 _4398_ -*26023 _4399_ -*26024 _4400_ -*26025 _4401_ -*26026 _4402_ -*26027 _4403_ -*26028 _4404_ -*26029 _4405_ -*26030 _4406_ -*26031 _4407_ -*26032 _4408_ -*26033 _4409_ -*26034 _4410_ -*26035 _4411_ -*26036 _4412_ -*26037 _4413_ -*26038 _4414_ -*26039 _4415_ -*26040 _4416_ -*26041 _4417_ -*26042 _4418_ -*26043 _4419_ -*26044 _4420_ -*26045 _4421_ -*26046 _4422_ -*26047 _4423_ -*26048 _4424_ -*26049 _4425_ -*26050 _4426_ -*26051 _4427_ -*26052 _4428_ -*26053 _4429_ -*26054 _4430_ -*26055 _4431_ -*26056 _4432_ -*26057 _4433_ -*26058 _4434_ -*26059 _4435_ -*26060 _4436_ -*26061 _4437_ -*26062 _4438_ -*26063 _4439_ -*26064 _4440_ -*26065 _4441_ -*26066 _4442_ -*26067 _4443_ -*26068 _4444_ -*26069 _4445_ -*26070 _4446_ -*26071 _4447_ -*26072 _4448_ -*26073 _4449_ -*26074 _4450_ -*26075 _4451_ -*26076 _4452_ -*26077 _4453_ -*26078 _4454_ -*26079 _4455_ -*26080 _4456_ -*26081 _4457_ -*26082 _4458_ -*26083 _4459_ -*26084 _4460_ -*26085 _4461_ -*26086 _4462_ -*26087 _4463_ -*26088 _4464_ -*26089 _4465_ -*26090 _4466_ -*26091 _4467_ -*26092 _4468_ -*26093 _4469_ -*26094 _4470_ -*26095 _4471_ -*26096 _4472_ -*26097 _4473_ -*26098 _4474_ -*26099 _4475_ -*26100 _4476_ -*26101 _4477_ -*26102 _4478_ -*26103 _4479_ -*26104 _4480_ -*26105 _4481_ -*26106 _4482_ -*26107 _4483_ -*26108 _4484_ -*26109 _4485_ -*26110 _4486_ -*26111 _4487_ -*26112 _4488_ -*26113 _4489_ -*26114 _4490_ -*26115 _4491_ -*26116 _4492_ -*26117 _4493_ -*26118 _4494_ -*26119 _4495_ -*26120 _4496_ -*26121 _4497_ -*26122 _4498_ -*26123 _4499_ -*26124 _4500_ -*26125 _4501_ -*26126 _4502_ -*26127 _4503_ -*26128 _4504_ -*26129 _4505_ -*26130 _4506_ -*26131 _4507_ -*26132 _4508_ -*26133 _4509_ -*26134 _4510_ -*26135 _4511_ -*26136 _4512_ -*26137 _4513_ -*26138 _4514_ -*26139 _4515_ -*26140 _4516_ -*26141 _4517_ -*26142 _4518_ -*26143 _4519_ -*26144 _4520_ -*26145 _4521_ -*26146 _4522_ -*26147 _4523_ -*26148 _4524_ -*26149 _4525_ -*26150 _4526_ -*26151 _4527_ -*26152 _4528_ -*26153 _4529_ -*26154 _4530_ -*26155 _4531_ -*26156 _4532_ -*26157 _4533_ -*26158 _4534_ -*26159 _4535_ -*26160 _4536_ -*26161 _4537_ -*26162 _4538_ -*26163 _4539_ -*26164 _4540_ -*26165 _4541_ -*26166 _4542_ -*26167 _4543_ -*26168 _4544_ -*26169 _4545_ -*26170 _4546_ -*26171 _4547_ -*26172 _4548_ -*26173 _4549_ -*26174 _4550_ -*26175 _4551_ -*26176 _4552_ -*26177 _4553_ -*26178 _4554_ -*26179 _4555_ -*26180 _4556_ -*26181 _4557_ -*26182 _4558_ -*26183 _4559_ -*26184 _4560_ -*26185 _4561_ -*26186 _4562_ -*26187 _4563_ -*26188 _4564_ -*26189 _4565_ -*26190 _4566_ -*26191 _4567_ -*26192 _4568_ -*26193 _4569_ -*26194 _4570_ -*26195 _4571_ -*26196 _4572_ -*26197 _4573_ -*26198 _4574_ -*26199 _4575_ -*26200 _4576_ -*26201 _4577_ -*26202 _4578_ -*26203 _4579_ -*26204 _4580_ -*26205 _4581_ -*26206 _4582_ -*26207 _4583_ -*26208 _4584_ -*26209 _4585_ -*26210 _4586_ -*26211 _4587_ -*26212 _4588_ -*26213 _4589_ -*26214 _4590_ -*26215 _4591_ -*26216 _4592_ -*26217 _4593_ -*26218 _4594_ -*26219 _4595_ -*26220 _4596_ -*26221 _4597_ -*26222 _4598_ -*26223 _4599_ -*26224 _4600_ -*26225 _4601_ -*26226 _4602_ -*26227 _4603_ -*26228 _4604_ -*26229 _4605_ -*26230 _4606_ -*26231 _4607_ -*26232 _4608_ -*26233 _4609_ -*26234 _4610_ -*26235 _4611_ -*26236 _4612_ -*26237 _4613_ -*26238 _4614_ -*26239 _4615_ -*26240 _4616_ -*26241 _4617_ -*26242 _4618_ -*26243 _4619_ -*26244 _4620_ -*26245 _4621_ -*26246 _4622_ -*26247 _4623_ -*26248 _4624_ -*26249 _4625_ -*26250 _4626_ -*26251 _4627_ -*26252 _4628_ -*26253 _4629_ -*26254 _4630_ -*26255 _4631_ -*26256 _4632_ -*26257 _4633_ -*26258 _4634_ -*26259 _4635_ -*26260 _4636_ -*26261 _4637_ -*26262 _4638_ -*26263 _4639_ -*26264 _4640_ -*26265 _4641_ -*26266 _4642_ -*26267 _4643_ -*26268 _4644_ -*26269 _4645_ -*26270 _4646_ -*26271 _4647_ -*26272 _4648_ -*26273 _4649_ -*26274 _4650_ -*26275 _4651_ -*26276 _4652_ -*26277 _4653_ -*26278 _4654_ -*26279 _4655_ -*26280 _4656_ -*26281 _4657_ -*26282 _4658_ -*26283 _4659_ -*26284 _4660_ -*26285 _4661_ -*26286 _4662_ -*26287 _4663_ -*26288 _4664_ -*26289 _4665_ -*26290 _4666_ -*26291 _4667_ -*26292 _4668_ -*26293 _4669_ -*26294 _4670_ -*26295 _4671_ -*26296 _4672_ -*26297 _4673_ -*26298 _4674_ -*26299 _4675_ -*26300 _4676_ -*26301 _4677_ -*26302 _4678_ -*26303 _4679_ -*26304 _4680_ -*26305 _4681_ -*26306 _4682_ -*26307 _4683_ -*26308 _4684_ -*26309 _4685_ -*26310 _4686_ -*26311 _4687_ -*26312 _4688_ -*26313 _4689_ -*26314 _4690_ -*26315 _4691_ -*26316 _4692_ -*26317 _4693_ -*26318 _4694_ -*26319 _4695_ -*26320 _4696_ -*26321 _4697_ -*26322 _4698_ -*26323 _4699_ -*26324 _4700_ -*26325 _4701_ -*26326 _4702_ -*26327 _4703_ -*26328 _4704_ -*26329 _4705_ -*26330 _4706_ -*26331 _4707_ -*26332 _4708_ -*26333 _4709_ -*26334 _4710_ -*26335 _4711_ -*26336 _4712_ -*26337 _4713_ -*26338 _4714_ -*26339 _4715_ -*26340 _4716_ -*26341 _4717_ -*26342 _4718_ -*26343 _4719_ -*26344 _4720_ -*26345 _4721_ -*26346 _4722_ -*26347 _4723_ -*26348 _4724_ -*26349 _4725_ -*26350 _4726_ -*26351 _4727_ -*26352 _4728_ -*26353 _4729_ -*26354 _4730_ -*26355 _4731_ -*26356 _4732_ -*26357 _4733_ -*26358 _4734_ -*26359 _4735_ -*26360 _4736_ -*26361 _4737_ -*26362 _4738_ -*26363 _4739_ -*26364 _4740_ -*26365 _4741_ -*26366 _4742_ -*26367 _4743_ -*26368 _4744_ -*26369 _4745_ -*26370 _4746_ -*26371 _4747_ -*26372 _4748_ -*26373 _4749_ -*26374 _4750_ -*26375 _4751_ -*26376 _4752_ -*26377 _4753_ -*26378 _4754_ -*26379 _4755_ -*26380 _4756_ -*26381 _4757_ -*26382 _4758_ -*26383 _4759_ -*26384 _4760_ -*26385 _4761_ -*26386 _4762_ -*26387 _4763_ -*26388 _4764_ -*26389 _4765_ -*26390 _4766_ -*26391 _4767_ -*26392 _4768_ -*26393 _4769_ -*26394 _4770_ -*26395 _4771_ -*26396 _4772_ -*26397 _4773_ -*26398 _4774_ -*26399 _4775_ -*26400 _4776_ -*26401 _4777_ -*26402 _4778_ -*26403 _4779_ -*26404 _4780_ -*26405 _4781_ -*26406 _4782_ -*26407 _4783_ -*26408 _4784_ -*26409 _4785_ -*26410 _4786_ -*26411 _4787_ -*26412 _4788_ -*26413 _4789_ -*26414 _4790_ -*26415 _4791_ -*26416 _4792_ -*26417 _4793_ -*26418 _4794_ -*26419 _4795_ -*26420 _4796_ -*26421 _4797_ -*26422 _4798_ -*26423 _4799_ -*26424 _4800_ -*26425 _4801_ -*26426 _4802_ -*26427 _4803_ -*26428 _4804_ -*26429 _4805_ -*26430 _4806_ -*26431 _4807_ -*26432 _4808_ -*26433 _4809_ -*26434 _4810_ -*26435 _4811_ -*26436 _4812_ -*26437 _4813_ -*26438 _4814_ -*26439 _4815_ -*26440 _4816_ -*26441 _4817_ -*26442 _4818_ -*26443 _4819_ -*26444 _4820_ -*26445 _4821_ -*26446 _4822_ -*26447 _4823_ -*26448 _4824_ -*26449 _4825_ -*26450 _4826_ -*26451 _4827_ -*26452 _4828_ -*26453 _4829_ -*26454 _4830_ -*26455 _4831_ -*26456 _4832_ -*26457 _4833_ -*26458 _4834_ -*26459 _4835_ -*26460 _4836_ -*26461 _4837_ -*26462 _4838_ -*26463 _4839_ -*26464 _4840_ -*26465 _4841_ -*26466 _4842_ -*26467 _4843_ -*26468 _4844_ -*26469 _4845_ -*26470 _4846_ -*26471 _4847_ -*26472 _4848_ -*26473 _4849_ -*26474 _4850_ -*26475 _4851_ -*26476 _4852_ -*26477 _4853_ -*26478 _4854_ -*26479 _4855_ -*26480 _4856_ -*26481 _4857_ -*26482 _4858_ -*26483 _4859_ -*26484 _4860_ -*26485 _4861_ -*26486 _4862_ -*26487 _4863_ -*26488 _4864_ -*26489 _4865_ -*26490 _4866_ -*26491 _4867_ -*26492 _4868_ -*26493 _4869_ -*26494 _4870_ -*26495 _4871_ -*26496 _4872_ -*26497 _4873_ -*26498 _4874_ -*26499 _4875_ -*26500 _4876_ -*26501 _4877_ -*26502 _4878_ -*26503 _4879_ -*26504 _4880_ -*26505 _4881_ -*26506 _4882_ -*26507 _4883_ -*26508 _4884_ -*26509 _4885_ -*26510 _4886_ -*26511 _4887_ -*26512 _4888_ -*26513 _4889_ -*26514 _4890_ -*26515 _4891_ -*26516 _4892_ -*26517 _4893_ -*26518 _4894_ -*26519 _4895_ -*26520 _4896_ -*26521 _4897_ -*26522 _4898_ -*26523 _4899_ -*26524 _4900_ -*26525 _4901_ -*26526 _4902_ -*26527 _4903_ -*26528 _4904_ -*26529 _4905_ -*26530 _4906_ -*26531 _4907_ -*26532 _4908_ -*26533 _4909_ -*26534 _4910_ -*26535 _4911_ -*26536 _4912_ -*26537 _4913_ -*26538 _4914_ -*26539 _4915_ -*26540 _4916_ -*26541 _4917_ -*26542 _4918_ -*26543 _4919_ -*26544 _4920_ -*26545 _4921_ -*26546 _4922_ -*26547 _4923_ -*26548 _4924_ -*26549 _4925_ -*26550 _4926_ -*26551 _4927_ -*26552 _4928_ -*26553 _4929_ -*26554 _4930_ -*26555 _4931_ -*26556 _4932_ -*26557 _4933_ -*26558 _4934_ -*26559 _4935_ -*26560 _4936_ -*26561 _4937_ -*26562 _4938_ -*26563 _4939_ -*26564 _4940_ -*26565 _4941_ -*26566 _4942_ -*26567 _4943_ -*26568 _4944_ -*26569 _4945_ -*26570 _4946_ -*26571 _4947_ -*26572 _4948_ -*26573 _4949_ -*26574 _4950_ -*26575 _4951_ -*26576 _4952_ -*26577 _4953_ -*26578 _4954_ -*26579 _4955_ -*26580 _4956_ -*26581 _4957_ -*26582 _4958_ -*26583 _4959_ -*26584 _4960_ -*26585 _4961_ -*26586 _4962_ -*26587 _4963_ -*26588 _4964_ -*26589 _4965_ -*26590 _4966_ -*26591 _4967_ -*26592 _4968_ -*26593 _4969_ -*26594 _4970_ -*26595 _4971_ -*26596 _4972_ -*26597 _4973_ -*26598 _4974_ -*26599 _4975_ -*26600 _4976_ -*26601 _4977_ -*26602 _4978_ -*26603 _4979_ -*26604 _4980_ -*26605 _4981_ -*26606 _4982_ -*26607 _4983_ -*26608 _4984_ -*26609 _4985_ -*26610 _4986_ -*26611 _4987_ -*26612 _4988_ -*26613 _4989_ -*26614 _4990_ -*26615 _4991_ -*26616 _4992_ -*26617 _4993_ -*26618 _4994_ -*26619 _4995_ -*26620 _4996_ -*26621 _4997_ -*26622 _4998_ -*26623 _4999_ -*26624 _5000_ -*26625 _5001_ -*26626 _5002_ -*26627 _5003_ -*26628 _5004_ -*26629 _5005_ -*26630 _5006_ -*26631 _5007_ -*26632 _5008_ -*26633 _5009_ -*26634 _5010_ -*26635 _5011_ -*26636 _5012_ -*26637 _5013_ -*26638 _5014_ -*26639 _5015_ -*26640 _5016_ -*26641 _5017_ -*26642 _5018_ -*26643 _5019_ -*26644 _5020_ -*26645 _5021_ -*26646 _5022_ -*26647 _5023_ -*26648 _5024_ -*26649 _5025_ -*26650 _5026_ -*26651 _5027_ -*26652 _5028_ -*26653 _5029_ -*26654 _5030_ -*26655 _5031_ -*26656 _5032_ -*26657 _5033_ -*26658 _5034_ -*26659 _5035_ -*26660 _5036_ -*26661 _5037_ -*26662 _5038_ -*26663 _5039_ -*26664 _5040_ -*26665 _5041_ -*26666 _5042_ -*26667 _5043_ -*26668 _5044_ -*26669 _5045_ -*26670 _5046_ -*26671 _5047_ -*26672 _5048_ -*26673 _5049_ -*26674 _5050_ -*26675 _5051_ -*26676 _5052_ -*26677 _5053_ -*26678 _5054_ -*26679 _5055_ -*26680 _5056_ -*26681 _5057_ -*26682 _5058_ -*26683 _5059_ -*26684 _5060_ -*26685 _5061_ -*26686 _5062_ -*26687 _5063_ -*26688 _5064_ -*26689 _5065_ -*26690 _5066_ -*26691 _5067_ -*26692 _5068_ -*26693 _5069_ -*26694 _5070_ -*26695 _5071_ -*26696 _5072_ -*26697 _5073_ -*26698 _5074_ -*26699 _5075_ -*26700 _5076_ -*26701 _5077_ -*26702 _5078_ -*26703 _5079_ -*26704 _5080_ -*26705 _5081_ -*26706 _5082_ -*26707 _5083_ -*26708 _5084_ -*26709 _5085_ -*26710 _5086_ -*26711 _5087_ -*26712 _5088_ -*26713 _5089_ -*26714 _5090_ -*26715 _5091_ -*26716 _5092_ -*26717 _5093_ -*26718 _5094_ -*26719 _5095_ -*26720 _5096_ -*26721 _5097_ -*26722 _5098_ -*26723 _5099_ -*26724 _5100_ -*26725 _5101_ -*26726 _5102_ -*26727 _5103_ -*26728 _5104_ -*26729 _5105_ -*26730 _5106_ -*26731 _5107_ -*26732 _5108_ -*26733 _5109_ -*26734 _5110_ -*26735 _5111_ -*26736 _5112_ -*26737 _5113_ -*26738 _5114_ -*26739 _5115_ -*26740 _5116_ -*26741 _5117_ -*26742 _5118_ -*26743 _5119_ -*26744 _5120_ -*26745 _5121_ -*26746 _5122_ -*26747 _5123_ -*26748 _5124_ -*26749 _5125_ -*26750 _5126_ -*26751 _5127_ -*26752 _5128_ -*26753 _5129_ -*26754 _5130_ -*26755 _5131_ -*26756 _5132_ -*26757 _5133_ -*26758 _5134_ -*26759 _5135_ -*26760 _5136_ -*26761 _5137_ -*26762 _5138_ -*26763 _5139_ -*26764 _5140_ -*26765 _5141_ -*26766 _5142_ -*26767 _5143_ -*26768 _5144_ -*26769 _5145_ -*26770 _5146_ -*26771 _5147_ -*26772 _5148_ -*26773 _5149_ -*26774 _5150_ -*26775 _5151_ -*26776 _5152_ -*26777 _5153_ -*26778 _5154_ -*26779 _5155_ -*26780 _5156_ -*26781 _5157_ -*26782 _5158_ -*26783 _5159_ -*26784 _5160_ -*26785 _5161_ -*26786 _5162_ -*26787 _5163_ -*26788 _5164_ -*26789 _5165_ -*26790 _5166_ -*26791 _5167_ -*26792 _5168_ -*26793 _5169_ -*26794 _5170_ -*26795 _5171_ -*26796 _5172_ -*26797 _5173_ -*26798 _5174_ -*26799 _5175_ -*26800 _5176_ -*26801 _5177_ -*26802 _5178_ -*26803 _5179_ -*26804 _5180_ -*26805 _5181_ -*26806 _5182_ -*26807 _5183_ -*26808 _5184_ -*26809 _5185_ -*26810 _5186_ -*26811 _5187_ -*26812 _5188_ -*26813 _5189_ -*26814 _5190_ -*26815 _5191_ -*26816 _5192_ -*26817 _5193_ -*26818 _5194_ -*26819 _5195_ -*26820 _5196_ -*26821 _5197_ -*26822 _5198_ -*26823 _5199_ -*26824 _5200_ -*26825 _5201_ -*26826 _5202_ -*26827 _5203_ -*26828 _5204_ -*26829 _5205_ -*26830 _5206_ -*26831 _5207_ -*26832 _5208_ -*26833 _5209_ -*26834 _5210_ -*26835 _5211_ -*26836 _5212_ -*26837 _5213_ -*26838 _5214_ -*26839 _5215_ -*26840 _5216_ -*26841 _5217_ -*26842 _5218_ -*26843 _5219_ -*26844 _5220_ -*26845 _5221_ -*26846 _5222_ -*26847 _5223_ -*26848 _5224_ -*26849 _5225_ -*26850 _5226_ -*26851 _5227_ -*26852 _5228_ -*26853 _5229_ -*26854 _5230_ -*26855 _5231_ -*26856 _5232_ -*26857 _5233_ -*26858 _5234_ -*26859 _5235_ -*26860 _5236_ -*26861 _5237_ -*26862 _5238_ -*26863 _5239_ -*26864 _5240_ -*26865 _5241_ -*26866 _5242_ -*26867 _5243_ -*26868 _5244_ -*26869 _5245_ -*26870 _5246_ -*26871 _5247_ -*26872 _5248_ -*26873 _5249_ -*26874 _5250_ -*26875 _5251_ -*26876 _5252_ -*26877 _5253_ -*26878 _5254_ -*26879 _5255_ -*26880 _5256_ -*26881 _5257_ -*26882 _5258_ -*26883 _5259_ -*26884 _5260_ -*26885 _5261_ -*26886 _5262_ -*26887 _5263_ -*26888 _5264_ -*26889 _5265_ -*26890 _5266_ -*26891 _5267_ -*26892 _5268_ -*26893 _5269_ -*26894 _5270_ -*26895 _5271_ -*26896 _5272_ -*26897 _5273_ -*26898 _5274_ -*26899 _5275_ -*26900 _5276_ -*26901 _5277_ -*26902 _5278_ -*26903 _5279_ -*26904 _5280_ -*26905 _5281_ -*26906 _5282_ -*26907 _5283_ -*26908 _5284_ -*26909 _5285_ -*26910 _5286_ -*26911 _5287_ -*26912 _5288_ -*26913 _5289_ -*26914 _5290_ -*26915 _5291_ -*26916 _5292_ -*26917 _5293_ -*26918 _5294_ -*26919 _5295_ -*26920 _5296_ -*26921 _5297_ -*26922 _5298_ -*26923 _5299_ -*26924 _5300_ -*26925 _5301_ -*26926 _5302_ -*26927 _5303_ -*26928 _5304_ -*26929 _5305_ -*26930 _5306_ -*26931 _5307_ -*26932 _5308_ -*26933 _5309_ -*26934 _5310_ -*26935 _5311_ -*26936 _5312_ -*26937 _5313_ -*26938 _5314_ -*26939 _5315_ -*26940 _5316_ -*26941 _5317_ -*26942 _5318_ -*26943 _5319_ -*26944 _5320_ -*26945 _5321_ -*26946 _5322_ -*26947 _5323_ -*26948 _5324_ -*26949 _5325_ -*26950 _5326_ -*26951 _5327_ -*26952 _5328_ -*26953 _5329_ -*26954 _5330_ -*26955 _5331_ -*26956 _5332_ -*26957 _5333_ -*26958 _5334_ -*26959 _5335_ -*26960 _5336_ -*26961 _5337_ -*26962 _5338_ -*26963 _5339_ -*26964 _5340_ -*26965 _5341_ -*26966 _5342_ -*26967 _5343_ -*26968 _5344_ -*26969 _5345_ -*26970 _5346_ -*26971 _5347_ -*26972 _5348_ -*26973 _5349_ -*26974 _5350_ -*26975 _5351_ -*26976 _5352_ -*26977 _5353_ -*26978 _5354_ -*26979 _5355_ -*26980 _5356_ -*26981 _5357_ -*26982 _5358_ -*26983 _5359_ -*26984 _5360_ -*26985 _5361_ -*26986 _5362_ -*26987 _5363_ -*26988 _5364_ -*26989 _5365_ -*26990 _5366_ -*26991 _5367_ -*26992 _5368_ -*26993 _5369_ -*26994 _5370_ -*26995 _5371_ -*26996 _5372_ -*26997 _5373_ -*26998 _5374_ -*26999 _5375_ -*27000 _5376_ -*27001 _5377_ -*27002 _5378_ -*27003 _5379_ -*27004 _5380_ -*27005 _5381_ -*27006 _5382_ -*27007 _5383_ -*27008 _5384_ -*27009 _5385_ -*27010 _5386_ -*27011 _5387_ -*27012 _5388_ -*27013 _5389_ -*27014 _5390_ -*27015 _5391_ -*27016 _5392_ -*27017 _5393_ -*27018 _5394_ -*27019 _5395_ -*27020 _5396_ -*27021 _5397_ -*27022 _5398_ -*27023 _5399_ -*27024 _5400_ -*27025 _5401_ -*27026 _5402_ -*27027 _5403_ -*27028 _5404_ -*27029 _5405_ -*27030 _5406_ -*27031 _5407_ -*27032 _5408_ -*27033 _5409_ -*27034 _5410_ -*27035 _5411_ -*27036 _5412_ -*27037 _5413_ -*27038 _5414_ -*27039 _5415_ -*27040 _5416_ -*27041 _5417_ -*27042 _5418_ -*27043 _5419_ -*27044 _5420_ -*27045 _5421_ -*27046 _5422_ -*27047 _5423_ -*27048 _5424_ -*27049 _5425_ -*27050 _5426_ -*27051 _5427_ -*27052 _5428_ -*27053 _5429_ -*27054 _5430_ -*27055 _5431_ -*27056 _5432_ -*27057 _5433_ -*27058 _5434_ -*27059 _5435_ -*27060 _5436_ -*27061 _5437_ -*27062 _5438_ -*27063 _5439_ -*27064 _5440_ -*27065 _5441_ -*27066 _5442_ -*27067 _5443_ -*27068 _5444_ -*27069 _5445_ -*27070 _5446_ -*27071 _5447_ -*27072 _5448_ -*27073 _5449_ -*27074 _5450_ -*27075 _5451_ -*27076 _5452_ -*27077 _5453_ -*27078 _5454_ -*27079 _5455_ -*27080 _5456_ -*27081 _5457_ -*27082 _5458_ -*27083 _5459_ -*27084 _5460_ -*27085 _5461_ -*27086 _5462_ -*27087 _5463_ -*27088 _5464_ -*27089 _5465_ -*27090 _5466_ -*27091 _5467_ -*27092 _5468_ -*27093 _5469_ -*27094 _5470_ -*27095 _5471_ -*27096 _5472_ -*27097 _5473_ -*27098 _5474_ -*27099 _5475_ -*27100 _5476_ -*27101 _5477_ -*27102 _5478_ -*27103 _5479_ -*27104 _5480_ -*27105 _5481_ -*27106 _5482_ -*27107 _5483_ -*27108 _5484_ -*27109 _5485_ -*27110 _5486_ -*27111 _5487_ -*27112 _5488_ -*27113 _5489_ -*27114 _5490_ -*27115 _5491_ -*27116 _5492_ -*27117 _5493_ -*27118 _5494_ -*27119 _5495_ -*27120 _5496_ -*27121 _5497_ -*27122 _5498_ -*27123 _5499_ -*27124 _5500_ -*27125 _5501_ -*27126 _5502_ -*27127 _5503_ -*27128 _5504_ -*27129 _5505_ -*27130 _5506_ -*27131 _5507_ -*27132 _5508_ -*27133 _5509_ -*27134 _5510_ -*27135 _5511_ -*27136 _5512_ -*27137 _5513_ -*27138 _5514_ -*27139 _5515_ -*27140 _5516_ -*27141 _5517_ -*27142 _5518_ -*27143 _5519_ -*27144 _5520_ -*27145 _5521_ -*27146 _5522_ -*27147 _5523_ -*27148 _5524_ -*27149 _5525_ -*27150 _5526_ -*27151 _5527_ -*27152 _5528_ -*27153 _5529_ -*27154 _5530_ -*27155 _5531_ -*27156 _5532_ -*27157 _5533_ -*27158 _5534_ -*27159 _5535_ -*27160 _5536_ -*27161 _5537_ -*27162 _5538_ -*27163 _5539_ -*27164 _5540_ -*27165 _5541_ -*27166 _5542_ -*27167 _5543_ -*27168 _5544_ -*27169 _5545_ -*27170 _5546_ -*27171 _5547_ -*27172 _5548_ -*27173 _5549_ -*27174 _5550_ -*27175 _5551_ -*27176 _5552_ -*27177 _5553_ -*27178 _5554_ -*27179 _5555_ -*27180 _5556_ -*27181 _5557_ -*27182 _5558_ -*27183 _5559_ -*27184 _5560_ -*27185 _5561_ -*27186 _5562_ -*27187 _5563_ -*27188 _5564_ -*27189 _5565_ -*27190 _5566_ -*27191 _5567_ -*27192 _5568_ -*27193 _5569_ -*27194 _5570_ -*27195 _5571_ -*27196 _5572_ -*27197 _5573_ -*27198 _5574_ -*27199 _5575_ -*27200 _5576_ -*27201 _5577_ -*27202 _5578_ -*27203 _5579_ -*27204 _5580_ -*27205 _5581_ -*27206 _5582_ -*27207 _5583_ -*27208 _5584_ -*27209 _5585_ -*27210 _5586_ -*27211 _5587_ -*27212 _5588_ -*27213 _5589_ -*27214 _5590_ -*27215 _5591_ -*27216 _5592_ -*27217 _5593_ -*27218 _5594_ -*27219 _5595_ -*27220 _5596_ -*27221 _5597_ -*27222 _5598_ -*27223 _5599_ -*27224 _5600_ -*27225 _5601_ -*27226 _5602_ -*27227 _5603_ -*27228 _5604_ -*27229 _5605_ -*27230 _5606_ -*27231 _5607_ -*27232 _5608_ -*27233 _5609_ -*27234 _5610_ -*27235 _5611_ -*27236 _5612_ -*27237 _5613_ -*27238 _5614_ -*27239 _5615_ -*27240 _5616_ -*27241 _5617_ -*27242 _5618_ -*27243 _5619_ -*27244 _5620_ -*27245 _5621_ -*27246 _5622_ -*27247 _5623_ -*27248 _5624_ -*27249 _5625_ -*27250 _5626_ -*27251 _5627_ -*27252 _5628_ -*27253 _5629_ -*27254 _5630_ -*27255 _5631_ -*27256 _5632_ -*27257 _5633_ -*27258 _5634_ -*27259 _5635_ -*27260 _5636_ -*27261 _5637_ -*27262 _5638_ -*27263 _5639_ -*27264 _5640_ -*27265 _5641_ -*27266 _5642_ -*27267 _5643_ -*27268 _5644_ -*27269 _5645_ -*27270 _5646_ -*27271 _5647_ -*27272 _5648_ -*27273 _5649_ -*27274 _5650_ -*27275 _5651_ -*27276 _5652_ -*27277 _5653_ -*27278 _5654_ -*27279 _5655_ -*27280 _5656_ -*27281 _5657_ -*27282 _5658_ -*27283 _5659_ -*27284 _5660_ -*27285 _5661_ -*27286 _5662_ -*27287 _5663_ -*27288 _5664_ -*27289 _5665_ -*27290 _5666_ -*27291 _5667_ -*27292 _5668_ -*27293 _5669_ -*27294 _5670_ -*27295 _5671_ -*27296 _5672_ -*27297 _5673_ -*27298 _5674_ -*27299 _5675_ -*27300 _5676_ -*27301 _5677_ -*27302 _5678_ -*27303 _5679_ -*27304 _5680_ -*27305 _5681_ -*27306 _5682_ -*27307 _5683_ -*27308 _5684_ -*27309 _5685_ -*27310 _5686_ -*27311 _5687_ -*27312 _5688_ -*27313 _5689_ -*27314 _5690_ -*27315 _5691_ -*27316 _5692_ -*27317 _5693_ -*27318 _5694_ -*27319 _5695_ -*27320 _5696_ -*27321 _5697_ -*27322 _5698_ -*27323 _5699_ -*27324 _5700_ -*27325 _5701_ -*27326 _5702_ -*27327 _5703_ -*27328 _5704_ -*27329 _5705_ -*27330 _5706_ -*27331 _5707_ -*27332 _5708_ -*27333 _5709_ -*27334 _5710_ -*27335 _5711_ -*27336 _5712_ -*27337 _5713_ -*27338 _5714_ -*27339 _5715_ -*27340 _5716_ -*27341 _5717_ -*27342 _5718_ -*27343 _5719_ -*27344 _5720_ -*27345 _5721_ -*27346 _5722_ -*27347 _5723_ -*27348 _5724_ -*27349 _5725_ -*27350 _5726_ -*27351 _5727_ -*27352 _5728_ -*27353 _5729_ -*27354 _5730_ -*27355 _5731_ -*27356 _5732_ -*27357 _5733_ -*27358 _5734_ -*27359 _5735_ -*27360 _5736_ -*27361 _5737_ -*27362 _5738_ -*27363 _5739_ -*27364 _5740_ -*27365 _5741_ -*27366 _5742_ -*27367 _5743_ -*27368 _5744_ -*27369 _5745_ -*27370 _5746_ -*27371 _5747_ -*27372 _5748_ -*27373 _5749_ -*27374 _5750_ -*27375 _5751_ -*27376 _5752_ -*27377 _5753_ -*27378 _5754_ -*27379 _5755_ -*27380 _5756_ -*27381 _5757_ -*27382 _5758_ -*27383 _5759_ -*27384 _5760_ -*27385 _5761_ -*27386 _5762_ -*27387 _5763_ -*27388 _5764_ -*27389 _5765_ -*27390 _5766_ -*27391 _5767_ -*27392 _5768_ -*27393 _5769_ -*27394 _5770_ -*27395 _5771_ -*27396 _5772_ -*27397 _5773_ -*27398 _5774_ -*27399 _5775_ -*27400 _5776_ -*27401 _5777_ -*27402 _5778_ -*27403 _5779_ -*27404 _5780_ -*27405 _5781_ -*27406 _5782_ -*27407 _5783_ -*27408 _5784_ -*27409 _5785_ -*27410 _5786_ -*27411 _5787_ -*27412 _5788_ -*27413 _5789_ -*27414 _5790_ -*27415 _5791_ -*27416 _5792_ -*27417 _5793_ -*27418 _5794_ -*27419 _5795_ -*27420 _5796_ -*27421 _5797_ -*27422 _5798_ -*27423 _5799_ -*27424 _5800_ -*27425 _5801_ -*27426 _5802_ -*27427 _5803_ -*27428 _5804_ -*27429 _5805_ -*27430 _5806_ -*27431 _5807_ -*27432 _5808_ -*27433 _5809_ -*27434 _5810_ -*27435 _5811_ -*27436 _5812_ -*27437 _5813_ -*27438 _5814_ -*27439 _5815_ -*27440 _5816_ -*27441 _5817_ -*27442 _5818_ -*27443 _5819_ -*27444 _5820_ -*27445 _5821_ -*27446 _5822_ -*27447 _5823_ -*27448 _5824_ -*27449 _5825_ -*27450 _5826_ -*27451 _5827_ -*27452 _5828_ -*27453 _5829_ -*27454 _5830_ -*27455 _5831_ -*27456 _5832_ -*27457 _5833_ -*27458 _5834_ -*27459 _5835_ -*27460 _5836_ -*27461 _5837_ -*27462 _5838_ -*27463 _5839_ -*27464 _5840_ -*27465 _5841_ -*27466 _5842_ -*27467 _5843_ -*27468 _5844_ -*27469 _5845_ -*27470 _5846_ -*27471 _5847_ -*27472 _5848_ -*27473 _5849_ -*27474 _5850_ -*27475 _5851_ -*27476 _5852_ -*27477 _5853_ -*27478 _5854_ -*27479 _5855_ -*27480 _5856_ -*27481 _5857_ -*27482 _5858_ -*27483 _5859_ -*27484 _5860_ -*27485 _5861_ -*27486 _5862_ -*27487 _5863_ -*27488 _5864_ -*27489 _5865_ -*27490 _5866_ -*27491 _5867_ -*27492 _5868_ -*27493 _5869_ -*27494 _5870_ -*27495 _5871_ -*27496 _5872_ -*27497 _5873_ -*27498 _5874_ -*27499 _5875_ -*27500 _5876_ -*27501 _5877_ -*27502 _5878_ -*27503 _5879_ -*27504 _5880_ -*27505 _5881_ -*27506 _5882_ -*27507 _5883_ -*27508 _5884_ -*27509 _5885_ -*27510 _5886_ -*27511 _5887_ -*27512 _5888_ -*27513 _5889_ -*27514 _5890_ -*27515 _5891_ -*27516 _5892_ -*27517 _5893_ -*27518 _5894_ -*27519 _5895_ -*27520 _5896_ -*27521 _5897_ -*27522 _5898_ -*27523 _5899_ -*27524 _5900_ -*27525 _5901_ -*27526 _5902_ -*27527 _5903_ -*27528 _5904_ -*27529 _5905_ -*27530 _5906_ -*27531 _5907_ -*27532 _5908_ -*27533 _5909_ -*27534 _5910_ -*27535 _5911_ -*27536 _5912_ -*27537 _5913_ -*27538 _5914_ -*27539 _5915_ -*27540 _5916_ -*27541 _5917_ -*27542 _5918_ -*27543 _5919_ -*27544 _5920_ -*27545 _5921_ -*27546 _5922_ -*27547 _5923_ -*27548 _5924_ -*27549 _5925_ -*27550 _5926_ -*27551 _5927_ -*27552 _5928_ -*27553 _5929_ -*27554 _5930_ -*27555 _5931_ -*27556 _5932_ -*27557 _5933_ -*27558 _5934_ -*27559 _5935_ -*27560 _5936_ -*27561 _5937_ -*27562 _5938_ -*27563 _5939_ -*27564 _5940_ -*27565 _5941_ -*27566 _5942_ -*27567 _5943_ -*27568 _5944_ -*27569 _5945_ -*27570 _5946_ -*27571 _5947_ -*27572 _5948_ -*27573 _5949_ -*27574 _5950_ -*27575 _5951_ -*27576 _5952_ -*27577 _5953_ -*27578 _5954_ -*27579 _5955_ -*27580 _5956_ -*27581 _5957_ -*27582 _5958_ -*27583 _5959_ -*27584 _5960_ -*27585 _5961_ -*27586 _5962_ -*27587 _5963_ -*27588 _5964_ -*27589 _5965_ -*27590 _5966_ -*27591 _5967_ -*27592 _5968_ -*27593 _5969_ -*27594 _5970_ -*27595 _5971_ -*27596 _5972_ -*27597 _5973_ -*27598 _5974_ -*27599 _5975_ -*27600 _5976_ -*27601 _5977_ -*27602 _5978_ -*27603 _5979_ -*27604 _5980_ -*27605 _5981_ -*27606 _5982_ -*27607 _5983_ -*27608 _5984_ -*27609 _5985_ -*27610 _5986_ -*27611 _5987_ -*27612 _5988_ -*27613 _5989_ -*27614 _5990_ -*27615 _5991_ -*27616 _5992_ -*27617 _5993_ -*27618 _5994_ -*27619 _5995_ -*27620 _5996_ -*27621 _5997_ -*27622 _5998_ -*27623 _5999_ -*27624 _6000_ -*27625 _6001_ -*27626 _6002_ -*27627 _6003_ -*27628 _6004_ -*27629 _6005_ -*27630 _6006_ -*27631 _6007_ -*27632 _6008_ -*27633 _6009_ -*27634 _6010_ -*27635 _6011_ -*27636 _6012_ -*27637 _6013_ -*27638 _6014_ -*27639 _6015_ -*27640 _6016_ -*27641 _6017_ -*27642 _6018_ -*27643 _6019_ -*27644 _6020_ -*27645 _6021_ -*27646 _6022_ -*27647 _6023_ -*27648 _6024_ -*27649 _6025_ -*27650 _6026_ -*27651 _6027_ -*27652 _6028_ -*27653 _6029_ -*27654 _6030_ -*27655 _6031_ -*27656 _6032_ -*27657 _6033_ -*27658 _6034_ -*27659 _6035_ -*27660 _6036_ -*27661 _6037_ -*27662 _6038_ -*27663 _6039_ -*27664 _6040_ -*27665 _6041_ -*27666 _6042_ -*27667 _6043_ -*27668 _6044_ -*27669 _6045_ -*27670 _6046_ -*27671 _6047_ -*27672 _6048_ -*27673 _6049_ -*27674 _6050_ -*27675 _6051_ -*27676 _6052_ -*27677 _6053_ -*27678 _6054_ -*27679 _6055_ -*27680 _6056_ -*27681 _6057_ -*27682 _6058_ -*27683 _6059_ -*27684 _6060_ -*27685 _6061_ -*27686 _6062_ -*27687 _6063_ -*27688 _6064_ -*27689 _6065_ -*27690 _6066_ -*27691 _6067_ -*27692 _6068_ -*27693 _6069_ -*27694 _6070_ -*27695 _6071_ -*27696 _6072_ -*27697 _6073_ -*27698 _6074_ -*27699 _6075_ -*27700 _6076_ -*27701 _6077_ -*27702 _6078_ -*27703 _6079_ -*27704 _6080_ -*27705 _6081_ -*27706 _6082_ -*27707 _6083_ -*27708 _6084_ -*27709 _6085_ -*27710 _6086_ -*27711 _6087_ -*27712 _6088_ -*27713 _6089_ -*27714 _6090_ -*27715 _6091_ -*27716 _6092_ -*27717 _6093_ -*27718 _6094_ -*27719 _6095_ -*27720 _6096_ -*27721 _6097_ -*27722 _6098_ -*27723 _6099_ -*27724 _6100_ -*27725 _6101_ -*27726 _6102_ -*27727 _6103_ -*27728 _6104_ -*27729 _6105_ -*27730 _6106_ -*27731 _6107_ -*27732 _6108_ -*27733 _6109_ -*27734 _6110_ -*27735 _6111_ -*27736 _6112_ -*27737 _6113_ -*27738 _6114_ -*27739 _6115_ -*27740 _6116_ -*27741 _6117_ -*27742 _6118_ -*27743 _6119_ -*27744 _6120_ -*27745 _6121_ -*27746 _6122_ -*27747 _6123_ -*27748 _6124_ -*27749 _6125_ -*27750 _6126_ -*27751 _6127_ -*27752 _6128_ -*27753 _6129_ -*27754 _6130_ -*27755 _6131_ -*27756 _6132_ -*27757 _6133_ -*27758 _6134_ -*27759 _6135_ -*27760 _6136_ -*27761 _6137_ -*27762 _6138_ -*27763 _6139_ -*27764 _6140_ -*27765 _6141_ -*27766 _6142_ -*27767 _6143_ -*27768 _6144_ -*27769 _6145_ -*27770 _6146_ -*27771 _6147_ -*27772 _6148_ -*27773 _6149_ -*27774 _6150_ -*27775 _6151_ -*27776 _6152_ -*27777 _6153_ -*27778 _6154_ -*27779 _6155_ -*27780 _6156_ -*27781 _6157_ -*27782 _6158_ -*27783 _6159_ -*27784 _6160_ -*27785 _6161_ -*27786 _6162_ -*27787 _6163_ -*27788 _6164_ -*27789 _6165_ -*27790 _6166_ -*27791 _6167_ -*27792 _6168_ -*27793 _6169_ -*27794 _6170_ -*27795 _6171_ -*27796 _6172_ -*27797 _6173_ -*27798 _6174_ -*27799 _6175_ -*27800 _6176_ -*27801 _6177_ -*27802 _6178_ -*27803 _6179_ -*27804 _6180_ -*27805 _6181_ -*27806 _6182_ -*27807 _6183_ -*27808 _6184_ -*27809 _6185_ -*27810 _6186_ -*27811 _6187_ -*27812 _6188_ -*27813 _6189_ -*27814 _6190_ -*27815 _6191_ -*27816 _6192_ -*27817 _6193_ -*27818 _6194_ -*27819 _6195_ -*27820 _6196_ -*27821 _6197_ -*27822 _6198_ -*27823 _6199_ -*27824 _6200_ -*27825 _6201_ -*27826 _6202_ -*27827 _6203_ -*27828 _6204_ -*27829 _6205_ -*27830 _6206_ -*27831 _6207_ -*27832 _6208_ -*27833 _6209_ -*27834 _6210_ -*27835 _6211_ -*27836 _6212_ -*27837 _6213_ -*27838 _6214_ -*27839 _6215_ -*27840 _6216_ -*27841 _6217_ -*27842 _6218_ -*27843 _6219_ -*27844 _6220_ -*27845 _6221_ -*27846 _6222_ -*27847 _6223_ -*27848 _6224_ -*27849 _6225_ -*27850 _6226_ -*27851 _6227_ -*27852 _6228_ -*27853 _6229_ -*27854 _6230_ -*27855 _6231_ -*27856 _6232_ -*27857 _6233_ -*27858 _6234_ -*27859 _6235_ -*27860 _6236_ -*27861 _6237_ -*27862 _6238_ -*27863 _6239_ -*27864 _6240_ -*27865 _6241_ -*27866 _6242_ -*27867 _6243_ -*27868 _6244_ -*27869 _6245_ -*27870 _6246_ -*27871 _6247_ -*27872 _6248_ -*27873 _6249_ -*27874 _6250_ -*27875 _6251_ -*27876 _6252_ -*27877 _6253_ -*27878 _6254_ -*27879 _6255_ -*27880 _6256_ -*27881 _6257_ -*27882 _6258_ -*27883 _6259_ -*27884 _6260_ -*27885 _6261_ -*27886 _6262_ -*27887 _6263_ -*27888 _6264_ -*27889 _6265_ -*27890 _6266_ -*27891 _6267_ -*27892 _6268_ -*27893 _6269_ -*27894 _6270_ -*27895 _6271_ -*27896 _6272_ -*27897 _6273_ -*27898 _6274_ -*27899 _6275_ -*27900 _6276_ -*27901 _6277_ -*27902 _6278_ -*27903 _6279_ -*27904 _6280_ -*27905 _6281_ -*27906 _6282_ -*27907 _6283_ -*27908 _6284_ -*27909 _6285_ -*27910 _6286_ -*27911 _6287_ -*27912 _6288_ -*27913 _6289_ -*27914 _6290_ -*27915 _6291_ -*27916 _6292_ -*27917 _6293_ -*27918 _6294_ -*27919 _6295_ -*27920 _6296_ -*27921 _6297_ -*27922 _6298_ -*27923 _6299_ -*27924 _6300_ -*27925 _6301_ -*27926 _6302_ -*27927 _6303_ -*27928 _6304_ -*27929 _6305_ -*27930 _6306_ -*27931 _6307_ -*27932 _6308_ -*27933 _6309_ -*27934 _6310_ -*27935 _6311_ -*27936 _6312_ -*27937 _6313_ -*27938 _6314_ -*27939 _6315_ -*27940 _6316_ -*27941 _6317_ -*27942 _6318_ -*27943 _6319_ -*27944 _6320_ -*27945 _6321_ -*27946 _6322_ -*27947 _6323_ -*27948 _6324_ -*27949 _6325_ -*27950 _6326_ -*27951 _6327_ -*27952 _6328_ -*27953 _6329_ -*27954 _6330_ -*27955 _6331_ -*27956 _6332_ -*27957 _6333_ -*27958 _6334_ -*27959 _6335_ -*27960 _6336_ -*27961 _6337_ -*27962 _6338_ -*27963 _6339_ -*27964 _6340_ -*27965 _6341_ -*27966 _6342_ -*27967 _6343_ -*27968 _6344_ -*27969 _6345_ -*27970 _6346_ -*27971 _6347_ -*27972 _6348_ -*27973 _6349_ -*27974 _6350_ -*27975 _6351_ -*27976 _6352_ -*27977 _6353_ -*27978 _6354_ -*27979 _6355_ -*27980 _6356_ -*27981 _6357_ -*27982 _6358_ -*27983 _6359_ -*27984 _6360_ -*27985 _6361_ -*27986 _6362_ -*27987 _6363_ -*27988 _6364_ -*27989 _6365_ -*27990 _6366_ -*27991 _6367_ -*27992 _6368_ -*27993 _6369_ -*27994 _6370_ -*27995 _6371_ -*27996 _6372_ -*27997 _6373_ -*27998 _6374_ -*27999 _6375_ -*28000 _6376_ -*28001 _6377_ -*28002 _6378_ -*28003 _6379_ -*28004 _6380_ -*28005 _6381_ -*28006 _6382_ -*28007 _6383_ -*28008 _6384_ -*28009 _6385_ -*28010 _6386_ -*28011 _6387_ -*28012 _6388_ -*28013 _6389_ -*28014 _6390_ -*28015 _6391_ -*28016 _6392_ -*28017 _6393_ -*28018 _6394_ -*28019 _6395_ -*28020 _6396_ -*28021 _6397_ -*28022 _6398_ -*28023 _6399_ -*28024 _6400_ -*28025 _6401_ -*28026 _6402_ -*28027 _6403_ -*28028 _6404_ -*28029 _6405_ -*28030 _6406_ -*28031 _6407_ -*28032 _6408_ -*28033 _6409_ -*28034 _6410_ -*28035 _6411_ -*28036 _6412_ -*28037 _6413_ -*28038 _6414_ -*28039 _6415_ -*28040 _6416_ -*28041 _6417_ -*28042 _6418_ -*28043 _6419_ -*28044 _6420_ -*28045 _6421_ -*28046 _6422_ -*28047 _6423_ -*28048 _6424_ -*28049 _6425_ -*28050 _6426_ -*28051 _6427_ -*28052 _6428_ -*28053 _6429_ -*28054 _6430_ -*28055 _6431_ -*28056 _6432_ -*28057 _6433_ -*28058 _6434_ -*28059 _6435_ -*28060 _6436_ -*28061 _6437_ -*28062 _6438_ -*28063 _6439_ -*28064 _6440_ -*28065 _6441_ -*28066 _6442_ -*28067 _6443_ -*28068 _6444_ -*28069 _6445_ -*28070 _6446_ -*28071 _6447_ -*28072 _6448_ -*28073 _6449_ -*28074 _6450_ -*28075 _6451_ -*28076 _6452_ -*28077 _6453_ -*28078 _6454_ -*28079 _6455_ -*28080 _6456_ -*28081 _6457_ -*28082 _6458_ -*28083 _6459_ -*28084 _6460_ -*28085 _6461_ -*28086 _6462_ -*28087 _6463_ -*28088 _6464_ -*28089 _6465_ -*28090 _6466_ -*28091 _6467_ -*28092 _6468_ -*28093 _6469_ -*28094 _6470_ -*28095 _6471_ -*28096 _6472_ -*28097 _6473_ -*28098 _6474_ -*28099 _6475_ -*28100 _6476_ -*28101 _6477_ -*28102 _6478_ -*28103 _6479_ -*28104 _6480_ -*28105 _6481_ -*28106 _6482_ -*28107 _6483_ -*28108 _6484_ -*28109 _6485_ -*28110 _6486_ -*28111 _6487_ -*28112 _6488_ -*28113 _6489_ -*28114 _6490_ -*28115 _6491_ -*28116 _6492_ -*28117 _6493_ -*28118 _6494_ -*28119 _6495_ -*28120 _6496_ -*28121 _6497_ -*28122 _6498_ -*28123 _6499_ -*28124 _6500_ -*28125 _6501_ -*28126 _6502_ -*28127 _6503_ -*28128 _6504_ -*28129 _6505_ -*28130 _6506_ -*28131 _6507_ -*28132 _6508_ -*28133 _6509_ -*28134 _6510_ -*28135 _6511_ -*28136 _6512_ -*28137 _6513_ -*28138 _6514_ -*28139 _6515_ -*28140 _6516_ -*28141 _6517_ -*28142 _6518_ -*28143 _6519_ -*28144 _6520_ -*28145 _6521_ -*28146 _6522_ -*28147 _6523_ -*28148 _6524_ -*28149 _6525_ -*28150 _6526_ -*28151 _6527_ -*28152 _6528_ -*28153 _6529_ -*28154 _6530_ -*28155 _6531_ -*28156 _6532_ -*28157 _6533_ -*28158 _6534_ -*28159 _6535_ -*28160 _6536_ -*28161 _6537_ -*28162 _6538_ -*28163 _6539_ -*28164 _6540_ -*28165 _6541_ -*28166 _6542_ -*28167 _6543_ -*28168 _6544_ -*28169 _6545_ -*28170 _6546_ -*28171 _6547_ -*28172 _6548_ -*28173 _6549_ -*28174 _6550_ -*28175 _6551_ -*28176 _6552_ -*28177 _6553_ -*28178 _6554_ -*28179 _6555_ -*28180 _6556_ -*28181 _6557_ -*28182 _6558_ -*28183 _6559_ -*28184 _6560_ -*28185 _6561_ -*28186 _6562_ -*28187 _6563_ -*28188 _6564_ -*28189 _6565_ -*28190 _6566_ -*28191 _6567_ -*28192 _6568_ -*28193 _6569_ -*28194 _6570_ -*28195 _6571_ -*28196 _6572_ -*28197 _6573_ -*28198 _6574_ -*28199 _6575_ -*28200 _6576_ -*28201 _6577_ -*28202 _6578_ -*28203 _6579_ -*28204 _6580_ -*28205 _6581_ -*28206 _6582_ -*28207 _6583_ -*28208 _6584_ -*28209 _6585_ -*28210 _6586_ -*28211 _6587_ -*28212 _6588_ -*28213 _6589_ -*28214 _6590_ -*28215 _6591_ -*28216 _6592_ -*28217 _6593_ -*28218 _6594_ -*28219 _6595_ -*28220 _6596_ -*28221 _6597_ -*28222 _6598_ -*28223 _6599_ -*28224 _6600_ -*28225 _6601_ -*28226 _6602_ -*28227 _6603_ -*28228 _6604_ -*28229 _6605_ -*28230 _6606_ -*28231 _6607_ -*28232 _6608_ -*28233 _6609_ -*28234 _6610_ -*28235 _6611_ -*28236 _6612_ -*28237 _6613_ -*28238 _6614_ -*28239 _6615_ -*28240 _6616_ -*28241 _6617_ -*28242 _6618_ -*28243 _6619_ -*28244 _6620_ -*28245 _6621_ -*28246 _6622_ -*28247 _6623_ -*28248 _6624_ -*28249 _6625_ -*28250 _6626_ -*28251 _6627_ -*28252 _6628_ -*28253 _6629_ -*28254 _6630_ -*28255 _6631_ -*28256 _6632_ -*28257 _6633_ -*28258 _6634_ -*28259 _6635_ -*28260 _6636_ -*28261 _6637_ -*28262 _6638_ -*28263 _6639_ -*28264 _6640_ -*28265 _6641_ -*28266 _6642_ -*28267 _6643_ -*28268 _6644_ -*28269 _6645_ -*28270 _6646_ -*28271 _6647_ -*28272 _6648_ -*28273 _6649_ -*28274 _6650_ -*28275 _6651_ -*28276 _6652_ -*28277 _6653_ -*28278 _6654_ -*28279 _6655_ -*28280 _6656_ -*28281 _6657_ -*28282 _6658_ -*28283 _6659_ -*28284 _6660_ -*28285 _6661_ -*28286 _6662_ -*28287 _6663_ -*28288 _6664_ -*28289 _6665_ -*28290 _6666_ -*28291 _6667_ -*28292 _6668_ -*28293 _6669_ -*28294 _6670_ -*28295 _6671_ -*28296 _6672_ -*28297 _6673_ -*28298 _6674_ -*28299 _6675_ -*28300 _6676_ -*28301 _6677_ -*28302 _6678_ -*28303 _6679_ -*28304 _6680_ -*28305 _6681_ -*28306 _6682_ -*28307 _6683_ -*28308 _6684_ -*28309 _6685_ -*28310 _6686_ -*28311 _6687_ -*28312 _6688_ -*28313 _6689_ -*28314 _6690_ -*28315 _6691_ -*28316 _6692_ -*28317 _6693_ -*28318 _6694_ -*28319 _6695_ -*28320 _6696_ -*28321 _6697_ -*28322 _6698_ -*28323 _6699_ -*28324 _6700_ -*28325 _6701_ -*28326 _6702_ -*28327 _6703_ -*28328 _6704_ -*28329 _6705_ -*28330 _6706_ -*28331 _6707_ -*28332 _6708_ -*28333 _6709_ -*28334 _6710_ -*28335 _6711_ -*28336 _6712_ -*28337 _6713_ -*28338 _6714_ -*28339 _6715_ -*28340 _6716_ -*28341 _6717_ -*28342 _6718_ -*28343 _6719_ -*28344 _6720_ -*28345 _6721_ -*28346 _6722_ -*28347 _6723_ -*28348 _6724_ -*28349 _6725_ -*28350 _6726_ -*28351 _6727_ -*28352 _6728_ -*28353 _6729_ -*28354 _6730_ -*28355 _6731_ -*28356 _6732_ -*28357 _6733_ -*28358 _6734_ -*28359 _6735_ -*28360 _6736_ -*28361 _6737_ -*28362 _6738_ -*28363 _6739_ -*28364 _6740_ -*28365 _6741_ -*28366 _6742_ -*28367 _6743_ -*28368 _6744_ -*28369 _6745_ -*28370 _6746_ -*28371 _6747_ -*28372 _6748_ -*28373 _6749_ -*28374 _6750_ -*28375 _6751_ -*28376 _6752_ -*28377 _6753_ -*28378 _6754_ -*28379 _6755_ -*28380 _6756_ -*28381 _6757_ -*28382 _6758_ -*28383 _6759_ -*28384 _6760_ -*28385 _6761_ -*28386 _6762_ -*28387 _6763_ -*28388 _6764_ -*28389 _6765_ -*28390 _6766_ -*28391 _6767_ -*28392 _6768_ -*28393 _6769_ -*28394 _6770_ -*28395 _6771_ -*28396 _6772_ -*28397 _6773_ -*28398 _6774_ -*28399 _6775_ -*28400 _6776_ -*28401 _6777_ -*28402 _6778_ -*28403 _6779_ -*28404 _6780_ -*28405 _6781_ -*28406 _6782_ -*28407 _6783_ -*28408 _6784_ -*28409 _6785_ -*28410 _6786_ -*28411 _6787_ -*28412 _6788_ -*28413 _6789_ -*28414 _6790_ -*28415 _6791_ -*28416 _6792_ -*28417 _6793_ -*28418 _6794_ -*28419 _6795_ -*28420 _6796_ -*28421 _6797_ -*28422 _6798_ -*28423 _6799_ -*28424 _6800_ -*28425 _6801_ -*28426 _6802_ -*28427 _6803_ -*28428 _6804_ -*28429 _6805_ -*28430 _6806_ -*28431 _6807_ -*28432 _6808_ -*28433 _6809_ -*28434 _6810_ -*28435 _6811_ -*28436 _6812_ -*28437 _6813_ -*28438 _6814_ -*28439 _6815_ -*28440 _6816_ -*28441 _6817_ -*28442 _6818_ -*28443 _6819_ -*28444 _6820_ -*28445 _6821_ -*28446 _6822_ -*28447 _6823_ -*28448 _6824_ -*28449 _6825_ -*28450 _6826_ -*28451 _6827_ -*28452 _6828_ -*28453 _6829_ -*28454 _6830_ -*28455 _6831_ -*28456 _6832_ -*28457 _6833_ -*28458 _6834_ -*28459 _6835_ -*28460 _6836_ -*28461 _6837_ -*28462 _6838_ -*28463 _6839_ -*28464 _6840_ -*28465 _6841_ -*28466 _6842_ -*28467 _6843_ -*28468 _6844_ -*28469 _6845_ -*28470 _6846_ -*28471 _6847_ -*28472 _6848_ -*28473 _6849_ -*28474 _6850_ -*28475 _6851_ -*28476 _6852_ -*28477 _6853_ -*28478 _6854_ -*28479 _6855_ -*28480 _6856_ -*28481 _6857_ -*28482 _6858_ -*28483 _6859_ -*28484 _6860_ -*28485 _6861_ -*28486 _6862_ -*28487 _6863_ -*28488 _6864_ -*28489 _6865_ -*28490 _6866_ -*28491 _6867_ -*28492 _6868_ -*28493 _6869_ -*28494 _6870_ -*28495 _6871_ -*28496 _6872_ -*28497 _6873_ -*28498 _6874_ -*28499 _6875_ -*28500 _6876_ -*28501 _6877_ -*28502 _6878_ -*28503 _6879_ -*28504 _6880_ -*28505 _6881_ -*28506 _6882_ -*28507 _6883_ -*28508 _6884_ -*28509 _6885_ -*28510 _6886_ -*28511 _6887_ -*28512 _6888_ -*28513 _6889_ -*28514 _6890_ -*28515 _6891_ -*28516 _6892_ -*28517 _6893_ -*28518 _6894_ -*28519 _6895_ -*28520 _6896_ -*28521 _6897_ -*28522 _6898_ -*28523 _6899_ -*28524 _6900_ -*28525 _6901_ -*28526 _6902_ -*28527 _6903_ -*28528 _6904_ -*28529 _6905_ -*28530 _6906_ -*28531 _6907_ -*28532 _6908_ -*28533 _6909_ -*28534 _6910_ -*28535 _6911_ -*28536 _6912_ -*28537 _6913_ -*28538 _6914_ -*28539 _6915_ -*28540 _6916_ -*28541 _6917_ -*28542 _6918_ -*28543 _6919_ -*28544 _6920_ -*28545 _6921_ -*28546 _6922_ -*28547 _6923_ -*28548 _6924_ -*28549 _6925_ -*28550 _6926_ -*28551 _6927_ -*28552 _6928_ -*28553 _6929_ -*28554 _6930_ -*28555 _6931_ -*28556 _6932_ -*28557 _6933_ -*28558 _6934_ -*28559 _6935_ -*28560 _6936_ -*28561 _6937_ -*28562 _6938_ -*28563 _6939_ -*28564 _6940_ -*28565 _6941_ -*28566 _6942_ -*28567 _6943_ -*28568 _6944_ -*28569 _6945_ -*28570 _6946_ -*28571 _6947_ -*28572 _6948_ -*28573 _6949_ -*28574 _6950_ -*28575 _6951_ -*28576 _6952_ -*28577 _6953_ -*28578 _6954_ -*28579 _6955_ -*28580 _6956_ -*28581 _6957_ -*28582 _6958_ -*28583 _6959_ -*28584 _6960_ -*28585 _6961_ -*28586 _6962_ -*28587 _6963_ -*28588 _6964_ -*28589 _6965_ -*28590 _6966_ -*28591 _6967_ -*28592 _6968_ -*28593 _6969_ -*28594 _6970_ -*28595 _6971_ -*28596 _6972_ -*28597 _6973_ -*28598 _6974_ -*28599 _6975_ -*28600 _6976_ -*28601 _6977_ -*28602 _6978_ -*28603 _6979_ -*28604 _6980_ -*28605 _6981_ -*28606 _6982_ -*28607 _6983_ -*28608 _6984_ -*28609 _6985_ -*28610 _6986_ -*28611 _6987_ -*28612 _6988_ -*28613 _6989_ -*28614 _6990_ -*28615 _6991_ -*28616 _6992_ -*28617 _6993_ -*28618 _6994_ -*28619 _6995_ -*28620 _6996_ -*28621 _6997_ -*28622 _6998_ -*28623 _6999_ -*28624 _7000_ -*28625 _7001_ -*28626 _7002_ -*28627 _7003_ -*28628 _7004_ -*28629 _7005_ -*28630 _7006_ -*28631 _7007_ -*28632 _7008_ -*28633 _7009_ -*28634 _7010_ -*28635 _7011_ -*28636 _7012_ -*28637 _7013_ -*28638 _7014_ -*28639 _7015_ -*28640 _7016_ -*28641 _7017_ -*28642 _7018_ -*28643 _7019_ -*28644 _7020_ -*28645 _7021_ -*28646 _7022_ -*28647 _7023_ -*28648 _7024_ -*28649 _7025_ -*28650 _7026_ -*28651 _7027_ -*28652 _7028_ -*28653 _7029_ -*28654 _7030_ -*28655 _7031_ -*28656 _7032_ -*28657 _7033_ -*28658 _7034_ -*28659 _7035_ -*28660 _7036_ -*28661 _7037_ -*28662 _7038_ -*28663 _7039_ -*28664 _7040_ -*28665 _7041_ -*28666 _7042_ -*28667 _7043_ -*28668 _7044_ -*28669 _7045_ -*28670 _7046_ -*28671 _7047_ -*28672 _7048_ -*28673 _7049_ -*28674 _7050_ -*28675 _7051_ -*28676 _7052_ -*28677 _7053_ -*28678 _7054_ -*28679 _7055_ -*28680 _7056_ -*28681 _7057_ -*28682 _7058_ -*28683 _7059_ -*28684 _7060_ -*28685 _7061_ -*28686 _7062_ -*28687 _7063_ -*28688 _7064_ -*28689 _7065_ -*28690 _7066_ -*28691 _7067_ -*28692 _7068_ -*28693 _7069_ -*28694 _7070_ -*28695 _7071_ -*28696 _7072_ -*28697 _7073_ -*28698 _7074_ -*28699 _7075_ -*28700 _7076_ -*28701 _7077_ -*28702 _7078_ -*28703 _7079_ -*28704 _7080_ -*28705 _7081_ -*28706 _7082_ -*28707 _7083_ -*28708 _7084_ -*28709 _7085_ -*28710 _7086_ -*28711 _7087_ -*28712 _7088_ -*28713 _7089_ -*28714 _7090_ -*28715 _7091_ -*28716 _7092_ -*28717 _7093_ -*28718 _7094_ -*28719 _7095_ -*28720 _7096_ -*28721 _7097_ -*28722 _7098_ -*28723 _7099_ -*28724 _7100_ -*28725 _7101_ -*28726 _7102_ -*28727 _7103_ -*28728 _7104_ -*28729 _7105_ -*28730 _7106_ -*28731 _7107_ -*28732 _7108_ -*28733 _7109_ -*28734 _7110_ -*28735 _7111_ -*28736 _7112_ -*28737 _7113_ -*28738 _7114_ -*28739 _7115_ -*28740 _7116_ -*28741 _7117_ -*28742 _7118_ -*28743 _7119_ -*28744 _7120_ -*28745 _7121_ -*28746 _7122_ -*28747 _7123_ -*28748 _7124_ -*28749 _7125_ -*28750 _7126_ -*28751 _7127_ -*28752 _7128_ -*28753 _7129_ -*28754 _7130_ -*28755 _7131_ -*28756 _7132_ -*28757 _7133_ -*28758 _7134_ -*28759 _7135_ -*28760 _7136_ -*28761 _7137_ -*28762 _7138_ -*28763 _7139_ -*28764 _7140_ -*28765 _7141_ -*28766 _7142_ -*28767 _7143_ -*28768 _7144_ -*28769 _7145_ -*28770 _7146_ -*28771 _7147_ -*28772 _7148_ -*28773 _7149_ -*28774 _7150_ -*28775 _7151_ -*28776 _7152_ -*28777 _7153_ -*28778 _7154_ -*28779 _7155_ -*28780 _7156_ -*28781 _7157_ -*28782 _7158_ -*28783 _7159_ -*28784 _7159__531 -*28785 _7160_ -*28786 _7161_ -*28787 _7162_ -*28788 _7163_ -*28789 _7164_ -*28790 _7165_ -*28791 _7166_ -*28792 _7167_ -*28793 _7168_ -*28794 _7169_ -*28795 _7170_ -*28796 _7171_ -*28797 _7172_ -*28798 _7173_ -*28799 _7174_ -*28800 _7175_ -*28801 _7176_ -*28802 _7177_ -*28803 _7178_ -*28804 _7179_ -*28805 _7180_ -*28806 _7181_ -*28807 _7182_ -*28808 _7183_ -*28809 _7184_ -*28810 _7185_ -*28811 _7186_ -*28812 _7187_ -*28813 _7188_ -*28814 _7189_ -*28815 _7190_ -*28816 _7191_ -*28817 _7192_ -*28818 _7193_ -*28819 _7194_ -*28820 _7195_ -*28821 _7196_ -*28822 _7197_ -*28823 _7198_ -*28824 _7199_ -*28825 _7200_ -*28826 _7201_ -*28827 _7202_ -*28828 _7203_ -*28829 _7204_ -*28830 _7205_ -*28831 _7206_ -*28832 _7207_ -*28833 _7208_ -*28834 _7209_ -*28835 _7210_ -*28836 _7211_ -*28837 _7213_ -*28838 _7214_ -*28839 _7215_ -*28840 clkbuf_0__1134_ -*28841 clkbuf_0_csclk -*28842 clkbuf_0_mgmt_gpio_in\[4\] -*28843 clkbuf_0_wb_clk_i -*28844 clkbuf_0_wbbd_sck -*28845 clkbuf_1_0_0_csclk -*28846 clkbuf_1_0_0_wb_clk_i -*28847 clkbuf_1_0_1_csclk -*28848 clkbuf_1_0_1_wb_clk_i -*28849 clkbuf_1_0__f__1134_ -*28850 clkbuf_1_0__f_wbbd_sck -*28851 clkbuf_1_1_0_csclk -*28852 clkbuf_1_1_0_wb_clk_i -*28853 clkbuf_1_1_1_csclk -*28854 clkbuf_1_1_1_wb_clk_i -*28855 clkbuf_1_1__f__1134_ -*28856 clkbuf_1_1__f_wbbd_sck -*28857 clkbuf_2_0_0_csclk -*28858 clkbuf_2_0_0_wb_clk_i -*28859 clkbuf_2_0__f_mgmt_gpio_in\[4\] -*28860 clkbuf_2_1_0_csclk -*28861 clkbuf_2_1_0_wb_clk_i -*28862 clkbuf_2_1__f_mgmt_gpio_in\[4\] -*28863 clkbuf_2_2_0_csclk -*28864 clkbuf_2_2_0_wb_clk_i -*28865 clkbuf_2_2__f_mgmt_gpio_in\[4\] -*28866 clkbuf_2_3_0_csclk -*28867 clkbuf_2_3_0_wb_clk_i -*28868 clkbuf_2_3__f_mgmt_gpio_in\[4\] -*28869 clkbuf_3_0_0_csclk -*28870 clkbuf_3_0_0_wb_clk_i -*28871 clkbuf_3_1_0_csclk -*28872 clkbuf_3_1_0_wb_clk_i -*28873 clkbuf_3_2_0_csclk -*28874 clkbuf_3_2_0_wb_clk_i -*28875 clkbuf_3_3_0_csclk -*28876 clkbuf_3_3_0_wb_clk_i -*28877 clkbuf_3_4_0_csclk -*28878 clkbuf_3_4_0_wb_clk_i -*28879 clkbuf_3_5_0_csclk -*28880 clkbuf_3_5_0_wb_clk_i -*28881 clkbuf_3_6_0_csclk -*28882 clkbuf_3_6_0_wb_clk_i -*28883 clkbuf_3_7_0_csclk -*28884 clkbuf_3_7_0_wb_clk_i -*28885 clkbuf_leaf_0_csclk -*28886 clkbuf_leaf_10_csclk -*28887 clkbuf_leaf_11_csclk -*28888 clkbuf_leaf_12_csclk -*28889 clkbuf_leaf_14_csclk -*28890 clkbuf_leaf_15_csclk -*28891 clkbuf_leaf_16_csclk -*28892 clkbuf_leaf_17_csclk -*28893 clkbuf_leaf_18_csclk -*28894 clkbuf_leaf_19_csclk -*28895 clkbuf_leaf_1_csclk -*28896 clkbuf_leaf_20_csclk -*28897 clkbuf_leaf_21_csclk -*28898 clkbuf_leaf_22_csclk -*28899 clkbuf_leaf_23_csclk -*28900 clkbuf_leaf_24_csclk -*28901 clkbuf_leaf_25_csclk -*28902 clkbuf_leaf_26_csclk -*28903 clkbuf_leaf_27_csclk -*28904 clkbuf_leaf_28_csclk -*28905 clkbuf_leaf_29_csclk -*28906 clkbuf_leaf_2_csclk -*28907 clkbuf_leaf_30_csclk -*28908 clkbuf_leaf_31_csclk -*28909 clkbuf_leaf_32_csclk -*28910 clkbuf_leaf_33_csclk -*28911 clkbuf_leaf_34_csclk -*28912 clkbuf_leaf_35_csclk -*28913 clkbuf_leaf_36_csclk -*28914 clkbuf_leaf_37_csclk -*28915 clkbuf_leaf_38_csclk -*28916 clkbuf_leaf_39_csclk -*28917 clkbuf_leaf_3_csclk -*28918 clkbuf_leaf_40_csclk -*28919 clkbuf_leaf_41_csclk -*28920 clkbuf_leaf_43_csclk -*28921 clkbuf_leaf_44_csclk -*28922 clkbuf_leaf_45_csclk -*28923 clkbuf_leaf_46_csclk -*28924 clkbuf_leaf_47_csclk -*28925 clkbuf_leaf_48_csclk -*28926 clkbuf_leaf_49_csclk -*28927 clkbuf_leaf_4_csclk -*28928 clkbuf_leaf_50_csclk -*28929 clkbuf_leaf_51_csclk -*28930 clkbuf_leaf_52_csclk -*28931 clkbuf_leaf_53_csclk -*28932 clkbuf_leaf_54_csclk -*28933 clkbuf_leaf_55_csclk -*28934 clkbuf_leaf_56_csclk -*28935 clkbuf_leaf_57_csclk -*28936 clkbuf_leaf_58_csclk -*28937 clkbuf_leaf_59_csclk -*28938 clkbuf_leaf_5_csclk -*28939 clkbuf_leaf_60_csclk -*28940 clkbuf_leaf_61_csclk -*28941 clkbuf_leaf_62_csclk -*28942 clkbuf_leaf_63_csclk -*28943 clkbuf_leaf_64_csclk -*28944 clkbuf_leaf_65_csclk -*28945 clkbuf_leaf_67_csclk -*28946 clkbuf_leaf_69_csclk -*28947 clkbuf_leaf_6_csclk -*28948 clkbuf_leaf_70_csclk -*28949 clkbuf_leaf_71_csclk -*28950 clkbuf_leaf_72_csclk -*28951 clkbuf_leaf_73_csclk -*28952 clkbuf_leaf_75_csclk -*28953 clkbuf_leaf_76_csclk -*28954 clkbuf_leaf_77_csclk -*28955 clkbuf_leaf_78_csclk -*28956 clkbuf_leaf_8_csclk -*28957 clkbuf_leaf_9_csclk -*28958 clkbuf_opt_1_0_csclk -*28959 clkbuf_opt_2_0_csclk -*28960 fanout366 -*28961 fanout424 -*28962 fanout425 -*28963 fanout426 -*28964 fanout427 -*28965 fanout428 -*28966 fanout429 -*28967 fanout432 -*28968 fanout434 -*28969 fanout435 -*28970 fanout436 -*28971 fanout437 -*28972 fanout438 -*28973 fanout439 -*28974 fanout440 -*28975 fanout441 -*28976 fanout442 -*28977 fanout443 -*28978 fanout444 -*28979 fanout445 -*28980 fanout446 -*28981 fanout447 -*28982 fanout448 -*28983 fanout449 -*28984 fanout450 -*28985 fanout451 -*28986 fanout452 -*28987 fanout453 -*28988 fanout454 -*28989 fanout455 -*28990 fanout456 -*28991 fanout457 -*28992 fanout458 -*28993 fanout459 -*28994 fanout460 -*28995 fanout461 -*28996 fanout462 -*28997 fanout463 -*28998 fanout464 -*28999 fanout465 -*29000 fanout466 -*29001 fanout467 -*29002 fanout468 -*29003 fanout469 -*29004 fanout470 -*29005 fanout471 -*29006 fanout472 -*29007 fanout473 -*29008 fanout474 -*29009 fanout475 -*29010 fanout477 -*29011 fanout481 -*29012 fanout482 -*29013 fanout483 -*29014 fanout484 -*29015 fanout485 -*29016 fanout486 -*29017 fanout487 -*29018 fanout488 -*29019 fanout489 -*29020 fanout490 -*29021 fanout491 -*29022 fanout492 -*29023 fanout493 -*29024 fanout494 -*29025 fanout495 -*29026 fanout496 -*29027 fanout497 -*29028 fanout498 -*29029 fanout499 -*29030 fanout500 -*29031 fanout501 -*29032 fanout502 -*29033 fanout503 -*29034 fanout504 -*29035 fanout505 -*29036 fanout506 -*29037 fanout507 -*29038 fanout508 -*29039 fanout509 -*29040 fanout510 -*29041 fanout511 -*29042 fanout512 -*29043 fanout513 -*29044 fanout514 -*29045 fanout515 -*29046 fanout516 -*29047 fanout517 -*29048 fanout518 -*29049 fanout519 -*29050 fanout520 -*29051 fanout521 -*29052 fanout522 -*29053 fanout523 -*29054 fanout524 -*29055 fanout525 -*29056 fanout526 -*29057 fanout527 -*29058 fanout528 -*29059 fanout529 -*29060 fanout530 -*29061 hold1 -*29062 hold10 -*29063 hold100 -*29064 hold1000 -*29065 hold1001 -*29066 hold1002 -*29067 hold1003 -*29068 hold1004 -*29069 hold1005 -*29070 hold1006 -*29071 hold1007 -*29072 hold1008 -*29073 hold1009 -*29074 hold101 -*29075 hold1010 -*29076 hold1011 -*29077 hold1012 -*29078 hold1013 -*29079 hold1014 -*29080 hold1015 -*29081 hold1016 -*29082 hold1017 -*29083 hold1018 -*29084 hold1019 -*29085 hold102 -*29086 hold1020 -*29087 hold1021 -*29088 hold1022 -*29089 hold1023 -*29090 hold1024 -*29091 hold1025 -*29092 hold1026 -*29093 hold1027 -*29094 hold1028 -*29095 hold1029 -*29096 hold103 -*29097 hold1030 -*29098 hold1031 -*29099 hold1032 -*29100 hold1033 -*29101 hold1034 -*29102 hold1035 -*29103 hold1036 -*29104 hold1037 -*29105 hold1038 -*29106 hold1039 -*29107 hold104 -*29108 hold1040 -*29109 hold1041 -*29110 hold1042 -*29111 hold1043 -*29112 hold1044 -*29113 hold1045 -*29114 hold1046 -*29115 hold1047 -*29116 hold1048 -*29117 hold1049 -*29118 hold105 -*29119 hold1050 -*29120 hold1051 -*29121 hold1052 -*29122 hold1053 -*29123 hold1054 -*29124 hold1055 -*29125 hold1056 -*29126 hold1057 -*29127 hold1058 -*29128 hold1059 -*29129 hold106 -*29130 hold1060 -*29131 hold1061 -*29132 hold1062 -*29133 hold1063 -*29134 hold1064 -*29135 hold1065 -*29136 hold1066 -*29137 hold1067 -*29138 hold1068 -*29139 hold1069 -*29140 hold107 -*29141 hold1070 -*29142 hold1071 -*29143 hold1072 -*29144 hold1073 -*29145 hold1074 -*29146 hold1075 -*29147 hold1076 -*29148 hold1077 -*29149 hold1078 -*29150 hold1079 -*29151 hold108 -*29152 hold1080 -*29153 hold1081 -*29154 hold1082 -*29155 hold1083 -*29156 hold1084 -*29157 hold1085 -*29158 hold1086 -*29159 hold1087 -*29160 hold1088 -*29161 hold1089 -*29162 hold109 -*29163 hold1090 -*29164 hold1091 -*29165 hold1092 -*29166 hold1093 -*29167 hold1094 -*29168 hold1095 -*29169 hold1096 -*29170 hold1097 -*29171 hold1098 -*29172 hold1099 -*29173 hold11 -*29174 hold110 -*29175 hold1100 -*29176 hold1101 -*29177 hold1102 -*29178 hold1103 -*29179 hold1104 -*29180 hold1105 -*29181 hold1106 -*29182 hold1107 -*29183 hold1108 -*29184 hold1109 -*29185 hold111 -*29186 hold1110 -*29187 hold1111 -*29188 hold1112 -*29189 hold1113 -*29190 hold1114 -*29191 hold1115 -*29192 hold1116 -*29193 hold1117 -*29194 hold1118 -*29195 hold1119 -*29196 hold112 -*29197 hold1120 -*29198 hold1121 -*29199 hold1122 -*29200 hold1123 -*29201 hold1124 -*29202 hold1125 -*29203 hold1126 -*29204 hold1127 -*29205 hold1128 -*29206 hold1129 -*29207 hold113 -*29208 hold1130 -*29209 hold1131 -*29210 hold1132 -*29211 hold1133 -*29212 hold1134 -*29213 hold1135 -*29214 hold1136 -*29215 hold1137 -*29216 hold1138 -*29217 hold1139 -*29218 hold114 -*29219 hold1140 -*29220 hold1141 -*29221 hold1142 -*29222 hold1143 -*29223 hold1144 -*29224 hold1145 -*29225 hold1146 -*29226 hold1147 -*29227 hold1148 -*29228 hold1149 -*29229 hold115 -*29230 hold1150 -*29231 hold1151 -*29232 hold1152 -*29233 hold1153 -*29234 hold1154 -*29235 hold1155 -*29236 hold1156 -*29237 hold1157 -*29238 hold1158 -*29239 hold1159 -*29240 hold116 -*29241 hold1160 -*29242 hold1161 -*29243 hold1162 -*29244 hold1163 -*29245 hold1164 -*29246 hold1165 -*29247 hold1166 -*29248 hold1167 -*29249 hold1168 -*29250 hold1169 -*29251 hold117 -*29252 hold1170 -*29253 hold1171 -*29254 hold1172 -*29255 hold1173 -*29256 hold1174 -*29257 hold1175 -*29258 hold1176 -*29259 hold1177 -*29260 hold1178 -*29261 hold1179 -*29262 hold118 -*29263 hold1180 -*29264 hold1181 -*29265 hold1182 -*29266 hold1183 -*29267 hold1184 -*29268 hold1185 -*29269 hold1186 -*29270 hold1187 -*29271 hold1188 -*29272 hold1189 -*29273 hold119 -*29274 hold1190 -*29275 hold1191 -*29276 hold1192 -*29277 hold1193 -*29278 hold1194 -*29279 hold1195 -*29280 hold1196 -*29281 hold1197 -*29282 hold1198 -*29283 hold1199 -*29284 hold12 -*29285 hold120 -*29286 hold1200 -*29287 hold1201 -*29288 hold1202 -*29289 hold1203 -*29290 hold1204 -*29291 hold1205 -*29292 hold1206 -*29293 hold1207 -*29294 hold1208 -*29295 hold1209 -*29296 hold121 -*29297 hold1210 -*29298 hold1211 -*29299 hold1212 -*29300 hold1213 -*29301 hold1214 -*29302 hold1215 -*29303 hold1216 -*29304 hold1217 -*29305 hold1218 -*29306 hold1219 -*29307 hold122 -*29308 hold1220 -*29309 hold1221 -*29310 hold1222 -*29311 hold1223 -*29312 hold1224 -*29313 hold1225 -*29314 hold1226 -*29315 hold1227 -*29316 hold1228 -*29317 hold1229 -*29318 hold123 -*29319 hold1230 -*29320 hold1231 -*29321 hold1232 -*29322 hold1233 -*29323 hold1234 -*29324 hold1235 -*29325 hold1236 -*29326 hold1237 -*29327 hold1238 -*29328 hold1239 -*29329 hold124 -*29330 hold1240 -*29331 hold1241 -*29332 hold1242 -*29333 hold1243 -*29334 hold1244 -*29335 hold1245 -*29336 hold1246 -*29337 hold1247 -*29338 hold1248 -*29339 hold1249 -*29340 hold125 -*29341 hold1250 -*29342 hold1251 -*29343 hold1252 -*29344 hold1253 -*29345 hold1254 -*29346 hold1255 -*29347 hold1256 -*29348 hold1257 -*29349 hold1258 -*29350 hold1259 -*29351 hold126 -*29352 hold1260 -*29353 hold1261 -*29354 hold1262 -*29355 hold1263 -*29356 hold1264 -*29357 hold1265 -*29358 hold1266 -*29359 hold1267 -*29360 hold1268 -*29361 hold1269 -*29362 hold127 -*29363 hold1270 -*29364 hold1271 -*29365 hold1272 -*29366 hold1273 -*29367 hold1274 -*29368 hold1275 -*29369 hold1276 -*29370 hold1277 -*29371 hold1278 -*29372 hold1279 -*29373 hold128 -*29374 hold1280 -*29375 hold1281 -*29376 hold1282 -*29377 hold1283 -*29378 hold1284 -*29379 hold1285 -*29380 hold1286 -*29381 hold1287 -*29382 hold1288 -*29383 hold1289 -*29384 hold129 -*29385 hold1290 -*29386 hold1291 -*29387 hold1292 -*29388 hold1293 -*29389 hold1294 -*29390 hold1295 -*29391 hold1296 -*29392 hold1297 -*29393 hold1298 -*29394 hold1299 -*29395 hold13 -*29396 hold130 -*29397 hold1300 -*29398 hold1301 -*29399 hold1302 -*29400 hold1303 -*29401 hold1304 -*29402 hold1305 -*29403 hold1306 -*29404 hold1307 -*29405 hold1308 -*29406 hold1309 -*29407 hold131 -*29408 hold1310 -*29409 hold1311 -*29410 hold1312 -*29411 hold1313 -*29412 hold1314 -*29413 hold1315 -*29414 hold1316 -*29415 hold1317 -*29416 hold1318 -*29417 hold1319 -*29418 hold132 -*29419 hold1320 -*29420 hold1321 -*29421 hold1322 -*29422 hold1323 -*29423 hold1324 -*29424 hold1325 -*29425 hold1326 -*29426 hold1327 -*29427 hold1328 -*29428 hold1329 -*29429 hold133 -*29430 hold1330 -*29431 hold1331 -*29432 hold1332 -*29433 hold1333 -*29434 hold1334 -*29435 hold1335 -*29436 hold1336 -*29437 hold1337 -*29438 hold1338 -*29439 hold1339 -*29440 hold134 -*29441 hold1340 -*29442 hold1341 -*29443 hold1342 -*29444 hold1343 -*29445 hold1344 -*29446 hold1345 -*29447 hold1346 -*29448 hold1347 -*29449 hold1348 -*29450 hold1349 -*29451 hold135 -*29452 hold1350 -*29453 hold1351 -*29454 hold1352 -*29455 hold1353 -*29456 hold1354 -*29457 hold1355 -*29458 hold1356 -*29459 hold1357 -*29460 hold1358 -*29461 hold1359 -*29462 hold136 -*29463 hold1360 -*29464 hold1361 -*29465 hold1362 -*29466 hold1363 -*29467 hold1364 -*29468 hold1365 -*29469 hold1366 -*29470 hold1367 -*29471 hold1368 -*29472 hold1369 -*29473 hold137 -*29474 hold1370 -*29475 hold1371 -*29476 hold1372 -*29477 hold1373 -*29478 hold1374 -*29479 hold1375 -*29480 hold1376 -*29481 hold1377 -*29482 hold1378 -*29483 hold1379 -*29484 hold138 -*29485 hold1380 -*29486 hold1381 -*29487 hold1382 -*29488 hold1383 -*29489 hold1384 -*29490 hold1385 -*29491 hold1386 -*29492 hold1387 -*29493 hold1388 -*29494 hold1389 -*29495 hold139 -*29496 hold1390 -*29497 hold1391 -*29498 hold1392 -*29499 hold1393 -*29500 hold1394 -*29501 hold1395 -*29502 hold1396 -*29503 hold1397 -*29504 hold1398 -*29505 hold1399 -*29506 hold14 -*29507 hold140 -*29508 hold1400 -*29509 hold1401 -*29510 hold1402 -*29511 hold1403 -*29512 hold1404 -*29513 hold1405 -*29514 hold1406 -*29515 hold1407 -*29516 hold1408 -*29517 hold1409 -*29518 hold141 -*29519 hold1410 -*29520 hold1411 -*29521 hold1412 -*29522 hold1413 -*29523 hold1414 -*29524 hold1415 -*29525 hold1416 -*29526 hold1417 -*29527 hold1418 -*29528 hold1419 -*29529 hold142 -*29530 hold1420 -*29531 hold1421 -*29532 hold1422 -*29533 hold1423 -*29534 hold1424 -*29535 hold1425 -*29536 hold1426 -*29537 hold1427 -*29538 hold1428 -*29539 hold1429 -*29540 hold143 -*29541 hold1430 -*29542 hold1431 -*29543 hold1432 -*29544 hold1433 -*29545 hold1434 -*29546 hold1435 -*29547 hold1436 -*29548 hold1437 -*29549 hold1438 -*29550 hold1439 -*29551 hold144 -*29552 hold1440 -*29553 hold1441 -*29554 hold1442 -*29555 hold1443 -*29556 hold1444 -*29557 hold1445 -*29558 hold1446 -*29559 hold1447 -*29560 hold1448 -*29561 hold1449 -*29562 hold145 -*29563 hold1450 -*29564 hold1451 -*29565 hold1452 -*29566 hold1453 -*29567 hold1454 -*29568 hold1455 -*29569 hold1456 -*29570 hold1457 -*29571 hold1458 -*29572 hold1459 -*29573 hold146 -*29574 hold1460 -*29575 hold1461 -*29576 hold1462 -*29577 hold1463 -*29578 hold1464 -*29579 hold1465 -*29580 hold1466 -*29581 hold1467 -*29582 hold1468 -*29583 hold1469 -*29584 hold147 -*29585 hold1470 -*29586 hold1471 -*29587 hold1472 -*29588 hold1473 -*29589 hold1474 -*29590 hold1475 -*29591 hold1476 -*29592 hold1477 -*29593 hold1478 -*29594 hold1479 -*29595 hold148 -*29596 hold1480 -*29597 hold1481 -*29598 hold1482 -*29599 hold1483 -*29600 hold1484 -*29601 hold1485 -*29602 hold1486 -*29603 hold1487 -*29604 hold1488 -*29605 hold1489 -*29606 hold149 -*29607 hold1490 -*29608 hold1491 -*29609 hold1492 -*29610 hold1493 -*29611 hold1494 -*29612 hold1495 -*29613 hold1496 -*29614 hold1497 -*29615 hold1498 -*29616 hold1499 -*29617 hold15 -*29618 hold150 -*29619 hold1500 -*29620 hold1501 -*29621 hold1502 -*29622 hold1503 -*29623 hold1504 -*29624 hold1505 -*29625 hold1506 -*29626 hold1507 -*29627 hold1508 -*29628 hold1509 -*29629 hold151 -*29630 hold1510 -*29631 hold1511 -*29632 hold1512 -*29633 hold1513 -*29634 hold1514 -*29635 hold1515 -*29636 hold1516 -*29637 hold1517 -*29638 hold1518 -*29639 hold1519 -*29640 hold152 -*29641 hold1520 -*29642 hold1521 -*29643 hold1522 -*29644 hold1523 -*29645 hold1524 -*29646 hold1525 -*29647 hold1526 -*29648 hold1527 -*29649 hold1528 -*29650 hold1529 -*29651 hold153 -*29652 hold154 -*29653 hold155 -*29654 hold156 -*29655 hold157 -*29656 hold158 -*29657 hold159 -*29658 hold16 -*29659 hold160 -*29660 hold161 -*29661 hold162 -*29662 hold163 -*29663 hold164 -*29664 hold165 -*29665 hold166 -*29666 hold167 -*29667 hold168 -*29668 hold169 -*29669 hold17 -*29670 hold170 -*29671 hold171 -*29672 hold172 -*29673 hold173 -*29674 hold174 -*29675 hold175 -*29676 hold176 -*29677 hold177 -*29678 hold178 -*29679 hold179 -*29680 hold18 -*29681 hold180 -*29682 hold181 -*29683 hold182 -*29684 hold183 -*29685 hold184 -*29686 hold185 -*29687 hold186 -*29688 hold187 -*29689 hold188 -*29690 hold189 -*29691 hold19 -*29692 hold190 -*29693 hold191 -*29694 hold192 -*29695 hold193 -*29696 hold194 -*29697 hold195 -*29698 hold196 -*29699 hold197 -*29700 hold198 -*29701 hold199 -*29702 hold2 -*29703 hold20 -*29704 hold200 -*29705 hold201 -*29706 hold202 -*29707 hold203 -*29708 hold204 -*29709 hold205 -*29710 hold206 -*29711 hold207 -*29712 hold208 -*29713 hold209 -*29714 hold21 -*29715 hold210 -*29716 hold211 -*29717 hold212 -*29718 hold213 -*29719 hold214 -*29720 hold215 -*29721 hold216 -*29722 hold217 -*29723 hold218 -*29724 hold219 -*29725 hold22 -*29726 hold220 -*29727 hold221 -*29728 hold222 -*29729 hold223 -*29730 hold224 -*29731 hold225 -*29732 hold226 -*29733 hold227 -*29734 hold228 -*29735 hold229 -*29736 hold23 -*29737 hold230 -*29738 hold231 -*29739 hold232 -*29740 hold233 -*29741 hold234 -*29742 hold235 -*29743 hold236 -*29744 hold237 -*29745 hold238 -*29746 hold239 -*29747 hold24 -*29748 hold240 -*29749 hold241 -*29750 hold242 -*29751 hold243 -*29752 hold244 -*29753 hold245 -*29754 hold246 -*29755 hold247 -*29756 hold248 -*29757 hold249 -*29758 hold25 -*29759 hold250 -*29760 hold251 -*29761 hold252 -*29762 hold253 -*29763 hold254 -*29764 hold255 -*29765 hold256 -*29766 hold257 -*29767 hold258 -*29768 hold259 -*29769 hold26 -*29770 hold260 -*29771 hold261 -*29772 hold262 -*29773 hold263 -*29774 hold264 -*29775 hold265 -*29776 hold266 -*29777 hold267 -*29778 hold268 -*29779 hold269 -*29780 hold27 -*29781 hold270 -*29782 hold271 -*29783 hold272 -*29784 hold273 -*29785 hold274 -*29786 hold275 -*29787 hold276 -*29788 hold277 -*29789 hold278 -*29790 hold279 -*29791 hold28 -*29792 hold280 -*29793 hold281 -*29794 hold282 -*29795 hold283 -*29796 hold284 -*29797 hold285 -*29798 hold286 -*29799 hold287 -*29800 hold288 -*29801 hold289 -*29802 hold29 -*29803 hold290 -*29804 hold291 -*29805 hold292 -*29806 hold293 -*29807 hold294 -*29808 hold295 -*29809 hold296 -*29810 hold297 -*29811 hold298 -*29812 hold299 -*29813 hold3 -*29814 hold30 -*29815 hold300 -*29816 hold301 -*29817 hold302 -*29818 hold303 -*29819 hold304 -*29820 hold305 -*29821 hold306 -*29822 hold307 -*29823 hold308 -*29824 hold309 -*29825 hold31 -*29826 hold310 -*29827 hold311 -*29828 hold312 -*29829 hold313 -*29830 hold314 -*29831 hold315 -*29832 hold316 -*29833 hold317 -*29834 hold318 -*29835 hold319 -*29836 hold32 -*29837 hold320 -*29838 hold321 -*29839 hold322 -*29840 hold323 -*29841 hold324 -*29842 hold325 -*29843 hold326 -*29844 hold327 -*29845 hold328 -*29846 hold329 -*29847 hold33 -*29848 hold330 -*29849 hold331 -*29850 hold332 -*29851 hold333 -*29852 hold334 -*29853 hold335 -*29854 hold336 -*29855 hold337 -*29856 hold338 -*29857 hold339 -*29858 hold34 -*29859 hold340 -*29860 hold341 -*29861 hold342 -*29862 hold343 -*29863 hold344 -*29864 hold345 -*29865 hold346 -*29866 hold347 -*29867 hold348 -*29868 hold349 -*29869 hold35 -*29870 hold350 -*29871 hold351 -*29872 hold352 -*29873 hold353 -*29874 hold354 -*29875 hold355 -*29876 hold356 -*29877 hold357 -*29878 hold358 -*29879 hold359 -*29880 hold36 -*29881 hold360 -*29882 hold361 -*29883 hold362 -*29884 hold363 -*29885 hold364 -*29886 hold365 -*29887 hold366 -*29888 hold367 -*29889 hold368 -*29890 hold369 -*29891 hold37 -*29892 hold370 -*29893 hold371 -*29894 hold372 -*29895 hold373 -*29896 hold374 -*29897 hold375 -*29898 hold376 -*29899 hold377 -*29900 hold378 -*29901 hold379 -*29902 hold38 -*29903 hold380 -*29904 hold381 -*29905 hold382 -*29906 hold383 -*29907 hold384 -*29908 hold385 -*29909 hold386 -*29910 hold387 -*29911 hold388 -*29912 hold389 -*29913 hold39 -*29914 hold390 -*29915 hold391 -*29916 hold392 -*29917 hold393 -*29918 hold394 -*29919 hold395 -*29920 hold396 -*29921 hold397 -*29922 hold398 -*29923 hold399 -*29924 hold4 -*29925 hold40 -*29926 hold400 -*29927 hold401 -*29928 hold402 -*29929 hold403 -*29930 hold404 -*29931 hold405 -*29932 hold406 -*29933 hold407 -*29934 hold408 -*29935 hold409 -*29936 hold41 -*29937 hold410 -*29938 hold411 -*29939 hold412 -*29940 hold413 -*29941 hold414 -*29942 hold415 -*29943 hold416 -*29944 hold417 -*29945 hold418 -*29946 hold419 -*29947 hold42 -*29948 hold420 -*29949 hold421 -*29950 hold422 -*29951 hold423 -*29952 hold424 -*29953 hold425 -*29954 hold426 -*29955 hold427 -*29956 hold428 -*29957 hold429 -*29958 hold43 -*29959 hold430 -*29960 hold431 -*29961 hold432 -*29962 hold433 -*29963 hold434 -*29964 hold435 -*29965 hold436 -*29966 hold437 -*29967 hold438 -*29968 hold439 -*29969 hold44 -*29970 hold440 -*29971 hold441 -*29972 hold442 -*29973 hold443 -*29974 hold444 -*29975 hold445 -*29976 hold446 -*29977 hold447 -*29978 hold448 -*29979 hold449 -*29980 hold45 -*29981 hold450 -*29982 hold451 -*29983 hold452 -*29984 hold453 -*29985 hold454 -*29986 hold455 -*29987 hold456 -*29988 hold457 -*29989 hold458 -*29990 hold459 -*29991 hold46 -*29992 hold460 -*29993 hold461 -*29994 hold462 -*29995 hold463 -*29996 hold464 -*29997 hold465 -*29998 hold466 -*29999 hold467 -*30000 hold468 -*30001 hold469 -*30002 hold47 -*30003 hold470 -*30004 hold471 -*30005 hold472 -*30006 hold473 -*30007 hold474 -*30008 hold475 -*30009 hold476 -*30010 hold477 -*30011 hold478 -*30012 hold479 -*30013 hold48 -*30014 hold480 -*30015 hold481 -*30016 hold482 -*30017 hold483 -*30018 hold484 -*30019 hold485 -*30020 hold486 -*30021 hold487 -*30022 hold488 -*30023 hold489 -*30024 hold49 -*30025 hold490 -*30026 hold491 -*30027 hold492 -*30028 hold493 -*30029 hold494 -*30030 hold495 -*30031 hold496 -*30032 hold497 -*30033 hold498 -*30034 hold499 -*30035 hold5 -*30036 hold50 -*30037 hold500 -*30038 hold501 -*30039 hold502 -*30040 hold503 -*30041 hold504 -*30042 hold505 -*30043 hold506 -*30044 hold507 -*30045 hold508 -*30046 hold509 -*30047 hold51 -*30048 hold510 -*30049 hold511 -*30050 hold512 -*30051 hold513 -*30052 hold514 -*30053 hold515 -*30054 hold516 -*30055 hold517 -*30056 hold518 -*30057 hold519 -*30058 hold52 -*30059 hold520 -*30060 hold521 -*30061 hold522 -*30062 hold523 -*30063 hold524 -*30064 hold525 -*30065 hold526 -*30066 hold527 -*30067 hold528 -*30068 hold529 -*30069 hold53 -*30070 hold530 -*30071 hold531 -*30072 hold532 -*30073 hold533 -*30074 hold534 -*30075 hold535 -*30076 hold536 -*30077 hold537 -*30078 hold538 -*30079 hold539 -*30080 hold54 -*30081 hold540 -*30082 hold541 -*30083 hold542 -*30084 hold543 -*30085 hold544 -*30086 hold545 -*30087 hold546 -*30088 hold547 -*30089 hold548 -*30090 hold549 -*30091 hold55 -*30092 hold550 -*30093 hold551 -*30094 hold552 -*30095 hold553 -*30096 hold554 -*30097 hold555 -*30098 hold556 -*30099 hold557 -*30100 hold558 -*30101 hold559 -*30102 hold56 -*30103 hold560 -*30104 hold561 -*30105 hold562 -*30106 hold563 -*30107 hold564 -*30108 hold565 -*30109 hold566 -*30110 hold567 -*30111 hold568 -*30112 hold569 -*30113 hold57 -*30114 hold570 -*30115 hold571 -*30116 hold572 -*30117 hold573 -*30118 hold574 -*30119 hold575 -*30120 hold576 -*30121 hold577 -*30122 hold578 -*30123 hold579 -*30124 hold58 -*30125 hold580 -*30126 hold581 -*30127 hold582 -*30128 hold583 -*30129 hold584 -*30130 hold585 -*30131 hold586 -*30132 hold587 -*30133 hold588 -*30134 hold589 -*30135 hold59 -*30136 hold590 -*30137 hold591 -*30138 hold592 -*30139 hold593 -*30140 hold594 -*30141 hold595 -*30142 hold596 -*30143 hold597 -*30144 hold598 -*30145 hold599 -*30146 hold6 -*30147 hold60 -*30148 hold600 -*30149 hold601 -*30150 hold602 -*30151 hold603 -*30152 hold604 -*30153 hold605 -*30154 hold606 -*30155 hold607 -*30156 hold608 -*30157 hold609 -*30158 hold61 -*30159 hold610 -*30160 hold611 -*30161 hold612 -*30162 hold613 -*30163 hold614 -*30164 hold615 -*30165 hold616 -*30166 hold617 -*30167 hold618 -*30168 hold619 -*30169 hold62 -*30170 hold620 -*30171 hold621 -*30172 hold622 -*30173 hold623 -*30174 hold624 -*30175 hold625 -*30176 hold626 -*30177 hold627 -*30178 hold628 -*30179 hold629 -*30180 hold63 -*30181 hold630 -*30182 hold631 -*30183 hold632 -*30184 hold633 -*30185 hold634 -*30186 hold635 -*30187 hold636 -*30188 hold637 -*30189 hold638 -*30190 hold639 -*30191 hold64 -*30192 hold640 -*30193 hold641 -*30194 hold642 -*30195 hold643 -*30196 hold644 -*30197 hold645 -*30198 hold646 -*30199 hold647 -*30200 hold648 -*30201 hold649 -*30202 hold65 -*30203 hold650 -*30204 hold651 -*30205 hold652 -*30206 hold653 -*30207 hold654 -*30208 hold655 -*30209 hold656 -*30210 hold657 -*30211 hold658 -*30212 hold659 -*30213 hold66 -*30214 hold660 -*30215 hold661 -*30216 hold662 -*30217 hold663 -*30218 hold664 -*30219 hold665 -*30220 hold666 -*30221 hold667 -*30222 hold668 -*30223 hold669 -*30224 hold67 -*30225 hold670 -*30226 hold671 -*30227 hold672 -*30228 hold673 -*30229 hold674 -*30230 hold675 -*30231 hold676 -*30232 hold677 -*30233 hold678 -*30234 hold679 -*30235 hold68 -*30236 hold680 -*30237 hold681 -*30238 hold682 -*30239 hold683 -*30240 hold684 -*30241 hold685 -*30242 hold686 -*30243 hold687 -*30244 hold688 -*30245 hold689 -*30246 hold69 -*30247 hold690 -*30248 hold691 -*30249 hold692 -*30250 hold693 -*30251 hold694 -*30252 hold695 -*30253 hold696 -*30254 hold697 -*30255 hold698 -*30256 hold699 -*30257 hold7 -*30258 hold70 -*30259 hold700 -*30260 hold701 -*30261 hold702 -*30262 hold703 -*30263 hold704 -*30264 hold705 -*30265 hold706 -*30266 hold707 -*30267 hold708 -*30268 hold709 -*30269 hold71 -*30270 hold710 -*30271 hold711 -*30272 hold712 -*30273 hold713 -*30274 hold714 -*30275 hold715 -*30276 hold716 -*30277 hold717 -*30278 hold718 -*30279 hold719 -*30280 hold72 -*30281 hold720 -*30282 hold721 -*30283 hold722 -*30284 hold723 -*30285 hold724 -*30286 hold725 -*30287 hold726 -*30288 hold727 -*30289 hold728 -*30290 hold729 -*30291 hold73 -*30292 hold730 -*30293 hold731 -*30294 hold732 -*30295 hold733 -*30296 hold734 -*30297 hold735 -*30298 hold736 -*30299 hold737 -*30300 hold738 -*30301 hold739 -*30302 hold74 -*30303 hold740 -*30304 hold741 -*30305 hold742 -*30306 hold743 -*30307 hold744 -*30308 hold745 -*30309 hold746 -*30310 hold747 -*30311 hold748 -*30312 hold749 -*30313 hold75 -*30314 hold750 -*30315 hold751 -*30316 hold752 -*30317 hold753 -*30318 hold754 -*30319 hold755 -*30320 hold756 -*30321 hold757 -*30322 hold758 -*30323 hold759 -*30324 hold76 -*30325 hold760 -*30326 hold761 -*30327 hold762 -*30328 hold763 -*30329 hold764 -*30330 hold765 -*30331 hold766 -*30332 hold767 -*30333 hold768 -*30334 hold769 -*30335 hold77 -*30336 hold770 -*30337 hold771 -*30338 hold772 -*30339 hold773 -*30340 hold774 -*30341 hold775 -*30342 hold776 -*30343 hold777 -*30344 hold778 -*30345 hold779 -*30346 hold78 -*30347 hold780 -*30348 hold781 -*30349 hold782 -*30350 hold783 -*30351 hold784 -*30352 hold785 -*30353 hold786 -*30354 hold787 -*30355 hold788 -*30356 hold789 -*30357 hold79 -*30358 hold790 -*30359 hold791 -*30360 hold792 -*30361 hold793 -*30362 hold794 -*30363 hold795 -*30364 hold796 -*30365 hold797 -*30366 hold798 -*30367 hold799 -*30368 hold8 -*30369 hold80 -*30370 hold800 -*30371 hold801 -*30372 hold802 -*30373 hold803 -*30374 hold804 -*30375 hold805 -*30376 hold806 -*30377 hold807 -*30378 hold808 -*30379 hold809 -*30380 hold81 -*30381 hold810 -*30382 hold811 -*30383 hold812 -*30384 hold813 -*30385 hold814 -*30386 hold815 -*30387 hold816 -*30388 hold817 -*30389 hold818 -*30390 hold819 -*30391 hold82 -*30392 hold820 -*30393 hold821 -*30394 hold822 -*30395 hold823 -*30396 hold824 -*30397 hold825 -*30398 hold826 -*30399 hold827 -*30400 hold828 -*30401 hold829 -*30402 hold83 -*30403 hold830 -*30404 hold831 -*30405 hold832 -*30406 hold833 -*30407 hold834 -*30408 hold835 -*30409 hold836 -*30410 hold837 -*30411 hold838 -*30412 hold839 -*30413 hold84 -*30414 hold840 -*30415 hold841 -*30416 hold842 -*30417 hold843 -*30418 hold844 -*30419 hold845 -*30420 hold846 -*30421 hold847 -*30422 hold848 -*30423 hold849 -*30424 hold85 -*30425 hold850 -*30426 hold851 -*30427 hold852 -*30428 hold853 -*30429 hold854 -*30430 hold855 -*30431 hold856 -*30432 hold857 -*30433 hold858 -*30434 hold859 -*30435 hold86 -*30436 hold860 -*30437 hold861 -*30438 hold862 -*30439 hold863 -*30440 hold864 -*30441 hold865 -*30442 hold866 -*30443 hold867 -*30444 hold868 -*30445 hold869 -*30446 hold87 -*30447 hold870 -*30448 hold871 -*30449 hold872 -*30450 hold873 -*30451 hold874 -*30452 hold875 -*30453 hold876 -*30454 hold877 -*30455 hold878 -*30456 hold879 -*30457 hold88 -*30458 hold880 -*30459 hold881 -*30460 hold882 -*30461 hold883 -*30462 hold884 -*30463 hold885 -*30464 hold886 -*30465 hold887 -*30466 hold888 -*30467 hold889 -*30468 hold89 -*30469 hold890 -*30470 hold891 -*30471 hold892 -*30472 hold893 -*30473 hold894 -*30474 hold895 -*30475 hold896 -*30476 hold897 -*30477 hold898 -*30478 hold899 -*30479 hold9 -*30480 hold90 -*30481 hold900 -*30482 hold901 -*30483 hold902 -*30484 hold903 -*30485 hold904 -*30486 hold905 -*30487 hold906 -*30488 hold907 -*30489 hold908 -*30490 hold909 -*30491 hold91 -*30492 hold910 -*30493 hold911 -*30494 hold912 -*30495 hold913 -*30496 hold914 -*30497 hold915 -*30498 hold916 -*30499 hold917 -*30500 hold918 -*30501 hold919 -*30502 hold92 -*30503 hold920 -*30504 hold921 -*30505 hold922 -*30506 hold923 -*30507 hold924 -*30508 hold925 -*30509 hold926 -*30510 hold927 -*30511 hold928 -*30512 hold929 -*30513 hold93 -*30514 hold930 -*30515 hold931 -*30516 hold932 -*30517 hold933 -*30518 hold934 -*30519 hold935 -*30520 hold936 -*30521 hold937 -*30522 hold938 -*30523 hold939 -*30524 hold94 -*30525 hold940 -*30526 hold941 -*30527 hold942 -*30528 hold943 -*30529 hold944 -*30530 hold945 -*30531 hold946 -*30532 hold947 -*30533 hold948 -*30534 hold949 -*30535 hold95 -*30536 hold950 -*30537 hold951 -*30538 hold952 -*30539 hold953 -*30540 hold954 -*30541 hold955 -*30542 hold956 -*30543 hold957 -*30544 hold958 -*30545 hold959 -*30546 hold96 -*30547 hold960 -*30548 hold961 -*30549 hold962 -*30550 hold963 -*30551 hold964 -*30552 hold965 -*30553 hold966 -*30554 hold967 -*30555 hold968 -*30556 hold969 -*30557 hold97 -*30558 hold970 -*30559 hold971 -*30560 hold972 -*30561 hold973 -*30562 hold974 -*30563 hold975 -*30564 hold976 -*30565 hold977 -*30566 hold978 -*30567 hold979 -*30568 hold98 -*30569 hold980 -*30570 hold981 -*30571 hold982 -*30572 hold983 -*30573 hold984 -*30574 hold985 -*30575 hold986 -*30576 hold987 -*30577 hold988 -*30578 hold989 -*30579 hold99 -*30580 hold990 -*30581 hold991 -*30582 hold992 -*30583 hold993 -*30584 hold994 -*30585 hold995 -*30586 hold996 -*30587 hold997 -*30588 hold998 -*30589 hold999 -*30590 input1 -*30591 input10 -*30592 input100 -*30593 input101 -*30594 input102 -*30595 input103 -*30596 input104 -*30597 input105 -*30598 input106 -*30599 input107 -*30600 input108 -*30601 input109 -*30602 input11 -*30603 input110 -*30604 input111 -*30605 input112 -*30606 input113 -*30607 input114 -*30608 input115 -*30609 input116 -*30610 input117 -*30611 input118 -*30612 input119 -*30613 input12 -*30614 input120 -*30615 input121 -*30616 input122 -*30617 input123 -*30618 input124 -*30619 input125 -*30620 input126 -*30621 input127 -*30622 input128 -*30623 input129 -*30624 input13 -*30625 input130 -*30626 input131 -*30627 input132 -*30628 input133 -*30629 input134 -*30630 input135 -*30631 input136 -*30632 input137 -*30633 input138 -*30634 input139 -*30635 input14 -*30636 input140 -*30637 input141 -*30638 input142 -*30639 input143 -*30640 input144 -*30641 input145 -*30642 input146 -*30643 input147 -*30644 input148 -*30645 input149 -*30646 input15 -*30647 input150 -*30648 input151 -*30649 input152 -*30650 input153 -*30651 input154 -*30652 input155 -*30653 input156 -*30654 input157 -*30655 input158 -*30656 input159 -*30657 input16 -*30658 input160 -*30659 input161 -*30660 input162 -*30661 input163 -*30662 input164 -*30663 input165 -*30664 input166 -*30665 input167 -*30666 input168 -*30667 input169 -*30668 input17 -*30669 input170 -*30670 input18 -*30671 input19 -*30672 input2 -*30673 input20 -*30674 input21 -*30675 input22 -*30676 input23 -*30677 input24 -*30678 input25 -*30679 input26 -*30680 input27 -*30681 input28 -*30682 input29 -*30683 input3 -*30684 input30 -*30685 input31 -*30686 input32 -*30687 input33 -*30688 input34 -*30689 input35 -*30690 input36 -*30691 input37 -*30692 input38 -*30693 input39 -*30694 input4 -*30695 input40 -*30696 input41 -*30697 input42 -*30698 input43 -*30699 input44 -*30700 input45 -*30701 input46 -*30702 input47 -*30703 input48 -*30704 input49 -*30705 input5 -*30706 input50 -*30707 input51 -*30708 input52 -*30709 input53 -*30710 input54 -*30711 input55 -*30712 input56 -*30713 input57 -*30714 input58 -*30715 input59 -*30716 input6 -*30717 input60 -*30718 input61 -*30719 input62 -*30720 input63 -*30721 input64 -*30722 input65 -*30723 input66 -*30724 input67 -*30725 input68 -*30726 input69 -*30727 input7 -*30728 input70 -*30729 input71 -*30730 input72 -*30731 input73 -*30732 input74 -*30733 input75 -*30734 input76 -*30735 input77 -*30736 input78 -*30737 input79 -*30738 input8 -*30739 input80 -*30740 input81 -*30741 input82 -*30742 input83 -*30743 input84 -*30744 input85 -*30745 input86 -*30746 input87 -*30747 input88 -*30748 input89 -*30749 input9 -*30750 input90 -*30751 input91 -*30752 input92 -*30753 input93 -*30754 input94 -*30755 input95 -*30756 input96 -*30757 input97 -*30758 input98 -*30759 input99 -*30760 max_cap350 -*30761 max_cap351 -*30762 max_cap352 -*30763 max_cap353 -*30764 max_cap354 -*30765 max_cap355 -*30766 max_cap356 -*30767 max_cap358 -*30768 max_cap361 -*30769 max_cap363 -*30770 max_cap364 -*30771 max_cap367 -*30772 max_cap368 -*30773 max_cap369 -*30774 max_cap370 -*30775 max_cap371 -*30776 max_cap372 -*30777 max_cap373 -*30778 max_cap374 -*30779 max_cap375 -*30780 max_cap376 -*30781 max_cap377 -*30782 max_cap378 -*30783 max_cap379 -*30784 max_cap381 -*30785 max_cap382 -*30786 max_cap384 -*30787 max_cap385 -*30788 max_cap386 -*30789 max_cap387 -*30790 max_cap388 -*30791 max_cap389 -*30792 max_cap391 -*30793 max_cap392 -*30794 max_cap393 -*30795 max_cap395 -*30796 max_cap396 -*30797 max_cap397 -*30798 max_cap398 -*30799 max_cap399 -*30800 max_cap400 -*30801 max_cap401 -*30802 max_cap402 -*30803 max_cap403 -*30804 max_cap404 -*30805 max_cap405 -*30806 max_cap406 -*30807 max_cap407 -*30808 max_cap408 -*30809 max_cap409 -*30810 max_cap410 -*30811 max_cap411 -*30812 max_cap413 -*30813 max_cap414 -*30814 max_cap415 -*30815 max_cap416 -*30816 max_cap417 -*30817 max_cap418 -*30818 max_cap419 -*30819 max_cap420 -*30820 max_cap421 -*30821 max_cap422 -*30822 max_cap423 -*30823 max_cap430 -*30824 max_cap433 -*30825 max_cap478 -*30826 max_cap479 -*30827 max_cap480 -*30828 net499_2 -*30829 output171 -*30830 output172 -*30831 output173 -*30832 output174 -*30833 output175 -*30834 output176 -*30835 output177 -*30836 output178 -*30837 output179 -*30838 output180 -*30839 output181 -*30840 output182 -*30841 output183 -*30842 output184 -*30843 output185 -*30844 output186 -*30845 output187 -*30846 output188 -*30847 output189 -*30848 output190 -*30849 output191 -*30850 output192 -*30851 output193 -*30852 output194 -*30853 output195 -*30854 output196 -*30855 output197 -*30856 output198 -*30857 output199 -*30858 output200 -*30859 output201 -*30860 output202 -*30861 output203 -*30862 output204 -*30863 output205 -*30864 output206 -*30865 output207 -*30866 output208 -*30867 output209 -*30868 output210 -*30869 output211 -*30870 output212 -*30871 output213 -*30872 output214 -*30873 output215 -*30874 output216 -*30875 output217 -*30876 output218 -*30877 output219 -*30878 output220 -*30879 output221 -*30880 output222 -*30881 output223 -*30882 output224 -*30883 output225 -*30884 output226 -*30885 output227 -*30886 output228 -*30887 output229 -*30888 output230 -*30889 output231 -*30890 output232 -*30891 output233 -*30892 output234 -*30893 output235 -*30894 output236 -*30895 output237 -*30896 output238 -*30897 output239 -*30898 output240 -*30899 output241 -*30900 output242 -*30901 output243 -*30902 output244 -*30903 output245 -*30904 output246 -*30905 output247 -*30906 output248 -*30907 output249 -*30908 output250 -*30909 output251 -*30910 output252 -*30911 output253 -*30912 output254 -*30913 output255 -*30914 output256 -*30915 output257 -*30916 output258 -*30917 output259 -*30918 output260 -*30919 output261 -*30920 output262 -*30921 output263 -*30922 output264 -*30923 output265 -*30924 output266 -*30925 output267 -*30926 output268 -*30927 output269 -*30928 output270 -*30929 output271 -*30930 output272 -*30931 output273 -*30932 output274 -*30933 output275 -*30934 output276 -*30935 output277 -*30936 output278 -*30937 output279 -*30938 output280 -*30939 output281 -*30940 output282 -*30941 output283 -*30942 output284 -*30943 output285 -*30944 output286 -*30945 output287 -*30946 output288 -*30947 output289 -*30948 output290 -*30949 output291 -*30950 output292 -*30951 output293 -*30952 output294 -*30953 output295 -*30954 output296 -*30955 output297 -*30956 output298 -*30957 output299 -*30958 output300 -*30959 output301 -*30960 output302 -*30961 output303 -*30962 output304 -*30963 output305 -*30964 output306 -*30965 output307 -*30966 output308 -*30967 output309 -*30968 output310 -*30969 output311 -*30970 output312 -*30971 output313 -*30972 output314 -*30973 output315 -*30974 output316 -*30975 output317 -*30976 output318 -*30977 output319 -*30978 output320 -*30979 output321 -*30980 output322 -*30981 output323 -*30982 output324 -*30983 output325 -*30984 output326 -*30985 output327 -*30986 output328 -*30987 output329 -*30988 output330 -*30989 output331 -*30990 output332 -*30991 output333 -*30992 output334 -*30993 output335 -*30994 output336 -*30995 output337 -*30996 output338 -*30997 output339 -*30998 output340 -*30999 output341 -*31000 output342 -*31001 output343 -*31002 output344 -*31003 output345 -*31004 output346 -*31005 output347 -*31006 output348 -*31007 output349 -*31008 wire357 -*31009 wire359 -*31010 wire360 -*31011 wire362 -*31012 wire365 -*31013 wire380 -*31014 wire383 -*31015 wire390 -*31016 wire394 -*31017 wire412 -*31018 wire431 -*31019 wire476 - -*PORTS -debug_in O -debug_mode I -debug_oeb I -debug_out I -irq[0] O -irq[1] O -irq[2] O -mask_rev_in[0] I -mask_rev_in[10] I -mask_rev_in[11] I -mask_rev_in[12] I -mask_rev_in[13] I -mask_rev_in[14] I -mask_rev_in[15] I -mask_rev_in[16] I -mask_rev_in[17] I -mask_rev_in[18] I -mask_rev_in[19] I -mask_rev_in[1] I -mask_rev_in[20] I -mask_rev_in[21] I -mask_rev_in[22] I -mask_rev_in[23] I -mask_rev_in[24] I -mask_rev_in[25] I -mask_rev_in[26] I -mask_rev_in[27] I -mask_rev_in[28] I -mask_rev_in[29] I -mask_rev_in[2] I -mask_rev_in[30] I -mask_rev_in[31] I -mask_rev_in[3] I -mask_rev_in[4] I -mask_rev_in[5] I -mask_rev_in[6] I -mask_rev_in[7] I -mask_rev_in[8] I -mask_rev_in[9] I -mgmt_gpio_in[0] I -mgmt_gpio_in[10] I -mgmt_gpio_in[11] I -mgmt_gpio_in[12] I -mgmt_gpio_in[13] I -mgmt_gpio_in[14] I -mgmt_gpio_in[15] I -mgmt_gpio_in[16] I -mgmt_gpio_in[17] I -mgmt_gpio_in[18] I -mgmt_gpio_in[19] I -mgmt_gpio_in[1] I -mgmt_gpio_in[20] I -mgmt_gpio_in[21] I -mgmt_gpio_in[22] I -mgmt_gpio_in[23] I -mgmt_gpio_in[24] I -mgmt_gpio_in[25] I -mgmt_gpio_in[26] I -mgmt_gpio_in[27] I -mgmt_gpio_in[28] I -mgmt_gpio_in[29] I -mgmt_gpio_in[2] I -mgmt_gpio_in[30] I -mgmt_gpio_in[31] I -mgmt_gpio_in[32] I -mgmt_gpio_in[33] I -mgmt_gpio_in[34] I -mgmt_gpio_in[35] I -mgmt_gpio_in[36] I -mgmt_gpio_in[37] I -mgmt_gpio_in[3] I -mgmt_gpio_in[4] I -mgmt_gpio_in[5] I -mgmt_gpio_in[6] I -mgmt_gpio_in[7] I -mgmt_gpio_in[8] I -mgmt_gpio_in[9] I -mgmt_gpio_oeb[0] O -mgmt_gpio_oeb[10] O -mgmt_gpio_oeb[11] O -mgmt_gpio_oeb[12] O -mgmt_gpio_oeb[13] O -mgmt_gpio_oeb[14] O -mgmt_gpio_oeb[15] O -mgmt_gpio_oeb[16] O -mgmt_gpio_oeb[17] O -mgmt_gpio_oeb[18] O -mgmt_gpio_oeb[19] O -mgmt_gpio_oeb[1] O -mgmt_gpio_oeb[20] O -mgmt_gpio_oeb[21] O -mgmt_gpio_oeb[22] O -mgmt_gpio_oeb[23] O -mgmt_gpio_oeb[24] O -mgmt_gpio_oeb[25] O -mgmt_gpio_oeb[26] O -mgmt_gpio_oeb[27] O -mgmt_gpio_oeb[28] O -mgmt_gpio_oeb[29] O -mgmt_gpio_oeb[2] O -mgmt_gpio_oeb[30] O -mgmt_gpio_oeb[31] O -mgmt_gpio_oeb[32] O -mgmt_gpio_oeb[33] O -mgmt_gpio_oeb[34] O -mgmt_gpio_oeb[35] O -mgmt_gpio_oeb[36] O -mgmt_gpio_oeb[37] O -mgmt_gpio_oeb[3] O -mgmt_gpio_oeb[4] O -mgmt_gpio_oeb[5] O -mgmt_gpio_oeb[6] O -mgmt_gpio_oeb[7] O -mgmt_gpio_oeb[8] O -mgmt_gpio_oeb[9] O -mgmt_gpio_out[0] O -mgmt_gpio_out[10] O -mgmt_gpio_out[11] O -mgmt_gpio_out[12] O -mgmt_gpio_out[13] O -mgmt_gpio_out[14] O -mgmt_gpio_out[15] O -mgmt_gpio_out[16] O -mgmt_gpio_out[17] O -mgmt_gpio_out[18] O -mgmt_gpio_out[19] O -mgmt_gpio_out[1] O -mgmt_gpio_out[20] O -mgmt_gpio_out[21] O -mgmt_gpio_out[22] O -mgmt_gpio_out[23] O -mgmt_gpio_out[24] O -mgmt_gpio_out[25] O -mgmt_gpio_out[26] O -mgmt_gpio_out[27] O -mgmt_gpio_out[28] O -mgmt_gpio_out[29] O -mgmt_gpio_out[2] O -mgmt_gpio_out[30] O -mgmt_gpio_out[31] O -mgmt_gpio_out[32] O -mgmt_gpio_out[33] O -mgmt_gpio_out[34] O -mgmt_gpio_out[35] O -mgmt_gpio_out[36] O -mgmt_gpio_out[37] O -mgmt_gpio_out[3] O -mgmt_gpio_out[4] O -mgmt_gpio_out[5] O -mgmt_gpio_out[6] O -mgmt_gpio_out[7] O -mgmt_gpio_out[8] O -mgmt_gpio_out[9] O -pad_flash_clk O -pad_flash_clk_oeb O -pad_flash_csb O -pad_flash_csb_oeb O -pad_flash_io0_di I -pad_flash_io0_do O -pad_flash_io0_ieb O -pad_flash_io0_oeb O -pad_flash_io1_di I -pad_flash_io1_do O -pad_flash_io1_ieb O -pad_flash_io1_oeb O -pll90_sel[0] O -pll90_sel[1] O -pll90_sel[2] O -pll_bypass O -pll_dco_ena O -pll_div[0] O -pll_div[1] O -pll_div[2] O -pll_div[3] O -pll_div[4] O -pll_ena O -pll_sel[0] O -pll_sel[1] O -pll_sel[2] O -pll_trim[0] O -pll_trim[10] O -pll_trim[11] O -pll_trim[12] O -pll_trim[13] O -pll_trim[14] O -pll_trim[15] O -pll_trim[16] O -pll_trim[17] O -pll_trim[18] O -pll_trim[19] O -pll_trim[1] O -pll_trim[20] O -pll_trim[21] O -pll_trim[22] O -pll_trim[23] O -pll_trim[24] O -pll_trim[25] O -pll_trim[2] O -pll_trim[3] O -pll_trim[4] O -pll_trim[5] O -pll_trim[6] O -pll_trim[7] O -pll_trim[8] O -pll_trim[9] O -porb I -pwr_ctrl_out[0] O -pwr_ctrl_out[1] O -pwr_ctrl_out[2] O -pwr_ctrl_out[3] O -qspi_enabled I -reset O -ser_rx O -ser_tx I -serial_clock O -serial_data_1 O -serial_data_2 O -serial_load O -serial_resetn O -spi_csb I -spi_enabled I -spi_sck I -spi_sdi O -spi_sdo I -spi_sdoenb I -spimemio_flash_clk I -spimemio_flash_csb I -spimemio_flash_io0_di O -spimemio_flash_io0_do I -spimemio_flash_io0_oeb I -spimemio_flash_io1_di O -spimemio_flash_io1_do I -spimemio_flash_io1_oeb I -spimemio_flash_io2_di O -spimemio_flash_io2_do I -spimemio_flash_io2_oeb I -spimemio_flash_io3_di O -spimemio_flash_io3_do I -spimemio_flash_io3_oeb I -trap I -uart_enabled I -user_clock I -usr1_vcc_pwrgood I -usr1_vdd_pwrgood I -usr2_vcc_pwrgood I -usr2_vdd_pwrgood I -wb_ack_o O -wb_adr_i[0] I -wb_adr_i[10] I -wb_adr_i[11] I -wb_adr_i[12] I -wb_adr_i[13] I -wb_adr_i[14] I -wb_adr_i[15] I -wb_adr_i[16] I -wb_adr_i[17] I -wb_adr_i[18] I -wb_adr_i[19] I -wb_adr_i[1] I -wb_adr_i[20] I -wb_adr_i[21] I -wb_adr_i[22] I -wb_adr_i[23] I -wb_adr_i[24] I -wb_adr_i[25] I -wb_adr_i[26] I -wb_adr_i[27] I -wb_adr_i[28] I -wb_adr_i[29] I -wb_adr_i[2] I -wb_adr_i[30] I -wb_adr_i[31] I -wb_adr_i[3] I -wb_adr_i[4] I -wb_adr_i[5] I -wb_adr_i[6] I -wb_adr_i[7] I -wb_adr_i[8] I -wb_adr_i[9] I -wb_clk_i I -wb_cyc_i I -wb_dat_i[0] I -wb_dat_i[10] I -wb_dat_i[11] I -wb_dat_i[12] I -wb_dat_i[13] I -wb_dat_i[14] I -wb_dat_i[15] I -wb_dat_i[16] I -wb_dat_i[17] I -wb_dat_i[18] I -wb_dat_i[19] I -wb_dat_i[1] I -wb_dat_i[20] I -wb_dat_i[21] I -wb_dat_i[22] I -wb_dat_i[23] I -wb_dat_i[24] I -wb_dat_i[25] I -wb_dat_i[26] I -wb_dat_i[27] I -wb_dat_i[28] I -wb_dat_i[29] I -wb_dat_i[2] I -wb_dat_i[30] I -wb_dat_i[31] I -wb_dat_i[3] I -wb_dat_i[4] I -wb_dat_i[5] I -wb_dat_i[6] I -wb_dat_i[7] I -wb_dat_i[8] I -wb_dat_i[9] I -wb_dat_o[0] O -wb_dat_o[10] O -wb_dat_o[11] O -wb_dat_o[12] O -wb_dat_o[13] O -wb_dat_o[14] O -wb_dat_o[15] O -wb_dat_o[16] O -wb_dat_o[17] O -wb_dat_o[18] O -wb_dat_o[19] O -wb_dat_o[1] O -wb_dat_o[20] O -wb_dat_o[21] O -wb_dat_o[22] O -wb_dat_o[23] O -wb_dat_o[24] O -wb_dat_o[25] O -wb_dat_o[26] O -wb_dat_o[27] O -wb_dat_o[28] O -wb_dat_o[29] O -wb_dat_o[2] O -wb_dat_o[30] O -wb_dat_o[31] O -wb_dat_o[3] O -wb_dat_o[4] O -wb_dat_o[5] O -wb_dat_o[6] O -wb_dat_o[7] O -wb_dat_o[8] O -wb_dat_o[9] O -wb_rstn_i I -wb_sel_i[0] I -wb_sel_i[1] I -wb_sel_i[2] I -wb_sel_i[3] I -wb_stb_i I -wb_we_i I - -*D_NET *3 0.00125203 -*CONN -*P debug_in O -*I *30829:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 debug_in 0.000626013 -2 *30829:X 0.000626013 -3 debug_in *244:19 0 -*RES -1 *30829:X debug_in 20.7217 -*END - -*D_NET *4 0.00115947 -*CONN -*P debug_mode I -*I *30590:A I *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 debug_mode 0.000412453 -2 *30590:A 0.000412453 -3 *30590:A *25583:B 0.000334562 -*RES -1 debug_mode *30590:A 22.2855 -*END - -*D_NET *5 0.000879299 -*CONN -*P debug_oeb I -*I *30672:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 debug_oeb 0.00042231 -2 *30672:A 0.00042231 -3 *30672:A *5351:19 3.46801e-05 -4 *30672:A *5472:8 0 -*RES -1 debug_oeb *30672:A 21.7121 -*END - -*D_NET *6 0.000920704 -*CONN -*P debug_out I -*I *30683:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 debug_out 0.000433685 -2 *30683:A 0.000433685 -3 *30683:A *5472:8 0 -4 *30683:A *5525:7 5.33334e-05 -*RES -1 debug_out *30683:A 22.0157 -*END - -*D_NET *7 0.00135319 -*CONN -*P irq[0] O -*I *30830:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 irq[0] 0.000676594 -2 *30830:X 0.000676594 -3 irq[0] *5541:8 0 -4 irq[0] *6158:32 0 -*RES -1 *30830:X irq[0] 21.0253 -*END - -*D_NET *8 0.00131781 -*CONN -*P irq[1] O -*I *30831:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 irq[1] 0.000658906 -2 *30831:X 0.000658906 -3 irq[1] *5541:8 0 -4 irq[1] *6158:32 0 -*RES -1 *30831:X irq[1] 20.7217 -*END - -*D_NET *9 0.00132553 -*CONN -*P irq[2] O -*I *30832:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 irq[2] 0.000662763 -2 *30832:X 0.000662763 -3 irq[2] *5541:8 0 -4 irq[2] *6158:32 0 -*RES -1 *30832:X irq[2] 21.0253 -*END - -*D_NET *10 0.00149345 -*CONN -*P mask_rev_in[0] I -*I *30694:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 mask_rev_in[0] 0.000383018 -2 *30694:A 0.000383018 -3 *30694:A *30646:A 0 -4 *30694:A *348:16 0 -5 *30694:A *761:17 0.000227532 -6 *30694:A *4529:15 0.000230475 -7 *30694:A *5515:15 0.000265215 -8 *30694:A *5526:14 4.19624e-06 -*RES -1 mask_rev_in[0] *30694:A 23.4786 -*END - -*D_NET *11 0.0187512 -*CONN -*P mask_rev_in[10] I -*I *30705:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 mask_rev_in[10] 0.00306785 -2 *30705:A 0.00152743 -3 *11:17 0.00459528 -4 *30705:A *28665:RESET_B 0.000178516 -5 *30705:A *30670:A 5.52238e-05 -6 *30705:A *30677:A 0.000167394 -7 *30705:A *40:40 8.28147e-05 -8 *30705:A *40:46 0.000115575 -9 *30705:A *1371:11 0.000162575 -10 *30705:A *5129:18 4.27437e-05 -11 *30705:A *5459:10 0.000137983 -12 *11:17 *25063:A1 0.000306317 -13 *11:17 *25063:A2 1.5424e-05 -14 *11:17 *25063:B1 0.000523471 -15 *11:17 *27231:A1 3.47641e-06 -16 *11:17 *27231:A2 6.87574e-05 -17 *11:17 *29706:A 0.000181911 -18 *11:17 *30689:A 0 -19 *11:17 *12:20 0 -20 *11:17 *15:18 7.57673e-05 -21 *11:17 *39:26 0.000834452 -22 *11:17 *244:57 2.77173e-05 -23 *11:17 *1254:67 0.00158167 -24 *11:17 *2780:100 0.000697786 -25 *11:17 *3851:12 0.000394533 -26 *11:17 *4740:33 2.48175e-05 -27 *11:17 *5515:18 0 -28 *11:17 *5521:67 6.04502e-05 -29 *11:17 *5747:21 0.00294635 -30 *11:17 *5753:28 0.000800871 -31 *11:17 *6381:52 7.40526e-05 -*RES -1 mask_rev_in[10] *11:17 48.8485 -2 *11:17 *30705:A 33.55 -*END - -*D_NET *12 0.0154521 -*CONN -*P mask_rev_in[11] I -*I *30716:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 mask_rev_in[11] 0.00268756 -2 *30716:A 0 -3 *12:20 0.00268756 -4 *12:20 *24973:A1 0.000198839 -5 *12:20 *27225:A1 0.000161694 -6 *12:20 *27272:C 0.000360894 -7 *12:20 *28786:CLK 0.00125981 -8 *12:20 *30591:A 0.0027445 -9 *12:20 *30687:A 0.000219366 -10 *12:20 *30727:A 0 -11 *12:20 *23:22 4.22135e-06 -12 *12:20 *39:39 0.000177678 -13 *12:20 *1104:16 8.44271e-06 -14 *12:20 *1178:18 0.000619363 -15 *12:20 *1245:142 0.000306165 -16 *12:20 *1800:14 0.00304321 -17 *12:20 *2805:40 1.88026e-05 -18 *12:20 *2838:31 5.11566e-05 -19 *12:20 *3851:31 5.00194e-05 -20 *12:20 *5129:18 7.30957e-06 -21 *12:20 *5515:18 8.08391e-05 -22 *12:20 *5570:23 0.000379281 -23 *12:20 *5659:48 0.000117523 -24 *12:20 *6178:35 0.000159522 -25 *12:20 *6314:51 0.00010836 -26 *11:17 *12:20 0 -*RES -1 mask_rev_in[11] *12:20 45.9633 -2 *12:20 *30716:A 9.3 -*END - -*D_NET *13 0.00353932 -*CONN -*P mask_rev_in[12] I -*I *30727:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 mask_rev_in[12] 0.00109429 -2 *30727:A 0.00109429 -3 *30727:A *25499:A0 5.20232e-05 -4 *30727:A *25499:S 0.000131699 -5 *30727:A *27225:A1 5.71472e-05 -6 *30727:A *27225:A2 0.000116131 -7 *30727:A *29500:A 0.000136388 -8 *30727:A *14:24 0 -9 *30727:A *39:26 6.46173e-05 -10 *30727:A *1117:13 2.95726e-05 -11 *30727:A *1178:18 0 -12 *30727:A *1806:8 1.41805e-05 -13 *30727:A *2806:9 7.09433e-05 -14 *30727:A *3875:16 0.000107779 -15 *30727:A *5609:45 5.52302e-05 -16 *30727:A *5720:87 0.000158991 -17 *30727:A *5969:10 0.000356035 -18 *12:20 *30727:A 0 -*RES -1 mask_rev_in[12] *30727:A 36.0321 -*END - -*D_NET *14 0.0162037 -*CONN -*P mask_rev_in[13] I -*I *30738:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 mask_rev_in[13] 0.00162368 -2 *30738:A 0.00352006 -3 *14:24 0.00514373 -4 *30738:A *25063:A1 1.24368e-05 -5 *30738:A *25306:A2 0.000712388 -6 *30738:A *27224:A 2.95726e-05 -7 *30738:A *30671:A 9.71197e-05 -8 *30738:A *30678:A 0.000387611 -9 *30738:A *30680:A 6.27272e-06 -10 *30738:A *15:18 0.000159294 -11 *30738:A *981:15 0.000271643 -12 *30738:A *5459:10 6.37318e-05 -13 *30738:A *5492:10 0 -14 *30738:A *5523:93 0.0011103 -15 *30738:A *5747:21 0.000450338 -16 *30738:A *6381:35 0.000182423 -17 *30738:A *6381:78 0.000104976 -18 *14:24 *28648:CLK 0.000266473 -19 *14:24 *28648:RESET_B 4.0916e-05 -20 *14:24 *28769:D 0 -21 *14:24 *29032:A 9.41642e-05 -22 *14:24 *15:18 0 -23 *14:24 *18:20 0.000127812 -24 *14:24 *40:30 0.000476211 -25 *14:24 *1102:18 0.000397918 -26 *14:24 *1368:17 4.23395e-05 -27 *14:24 *2800:55 0.000274294 -28 *14:24 *2806:9 4.85688e-05 -29 *14:24 *3875:16 0 -30 *14:24 *5753:28 0.000183311 -31 *14:24 *6008:26 2.89114e-05 -32 *14:24 *6179:19 0.000347187 -33 *30727:A *14:24 0 -*RES -1 mask_rev_in[13] *14:24 48.5 -2 *14:24 *30738:A 37.2094 -*END - -*D_NET *15 0.0129724 -*CONN -*P mask_rev_in[14] I -*I *30749:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 mask_rev_in[14] 0.00216921 -2 *30749:A 0 -3 *15:18 0.00216921 -4 *15:18 *24974:A2 0.000139453 -5 *15:18 *25063:B1 0.00027035 -6 *15:18 *25306:A2 0.000718885 -7 *15:18 *25522:B 3.61366e-05 -8 *15:18 *25524:A 0.000102378 -9 *15:18 *27219:A 0.000197747 -10 *15:18 *28769:D 0 -11 *15:18 *29034:A 0.000143351 -12 *15:18 *29875:A 0.00269222 -13 *15:18 *30591:A 0 -14 *15:18 *26:17 0.000123729 -15 *15:18 *1290:89 0.000576571 -16 *15:18 *1300:8 0.000298849 -17 *15:18 *1798:19 3.18411e-05 -18 *15:18 *2800:55 0.000127466 -19 *15:18 *2810:15 0.000119706 -20 *15:18 *4463:19 4.58194e-05 -21 *15:18 *5521:67 8.01685e-05 -22 *15:18 *5523:93 0.000121319 -23 *15:18 *5537:14 0.000167466 -24 *15:18 *5585:115 0.000320378 -25 *15:18 *5747:21 0.000459878 -26 *15:18 *6380:112 9.41642e-05 -27 *15:18 *6381:31 0.00134603 -28 *15:18 *6381:52 0.000184996 -29 *30738:A *15:18 0.000159294 -30 *11:17 *15:18 7.57673e-05 -31 *14:24 *15:18 0 -*RES -1 mask_rev_in[14] *15:18 41.1521 -2 *15:18 *30749:A 9.3 -*END - -*D_NET *16 0.0131467 -*CONN -*P mask_rev_in[15] I -*I *30591:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 mask_rev_in[15] 0.00245023 -2 *30591:A 0.00245023 -3 *30591:A *25049:B1 0.000405214 -4 *30591:A *27098:S 4.29329e-05 -5 *30591:A *27224:B 0.000920419 -6 *30591:A *27225:A1 0.000735945 -7 *30591:A *27225:A2 0 -8 *30591:A *28769:RESET_B 0.000137073 -9 *30591:A *29032:A 4.79513e-05 -10 *30591:A *29034:A 0 -11 *30591:A *30602:A 0 -12 *30591:A *1102:18 0.000184647 -13 *30591:A *4574:20 0.000149445 -14 *30591:A *5426:19 0.000472322 -15 *30591:A *5534:14 6.74229e-05 -16 *30591:A *5534:49 0.000154712 -17 *30591:A *5659:48 0.000532537 -18 *30591:A *5747:21 0.00111813 -19 *30591:A *5751:12 0.000234127 -20 *30591:A *5753:28 0.000199212 -21 *30591:A *6104:19 9.96426e-05 -22 *12:20 *30591:A 0.0027445 -23 *15:18 *30591:A 0 -*RES -1 mask_rev_in[15] *30591:A 47.727 -*END - -*D_NET *17 0.00217263 -*CONN -*P mask_rev_in[16] I -*I *30602:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 -*CAP -1 mask_rev_in[16] 0.000524229 -2 *30602:A 0.000524229 -3 *30602:A *27222:A 0.000356028 -4 *30602:A *27222:B 0.000130132 -5 *30602:A *18:20 0 -6 *30602:A *1102:18 0 -7 *30602:A *2800:55 0.000572156 -8 *30602:A *2806:9 6.58597e-05 -9 *30591:A *30602:A 0 -*RES -1 mask_rev_in[16] *30602:A 26.7643 -*END - -*D_NET *18 0.0120596 -*CONN -*P mask_rev_in[17] I -*I *30613:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 mask_rev_in[17] 0.00185344 -2 *30613:A 0.00112613 -3 *18:20 0.00297957 -4 *30613:A *24973:A1 5.74242e-05 -5 *30613:A *28129:RESET_B 0.00014453 -6 *30613:A *26:17 3.72685e-05 -7 *30613:A *1240:137 4.00349e-05 -8 *30613:A *1798:19 0.000205761 -9 *30613:A *2782:170 4.00349e-05 -10 *30613:A *3040:41 0.000555898 -11 *30613:A *3851:31 3.00826e-05 -12 *30613:A *4685:8 0.000390541 -13 *30613:A *4907:10 0 -14 *30613:A *5675:213 3.7143e-05 -15 *30613:A *5751:83 0.000122482 -16 *18:20 *27223:A 2.95076e-06 -17 *18:20 *27225:A1 2.11591e-05 -18 *18:20 *27239:A 3.57844e-05 -19 *18:20 *27273:B2 0.0002932 -20 *18:20 *27483:A2 1.16111e-05 -21 *18:20 *29800:A 0.000559644 -22 *18:20 *19:25 0 -23 *18:20 *244:50 0.000169719 -24 *18:20 *1264:92 0.0003482 -25 *18:20 *1368:17 0.000287701 -26 *18:20 *1798:19 0.000140088 -27 *18:20 *2838:31 0.000494762 -28 *18:20 *4352:8 0 -29 *18:20 *5535:71 0.00133072 -30 *18:20 *5720:31 0.000139913 -31 *18:20 *6178:17 9.89983e-05 -32 *18:20 *6382:10 0.000376994 -33 *30602:A *18:20 0 -34 *14:24 *18:20 0.000127812 -*RES -1 mask_rev_in[17] *18:20 47.8929 -2 *18:20 *30613:A 34.2423 -*END - -*D_NET *19 0.011978 -*CONN -*P mask_rev_in[18] I -*I *30624:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 mask_rev_in[18] 0.00212148 -2 *30624:A 0.000378705 -3 *19:25 0.00250019 -4 *30624:A *27224:A 0.000283408 -5 *30624:A *29033:A 0.000141734 -6 *30624:A *30678:A 5.52302e-05 -7 *30624:A *244:64 0.0006846 -8 *30624:A *4574:20 8.43535e-06 -9 *30624:A *5470:10 0.00101355 -10 *19:25 *25049:B1 0.000900086 -11 *19:25 *25524:A 0.000593598 -12 *19:25 *27079:A1 0.00026353 -13 *19:25 *28665:CLK 7.46461e-05 -14 *19:25 *29799:A 0 -15 *19:25 *29876:A 8.77129e-05 -16 *19:25 *30674:A 7.63002e-05 -17 *19:25 *20:10 0 -18 *19:25 *1254:67 0.000167024 -19 *19:25 *2780:100 0.000127237 -20 *19:25 *2799:18 0.00011157 -21 *19:25 *3851:12 6.25005e-05 -22 *19:25 *4352:8 0.000111158 -23 *19:25 *4574:20 0.000469064 -24 *19:25 *5470:10 0.000759806 -25 *19:25 *5747:21 4.17376e-05 -26 *19:25 *5753:28 8.40344e-05 -27 *19:25 *6104:19 0.000382608 -28 *19:25 *6381:35 0.000242416 -29 *19:25 *6381:78 0.000235601 -30 *18:20 *19:25 0 -*RES -1 mask_rev_in[18] *19:25 48.2784 -2 *19:25 *30624:A 28.175 -*END - -*D_NET *20 0.00824171 -*CONN -*P mask_rev_in[19] I -*I *30635:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 mask_rev_in[19] 0.00151039 -2 *30635:A 0.000910786 -3 *20:10 0.00242118 -4 *30635:A *25633:A0 4.72217e-05 -5 *30635:A *28665:RESET_B 0.000162612 -6 *30635:A *28681:RESET_B 0.000401684 -7 *30635:A *30677:A 0.000193132 -8 *30635:A *30678:A 0 -9 *30635:A *25:13 0 -10 *30635:A *3878:9 0.000141962 -11 *30635:A *3982:17 2.84026e-05 -12 *30635:A *5459:10 0 -13 *20:10 *29799:A 5.98882e-05 -14 *20:10 *22:18 0 -15 *20:10 *25:13 0 -16 *20:10 *3847:17 0 -17 *20:10 *5437:9 0.000308538 -18 *20:10 *5448:11 0.00205591 -19 *19:25 *20:10 0 -*RES -1 mask_rev_in[19] *20:10 45.8214 -2 *20:10 *30635:A 32.1214 -*END - -*D_NET *21 0.00248248 -*CONN -*P mask_rev_in[1] I -*I *30646:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 -*CAP -1 mask_rev_in[1] 0.000680576 -2 *30646:A 0.000680576 -3 *30646:A *30679:A 0 -4 *30646:A *244:28 9.31893e-05 -5 *30646:A *995:25 9.60875e-05 -6 *30646:A *3875:10 0.000535336 -7 *30646:A *4796:20 4.29471e-05 -8 *30646:A *5502:41 8.53773e-05 -9 *30646:A *5515:8 0 -10 *30646:A *5515:15 0 -11 *30646:A *5521:41 0.000268396 -12 *30694:A *30646:A 0 -*RES -1 mask_rev_in[1] *30646:A 28.8714 -*END - -*D_NET *22 0.00479196 -*CONN -*P mask_rev_in[20] I -*I *30657:A I *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 mask_rev_in[20] 0.00118989 -2 *30657:A 0 -3 *22:18 0.00118989 -4 *22:18 *25306:A2 3.40014e-05 -5 *22:18 *27226:A2 0.000529356 -6 *22:18 *27226:B1 0 -7 *22:18 *28648:D 2.24763e-05 -8 *22:18 *28648:RESET_B 5.52302e-05 -9 *22:18 *29799:A 0 -10 *22:18 *30670:A 3.14078e-05 -11 *22:18 *23:22 0 -12 *22:18 *40:40 0.00107535 -13 *22:18 *981:15 0.000137983 -14 *22:18 *1290:89 1.80461e-05 -15 *22:18 *4463:19 0.000126629 -16 *22:18 *5521:67 0.000381694 -17 *22:18 *6104:19 0 -18 *20:10 *22:18 0 -*RES -1 mask_rev_in[20] *22:18 41.4821 -2 *22:18 *30657:A 9.3 -*END - -*D_NET *23 0.00744199 -*CONN -*P mask_rev_in[21] I -*I *30668:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 mask_rev_in[21] 0.00185982 -2 *30668:A 0 -3 *23:22 0.00185982 -4 *23:22 *25006:B2 0.000510565 -5 *23:22 *25049:A1 8.46271e-05 -6 *23:22 *27116:S 5.33005e-05 -7 *23:22 *27217:B 0.00042253 -8 *23:22 *27231:A2 0.000374211 -9 *23:22 *29875:A 7.77085e-05 -10 *23:22 *30670:A 0 -11 *23:22 *39:39 6.87975e-06 -12 *23:22 *981:15 0.000226041 -13 *23:22 *1104:16 0.000198899 -14 *23:22 *1245:142 0.000183157 -15 *23:22 *2803:20 0.000179191 -16 *23:22 *2808:36 9.39682e-05 -17 *23:22 *2838:31 8.30855e-05 -18 *23:22 *3851:12 4.39575e-05 -19 *23:22 *5523:96 0 -20 *23:22 *5534:49 0.000762517 -21 *23:22 *5609:65 0.000367295 -22 *23:22 *6191:10 5.01951e-05 -23 *12:20 *23:22 4.22135e-06 -24 *22:18 *23:22 0 -*RES -1 mask_rev_in[21] *23:22 43.8957 -2 *23:22 *30668:A 9.3 -*END - -*D_NET *24 0.00322138 -*CONN -*P mask_rev_in[22] I -*I *30670:A I *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 mask_rev_in[22] 0.00104503 -2 *30670:A 0.00104503 -3 *30670:A *25049:A1 8.09848e-05 -4 *30670:A *25049:A2 3.046e-05 -5 *30670:A *25063:B1 0.000107648 -6 *30670:A *25306:A2 1.78394e-05 -7 *30670:A *27226:A1 0 -8 *30670:A *28648:D 0.000137983 -9 *30670:A *25:13 0 -10 *30670:A *40:40 2.8243e-05 -11 *30670:A *1624:16 1.15058e-05 -12 *30670:A *2800:55 9.60337e-06 -13 *30670:A *5129:18 0.000222666 -14 *30670:A *5521:67 0.000353086 -15 *30670:A *5523:96 4.46701e-05 -16 *30705:A *30670:A 5.52238e-05 -17 *22:18 *30670:A 3.14078e-05 -18 *23:22 *30670:A 0 -*RES -1 mask_rev_in[22] *30670:A 33.4964 -*END - -*D_NET *25 0.00569916 -*CONN -*P mask_rev_in[23] I -*I *30671:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 mask_rev_in[23] 0.00169378 -2 *30671:A 0.000211348 -3 *25:13 0.00190513 -4 *30671:A *25063:A1 3.97677e-05 -5 *30671:A *27224:A 3.53523e-05 -6 *30671:A *27224:B 0.00014285 -7 *30671:A *30681:A 0.000230482 -8 *30671:A *2805:13 4.72917e-05 -9 *30671:A *5240:7 4.01768e-05 -10 *30671:A *5570:11 0.000540802 -11 *25:13 *30677:A 0 -12 *25:13 *30678:A 0.000715064 -13 *25:13 *26:17 0 -14 *30635:A *25:13 0 -15 *30670:A *25:13 0 -16 *30738:A *30671:A 9.71197e-05 -17 *20:10 *25:13 0 -*RES -1 mask_rev_in[23] *25:13 46.75 -2 *25:13 *30671:A 17.3714 -*END - -*D_NET *26 0.00707958 -*CONN -*P mask_rev_in[24] I -*I *30673:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 mask_rev_in[24] 0.00161517 -2 *30673:A 0.000140614 -3 *26:17 0.00175578 -4 *30673:A *27115:A1 0.000522445 -5 *30673:A *28680:D 3.18676e-05 -6 *30673:A *5535:71 0.000161824 -7 *26:17 *24973:A1 4.34219e-05 -8 *26:17 *27219:A 7.60915e-05 -9 *26:17 *28129:RESET_B 0.000770072 -10 *26:17 *28665:CLK 0.000318092 -11 *26:17 *28665:D 4.22135e-06 -12 *26:17 *30674:A 0 -13 *26:17 *244:57 0.000177293 -14 *26:17 *1385:15 9.54798e-06 -15 *26:17 *3635:31 5.5764e-05 -16 *26:17 *3635:33 0.0001672 -17 *26:17 *4463:19 0.00027288 -18 *26:17 *4574:20 0.000174819 -19 *26:17 *4685:8 0.000306907 -20 *26:17 *5129:18 6.30931e-05 -21 *26:17 *5426:19 0.000251472 -22 *26:17 *6381:52 0 -23 *30613:A *26:17 3.72685e-05 -24 *15:18 *26:17 0.000123729 -25 *25:13 *26:17 0 -*RES -1 mask_rev_in[24] *26:17 47.0893 -2 *26:17 *30673:A 14.3536 -*END - -*D_NET *27 0.00313972 -*CONN -*P mask_rev_in[25] I -*I *30674:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 mask_rev_in[25] 0.00106196 -2 *30674:A 0.00106196 -3 *30674:A *24974:A1 8.56851e-05 -4 *30674:A *27226:A2 0 -5 *30674:A *28129:CLK 0.000177821 -6 *30674:A *28129:RESET_B 9.60939e-05 -7 *30674:A *28665:CLK 0.000203034 -8 *30674:A *30675:A 0 -9 *30674:A *30969:A 2.95726e-05 -10 *30674:A *4907:10 8.42566e-05 -11 *30674:A *5448:10 0 -12 *30674:A *5570:23 0.000122319 -13 *30674:A *6380:112 0.00014072 -14 *30674:A *6381:52 0 -15 *19:25 *30674:A 7.63002e-05 -16 *26:17 *30674:A 0 -*RES -1 mask_rev_in[25] *30674:A 36.9607 -*END - -*D_NET *28 0.000910337 -*CONN -*P mask_rev_in[26] I -*I *30675:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 -*CAP -1 mask_rev_in[26] 0.000440713 -2 *30675:A 0.000440713 -3 *30675:A *30676:A 0 -4 *30675:A *5437:8 0 -5 *30675:A *5448:10 2.89114e-05 -6 *30674:A *30675:A 0 -*RES -1 mask_rev_in[26] *30675:A 21.8357 -*END - -*D_NET *29 0.00152237 -*CONN -*P mask_rev_in[27] I -*I *30676:A I *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 mask_rev_in[27] 0.000537395 -2 *30676:A 0.000537395 -3 *30676:A *30677:A 0 -4 *30676:A *5437:8 0 -5 *30676:A *5448:10 0.000447578 -6 *30675:A *30676:A 0 -*RES -1 mask_rev_in[27] *30676:A 25.2286 -*END - -*D_NET *30 0.00184417 -*CONN -*P mask_rev_in[28] I -*I *30677:A I *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 mask_rev_in[28] 0.000686003 -2 *30677:A 0.000686003 -3 *30677:A *30678:A 0 -4 *30677:A *40:46 0.000111636 -5 *30635:A *30677:A 0.000193132 -6 *30676:A *30677:A 0 -7 *30705:A *30677:A 0.000167394 -8 *25:13 *30677:A 0 -*RES -1 mask_rev_in[28] *30677:A 29.9786 -*END - -*D_NET *31 0.00329785 -*CONN -*P mask_rev_in[29] I -*I *30678:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 mask_rev_in[29] 0.000798716 -2 *30678:A 0.000798716 -3 *30678:A *30680:A 0 -4 *30678:A *244:64 0.000173942 -5 *30678:A *5459:10 5.42629e-05 -6 *30678:A *5470:10 0.000268409 -7 *30678:A *5534:49 4.58976e-05 -8 *30624:A *30678:A 5.52302e-05 -9 *30635:A *30678:A 0 -10 *30677:A *30678:A 0 -11 *30738:A *30678:A 0.000387611 -12 *25:13 *30678:A 0.000715064 -*RES -1 mask_rev_in[29] *30678:A 35.7821 -*END - -*D_NET *32 0.00242712 -*CONN -*P mask_rev_in[2] I -*I *30679:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 -*CAP -1 mask_rev_in[2] 0.00103061 -2 *30679:A 0.00103061 -3 *30679:A *25216:B2 9.60337e-06 -4 *30679:A *29111:A 0 -5 *30679:A *30682:A 0 -6 *30679:A *995:25 5.99719e-05 -7 *30679:A *3638:105 0.000142732 -8 *30679:A *3638:117 0.000147332 -9 *30679:A *3875:10 0 -10 *30679:A *5448:16 0 -11 *30679:A *5486:22 0 -12 *30679:A *5513:32 6.2589e-06 -13 *30646:A *30679:A 0 -*RES -1 mask_rev_in[2] *30679:A 31.675 -*END - -*D_NET *33 0.000895196 -*CONN -*P mask_rev_in[30] I -*I *30680:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 -*CAP -1 mask_rev_in[30] 0.000444462 -2 *30680:A 0.000444462 -3 *30680:A *30681:A 0 -4 *30678:A *30680:A 0 -5 *30738:A *30680:A 6.27272e-06 -*RES -1 mask_rev_in[30] *30680:A 22.2464 -*END - -*D_NET *34 0.00227874 -*CONN -*P mask_rev_in[31] I -*I *30681:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 mask_rev_in[31] 0.000901207 -2 *30681:A 0.000901207 -3 *30681:A pwr_ctrl_out[0] 0 -4 *30681:A *5492:10 0 -5 *30681:A *5503:7 1.72894e-05 -6 *30681:A *5570:11 0.000228558 -7 *30671:A *30681:A 0.000230482 -8 *30680:A *30681:A 0 -*RES -1 mask_rev_in[31] *30681:A 31.3357 -*END - -*D_NET *35 0.00100823 -*CONN -*P mask_rev_in[3] I -*I *30682:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 mask_rev_in[3] 0.000426171 -2 *30682:A 0.000426171 -3 *30682:A *29112:A 5.52238e-05 -4 *30682:A *30684:A 0 -5 *30682:A *1178:10 3.68173e-05 -6 *30682:A *4529:15 5.52238e-05 -7 *30682:A *5486:22 8.6229e-06 -8 *30679:A *30682:A 0 -*RES -1 mask_rev_in[3] *30682:A 21.8357 -*END - -*D_NET *36 0.00279071 -*CONN -*P mask_rev_in[4] I -*I *30684:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 mask_rev_in[4] 0.000597843 -2 *30684:A 0.000597843 -3 *30684:A *29112:A 0.000826186 -4 *30684:A *30685:A 0 -5 *30684:A *171:9 0 -6 *30684:A *1178:10 0 -7 *30684:A *4529:15 0.000768837 -8 *30682:A *30684:A 0 -*RES -1 mask_rev_in[4] *30684:A 29.2286 -*END - -*D_NET *37 0.00339759 -*CONN -*P mask_rev_in[5] I -*I *30685:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 mask_rev_in[5] 0.000826026 -2 *30685:A 0.000826026 -3 *30685:A *28442:RESET_B 0.00022459 -4 *30685:A *29029:A 0.000226513 -5 *30685:A *30287:A 5.68461e-05 -6 *30685:A *30686:A 0 -7 *30685:A *171:9 0.000189485 -8 *30685:A *244:50 0.000257404 -9 *30685:A *3638:105 0.000623411 -10 *30685:A *5502:41 2.44318e-05 -11 *30685:A *5746:8 0.000142856 -12 *30684:A *30685:A 0 -*RES -1 mask_rev_in[5] *30685:A 40.3893 -*END - -*D_NET *38 0.00610335 -*CONN -*P mask_rev_in[6] I -*I *30686:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 mask_rev_in[6] 0.00164298 -2 *30686:A 0.00164298 -3 *30686:A *25604:A0 6.9232e-05 -4 *30686:A *28440:CLK 9.84673e-05 -5 *30686:A *28442:RESET_B 6.12454e-05 -6 *30686:A *28644:D 3.45557e-05 -7 *30686:A *39:26 0 -8 *30686:A *244:50 0 -9 *30686:A *977:15 0.000543155 -10 *30686:A *1240:130 0.000648608 -11 *30686:A *1245:76 4.65158e-06 -12 *30686:A *3412:41 2.56811e-05 -13 *30686:A *3638:95 0.000549205 -14 *30686:A *3638:100 0.000165402 -15 *30686:A *3847:14 5.80706e-06 -16 *30686:A *4740:33 2.04825e-05 -17 *30686:A *5523:93 9.27892e-05 -18 *30686:A *5746:21 1.90936e-05 -19 *30686:A *5757:176 0.00022479 -20 *30686:A *6385:32 0.000254233 -21 *30685:A *30686:A 0 -*RES -1 mask_rev_in[6] *30686:A 49.461 -*END - -*D_NET *39 0.0188384 -*CONN -*P mask_rev_in[7] I -*I *6405:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *30687:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 mask_rev_in[7] 0.00183873 -2 *6405:DIODE 0 -3 *30687:A 0.000118464 -4 *39:39 0.00113267 -5 *39:26 0.00285294 -6 *30687:A *24973:A1 0.000303078 -7 *39:26 *25499:S 2.06178e-05 -8 *39:26 *27216:B 3.37219e-05 -9 *39:26 *28437:RESET_B 8.6985e-06 -10 *39:26 *28768:CLK 0.00016097 -11 *39:26 *29838:A 5.27484e-05 -12 *39:26 *40:30 0.000127479 -13 *39:26 *995:25 0.000203611 -14 *39:26 *1800:14 9.40668e-05 -15 *39:26 *1806:8 0.000659782 -16 *39:26 *2780:100 0.00154197 -17 *39:26 *2806:9 1.76569e-05 -18 *39:26 *3575:139 0.000139268 -19 *39:26 *3575:141 2.11419e-05 -20 *39:26 *3847:14 0 -21 *39:26 *4352:19 0.000598781 -22 *39:26 *4740:33 0.00071429 -23 *39:26 *5513:32 3.39834e-06 -24 *39:26 *5534:14 5.67946e-05 -25 *39:26 *5747:21 6.22755e-05 -26 *39:26 *5997:10 0.000102859 -27 *39:26 *6314:75 0.000829141 -28 *39:39 *24974:C1 2.44318e-05 -29 *39:39 *27216:B 9.34324e-05 -30 *39:39 *27272:A 1.90936e-05 -31 *39:39 *28771:D 0.000684626 -32 *39:39 *1104:16 0.0001238 -33 *39:39 *1800:14 4.31531e-05 -34 *39:39 *2805:40 4.22135e-06 -35 *39:39 *5129:18 6.15316e-05 -36 *39:39 *5534:25 0.00144585 -37 *39:39 *5534:49 0.000592862 -38 *39:39 *5539:33 0.000720617 -39 *39:39 *5570:23 0.00201802 -40 *39:39 *5609:65 8.6229e-06 -41 *30686:A *39:26 0 -42 *30727:A *39:26 6.46173e-05 -43 *11:17 *39:26 0.000834452 -44 *12:20 *30687:A 0.000219366 -45 *12:20 *39:39 0.000177678 -46 *23:22 *39:39 6.87975e-06 -*RES -1 mask_rev_in[7] *39:26 47.4469 -2 *39:26 *39:39 30.6212 -3 *39:39 *30687:A 12.6214 -4 *39:39 *6405:DIODE 9.3 -*END - -*D_NET *40 0.0203532 -*CONN -*P mask_rev_in[8] I -*I *6406:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *30688:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 mask_rev_in[8] 0.00164568 -2 *6406:DIODE 7.72601e-05 -3 *30688:A 0 -4 *40:46 0.0016497 -5 *40:40 0.00430666 -6 *40:30 0.0043799 -7 *40:30 *28769:D 6.37081e-05 -8 *40:30 *29706:A 0.000219883 -9 *40:30 *30689:A 0 -10 *40:30 *2806:9 0.000233506 -11 *40:30 *4352:19 0 -12 *40:30 *4740:33 4.25457e-05 -13 *40:30 *4882:8 0.000190336 -14 *40:30 *5502:41 4.87953e-05 -15 *40:30 *5513:32 0.000325098 -16 *40:30 *5521:67 0.00130228 -17 *40:30 *5523:93 3.66916e-05 -18 *40:30 *5537:14 0.00136696 -19 *40:30 *5747:21 0.000198012 -20 *40:30 *6008:26 4.1332e-05 -21 *40:30 *6179:19 0.000299637 -22 *40:40 *25046:A1 0.000350143 -23 *40:40 *25046:A2 6.25394e-06 -24 *40:40 *25049:A1 9.7659e-05 -25 *40:40 *28648:RESET_B 0.000935238 -26 *40:40 *1368:17 5.71472e-05 -27 *40:40 *5129:18 0.000123529 -28 *40:40 *5523:93 0 -29 *40:40 *5753:28 8.85649e-05 -30 *40:40 *6381:31 1.90936e-05 -31 *40:46 *28665:RESET_B 4.3808e-05 -32 *40:46 *5459:10 3.55552e-05 -33 *40:46 *5747:21 0.000150888 -34 *30670:A *40:40 2.8243e-05 -35 *30677:A *40:46 0.000111636 -36 *30705:A *40:40 8.28147e-05 -37 *30705:A *40:46 0.000115575 -38 *14:24 *40:30 0.000476211 -39 *22:18 *40:40 0.00107535 -40 *39:26 *40:30 0.000127479 -*RES -1 mask_rev_in[8] *40:30 48.8252 -2 *40:30 *40:40 42.9821 -3 *40:40 *40:46 21 -4 *40:46 *30688:A 9.3 -5 *40:46 *6406:DIODE 10.6571 -*END - -*D_NET *41 0.00368657 -*CONN -*P mask_rev_in[9] I -*I *30689:A I *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 mask_rev_in[9] 0.00118855 -2 *30689:A 0.00118855 -3 *30689:A *25564:A0 0.000171325 -4 *30689:A *28785:RESET_B 5.27542e-05 -5 *30689:A *28786:RESET_B 3.60151e-05 -6 *30689:A *29706:A 0 -7 *30689:A *4882:8 0 -8 *30689:A *5513:32 6.09762e-05 -9 *30689:A *5513:53 0.000130238 -10 *30689:A *5581:8 9.84673e-05 -11 *30689:A *5609:33 2.12733e-05 -12 *30689:A *5858:23 4.11572e-05 -13 *30689:A *6178:35 9.21418e-06 -14 *30689:A *6314:19 0 -15 *30689:A *6317:20 0.000688057 -16 *30689:A *6387:27 0 -17 *11:17 *30689:A 0 -18 *40:30 *30689:A 0 -*RES -1 mask_rev_in[9] *30689:A 36.175 -*END - -*D_NET *42 0.00130261 -*CONN -*P mgmt_gpio_in[0] I -*I *30690:A I *D sky130_fd_sc_hd__clkbuf_4 -*CAP -1 mgmt_gpio_in[0] 0.00063154 -2 *30690:A 0.00063154 -3 *30690:A *27049:A1 3.69047e-06 -4 *30690:A *5592:7 1.72894e-05 -5 *30690:A *5659:36 1.85455e-05 -6 *30690:A *5700:271 0 -*RES -1 mgmt_gpio_in[0] *30690:A 20.4322 -*END - -*D_NET *43 0.000928169 -*CONN -*P mgmt_gpio_in[10] I -*I *30691:A I *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 mgmt_gpio_in[10] 0.000450935 -2 *30691:A 0.000450935 -3 *30691:A *3558:292 2.62984e-05 -4 *30691:A *5625:8 0 -*RES -1 mgmt_gpio_in[10] *30691:A 18.7147 -*END - -*D_NET *44 0.00198284 -*CONN -*P mgmt_gpio_in[11] I -*I *30692:A I *D sky130_fd_sc_hd__clkbuf_4 -*CAP -1 mgmt_gpio_in[11] 0.000783109 -2 *30692:A 0.000783109 -3 *30692:A mgmt_gpio_out[10] 2.62984e-05 -4 *30692:A *25550:A0 0.000138671 -5 *30692:A *3679:89 0.000251655 -6 *30692:A *5625:8 0 -*RES -1 mgmt_gpio_in[11] *30692:A 26.7517 -*END - -*D_NET *45 0.00263859 -*CONN -*P mgmt_gpio_in[12] I -*I *30693:A I *D sky130_fd_sc_hd__clkbuf_4 -*CAP -1 mgmt_gpio_in[12] 0.000775164 -2 *30693:A 0.000775164 -3 *30693:A *25550:A0 0.000298998 -4 *30693:A *29628:A 0.000289731 -5 *30693:A *2768:204 0.000188058 -6 *30693:A *3679:89 0.000311479 -7 *30693:A *3692:8 0 -*RES -1 mgmt_gpio_in[12] *30693:A 29.1803 -*END - -*D_NET *46 0.00161601 -*CONN -*P mgmt_gpio_in[13] I -*I *30695:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 -*CAP -1 mgmt_gpio_in[13] 0.000642169 -2 *30695:A 0.000642169 -3 *30695:A *1853:94 5.95878e-05 -4 *30695:A *2761:188 0.000136957 -5 *30695:A *5433:29 0.000135127 -*RES -1 mgmt_gpio_in[13] *30695:A 20.4334 -*END - -*D_NET *47 0.00156644 -*CONN -*P mgmt_gpio_in[14] I -*I *30696:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 -*CAP -1 mgmt_gpio_in[14] 0.000716998 -2 *30696:A 0.000716998 -3 *30696:A *5659:18 6.71336e-05 -4 *30696:A *6206:11 6.53083e-05 -*RES -1 mgmt_gpio_in[14] *30696:A 19.5227 -*END - -*D_NET *48 0.00334888 -*CONN -*P mgmt_gpio_in[15] I -*I *30697:A I *D sky130_fd_sc_hd__clkbuf_4 -*CAP -1 mgmt_gpio_in[15] 0.000834758 -2 *30697:A 0.000834758 -3 *30697:A *27031:S 0.000855724 -4 *30697:A *3692:29 9.9478e-05 -5 *30697:A *5461:32 2.47093e-05 -6 *30697:A *5586:98 9.90367e-05 -7 *30697:A *5659:15 0.000290784 -8 *30697:A *5716:145 0.000234824 -9 *30697:A *5829:165 7.48091e-05 -*RES -1 mgmt_gpio_in[15] *30697:A 30.8257 -*END - -*D_NET *49 0.000837233 -*CONN -*P mgmt_gpio_in[16] I -*I *30698:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 mgmt_gpio_in[16] 0.000330043 -2 *30698:A 0.000330043 -3 *30698:A *26873:S 5.97254e-05 -4 *30698:A *3757:102 0.000117421 -5 *30698:A *5800:84 0 -*RES -1 mgmt_gpio_in[16] *30698:A 19.4813 -*END - -*D_NET *50 0.00241999 -*CONN -*P mgmt_gpio_in[17] I -*I *30699:A I *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 mgmt_gpio_in[17] 0.00115803 -2 *30699:A 0.00115803 -3 *30699:A *28204:CLK 5.56943e-05 -4 *30699:A *30362:A 4.8226e-05 -5 *30699:A *5681:10 0 -*RES -1 mgmt_gpio_in[17] *30699:A 22.6567 -*END - -*D_NET *51 0.00281801 -*CONN -*P mgmt_gpio_in[18] I -*I *30700:A I *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 mgmt_gpio_in[18] 0.000782773 -2 *30700:A 0.000782773 -3 *30700:A mgmt_gpio_oeb[18] 0 -4 *30700:A *25679:S 9.5171e-05 -5 *30700:A *25683:A1 0.000153047 -6 *30700:A *29589:A 0 -7 *30700:A *1835:104 0.000974331 -8 *30700:A *3796:177 2.99171e-05 -9 *30700:A *5893:113 0 -*RES -1 mgmt_gpio_in[18] *30700:A 31.4647 -*END - -*D_NET *52 0.00453566 -*CONN -*P mgmt_gpio_in[19] I -*I *30701:A I *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 mgmt_gpio_in[19] 0.0014709 -2 *30701:A 0.0014709 -3 *30701:A *28206:D 0.000146474 -4 *30701:A *28207:D 5.52724e-05 -5 *30701:A *29594:A 0.000355002 -6 *30701:A *30006:A 4.46199e-05 -7 *30701:A *30538:A 0.000692817 -8 *30701:A *30563:A 2.14757e-05 -9 *30701:A *1835:99 0.000172657 -10 *30701:A *4248:8 6.35819e-05 -11 *30701:A *5703:7 1.58163e-05 -12 *30701:A *5893:101 2.61337e-05 -*RES -1 mgmt_gpio_in[19] *30701:A 30.4079 -*END - -*D_NET *53 0.00356758 -*CONN -*P mgmt_gpio_in[1] I -*I *30702:A I *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 mgmt_gpio_in[1] 0.000804344 -2 *30702:A 0.000804344 -3 *30702:A *5625:14 0.000641173 -4 *30702:A *5700:271 0.00129498 -5 *30702:A *5714:5 2.27416e-05 -*RES -1 mgmt_gpio_in[1] *30702:A 35.98 -*END - -*D_NET *54 0.00137995 -*CONN -*P mgmt_gpio_in[20] I -*I *30703:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 -*CAP -1 mgmt_gpio_in[20] 0.000633787 -2 *30703:A 0.000633787 -3 *30703:A mgmt_gpio_oeb[20] 0 -4 *30703:A *30758:A 0 -5 *30703:A *4586:17 0 -6 *30703:A *4784:11 5.71472e-05 -7 *30703:A *5408:19 0 -8 *30703:A *5458:67 5.52302e-05 -*RES -1 mgmt_gpio_in[20] *30703:A 25.4786 -*END - -*D_NET *55 0.00317205 -*CONN -*P mgmt_gpio_in[21] I -*I *30704:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 -*CAP -1 mgmt_gpio_in[21] 0.00100682 -2 *30704:A 0.00100682 -3 *30704:A mgmt_gpio_oeb[21] 0 -4 *30704:A mgmt_gpio_out[20] 1.08601e-05 -5 *30704:A *25687:A1 7.51399e-05 -6 *30704:A *30845:A 0 -7 *30704:A *247:17 1.69115e-05 -8 *30704:A *1180:25 0.000161585 -9 *30704:A *4786:21 0.000585123 -10 *30704:A *5770:27 0.000166426 -11 *30704:A *6269:11 0.000142367 -*RES -1 mgmt_gpio_in[21] *30704:A 36.0143 -*END - -*D_NET *56 0.00421511 -*CONN -*P mgmt_gpio_in[22] I -*I *30706:A I *D sky130_fd_sc_hd__buf_2 -*CAP -1 mgmt_gpio_in[22] 0.00178532 -2 *30706:A 0 -3 *56:13 0.00178532 -4 *56:13 mgmt_gpio_oeb[22] 0 -5 *56:13 mgmt_gpio_out[21] 0 -6 *56:13 *24838:A 2.59355e-05 -7 *56:13 *25686:A0 6.31653e-05 -8 *56:13 *25687:A1 2.56601e-05 -9 *56:13 *25740:S 0 -10 *56:13 *28176:CLK 0.000158219 -11 *56:13 *28176:D 3.69047e-06 -12 *56:13 *30846:A 0 -13 *56:13 *5444:8 9.2064e-05 -14 *56:13 *5736:10 0 -15 *56:13 *5769:149 0 -16 *56:13 *5769:152 0.000225616 -17 *56:13 *5769:163 5.01213e-05 -18 *56:13 *5770:27 0 -19 *56:13 *5770:30 0 -*RES -1 mgmt_gpio_in[22] *56:13 42.7143 -2 *56:13 *30706:A 9.3 -*END - -*D_NET *57 0.00262471 -*CONN -*P mgmt_gpio_in[23] I -*I *30707:A I *D sky130_fd_sc_hd__buf_2 -*CAP -1 mgmt_gpio_in[23] 0.000981848 -2 *30707:A 0.000981848 -3 *30707:A mgmt_gpio_oeb[23] 0 -4 *30707:A mgmt_gpio_out[22] 0 -5 *30707:A *25689:A1 0 -6 *30707:A *28176:D 0.000271352 -7 *30707:A *28176:RESET_B 0.000261304 -8 *30707:A *30885:A 7.50601e-05 -9 *30707:A *1835:35 0 -10 *30707:A *3874:102 0 -11 *30707:A *5588:45 5.32956e-05 -*RES -1 mgmt_gpio_in[23] *30707:A 32.6929 -*END - -*D_NET *58 0.00387616 -*CONN -*P mgmt_gpio_in[24] I -*I *30708:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 mgmt_gpio_in[24] 0.000734644 -2 *30708:A 0.000734644 -3 *30708:A mgmt_gpio_oeb[23] 0.000226215 -4 *30708:A mgmt_gpio_oeb[24] 0 -5 *30708:A mgmt_gpio_oeb[25] 9.16173e-05 -6 *30708:A mgmt_gpio_out[23] 0 -7 *30708:A *24836:A 0.000488493 -8 *30708:A *30848:A 0.000701671 -9 *30708:A *1835:35 8.6229e-06 -10 *30708:A *3874:102 0.00010096 -11 *30708:A *5770:17 0.000789297 -*RES -1 mgmt_gpio_in[24] *30708:A 35.9071 -*END - -*D_NET *59 0.00643466 -*CONN -*P mgmt_gpio_in[25] I -*I *30709:A I *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 mgmt_gpio_in[25] 0.00146234 -2 *30709:A 0.000317755 -3 *59:21 0.00178009 -4 *30709:A *26858:S 0.000152268 -5 *30709:A *26859:A1 0.000391 -6 *30709:A *28454:CLK 0.000265453 -7 *30709:A *29373:A 4.58194e-05 -8 *30709:A *2756:48 0.000108535 -9 *30709:A *2756:57 3.8274e-05 -10 *30709:A *5449:16 0.000309266 -11 *59:21 mgmt_gpio_oeb[25] 0.00027781 -12 *59:21 mgmt_gpio_out[24] 6.40752e-05 -13 *59:21 *25692:S 9.45051e-05 -14 *59:21 *25693:A1 0.000294597 -15 *59:21 *26858:S 0.000109039 -16 *59:21 *28176:D 0.00011333 -17 *59:21 *28177:D 3.25904e-05 -18 *59:21 *28450:RESET_B 0 -19 *59:21 *29373:A 0.000237671 -20 *59:21 *30559:A 1.83281e-05 -21 *59:21 *30848:A 0 -22 *59:21 *1180:22 0.000202636 -23 *59:21 *3848:95 0.000119277 -24 *59:21 *5175:25 0 -25 *59:21 *5588:63 0 -*RES -1 mgmt_gpio_in[25] *59:21 43.0893 -2 *59:21 *30709:A 18.4607 -*END - -*D_NET *60 0.00978377 -*CONN -*P mgmt_gpio_in[26] I -*I *30710:A I *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 mgmt_gpio_in[26] 0.00144977 -2 *30710:A 0.000396647 -3 *60:13 0.00184642 -4 *30710:A *28450:CLK 0.000184745 -5 *30710:A *28451:CLK 9.90431e-05 -6 *30710:A *29925:A 6.87574e-05 -7 *30710:A *552:14 0.00186551 -8 *30710:A *5680:18 0.000114655 -9 *30710:A *5792:15 2.27416e-05 -10 *30710:A *5828:17 0.000828719 -11 *30710:A *5897:13 4.51526e-05 -12 *60:13 mgmt_gpio_oeb[26] 0 -13 *60:13 mgmt_gpio_out[25] 1.31235e-05 -14 *60:13 *25692:S 5.52654e-05 -15 *60:13 *26858:S 0 -16 *60:13 *28451:RESET_B 0.000699858 -17 *60:13 *29373:A 0.000349043 -18 *60:13 *30887:A 0 -19 *60:13 *554:20 0.000784129 -20 *60:13 *4229:27 5.25192e-06 -21 *60:13 *5172:23 0.000116395 -22 *60:13 *5173:14 0.000707215 -23 *60:13 *5588:85 2.80052e-05 -24 *60:13 *5893:81 0.000103331 -*RES -1 mgmt_gpio_in[26] *60:13 34.0787 -2 *60:13 *30710:A 27.5143 -*END - -*D_NET *61 0.00965475 -*CONN -*P mgmt_gpio_in[27] I -*I *30711:A I *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 mgmt_gpio_in[27] 0.00230152 -2 *30711:A 0 -3 *61:14 0.00230152 -4 *61:14 mgmt_gpio_oeb[27] 0 -5 *61:14 mgmt_gpio_out[26] 0 -6 *61:14 *25742:S 0.000160394 -7 *61:14 *28451:RESET_B 3.03009e-05 -8 *61:14 *29153:A 0 -9 *61:14 *30559:A 0.00111788 -10 *61:14 *522:10 0 -11 *61:14 *553:13 5.52238e-05 -12 *61:14 *554:20 0.000987887 -13 *61:14 *970:16 0.000114322 -14 *61:14 *4231:14 0.000105128 -15 *61:14 *5683:29 0.00237761 -16 *61:14 *5695:252 1.89507e-06 -17 *61:14 *5781:10 0 -18 *61:14 *5803:10 4.46186e-06 -19 *61:14 *5829:42 2.33162e-05 -20 *61:14 *5893:47 4.18827e-05 -21 *61:14 *5893:81 3.1412e-05 -22 *61:14 *5926:63 0 -23 *61:14 *5927:14 0 -*RES -1 mgmt_gpio_in[27] *61:14 42.0245 -2 *61:14 *30711:A 9.3 -*END - -*D_NET *62 0.00724521 -*CONN -*P mgmt_gpio_in[28] I -*I *30712:A I *D sky130_fd_sc_hd__buf_2 -*CAP -1 mgmt_gpio_in[28] 0.00127071 -2 *30712:A 0.001023 -3 *62:10 0.00229372 -4 *30712:A *24829:A 0 -5 *30712:A *26859:A1 0.000127441 -6 *30712:A *28697:D 0.000280298 -7 *30712:A *29965:A 0.000158278 -8 *30712:A *783:17 0.000168299 -9 *30712:A *2766:57 0 -10 *30712:A *3926:15 4.00349e-05 -11 *30712:A *4056:53 0.000193222 -12 *30712:A *4803:18 1.65558e-05 -13 *30712:A *5676:292 0.00038878 -14 *30712:A *5676:307 3.39147e-05 -15 *30712:A *5830:16 0 -16 *30712:A *6259:24 0.000128218 -17 *30712:A *6270:11 9.41642e-05 -18 *30712:A *6279:29 9.60875e-05 -19 *62:10 mgmt_gpio_oeb[28] 0 -20 *62:10 mgmt_gpio_out[27] 0 -21 *62:10 *24833:A 0 -22 *62:10 *26860:A0 1.89507e-06 -23 *62:10 *28452:D 0.000310298 -24 *62:10 *30852:A 0 -25 *62:10 *1180:16 4.31418e-05 -26 *62:10 *4803:18 9.15975e-05 -27 *62:10 *5451:23 0 -28 *62:10 *6253:13 0.00048555 -*RES -1 mgmt_gpio_in[28] *62:10 32.0714 -2 *62:10 *30712:A 34.3 -*END - -*D_NET *63 0.0109829 -*CONN -*P mgmt_gpio_in[29] I -*I *30713:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 mgmt_gpio_in[29] 0.00105551 -2 *30713:A 0.00222776 -3 *63:17 0.00328328 -4 *30713:A mgmt_gpio_oeb[34] 0.000165612 -5 *30713:A *30854:A 0.000655319 -6 *30713:A *5408:42 9.03136e-05 -7 *30713:A *5859:11 0.00158631 -8 *63:17 mgmt_gpio_oeb[28] 5.97496e-05 -9 *63:17 mgmt_gpio_oeb[29] 0.000771804 -10 *63:17 mgmt_gpio_out[28] 0 -11 *63:17 mgmt_gpio_out[30] 8.22793e-06 -12 *63:17 *24821:A 0.000184745 -13 *63:17 *24831:A 0.00014285 -14 *63:17 *30851:A 0.000704614 -15 *63:17 *66:13 4.33002e-05 -16 *63:17 *139:26 0 -17 *63:17 *1180:16 3.47641e-06 -*RES -1 mgmt_gpio_in[29] *63:17 37.3929 -2 *63:17 *30713:A 40.9607 -*END - -*D_NET *64 0.00162386 -*CONN -*P mgmt_gpio_in[2] I -*I *30714:A I *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_in[2] 0.000758583 -2 *30714:A 0.000758583 -3 *30714:A *1371:19 7.08111e-05 -4 *30714:A *5659:32 3.58847e-05 -*RES -1 mgmt_gpio_in[2] *30714:A 19.811 -*END - -*D_NET *65 0.00853658 -*CONN -*P mgmt_gpio_in[30] I -*I *30715:A I *D sky130_fd_sc_hd__buf_2 -*CAP -1 mgmt_gpio_in[30] 0.00288915 -2 *30715:A 0.000446894 -3 *65:10 0.00333604 -4 *30715:A mgmt_gpio_oeb[34] 0 -5 *30715:A mgmt_gpio_out[33] 5.57989e-05 -6 *30715:A *30720:A 0.000485731 -7 *30715:A *30862:A 0.00062226 -8 *30715:A *68:11 0.000110577 -9 *65:10 mgmt_gpio_oeb[30] 0 -10 *65:10 mgmt_gpio_out[29] 0.00059013 -*RES -1 mgmt_gpio_in[30] *65:10 46.3036 -2 *65:10 *30715:A 26.175 -*END - -*D_NET *66 0.0131907 -*CONN -*P mgmt_gpio_in[31] I -*I *30717:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 mgmt_gpio_in[31] 0.00130166 -2 *30717:A 0 -3 *66:20 0.00219366 -4 *66:13 0.00349532 -5 *66:13 mgmt_gpio_oeb[31] 0 -6 *66:13 mgmt_gpio_out[30] 0 -7 *66:13 *24829:A 7.44805e-05 -8 *66:13 *30859:A 0.000103253 -9 *66:13 *139:26 6.27272e-06 -10 *66:13 *784:8 0 -11 *66:13 *5397:53 0.000183739 -12 *66:13 *5453:13 0 -13 *66:13 *5463:14 0.00190475 -14 *66:13 *6010:21 0.00188314 -15 *66:20 mgmt_gpio_out[19] 0.000119285 -16 *66:20 mgmt_gpio_out[35] 5.33005e-05 -17 *66:20 mgmt_gpio_out[36] 0.000195412 -18 *66:20 mgmt_gpio_out[37] 7.77751e-05 -19 *66:20 *26864:A1 0.00104648 -20 *66:20 *29938:A 0.000117621 -21 *66:20 *30723:A 0 -22 *66:20 *30900:A 0.000170532 -23 *66:20 *5461:32 0 -24 *66:20 *5588:93 0.000111069 -25 *66:20 *6241:9 0.000109616 -26 *63:17 *66:13 4.33002e-05 -*RES -1 mgmt_gpio_in[31] *66:13 29.8396 -2 *66:13 *66:20 41.9286 -3 *66:20 *30717:A 9.3 -*END - -*D_NET *67 0.00305692 -*CONN -*P mgmt_gpio_in[32] I -*I *30718:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 -*CAP -1 mgmt_gpio_in[32] 0.000654423 -2 *30718:A 0.000654423 -3 *30718:A mgmt_gpio_oeb[31] 0.000161849 -4 *30718:A mgmt_gpio_oeb[32] 0 -5 *30718:A mgmt_gpio_out[31] 0.000462802 -6 *30718:A *30859:A 4.33174e-05 -7 *30718:A *5408:42 1.94879e-05 -8 *30718:A *5458:67 0.000744439 -9 *30718:A *5859:14 0 -10 *30718:A *5870:7 0.000316184 -*RES -1 mgmt_gpio_in[32] *30718:A 32.5857 -*END - -*D_NET *68 0.00702077 -*CONN -*P mgmt_gpio_in[33] I -*I *30719:A I *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 mgmt_gpio_in[33] 0.0021862 -2 *30719:A 0.000172534 -3 *68:11 0.00235873 -4 *30719:A *5703:7 4.25631e-05 -5 *30719:A *5881:13 5.018e-05 -6 *68:11 mgmt_gpio_oeb[33] 0 -7 *68:11 mgmt_gpio_out[32] 0 -8 *68:11 mgmt_gpio_out[33] 0.000400971 -9 *68:11 *25684:A1 0 -10 *68:11 *30720:A 0 -11 *68:11 *30858:A 0 -12 *68:11 *30862:A 0.000135849 -13 *68:11 *5408:42 0.000149151 -14 *68:11 *5449:28 3.47641e-06 -15 *68:11 *5847:8 0 -16 *68:11 *5870:8 0 -17 *68:11 *5892:6 0.000873187 -18 *68:11 *6228:10 0.000537343 -19 *30715:A *68:11 0.000110577 -*RES -1 mgmt_gpio_in[33] *68:11 48.5893 -2 *68:11 *30719:A 16.8 -*END - -*D_NET *69 0.00232892 -*CONN -*P mgmt_gpio_in[34] I -*I *30720:A I *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 mgmt_gpio_in[34] 0.000860744 -2 *30720:A 0.000860744 -3 *30720:A mgmt_gpio_oeb[34] 0 -4 *30720:A mgmt_gpio_out[33] 0 -5 *30720:A *29938:A 5.52302e-05 -6 *30720:A *5457:30 9.59532e-06 -7 *30720:A *5925:10 0 -8 *30720:A *6241:9 5.68722e-05 -9 *30715:A *30720:A 0.000485731 -10 *68:11 *30720:A 0 -*RES -1 mgmt_gpio_in[34] *30720:A 30.6393 -*END - -*D_NET *70 0.0039477 -*CONN -*P mgmt_gpio_in[35] I -*I *30721:A I *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 mgmt_gpio_in[35] 0.00107984 -2 *30721:A 0.00107984 -3 *30721:A mgmt_gpio_oeb[35] 0 -4 *30721:A mgmt_gpio_out[34] 0 -5 *30721:A *4781:15 0.000360116 -6 *30721:A *5462:70 0.000397918 -7 *30721:A *5825:8 0.000231841 -8 *30721:A *5847:8 0.000398299 -9 *30721:A *5893:92 0.000399841 -*RES -1 mgmt_gpio_in[35] *30721:A 40.6036 -*END - -*D_NET *71 0.00283272 -*CONN -*P mgmt_gpio_in[36] I -*I *30722:A I *D sky130_fd_sc_hd__clkbuf_4 -*CAP -1 mgmt_gpio_in[36] 0.00115046 -2 *30722:A 0.00115046 -3 *30722:A mgmt_gpio_oeb[36] 0 -4 *30722:A mgmt_gpio_out[19] 0 -5 *30722:A mgmt_gpio_out[35] 0 -6 *30722:A *30860:A 0 -7 *30722:A *30861:A 0 -8 *30722:A *30900:A 9.71197e-05 -9 *30722:A *4781:15 0.000404009 -10 *30722:A *5462:70 1.52978e-05 -11 *30722:A *5463:14 1.53815e-05 -*RES -1 mgmt_gpio_in[36] *30722:A 35.7464 -*END - -*D_NET *72 0.00224684 -*CONN -*P mgmt_gpio_in[37] I -*I *30723:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 -*CAP -1 mgmt_gpio_in[37] 0.000967478 -2 *30723:A 0.000967478 -3 *30723:A mgmt_gpio_oeb[37] 0 -4 *30723:A mgmt_gpio_out[36] 0.000271345 -5 *30723:A *5461:32 0 -6 *30723:A *5925:9 4.05411e-05 -7 *66:20 *30723:A 0 -*RES -1 mgmt_gpio_in[37] *30723:A 31.3536 -*END - -*D_NET *73 0.00121553 -*CONN -*P mgmt_gpio_in[3] I -*I *30724:A I *D sky130_fd_sc_hd__buf_6 -*CAP -1 mgmt_gpio_in[3] 0.000512865 -2 *30724:A 0.000512865 -3 *30724:A *1371:25 5.45041e-05 -4 *30724:A *1844:183 4.04934e-05 -5 *30724:A *5583:156 9.48025e-05 -6 *30724:A *5947:14 0 -*RES -1 mgmt_gpio_in[3] *30724:A 19.6822 -*END - -*D_NET *74 0.0677902 -*CONN -*P mgmt_gpio_in[4] I -*I *28842:A I *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 mgmt_gpio_in[4] 0.0113655 -2 *28842:A 0.00704489 -3 *74:11 0.0184104 -4 *28842:A *24943:A 0 -5 *28842:A *25111:A1 0 -6 *28842:A *25133:C1 1.61301e-05 -7 *28842:A *25248:B2 0.000110498 -8 *28842:A *25394:B2 0.00294461 -9 *28842:A *1248:131 0 -10 *28842:A *1249:67 0.00170512 -11 *28842:A *1253:50 7.13983e-05 -12 *28842:A *1253:98 0.00112438 -13 *28842:A *1274:14 3.09819e-05 -14 *28842:A *1274:59 1.12348e-05 -15 *28842:A *1274:77 0.000360202 -16 *28842:A *1274:221 0.000189721 -17 *28842:A *1279:44 0.000108426 -18 *28842:A *1288:20 0 -19 *28842:A *1292:24 0 -20 *28842:A *1293:35 0.00175275 -21 *28842:A *1293:65 0.000109443 -22 *28842:A *1449:74 0.000106126 -23 *28842:A *1484:23 0.0102119 -24 *28842:A *1485:39 0 -25 *28842:A *1535:55 0.000267897 -26 *28842:A *1834:68 0.000126736 -27 *28842:A *3305:8 0.00033835 -28 *28842:A *3862:42 0.000121891 -29 *28842:A *4063:59 0.000173055 -30 *28842:A *4133:92 0.000150788 -31 *28842:A *5587:44 0.000367848 -32 *28842:A *6258:12 9.48465e-06 -33 *74:11 *27333:A2 3.46774e-05 -34 *74:11 *27442:C1 0.000243975 -35 *74:11 *27636:C 0 -36 *74:11 *27797:B2 7.50511e-05 -37 *74:11 *1245:51 0.000127201 -38 *74:11 *1291:31 0.000100088 -39 *74:11 *1304:39 2.50675e-05 -40 *74:11 *1328:140 0 -41 *74:11 *1449:101 9.50843e-05 -42 *74:11 *1484:23 0 -43 *74:11 *1659:31 0.000298164 -44 *74:11 *2780:25 0.00265118 -45 *74:11 *2855:298 0 -46 *74:11 *2856:124 4.65519e-05 -47 *74:11 *2859:296 0 -48 *74:11 *2871:175 0.000867981 -49 *74:11 *2882:173 0.00160017 -50 *74:11 *2883:29 0.000113378 -51 *74:11 *2895:227 0.000559006 -52 *74:11 *3040:31 4.65519e-05 -53 *74:11 *3168:31 0.00125161 -54 *74:11 *3362:11 0.000130985 -55 *74:11 *3388:17 0.000188543 -56 *74:11 *4115:47 0.000298501 -57 *74:11 *5386:11 0 -58 *74:11 *5605:33 9.66445e-05 -59 *74:11 *5634:95 3.84202e-05 -60 *74:11 *5649:48 4.65519e-05 -61 *74:11 *5653:19 0.00117384 -62 *74:11 *5713:84 0.000133941 -63 *74:11 *5936:70 0.000317204 -*RES -1 mgmt_gpio_in[4] *74:11 31.4518 -2 *74:11 *28842:A 48.5468 -*END - -*D_NET *75 0.00250626 -*CONN -*P mgmt_gpio_in[5] I -*I *30725:A I *D sky130_fd_sc_hd__buf_4 -*CAP -1 mgmt_gpio_in[5] 0.000721074 -2 *30725:A 0.000721074 -3 *30725:A *5583:149 0.000112516 -4 *30725:A *5583:151 0.000586575 -5 *30725:A *5936:6 0.000365025 -*RES -1 mgmt_gpio_in[5] *30725:A 27.1273 -*END - -*D_NET *76 0.000796951 -*CONN -*P mgmt_gpio_in[6] I -*I *30726:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 mgmt_gpio_in[6] 0.000367292 -2 *30726:A 0.000367292 -3 *30726:A *5625:8 3.69573e-05 -4 *30726:A *5936:136 2.54101e-05 -*RES -1 mgmt_gpio_in[6] *30726:A 18.8741 -*END - -*D_NET *77 0.00100303 -*CONN -*P mgmt_gpio_in[7] I -*I *30728:A I *D sky130_fd_sc_hd__buf_2 -*CAP -1 mgmt_gpio_in[7] 0.000456677 -2 *30728:A 0.000456677 -3 *30728:A *28480:RESET_B 3.86205e-05 -4 *30728:A *5936:138 5.10602e-05 -*RES -1 mgmt_gpio_in[7] *30728:A 19.3939 -*END - -*D_NET *78 0.000986634 -*CONN -*P mgmt_gpio_in[8] I -*I *30729:A I *D sky130_fd_sc_hd__buf_2 -*CAP -1 mgmt_gpio_in[8] 0.000474838 -2 *30729:A 0.000474838 -3 *30729:A *5659:28 0 -4 *30729:A *5936:138 3.69573e-05 -*RES -1 mgmt_gpio_in[8] *30729:A 19.0903 -*END - -*D_NET *79 0.000785318 -*CONN -*P mgmt_gpio_in[9] I -*I *30730:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 mgmt_gpio_in[9] 0.000367129 -2 *30730:A 0.000367129 -3 *30730:A *29773:A 0 -4 *30730:A *5625:8 5.10602e-05 -*RES -1 mgmt_gpio_in[9] *30730:A 19.1777 -*END - -*D_NET *80 0.000764741 -*CONN -*P mgmt_gpio_oeb[0] O -*I *30833:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_oeb[0] 0.000355606 -2 *30833:X 0.000355606 -3 mgmt_gpio_oeb[0] *5592:10 2.8583e-05 -4 mgmt_gpio_oeb[0] *5700:271 2.49459e-05 -*RES -1 *30833:X mgmt_gpio_oeb[0] 19.0183 -*END - -*D_NET *81 0.000995296 -*CONN -*P mgmt_gpio_oeb[10] O -*I *30834:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_oeb[10] 0.000466275 -2 *30834:X 0.000466275 -3 mgmt_gpio_oeb[10] *5614:13 6.27457e-05 -4 mgmt_gpio_oeb[10] *5625:8 0 -*RES -1 *30834:X mgmt_gpio_oeb[10] 19.6254 -*END - -*D_NET *82 0.000841028 -*CONN -*P mgmt_gpio_oeb[11] O -*I *30835:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_oeb[11] 0.000397359 -2 *30835:X 0.000397359 -3 mgmt_gpio_oeb[11] *25550:A0 4.63099e-05 -4 mgmt_gpio_oeb[11] *5625:8 0 -*RES -1 *30835:X mgmt_gpio_oeb[11] 18.7147 -*END - -*D_NET *83 0.00100714 -*CONN -*P mgmt_gpio_oeb[12] O -*I *30836:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_oeb[12] 0.000387118 -2 *30836:X 0.000387118 -3 mgmt_gpio_oeb[12] *25717:S 0.000115485 -4 mgmt_gpio_oeb[12] *5586:152 0.000117421 -*RES -1 *30836:X mgmt_gpio_oeb[12] 19.6254 -*END - -*D_NET *84 0.000820504 -*CONN -*P mgmt_gpio_oeb[13] O -*I *30837:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_oeb[13] 0.000385676 -2 *30837:X 0.000385676 -3 mgmt_gpio_oeb[13] *1853:94 0 -4 mgmt_gpio_oeb[13] *5432:36 2.28544e-05 -5 mgmt_gpio_oeb[13] *5586:144 2.62984e-05 -*RES -1 *30837:X mgmt_gpio_oeb[13] 18.7147 -*END - -*D_NET *85 0.000867669 -*CONN -*P mgmt_gpio_oeb[14] O -*I *30838:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_oeb[14] 0.000381209 -2 *30838:X 0.000381209 -3 mgmt_gpio_oeb[14] *1855:11 0 -4 mgmt_gpio_oeb[14] *3692:8 4.00395e-05 -5 mgmt_gpio_oeb[14] *4214:20 3.69406e-05 -6 mgmt_gpio_oeb[14] *4239:17 2.82701e-05 -*RES -1 *30838:X mgmt_gpio_oeb[14] 19.6254 -*END - -*D_NET *86 0.00146392 -*CONN -*P mgmt_gpio_oeb[15] O -*I *30839:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_oeb[15] 0.00046406 -2 *30839:X 0.00046406 -3 mgmt_gpio_oeb[15] *25714:A1 0.000200406 -4 mgmt_gpio_oeb[15] *26867:A1 0.0002253 -5 mgmt_gpio_oeb[15] *26977:A1 2.56219e-05 -6 mgmt_gpio_oeb[15] *3757:102 6.39829e-05 -7 mgmt_gpio_oeb[15] *5586:98 2.04866e-05 -*RES -1 *30839:X mgmt_gpio_oeb[15] 22.054 -*END - -*D_NET *87 0.00123967 -*CONN -*P mgmt_gpio_oeb[16] O -*I *30840:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_oeb[16] 0.000542037 -2 *30840:X 0.000542037 -3 mgmt_gpio_oeb[16] *26873:A0 6.57648e-05 -4 mgmt_gpio_oeb[16] *4412:11 5.33005e-05 -5 mgmt_gpio_oeb[16] *5461:32 0 -6 mgmt_gpio_oeb[16] *5586:84 9.87983e-06 -7 mgmt_gpio_oeb[16] *5875:13 2.66513e-05 -*RES -1 *30840:X mgmt_gpio_oeb[16] 19.9143 -*END - -*D_NET *88 0.000793801 -*CONN -*P mgmt_gpio_oeb[17] O -*I *30841:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_oeb[17] 0.000350844 -2 *30841:X 0.000350844 -3 mgmt_gpio_oeb[17] *25679:S 4.70981e-05 -4 mgmt_gpio_oeb[17] *30841:A 4.50149e-05 -*RES -1 *30841:X mgmt_gpio_oeb[17] 18.7147 -*END - -*D_NET *89 0.00119435 -*CONN -*P mgmt_gpio_oeb[18] O -*I *30842:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_oeb[18] 0.000561178 -2 *30842:X 0.000561178 -3 mgmt_gpio_oeb[18] *5893:113 7.19919e-05 -4 *30700:A mgmt_gpio_oeb[18] 0 -*RES -1 *30842:X mgmt_gpio_oeb[18] 19.9143 -*END - -*D_NET *90 0.000706431 -*CONN -*P mgmt_gpio_oeb[19] O -*I *30843:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_oeb[19] 0.000345761 -2 *30843:X 0.000345761 -3 mgmt_gpio_oeb[19] mgmt_gpio_oeb[37] 0 -4 mgmt_gpio_oeb[19] *1835:99 0 -5 mgmt_gpio_oeb[19] *3796:177 1.49084e-05 -*RES -1 *30843:X mgmt_gpio_oeb[19] 18.7147 -*END - -*D_NET *91 0.000818325 -*CONN -*P mgmt_gpio_oeb[1] O -*I *30844:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_oeb[1] 0.000388559 -2 *30844:X 0.000388559 -3 mgmt_gpio_oeb[1] *25710:S 2.62984e-05 -4 mgmt_gpio_oeb[1] *5700:271 1.49084e-05 -*RES -1 *30844:X mgmt_gpio_oeb[1] 18.7147 -*END - -*D_NET *92 0.0013338 -*CONN -*P mgmt_gpio_oeb[20] O -*I *30845:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_oeb[20] 0.000500223 -2 *30845:X 0.000500223 -3 mgmt_gpio_oeb[20] mgmt_gpio_out[20] 0 -4 mgmt_gpio_oeb[20] *30845:A 0.000144773 -5 mgmt_gpio_oeb[20] *5408:21 0.000188586 -6 *30703:A mgmt_gpio_oeb[20] 0 -*RES -1 *30845:X mgmt_gpio_oeb[20] 24.5857 -*END - -*D_NET *93 0.00258282 -*CONN -*P mgmt_gpio_oeb[21] O -*I *30846:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_oeb[21] 0.000710417 -2 *30846:X 0.000710417 -3 mgmt_gpio_oeb[21] mgmt_gpio_out[21] 0.000271345 -4 mgmt_gpio_oeb[21] *25689:A0 0.000129991 -5 mgmt_gpio_oeb[21] *30845:A 0.000235265 -6 mgmt_gpio_oeb[21] *5397:35 0.000525381 -7 *30704:A mgmt_gpio_oeb[21] 0 -*RES -1 *30846:X mgmt_gpio_oeb[21] 30.6036 -*END - -*D_NET *94 0.00251534 -*CONN -*P mgmt_gpio_oeb[22] O -*I *30847:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_oeb[22] 0.000632488 -2 *30847:X 0.000632488 -3 mgmt_gpio_oeb[22] mgmt_gpio_out[22] 0 -4 mgmt_gpio_oeb[22] *24836:A 0 -5 mgmt_gpio_oeb[22] *30847:A 0.000312209 -6 mgmt_gpio_oeb[22] *1835:35 0.000145019 -7 mgmt_gpio_oeb[22] *5408:21 0.000793131 -8 *56:13 mgmt_gpio_oeb[22] 0 -*RES -1 *30847:X mgmt_gpio_oeb[22] 30.3357 -*END - -*D_NET *95 0.00348525 -*CONN -*P mgmt_gpio_oeb[23] O -*I *30848:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_oeb[23] 0.000717014 -2 *30848:X 0.000717014 -3 mgmt_gpio_oeb[23] mgmt_gpio_out[23] 0 -4 mgmt_gpio_oeb[23] *30848:A 0.000142856 -5 mgmt_gpio_oeb[23] *1835:35 4.43256e-05 -6 mgmt_gpio_oeb[23] *3874:102 0.000503022 -7 mgmt_gpio_oeb[23] *5408:21 0.00113481 -8 *30707:A mgmt_gpio_oeb[23] 0 -9 *30708:A mgmt_gpio_oeb[23] 0.000226215 -*RES -1 *30848:X mgmt_gpio_oeb[23] 34.2821 -*END - -*D_NET *96 0.00211955 -*CONN -*P mgmt_gpio_oeb[24] O -*I *30849:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_oeb[24] 0.000711338 -2 *30849:X 0.000711338 -3 mgmt_gpio_oeb[24] mgmt_gpio_out[24] 0 -4 mgmt_gpio_oeb[24] *1835:35 0 -5 mgmt_gpio_oeb[24] *3848:95 0.00018077 -6 mgmt_gpio_oeb[24] *5397:35 0.000122159 -7 mgmt_gpio_oeb[24] *5458:67 0.000393943 -8 *30708:A mgmt_gpio_oeb[24] 0 -*RES -1 *30849:X mgmt_gpio_oeb[24] 28.7643 -*END - -*D_NET *97 0.00259247 -*CONN -*P mgmt_gpio_oeb[25] O -*I *30850:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_oeb[25] 0.00056031 -2 *30850:X 0.00056031 -3 mgmt_gpio_oeb[25] mgmt_gpio_out[25] 0 -4 mgmt_gpio_oeb[25] *30848:A 0.000184745 -5 mgmt_gpio_oeb[25] *30850:A 8.07313e-05 -6 mgmt_gpio_oeb[25] *5408:21 0.00083695 -7 *30708:A mgmt_gpio_oeb[25] 9.16173e-05 -8 *59:21 mgmt_gpio_oeb[25] 0.00027781 -*RES -1 *30850:X mgmt_gpio_oeb[25] 30.7464 -*END - -*D_NET *98 0.0037332 -*CONN -*P mgmt_gpio_oeb[26] O -*I *30851:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_oeb[26] 0.000711718 -2 *30851:X 0.000711718 -3 mgmt_gpio_oeb[26] mgmt_gpio_out[26] 0.000733839 -4 mgmt_gpio_oeb[26] *24833:A 0.000271345 -5 mgmt_gpio_oeb[26] *30850:A 0.000232276 -6 mgmt_gpio_oeb[26] *30851:A 1.55885e-05 -7 mgmt_gpio_oeb[26] *1180:16 5.33433e-05 -8 mgmt_gpio_oeb[26] *5408:21 0.00100337 -9 *60:13 mgmt_gpio_oeb[26] 0 -*RES -1 *30851:X mgmt_gpio_oeb[26] 37.1214 -*END - -*D_NET *99 0.00223294 -*CONN -*P mgmt_gpio_oeb[27] O -*I *30852:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_oeb[27] 0.000717838 -2 *30852:X 0.000717838 -3 mgmt_gpio_oeb[27] mgmt_gpio_out[27] 0 -4 mgmt_gpio_oeb[27] *24833:A 0 -5 mgmt_gpio_oeb[27] *522:10 5.31113e-05 -6 mgmt_gpio_oeb[27] *5397:45 0.000262572 -7 mgmt_gpio_oeb[27] *5458:67 0.000481581 -8 *61:14 mgmt_gpio_oeb[27] 0 -*RES -1 *30852:X mgmt_gpio_oeb[27] 29.5857 -*END - -*D_NET *100 0.00289677 -*CONN -*P mgmt_gpio_oeb[28] O -*I *30853:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_oeb[28] 0.000634881 -2 *30853:X 0.000634881 -3 mgmt_gpio_oeb[28] mgmt_gpio_oeb[29] 0.00014285 -4 mgmt_gpio_oeb[28] mgmt_gpio_out[28] 0 -5 mgmt_gpio_oeb[28] *24831:A 0.000312209 -6 mgmt_gpio_oeb[28] *1180:16 0.000192499 -7 mgmt_gpio_oeb[28] *5408:21 0.000919703 -8 *62:10 mgmt_gpio_oeb[28] 0 -9 *63:17 mgmt_gpio_oeb[28] 5.97496e-05 -*RES -1 *30853:X mgmt_gpio_oeb[28] 31.5679 -*END - -*D_NET *101 0.00370696 -*CONN -*P mgmt_gpio_oeb[29] O -*I *30854:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_oeb[29] 0.000739251 -2 *30854:X 0.000739251 -3 mgmt_gpio_oeb[29] mgmt_gpio_out[28] 3.33064e-05 -4 mgmt_gpio_oeb[29] mgmt_gpio_out[29] 0 -5 mgmt_gpio_oeb[29] mgmt_gpio_out[30] 0.000142053 -6 mgmt_gpio_oeb[29] *24821:A 0.000184745 -7 mgmt_gpio_oeb[29] *30854:A 0.00014183 -8 mgmt_gpio_oeb[29] *5274:20 9.71197e-05 -9 mgmt_gpio_oeb[29] *5296:20 9.54798e-06 -10 mgmt_gpio_oeb[29] *5408:21 0.000705204 -11 mgmt_gpio_oeb[28] mgmt_gpio_oeb[29] 0.00014285 -12 *63:17 mgmt_gpio_oeb[29] 0.000771804 -*RES -1 *30854:X mgmt_gpio_oeb[29] 35.925 -*END - -*D_NET *102 0.00141502 -*CONN -*P mgmt_gpio_oeb[2] O -*I *30855:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_oeb[2] 0.000647921 -2 *30855:X 0.000647921 -3 mgmt_gpio_oeb[2] *1371:25 5.94884e-05 -4 mgmt_gpio_oeb[2] *1844:189 0 -5 mgmt_gpio_oeb[2] *5753:119 5.96921e-05 -*RES -1 *30855:X mgmt_gpio_oeb[2] 20.5215 -*END - -*D_NET *103 0.00242555 -*CONN -*P mgmt_gpio_oeb[30] O -*I *30856:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_oeb[30] 0.00090137 -2 *30856:X 0.00090137 -3 mgmt_gpio_oeb[30] mgmt_gpio_out[29] 0 -4 mgmt_gpio_oeb[30] mgmt_gpio_out[30] 0 -5 mgmt_gpio_oeb[30] *29735:A 1.00733e-05 -6 mgmt_gpio_oeb[30] *139:26 3.21313e-05 -7 mgmt_gpio_oeb[30] *5446:21 0.000145165 -8 mgmt_gpio_oeb[30] *5449:28 0.000360894 -9 mgmt_gpio_oeb[30] *5453:13 7.4542e-05 -10 mgmt_gpio_oeb[30] *6040:20 0 -11 *65:10 mgmt_gpio_oeb[30] 0 -*RES -1 *30856:X mgmt_gpio_oeb[30] 31.8179 -*END - -*D_NET *104 0.00301417 -*CONN -*P mgmt_gpio_oeb[31] O -*I *30857:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_oeb[31] 0.000871315 -2 *30857:X 0.000871315 -3 mgmt_gpio_oeb[31] mgmt_gpio_out[31] 0 -4 mgmt_gpio_oeb[31] *24829:A 0 -5 mgmt_gpio_oeb[31] *30859:A 0.000872929 -6 mgmt_gpio_oeb[31] *139:26 1.49084e-05 -7 mgmt_gpio_oeb[31] *5453:32 9.25014e-06 -8 mgmt_gpio_oeb[31] *5458:67 0.0002126 -9 *30718:A mgmt_gpio_oeb[31] 0.000161849 -10 *66:13 mgmt_gpio_oeb[31] 0 -*RES -1 *30857:X mgmt_gpio_oeb[31] 33.8893 -*END - -*D_NET *105 0.0026358 -*CONN -*P mgmt_gpio_oeb[32] O -*I *30858:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_oeb[32] 0.000704037 -2 *30858:X 0.000704037 -3 mgmt_gpio_oeb[32] mgmt_gpio_out[32] 0 -4 mgmt_gpio_oeb[32] *30862:A 9.05499e-05 -5 mgmt_gpio_oeb[32] *30894:A 0.000423278 -6 mgmt_gpio_oeb[32] *5449:28 0.000267377 -7 mgmt_gpio_oeb[32] *5859:14 0.000446519 -8 *30718:A mgmt_gpio_oeb[32] 0 -*RES -1 *30858:X mgmt_gpio_oeb[32] 30.9964 -*END - -*D_NET *106 0.00328155 -*CONN -*P mgmt_gpio_oeb[33] O -*I *30859:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_oeb[33] 0.000852609 -2 *30859:X 0.000852609 -3 mgmt_gpio_oeb[33] mgmt_gpio_out[33] 0 -4 mgmt_gpio_oeb[33] *30859:A 0.000439589 -5 mgmt_gpio_oeb[33] *30898:A 0.000399092 -6 mgmt_gpio_oeb[33] *3991:72 0 -7 mgmt_gpio_oeb[33] *5408:42 7.84892e-05 -8 mgmt_gpio_oeb[33] *5460:40 7.92229e-06 -9 mgmt_gpio_oeb[33] *5925:9 0.000651243 -10 *68:11 mgmt_gpio_oeb[33] 0 -*RES -1 *30859:X mgmt_gpio_oeb[33] 33.8893 -*END - -*D_NET *107 0.00305258 -*CONN -*P mgmt_gpio_oeb[34] O -*I *30860:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_oeb[34] 0.000695064 -2 *30860:X 0.000695064 -3 mgmt_gpio_oeb[34] mgmt_gpio_out[34] 0.00040685 -4 mgmt_gpio_oeb[34] mgmt_gpio_out[35] 8.07313e-05 -5 mgmt_gpio_oeb[34] *5859:11 0.00100926 -6 *30713:A mgmt_gpio_oeb[34] 0.000165612 -7 *30715:A mgmt_gpio_oeb[34] 0 -8 *30720:A mgmt_gpio_oeb[34] 0 -*RES -1 *30860:X mgmt_gpio_oeb[34] 35.7464 -*END - -*D_NET *108 0.00221595 -*CONN -*P mgmt_gpio_oeb[35] O -*I *30861:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_oeb[35] 0.000851965 -2 *30861:X 0.000851965 -3 mgmt_gpio_oeb[35] mgmt_gpio_out[35] 0 -4 mgmt_gpio_oeb[35] *30860:A 0.000231265 -5 mgmt_gpio_oeb[35] *30862:A 0.000150482 -6 mgmt_gpio_oeb[35] *5825:8 0.000130274 -7 *30721:A mgmt_gpio_oeb[35] 0 -*RES -1 *30861:X mgmt_gpio_oeb[35] 32.2286 -*END - -*D_NET *109 0.00219524 -*CONN -*P mgmt_gpio_oeb[36] O -*I *30862:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_oeb[36] 0.00106355 -2 *30862:X 0.00106355 -3 mgmt_gpio_oeb[36] mgmt_gpio_out[19] 0 -4 mgmt_gpio_oeb[36] mgmt_gpio_out[36] 0 -5 mgmt_gpio_oeb[36] *30862:A 6.81335e-05 -6 *30722:A mgmt_gpio_oeb[36] 0 -*RES -1 *30862:X mgmt_gpio_oeb[36] 32.2286 -*END - -*D_NET *110 0.00267207 -*CONN -*P mgmt_gpio_oeb[37] O -*I *30863:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_oeb[37] 0.00109345 -2 *30863:X 0.00109345 -3 mgmt_gpio_oeb[37] mgmt_gpio_out[37] 0 -4 mgmt_gpio_oeb[37] *3796:177 0 -5 mgmt_gpio_oeb[37] *5461:32 0.000485158 -6 mgmt_gpio_oeb[19] mgmt_gpio_oeb[37] 0 -7 *30723:A mgmt_gpio_oeb[37] 0 -*RES -1 *30863:X mgmt_gpio_oeb[37] 36.1393 -*END - -*D_NET *111 0.000906502 -*CONN -*P mgmt_gpio_oeb[3] O -*I *30864:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_oeb[3] 0.000395871 -2 *30864:X 0.000395871 -3 mgmt_gpio_oeb[3] *30864:A 4.50149e-05 -4 mgmt_gpio_oeb[3] *1844:183 0 -5 mgmt_gpio_oeb[3] *5936:6 4.70981e-05 -6 mgmt_gpio_oeb[3] *5947:14 2.26467e-05 -*RES -1 *30864:X mgmt_gpio_oeb[3] 18.7147 -*END - -*D_NET *112 0.00103621 -*CONN -*P mgmt_gpio_oeb[4] O -*I *30865:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_oeb[4] 0.00040165 -2 *30865:X 0.00040165 -3 mgmt_gpio_oeb[4] *1844:163 0.000115485 -4 mgmt_gpio_oeb[4] *5936:6 0.000117421 -*RES -1 *30865:X mgmt_gpio_oeb[4] 19.6254 -*END - -*D_NET *113 0.000943038 -*CONN -*P mgmt_gpio_oeb[5] O -*I *30866:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_oeb[5] 0.00044797 -2 *30866:X 0.00044797 -3 mgmt_gpio_oeb[5] *5625:8 0 -4 mgmt_gpio_oeb[5] *5936:6 4.70981e-05 -*RES -1 *30866:X mgmt_gpio_oeb[5] 18.7147 -*END - -*D_NET *114 0.00092513 -*CONN -*P mgmt_gpio_oeb[6] O -*I *30867:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_oeb[6] 0.000427611 -2 *30867:X 0.000427611 -3 mgmt_gpio_oeb[6] *28480:RESET_B 0 -4 mgmt_gpio_oeb[6] *5936:138 6.99087e-05 -*RES -1 *30867:X mgmt_gpio_oeb[6] 19.0183 -*END - -*D_NET *115 0.000894192 -*CONN -*P mgmt_gpio_oeb[7] O -*I *30868:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_oeb[7] 0.000401039 -2 *30868:X 0.000401039 -3 mgmt_gpio_oeb[7] *30868:A 4.70981e-05 -4 mgmt_gpio_oeb[7] *5936:138 4.50149e-05 -*RES -1 *30868:X mgmt_gpio_oeb[7] 18.7147 -*END - -*D_NET *116 0.00101023 -*CONN -*P mgmt_gpio_oeb[8] O -*I *30869:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_oeb[8] 0.000448049 -2 *30869:X 0.000448049 -3 mgmt_gpio_oeb[8] *30869:A 0 -4 mgmt_gpio_oeb[8] *5936:138 0.000114129 -*RES -1 *30869:X mgmt_gpio_oeb[8] 19.6254 -*END - -*D_NET *117 0.000886937 -*CONN -*P mgmt_gpio_oeb[9] O -*I *30870:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_oeb[9] 0.000419919 -2 *30870:X 0.000419919 -3 mgmt_gpio_oeb[9] *30870:A 4.70981e-05 -4 mgmt_gpio_oeb[9] *5625:8 0 -*RES -1 *30870:X mgmt_gpio_oeb[9] 18.7147 -*END - -*D_NET *118 0.000844841 -*CONN -*P mgmt_gpio_out[0] O -*I *30871:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_out[0] 0.000401721 -2 *30871:X 0.000401721 -3 mgmt_gpio_out[0] *4561:9 2.28544e-05 -4 mgmt_gpio_out[0] *5592:10 1.85455e-05 -*RES -1 *30871:X mgmt_gpio_out[0] 18.7147 -*END - -*D_NET *119 0.000860293 -*CONN -*P mgmt_gpio_out[10] O -*I *30872:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_out[10] 0.000416997 -2 *30872:X 0.000416997 -3 mgmt_gpio_out[10] *5625:8 0 -4 *30692:A mgmt_gpio_out[10] 2.62984e-05 -*RES -1 *30872:X mgmt_gpio_out[10] 18.7147 -*END - -*D_NET *120 0.000972955 -*CONN -*P mgmt_gpio_out[11] O -*I *30873:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_out[11] 0.00042802 -2 *30873:X 0.00042802 -3 mgmt_gpio_out[11] *25550:A0 0.000116914 -4 mgmt_gpio_out[11] *5947:118 0 -*RES -1 *30873:X mgmt_gpio_out[11] 19.6254 -*END - -*D_NET *121 0.00081288 -*CONN -*P mgmt_gpio_out[12] O -*I *30874:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_out[12] 0.000360384 -2 *30874:X 0.000360384 -3 mgmt_gpio_out[12] *25717:S 4.50149e-05 -4 mgmt_gpio_out[12] *5586:152 4.70981e-05 -*RES -1 *30874:X mgmt_gpio_out[12] 18.7147 -*END - -*D_NET *122 0.000976554 -*CONN -*P mgmt_gpio_out[13] O -*I *30875:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_out[13] 0.000436884 -2 *30875:X 0.000436884 -3 mgmt_gpio_out[13] *3692:8 4.00395e-05 -4 mgmt_gpio_out[13] *5586:144 6.27457e-05 -*RES -1 *30875:X mgmt_gpio_out[13] 19.6254 -*END - -*D_NET *123 0.000947096 -*CONN -*P mgmt_gpio_out[14] O -*I *30876:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 mgmt_gpio_out[14] 0.000395742 -2 *30876:X 0.000395742 -3 mgmt_gpio_out[14] *3692:8 3.86844e-05 -4 mgmt_gpio_out[14] *3692:29 0 -5 mgmt_gpio_out[14] *5176:14 0.000116928 -*RES -1 *30876:X mgmt_gpio_out[14] 19.6254 -*END - -*D_NET *124 0.00220394 -*CONN -*P mgmt_gpio_out[15] O -*I *30877:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 mgmt_gpio_out[15] 0.000710927 -2 *30877:X 0.000710927 -3 mgmt_gpio_out[15] *27031:S 7.91465e-05 -4 mgmt_gpio_out[15] *30877:A 0.000235753 -5 mgmt_gpio_out[15] *244:175 7.90803e-05 -6 mgmt_gpio_out[15] *2769:167 8.95905e-05 -7 mgmt_gpio_out[15] *3538:92 6.67187e-05 -8 mgmt_gpio_out[15] *5586:98 9.11365e-06 -9 mgmt_gpio_out[15] *5800:84 0.000222687 -*RES -1 *30877:X mgmt_gpio_out[15] 24.5929 -*END - -*D_NET *125 0.000786659 -*CONN -*P mgmt_gpio_out[16] O -*I *30878:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_out[16] 0.000362326 -2 *30878:X 0.000362326 -3 mgmt_gpio_out[16] *3538:92 4.70981e-05 -4 mgmt_gpio_out[16] *3796:177 1.49084e-05 -*RES -1 *30878:X mgmt_gpio_out[16] 18.7147 -*END - -*D_NET *126 0.000924214 -*CONN -*P mgmt_gpio_out[17] O -*I *30879:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_out[17] 0.000386127 -2 *30879:X 0.000386127 -3 mgmt_gpio_out[17] *25679:S 0.000115688 -4 mgmt_gpio_out[17] *3796:177 3.62729e-05 -*RES -1 *30879:X mgmt_gpio_out[17] 19.6254 -*END - -*D_NET *127 0.000759268 -*CONN -*P mgmt_gpio_out[18] O -*I *30880:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_out[18] 0.000333577 -2 *30880:X 0.000333577 -3 mgmt_gpio_out[18] *25683:A1 4.70981e-05 -4 mgmt_gpio_out[18] *1835:104 4.50149e-05 -*RES -1 *30880:X mgmt_gpio_out[18] 18.7147 -*END - -*D_NET *128 0.00615186 -*CONN -*P mgmt_gpio_out[19] O -*I *30881:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_out[19] 0.0022844 -2 *30881:X 0.0022844 -3 mgmt_gpio_out[19] mgmt_gpio_out[36] 5.6468e-05 -4 mgmt_gpio_out[19] *25684:A0 0.000266829 -5 mgmt_gpio_out[19] *28172:D 0.000309266 -6 mgmt_gpio_out[19] *28207:D 0.000120975 -7 mgmt_gpio_out[19] *30564:A 0.000172735 -8 mgmt_gpio_out[19] *30900:A 0 -9 mgmt_gpio_out[19] *4248:8 0.00026235 -10 mgmt_gpio_out[19] *5588:93 8.14438e-05 -11 mgmt_gpio_out[19] *5588:98 0.000193707 -12 mgmt_gpio_oeb[36] mgmt_gpio_out[19] 0 -13 *30722:A mgmt_gpio_out[19] 0 -14 *66:20 mgmt_gpio_out[19] 0.000119285 -*RES -1 *30881:X mgmt_gpio_out[19] 42.9424 -*END - -*D_NET *129 0.00102683 -*CONN -*P mgmt_gpio_out[1] O -*I *30882:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_out[1] 0.00042484 -2 *30882:X 0.00042484 -3 mgmt_gpio_out[1] *1844:189 6.20635e-05 -4 mgmt_gpio_out[1] *1864:187 0.000115084 -*RES -1 *30882:X mgmt_gpio_out[1] 19.6254 -*END - -*D_NET *130 0.00265545 -*CONN -*P mgmt_gpio_out[20] O -*I *30883:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_out[20] 0.000751624 -2 *30883:X 0.000751624 -3 mgmt_gpio_out[20] *30845:A 0.000441731 -4 mgmt_gpio_out[20] *5408:21 0.000699613 -5 mgmt_gpio_oeb[20] mgmt_gpio_out[20] 0 -6 *30704:A mgmt_gpio_out[20] 1.08601e-05 -*RES -1 *30883:X mgmt_gpio_out[20] 30.9964 -*END - -*D_NET *131 0.00359976 -*CONN -*P mgmt_gpio_out[21] O -*I *30884:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_out[21] 0.000842843 -2 *30884:X 0.000842843 -3 mgmt_gpio_out[21] *25689:A0 0.000917851 -4 mgmt_gpio_out[21] *30846:A 4.1331e-06 -5 mgmt_gpio_out[21] *30883:A 0 -6 mgmt_gpio_out[21] *5397:35 0.00069732 -7 mgmt_gpio_out[21] *5444:8 2.34204e-05 -8 mgmt_gpio_oeb[21] mgmt_gpio_out[21] 0.000271345 -9 *56:13 mgmt_gpio_out[21] 0 -*RES -1 *30884:X mgmt_gpio_out[21] 36.6036 -*END - -*D_NET *132 0.00322995 -*CONN -*P mgmt_gpio_out[22] O -*I *30885:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_out[22] 0.00110284 -2 *30885:X 0.00110284 -3 mgmt_gpio_out[22] *24836:A 2.90311e-05 -4 mgmt_gpio_out[22] *25689:A1 2.84494e-05 -5 mgmt_gpio_out[22] *30884:A 3.92757e-05 -6 mgmt_gpio_out[22] *1835:35 0.000382291 -7 mgmt_gpio_out[22] *3874:102 1.88048e-05 -8 mgmt_gpio_out[22] *4786:21 0.00052642 -9 mgmt_gpio_out[22] *5736:10 0 -10 mgmt_gpio_oeb[22] mgmt_gpio_out[22] 0 -11 *30707:A mgmt_gpio_out[22] 0 -*RES -1 *30885:X mgmt_gpio_out[22] 43.0679 -*END - -*D_NET *133 0.00312178 -*CONN -*P mgmt_gpio_out[23] O -*I *30886:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_out[23] 0.00119048 -2 *30886:X 0.00119048 -3 mgmt_gpio_out[23] *1835:35 0 -4 mgmt_gpio_out[23] *1835:40 0 -5 mgmt_gpio_out[23] *3874:102 0.000146626 -6 mgmt_gpio_out[23] *4786:21 0.000573176 -7 mgmt_gpio_out[23] *5445:26 4.46186e-06 -8 mgmt_gpio_out[23] *5446:10 1.65558e-05 -9 mgmt_gpio_oeb[23] mgmt_gpio_out[23] 0 -10 *30708:A mgmt_gpio_out[23] 0 -*RES -1 *30886:X mgmt_gpio_out[23] 43.4786 -*END - -*D_NET *134 0.00319878 -*CONN -*P mgmt_gpio_out[24] O -*I *30887:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_out[24] 0.000937041 -2 *30887:X 0.000937041 -3 mgmt_gpio_out[24] mgmt_gpio_out[25] 9.90431e-05 -4 mgmt_gpio_out[24] *30887:A 5.52302e-05 -5 mgmt_gpio_out[24] *3848:95 0.000224577 -6 mgmt_gpio_out[24] *5397:45 0.000137313 -7 mgmt_gpio_out[24] *5458:67 0.000744458 -8 mgmt_gpio_out[24] *5770:17 0 -9 mgmt_gpio_oeb[24] mgmt_gpio_out[24] 0 -10 *59:21 mgmt_gpio_out[24] 6.40752e-05 -*RES -1 *30887:X mgmt_gpio_out[24] 36.4786 -*END - -*D_NET *135 0.00307093 -*CONN -*P mgmt_gpio_out[25] O -*I *30888:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_out[25] 0.00103339 -2 *30888:X 0.00103339 -3 mgmt_gpio_out[25] mgmt_gpio_out[26] 5.8685e-05 -4 mgmt_gpio_out[25] *30848:A 7.92915e-05 -5 mgmt_gpio_out[25] *30887:A 0 -6 mgmt_gpio_out[25] *30888:A 1.89507e-06 -7 mgmt_gpio_out[25] *5173:14 0.00040381 -8 mgmt_gpio_out[25] *5397:45 0.000312998 -9 mgmt_gpio_out[25] *5458:67 3.5298e-05 -10 mgmt_gpio_oeb[25] mgmt_gpio_out[25] 0 -11 mgmt_gpio_out[24] mgmt_gpio_out[25] 9.90431e-05 -12 *60:13 mgmt_gpio_out[25] 1.31235e-05 -*RES -1 *30888:X mgmt_gpio_out[25] 43.7464 -*END - -*D_NET *136 0.00376671 -*CONN -*P mgmt_gpio_out[26] O -*I *30889:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_out[26] 0.00108518 -2 *30889:X 0.00108518 -3 mgmt_gpio_out[26] *30543:A 4.21613e-05 -4 mgmt_gpio_out[26] *4231:14 0.000235254 -5 mgmt_gpio_out[26] *4786:21 0.000526407 -6 mgmt_gpio_oeb[26] mgmt_gpio_out[26] 0.000733839 -7 mgmt_gpio_out[25] mgmt_gpio_out[26] 5.8685e-05 -8 *61:14 mgmt_gpio_out[26] 0 -*RES -1 *30889:X mgmt_gpio_out[26] 35.8 -*END - -*D_NET *137 0.00440757 -*CONN -*P mgmt_gpio_out[27] O -*I *30890:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_out[27] 0.000583348 -2 *30890:X 0.000855902 -3 *137:17 0.00143925 -4 mgmt_gpio_out[27] *24833:A 0.000125502 -5 mgmt_gpio_out[27] *30852:A 3.49239e-05 -6 mgmt_gpio_out[27] *1180:16 2.74936e-05 -7 mgmt_gpio_out[27] *5460:35 7.55747e-05 -8 *137:17 *24829:A 0.000342083 -9 *137:17 *29982:A 2.07809e-05 -10 *137:17 *5296:20 4.38058e-05 -11 *137:17 *5397:45 0.000385429 -12 *137:17 *5449:28 5.36241e-05 -13 *137:17 *5451:23 0.000172536 -14 *137:17 *5457:30 0 -15 *137:17 *6039:24 0.000247311 -16 mgmt_gpio_oeb[27] mgmt_gpio_out[27] 0 -17 *62:10 mgmt_gpio_out[27] 0 -*RES -1 *30890:X *137:17 39.5841 -2 *137:17 mgmt_gpio_out[27] 12.0536 -*END - -*D_NET *138 0.0028391 -*CONN -*P mgmt_gpio_out[28] O -*I *30891:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_out[28] 0.00137023 -2 *30891:X 0.00137023 -3 mgmt_gpio_out[28] *29737:A 0 -4 mgmt_gpio_out[28] *29982:A 6.53397e-05 -5 mgmt_gpio_out[28] *5274:20 0 -6 mgmt_gpio_out[28] *5296:20 0 -7 mgmt_gpio_out[28] *5364:13 0 -8 mgmt_gpio_oeb[28] mgmt_gpio_out[28] 0 -9 mgmt_gpio_oeb[29] mgmt_gpio_out[28] 3.33064e-05 -10 *63:17 mgmt_gpio_out[28] 0 -*RES -1 *30891:X mgmt_gpio_out[28] 43.0857 -*END - -*D_NET *139 0.00431031 -*CONN -*P mgmt_gpio_out[29] O -*I *30892:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_out[29] 0.000434957 -2 *30892:X 0.000744771 -3 *139:26 0.00117973 -4 mgmt_gpio_out[29] *5274:20 4.11218e-05 -5 *139:26 *24821:A 4.00349e-05 -6 *139:26 *24829:A 4.50149e-05 -7 *139:26 *29982:A 0.0002939 -8 *139:26 *5274:20 0.000163196 -9 *139:26 *5397:45 0.000264154 -10 *139:26 *5408:42 7.11294e-05 -11 *139:26 *5453:13 0.000271352 -12 *139:26 *6039:24 1.65492e-05 -13 *139:26 *6040:20 0.00010096 -14 mgmt_gpio_oeb[29] mgmt_gpio_out[29] 0 -15 mgmt_gpio_oeb[30] mgmt_gpio_out[29] 0 -16 mgmt_gpio_oeb[30] *139:26 3.21313e-05 -17 mgmt_gpio_oeb[31] *139:26 1.49084e-05 -18 *63:17 *139:26 0 -19 *65:10 mgmt_gpio_out[29] 0.00059013 -20 *66:13 *139:26 6.27272e-06 -*RES -1 *30892:X *139:26 41.4655 -2 *139:26 mgmt_gpio_out[29] 12.3304 -*END - -*D_NET *140 0.000877587 -*CONN -*P mgmt_gpio_out[2] O -*I *30893:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_out[2] 0.000392737 -2 *30893:X 0.000392737 -3 mgmt_gpio_out[2] *1844:189 4.70981e-05 -4 mgmt_gpio_out[2] *1864:187 4.50149e-05 -*RES -1 *30893:X mgmt_gpio_out[2] 18.7147 -*END - -*D_NET *141 0.00410317 -*CONN -*P mgmt_gpio_out[30] O -*I *30894:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_out[30] 0.00153238 -2 *30894:X 0.00153238 -3 mgmt_gpio_out[30] *24829:A 0.000339021 -4 mgmt_gpio_out[30] *30854:A 1.7776e-05 -5 mgmt_gpio_out[30] *30858:A 0.000142856 -6 mgmt_gpio_out[30] *5408:21 5.52302e-05 -7 mgmt_gpio_out[30] *5408:42 0.000333243 -8 mgmt_gpio_oeb[29] mgmt_gpio_out[30] 0.000142053 -9 mgmt_gpio_oeb[30] mgmt_gpio_out[30] 0 -10 *63:17 mgmt_gpio_out[30] 8.22793e-06 -11 *66:13 mgmt_gpio_out[30] 0 -*RES -1 *30894:X mgmt_gpio_out[30] 38.9071 -*END - -*D_NET *142 0.00279239 -*CONN -*P mgmt_gpio_out[31] O -*I *30895:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_out[31] 0.000839553 -2 *30895:X 0.000839553 -3 mgmt_gpio_out[31] *26864:A1 9.41642e-05 -4 mgmt_gpio_out[31] *30856:A 0.000147259 -5 mgmt_gpio_out[31] *30857:A 0.000340787 -6 mgmt_gpio_out[31] *5408:42 1.94879e-05 -7 mgmt_gpio_out[31] *5859:14 0 -8 mgmt_gpio_out[31] *6241:9 4.87854e-05 -9 mgmt_gpio_oeb[31] mgmt_gpio_out[31] 0 -10 *30718:A mgmt_gpio_out[31] 0.000462802 -*RES -1 *30895:X mgmt_gpio_out[31] 34.1036 -*END - -*D_NET *143 0.00293006 -*CONN -*P mgmt_gpio_out[32] O -*I *30896:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_out[32] 0.000856015 -2 *30896:X 0.000856015 -3 mgmt_gpio_out[32] *24826:A 9.90367e-05 -4 mgmt_gpio_out[32] *30858:A 0.000360299 -5 mgmt_gpio_out[32] *30894:A 0 -6 mgmt_gpio_out[32] *5408:42 0.000400867 -7 mgmt_gpio_out[32] *5859:11 0.000357831 -8 mgmt_gpio_oeb[32] mgmt_gpio_out[32] 0 -9 *68:11 mgmt_gpio_out[32] 0 -*RES -1 *30896:X mgmt_gpio_out[32] 34.0321 -*END - -*D_NET *144 0.00262467 -*CONN -*P mgmt_gpio_out[33] O -*I *30897:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_out[33] 0.000770129 -2 *30897:X 0.000770129 -3 mgmt_gpio_out[33] *30862:A 0.000105811 -4 mgmt_gpio_out[33] *30897:A 0.000218019 -5 mgmt_gpio_out[33] *3991:72 0.000128296 -6 mgmt_gpio_out[33] *5925:10 0.000175512 -7 mgmt_gpio_oeb[33] mgmt_gpio_out[33] 0 -8 *30715:A mgmt_gpio_out[33] 5.57989e-05 -9 *30720:A mgmt_gpio_out[33] 0 -10 *68:11 mgmt_gpio_out[33] 0.000400971 -*RES -1 *30897:X mgmt_gpio_out[33] 32.2286 -*END - -*D_NET *145 0.00207291 -*CONN -*P mgmt_gpio_out[34] O -*I *30898:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_out[34] 0.000655866 -2 *30898:X 0.000655866 -3 mgmt_gpio_out[34] *30898:A 0.000274288 -4 mgmt_gpio_out[34] *5925:9 8.00431e-05 -5 mgmt_gpio_oeb[34] mgmt_gpio_out[34] 0.00040685 -6 *30721:A mgmt_gpio_out[34] 0 -*RES -1 *30898:X mgmt_gpio_out[34] 29.5857 -*END - -*D_NET *146 0.00295613 -*CONN -*P mgmt_gpio_out[35] O -*I *30899:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_out[35] 0.0012535 -2 *30899:X 0.0012535 -3 mgmt_gpio_out[35] *30899:A 9.90367e-05 -4 mgmt_gpio_out[35] *5859:11 0.000216062 -5 mgmt_gpio_oeb[34] mgmt_gpio_out[35] 8.07313e-05 -6 mgmt_gpio_oeb[35] mgmt_gpio_out[35] 0 -7 *30722:A mgmt_gpio_out[35] 0 -8 *66:20 mgmt_gpio_out[35] 5.33005e-05 -*RES -1 *30899:X mgmt_gpio_out[35] 36.3 -*END - -*D_NET *147 0.00213303 -*CONN -*P mgmt_gpio_out[36] O -*I *30900:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_out[36] 0.000733473 -2 *30900:X 0.000733473 -3 mgmt_gpio_out[36] *30900:A 0.000142856 -4 mgmt_gpio_oeb[36] mgmt_gpio_out[36] 0 -5 mgmt_gpio_out[19] mgmt_gpio_out[36] 5.6468e-05 -6 *30723:A mgmt_gpio_out[36] 0.000271345 -7 *66:20 mgmt_gpio_out[36] 0.000195412 -*RES -1 *30900:X mgmt_gpio_out[36] 29.5857 -*END - -*D_NET *148 0.00285684 -*CONN -*P mgmt_gpio_out[37] O -*I *30901:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_out[37] 0.00134223 -2 *30901:X 0.00134223 -3 mgmt_gpio_out[37] *30843:A 5.52238e-05 -4 mgmt_gpio_out[37] *3796:177 0 -5 mgmt_gpio_out[37] *5588:93 3.93874e-05 -6 mgmt_gpio_oeb[37] mgmt_gpio_out[37] 0 -7 *66:20 mgmt_gpio_out[37] 7.77751e-05 -*RES -1 *30901:X mgmt_gpio_out[37] 38.1393 -*END - -*D_NET *149 0.00145456 -*CONN -*P mgmt_gpio_out[3] O -*I *30902:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_out[3] 0.000630536 -2 *30902:X 0.000630536 -3 mgmt_gpio_out[3] *30902:A 5.33005e-05 -4 mgmt_gpio_out[3] *1864:170 7.19919e-05 -5 mgmt_gpio_out[3] *5583:151 6.8194e-05 -*RES -1 *30902:X mgmt_gpio_out[3] 19.9143 -*END - -*D_NET *150 0.00083978 -*CONN -*P mgmt_gpio_out[4] O -*I *30903:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_out[4] 0.000373834 -2 *30903:X 0.000373834 -3 mgmt_gpio_out[4] *1844:163 4.50149e-05 -4 mgmt_gpio_out[4] *5936:6 4.70981e-05 -*RES -1 *30903:X mgmt_gpio_out[4] 18.7147 -*END - -*D_NET *151 0.000865604 -*CONN -*P mgmt_gpio_out[5] O -*I *30904:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_out[5] 0.000396806 -2 *30904:X 0.000396806 -3 mgmt_gpio_out[5] *5625:8 0 -4 mgmt_gpio_out[5] *5936:6 7.19919e-05 -*RES -1 *30904:X mgmt_gpio_out[5] 19.0183 -*END - -*D_NET *152 0.000878554 -*CONN -*P mgmt_gpio_out[6] O -*I *30905:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_out[6] 0.00041677 -2 *30905:X 0.00041677 -3 mgmt_gpio_out[6] *28480:RESET_B 0 -4 mgmt_gpio_out[6] *5936:138 4.50149e-05 -*RES -1 *30905:X mgmt_gpio_out[6] 18.7147 -*END - -*D_NET *153 0.00139711 -*CONN -*P mgmt_gpio_out[7] O -*I *30906:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_out[7] 0.000610834 -2 *30906:X 0.000610834 -3 mgmt_gpio_out[7] *28480:RESET_B 0.000113377 -4 mgmt_gpio_out[7] *5679:92 6.20635e-05 -*RES -1 *30906:X mgmt_gpio_out[7] 20.5215 -*END - -*D_NET *154 0.000850431 -*CONN -*P mgmt_gpio_out[8] O -*I *30907:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 mgmt_gpio_out[8] 0.000402708 -2 *30907:X 0.000402708 -3 mgmt_gpio_out[8] *24850:A 0 -4 mgmt_gpio_out[8] *5936:138 4.50149e-05 -*RES -1 *30907:X mgmt_gpio_out[8] 18.7147 -*END - -*D_NET *155 0.00257926 -*CONN -*P mgmt_gpio_out[9] O -*I *30908:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 mgmt_gpio_out[9] 0.000867621 -2 *30908:X 0.000867621 -3 mgmt_gpio_out[9] *25320:A1 5.90967e-05 -4 mgmt_gpio_out[9] *28555:SET_B 7.71321e-05 -5 mgmt_gpio_out[9] *30908:A 0.000217969 -6 mgmt_gpio_out[9] *2768:175 0.000435959 -7 mgmt_gpio_out[9] *3690:17 2.06178e-05 -8 mgmt_gpio_out[9] *5625:8 0 -9 mgmt_gpio_out[9] *5659:24 3.32442e-05 -*RES -1 *30908:X mgmt_gpio_out[9] 26.1107 -*END - -*D_NET *156 0.00422192 -*CONN -*P pad_flash_clk O -*I *30909:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 pad_flash_clk 0.00173002 -2 *30909:X 0.00173002 -3 pad_flash_clk pad_flash_clk_oeb 0 -4 pad_flash_clk *6443:DIODE 5.01643e-05 -5 pad_flash_clk *29270:A 0.000141935 -6 pad_flash_clk *244:19 0 -7 pad_flash_clk *752:15 0 -8 pad_flash_clk *4999:11 0.000212555 -9 pad_flash_clk *5015:14 4.58495e-05 -10 pad_flash_clk *5479:14 0.000139277 -11 pad_flash_clk *5493:68 0.000152333 -12 pad_flash_clk *6003:13 0 -13 pad_flash_clk *6158:41 1.97617e-05 -*RES -1 *30909:X pad_flash_clk 46.2286 -*END - -*D_NET *157 0.00155181 -*CONN -*P pad_flash_clk_oeb O -*I *30910:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pad_flash_clk_oeb 0.000700696 -2 *30910:X 0.000700696 -3 pad_flash_clk_oeb pad_flash_csb 0 -4 pad_flash_clk_oeb *30066:A 0 -5 pad_flash_clk_oeb *30910:A 0 -6 pad_flash_clk_oeb *4255:9 9.83442e-05 -7 pad_flash_clk_oeb *4256:11 5.20751e-05 -8 pad_flash_clk pad_flash_clk_oeb 0 -*RES -1 *30910:X pad_flash_clk_oeb 26.4071 -*END - -*D_NET *158 0.000840766 -*CONN -*P pad_flash_csb O -*I *30911:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pad_flash_csb 0.000383333 -2 *30911:X 0.000383333 -3 pad_flash_csb pad_flash_csb_oeb 0 -4 pad_flash_csb *30066:A 7.40992e-05 -5 pad_flash_csb *5073:10 0 -6 pad_flash_clk_oeb pad_flash_csb 0 -*RES -1 *30911:X pad_flash_csb 21.6036 -*END - -*D_NET *159 0.00228826 -*CONN -*P pad_flash_csb_oeb O -*I *30912:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pad_flash_csb_oeb 0.000431784 -2 *30912:X 0.000431784 -3 pad_flash_csb_oeb *29137:A 0.000452382 -4 pad_flash_csb_oeb *160:14 0 -5 pad_flash_csb_oeb *4255:9 0.000353086 -6 pad_flash_csb_oeb *4256:11 0.00018631 -7 pad_flash_csb_oeb *4309:8 0.000405031 -8 pad_flash_csb_oeb *5073:10 2.78822e-05 -9 pad_flash_csb pad_flash_csb_oeb 0 -*RES -1 *30912:X pad_flash_csb_oeb 27.1393 -*END - -*D_NET *160 0.00707618 -*CONN -*P pad_flash_io0_di I -*I *30731:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 pad_flash_io0_di 0.00166658 -2 *30731:A 0 -3 *160:14 0.00166658 -4 *160:14 pad_flash_io0_do 0 -5 *160:14 *25568:A 1.15058e-05 -6 *160:14 *25570:B 0 -7 *160:14 *29128:A 0.000685008 -8 *160:14 *29137:A 0 -9 *160:14 *29138:A 0.000114553 -10 *160:14 *30054:A 4.94455e-05 -11 *160:14 *30912:A 5.16867e-05 -12 *160:14 *4184:45 0.00027142 -13 *160:14 *4296:8 9.23634e-05 -14 *160:14 *4382:17 0.000183093 -15 *160:14 *4383:16 0.000186662 -16 *160:14 *4910:17 0.0010512 -17 *160:14 *5043:11 2.80488e-05 -18 *160:14 *5476:8 0 -19 *160:14 *5980:14 0.000419024 -20 *160:14 *6147:31 2.93047e-05 -21 *160:14 *6169:159 0.000569709 -22 pad_flash_csb_oeb *160:14 0 -*RES -1 pad_flash_io0_di *160:14 43.8393 -2 *160:14 *30731:A 9.3 -*END - -*D_NET *161 0.0011029 -*CONN -*P pad_flash_io0_do O -*I *30913:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pad_flash_io0_do 0.000409038 -2 *30913:X 0.000409038 -3 pad_flash_io0_do pad_flash_io0_ieb 0 -4 pad_flash_io0_do *25570:A 2.59355e-05 -5 pad_flash_io0_do *30055:A 1.00375e-05 -6 pad_flash_io0_do *4296:8 0 -7 pad_flash_io0_do *4298:8 0.000195555 -8 pad_flash_io0_do *5476:8 0 -9 pad_flash_io0_do *5493:68 5.33005e-05 -10 *160:14 pad_flash_io0_do 0 -*RES -1 *30913:X pad_flash_io0_do 22.1393 -*END - -*D_NET *162 0.00174206 -*CONN -*P pad_flash_io0_ieb O -*I *30914:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pad_flash_io0_ieb 0.000691273 -2 *30914:X 0.000691273 -3 pad_flash_io0_ieb pad_flash_io0_oeb 0 -4 pad_flash_io0_ieb *25570:A 0.00019287 -5 pad_flash_io0_ieb *25572:A 0 -6 pad_flash_io0_ieb *29228:A 0.000162047 -7 pad_flash_io0_ieb *30055:A 0 -8 pad_flash_io0_ieb *30916:A 4.6025e-06 -9 pad_flash_io0_do pad_flash_io0_ieb 0 -*RES -1 *30914:X pad_flash_io0_ieb 30.9368 -*END - -*D_NET *163 0.00282958 -*CONN -*P pad_flash_io0_oeb O -*I *30915:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pad_flash_io0_oeb 0.000922665 -2 *30915:X 0.000922665 -3 pad_flash_io0_oeb *25570:A 0 -4 pad_flash_io0_oeb *29464:A 0.000268396 -5 pad_flash_io0_oeb *30732:A 0 -6 pad_flash_io0_oeb *30916:A 8.09762e-05 -7 pad_flash_io0_oeb *5494:21 0 -8 pad_flash_io0_oeb *5495:23 0.000141975 -9 pad_flash_io0_oeb *5498:34 0.000492904 -10 pad_flash_io0_ieb pad_flash_io0_oeb 0 -*RES -1 *30915:X pad_flash_io0_oeb 31.175 -*END - -*D_NET *164 0.00334814 -*CONN -*P pad_flash_io1_di I -*I *30732:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 -*CAP -1 pad_flash_io1_di 0.00118347 -2 *30732:A 0.00118347 -3 *30732:A pad_flash_io1_do 0 -4 *30732:A *30915:A 0 -5 *30732:A *467:18 0.000181001 -6 *30732:A *755:42 0 -7 *30732:A *5069:46 8.6229e-06 -8 *30732:A *5160:22 0 -9 *30732:A *5494:21 0.000263663 -10 *30732:A *5498:34 0 -11 *30732:A *5519:25 0.000268396 -12 *30732:A *5778:219 0.000115013 -13 *30732:A *6014:19 0.000144503 -14 pad_flash_io0_oeb *30732:A 0 -*RES -1 pad_flash_io1_di *30732:A 33.9071 -*END - -*D_NET *165 0.00126504 -*CONN -*P pad_flash_io1_do O -*I *30916:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pad_flash_io1_do 0.00056795 -2 *30916:X 0.00056795 -3 pad_flash_io1_do pad_flash_io1_ieb 0 -4 pad_flash_io1_do *5160:22 6.3834e-05 -5 pad_flash_io1_do *5495:23 6.53083e-05 -6 *30732:A pad_flash_io1_do 0 -*RES -1 *30916:X pad_flash_io1_do 23.7286 -*END - -*D_NET *166 0.00180131 -*CONN -*P pad_flash_io1_ieb O -*I *30917:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pad_flash_io1_ieb 0.000798364 -2 *30917:X 0.000798364 -3 pad_flash_io1_ieb pad_flash_io1_oeb 0 -4 pad_flash_io1_ieb *26816:S 0 -5 pad_flash_io1_ieb *4256:11 0 -6 pad_flash_io1_ieb *5075:31 9.90431e-05 -7 pad_flash_io1_ieb *5482:18 0.00010554 -8 pad_flash_io1_ieb *5495:23 0 -9 pad_flash_io1_do pad_flash_io1_ieb 0 -*RES -1 *30917:X pad_flash_io1_ieb 26.8 -*END - -*D_NET *167 0.00348137 -*CONN -*P pad_flash_io1_oeb O -*I *30918:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pad_flash_io1_oeb 0.00139163 -2 *30918:X 0.00139163 -3 pad_flash_io1_oeb reset 0 -4 pad_flash_io1_oeb *28421:D 0.000102197 -5 pad_flash_io1_oeb *30733:A 0 -6 pad_flash_io1_oeb *30926:A 5.7836e-06 -7 pad_flash_io1_oeb *30963:A 0.000142841 -8 pad_flash_io1_oeb *755:18 0.000163782 -9 pad_flash_io1_oeb *4255:9 0.000274294 -10 pad_flash_io1_oeb *5493:55 0 -11 pad_flash_io1_oeb *5496:40 9.22103e-06 -12 pad_flash_io1_oeb *5685:173 0 -13 pad_flash_io1_ieb pad_flash_io1_oeb 0 -*RES -1 *30918:X pad_flash_io1_oeb 44.4786 -*END - -*D_NET *168 0.0059755 -*CONN -*P pll90_sel[0] O -*I *30919:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll90_sel[0] 0.00158482 -2 *30919:X 0.00158482 -3 pll90_sel[0] pll90_sel[1] 0 -4 pll90_sel[0] pll_sel[2] 0 -5 pll90_sel[0] *25299:A1 0 -6 pll90_sel[0] *25359:B1 0.000297534 -7 pll90_sel[0] *25621:A1 8.96973e-05 -8 pll90_sel[0] *26823:A0 0.000814004 -9 pll90_sel[0] *28426:SET_B 0 -10 pll90_sel[0] *28953:A 0.000647944 -11 pll90_sel[0] *1671:52 9.58632e-05 -12 pll90_sel[0] *1895:36 0.000139079 -13 pll90_sel[0] *5484:10 0.000658727 -14 pll90_sel[0] *5494:46 2.11362e-05 -15 pll90_sel[0] *5497:13 0 -16 pll90_sel[0] *5497:39 0 -17 pll90_sel[0] *5778:245 7.69776e-06 -18 pll90_sel[0] *5980:14 3.41715e-05 -*RES -1 *30919:X pll90_sel[0] 48.425 -*END - -*D_NET *169 0.00404303 -*CONN -*P pll90_sel[1] O -*I *30920:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll90_sel[1] 0.00104405 -2 *30920:X 0.00104405 -3 pll90_sel[1] pll90_sel[2] 0 -4 pll90_sel[1] *25299:A1 0.000730695 -5 pll90_sel[1] *25921:S 9.84729e-05 -6 pll90_sel[1] *28426:SET_B 6.98794e-05 -7 pll90_sel[1] *701:15 0.000354078 -8 pll90_sel[1] *1895:19 0.000379902 -9 pll90_sel[1] *5510:20 0.000262948 -10 pll90_sel[1] *5510:34 2.90352e-05 -11 pll90_sel[1] *5520:24 0 -12 pll90_sel[1] *5734:125 2.99108e-05 -13 pll90_sel[1] *5738:31 0 -14 pll90_sel[0] pll90_sel[1] 0 -*RES -1 *30920:X pll90_sel[1] 38.3357 -*END - -*D_NET *170 0.00392784 -*CONN -*P pll90_sel[2] O -*I *30921:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll90_sel[2] 0.00121884 -2 *30921:X 0.00121884 -3 pll90_sel[2] pll_trim[0] 0 -4 pll90_sel[2] *28114:SET_B 0 -5 pll90_sel[2] *1498:13 0.000364578 -6 pll90_sel[2] *1671:37 0.000262834 -7 pll90_sel[2] *5520:24 0 -8 pll90_sel[2] *5734:39 0 -9 pll90_sel[2] *5738:31 0.000862744 -10 pll90_sel[1] pll90_sel[2] 0 -*RES -1 *30921:X pll90_sel[2] 38.1571 -*END - -*D_NET *171 0.00648412 -*CONN -*P pll_bypass O -*I *30922:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll_bypass 0.000174689 -2 *30922:X 0.000591284 -3 *171:9 0.000765974 -4 pll_bypass pll_trim[25] 0 -5 pll_bypass *282:15 0.000152236 -6 *171:9 *3875:16 0.00131697 -7 *171:9 *4882:11 0.00274808 -8 *171:9 *5437:9 0.000545411 -9 *30684:A *171:9 0 -10 *30685:A *171:9 0.000189485 -*RES -1 *30922:X *171:9 46.8536 -2 *171:9 pll_bypass 9.26786 -*END - -*D_NET *172 0.0031696 -*CONN -*P pll_dco_ena O -*I *30923:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll_dco_ena 0.00117169 -2 *30923:X 0.00117169 -3 pll_dco_ena pll_div[0] 0 -4 pll_dco_ena pll_ena 0 -5 pll_dco_ena *28422:D 0.000532305 -6 pll_dco_ena *29057:A 5.19606e-05 -7 pll_dco_ena *5075:31 4.29966e-05 -8 pll_dco_ena *5160:22 3.90256e-05 -9 pll_dco_ena *5494:11 0 -10 pll_dco_ena *5685:173 0.000159935 -*RES -1 *30923:X pll_dco_ena 32.5143 -*END - -*D_NET *173 0.00258764 -*CONN -*P pll_div[0] O -*I *30924:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll_div[0] 0.000989643 -2 *30924:X 0.000989643 -3 pll_div[0] pll_div[1] 0 -4 pll_div[0] *28421:D 6.98351e-05 -5 pll_div[0] *28425:D 9.71197e-05 -6 pll_div[0] *5000:14 0.00017142 -7 pll_div[0] *5487:10 9.20407e-05 -8 pll_div[0] *5494:11 0.000137775 -9 pll_div[0] *5494:46 6.90348e-06 -10 pll_div[0] *5519:25 3.32631e-05 -11 pll_dco_ena pll_div[0] 0 -*RES -1 *30924:X pll_div[0] 31.6571 -*END - -*D_NET *174 0.00524293 -*CONN -*P pll_div[1] O -*I *30925:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll_div[1] 0.00154717 -2 *30925:X 0.00154717 -3 pll_div[1] pll_div[2] 0.000297301 -4 pll_div[1] *25662:S 5.80706e-06 -5 pll_div[1] *3652:38 0 -6 pll_div[1] *3884:24 0.000449546 -7 pll_div[1] *4317:16 0 -8 pll_div[1] *4945:15 0 -9 pll_div[1] *5235:14 4.38058e-05 -10 pll_div[1] *5488:11 0 -11 pll_div[1] *5489:6 0.000911571 -12 pll_div[1] *5489:28 0.000305809 -13 pll_div[1] *5489:41 8.07313e-05 -14 pll_div[1] *5495:23 4.21517e-05 -15 pll_div[1] *5691:298 1.18647e-05 -16 pll_div[0] pll_div[1] 0 -*RES -1 *30925:X pll_div[1] 45.2643 -*END - -*D_NET *175 0.00357419 -*CONN -*P pll_div[2] O -*I *30926:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll_div[2] 0.00127884 -2 *30926:X 0.00127884 -3 pll_div[2] pll_div[3] 0 -4 pll_div[2] pll_sel[1] 0.000122091 -5 pll_div[2] *28421:D 0.000230704 -6 pll_div[2] *30933:A 0 -7 pll_div[2] *5496:40 0.00030926 -8 pll_div[2] *5518:33 0 -9 pll_div[2] *5592:113 5.71472e-05 -10 pll_div[1] pll_div[2] 0.000297301 -*RES -1 *30926:X pll_div[2] 39.1571 -*END - -*D_NET *176 0.00355168 -*CONN -*P pll_div[3] O -*I *30927:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll_div[3] 0.0016977 -2 *30927:X 0.0016977 -3 pll_div[3] pll_div[4] 0 -4 pll_div[3] *25618:S 3.28545e-05 -5 pll_div[3] *25619:S 4.07716e-05 -6 pll_div[3] *28423:D 0 -7 pll_div[3] *28426:D 3.53618e-05 -8 pll_div[3] *30933:A 0 -9 pll_div[3] *3652:87 9.54798e-06 -10 pll_div[3] *5490:11 0 -11 pll_div[3] *5493:14 0 -12 pll_div[3] *5493:55 0 -13 pll_div[3] *5519:19 5.60463e-06 -14 pll_div[3] *5592:104 0 -15 pll_div[3] *5698:114 0 -16 pll_div[3] *5698:143 3.21438e-05 -17 pll_div[2] pll_div[3] 0 -*RES -1 *30927:X pll_div[3] 38.9607 -*END - -*D_NET *177 0.0046863 -*CONN -*P pll_div[4] O -*I *30928:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll_div[4] 0.000214553 -2 *30928:X 0.000561972 -3 *177:11 0.000776525 -4 pll_div[4] pll_sel[0] 0 -5 *177:11 reset 0.000238558 -6 *177:11 *26818:A1 0.00023685 -7 *177:11 *4296:9 0.00128912 -8 *177:11 *4309:9 0.00131349 -9 *177:11 *5073:13 5.52238e-05 -10 pll_div[3] pll_div[4] 0 -*RES -1 *30928:X *177:11 48.675 -2 *177:11 pll_div[4] 4.16071 -*END - -*D_NET *178 0.004438 -*CONN -*P pll_ena O -*I *30929:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll_ena 0.000692732 -2 *30929:X 0.000692732 -3 pll_ena reset 0 -4 pll_ena *26818:A1 0 -5 pll_ena *30733:A 0.000704614 -6 pll_ena *5493:55 5.52238e-05 -7 pll_ena *5493:68 0.000752267 -8 pll_ena *5494:11 1.02821e-05 -9 pll_ena *5497:27 0.00153015 -10 pll_dco_ena pll_ena 0 -*RES -1 *30929:X pll_ena 36.1036 -*END - -*D_NET *179 0.00478999 -*CONN -*P pll_sel[0] O -*I *30930:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll_sel[0] 0.00165402 -2 *30930:X 0.00165402 -3 pll_sel[0] pll_sel[1] 1.75396e-05 -4 pll_sel[0] *25399:A1 5.33005e-05 -5 pll_sel[0] *25621:A0 0 -6 pll_sel[0] *25921:A1 3.92854e-05 -7 pll_sel[0] *28424:RESET_B 5.86416e-05 -8 pll_sel[0] *28426:D 1.30905e-05 -9 pll_sel[0] *29175:A 5.7903e-06 -10 pll_sel[0] *30920:A 0.000120662 -11 pll_sel[0] *181:13 0 -12 pll_sel[0] *469:10 0 -13 pll_sel[0] *3884:24 5.33005e-05 -14 pll_sel[0] *5015:14 2.75651e-06 -15 pll_sel[0] *5489:41 5.15925e-05 -16 pll_sel[0] *5495:57 0 -17 pll_sel[0] *5698:156 0.000435557 -18 pll_sel[0] *5730:105 0 -19 pll_sel[0] *5730:115 0.000466582 -20 pll_sel[0] *5730:121 0.000163858 -21 pll_div[4] pll_sel[0] 0 -*RES -1 *30930:X pll_sel[0] 45.5857 -*END - -*D_NET *180 0.00403376 -*CONN -*P pll_sel[1] O -*I *30931:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll_sel[1] 0.000947911 -2 *30931:X 0.000947911 -3 pll_sel[1] pll_sel[2] 0 -4 pll_sel[1] *25621:A0 9.97104e-05 -5 pll_sel[1] *28422:RESET_B 5.25816e-05 -6 pll_sel[1] *28424:RESET_B 9.69072e-05 -7 pll_sel[1] *181:13 0.000216862 -8 pll_sel[1] *3652:26 0.000242973 -9 pll_sel[1] *3652:31 4.01768e-05 -10 pll_sel[1] *5160:37 0.000118052 -11 pll_sel[1] *5495:23 0.000689268 -12 pll_sel[1] *5495:57 0.000122091 -13 pll_sel[1] *5518:33 3.80808e-05 -14 pll_sel[1] *5592:104 0.000281608 -15 pll_div[2] pll_sel[1] 0.000122091 -16 pll_sel[0] pll_sel[1] 1.75396e-05 -*RES -1 *30931:X pll_sel[1] 41.5143 -*END - -*D_NET *181 0.00545114 -*CONN -*P pll_sel[2] O -*I *30932:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll_sel[2] 0.000412017 -2 *30932:X 0.000955662 -3 *181:13 0.00136768 -4 pll_sel[2] *25621:A0 0 -5 pll_sel[2] *470:14 0.0003335 -6 pll_sel[2] *5497:13 0.000100979 -7 *181:13 *182:17 0.000248191 -8 *181:13 *5160:37 0.000459797 -9 *181:13 *5495:57 8.6229e-06 -10 *181:13 *5497:13 0.000506698 -11 *181:13 *5498:14 0.000838995 -12 *181:13 *5592:104 2.13481e-06 -13 pll90_sel[0] pll_sel[2] 0 -14 pll_sel[0] *181:13 0 -15 pll_sel[1] pll_sel[2] 0 -16 pll_sel[1] *181:13 0.000216862 -*RES -1 *30932:X *181:13 45.6393 -2 *181:13 pll_sel[2] 9.32143 -*END - -*D_NET *182 0.00601973 -*CONN -*P pll_trim[0] O -*I *30933:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll_trim[0] 0.000525002 -2 *30933:X 0.00110911 -3 *182:17 0.00163411 -4 pll_trim[0] pll_trim[1] 0 -5 pll_trim[0] *5498:14 0 -6 *182:17 *28114:CLK 0.000231947 -7 *182:17 *469:10 0.000194358 -8 *182:17 *470:14 0.000192772 -9 *182:17 *5160:37 0.000605945 -10 *182:17 *5497:13 0.000921633 -11 *182:17 *5498:14 0.000175885 -12 *182:17 *5518:19 0.00018077 -13 pll90_sel[2] pll_trim[0] 0 -14 *181:13 *182:17 0.000248191 -*RES -1 *30933:X *182:17 48.7464 -2 *182:17 pll_trim[0] 9.32143 -*END - -*D_NET *183 0.00280653 -*CONN -*P pll_trim[10] O -*I *30934:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll_trim[10] 0.00104196 -2 *30934:X 0.00104196 -3 pll_trim[10] pll_trim[11] 0 -4 pll_trim[10] pll_trim[9] 0 -5 pll_trim[10] *25406:B2 0.000294986 -6 pll_trim[10] *30935:A 0 -7 pll_trim[10] *459:10 0.000276545 -8 pll_trim[10] *1498:34 0.00013199 -9 pll_trim[10] *5524:14 1.90936e-05 -10 pll_trim[10] *5737:10 0 -*RES -1 *30934:X pll_trim[10] 33.4429 -*END - -*D_NET *184 0.00329358 -*CONN -*P pll_trim[11] O -*I *30935:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll_trim[11] 0.00128288 -2 *30935:X 0.00128288 -3 pll_trim[11] pll_trim[12] 0 -4 pll_trim[11] pll_trim[13] 0.00014285 -5 pll_trim[11] *30935:A 0.00039849 -6 pll_trim[11] *5502:23 0.00018649 -7 pll_trim[10] pll_trim[11] 0 -*RES -1 *30935:X pll_trim[11] 33.9786 -*END - -*D_NET *185 0.00495117 -*CONN -*P pll_trim[12] O -*I *30936:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll_trim[12] 0.00156121 -2 *30936:X 0.00156121 -3 pll_trim[12] pll_trim[13] 0 -4 pll_trim[12] *25215:B2 0.000339346 -5 pll_trim[12] *25609:A0 0 -6 pll_trim[12] *25612:A1 0.000307758 -7 pll_trim[12] *3791:148 4.00349e-05 -8 pll_trim[12] *5011:26 0 -9 pll_trim[12] *5037:11 0.00034205 -10 pll_trim[12] *5485:104 0.000123861 -11 pll_trim[12] *5491:30 0.000515695 -12 pll_trim[12] *5501:9 0.000160004 -13 pll_trim[12] *5691:190 0 -14 pll_trim[11] pll_trim[12] 0 -*RES -1 *30936:X pll_trim[12] 46.7821 -*END - -*D_NET *186 0.00207437 -*CONN -*P pll_trim[13] O -*I *30937:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll_trim[13] 0.000710839 -2 *30937:X 0.000710839 -3 pll_trim[13] pll_trim[14] 0 -4 pll_trim[13] *30940:A 9.90367e-05 -5 pll_trim[13] *5502:23 0.000410803 -6 pll_trim[11] pll_trim[13] 0.00014285 -7 pll_trim[12] pll_trim[13] 0 -*RES -1 *30937:X pll_trim[13] 28.925 -*END - -*D_NET *187 0.0014419 -*CONN -*P pll_trim[14] O -*I *30938:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll_trim[14] 0.000529253 -2 *30938:X 0.000529253 -3 pll_trim[14] pll_trim[15] 0 -4 pll_trim[14] *25609:A1 0.00014285 -5 pll_trim[14] *30938:A 0.00014285 -6 pll_trim[14] *30940:A 9.76928e-05 -7 pll_trim[13] pll_trim[14] 0 -*RES -1 *30938:X pll_trim[14] 25.0857 -*END - -*D_NET *188 0.0031892 -*CONN -*P pll_trim[15] O -*I *30939:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll_trim[15] 0.000892396 -2 *30939:X 0.000892396 -3 pll_trim[15] pll_trim[16] 0 -4 pll_trim[15] *25609:A1 0.000213617 -5 pll_trim[15] *28099:SET_B 0 -6 pll_trim[15] *29038:A 0.000612338 -7 pll_trim[15] *30939:A 0.000141729 -8 pll_trim[15] *30940:A 0 -9 pll_trim[15] *5040:13 0 -10 pll_trim[15] *5499:31 3.88213e-05 -11 pll_trim[15] *5504:33 0.000397901 -12 pll_trim[15] *5737:191 0 -13 pll_trim[14] pll_trim[15] 0 -*RES -1 *30939:X pll_trim[15] 35.1214 -*END - -*D_NET *189 0.00174112 -*CONN -*P pll_trim[16] O -*I *30940:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll_trim[16] 0.000689236 -2 *30940:X 0.000689236 -3 pll_trim[16] pll_trim[17] 0 -4 pll_trim[16] *30940:A 5.71472e-05 -5 pll_trim[16] *5502:23 0.000305506 -6 pll_trim[15] pll_trim[16] 0 -*RES -1 *30940:X pll_trim[16] 27.5321 -*END - -*D_NET *190 0.000815847 -*CONN -*P pll_trim[17] O -*I *30941:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll_trim[17] 0.000391205 -2 *30941:X 0.000391205 -3 pll_trim[17] pll_trim[18] 0 -4 pll_trim[17] *30941:A 3.34366e-05 -5 pll_trim[16] pll_trim[17] 0 -*RES -1 *30941:X pll_trim[17] 21.6036 -*END - -*D_NET *191 0.0018928 -*CONN -*P pll_trim[18] O -*I *30942:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll_trim[18] 0.000610341 -2 *30942:X 0.000610341 -3 pll_trim[18] pll_trim[19] 0 -4 pll_trim[18] *30941:A 0 -5 pll_trim[18] *30942:A 5.71472e-05 -6 pll_trim[18] *30943:A 0 -7 pll_trim[18] *5511:13 2.84398e-05 -8 pll_trim[18] *5523:68 0.00044366 -9 pll_trim[18] *5592:81 0.00014287 -10 pll_trim[17] pll_trim[18] 0 -*RES -1 *30942:X pll_trim[18] 27.9607 -*END - -*D_NET *192 0.00127725 -*CONN -*P pll_trim[19] O -*I *30943:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll_trim[19] 0.000486237 -2 *30943:X 0.000486237 -3 pll_trim[19] pll_trim[20] 0 -4 pll_trim[19] *30943:A 3.34295e-05 -5 pll_trim[19] *5502:23 0.000271345 -6 pll_trim[18] pll_trim[19] 0 -*RES -1 *30943:X pll_trim[19] 24.1929 -*END - -*D_NET *193 0.00325581 -*CONN -*P pll_trim[1] O -*I *30944:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll_trim[1] 0.000990827 -2 *30944:X 0.000990827 -3 pll_trim[1] pll_trim[2] 0 -4 pll_trim[1] *28114:SET_B 2.61337e-05 -5 pll_trim[1] *28117:D 0.000175269 -6 pll_trim[1] *1825:45 0.000259247 -7 pll_trim[1] *5485:8 5.66564e-05 -8 pll_trim[1] *5498:14 5.10822e-05 -9 pll_trim[1] *5498:54 2.05413e-05 -10 pll_trim[1] *5510:20 0.000359997 -11 pll_trim[1] *5734:39 9.77024e-05 -12 pll_trim[1] *5778:245 0.000227532 -13 pll_trim[0] pll_trim[1] 0 -*RES -1 *30944:X pll_trim[1] 43.3 -*END - -*D_NET *194 0.00217606 -*CONN -*P pll_trim[20] O -*I *30945:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll_trim[20] 0.000825966 -2 *30945:X 0.000825966 -3 pll_trim[20] pll_trim[21] 0.000241362 -4 pll_trim[20] *30943:A 0 -5 pll_trim[20] *30945:A 0.000183726 -6 pll_trim[20] *5502:23 9.90431e-05 -7 pll_trim[19] pll_trim[20] 0 -*RES -1 *30945:X pll_trim[20] 30.7643 -*END - -*D_NET *195 0.00350328 -*CONN -*P pll_trim[21] O -*I *30946:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll_trim[21] 0.00130394 -2 *30946:X 0.00130394 -3 pll_trim[21] pll_trim[22] 0 -4 pll_trim[21] pll_trim[23] 0.000411238 -5 pll_trim[21] *30945:A 0.000185643 -6 pll_trim[21] *30946:A 5.71472e-05 -7 pll_trim[20] pll_trim[21] 0.000241362 -*RES -1 *30946:X pll_trim[21] 39.1036 -*END - -*D_NET *196 0.00385319 -*CONN -*P pll_trim[22] O -*I *30947:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll_trim[22] 0.00109087 -2 *30947:X 0.00109087 -3 pll_trim[22] pll_trim[23] 0 -4 pll_trim[22] *25216:C1 0.000441021 -5 pll_trim[22] *25614:A0 7.53324e-05 -6 pll_trim[22] *28428:D 0.000114343 -7 pll_trim[22] *28429:CLK 0.000189121 -8 pll_trim[22] *29767:A 0.000121869 -9 pll_trim[22] *30939:A 1.24368e-05 -10 pll_trim[22] *30945:A 2.72204e-05 -11 pll_trim[22] *30947:A 0.000141036 -12 pll_trim[22] *3639:50 0.000349557 -13 pll_trim[22] *3875:35 2.93517e-05 -14 pll_trim[22] *5502:10 1.93327e-05 -15 pll_trim[22] *5504:33 2.19778e-05 -16 pll_trim[22] *5504:49 5.03587e-05 -17 pll_trim[22] *5505:28 7.14668e-05 -18 pll_trim[22] *5518:62 4.19624e-06 -19 pll_trim[22] *5523:68 2.8266e-06 -20 pll_trim[22] *6142:14 0 -21 pll_trim[21] pll_trim[22] 0 -*RES -1 *30947:X pll_trim[22] 39.4071 -*END - -*D_NET *197 0.00468809 -*CONN -*P pll_trim[23] O -*I *30948:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll_trim[23] 0.00151418 -2 *30948:X 0.00151418 -3 pll_trim[23] pll_trim[24] 0 -4 pll_trim[23] *30946:A 2.89016e-05 -5 pll_trim[23] *761:17 0.000733152 -6 pll_trim[23] *5636:7 0.000486441 -7 pll_trim[21] pll_trim[23] 0.000411238 -8 pll_trim[22] pll_trim[23] 0 -*RES -1 *30948:X pll_trim[23] 44.6393 -*END - -*D_NET *198 0.00488231 -*CONN -*P pll_trim[24] O -*I *30949:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll_trim[24] 0.00100084 -2 *30949:X 0.00100084 -3 pll_trim[24] pll_trim[25] 0 -4 pll_trim[24] *25260:A1 0.000208148 -5 pll_trim[24] *28428:D 0 -6 pll_trim[24] *28429:SET_B 7.43313e-05 -7 pll_trim[24] *29029:A 0.00143392 -8 pll_trim[24] *29111:A 0.000131439 -9 pll_trim[24] *29838:A 4.88637e-05 -10 pll_trim[24] *2780:94 0.000364522 -11 pll_trim[24] *4742:17 9.71197e-05 -12 pll_trim[24] *5516:45 0.000401764 -13 pll_trim[24] *5517:5 0.000120519 -14 pll_trim[24] *6142:14 0 -15 pll_trim[23] pll_trim[24] 0 -*RES -1 *30949:X pll_trim[24] 43.6929 -*END - -*D_NET *199 0.00380068 -*CONN -*P pll_trim[25] O -*I *30950:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll_trim[25] 0.00126673 -2 *30950:X 0.00126673 -3 pll_trim[25] *25260:A1 0 -4 pll_trim[25] *28428:D 0.000132666 -5 pll_trim[25] *28429:SET_B 8.50971e-06 -6 pll_trim[25] *30946:A 8.0286e-05 -7 pll_trim[25] *30947:A 9.5734e-05 -8 pll_trim[25] *244:28 0.000226078 -9 pll_trim[25] *282:15 0.000474167 -10 pll_trim[25] *4742:17 0.000106325 -11 pll_trim[25] *5592:78 6.7537e-05 -12 pll_trim[25] *5745:30 1.50563e-05 -13 pll_trim[25] *5745:61 6.08564e-05 -14 pll_bypass pll_trim[25] 0 -15 pll_trim[24] pll_trim[25] 0 -*RES -1 *30950:X pll_trim[25] 46.8 -*END - -*D_NET *200 0.00498256 -*CONN -*P pll_trim[2] O -*I *30951:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll_trim[2] 0.000756064 -2 *30951:X 0.000756064 -3 pll_trim[2] pll_trim[3] 0 -4 pll_trim[2] *28117:D 0 -5 pll_trim[2] *28118:D 0.000193833 -6 pll_trim[2] *5498:14 0.00144739 -7 pll_trim[2] *5518:19 0.000604733 -8 pll_trim[2] *5520:19 0.00122448 -9 pll_trim[1] pll_trim[2] 0 -*RES -1 *30951:X pll_trim[2] 39.3893 -*END - -*D_NET *201 0.00361574 -*CONN -*P pll_trim[3] O -*I *30952:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll_trim[3] 0.00107575 -2 *30952:X 0.00107575 -3 pll_trim[3] pll_trim[4] 0 -4 pll_trim[3] *25918:B 2.63769e-05 -5 pll_trim[3] *27571:A2 1.38167e-05 -6 pll_trim[3] *28117:SET_B 0.000375821 -7 pll_trim[3] *28118:D 0 -8 pll_trim[3] *30952:A 8.14075e-05 -9 pll_trim[3] *473:13 0.00039343 -10 pll_trim[3] *1616:34 7.73844e-05 -11 pll_trim[3] *1675:10 9.67754e-05 -12 pll_trim[3] *1825:58 0 -13 pll_trim[3] *1895:13 0 -14 pll_trim[3] *5518:19 0.000263251 -15 pll_trim[3] *5519:19 1.21621e-05 -16 pll_trim[3] *5520:19 0 -17 pll_trim[3] *5520:48 0 -18 pll_trim[3] *5734:60 0.000123811 -19 pll_trim[2] pll_trim[3] 0 -*RES -1 *30952:X pll_trim[3] 37.1214 -*END - -*D_NET *202 0.00373891 -*CONN -*P pll_trim[4] O -*I *30953:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll_trim[4] 0.000732792 -2 *30953:X 0.000732792 -3 pll_trim[4] pll_trim[5] 0 -4 pll_trim[4] *30956:A 0.000511261 -5 pll_trim[4] *5518:19 0.00104819 -6 pll_trim[4] *5520:19 0.000713876 -7 pll_trim[3] pll_trim[4] 0 -*RES -1 *30953:X pll_trim[4] 34.1036 -*END - -*D_NET *203 0.00397419 -*CONN -*P pll_trim[5] O -*I *30954:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll_trim[5] 0.0012324 -2 *30954:X 0.0012324 -3 pll_trim[5] pll_trim[6] 0 -4 pll_trim[5] *27571:A2 0.000340941 -5 pll_trim[5] *28119:D 0 -6 pll_trim[5] *30954:A 0.000136727 -7 pll_trim[5] *30956:A 0 -8 pll_trim[5] *1616:34 1.52217e-05 -9 pll_trim[5] *1675:10 0.000265438 -10 pll_trim[5] *3650:91 0.000385757 -11 pll_trim[5] *3650:96 0.000365296 -12 pll_trim[5] *5518:62 0 -13 pll_trim[5] *5520:19 0 -14 pll_trim[5] *5523:36 0 -15 pll_trim[5] *5734:83 0 -16 pll_trim[4] pll_trim[5] 0 -*RES -1 *30954:X pll_trim[5] 38.7643 -*END - -*D_NET *204 0.00388395 -*CONN -*P pll_trim[6] O -*I *30955:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll_trim[6] 0.000963592 -2 *30955:X 0.000963592 -3 pll_trim[6] pll_trim[7] 0 -4 pll_trim[6] *28120:SET_B 0 -5 pll_trim[6] *30956:A 2.37761e-05 -6 pll_trim[6] *4372:35 0.000111094 -7 pll_trim[6] *5521:73 0.000391029 -8 pll_trim[6] *5523:36 0.00046854 -9 pll_trim[6] *5680:320 0.000164552 -10 pll_trim[6] *5778:261 0.000399848 -11 pll_trim[6] *6385:56 0.000397924 -12 pll_trim[5] pll_trim[6] 0 -*RES -1 *30955:X pll_trim[6] 46.3893 -*END - -*D_NET *205 0.00310754 -*CONN -*P pll_trim[7] O -*I *30956:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll_trim[7] 0.000704767 -2 *30956:X 0.000704767 -3 pll_trim[7] pll_trim[8] 0 -4 pll_trim[7] *30935:A 0.000446597 -5 pll_trim[7] *30956:A 0.000762817 -6 pll_trim[7] *5520:19 0.000276211 -7 pll_trim[7] *5523:11 0.000212382 -8 pll_trim[6] pll_trim[7] 0 -*RES -1 *30956:X pll_trim[7] 32.8179 -*END - -*D_NET *206 0.00233547 -*CONN -*P pll_trim[8] O -*I *30957:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll_trim[8] 0.000809193 -2 *30957:X 0.000809193 -3 pll_trim[8] pll_trim[9] 0 -4 pll_trim[8] *28106:D 1.55755e-05 -5 pll_trim[8] *28121:CLK 0.000122935 -6 pll_trim[8] *28121:SET_B 0 -7 pll_trim[8] *30957:A 0.000139907 -8 pll_trim[8] *3649:42 0.000178406 -9 pll_trim[8] *4372:35 0 -10 pll_trim[8] *5494:49 1.21258e-05 -11 pll_trim[8] *5523:11 0 -12 pll_trim[8] *5524:14 0.000155025 -13 pll_trim[8] *5778:261 9.3111e-05 -14 pll_trim[7] pll_trim[8] 0 -*RES -1 *30957:X pll_trim[8] 30.3536 -*END - -*D_NET *207 0.00367345 -*CONN -*P pll_trim[9] O -*I *30958:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pll_trim[9] 0.00112123 -2 *30958:X 0.00112123 -3 pll_trim[9] *28109:D 8.68244e-05 -4 pll_trim[9] *28121:CLK 0 -5 pll_trim[9] *30958:A 5.33005e-05 -6 pll_trim[9] *462:17 4.41553e-05 -7 pll_trim[9] *1675:10 5.33005e-05 -8 pll_trim[9] *3649:42 0 -9 pll_trim[9] *5421:10 7.37101e-05 -10 pll_trim[9] *5523:11 0.00012116 -11 pll_trim[9] *5524:23 0 -12 pll_trim[9] *5680:320 1.94945e-05 -13 pll_trim[9] *5737:10 0.000218388 -14 pll_trim[9] *5737:16 0.000245456 -15 pll_trim[9] *5737:22 0.000515203 -16 pll_trim[10] pll_trim[9] 0 -17 pll_trim[8] pll_trim[9] 0 -*RES -1 *30958:X pll_trim[9] 36.7107 -*END - -*D_NET *208 0.00243314 -*CONN -*P porb I -*I *30733:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 porb 0.000598835 -2 *30733:A 0.000598835 -3 *30733:A reset 0 -4 *30733:A *5493:55 8.6229e-06 -5 *30733:A *5494:11 0.000450512 -6 *30733:A *5497:27 7.17235e-05 -7 pad_flash_io1_oeb *30733:A 0 -8 pll_ena *30733:A 0.000704614 -*RES -1 porb *30733:A 29.2286 -*END - -*D_NET *209 0.00119762 -*CONN -*P pwr_ctrl_out[0] O -*I *30959:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pwr_ctrl_out[0] 0.000526424 -2 *30959:X 0.000526424 -3 pwr_ctrl_out[0] pwr_ctrl_out[1] 0 -4 pwr_ctrl_out[0] *30959:A 0.000144773 -5 *30681:A pwr_ctrl_out[0] 0 -*RES -1 *30959:X pwr_ctrl_out[0] 24.1929 -*END - -*D_NET *210 0.00154355 -*CONN -*P pwr_ctrl_out[1] O -*I *30960:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pwr_ctrl_out[1] 0.000597966 -2 *30960:X 0.000597966 -3 pwr_ctrl_out[1] pwr_ctrl_out[2] 0 -4 pwr_ctrl_out[1] *30959:A 0.000202842 -5 pwr_ctrl_out[1] *5529:9 0.000144773 -6 pwr_ctrl_out[0] pwr_ctrl_out[1] 0 -*RES -1 *30960:X pwr_ctrl_out[1] 26.3179 -*END - -*D_NET *211 0.00158711 -*CONN -*P pwr_ctrl_out[2] O -*I *30961:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pwr_ctrl_out[2] 0.000745507 -2 *30961:X 0.000745507 -3 pwr_ctrl_out[2] pwr_ctrl_out[3] 0 -4 pwr_ctrl_out[2] *5530:70 9.60939e-05 -5 pwr_ctrl_out[1] pwr_ctrl_out[2] 0 -*RES -1 *30961:X pwr_ctrl_out[2] 27.4786 -*END - -*D_NET *212 0.00193381 -*CONN -*P pwr_ctrl_out[3] O -*I *30962:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 pwr_ctrl_out[3] 0.000722448 -2 *30962:X 0.000722448 -3 pwr_ctrl_out[3] *30962:A 1.76039e-05 -4 pwr_ctrl_out[3] *1371:14 5.51088e-05 -5 pwr_ctrl_out[3] *5530:70 0.000416199 -6 pwr_ctrl_out[2] pwr_ctrl_out[3] 0 -*RES -1 *30962:X pwr_ctrl_out[3] 29.05 -*END - -*D_NET *213 0.00102096 -*CONN -*P qspi_enabled I -*I *30734:A I *D sky130_fd_sc_hd__buf_6 -*CAP -1 qspi_enabled 0.000335547 -2 *30734:A 0.000335547 -3 *30734:A *3571:22 0.000190051 -4 *30734:A *5472:8 0.000139739 -5 *30734:A *5541:8 2.00751e-05 -*RES -1 qspi_enabled *30734:A 20.4641 -*END - -*D_NET *214 0.00272782 -*CONN -*P reset O -*I *30963:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 reset 0.000864713 -2 *30963:X 0.000864713 -3 reset *26818:A1 0.000246706 -4 reset *29464:A 0.000228558 -5 reset *30963:A 5.52302e-05 -6 reset *5028:9 6.62783e-05 -7 reset *5685:173 0.000163062 -8 pad_flash_io1_oeb reset 0 -9 pll_ena reset 0 -10 *30733:A reset 0 -11 *177:11 reset 0.000238558 -*RES -1 *30963:X reset 30.7643 -*END - -*D_NET *215 0.00151414 -*CONN -*P ser_rx O -*I *30964:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 ser_rx 0.00075707 -2 *30964:X 0.00075707 -3 ser_rx *6136:16 0 -4 ser_rx *6158:28 0 -*RES -1 *30964:X ser_rx 20.7217 -*END - -*D_NET *216 0.000959537 -*CONN -*P ser_tx I -*I *30735:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 ser_tx 0.000415703 -2 *30735:A 0.000415703 -3 *30735:A *25553:A1 2.89016e-05 -4 *30735:A *3571:22 9.92292e-05 -*RES -1 ser_tx *30735:A 22.0157 -*END - -*D_NET *217 0.0012356 -*CONN -*P serial_clock O -*I *30965:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 serial_clock 0.000617799 -2 *30965:X 0.000617799 -*RES -1 *30965:X serial_clock 23.8754 -*END - -*D_NET *218 0.000686299 -*CONN -*P serial_data_1 O -*I *30966:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 serial_data_1 0.000343149 -2 *30966:X 0.000343149 -3 serial_data_1 *5659:36 0 -*RES -1 *30966:X serial_data_1 18.7147 -*END - -*D_NET *219 0.000859971 -*CONN -*P serial_data_2 O -*I *30967:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 serial_data_2 0.000371275 -2 *30967:X 0.000371275 -3 serial_data_2 *26833:A0 0.000117421 -*RES -1 *30967:X serial_data_2 19.6254 -*END - -*D_NET *220 0.000749292 -*CONN -*P serial_load O -*I *30968:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 serial_load 0.000374646 -2 *30968:X 0.000374646 -3 serial_load *5659:36 0 -*RES -1 *30968:X serial_load 19.0183 -*END - -*D_NET *221 0.000680774 -*CONN -*P serial_resetn O -*I *30969:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 serial_resetn 0.000340387 -2 *30969:X 0.000340387 -3 serial_resetn *5659:36 0 -*RES -1 *30969:X serial_resetn 18.7147 -*END - -*D_NET *222 0.000950168 -*CONN -*P spi_csb I -*I *30736:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 spi_csb 0.000400438 -2 *30736:A 0.000400438 -3 *30736:A *3571:22 9.89147e-05 -4 *30736:A *6058:9 5.03772e-05 -*RES -1 spi_csb *30736:A 22.0157 -*END - -*D_NET *223 0.00109041 -*CONN -*P spi_enabled I -*I *30737:A I *D sky130_fd_sc_hd__clkbuf_4 -*CAP -1 spi_enabled 0.000370852 -2 *30737:A 0.000370852 -3 *30737:A *25479:B1 0.000153047 -4 *30737:A *3571:22 0.000187622 -5 *30737:A *5541:8 8.03349e-06 -*RES -1 spi_enabled *30737:A 20.4641 -*END - -*D_NET *224 0.000890997 -*CONN -*P spi_sck I -*I *30739:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 spi_sck 0.000418832 -2 *30739:A 0.000418832 -3 *30739:A *5472:8 0 -4 *30739:A *6081:7 5.33334e-05 -*RES -1 spi_sck *30739:A 21.7121 -*END - -*D_NET *225 0.00137102 -*CONN -*P spi_sdi O -*I *30970:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 spi_sdi 0.000685511 -2 *30970:X 0.000685511 -3 spi_sdi *6136:16 0 -4 spi_sdi *6158:32 0 -*RES -1 *30970:X spi_sdi 20.7217 -*END - -*D_NET *226 0.000730221 -*CONN -*P spi_sdo I -*I *30740:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 -*CAP -1 spi_sdo 0.000321394 -2 *30740:A 0.000321394 -3 *30740:A *5472:8 8.74324e-05 -*RES -1 spi_sdo *30740:A 20.0884 -*END - -*D_NET *227 0.000730221 -*CONN -*P spi_sdoenb I -*I *30741:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 -*CAP -1 spi_sdoenb 0.000321394 -2 *30741:A 0.000321394 -3 *30741:A *5472:8 8.74324e-05 -*RES -1 spi_sdoenb *30741:A 20.0884 -*END - -*D_NET *228 0.000922648 -*CONN -*P spimemio_flash_clk I -*I *30742:A I *D sky130_fd_sc_hd__buf_2 -*CAP -1 spimemio_flash_clk 0.000306958 -2 *30742:A 0.000306958 -3 *30742:A *2475:11 2.82057e-05 -4 *30742:A *6114:10 0.000115369 -5 *30742:A *6125:8 0.000165157 -*RES -1 spimemio_flash_clk *30742:A 20.0884 -*END - -*D_NET *229 0.000908537 -*CONN -*P spimemio_flash_csb I -*I *30743:A I *D sky130_fd_sc_hd__buf_2 -*CAP -1 spimemio_flash_csb 0.000322022 -2 *30743:A 0.000322022 -3 *30743:A *2144:35 3.34366e-05 -4 *30743:A *2475:11 9.5011e-05 -5 *30743:A *6125:8 0.000113647 -6 *30743:A *6136:8 2.23987e-05 -*RES -1 spimemio_flash_csb *30743:A 20.392 -*END - -*D_NET *230 0.0016983 -*CONN -*P spimemio_flash_io0_di O -*I *30971:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 spimemio_flash_io0_di 0.000705702 -2 *30971:X 0.000705702 -3 spimemio_flash_io0_di *2038:34 6.37188e-06 -4 spimemio_flash_io0_di *2151:15 0.000140263 -5 spimemio_flash_io0_di *2244:14 0.000140263 -*RES -1 *30971:X spimemio_flash_io0_di 20.7217 -*END - -*D_NET *231 0.000935369 -*CONN -*P spimemio_flash_io0_do I -*I *30744:A I *D sky130_fd_sc_hd__buf_2 -*CAP -1 spimemio_flash_io0_do 0.00032474 -2 *30744:A 0.00032474 -3 *30744:A *2144:35 0.000187622 -4 *30744:A *2475:11 9.82683e-05 -*RES -1 spimemio_flash_io0_do *30744:A 20.392 -*END - -*D_NET *232 0.000852494 -*CONN -*P spimemio_flash_io0_oeb I -*I *30745:A I *D sky130_fd_sc_hd__clkbuf_4 -*CAP -1 spimemio_flash_io0_oeb 0.00031945 -2 *30745:A 0.00031945 -3 *30745:A *2144:35 7.33295e-05 -4 *30745:A *2475:11 0.000140263 -*RES -1 spimemio_flash_io0_oeb *30745:A 19.8569 -*END - -*D_NET *233 0.0017309 -*CONN -*P spimemio_flash_io1_di O -*I *30972:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 spimemio_flash_io1_di 0.000705025 -2 *30972:X 0.000705025 -3 spimemio_flash_io1_di *26302:A 0.000160427 -4 spimemio_flash_io1_di *6158:22 0.000160427 -*RES -1 *30972:X spimemio_flash_io1_di 21.0253 -*END - -*D_NET *234 0.000769016 -*CONN -*P spimemio_flash_io1_do I -*I *30746:A I *D sky130_fd_sc_hd__clkbuf_4 -*CAP -1 spimemio_flash_io1_do 0.000349179 -2 *30746:A 0.000349179 -3 *30746:A *2242:8 7.06575e-05 -*RES -1 spimemio_flash_io1_do *30746:A 19.8569 -*END - -*D_NET *235 0.000825232 -*CONN -*P spimemio_flash_io1_oeb I -*I *30747:A I *D sky130_fd_sc_hd__clkbuf_4 -*CAP -1 spimemio_flash_io1_oeb 0.000361848 -2 *30747:A 0.000361848 -3 *30747:A *2242:8 0.000101535 -*RES -1 spimemio_flash_io1_oeb *30747:A 20.4641 -*END - -*D_NET *236 0.0015271 -*CONN -*P spimemio_flash_io2_di O -*I *30973:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 spimemio_flash_io2_di 0.000659285 -2 *30973:X 0.000659285 -3 spimemio_flash_io2_di *26321:A1 0.000137955 -4 spimemio_flash_io2_di *26321:A2 7.05699e-05 -*RES -1 *30973:X spimemio_flash_io2_di 20.7217 -*END - -*D_NET *237 0.000705573 -*CONN -*P spimemio_flash_io2_do I -*I *30748:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 -*CAP -1 spimemio_flash_io2_do 0.000352786 -2 *30748:A 0.000352786 -3 *30748:A *2111:26 0 -*RES -1 spimemio_flash_io2_do *30748:A 20.0884 -*END - -*D_NET *238 0.000810198 -*CONN -*P spimemio_flash_io2_oeb I -*I *30750:A I *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 spimemio_flash_io2_oeb 0.000334968 -2 *30750:A 0.000334968 -3 *30750:A *30974:A 0.000140263 -*RES -1 spimemio_flash_io2_oeb *30750:A 19.8569 -*END - -*D_NET *239 0.00141056 -*CONN -*P spimemio_flash_io3_di O -*I *30974:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 spimemio_flash_io3_di 0.000622964 -2 *30974:X 0.000622964 -3 spimemio_flash_io3_di *1956:42 0.000164633 -*RES -1 *30974:X spimemio_flash_io3_di 21.0253 -*END - -*D_NET *240 0.000903557 -*CONN -*P spimemio_flash_io3_do I -*I *30751:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 spimemio_flash_io3_do 0.000451778 -2 *30751:A 0.000451778 -*RES -1 spimemio_flash_io3_do *30751:A 21.1433 -*END - -*D_NET *241 0.00133754 -*CONN -*P spimemio_flash_io3_oeb I -*I *30752:A I *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 spimemio_flash_io3_oeb 0.000629115 -2 *30752:A 0.000629115 -3 *30752:A *30603:A 0 -4 *30752:A *30759:A 7.93077e-05 -*RES -1 spimemio_flash_io3_oeb *30752:A 25.3212 -*END - -*D_NET *242 0.000730221 -*CONN -*P trap I -*I *30753:A I *D sky130_fd_sc_hd__buf_4 -*CAP -1 trap 0.000321394 -2 *30753:A 0.000321394 -3 *30753:A *5472:8 8.74324e-05 -*RES -1 trap *30753:A 20.0884 -*END - -*D_NET *243 0.00403762 -*CONN -*P uart_enabled I -*I *30754:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 uart_enabled 0.00139303 -2 *30754:A 0.00139303 -3 *30754:A *25422:B 0.00032078 -4 *30754:A *25424:B 2.89114e-05 -5 *30754:A *25553:S 0.000389868 -6 *30754:A *28033:B 4.32957e-05 -7 *30754:A *30964:A 7.83659e-05 -8 *30754:A *448:11 5.52302e-05 -9 *30754:A *5467:8 0.000112587 -10 *30754:A *5739:50 1.48677e-06 -11 *30754:A *6236:8 0.000221029 -*RES -1 uart_enabled *30754:A 33.686 -*END - -*D_NET *244 0.145245 -*CONN -*P user_clock I -*I *6415:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6423:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6427:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6424:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6418:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6420:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6421:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6428:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6419:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6414:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6416:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6417:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25560:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *6407:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6408:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6409:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6410:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6412:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6413:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6425:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6426:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 user_clock 0.00125285 -2 *6415:DIODE 5.20133e-05 -3 *6423:DIODE 0 -4 *6427:DIODE 4.96657e-05 -5 *6424:DIODE 4.27286e-05 -6 *6418:DIODE 6.88363e-05 -7 *6420:DIODE 3.92359e-05 -8 *6421:DIODE 0 -9 *6428:DIODE 0 -10 *6419:DIODE 0.000337507 -11 *6414:DIODE 0 -12 *6416:DIODE 0 -13 *6417:DIODE 0.000113759 -14 *25560:A1 0 -15 *6407:DIODE 0 -16 *6408:DIODE 0 -17 *6409:DIODE 0 -18 *6410:DIODE 0 -19 *6412:DIODE 0 -20 *6413:DIODE 0 -21 *6425:DIODE 0 -22 *6426:DIODE 0 -23 *244:193 0.000256877 -24 *244:177 0.000108072 -25 *244:175 0.000231728 -26 *244:172 0.000367958 -27 *244:166 0.000587668 -28 *244:158 0.000557786 -29 *244:142 0.000337507 -30 *244:131 0.000153151 -31 *244:127 0.000256176 -32 *244:118 0.000408922 -33 *244:110 0.000275414 -34 *244:104 0.000158774 -35 *244:98 0.000287516 -36 *244:90 0.000367067 -37 *244:84 0.000309067 -38 *244:78 0.000302869 -39 *244:72 0.000288835 -40 *244:67 0.000139984 -41 *244:65 0.0108482 -42 *244:64 0.011255 -43 *244:57 0.00162096 -44 *244:50 0.00491913 -45 *244:28 0.00591168 -46 *244:26 0.00204633 -47 *244:20 0.00353868 -48 *244:19 0.00473307 -49 *6415:DIODE *30877:A 9.41642e-05 -50 *6417:DIODE *5586:98 9.41642e-05 -51 *6418:DIODE *5670:13 9.41642e-05 -52 *6419:DIODE *26977:A0 0.00022266 -53 *6419:DIODE *26977:S 2.95726e-05 -54 *6419:DIODE *890:10 5.33005e-05 -55 *6420:DIODE *5670:13 5.52238e-05 -56 *6424:DIODE *25727:S 6.86693e-05 -57 *6424:DIODE *540:15 0.000138881 -58 *6427:DIODE *5461:32 0.000175526 -59 *6427:DIODE *5586:98 0.000175526 -60 *244:19 *25576:A_N 0.000743505 -61 *244:19 *29228:A 0.000408506 -62 *244:19 *29244:A 0.000245382 -63 *244:19 *752:15 0.000226678 -64 *244:19 *4999:11 1.72079e-05 -65 *244:19 *5000:14 5.58905e-06 -66 *244:19 *5014:24 5.71472e-05 -67 *244:19 *5015:14 0.00101269 -68 *244:19 *5043:11 2.06112e-05 -69 *244:19 *5476:8 7.69776e-06 -70 *244:19 *5496:40 2.72228e-05 -71 *244:19 *5980:14 0.000204846 -72 *244:19 *6003:13 0.000396898 -73 *244:20 *6436:DIODE 0.000185643 -74 *244:20 *28106:D 0.00025489 -75 *244:20 *28107:CLK 5.52238e-05 -76 *244:20 *28107:SET_B 2.51935e-05 -77 *244:20 *28119:D 5.71472e-05 -78 *244:20 *28119:SET_B 2.51935e-05 -79 *244:20 *28121:SET_B 0.000132577 -80 *244:20 *28418:CLK 0.000230482 -81 *244:20 *28418:D 6.37117e-05 -82 *244:20 *28421:D 0.000308469 -83 *244:20 *28421:RESET_B 2.29097e-05 -84 *244:20 *28422:D 0.000370535 -85 *244:20 *28424:RESET_B 4.58194e-05 -86 *244:20 *28427:CLK 0.000469561 -87 *244:20 *28427:D 6.57815e-05 -88 *244:20 *28427:RESET_B 9.23695e-05 -89 *244:20 *29304:A 0.000476709 -90 *244:20 *29465:A 0.00289579 -91 *244:20 *30923:A 9.60939e-05 -92 *244:20 *468:20 0.000404625 -93 *244:20 *752:15 1.5424e-05 -94 *244:20 *3650:96 5.52238e-05 -95 *244:20 *3650:102 0.000381329 -96 *244:20 *3652:11 0.000177738 -97 *244:20 *3652:15 1.56e-05 -98 *244:20 *5011:26 0.00193806 -99 *244:20 *5037:11 5.52238e-05 -100 *244:20 *5075:31 0.000855424 -101 *244:20 *5235:22 0.00211616 -102 *244:20 *5482:18 0.000172219 -103 *244:20 *5484:10 7.61109e-05 -104 *244:20 *5493:14 5.03772e-05 -105 *244:20 *5510:20 0.00055313 -106 *244:20 *5520:7 7.71303e-05 -107 *244:20 *5524:14 0.00024842 -108 *244:20 *5527:10 3.47984e-05 -109 *244:20 *5730:94 7.32173e-05 -110 *244:20 *5730:102 0.000345589 -111 *244:20 *5734:86 9.31946e-05 -112 *244:20 *5737:128 0.000167238 -113 *244:20 *5737:138 0.000190978 -114 *244:20 *5737:181 0.000197859 -115 *244:20 *6169:159 5.71472e-05 -116 *244:20 *6169:165 0.00018372 -117 *244:20 *6169:171 0.000178847 -118 *244:20 *6169:177 0.000183726 -119 *244:20 *6169:181 0.000221677 -120 *244:20 *6169:189 0.00018372 -121 *244:26 *5737:191 9.83442e-05 -122 *244:28 *28102:CLK 0.000106654 -123 *244:28 *28105:D 0.000277152 -124 *244:28 *28111:CLK 0.000552875 -125 *244:28 *28428:CLK 5.52238e-05 -126 *244:28 *28428:SET_B 0.000221634 -127 *244:28 *28644:D 0.000258588 -128 *244:28 *29507:A 0.000375713 -129 *244:28 *348:16 0.000829135 -130 *244:28 *995:25 0.000460243 -131 *244:28 *5502:5 8.08852e-05 -132 *244:28 *5515:8 0.000148105 -133 *244:28 *5521:22 0.000834411 -134 *244:28 *5521:41 0.000409466 -135 *244:28 *5737:191 0.000175532 -136 *244:28 *5737:203 0.000189345 -137 *244:50 *27222:A 0.0001347 -138 *244:50 *27225:A1 2.03917e-05 -139 *244:50 *28442:RESET_B 1.95398e-05 -140 *244:50 *28644:D 0.000472721 -141 *244:50 *28644:RESET_B 3.09498e-05 -142 *244:50 *29875:A 0.00024837 -143 *244:50 *30287:A 8.05184e-05 -144 *244:50 *4740:33 0.000260574 -145 *244:50 *5521:41 3.19872e-05 -146 *244:50 *5523:93 0.0013053 -147 *244:50 *5526:14 0.000386845 -148 *244:50 *5707:222 1.39841e-05 -149 *244:50 *6009:23 0.00182574 -150 *244:50 *6178:17 6.33579e-05 -151 *244:50 *6179:19 2.43626e-05 -152 *244:50 *6317:120 0.000166809 -153 *244:50 *6380:46 5.56036e-05 -154 *244:57 *25063:A1 0.000341599 -155 *244:57 *25063:A2 0.000140204 -156 *244:57 *25063:B1 0.000247632 -157 *244:57 *27098:A1 0.000123029 -158 *244:57 *27098:S 0.000210347 -159 *244:57 *27219:A 0.000180777 -160 *244:57 *27224:A 0.000191883 -161 *244:57 *27231:A1 1.92905e-05 -162 *244:57 *27231:A2 0.000186662 -163 *244:57 *3851:12 0.000169003 -164 *244:57 *5426:19 0.00114332 -165 *244:57 *6178:17 0.00110418 -166 *244:57 *6179:10 1.74352e-05 -167 *244:57 *6380:46 0.000240736 -168 *244:64 *29033:A 0.000141734 -169 *244:64 *4574:20 0.000949747 -170 *244:64 *5534:49 0.00019929 -171 *244:65 *24845:A 0.000149904 -172 *244:65 *24981:B2 0.00172703 -173 *244:65 *24994:A1 0.000980528 -174 *244:65 *25060:A1 0.000400653 -175 *244:65 *25712:S 0.000139946 -176 *244:65 *25713:A1 0.000480061 -177 *244:65 *26919:A 0 -178 *244:65 *26944:A1 5.07799e-05 -179 *244:65 *26982:B 0.000164625 -180 *244:65 *27026:A1 0.000222313 -181 *244:65 *27806:A2 0.000191403 -182 *244:65 *27806:B1 0.000342361 -183 *244:65 *27836:A1 0.000428856 -184 *244:65 *28434:CLK 6.26559e-05 -185 *244:65 *28512:RESET_B 4.15526e-05 -186 *244:65 *28545:D 0.000127439 -187 *244:65 *29254:A 6.42338e-05 -188 *244:65 *29792:A 0.000271346 -189 *244:65 *29809:A 9.3231e-05 -190 *244:65 *30381:A 0.000127781 -191 *244:65 *30397:A 9.25787e-05 -192 *244:65 *30421:A 0.00012501 -193 *244:65 *527:16 0.00126279 -194 *244:65 *1268:96 0.00411491 -195 *244:65 *1268:123 0.000698205 -196 *244:65 *1269:264 7.49166e-06 -197 *244:65 *1270:88 0.00198972 -198 *244:65 *1274:141 0.000316255 -199 *244:65 *1275:139 0.000666589 -200 *244:65 *1307:23 0 -201 *244:65 *1334:22 0.00303532 -202 *244:65 *1826:93 0.000141455 -203 *244:65 *2763:25 0.00169055 -204 *244:65 *2763:42 0.000691273 -205 *244:65 *2763:58 0.0003243 -206 *244:65 *2763:145 9.16325e-06 -207 *244:65 *2764:120 0.00118137 -208 *244:65 *2764:129 0.00028855 -209 *244:65 *2775:14 2.17146e-05 -210 *244:65 *2775:18 0.00268883 -211 *244:65 *2775:28 0.000358944 -212 *244:65 *2776:109 0.000349427 -213 *244:65 *2776:117 0.000869131 -214 *244:65 *2776:139 8.93887e-05 -215 *244:65 *2776:144 1.18358e-05 -216 *244:65 *3634:34 0.000171375 -217 *244:65 *3634:38 0.000164434 -218 *244:65 *3679:16 0.000130576 -219 *244:65 *3682:21 0.00290888 -220 *244:65 *3718:157 0 -221 *244:65 *3722:8 0.000454881 -222 *244:65 *3722:23 0.000170661 -223 *244:65 *3722:34 0.000148658 -224 *244:65 *3748:34 0.000750918 -225 *244:65 *3890:9 0.000125724 -226 *244:65 *3891:113 0.000256383 -227 *244:65 *4069:17 4.76042e-05 -228 *244:65 *4124:19 0.000629199 -229 *244:65 *4124:85 0.00200427 -230 *244:65 *4150:22 3.15517e-05 -231 *244:65 *4215:14 0.00012401 -232 *244:65 *4218:18 0.000524602 -233 *244:65 *4399:14 9.81873e-05 -234 *244:65 *5084:20 1.18064e-05 -235 *244:65 *5240:8 0 -236 *244:65 *5386:12 0.00308642 -237 *244:65 *5503:8 0.00525483 -238 *244:65 *5602:53 0.00032617 -239 *244:65 *5628:45 0.00134888 -240 *244:65 *5637:19 4.5539e-05 -241 *244:65 *5676:137 0.000270094 -242 *244:65 *5696:77 0.000372244 -243 *244:65 *5829:126 8.54375e-05 -244 *244:65 *5829:127 0.00425581 -245 *244:65 *5911:64 0.000495604 -246 *244:65 *6244:23 0.000101545 -247 *244:72 *4095:11 6.32942e-05 -248 *244:78 *4095:11 5.56564e-05 -249 *244:84 *4095:11 5.21937e-05 -250 *244:90 *2769:167 8.25843e-06 -251 *244:90 *4095:11 4.00679e-05 -252 *244:98 *2769:167 6.13253e-05 -253 *244:104 *2769:167 0.000183726 -254 *244:110 *2769:167 0.000180764 -255 *244:118 *2769:167 0.000219711 -256 *244:118 *3538:92 0.000106517 -257 *244:127 *3538:92 2.14658e-05 -258 *244:127 *3757:102 0.00013602 -259 *244:127 *5800:85 0.000270733 -260 *244:131 *3757:102 3.92854e-05 -261 *244:131 *5800:85 7.83659e-05 -262 *244:158 *26959:A1 8.86898e-05 -263 *244:158 *3891:113 9.17281e-05 -264 *244:158 *5911:64 0.000190798 -265 *244:166 *26959:A1 0.000306317 -266 *244:166 *26959:S 1.98839e-05 -267 *244:166 *27031:A0 0.000219711 -268 *244:166 *4393:9 2.89016e-05 -269 *244:166 *5696:27 0.000216755 -270 *244:166 *6087:19 2.42516e-05 -271 *244:172 *27031:A0 0.000379583 -272 *244:172 *30877:A 9.41642e-05 -273 *244:172 *4393:9 0.000223102 -274 *244:175 *3538:92 0.000171375 -275 *244:175 *5586:98 2.79092e-05 -276 *244:193 *25727:S 9.46929e-05 -277 *244:193 *540:15 8.46829e-05 -278 *244:193 *3538:92 0.000218734 -279 *244:193 *5586:98 6.90698e-05 -280 debug_in *244:19 0 -281 mgmt_gpio_out[15] *244:175 7.90803e-05 -282 pad_flash_clk *244:19 0 -283 pll_trim[25] *244:28 0.000226078 -284 *30624:A *244:64 0.0006846 -285 *30646:A *244:28 9.31893e-05 -286 *30678:A *244:64 0.000173942 -287 *30685:A *244:50 0.000257404 -288 *30686:A *244:50 0 -289 *11:17 *244:57 2.77173e-05 -290 *18:20 *244:50 0.000169719 -291 *26:17 *244:57 0.000177293 -*RES -1 user_clock *244:19 43.506 -2 *244:19 *244:20 125.804 -3 *244:20 *244:26 1.80357 -4 *244:26 *244:28 55.9821 -5 *244:28 *244:50 42.0896 -6 *244:50 *244:57 41.5536 -7 *244:57 *244:64 22.8393 -8 *244:64 *244:65 373.214 -9 *244:65 *244:67 4.5 -10 *244:67 *6426:DIODE 9.3 -11 *244:67 *244:72 1.76786 -12 *244:72 *6425:DIODE 9.3 -13 *244:72 *244:78 1.76786 -14 *244:78 *6413:DIODE 9.3 -15 *244:78 *244:84 1.76786 -16 *244:84 *6412:DIODE 9.3 -17 *244:84 *244:90 1.76786 -18 *244:90 *6410:DIODE 9.3 -19 *244:90 *244:98 2.91071 -20 *244:98 *6409:DIODE 9.3 -21 *244:98 *244:104 1.76786 -22 *244:104 *6408:DIODE 9.3 -23 *244:104 *244:110 1.76786 -24 *244:110 *6407:DIODE 9.3 -25 *244:110 *244:118 3.83929 -26 *244:118 *25560:A1 9.3 -27 *244:118 *244:127 9.73214 -28 *244:127 *244:131 5.53571 -29 *244:131 *6417:DIODE 10.6571 -30 *244:131 *6416:DIODE 9.3 -31 *244:127 *244:142 4.5 -32 *244:142 *6414:DIODE 9.3 -33 *244:142 *6419:DIODE 14.7821 -34 *244:65 *244:158 8.82143 -35 *244:158 *6428:DIODE 9.3 -36 *244:158 *244:166 7.125 -37 *244:166 *6421:DIODE 9.3 -38 *244:166 *244:172 5.875 -39 *244:172 *244:175 6.75 -40 *244:175 *244:177 4.5 -41 *244:177 *6420:DIODE 9.83571 -42 *244:177 *6418:DIODE 10.2464 -43 *244:175 *244:193 9.125 -44 *244:193 *6424:DIODE 10.6571 -45 *244:193 *6427:DIODE 20.55 -46 *244:193 *6423:DIODE 9.3 -47 *244:172 *6415:DIODE 10.2464 -*END - -*D_NET *245 0.00322915 -*CONN -*P usr1_vcc_pwrgood I -*I *30755:A I *D sky130_fd_sc_hd__buf_2 -*CAP -1 usr1_vcc_pwrgood 0.00110434 -2 *30755:A 0.00110434 -3 *30755:A *25544:A1 2.11419e-05 -4 *30755:A *25544:S 3.08419e-05 -5 *30755:A *25687:A0 3.50184e-05 -6 *30755:A *25731:A0 5.52238e-05 -7 *30755:A *25731:A1 0.000322111 -8 *30755:A *25731:S 4.43256e-05 -9 *30755:A *28200:D 0.000173378 -10 *30755:A *29794:A 4.66096e-05 -11 *30755:A *30424:A 0 -12 *30755:A *30626:A 0 -13 *30755:A *247:17 0 -14 *30755:A *3602:33 0.000172729 -15 *30755:A *5408:19 0 -16 *30755:A *5690:7 2.95726e-05 -17 *30755:A *5769:187 8.95144e-05 -*RES -1 usr1_vcc_pwrgood *30755:A 36.7107 -*END - -*D_NET *246 0.00441395 -*CONN -*P usr1_vdd_pwrgood I -*I *30756:A I *D sky130_fd_sc_hd__buf_2 -*CAP -1 usr1_vdd_pwrgood 0.000982555 -2 *30756:A 0.000982555 -3 *30756:A *30758:A 0 -4 *30756:A *247:17 0.000331446 -5 *30756:A *4586:17 2.04423e-05 -6 *30756:A *4784:11 0.000496025 -7 *30756:A *5444:15 5.33005e-05 -8 *30756:A *5458:67 0.00154763 -*RES -1 usr1_vdd_pwrgood *30756:A 43.4786 -*END - -*D_NET *247 0.00492493 -*CONN -*P usr2_vcc_pwrgood I -*I *30757:A I *D sky130_fd_sc_hd__buf_2 -*CAP -1 usr2_vcc_pwrgood 0.00179584 -2 *30757:A 0 -3 *247:17 0.00179584 -4 *247:17 *25687:A1 3.07347e-05 -5 *247:17 *28175:CLK 0.000200471 -6 *247:17 *29681:A 0 -7 *247:17 *30424:A 0.000522715 -8 *247:17 *5397:23 0.000160198 -9 *247:17 *5725:10 0 -10 *247:17 *6269:11 7.07686e-05 -11 *30704:A *247:17 1.69115e-05 -12 *30755:A *247:17 0 -13 *30756:A *247:17 0.000331446 -*RES -1 usr2_vcc_pwrgood *247:17 45.0714 -2 *247:17 *30757:A 9.3 -*END - -*D_NET *248 0.00349953 -*CONN -*P usr2_vdd_pwrgood I -*I *30758:A I *D sky130_fd_sc_hd__clkbuf_4 -*CAP -1 usr2_vdd_pwrgood 0.00111319 -2 *30758:A 0.00111319 -3 *30758:A *30626:A 0.0010044 -4 *30758:A *5019:14 0.00026875 -5 *30703:A *30758:A 0 -6 *30756:A *30758:A 0 -*RES -1 usr2_vdd_pwrgood *30758:A 36.3 -*END - -*D_NET *249 0.0017236 -*CONN -*P wb_ack_o O -*I *30975:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 wb_ack_o 0.00070845 -2 *30975:X 0.00070845 -3 wb_ack_o *430:9 0.000127446 -4 wb_ack_o *5467:8 1.70474e-05 -5 wb_ack_o *5467:14 0 -6 wb_ack_o *6114:39 0.000162211 -*RES -1 *30975:X wb_ack_o 21.0253 -*END - -*D_NET *250 0.000965402 -*CONN -*P wb_adr_i[0] I -*I *30759:A I *D sky130_fd_sc_hd__buf_12 -*CAP -1 wb_adr_i[0] 0.000443047 -2 *30759:A 0.000443047 -3 *30759:A *30603:A 0 -4 *30752:A *30759:A 7.93077e-05 -*RES -1 wb_adr_i[0] *30759:A 23.05 -*END - -*D_NET *251 0.0049496 -*CONN -*P wb_adr_i[10] I -*I *30592:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_adr_i[10] 0.00140109 -2 *30592:A 0.00140109 -3 *30592:A *25503:A 9.6607e-05 -4 *30592:A *25966:C 8.82757e-05 -5 *30592:A *25984:A 0.000168723 -6 *30592:A *26329:C1 2.83916e-05 -7 *30592:A *26330:A1 0.000134733 -8 *30592:A *26330:C1 0.00034047 -9 *30592:A *26559:A 0.000265453 -10 *30592:A *30593:A 6.87574e-05 -11 *30592:A *30625:A 0 -12 *30592:A *1911:9 0.000189009 -13 *30592:A *1911:61 8.50152e-05 -14 *30592:A *2157:16 0.000384542 -15 *30592:A *2258:10 1.0946e-05 -16 *30592:A *4253:11 4.07673e-05 -17 *30592:A *4508:42 4.97121e-06 -18 *30592:A *4519:209 2.84054e-05 -19 *30592:A *4552:15 6.172e-05 -20 *30592:A *4575:8 6.04391e-05 -21 *30592:A *6192:9 9.02017e-05 -*RES -1 wb_adr_i[10] *30592:A 45.1929 -*END - -*D_NET *252 0.00506351 -*CONN -*P wb_adr_i[11] I -*I *30593:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_adr_i[11] 0.00126265 -2 *30593:A 0.00126265 -3 *30593:A *25502:A 0.000146474 -4 *30593:A *25502:B 0.000193125 -5 *30593:A *25502:D 2.14378e-05 -6 *30593:A *25963:B 4.98776e-05 -7 *30593:A *25966:C 7.16319e-05 -8 *30593:A *25969:C1 0.000112667 -9 *30593:A *25982:A 0.000136958 -10 *30593:A *26298:B 4.00349e-05 -11 *30593:A *30594:A 0 -12 *30593:A *1911:9 0 -13 *30593:A *1911:53 0.000234615 -14 *30593:A *1911:56 4.14279e-05 -15 *30593:A *1911:61 9.60939e-05 -16 *30593:A *1955:31 3.20316e-05 -17 *30593:A *1993:8 0.000299418 -18 *30593:A *2046:51 4.00349e-05 -19 *30593:A *4264:8 0.000131845 -20 *30593:A *4353:167 0 -21 *30593:A *4508:42 2.90759e-05 -22 *30593:A *4552:15 3.77702e-05 -23 *30593:A *4552:20 0.000320121 -24 *30593:A *6192:9 0.000434806 -25 *30592:A *30593:A 6.87574e-05 -*RES -1 wb_adr_i[11] *30593:A 44.175 -*END - -*D_NET *253 0.00493096 -*CONN -*P wb_adr_i[12] I -*I *30594:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_adr_i[12] 0.00131612 -2 *30594:A 0.00131612 -3 *30594:A *25978:A 0 -4 *30594:A *25979:A1 0.000105441 -5 *30594:A *26050:B 5.52302e-05 -6 *30594:A *30595:A 0 -7 *30594:A *1187:7 0.000181796 -8 *30594:A *1911:14 4.20844e-05 -9 *30594:A *1911:19 2.2993e-05 -10 *30594:A *1924:9 0.000121358 -11 *30594:A *1927:8 0.000305133 -12 *30594:A *4264:8 2.37324e-05 -13 *30594:A *4353:167 0.000485792 -14 *30594:A *4508:54 0.000510261 -15 *30594:A *4508:58 0.000109654 -16 *30594:A *6291:16 1.18064e-05 -17 *30594:A *6291:30 0.000323434 -18 *30593:A *30594:A 0 -*RES -1 wb_adr_i[12] *30594:A 44.3714 -*END - -*D_NET *254 0.00566745 -*CONN -*P wb_adr_i[13] I -*I *30595:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_adr_i[13] 0.00147452 -2 *30595:A 0.00147452 -3 *30595:A *25502:C 1.4851e-05 -4 *30595:A *25966:B 5.40121e-05 -5 *30595:A *25972:C 0 -6 *30595:A *25977:A1 1.50904e-05 -7 *30595:A *25978:A 0.000574953 -8 *30595:A *25978:B 0.00052688 -9 *30595:A *26052:A 0.000120246 -10 *30595:A *26124:C1 3.74338e-05 -11 *30595:A *255:24 0 -12 *30595:A *1907:22 0.000729209 -13 *30595:A *1912:28 0.000188397 -14 *30595:A *1919:8 0.000119174 -15 *30595:A *1919:19 8.636e-05 -16 *30595:A *1955:19 2.06112e-05 -17 *30595:A *2512:20 0.000139907 -18 *30595:A *4530:194 9.12904e-05 -19 *30595:A *4541:8 0 -20 *30594:A *30595:A 0 -*RES -1 wb_adr_i[13] *30595:A 48.1571 -*END - -*D_NET *255 0.0103965 -*CONN -*P wb_adr_i[14] I -*I *30596:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_adr_i[14] 0.00260243 -2 *30596:A 0.000519633 -3 *255:24 0.00312206 -4 *30596:A *25509:A 0.000137983 -5 *30596:A *25509:D_N 0 -6 *30596:A *26785:A2 9.25014e-06 -7 *30596:A *30609:A 0.00021717 -8 *30596:A *30611:A 5.52238e-05 -9 *30596:A *1790:17 0.000447622 -10 *30596:A *1791:19 8.41815e-05 -11 *30596:A *4286:15 2.95726e-05 -12 *30596:A *5726:20 2.47833e-05 -13 *255:24 *25504:A 2.32353e-05 -14 *255:24 *25504:B 4.51726e-05 -15 *255:24 *25961:D 2.79421e-05 -16 *255:24 *25962:D 0.000114577 -17 *255:24 *25972:C 0.000101085 -18 *255:24 *26012:B 1.98839e-05 -19 *255:24 *26091:B 0.000605543 -20 *255:24 *26559:B 6.55108e-05 -21 *255:24 *256:22 0.000437781 -22 *255:24 *1789:5 0.00107177 -23 *255:24 *1789:7 0.000172309 -24 *255:24 *1905:8 6.8646e-06 -25 *255:24 *1921:10 5.42698e-05 -26 *255:24 *2046:51 4.13496e-05 -27 *255:24 *4286:23 6.26276e-05 -28 *255:24 *4341:11 0.000296632 -29 *30595:A *255:24 0 -*RES -1 wb_adr_i[14] *255:24 49.4464 -2 *255:24 *30596:A 29.5143 -*END - -*D_NET *256 0.00673446 -*CONN -*P wb_adr_i[15] I -*I *30597:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_adr_i[15] 0.00198135 -2 *30597:A 0 -3 *256:22 0.00198135 -4 *256:22 *25961:B 0.000220972 -5 *256:22 *25970:A 1.90936e-05 -6 *256:22 *25972:A 0.000121326 -7 *256:22 *25972:B 7.83587e-05 -8 *256:22 *25984:A 5.71472e-05 -9 *256:22 *26051:B1 0 -10 *256:22 *26124:A1 4.27437e-05 -11 *256:22 *26124:A2 3.75321e-05 -12 *256:22 *26124:C1 0.000761377 -13 *256:22 *30598:A 0 -14 *256:22 *1903:8 5.33882e-05 -15 *256:22 *1904:8 0.000195547 -16 *256:22 *1908:27 8.6229e-06 -17 *256:22 *1914:8 0 -18 *256:22 *1921:10 0 -19 *256:22 *1922:11 7.15313e-05 -20 *256:22 *2152:157 6.8194e-05 -21 *256:22 *2397:11 0.000139981 -22 *256:22 *4308:8 0.000449004 -23 *256:22 *4341:11 9.16325e-06 -24 *255:24 *256:22 0.000437781 -*RES -1 wb_adr_i[15] *256:22 42.3393 -2 *256:22 *30597:A 9.3 -*END - -*D_NET *257 0.00584216 -*CONN -*P wb_adr_i[16] I -*I *30598:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_adr_i[16] 0.00140949 -2 *30598:A 0.00140949 -3 *30598:A *25511:C 3.48235e-05 -4 *30598:A *25972:A 2.22371e-05 -5 *30598:A *25974:B 0.00060976 -6 *30598:A *26561:A2 2.42516e-05 -7 *30598:A *30599:A 0 -8 *30598:A *1187:7 0.000511661 -9 *30598:A *1906:14 0.000335368 -10 *30598:A *1914:8 0.00078292 -11 *30598:A *1916:6 2.82057e-05 -12 *30598:A *2031:68 4.43698e-05 -13 *30598:A *4286:23 0.000351835 -14 *30598:A *4297:15 2.09826e-05 -15 *30598:A *4308:8 0.00022151 -16 *30598:A *4364:92 3.52655e-05 -17 *256:22 *30598:A 0 -*RES -1 wb_adr_i[16] *30598:A 48.3179 -*END - -*D_NET *258 0.00428666 -*CONN -*P wb_adr_i[17] I -*I *30599:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_adr_i[17] 0.0012722 -2 *30599:A 0.0012722 -3 *30599:A *25504:B 0.000240326 -4 *30599:A *25511:C 5.74364e-05 -5 *30599:A *25973:A2 5.15925e-05 -6 *30599:A *26456:A 1.24368e-05 -7 *30599:A *30600:A 0 -8 *30599:A *1917:8 0.00014101 -9 *30599:A *1958:8 0.000511261 -10 *30599:A *2039:29 0.000135028 -11 *30599:A *4330:8 0.000159079 -12 *30599:A *4364:60 0.00018601 -13 *30599:A *4364:62 5.68973e-05 -14 *30599:A *4364:69 0.000191187 -15 *30598:A *30599:A 0 -*RES -1 wb_adr_i[17] *30599:A 41.175 -*END - -*D_NET *259 0.00535512 -*CONN -*P wb_adr_i[18] I -*I *30600:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_adr_i[18] 0.00161041 -2 *30600:A 0.00161041 -3 *30600:A *25504:A 4.60232e-06 -4 *30600:A *25504:B 0 -5 *30600:A *25504:C 5.68913e-05 -6 *30600:A *26016:A2 4.82859e-05 -7 *30600:A *26091:C 0.000246051 -8 *30600:A *26124:C1 2.49484e-05 -9 *30600:A *26124:D1 0.000159089 -10 *30600:A *30601:A 0 -11 *30600:A *1906:20 0.000911515 -12 *30600:A *1957:12 0.000177888 -13 *30600:A *1959:19 9.60875e-05 -14 *30600:A *2033:12 0.000193046 -15 *30600:A *2220:19 0 -16 *30600:A *4286:15 7.83587e-05 -17 *30600:A *4364:54 2.95076e-06 -18 *30600:A *4364:60 0 -19 *30600:A *4375:10 0 -20 *30600:A *5544:15 0.000128321 -21 *30600:A *6214:22 6.2589e-06 -22 *30599:A *30600:A 0 -*RES -1 wb_adr_i[18] *30600:A 47.2643 -*END - -*D_NET *260 0.00322829 -*CONN -*P wb_adr_i[19] I -*I *30601:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_adr_i[19] 0.000833778 -2 *30601:A 0.000833778 -3 *30601:A *25972:A 5.71472e-05 -4 *30601:A *25972:C 0.000138007 -5 *30601:A *30604:A 0 -6 *30601:A *30605:A 0.000222708 -7 *30601:A *2220:19 0.00081242 -8 *30601:A *4375:10 0.000330456 -9 *30600:A *30601:A 0 -*RES -1 wb_adr_i[19] *30601:A 34.3893 -*END - -*D_NET *261 0.00141466 -*CONN -*P wb_adr_i[1] I -*I *30603:A I *D sky130_fd_sc_hd__buf_6 -*CAP -1 wb_adr_i[1] 0.000607328 -2 *30603:A 0.000607328 -3 *30603:A *30615:A 0.00010096 -4 *30603:A *4475:5 9.90431e-05 -5 *30752:A *30603:A 0 -6 *30759:A *30603:A 0 -*RES -1 wb_adr_i[1] *30603:A 26.1929 -*END - -*D_NET *262 0.00105399 -*CONN -*P wb_adr_i[20] I -*I *30604:A I *D sky130_fd_sc_hd__clkbuf_4 -*CAP -1 wb_adr_i[20] 0.000449323 -2 *30604:A 0.000449323 -3 *30604:A *30605:A 5.62995e-05 -4 *30604:A *30610:A 9.90431e-05 -5 *30604:A *4375:10 0 -6 *30601:A *30604:A 0 -*RES -1 wb_adr_i[20] *30604:A 23.1571 -*END - -*D_NET *263 0.00216899 -*CONN -*P wb_adr_i[21] I -*I *30605:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_adr_i[21] 0.000730043 -2 *30605:A 0.000730043 -3 *30605:A *30606:A 0 -4 *30605:A *30610:A 0.000137297 -5 *30605:A *2220:19 0.0001399 -6 *30605:A *4364:8 0.000126639 -7 *30605:A *4375:10 1.74352e-05 -8 *30605:A *6214:22 8.6229e-06 -9 *30601:A *30605:A 0.000222708 -10 *30604:A *30605:A 5.62995e-05 -*RES -1 wb_adr_i[21] *30605:A 30.4786 -*END - -*D_NET *264 0.00143453 -*CONN -*P wb_adr_i[22] I -*I *30606:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 -*CAP -1 wb_adr_i[22] 0.000617261 -2 *30606:A 0.000617261 -3 *30606:A *30607:A 0 -4 *30606:A *1791:19 0.00010096 -5 *30606:A *4286:15 9.90431e-05 -6 *30605:A *30606:A 0 -*RES -1 wb_adr_i[22] *30606:A 25.8893 -*END - -*D_NET *265 0.00100474 -*CONN -*P wb_adr_i[23] I -*I *30607:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 -*CAP -1 wb_adr_i[23] 0.000459339 -2 *30607:A 0.000459339 -3 *30607:A *30608:A 0 -4 *30607:A *30610:A 2.89114e-05 -5 *30607:A *6214:32 5.71472e-05 -6 *30606:A *30607:A 0 -*RES -1 wb_adr_i[23] *30607:A 23.05 -*END - -*D_NET *266 0.00279743 -*CONN -*P wb_adr_i[24] I -*I *30608:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_adr_i[24] 0.000803047 -2 *30608:A 0.000803047 -3 *30608:A *25504:C 0.000437762 -4 *30608:A *25507:A 6.25506e-05 -5 *30608:A *25507:B 5.71472e-05 -6 *30608:A *30609:A 0 -7 *30608:A *2046:51 0.000220095 -8 *30608:A *4397:8 0.000350494 -9 *30608:A *4419:20 6.3291e-05 -10 *30607:A *30608:A 0 -*RES -1 wb_adr_i[24] *30608:A 32.55 -*END - -*D_NET *267 0.00385208 -*CONN -*P wb_adr_i[25] I -*I *30609:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_adr_i[25] 0.00100675 -2 *30609:A 0.00100675 -3 *30609:A *26332:B 7.55769e-05 -4 *30609:A *26455:A2 0.000353079 -5 *30609:A *26785:A2 4.31097e-05 -6 *30609:A *30610:A 0 -7 *30609:A *1941:18 0.000424486 -8 *30609:A *1942:14 0.000420924 -9 *30609:A *4419:20 0.000304233 -10 *30596:A *30609:A 0.00021717 -11 *30608:A *30609:A 0 -*RES -1 wb_adr_i[25] *30609:A 38.3714 -*END - -*D_NET *268 0.00407632 -*CONN -*P wb_adr_i[26] I -*I *30610:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_adr_i[26] 0.00166789 -2 *30610:A 0.00166789 -3 *30610:A *30611:A 0 -4 *30610:A *30612:A 7.43578e-06 -5 *30610:A *4397:8 2.89016e-05 -6 *30610:A *6214:32 0.000438947 -7 *30604:A *30610:A 9.90431e-05 -8 *30605:A *30610:A 0.000137297 -9 *30607:A *30610:A 2.89114e-05 -10 *30609:A *30610:A 0 -*RES -1 wb_adr_i[26] *30610:A 39.1929 -*END - -*D_NET *269 0.00147426 -*CONN -*P wb_adr_i[27] I -*I *30611:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_adr_i[27] 0.000606226 -2 *30611:A 0.000606226 -3 *30611:A *25509:B 0.000144038 -4 *30611:A *30612:A 0 -5 *30611:A *1790:17 9.25014e-06 -6 *30611:A *1791:19 5.33005e-05 -7 *30596:A *30611:A 5.52238e-05 -8 *30610:A *30611:A 0 -*RES -1 wb_adr_i[27] *30611:A 25.7821 -*END - -*D_NET *270 0.00182425 -*CONN -*P wb_adr_i[28] I -*I *30612:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_adr_i[28] 0.000640334 -2 *30612:A 0.000640334 -3 *30612:A *30614:A 0 -4 *30612:A *6214:32 0.000536146 -5 *30610:A *30612:A 7.43578e-06 -6 *30611:A *30612:A 0 -*RES -1 wb_adr_i[28] *30612:A 27.5679 -*END - -*D_NET *271 0.00121341 -*CONN -*P wb_adr_i[29] I -*I *30614:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_adr_i[29] 0.000512412 -2 *30614:A 0.000512412 -3 *30614:A *30616:A 0 -4 *30614:A *6214:32 0.000188586 -5 *30612:A *30614:A 0 -*RES -1 wb_adr_i[29] *30614:A 24.2821 -*END - -*D_NET *272 0.00202494 -*CONN -*P wb_adr_i[2] I -*I *30615:A I *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 wb_adr_i[2] 0.000865745 -2 *30615:A 0.000865745 -3 *30615:A *30618:A 0 -4 *30615:A *2220:33 0 -5 *30615:A *4475:5 0.000192489 -6 *30615:A *6291:8 0 -7 *30603:A *30615:A 0.00010096 -*RES -1 wb_adr_i[2] *30615:A 30.6036 -*END - -*D_NET *273 0.00145644 -*CONN -*P wb_adr_i[30] I -*I *30616:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_adr_i[30] 0.00063496 -2 *30616:A 0.00063496 -3 *30616:A *25508:A 7.6021e-05 -4 *30616:A *25508:B 4.87854e-05 -5 *30616:A *27987:B1 1.28585e-05 -6 *30616:A *30617:A 0 -7 *30616:A *30654:A 0 -8 *30616:A *5726:20 4.88515e-05 -9 *30614:A *30616:A 0 -*RES -1 wb_adr_i[30] *30616:A 26.6036 -*END - -*D_NET *274 0.0015911 -*CONN -*P wb_adr_i[31] I -*I *30617:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_adr_i[31] 0.000593094 -2 *30617:A 0.000593094 -3 *30617:A *30627:A 0 -4 *30617:A *4874:16 0.000186662 -5 *30617:A *6214:32 0.000218246 -6 *30616:A *30617:A 0 -*RES -1 wb_adr_i[31] *30617:A 26.4607 -*END - -*D_NET *275 0.00115506 -*CONN -*P wb_adr_i[3] I -*I *30618:A I *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 wb_adr_i[3] 0.000516175 -2 *30618:A 0.000516175 -3 *30618:A *30619:A 0 -4 *30618:A *2220:23 9.90431e-05 -5 *30618:A *2220:33 1.76135e-05 -6 *30618:A *6291:8 6.05161e-06 -7 *30615:A *30618:A 0 -*RES -1 wb_adr_i[3] *30618:A 23.9786 -*END - -*D_NET *276 0.00313329 -*CONN -*P wb_adr_i[4] I -*I *30619:A I *D sky130_fd_sc_hd__buf_6 -*CAP -1 wb_adr_i[4] 0.000783116 -2 *30619:A 0.000783116 -3 *30619:A *30620:A 0.000919945 -4 *30619:A *2220:33 0 -5 *30619:A *4353:19 0.000203572 -6 *30619:A *4475:21 0.000354511 -7 *30619:A *4563:21 8.90314e-05 -8 *30618:A *30619:A 0 -*RES -1 wb_adr_i[4] *30619:A 35.7107 -*END - -*D_NET *277 0.00241705 -*CONN -*P wb_adr_i[5] I -*I *30620:A I *D sky130_fd_sc_hd__buf_6 -*CAP -1 wb_adr_i[5] 0.000597322 -2 *30620:A 0.000597322 -3 *30620:A *26262:B 0.000121971 -4 *30620:A *26335:B1 8.30056e-05 -5 *30620:A *30621:A 0 -6 *30620:A *4353:167 0 -7 *30620:A *4475:21 0 -8 *30620:A *4508:42 4.13122e-06 -9 *30620:A *5782:6 0 -10 *30620:A *6214:10 9.33521e-05 -11 *30619:A *30620:A 0.000919945 -*RES -1 wb_adr_i[5] *30620:A 30.0143 -*END - -*D_NET *278 0.00322922 -*CONN -*P wb_adr_i[6] I -*I *30621:A I *D sky130_fd_sc_hd__buf_4 -*CAP -1 wb_adr_i[6] 0.000683016 -2 *30621:A 0.000683016 -3 *30621:A *30622:A 0.00040279 -4 *30621:A *2220:23 0.00116321 -5 *30621:A *4353:167 4.9773e-05 -6 *30621:A *4508:14 3.466e-06 -7 *30621:A *4552:15 0.000128476 -8 *30621:A *6214:22 0.000115473 -9 *30620:A *30621:A 0 -*RES -1 wb_adr_i[6] *30621:A 33.9786 -*END - -*D_NET *279 0.00166052 -*CONN -*P wb_adr_i[7] I -*I *30622:A I *D sky130_fd_sc_hd__buf_6 -*CAP -1 wb_adr_i[7] 0.00053387 -2 *30622:A 0.00053387 -3 *30622:A *30623:A 0 -4 *30622:A *4353:167 6.78942e-05 -5 *30622:A *6214:22 0.000122101 -6 *30621:A *30622:A 0.00040279 -*RES -1 wb_adr_i[7] *30622:A 26.3357 -*END - -*D_NET *280 0.00455631 -*CONN -*P wb_adr_i[8] I -*I *30623:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_adr_i[8] 0.00121201 -2 *30623:A 0.00121201 -3 *30623:A *26316:B 0.000274238 -4 *30623:A *26326:B1 8.90144e-05 -5 *30623:A *30625:A 0 -6 *30623:A *1946:8 0.000170322 -7 *30623:A *1946:15 9.2749e-05 -8 *30623:A *2217:13 0.000137983 -9 *30623:A *2227:34 3.67548e-05 -10 *30623:A *4242:9 0.000907252 -11 *30623:A *4519:8 0.000213398 -12 *30623:A *6192:9 6.42095e-05 -13 *30623:A *6214:10 0.000146376 -14 *30622:A *30623:A 0 -*RES -1 wb_adr_i[8] *30623:A 42.4071 -*END - -*D_NET *281 0.00640364 -*CONN -*P wb_adr_i[9] I -*I *30625:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_adr_i[9] 0.00136426 -2 *30625:A 0.00136426 -3 *30625:A *26262:B 9.83442e-05 -4 *30625:A *26316:A 0.00026353 -5 *30625:A *26329:B1 0.000183739 -6 *30625:A *26329:C1 0.000116031 -7 *30625:A *1956:21 0.000135028 -8 *30625:A *1956:35 0.000425005 -9 *30625:A *1956:42 5.33433e-05 -10 *30625:A *2227:19 0.000137505 -11 *30625:A *2269:23 8.14128e-05 -12 *30625:A *2272:15 9.90431e-05 -13 *30625:A *4519:8 0 -14 *30625:A *4575:8 0.00201335 -15 *30625:A *5722:5 6.87943e-05 -16 *30592:A *30625:A 0 -17 *30623:A *30625:A 0 -*RES -1 wb_adr_i[9] *30625:A 49.6571 -*END - -*D_NET *282 0.0588212 -*CONN -*P wb_clk_i I -*I *28843:A I *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 wb_clk_i 0.00201339 -2 *28843:A 0.00111371 -3 *282:38 0.00189081 -4 *282:34 0.00190341 -5 *282:21 0.00649782 -6 *282:19 0.00583216 -7 *282:15 0.00247405 -8 *28843:A *24898:B 0.000966594 -9 *28843:A *24927:A 1.98839e-05 -10 *28843:A *24927:B 2.59355e-05 -11 *28843:A *1224:7 6.57032e-05 -12 *28843:A *3542:21 0.00024556 -13 *28843:A *3542:39 0.000266976 -14 *28843:A *5630:59 0.00229532 -15 *282:15 *25626:S 7.02999e-05 -16 *282:15 *25952:A1 0.000128784 -17 *282:15 *26825:S 0.000547385 -18 *282:15 *28122:D 2.19839e-05 -19 *282:15 *28674:CLK 0.000525473 -20 *282:15 *29562:A 1.15058e-05 -21 *282:15 *30946:A 5.06325e-05 -22 *282:15 *348:16 0 -23 *282:15 *474:15 0 -24 *282:15 *761:17 0 -25 *282:15 *762:14 5.33333e-05 -26 *282:15 *1900:30 0 -27 *282:15 *4742:17 0.000105739 -28 *282:15 *5636:10 0.0005104 -29 *282:15 *5745:61 0 -30 *282:15 *5944:17 0.000132903 -31 *282:19 *25402:C1 5.4061e-05 -32 *282:19 *28122:D 0.000271502 -33 *282:19 *474:15 1.46624e-05 -34 *282:19 *1900:30 0 -35 *282:19 *3972:24 0.000148182 -36 *282:19 *5636:10 0 -37 *282:21 *25164:B1 0.00042217 -38 *282:21 *25164:B2 0.00014278 -39 *282:21 *25266:C 0 -40 *282:21 *26827:A 0.000209601 -41 *282:21 *26828:S 2.06178e-05 -42 *282:21 *27629:B2 0.000904818 -43 *282:21 *27629:C1 1.10978e-05 -44 *282:21 *27669:B 1.91414e-05 -45 *282:21 *28341:D 0.00027611 -46 *282:21 *28342:RESET_B 5.54183e-05 -47 *282:21 *28343:SET_B 0.000290577 -48 *282:21 *28391:D 0.000102545 -49 *282:21 *28626:CLK 0 -50 *282:21 *29932:A 0.000119636 -51 *282:21 *1250:163 0.00034065 -52 *282:21 *1271:88 0.000212825 -53 *282:21 *1419:43 0.00207964 -54 *282:21 *1420:22 0.000111341 -55 *282:21 *1485:23 2.49292e-05 -56 *282:21 *1592:20 0.000801608 -57 *282:21 *1900:17 0.000117159 -58 *282:21 *1900:26 0.000305747 -59 *282:21 *1900:30 3.23542e-05 -60 *282:21 *2856:38 0.000615292 -61 *282:21 *2870:21 0 -62 *282:21 *3162:38 1.44868e-05 -63 *282:21 *3162:40 0.00413298 -64 *282:21 *3413:51 7.17941e-05 -65 *282:21 *3540:8 0.000645002 -66 *282:21 *3751:44 0.00194571 -67 *282:21 *3790:32 0 -68 *282:21 *3946:45 0.000252498 -69 *282:21 *3972:24 0 -70 *282:21 *4052:30 5.36407e-05 -71 *282:21 *4062:27 0.00446561 -72 *282:21 *5505:57 0.000107076 -73 *282:21 *5625:52 0.000465896 -74 *282:21 *5655:36 2.3711e-05 -75 *282:21 *5655:96 1.9514e-05 -76 *282:21 *5745:124 9.44511e-05 -77 *282:21 *5745:135 0.000313988 -78 *282:21 *5750:137 0.000326111 -79 *282:34 *26894:A0 0.000108511 -80 *282:34 *28483:CLK 0.000304708 -81 *282:34 *28507:CLK 0.000611374 -82 *282:34 *1275:16 0.000102658 -83 *282:34 *1511:39 0.00017754 -84 *282:34 *3162:38 0.00224684 -85 *282:34 *3540:8 5.51487e-05 -86 *282:34 *4104:50 0.000618588 -87 *282:34 *5653:146 0.000343717 -88 *282:34 *5707:44 0.00111317 -89 *282:38 *1326:116 0.000135028 -90 *282:38 *3542:39 9.41642e-05 -91 *282:38 *4104:50 0.00185198 -92 *282:38 *5630:59 0.00183732 -93 *282:38 *5653:146 0.000187377 -94 pll_bypass *282:15 0.000152236 -95 pll_trim[25] *282:15 0.000474167 -*RES -1 wb_clk_i *282:15 44.1518 -2 *282:15 *282:19 7.99107 -3 *282:19 *282:21 157.679 -4 *282:21 *282:34 42.4911 -5 *282:34 *282:38 23.6518 -6 *282:38 *28843:A 37.3536 -*END - -*D_NET *283 0.00389418 -*CONN -*P wb_cyc_i I -*I *30626:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_cyc_i 0.000616526 -2 *30626:A 0.000616526 -3 *30626:A *29681:A 0.0001399 -4 *30626:A *30669:A 0.000686621 -5 *30626:A *4586:17 0.000296564 -6 *30626:A *5019:14 0.00010096 -7 *30626:A *5408:19 0.000411219 -8 *30626:A *5408:21 2.14658e-05 -9 *30755:A *30626:A 0 -10 *30758:A *30626:A 0.0010044 -*RES -1 wb_cyc_i *30626:A 35.2107 -*END - -*D_NET *284 0.00168803 -*CONN -*P wb_dat_i[0] I -*I *30627:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_dat_i[0] 0.000773354 -2 *30627:A 0.000773354 -3 *30627:A *30639:A 0 -4 *30627:A *30654:A 0 -5 *30627:A *4608:17 0 -6 *30627:A *5726:20 0.000141326 -7 *30617:A *30627:A 0 -*RES -1 wb_dat_i[0] *30627:A 28.6571 -*END - -*D_NET *285 0.00546834 -*CONN -*P wb_dat_i[10] I -*I *30628:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_dat_i[10] 0.00212678 -2 *30628:A 0 -3 *285:14 0.00212678 -4 *285:14 *30639:A 0.000125731 -5 *285:14 *30660:A 0.000659819 -6 *285:14 *30661:A 0 -7 *285:14 *286:17 0.000313241 -8 *285:14 *4874:16 2.59355e-05 -9 *285:14 *6214:32 9.00585e-05 -*RES -1 wb_dat_i[10] *285:14 44.7679 -2 *285:14 *30628:A 9.3 -*END - -*D_NET *286 0.00688277 -*CONN -*P wb_dat_i[11] I -*I *30629:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_dat_i[11] 0.00119379 -2 *30629:A 0.000200301 -3 *286:17 0.0013941 -4 *30629:A *30631:A 0.00022459 -5 *30629:A *30656:A 0.00022266 -6 *30629:A *4619:21 0.000286766 -7 *286:17 *25852:C 4.47103e-05 -8 *286:17 *27987:A2 0.000479652 -9 *286:17 *27991:C1 5.33005e-05 -10 *286:17 *27993:A1 1.24368e-05 -11 *286:17 *28008:B1 7.57063e-05 -12 *286:17 *30637:A 0 -13 *286:17 *30655:A 0.000120267 -14 *286:17 *30656:A 4.37397e-05 -15 *286:17 *30658:A 0 -16 *286:17 *30660:A 0.000313241 -17 *286:17 *287:27 0 -18 *286:17 *290:21 1.25579e-05 -19 *286:17 *4586:37 0 -20 *286:17 *4608:17 0.00144138 -21 *286:17 *4885:31 0.000402784 -22 *286:17 *6214:51 1.02821e-05 -23 *286:17 *6376:80 2.95642e-05 -24 *286:17 *6376:98 0 -25 *286:17 *6377:87 7.69776e-06 -26 *285:14 *286:17 0.000313241 -*RES -1 wb_dat_i[11] *286:17 48.6071 -2 *286:17 *30629:A 19.4071 -*END - -*D_NET *287 0.00716538 -*CONN -*P wb_dat_i[12] I -*I *30630:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_dat_i[12] 0.00150662 -2 *30630:A 0 -3 *287:27 0.00150662 -4 *287:27 *27982:B 5.07253e-05 -5 *287:27 *27983:B1 9.71197e-05 -6 *287:27 *27991:A2 0.000908171 -7 *287:27 *27995:A0 5.28885e-05 -8 *287:27 *27996:B2 4.19624e-06 -9 *287:27 *27997:B2 0 -10 *287:27 *27998:A0 0.000107449 -11 *287:27 *28004:A0 9.09249e-05 -12 *287:27 *30413:A 0.000555957 -13 *287:27 *30632:A 0.000183713 -14 *287:27 *30637:A 0.000117457 -15 *287:27 *288:10 0.00119171 -16 *287:27 *3530:15 0.000486989 -17 *287:27 *5233:17 0.000304836 -18 *287:27 *6376:80 0 -19 *286:17 *287:27 0 -*RES -1 wb_dat_i[12] *287:27 48.6071 -2 *287:27 *30630:A 13.8 -*END - -*D_NET *288 0.0093577 -*CONN -*P wb_dat_i[13] I -*I *30631:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_dat_i[13] 0.00217253 -2 *30631:A 0.000318663 -3 *288:10 0.00249119 -4 *30631:A *28008:B1 3.69047e-06 -5 *30631:A *30655:A 6.21521e-06 -6 *30631:A *30656:A 4.58976e-05 -7 *30631:A *290:21 5.77989e-05 -8 *30631:A *4619:21 0.00074543 -9 *30631:A *5724:6 0.000365919 -10 *288:10 *26000:A 4.88515e-05 -11 *288:10 *27991:A1 2.59341e-06 -12 *288:10 *27991:A2 0.0010339 -13 *288:10 *27991:B1 0.000158916 -14 *288:10 *27991:B2 3.82227e-06 -15 *288:10 *27991:C1 9.71197e-05 -16 *288:10 *27996:B2 0 -17 *288:10 *30632:A 0 -18 *288:10 *4652:20 9.886e-06 -19 *288:10 *4808:25 5.41797e-06 -20 *288:10 *6214:51 2.30116e-06 -21 *288:10 *6377:87 0.000371258 -22 *30629:A *30631:A 0.00022459 -23 *287:27 *288:10 0.00119171 -*RES -1 wb_dat_i[13] *288:10 45.4643 -2 *288:10 *30631:A 23.6036 -*END - -*D_NET *289 0.00502384 -*CONN -*P wb_dat_i[14] I -*I *30632:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_dat_i[14] 0.00105271 -2 *30632:A 0.00105271 -3 *30632:A *27991:A2 0.000927007 -4 *30632:A *27996:A1 0.000368108 -5 *30632:A *27996:B2 0.000116395 -6 *30632:A *27999:B2 0.000161458 -7 *30632:A *28000:C1 1.74899e-05 -8 *30632:A *28976:A 6.54263e-05 -9 *30632:A *29429:A 0.00014285 -10 *30632:A *30413:A 0.000317082 -11 *30632:A *290:21 0 -12 *30632:A *4652:20 0.000142631 -13 *30632:A *5674:63 7.64863e-05 -14 *30632:A *5674:76 8.19176e-05 -15 *30632:A *6214:51 4.64488e-06 -16 *30632:A *6376:98 3.20046e-05 -17 *30632:A *6376:100 6.71874e-05 -18 *30632:A *6376:102 0.000120965 -19 *30632:A *6377:63 7.35637e-05 -20 *30632:A *6377:87 1.94945e-05 -21 *287:27 *30632:A 0.000183713 -22 *288:10 *30632:A 0 -*RES -1 wb_dat_i[14] *30632:A 40.6214 -*END - -*D_NET *290 0.0105442 -*CONN -*P wb_dat_i[15] I -*I *30633:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_dat_i[15] 0.00307655 -2 *30633:A 0 -3 *290:21 0.00307655 -4 *290:21 *25852:C 0.000167066 -5 *290:21 *26000:A 0.000159286 -6 *290:21 *27988:B1 5.33334e-05 -7 *290:21 *27994:A2 0.000184158 -8 *290:21 *27996:A1 0 -9 *290:21 *28000:B1 0.000380163 -10 *290:21 *29673:A 0 -11 *290:21 *291:18 0.000124214 -12 *290:21 *1880:11 1.77323e-05 -13 *290:21 *4608:17 1.01912e-05 -14 *290:21 *4619:21 0.00242416 -15 *290:21 *4774:19 0.000197425 -16 *290:21 *4808:25 8.85276e-05 -17 *290:21 *4841:27 8.85276e-05 -18 *290:21 *4874:16 3.95113e-05 -19 *290:21 *4919:27 6.19639e-06 -20 *290:21 *5674:76 2.23233e-05 -21 *290:21 *5724:6 8.3104e-05 -22 *290:21 *6203:31 0.00014049 -23 *290:21 *6214:51 2.74981e-06 -24 *290:21 *6377:48 0.000131561 -25 *30631:A *290:21 5.77989e-05 -26 *30632:A *290:21 0 -27 *286:17 *290:21 1.25579e-05 -*RES -1 wb_dat_i[15] *290:21 49.8874 -2 *290:21 *30633:A 13.8 -*END - -*D_NET *291 0.00964937 -*CONN -*P wb_dat_i[16] I -*I *30634:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_dat_i[16] 0.00246854 -2 *30634:A 0 -3 *291:18 0.00246854 -4 *291:18 *25852:A 0.000380666 -5 *291:18 *25852:C 2.59355e-05 -6 *291:18 *28003:C1 0.000120221 -7 *291:18 *28005:B2 6.49273e-05 -8 *291:18 *28006:C1 0.000140159 -9 *291:18 *29673:A 5.4507e-05 -10 *291:18 *30658:A 0.000245442 -11 *291:18 *292:21 0 -12 *291:18 *1880:11 0.000359887 -13 *291:18 *4608:17 5.33005e-05 -14 *291:18 *4619:21 0.00119031 -15 *291:18 *4919:27 0.000240279 -16 *291:18 *5458:64 6.27485e-05 -17 *291:18 *5463:14 0.00148001 -18 *291:18 *5674:76 3.30259e-05 -19 *291:18 *6214:51 7.79311e-05 -20 *291:18 *6377:29 0 -21 *291:18 *6377:48 5.87339e-05 -22 *290:21 *291:18 0.000124214 -*RES -1 wb_dat_i[16] *291:18 41.0647 -2 *291:18 *30634:A 9.3 -*END - -*D_NET *292 0.00488812 -*CONN -*P wb_dat_i[17] I -*I *30636:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_dat_i[17] 0.00148747 -2 *30636:A 0 -3 *292:21 0.00148747 -4 *292:21 *27982:B 0.000353086 -5 *292:21 *28003:A2 4.60232e-06 -6 *292:21 *28004:A0 5.80706e-06 -7 *292:21 *28005:A1 0.000166979 -8 *292:21 *28005:A2 4.34648e-05 -9 *292:21 *28009:A2 8.7636e-06 -10 *292:21 *28009:B2 1.403e-05 -11 *292:21 *28009:C1 3.18676e-05 -12 *292:21 *28013:B1 0 -13 *292:21 *30637:A 0 -14 *292:21 *30643:A 0 -15 *292:21 *3530:15 0.000163062 -16 *292:21 *4686:14 0.000553851 -17 *292:21 *4797:11 6.51312e-05 -18 *292:21 *4919:27 7.31979e-05 -19 *292:21 *4985:11 0.000342694 -20 *292:21 *6376:145 2.67698e-05 -21 *292:21 *6378:129 5.98705e-05 -22 *291:18 *292:21 0 -*RES -1 wb_dat_i[17] *292:21 42.6607 -2 *292:21 *30636:A 9.3 -*END - -*D_NET *293 0.00338558 -*CONN -*P wb_dat_i[18] I -*I *30637:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_dat_i[18] 0.00162736 -2 *30637:A 0.00162736 -3 *30637:A *30638:A 0 -4 *30637:A *6376:98 1.34009e-05 -5 *286:17 *30637:A 0 -6 *287:27 *30637:A 0.000117457 -7 *292:21 *30637:A 0 -*RES -1 wb_dat_i[18] *30637:A 44.9071 -*END - -*D_NET *294 0.00228031 -*CONN -*P wb_dat_i[19] I -*I *30638:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_dat_i[19] 0.000806309 -2 *30638:A 0.000806309 -3 *30638:A *28002:A2 0 -4 *30638:A *28006:A2 0.000318107 -5 *30638:A *28008:A2 0.000318101 -6 *30638:A *28008:B1 2.45832e-05 -7 *30638:A *30640:A 0 -8 *30638:A *6376:138 6.90348e-06 -9 *30637:A *30638:A 0 -*RES -1 wb_dat_i[19] *30638:A 29.3179 -*END - -*D_NET *295 0.00217625 -*CONN -*P wb_dat_i[1] I -*I *30639:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_dat_i[1] 0.000925367 -2 *30639:A 0.000925367 -3 *30639:A *26679:D 0 -4 *30639:A *26786:D 0 -5 *30639:A *27991:B1 5.62995e-05 -6 *30639:A *28006:B1 0 -7 *30639:A *30651:A 0 -8 *30639:A *1942:7 5.41609e-05 -9 *30639:A *4586:37 7.44444e-05 -10 *30639:A *4608:17 9.59532e-06 -11 *30639:A *4874:16 0 -12 *30639:A *6214:32 5.28368e-06 -13 *30627:A *30639:A 0 -14 *285:14 *30639:A 0.000125731 -*RES -1 wb_dat_i[1] *30639:A 31.4607 -*END - -*D_NET *296 0.00111172 -*CONN -*P wb_dat_i[20] I -*I *30640:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_dat_i[20] 0.000478193 -2 *30640:A 0.000478193 -3 *30640:A *30641:A 0 -4 *30640:A *4586:21 9.90367e-05 -5 *30640:A *4785:17 5.62995e-05 -6 *30638:A *30640:A 0 -*RES -1 wb_dat_i[20] *30640:A 23.4607 -*END - -*D_NET *297 0.00104082 -*CONN -*P wb_dat_i[21] I -*I *30641:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_dat_i[21] 0.000473015 -2 *30641:A 0.000473015 -3 *30641:A *30642:A 0 -4 *30641:A *4586:21 5.52238e-05 -5 *30641:A *4774:19 7.69776e-06 -6 *30641:A *4785:17 3.18676e-05 -7 *30640:A *30641:A 0 -*RES -1 wb_dat_i[21] *30641:A 23.05 -*END - -*D_NET *298 0.000959262 -*CONN -*P wb_dat_i[22] I -*I *30642:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_dat_i[22] 0.000466856 -2 *30642:A 0.000466856 -3 *30642:A *30643:A 0 -4 *30642:A *4586:21 1.59901e-05 -5 *30642:A *4785:17 9.56029e-06 -6 *30641:A *30642:A 0 -*RES -1 wb_dat_i[22] *30642:A 22.675 -*END - -*D_NET *299 0.00156408 -*CONN -*P wb_dat_i[23] I -*I *30643:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_dat_i[23] 0.000670768 -2 *30643:A 0.000670768 -3 *30643:A *28008:A2 0.000144773 -4 *30643:A *30644:A 0 -5 *30643:A *4797:11 7.77751e-05 -6 *30642:A *30643:A 0 -7 *292:21 *30643:A 0 -*RES -1 wb_dat_i[23] *30643:A 26.6036 -*END - -*D_NET *300 0.00110933 -*CONN -*P wb_dat_i[24] I -*I *30644:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_dat_i[24] 0.000476995 -2 *30644:A 0.000476995 -3 *30644:A *30645:A 0 -4 *30644:A *4586:21 9.90431e-05 -5 *30644:A *4785:17 5.62995e-05 -6 *30643:A *30644:A 0 -*RES -1 wb_dat_i[24] *30644:A 23.4607 -*END - -*D_NET *301 0.00117048 -*CONN -*P wb_dat_i[25] I -*I *30645:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_dat_i[25] 0.000497877 -2 *30645:A 0.000497877 -3 *30645:A *30647:A 0 -4 *30645:A *4586:21 0.000142856 -5 *30645:A *4785:17 3.18676e-05 -6 *30644:A *30645:A 0 -*RES -1 wb_dat_i[25] *30645:A 23.8714 -*END - -*D_NET *302 0.00150436 -*CONN -*P wb_dat_i[26] I -*I *30647:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_dat_i[26] 0.000695265 -2 *30647:A 0.000695265 -3 *30647:A *28008:A2 5.52238e-05 -4 *30647:A *30648:A 0 -5 *30647:A *4808:25 3.15282e-05 -6 *30647:A *5458:64 2.10319e-05 -7 *30647:A *5458:67 6.05161e-06 -8 *30645:A *30647:A 0 -*RES -1 wb_dat_i[26] *30647:A 26.9786 -*END - -*D_NET *303 0.00108887 -*CONN -*P wb_dat_i[27] I -*I *30648:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_dat_i[27] 0.000476552 -2 *30648:A 0.000476552 -3 *30648:A *30649:A 0 -4 *30648:A *4586:21 9.90367e-05 -5 *30648:A *4985:11 3.67257e-05 -6 *30647:A *30648:A 0 -*RES -1 wb_dat_i[27] *30648:A 23.4607 -*END - -*D_NET *304 0.0016343 -*CONN -*P wb_dat_i[28] I -*I *30649:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_dat_i[28] 0.000524551 -2 *30649:A 0.000524551 -3 *30649:A *28000:A2 3.53425e-05 -4 *30649:A *28003:A2 0.000169103 -5 *30649:A *30650:A 0.000380755 -6 *30648:A *30649:A 0 -*RES -1 wb_dat_i[28] *30649:A 28.1929 -*END - -*D_NET *305 0.00130638 -*CONN -*P wb_dat_i[29] I -*I *30650:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_dat_i[29] 0.000396515 -2 *30650:A 0.000396515 -3 *30650:A *30652:A 0 -4 *30650:A *4586:21 9.83442e-05 -5 *30650:A *4985:11 3.42509e-05 -6 *30649:A *30650:A 0.000380755 -*RES -1 wb_dat_i[29] *30650:A 24.5321 -*END - -*D_NET *306 0.00240519 -*CONN -*P wb_dat_i[2] I -*I *30651:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_dat_i[2] 0.000718532 -2 *30651:A 0.000718532 -3 *30651:A *30654:A 0 -4 *30651:A *30658:A 0 -5 *30651:A *30660:A 0.000371621 -6 *30651:A *4586:37 0.000170064 -7 *30651:A *4841:27 0.000274294 -8 *30651:A *4874:16 0.000149846 -9 *30651:A *4885:31 0 -10 *30651:A *4896:9 0 -11 *30651:A *6214:32 2.30116e-06 -12 *30639:A *30651:A 0 -*RES -1 wb_dat_i[2] *30651:A 29.9607 -*END - -*D_NET *307 0.00101363 -*CONN -*P wb_dat_i[30] I -*I *30652:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_dat_i[30] 0.000467829 -2 *30652:A 0.000467829 -3 *30652:A *30653:A 0 -4 *30652:A *4586:21 5.52302e-05 -5 *30652:A *4985:11 2.27416e-05 -6 *30650:A *30652:A 0 -*RES -1 wb_dat_i[30] *30652:A 23.05 -*END - -*D_NET *308 0.00151077 -*CONN -*P wb_dat_i[31] I -*I *30653:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_dat_i[31] 0.000626519 -2 *30653:A 0.000626519 -3 *30653:A *28008:A2 1.33343e-05 -4 *30653:A *30663:A 0 -5 *30653:A *5019:14 0.00019105 -6 *30653:A *5458:67 5.33433e-05 -7 *30652:A *30653:A 0 -*RES -1 wb_dat_i[31] *30653:A 26.1929 -*END - -*D_NET *309 0.0034727 -*CONN -*P wb_dat_i[3] I -*I *30654:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_dat_i[3] 0.00138371 -2 *30654:A 0.00138371 -3 *30654:A *28003:B1 1.90936e-05 -4 *30654:A *30655:A 0 -5 *30654:A *30656:A 5.49007e-05 -6 *30654:A *30658:A 0 -7 *30654:A *30659:A 4.43568e-05 -8 *30654:A *30660:A 0 -9 *30654:A *4619:21 0.000163749 -10 *30654:A *4874:16 3.80953e-06 -11 *30654:A *4885:31 8.6229e-06 -12 *30654:A *6214:32 0.000410745 -13 *30616:A *30654:A 0 -14 *30627:A *30654:A 0 -15 *30651:A *30654:A 0 -*RES -1 wb_dat_i[3] *30654:A 44.8179 -*END - -*D_NET *310 0.00300728 -*CONN -*P wb_dat_i[4] I -*I *30655:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_dat_i[4] 0.000843207 -2 *30655:A 0.000843207 -3 *30655:A *26000:B 3.10885e-05 -4 *30655:A *30656:A 0 -5 *30655:A *30658:A 0.000227539 -6 *30655:A *30659:A 0.000112173 -7 *30655:A *4619:21 5.60681e-05 -8 *30655:A *4885:31 0.000438788 -9 *30655:A *5726:20 0.000328725 -10 *30631:A *30655:A 6.21521e-06 -11 *30654:A *30655:A 0 -12 *286:17 *30655:A 0.000120267 -*RES -1 wb_dat_i[4] *30655:A 33.4964 -*END - -*D_NET *311 0.00254988 -*CONN -*P wb_dat_i[5] I -*I *30656:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_dat_i[5] 0.000890871 -2 *30656:A 0.000890871 -3 *30656:A *28003:B1 0.000138035 -4 *30656:A *28008:B1 1.27341e-05 -5 *30656:A *30658:A 0 -6 *30656:A *30659:A 0.000250171 -7 *30629:A *30656:A 0.00022266 -8 *30631:A *30656:A 4.58976e-05 -9 *30654:A *30656:A 5.49007e-05 -10 *30655:A *30656:A 0 -11 *286:17 *30656:A 4.37397e-05 -*RES -1 wb_dat_i[5] *30656:A 38.6036 -*END - -*D_NET *312 0.00385429 -*CONN -*P wb_dat_i[6] I -*I *30658:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_dat_i[6] 0.00137206 -2 *30658:A 0.00137206 -3 *30658:A *25852:C 0.000123435 -4 *30658:A *27988:B1 0.000132012 -5 *30658:A *30659:A 6.01416e-05 -6 *30658:A *30661:A 6.05161e-06 -7 *30658:A *30826:A 4.58194e-05 -8 *30658:A *4841:27 0.000186669 -9 *30658:A *4896:9 7.55769e-05 -10 *30658:A *5726:20 7.48301e-06 -11 *30658:A *6214:32 0 -12 *30658:A *6377:113 0 -13 *30651:A *30658:A 0 -14 *30654:A *30658:A 0 -15 *30655:A *30658:A 0.000227539 -16 *30656:A *30658:A 0 -17 *286:17 *30658:A 0 -18 *291:18 *30658:A 0.000245442 -*RES -1 wb_dat_i[6] *30658:A 46.3179 -*END - -*D_NET *313 0.00345455 -*CONN -*P wb_dat_i[7] I -*I *30659:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_dat_i[7] 0.00103002 -2 *30659:A 0.00103002 -3 *30659:A *26000:B 0 -4 *30659:A *28003:B1 5.00087e-06 -5 *30659:A *30660:A 0.000922659 -6 *30659:A *4885:31 0 -7 *30654:A *30659:A 4.43568e-05 -8 *30655:A *30659:A 0.000112173 -9 *30656:A *30659:A 0.000250171 -10 *30658:A *30659:A 6.01416e-05 -*RES -1 wb_dat_i[7] *30659:A 45.7821 -*END - -*D_NET *314 0.00419116 -*CONN -*P wb_dat_i[8] I -*I *30660:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_dat_i[8] 0.000943888 -2 *30660:A 0.000943888 -3 *30660:A *30661:A 0 -4 *30660:A *4885:31 3.60457e-05 -5 *30651:A *30660:A 0.000371621 -6 *30654:A *30660:A 0 -7 *30659:A *30660:A 0.000922659 -8 *285:14 *30660:A 0.000659819 -9 *286:17 *30660:A 0.000313241 -*RES -1 wb_dat_i[8] *30660:A 48.8714 -*END - -*D_NET *315 0.00323621 -*CONN -*P wb_dat_i[9] I -*I *30661:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_dat_i[9] 0.00125237 -2 *30661:A 0.00125237 -3 *30661:A *27987:B2 7.25327e-05 -4 *30661:A *27988:B1 0.000488456 -5 *30661:A *27988:B2 4.6379e-05 -6 *30661:A *30824:A 0.000108802 -7 *30661:A *4586:37 0 -8 *30661:A *4841:27 9.25014e-06 -9 *30661:A *6378:49 0 -10 *30661:A *6378:62 0 -11 *30658:A *30661:A 6.05161e-06 -12 *30660:A *30661:A 0 -13 *285:14 *30661:A 0 -*RES -1 wb_dat_i[9] *30661:A 34.4786 -*END - -*D_NET *316 0.00228503 -*CONN -*P wb_dat_o[0] O -*I *30976:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 wb_dat_o[0] 0.000786076 -2 *30976:X 0.000786076 -3 wb_dat_o[0] *25433:D 0 -4 wb_dat_o[0] *29578:A 0.000130568 -5 wb_dat_o[0] *430:9 0.000131092 -6 wb_dat_o[0] *6147:31 0.000451215 -*RES -1 *30976:X wb_dat_o[0] 20.7217 -*END - -*D_NET *317 0.0019674 -*CONN -*P wb_dat_o[10] O -*I *30977:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 wb_dat_o[10] 0.000777985 -2 *30977:X 0.000777985 -3 wb_dat_o[10] *30978:A 2.30116e-06 -4 wb_dat_o[10] *1323:80 0.000209801 -5 wb_dat_o[10] *1665:56 0.00019933 -*RES -1 *30977:X wb_dat_o[10] 21.6324 -*END - -*D_NET *318 0.00180551 -*CONN -*P wb_dat_o[11] O -*I *30978:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 wb_dat_o[11] 0.0007376 -2 *30978:X 0.0007376 -3 wb_dat_o[11] *28252:D 0.000165157 -4 wb_dat_o[11] *1323:96 0.000165157 -*RES -1 *30978:X wb_dat_o[11] 21.0253 -*END - -*D_NET *319 0.00103734 -*CONN -*P wb_dat_o[12] O -*I *30979:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 wb_dat_o[12] 0.000473137 -2 *30979:X 0.000473137 -3 wb_dat_o[12] *5541:8 4.5534e-05 -4 wb_dat_o[12] *5566:8 4.5534e-05 -*RES -1 *30979:X wb_dat_o[12] 18.9309 -*END - -*D_NET *320 0.0018291 -*CONN -*P wb_dat_o[13] O -*I *30980:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 wb_dat_o[13] 0.000718804 -2 *30980:X 0.000718804 -3 wb_dat_o[13] *29624:A 4.00658e-06 -4 wb_dat_o[13] *1323:96 0.000187093 -5 wb_dat_o[13] *1472:25 0.000200395 -6 wb_dat_o[13] *5556:8 0 -*RES -1 *30980:X wb_dat_o[13] 21.3289 -*END - -*D_NET *321 0.00162198 -*CONN -*P wb_dat_o[14] O -*I *30981:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 wb_dat_o[14] 0.000741121 -2 *30981:X 0.000741121 -3 wb_dat_o[14] *1323:96 0.000139739 -4 wb_dat_o[14] *1472:25 0 -5 wb_dat_o[14] *5556:13 0 -*RES -1 *30981:X wb_dat_o[14] 20.7217 -*END - -*D_NET *322 0.00154091 -*CONN -*P wb_dat_o[15] O -*I *30982:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 wb_dat_o[15] 0.000740832 -2 *30982:X 0.000740832 -3 wb_dat_o[15] *30982:A 5.92419e-05 -4 wb_dat_o[15] *5556:13 0 -*RES -1 *30982:X wb_dat_o[15] 21.0253 -*END - -*D_NET *323 0.00212004 -*CONN -*P wb_dat_o[16] O -*I *30983:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 wb_dat_o[16] 0.000851047 -2 *30983:X 0.000851047 -3 wb_dat_o[16] *29616:A 0.000237599 -4 wb_dat_o[16] *30986:A 8.56716e-05 -5 wb_dat_o[16] *3510:108 1.07753e-05 -6 wb_dat_o[16] *5556:13 0 -7 wb_dat_o[16] *5557:6 8.39e-05 -*RES -1 *30983:X wb_dat_o[16] 23.4539 -*END - -*D_NET *324 0.00168661 -*CONN -*P wb_dat_o[17] O -*I *30984:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 wb_dat_o[17] 0.000799587 -2 *30984:X 0.000799587 -3 wb_dat_o[17] *30986:A 8.74324e-05 -4 wb_dat_o[17] *5556:13 0 -*RES -1 *30984:X wb_dat_o[17] 21.0253 -*END - -*D_NET *325 0.00158926 -*CONN -*P wb_dat_o[18] O -*I *30985:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 wb_dat_o[18] 0.000758257 -2 *30985:X 0.000758257 -3 wb_dat_o[18] *30986:A 7.27417e-05 -4 wb_dat_o[18] *5556:13 0 -*RES -1 *30985:X wb_dat_o[18] 20.7217 -*END - -*D_NET *326 0.00168888 -*CONN -*P wb_dat_o[19] O -*I *30986:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 wb_dat_o[19] 0.000733528 -2 *30986:X 0.000733528 -3 wb_dat_o[19] *2349:13 0.000164487 -4 wb_dat_o[19] *5565:45 5.73349e-05 -*RES -1 *30986:X wb_dat_o[19] 21.0253 -*END - -*D_NET *327 0.00106726 -*CONN -*P wb_dat_o[1] O -*I *30987:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 wb_dat_o[1] 0.000512486 -2 *30987:X 0.000512486 -3 wb_dat_o[1] *1472:11 0 -4 wb_dat_o[1] *4161:49 2.11419e-05 -5 wb_dat_o[1] *5541:8 2.11419e-05 -*RES -1 *30987:X wb_dat_o[1] 18.6273 -*END - -*D_NET *328 0.0017954 -*CONN -*P wb_dat_o[20] O -*I *30988:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 wb_dat_o[20] 0.000802964 -2 *30988:X 0.000802964 -3 wb_dat_o[20] *2349:13 0.000140263 -4 wb_dat_o[20] *5565:45 4.92044e-05 -*RES -1 *30988:X wb_dat_o[20] 20.7217 -*END - -*D_NET *329 0.00181578 -*CONN -*P wb_dat_o[21] O -*I *30989:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 wb_dat_o[21] 0.000767836 -2 *30989:X 0.000767836 -3 wb_dat_o[21] *26707:B 5.7616e-05 -4 wb_dat_o[21] *30989:A 5.34196e-05 -5 wb_dat_o[21] *5563:43 0.00016907 -*RES -1 *30989:X wb_dat_o[21] 21.0253 -*END - -*D_NET *330 0.00183353 -*CONN -*P wb_dat_o[22] O -*I *30990:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 wb_dat_o[22] 0.000775507 -2 *30990:X 0.000775507 -3 wb_dat_o[22] *26707:B 0.000137955 -4 wb_dat_o[22] *2131:72 6.60633e-06 -5 wb_dat_o[22] *5563:43 0.000137955 -*RES -1 *30990:X wb_dat_o[22] 20.7217 -*END - -*D_NET *331 0.001797 -*CONN -*P wb_dat_o[23] O -*I *30991:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 wb_dat_o[23] 0.00076967 -2 *30991:X 0.00076967 -3 wb_dat_o[23] *26304:A 1.52332e-05 -4 wb_dat_o[23] *30991:A 0.000165157 -5 wb_dat_o[23] *2194:10 7.7269e-05 -*RES -1 *30991:X wb_dat_o[23] 21.0253 -*END - -*D_NET *332 0.00166575 -*CONN -*P wb_dat_o[24] O -*I *30992:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 wb_dat_o[24] 0.000772141 -2 *30992:X 0.000772141 -3 wb_dat_o[24] *2194:10 4.87219e-05 -4 wb_dat_o[24] *5572:15 7.27417e-05 -*RES -1 *30992:X wb_dat_o[24] 20.7217 -*END - -*D_NET *333 0.00181438 -*CONN -*P wb_dat_o[25] O -*I *30993:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 wb_dat_o[25] 0.000761397 -2 *30993:X 0.000761397 -3 wb_dat_o[25] *2242:27 0.000101535 -4 wb_dat_o[25] *2244:14 0.000190051 -*RES -1 *30993:X wb_dat_o[25] 21.3289 -*END - -*D_NET *334 0.0018299 -*CONN -*P wb_dat_o[26] O -*I *30994:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 wb_dat_o[26] 0.000778773 -2 *30994:X 0.000778773 -3 wb_dat_o[26] *2131:63 6.58294e-06 -4 wb_dat_o[26] *2212:14 0.000124661 -5 wb_dat_o[26] *2242:27 5.58875e-06 -6 wb_dat_o[26] *2244:14 0.000135526 -*RES -1 *30994:X wb_dat_o[26] 20.7217 -*END - -*D_NET *335 0.0010273 -*CONN -*P wb_dat_o[27] O -*I *30995:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 wb_dat_o[27] 0.000435429 -2 *30995:X 0.000435429 -3 wb_dat_o[27] *2240:146 0.000136951 -4 wb_dat_o[27] *3461:20 1.94945e-05 -*RES -1 *30995:X wb_dat_o[27] 21.8001 -*END - -*D_NET *336 0.00183244 -*CONN -*P wb_dat_o[28] O -*I *30996:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 wb_dat_o[28] 0.000762169 -2 *30996:X 0.000762169 -3 wb_dat_o[28] *2212:14 0.000137834 -4 wb_dat_o[28] *2242:27 3.48661e-05 -5 wb_dat_o[28] *2244:14 0.000135405 -*RES -1 *30996:X wb_dat_o[28] 20.7217 -*END - -*D_NET *337 0.00200184 -*CONN -*P wb_dat_o[29] O -*I *30997:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 wb_dat_o[29] 0.000840478 -2 *30997:X 0.000840478 -3 wb_dat_o[29] *2212:14 0.000161595 -4 wb_dat_o[29] *2244:14 0.000159287 -*RES -1 *30997:X wb_dat_o[29] 21.0253 -*END - -*D_NET *338 0.00106515 -*CONN -*P wb_dat_o[2] O -*I *30998:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 wb_dat_o[2] 0.000452607 -2 *30998:X 0.000452607 -3 wb_dat_o[2] *1472:11 7.34832e-05 -4 wb_dat_o[2] *4161:49 4.32258e-05 -5 wb_dat_o[2] *5541:8 4.32258e-05 -6 wb_dat_o[2] *5545:59 0 -*RES -1 *30998:X wb_dat_o[2] 18.9309 -*END - -*D_NET *339 0.00190294 -*CONN -*P wb_dat_o[30] O -*I *30999:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 wb_dat_o[30] 0.000813635 -2 *30999:X 0.000813635 -3 wb_dat_o[30] *2047:81 0.000137834 -4 wb_dat_o[30] *2244:14 0.000137834 -*RES -1 *30999:X wb_dat_o[30] 20.7217 -*END - -*D_NET *340 0.00185357 -*CONN -*P wb_dat_o[31] O -*I *31000:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 wb_dat_o[31] 0.000750762 -2 *31000:X 0.000750762 -3 wb_dat_o[31] *2154:32 0 -4 wb_dat_o[31] *2234:48 2.97059e-05 -5 wb_dat_o[31] *2240:127 0.000162849 -6 wb_dat_o[31] *2244:14 0.00015949 -*RES -1 *31000:X wb_dat_o[31] 21.0253 -*END - -*D_NET *341 0.00102496 -*CONN -*P wb_dat_o[3] O -*I *31001:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 wb_dat_o[3] 0.000483851 -2 *31001:X 0.000483851 -3 wb_dat_o[3] *4161:49 2.11419e-05 -4 wb_dat_o[3] *5541:8 2.11419e-05 -5 wb_dat_o[3] *5545:59 1.49746e-05 -*RES -1 *31001:X wb_dat_o[3] 18.6273 -*END - -*D_NET *342 0.00174048 -*CONN -*P wb_dat_o[4] O -*I *31002:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 wb_dat_o[4] 0.000709236 -2 *31002:X 0.000709236 -3 wb_dat_o[4] *29560:A 1.60865e-05 -4 wb_dat_o[4] *1545:51 6.23972e-05 -5 wb_dat_o[4] *5244:17 0.00010326 -6 wb_dat_o[4] *5580:16 0.000140263 -*RES -1 *31002:X wb_dat_o[4] 20.7217 -*END - -*D_NET *343 0.00129079 -*CONN -*P wb_dat_o[5] O -*I *31003:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 wb_dat_o[5] 0.000367343 -2 *31003:X 0.000367343 -3 wb_dat_o[5] *1251:21 0.000513825 -4 wb_dat_o[5] *1545:62 2.11419e-05 -5 wb_dat_o[5] *5541:8 2.11419e-05 -*RES -1 *31003:X wb_dat_o[5] 18.6273 -*END - -*D_NET *344 0.00183004 -*CONN -*P wb_dat_o[6] O -*I *31004:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 wb_dat_o[6] 0.000644558 -2 *31004:X 0.000644558 -3 wb_dat_o[6] *25821:A1 2.0067e-05 -4 wb_dat_o[6] *1665:63 0.000134322 -5 wb_dat_o[6] *3461:26 0.000254646 -6 wb_dat_o[6] *5244:17 0.000131892 -*RES -1 *31004:X wb_dat_o[6] 20.7217 -*END - -*D_NET *345 0.00183809 -*CONN -*P wb_dat_o[7] O -*I *31005:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 wb_dat_o[7] 0.000770863 -2 *31005:X 0.000770863 -3 wb_dat_o[7] *31005:A 0.000125731 -4 wb_dat_o[7] *1665:56 0.000160306 -5 wb_dat_o[7] *3461:26 0 -6 wb_dat_o[7] *5547:13 1.03245e-05 -*RES -1 *31005:X wb_dat_o[7] 21.0253 -*END - -*D_NET *346 0.00103112 -*CONN -*P wb_dat_o[8] O -*I *31006:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 wb_dat_o[8] 0.000491045 -2 *31006:X 0.000491045 -3 wb_dat_o[8] *28264:D 0 -4 wb_dat_o[8] *28413:RESET_B 5.80706e-06 -5 wb_dat_o[8] *5541:8 4.32258e-05 -*RES -1 *31006:X wb_dat_o[8] 18.9309 -*END - -*D_NET *347 0.000980579 -*CONN -*P wb_dat_o[9] O -*I *31007:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 wb_dat_o[9] 0.000479719 -2 *31007:X 0.000479719 -3 wb_dat_o[9] *5541:8 2.11419e-05 -*RES -1 *31007:X wb_dat_o[9] 18.6273 -*END - -*D_NET *348 0.00782987 -*CONN -*P wb_rstn_i I -*I *30662:A I *D sky130_fd_sc_hd__clkbuf_4 -*CAP -1 wb_rstn_i 0.00163154 -2 *30662:A 0.000396737 -3 *348:16 0.00202827 -4 *30662:A *25216:A2 0.000138578 -5 *30662:A *29562:A 0.000100165 -6 *30662:A *1245:92 0.000266415 -7 *30662:A *1536:8 5.50052e-05 -8 *30662:A *4952:13 0.000262841 -9 *30662:A *5707:222 0.000354985 -10 *30662:A *5858:23 3.89281e-05 -11 *348:16 *25216:B2 0.000137639 -12 *348:16 *25216:C1 0.000182804 -13 *348:16 *26839:A0 2.57552e-06 -14 *348:16 *28105:D 0.00034087 -15 *348:16 *29111:A 0.000448153 -16 *348:16 *761:17 2.83849e-05 -17 *348:16 *5448:16 0.00045186 -18 *348:16 *5516:45 6.47405e-05 -19 *348:16 *5526:14 0 -20 *348:16 *5526:16 6.45002e-05 -21 *348:16 *5858:23 5.74499e-06 -22 *30694:A *348:16 0 -23 *244:28 *348:16 0.000829135 -24 *282:15 *348:16 0 -*RES -1 wb_rstn_i *348:16 44.8393 -2 *348:16 *30662:A 20.1393 -*END - -*D_NET *349 0.00146475 -*CONN -*P wb_sel_i[0] I -*I *30663:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_sel_i[0] 0.000693485 -2 *30663:A 0.000693485 -3 *30663:A *30664:A 0 -4 *30663:A *4974:11 0 -5 *30663:A *5458:67 7.77751e-05 -6 *30653:A *30663:A 0 -*RES -1 wb_sel_i[0] *30663:A 26.6036 -*END - -*D_NET *350 0.00123352 -*CONN -*P wb_sel_i[1] I -*I *30664:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_sel_i[1] 0.000472946 -2 *30664:A 0.000472946 -3 *30664:A *30665:A 0.000142856 -4 *30664:A *5019:14 0.000144773 -5 *30663:A *30664:A 0 -*RES -1 wb_sel_i[1] *30664:A 23.8714 -*END - -*D_NET *351 0.00201897 -*CONN -*P wb_sel_i[2] I -*I *30665:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_sel_i[2] 0.00078152 -2 *30665:A 0.00078152 -3 *30665:A *30666:A 0 -4 *30665:A *5019:14 0.000313076 -5 *30664:A *30665:A 0.000142856 -*RES -1 wb_sel_i[2] *30665:A 29.3357 -*END - -*D_NET *352 0.00187205 -*CONN -*P wb_sel_i[3] I -*I *30666:A I *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 wb_sel_i[3] 0.000756998 -2 *30666:A 0.000756998 -3 *30666:A *25544:A1 0.000186662 -4 *30666:A *29904:A 3.48282e-05 -5 *30666:A *30669:A 0 -6 *30666:A *5444:15 7.83659e-05 -7 *30666:A *6258:11 0 -8 *30666:A *6280:6 5.81953e-05 -9 *30665:A *30666:A 0 -*RES -1 wb_sel_i[3] *30666:A 29.1393 -*END - -*D_NET *353 0.00276972 -*CONN -*P wb_stb_i I -*I *30667:A I *D sky130_fd_sc_hd__clkbuf_4 -*CAP -1 wb_stb_i 0.000539898 -2 *30667:A 0.000539898 -3 *30667:A *25479:B1 0.000807207 -4 *30667:A *1251:29 7.79335e-05 -5 *30667:A *3571:22 0.000804785 -*RES -1 wb_stb_i *30667:A 28.3569 -*END - -*D_NET *354 0.00160981 -*CONN -*P wb_we_i I -*I *30669:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 -*CAP -1 wb_we_i 0.000461595 -2 *30669:A 0.000461595 -3 *30626:A *30669:A 0.000686621 -4 *30666:A *30669:A 0 -*RES -1 wb_we_i *30669:A 26.9429 -*END - -*D_NET *355 0.00144777 -*CONN -*I *28316:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *25586:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28316:D 0.000335903 -2 *25586:X 0.000335903 -3 *28316:D *28316:CLK 9.92131e-05 -4 *28316:D *1172:8 7.83659e-05 -5 *28316:D *1172:15 2.59355e-05 -6 *28316:D *1816:12 0.000340781 -7 *28316:D *3583:68 8.15095e-05 -8 *28316:D *3583:79 1.21258e-05 -9 *28316:D *6378:22 0.000138038 -*RES -1 *25586:X *28316:D 35.9214 -*END - -*D_NET *356 0.00188141 -*CONN -*I *28317:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *25580:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28317:D 0.000329541 -2 *25580:X 0.000329541 -3 *28317:D *25500:A2 9.46929e-05 -4 *28317:D *1783:10 0.000319316 -5 *28317:D *1883:31 4.8843e-05 -6 *28317:D *1930:76 0.000315179 -7 *28317:D *3583:11 0.000348207 -8 *28317:D *3583:16 9.60875e-05 -*RES -1 *25580:X *28317:D 37.1357 -*END - -*D_NET *357 0.0012117 -*CONN -*I *28318:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *25579:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28318:D 0.000413007 -2 *25579:X 0.000413007 -3 *28318:D *25579:A 0.000130393 -4 *28318:D *25579:B 4.87854e-05 -5 *28318:D *29492:A 0.000103253 -6 *28318:D *1816:12 0.000103253 -*RES -1 *25579:X *28318:D 33.5821 -*END - -*D_NET *358 0.00112002 -*CONN -*I *28319:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *25578:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28319:D 0.000366706 -2 *25578:X 0.000366706 -3 *28319:D *1783:20 0.000100823 -4 *28319:D *1930:76 0 -5 *28319:D *6376:28 0.000181803 -6 *28319:D *6376:39 0.000103977 -*RES -1 *25578:X *28319:D 32.7607 -*END - -*D_NET *359 0.00930368 -*CONN -*I *28165:D I *D sky130_fd_sc_hd__dfstp_4 -*I *25515:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *28165:D 0.000339337 -2 *25515:X 0.00132212 -3 *359:14 0.00166146 -4 *28165:D *25434:A 0.000189564 -5 *28165:D *30491:A 0.000136776 -6 *28165:D *399:18 0.00058629 -7 *28165:D *3558:54 5.38242e-05 -8 *28165:D *3558:58 0.000216755 -9 *28165:D *5728:53 0.000314195 -10 *359:14 *25515:A1 0.000434115 -11 *359:14 *25515:B1 0.0010993 -12 *359:14 *30491:A 0.000158586 -13 *359:14 *361:20 0.000167017 -14 *359:14 *399:18 1.20729e-05 -15 *359:14 *411:25 0 -16 *359:14 *1175:21 0.000464448 -17 *359:14 *1766:24 0.000115384 -18 *359:14 *1772:29 0.000200751 -19 *359:14 *3857:21 7.58623e-05 -20 *359:14 *4168:12 0.000785699 -21 *359:14 *4168:20 0.000432036 -22 *359:14 *4759:8 0.000478842 -23 *359:14 *5253:21 4.27359e-05 -24 *359:14 *5331:10 1.65169e-05 -*RES -1 *25515:X *359:14 49.2375 -2 *359:14 *28165:D 25.1839 -*END - -*D_NET *360 0.00101515 -*CONN -*I *29522:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25536:X O *D sky130_fd_sc_hd__a41o_1 -*CAP -1 *29522:A 0.00025691 -2 *25536:X 0.00025691 -3 *29522:A *25536:A2 9.71197e-05 -4 *29522:A *25536:A3 0.000265453 -5 *29522:A *1738:15 1.21289e-05 -6 *29522:A *1738:26 0.000126629 -*RES -1 *25536:X *29522:A 24.0821 -*END - -*D_NET *361 0.00850222 -*CONN -*I *28167:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *25539:X O *D sky130_fd_sc_hd__a2bb2o_1 -*CAP -1 *28167:D 0 -2 *25539:X 0.0017396 -3 *361:20 0.0017396 -4 *361:20 *25466:B1 0.000175804 -5 *361:20 *25467:A1 0.000175804 -6 *361:20 *28082:RESET_B 0.00133277 -7 *361:20 *29481:A 0.00110766 -8 *361:20 *30491:A 0.000524182 -9 *361:20 *399:17 2.06112e-05 -10 *361:20 *411:25 0 -11 *361:20 *1175:21 0.001009 -12 *361:20 *1251:29 2.04745e-05 -13 *361:20 *1732:29 0.000292943 -14 *361:20 *1769:17 0.000136676 -15 *361:20 *4194:65 1.90936e-05 -16 *361:20 *5253:21 2.74787e-05 -17 *361:20 *5467:14 1.35019e-05 -18 *359:14 *361:20 0.000167017 -*RES -1 *25539:X *361:20 48.3171 -2 *361:20 *28167:D 13.8 -*END - -*D_NET *362 0.00169562 -*CONN -*I *29535:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25538:Y O *D sky130_fd_sc_hd__o32ai_1 -*CAP -1 *29535:A 0.000213854 -2 *25538:Y 0.000213854 -3 *29535:A *25538:B2 0.000541548 -4 *29535:A *30526:A 2.26424e-05 -5 *29535:A *5357:16 5.71472e-05 -6 *29535:A *5739:37 5.52302e-05 -7 *29535:A *5836:211 0.000591342 -*RES -1 *25538:Y *29535:A 35.85 -*END - -*D_NET *363 0.00196181 -*CONN -*I *29586:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25537:X O *D sky130_fd_sc_hd__a31o_1 -*CAP -1 *29586:A 0.000531373 -2 *25537:X 0.000531373 -3 *29586:A *25536:A1 0.000123295 -4 *29586:A *28169:RESET_B 0.000123295 -5 *29586:A *1738:5 9.60939e-05 -6 *29586:A *1738:15 0.000556378 -*RES -1 *25537:X *29586:A 35.5286 -*END - -*D_NET *364 0.00230042 -*CONN -*I *29558:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25535:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *29558:A 0.000207711 -2 *25535:Y 0.000207711 -3 *29558:A *3581:165 4.25716e-05 -4 *29558:A *5729:93 0.000668604 -5 *29558:A *5729:145 0.000128409 -6 *29558:A *5763:45 0.00091969 -7 *29558:A *5878:12 0.000125724 -*RES -1 *25535:Y *29558:A 37.9929 -*END - -*D_NET *365 0.00069304 -*CONN -*I *28320:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *25513:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *28320:D 0.000206869 -2 *25513:X 0.000206869 -3 *28320:D *25512:B 0 -4 *28320:D *25513:A2 9.75059e-05 -5 *28320:D *28320:CLK 0.000181796 -*RES -1 *25513:X *28320:D 22.4393 -*END - -*D_NET *366 0.000825196 -*CONN -*I *28322:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *25516:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *28322:D 0.000216706 -2 *25516:X 0.000216706 -3 *28322:D *25517:A2 5.33005e-05 -4 *28322:D *25854:C 8.70495e-05 -5 *28322:D *1782:8 0.000125717 -6 *28322:D *5798:12 0.000125717 -*RES -1 *25516:X *28322:D 31.4214 -*END - -*D_NET *367 0.00203839 -*CONN -*I *28323:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *25517:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *28323:D 0.000595468 -2 *25517:X 0.000595468 -3 *28323:D *25516:B1 0.000595319 -4 *28323:D *25517:A2 5.04705e-05 -5 *28323:D *1782:29 0.000100831 -6 *28323:D *5798:12 0.000100831 -*RES -1 *25517:X *28323:D 36.0464 -*END - -*D_NET *368 0.000701099 -*CONN -*I *28324:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *25518:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *28324:D 0.000193145 -2 *25518:X 0.000193145 -3 *28324:D *1782:29 0.000128146 -4 *28324:D *3583:80 0 -5 *28324:D *6378:22 0.000186662 -*RES -1 *25518:X *28324:D 31.0107 -*END - -*D_NET *369 0.00486992 -*CONN -*I *28186:D I *D sky130_fd_sc_hd__dfstp_1 -*I *25521:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *28186:D 0 -2 *25521:Y 0.00131013 -3 *369:17 0.00131013 -4 *369:17 *27090:A 1.21554e-05 -5 *369:17 *27090:B 3.07021e-05 -6 *369:17 *27270:A2 1.60506e-05 -7 *369:17 *28793:CLK 0.000195851 -8 *369:17 *371:15 0.00039586 -9 *369:17 *1273:265 4.19624e-06 -10 *369:17 *2782:13 9.90431e-05 -11 *369:17 *2800:16 8.44271e-06 -12 *369:17 *2840:12 0.000357054 -13 *369:17 *3573:37 0.000184953 -14 *369:17 *3573:115 7.62321e-05 -15 *369:17 *6319:70 0.000453601 -16 *369:17 *6320:71 0.000143407 -17 *369:17 *6384:8 0.00027212 -*RES -1 *25521:Y *369:17 42.9964 -2 *369:17 *28186:D 9.3 -*END - -*D_NET *370 0.00408069 -*CONN -*I *28187:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *25525:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *28187:D 0.000543051 -2 *25525:X 0.000543051 -3 *28187:D *28189:CLK 1.21258e-05 -4 *28187:D *2797:38 0.000867056 -5 *28187:D *2839:117 0.000433208 -6 *28187:D *3575:126 4.88631e-05 -7 *28187:D *3575:137 6.59657e-05 -8 *28187:D *5535:71 0.0011256 -9 *28187:D *5969:19 0.000441767 -*RES -1 *25525:X *28187:D 45.5643 -*END - -*D_NET *371 0.0030937 -*CONN -*I *28188:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *25533:X O *D sky130_fd_sc_hd__a32o_1 -*CAP -1 *28188:D 0 -2 *25533:X 0.000668788 -3 *371:15 0.000668788 -4 *371:15 *28188:RESET_B 9.8685e-05 -5 *371:15 *28793:CLK 0.000392019 -6 *371:15 *1797:11 0 -7 *371:15 *3041:15 0.000139907 -8 *371:15 *3572:55 3.49613e-05 -9 *371:15 *3575:109 8.58822e-05 -10 *371:15 *3575:113 0.000403438 -11 *371:15 *5399:17 1.32552e-05 -12 *371:15 *5720:137 0.000116971 -13 *371:15 *6320:71 7.51399e-05 -14 *371:15 *6386:94 0 -15 *369:17 *371:15 0.00039586 -*RES -1 *25533:X *371:15 42.4429 -2 *371:15 *28188:D 9.3 -*END - -*D_NET *372 0.00401996 -*CONN -*I *28189:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *25534:X O *D sky130_fd_sc_hd__a2bb2o_1 -*CAP -1 *28189:D 0.00144209 -2 *25534:X 0.00144209 -3 *28189:D *25534:A1_N 0.00051746 -4 *28189:D *27239:A 5.33005e-05 -5 *28189:D *1176:13 0.000135028 -6 *28189:D *1796:25 0.000246338 -7 *28189:D *2784:26 5.33005e-05 -8 *28189:D *5399:17 5.74499e-06 -9 *28189:D *6387:10 3.14193e-05 -10 *28189:D *6387:96 9.31893e-05 -*RES -1 *25534:X *28189:D 37.35 -*END - -*D_NET *373 0.0592674 -*CONN -*I *6388:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *28067:D I *D sky130_fd_sc_hd__dfstp_1 -*I *25541:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *6388:DIODE 0 -2 *28067:D 0.000147726 -3 *25541:X 0.00128408 -4 *373:65 0.0011408 -5 *373:63 0.004403 -6 *373:60 0.00436112 -7 *373:44 0.00254779 -8 *373:32 0.00248895 -9 *373:12 0.00512396 -10 *373:10 0.0055157 -11 *28067:D *25778:A0 0.000161585 -12 *28067:D *4087:61 0.000122091 -13 *373:10 *25466:B1 2.07809e-05 -14 *373:10 *28086:CLK 0.000263524 -15 *373:10 *418:8 0.00223999 -16 *373:10 *1752:19 8.57858e-05 -17 *373:10 *1763:22 6.76337e-05 -18 *373:10 *3561:49 0.00018444 -19 *373:10 *3561:53 0.000741916 -20 *373:10 *4165:122 3.98517e-05 -21 *373:10 *4189:40 3.54821e-05 -22 *373:10 *4192:14 0.000100831 -23 *373:10 *4192:28 0.000262262 -24 *373:10 *4192:35 0.000365087 -25 *373:10 *5727:20 2.58779e-05 -26 *373:10 *5836:162 5.23095e-05 -27 *373:12 *25452:S 0.000184239 -28 *373:12 *25457:A1 1.78353e-05 -29 *373:12 *25808:A0 0.000333079 -30 *373:12 *26539:A 0 -31 *373:12 *26704:B1_N 0.000150625 -32 *373:12 *26705:B1 9.01505e-05 -33 *373:12 *26733:A 0.000829761 -34 *373:12 *26734:C 1.09026e-05 -35 *373:12 *26781:A2 5.03519e-05 -36 *373:12 *26802:C 0.000150632 -37 *373:12 *28087:RESET_B 0.000773594 -38 *373:12 *28089:RESET_B 9.26828e-05 -39 *373:12 *29118:A 0 -40 *373:12 *30513:A 0.000726846 -41 *373:12 *418:8 0.000228969 -42 *373:12 *1742:44 3.64664e-05 -43 *373:12 *1742:65 0.00095941 -44 *373:12 *1742:74 0.000328552 -45 *373:12 *1752:19 0.00150413 -46 *373:12 *2128:41 4.75812e-05 -47 *373:12 *2133:212 0.000448662 -48 *373:12 *2133:217 9.96694e-05 -49 *373:12 *2142:96 0.000332433 -50 *373:12 *2149:59 0 -51 *373:12 *2157:105 0.000719117 -52 *373:12 *2159:20 0.000238047 -53 *373:12 *2186:9 7.83659e-05 -54 *373:12 *2186:12 9.00379e-05 -55 *373:12 *2190:12 0.000880754 -56 *373:12 *2194:45 0.000381975 -57 *373:12 *2196:47 0 -58 *373:12 *2197:8 0 -59 *373:12 *2482:6 0.000868332 -60 *373:12 *2485:24 0.000124544 -61 *373:12 *2636:28 0.000287251 -62 *373:12 *4159:9 0 -63 *373:12 *5728:10 0.000852149 -64 *373:12 *5728:12 0.000130925 -65 *373:12 *5728:27 0.000373875 -66 *373:12 *5728:286 0.000153054 -67 *373:12 *5728:296 0.00040352 -68 *373:12 *5742:213 8.99397e-05 -69 *373:12 *5742:275 0.000365689 -70 *373:32 *26193:A 0.000135028 -71 *373:32 *26600:A2 2.2628e-05 -72 *373:32 *26734:A 0.000202409 -73 *373:32 *2141:134 0.000240849 -74 *373:32 *2141:144 0.000531426 -75 *373:32 *2165:14 0.000226658 -76 *373:32 *2187:131 0.000915695 -77 *373:32 *2485:24 0.000460696 -78 *373:32 *2668:8 0.000134425 -79 *373:32 *2717:12 0.000256602 -80 *373:44 *26418:A 0.000100736 -81 *373:44 *26418:C 0.000178425 -82 *373:44 *26433:B 0.000193641 -83 *373:44 *26600:A2 6.28203e-05 -84 *373:44 *28961:A 0.00019384 -85 *373:44 *1935:72 0.000189483 -86 *373:44 *2032:170 0.000571917 -87 *373:44 *2126:27 0.000125355 -88 *373:44 *2128:23 0.00038879 -89 *373:44 *2129:29 0.000751028 -90 *373:44 *2141:134 1.93337e-05 -91 *373:44 *2485:24 6.07037e-07 -92 *373:44 *2536:20 0.000101545 -93 *373:44 *2545:8 0 -94 *373:44 *4508:144 0.000476496 -95 *373:44 *4508:153 3.25078e-05 -96 *373:44 *4508:158 5.95419e-05 -97 *373:44 *5664:40 2.79421e-05 -98 *373:44 *5664:86 0.000237076 -99 *373:60 *26268:A 4.88515e-05 -100 *373:60 *26509:B 1.97695e-05 -101 *373:60 *1393:55 0.000642684 -102 *373:60 *1972:44 7.83587e-05 -103 *373:60 *1990:19 0.000943782 -104 *373:60 *2023:15 0.00100102 -105 *373:60 *2111:69 0.00010283 -106 *373:60 *2324:20 1.41029e-05 -107 *373:63 *26268:A 0.00126492 -108 *373:63 *2016:61 2.71182e-05 -109 *373:63 *2060:128 0.00129314 -110 *373:63 *2169:64 0.000422039 -111 *373:63 *2306:7 0.000217229 -112 *373:65 *25778:A0 0.000123577 -113 *373:65 *26522:B1 2.42516e-05 -114 *373:65 *26616:A_N 5.33005e-05 -115 *373:65 *26616:B 0.000303362 -116 *373:65 *29311:A 0.000427882 -117 *373:65 *1883:78 0.000163629 -118 *373:65 *2062:39 4.12921e-05 -*RES -1 *25541:X *373:10 48.1304 -2 *373:10 *373:12 129.348 -3 *373:12 *373:32 44.5089 -4 *373:32 *373:44 46.0089 -5 *373:44 *373:60 44.1786 -6 *373:60 *373:63 42.2411 -7 *373:63 *373:65 17.1696 -8 *373:65 *28067:D 13.1393 -9 *373:65 *6388:DIODE 9.3 -*END - -*D_NET *374 0.0104153 -*CONN -*I *28066:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 -*I *28022:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28066:RESET_B 0.00191259 -2 *28022:X 0.0014625 -3 *374:18 0.00337509 -4 *28066:RESET_B *25657:A0 0.000173643 -5 *28066:RESET_B *25823:A1 0.000173948 -6 *28066:RESET_B *25877:A0 0.00010096 -7 *28066:RESET_B *25877:A1 2.42516e-05 -8 *28066:RESET_B *28279:RESET_B 0.000512829 -9 *28066:RESET_B *29485:A 9.10743e-05 -10 *28066:RESET_B *30282:A 0.000120895 -11 *28066:RESET_B *4065:91 2.14757e-05 -12 *28066:RESET_B *4126:7 5.33005e-05 -13 *28066:RESET_B *4198:5 4.36666e-05 -14 *28066:RESET_B *5136:11 3.2687e-05 -15 *28066:RESET_B *5545:59 0.000127053 -16 *28066:RESET_B *5712:31 0.000114453 -17 *374:18 *27157:A1 0.000301343 -18 *374:18 *28022:A 2.13481e-06 -19 *374:18 *28022:B 0.000135028 -20 *374:18 *28330:RESET_B 6.72353e-05 -21 *374:18 *28717:D 0.000175892 -22 *374:18 *30360:A 2.32275e-05 -23 *374:18 *1545:48 1.58163e-05 -24 *374:18 *3212:99 0.000634668 -25 *374:18 *3685:20 0.000456467 -26 *374:18 *3749:7 9.41642e-05 -27 *374:18 *3935:32 2.13481e-06 -28 *374:18 *4065:91 2.56694e-05 -29 *374:18 *5007:31 3.69697e-05 -30 *374:18 *5699:41 0.000104173 -*RES -1 *28022:X *374:18 45.6214 -2 *374:18 *28066:RESET_B 30.1696 -*END - -*D_NET *375 0.000273968 -*CONN -*I *28067:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *25497:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28067:SET_B 3.44389e-05 -2 *25497:X 3.44389e-05 -3 *28067:SET_B *5709:76 0.000102545 -4 *28067:SET_B *5878:14 0.000102545 -*RES -1 *25497:X *28067:SET_B 19.6393 -*END - -*D_NET *376 0.00182112 -*CONN -*I *28068:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28023:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28068:RESET_B 0.000235407 -2 *28023:X 0.000235407 -3 *28068:RESET_B *25548:A0 0.000103974 -4 *28068:RESET_B *29281:A 9.60808e-05 -5 *28068:RESET_B *5542:12 0.000635673 -6 *28068:RESET_B *5727:36 0.00031911 -7 *28068:RESET_B *5727:38 3.67309e-05 -8 *28068:RESET_B *5727:45 3.07555e-05 -9 *28068:RESET_B *5902:22 0.000127987 -*RES -1 *28023:X *28068:RESET_B 26.6214 -*END - -*D_NET *377 0.00759246 -*CONN -*I *28069:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28024:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28069:RESET_B 0.00116992 -2 *28024:X 0.00116992 -3 *28069:RESET_B *25654:A1 5.52238e-05 -4 *28069:RESET_B *25654:S 0.000138881 -5 *28069:RESET_B *28024:A 6.64503e-05 -6 *28069:RESET_B *28024:B 9.41642e-05 -7 *28069:RESET_B *29023:A 0.000136951 -8 *28069:RESET_B *29026:A 0.000428902 -9 *28069:RESET_B *29588:A 5.71472e-05 -10 *28069:RESET_B *30526:A 0.000362905 -11 *28069:RESET_B *1778:16 0.00286321 -12 *28069:RESET_B *1862:42 9.0145e-05 -13 *28069:RESET_B *4187:10 9.41642e-05 -14 *28069:RESET_B *5727:190 5.33005e-05 -15 *28069:RESET_B *5727:202 0.00022266 -16 *28069:RESET_B *5739:13 8.15446e-05 -17 *28069:RESET_B *5739:17 0.000180777 -18 *28069:RESET_B *5743:9 0.000326192 -*RES -1 *28024:X *28069:RESET_B 45.6482 -*END - -*D_NET *378 0.0012274 -*CONN -*I *28070:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28025:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28070:RESET_B 0.000279402 -2 *28025:X 0.000279402 -3 *28070:RESET_B *28025:A 0.000188586 -4 *28070:RESET_B *28025:B 0.000185403 -5 *28070:RESET_B *28070:D 5.74309e-05 -6 *28070:RESET_B *28071:D 0.000107916 -7 *28070:RESET_B *28071:RESET_B 0.000124019 -8 *28070:RESET_B *1880:18 5.24684e-06 -*RES -1 *28025:X *28070:RESET_B 25.3536 -*END - -*D_NET *379 0.00277082 -*CONN -*I *28071:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28026:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28071:RESET_B 0.000833239 -2 *28026:X 0.000833239 -3 *28071:RESET_B *26155:B1 0 -4 *28071:RESET_B *28026:B 0.000105163 -5 *28071:RESET_B *28071:CLK 0.000106913 -6 *28071:RESET_B *28071:D 0.000561017 -7 *28071:RESET_B *29520:A 3.51224e-06 -8 *28071:RESET_B *1880:18 5.49657e-05 -9 *28071:RESET_B *5729:110 0.000148753 -10 *28070:RESET_B *28071:RESET_B 0.000124019 -*RES -1 *28026:X *28071:RESET_B 31.9518 -*END - -*D_NET *380 0.00219677 -*CONN -*I *28072:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28027:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28072:RESET_B 0.000696067 -2 *28027:X 0.000696067 -3 *28072:RESET_B *28074:RESET_B 0 -4 *28072:RESET_B *5763:5 0.000519489 -5 *28072:RESET_B *5778:142 0.000137515 -6 *28072:RESET_B *5779:181 0.000147629 -*RES -1 *28027:X *28072:RESET_B 31.7107 -*END - -*D_NET *381 0.00487515 -*CONN -*I *28073:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28028:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28073:RESET_B 0.000657125 -2 *28028:X 0.000657125 -3 *28073:RESET_B *25488:A0 0.000694747 -4 *28073:RESET_B *25488:A1 0.000697363 -5 *28073:RESET_B *25489:A0 4.46186e-06 -6 *28073:RESET_B *1771:68 0.000762992 -7 *28073:RESET_B *1771:76 0.000346862 -8 *28073:RESET_B *5290:10 0.000312509 -9 *28073:RESET_B *5729:95 5.62995e-05 -10 *28073:RESET_B *6376:41 0.000685662 -11 *28073:RESET_B *6378:41 0 -*RES -1 *28028:X *28073:RESET_B 42.3446 -*END - -*D_NET *382 0.00306894 -*CONN -*I *28074:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28029:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28074:RESET_B 0.00107882 -2 *28029:X 0.00107882 -3 *28074:RESET_B *25595:A0 5.09908e-05 -4 *28074:RESET_B *28071:CLK 6.50185e-05 -5 *28074:RESET_B *28074:CLK 8.60361e-05 -6 *28074:RESET_B *29947:A 5.1601e-05 -7 *28074:RESET_B *3567:36 0.000267137 -8 *28074:RESET_B *5205:10 4.70821e-05 -9 *28074:RESET_B *5672:8 0 -10 *28074:RESET_B *5729:93 0.000340405 -11 *28074:RESET_B *5779:181 0 -12 *28074:RESET_B *6359:18 3.02581e-06 -13 *28072:RESET_B *28074:RESET_B 0 -*RES -1 *28029:X *28074:RESET_B 35.8089 -*END - -*D_NET *383 0.00173697 -*CONN -*I *28075:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28030:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28075:RESET_B 0.000319505 -2 *28030:X 0.000319505 -3 *28075:RESET_B *25601:S 0.000217368 -4 *28075:RESET_B *28075:D 7.05769e-05 -5 *28075:RESET_B *29333:A 6.42338e-05 -6 *28075:RESET_B *5729:145 9.90367e-05 -7 *28075:RESET_B *5729:163 9.90431e-05 -8 *28075:RESET_B *5935:30 0 -9 *28075:RESET_B *6374:61 0.000547699 -*RES -1 *28030:X *28075:RESET_B 27.175 -*END - -*D_NET *384 0.00218619 -*CONN -*I *28076:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28031:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28076:RESET_B 0.000615337 -2 *28031:X 0.000615337 -3 *28076:RESET_B *27658:A2 8.08608e-06 -4 *28076:RESET_B *27659:C1 0.000126278 -5 *28076:RESET_B *28076:D 0.000181969 -6 *28076:RESET_B *28735:SET_B 0 -7 *28076:RESET_B *29490:A 0.000314139 -8 *28076:RESET_B *4011:23 0.000107787 -9 *28076:RESET_B *4141:30 1.12578e-05 -10 *28076:RESET_B *5644:251 0.00015078 -11 *28076:RESET_B *5729:176 5.52238e-05 -*RES -1 *28031:X *28076:RESET_B 31.8625 -*END - -*D_NET *385 0.013384 -*CONN -*I *28077:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28032:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28077:RESET_B 0.00065396 -2 *28032:X 0.00107719 -3 *385:39 0.00153448 -4 *385:18 0.00195771 -5 *28077:RESET_B *25815:A1 0.000453962 -6 *28077:RESET_B *25816:S 2.06112e-05 -7 *28077:RESET_B *29467:A 4.6361e-05 -8 *28077:RESET_B *29563:A 0.000514783 -9 *28077:RESET_B *5343:14 0.000539413 -10 *28077:RESET_B *5348:17 0.000456398 -11 *385:18 *25427:B 0.000347187 -12 *385:18 *25492:B 6.08824e-05 -13 *385:18 *25493:A2 0.000567464 -14 *385:18 *25493:A3 0.000158322 -15 *385:18 *29559:A 0.000173804 -16 *385:18 *29570:A 0.000150314 -17 *385:18 *389:8 0.000149194 -18 *385:18 *413:8 0.000996294 -19 *385:18 *448:11 0.000295996 -20 *385:18 *1774:15 0.000135028 -21 *385:18 *5348:17 0.000118052 -22 *385:18 *6158:28 9.94692e-05 -23 *385:39 *25515:A1 0.000400657 -24 *385:39 *29563:A 0.000216755 -25 *385:39 *413:8 0.000272858 -26 *385:39 *1202:43 3.36015e-05 -27 *385:39 *1472:11 1.11116e-05 -28 *385:39 *1752:15 0.000138605 -29 *385:39 *4192:128 0.000175519 -30 *385:39 *5316:23 0.000259542 -31 *385:39 *5331:10 0.000209236 -32 *385:39 *5333:14 1.21258e-05 -33 *385:39 *5546:6 3.39367e-05 -34 *385:39 *6158:24 0.000276136 -35 *385:39 *6158:28 0.000837078 -*RES -1 *28032:X *385:18 49.425 -2 *385:18 *385:39 44.5318 -3 *385:39 *28077:RESET_B 30.8571 -*END - -*D_NET *386 0.0102067 -*CONN -*I *28078:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28033:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28078:RESET_B 0.00129166 -2 *28033:X 0.00116437 -3 *386:10 0.00245603 -4 *28078:RESET_B *28271:D 8.23182e-05 -5 *28078:RESET_B *430:9 0.000273255 -6 *28078:RESET_B *1251:24 0.000363622 -7 *28078:RESET_B *1740:16 0.00120259 -8 *28078:RESET_B *1745:11 0.000936044 -9 *28078:RESET_B *4159:100 0.000303306 -10 *28078:RESET_B *4167:14 0.000132612 -11 *28078:RESET_B *5412:27 4.38149e-05 -12 *28078:RESET_B *5545:75 0.000437957 -13 *28078:RESET_B *6136:8 6.27457e-05 -14 *386:10 *25423:B1 2.59355e-05 -15 *386:10 *25424:B 3.1496e-05 -16 *386:10 *28033:A 0.00014183 -17 *386:10 *6125:8 1.53472e-05 -18 *386:10 *6125:14 0.00103401 -19 *386:10 *6136:8 0.000207732 -*RES -1 *28033:X *386:10 36.6125 -2 *386:10 *28078:RESET_B 41.1786 -*END - -*D_NET *387 0.0109868 -*CONN -*I *28079:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28034:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28079:RESET_B 0.00399297 -2 *28034:X 0.00399297 -3 *28079:RESET_B *25422:B 0.00012401 -4 *28079:RESET_B *25433:D 0.00204468 -5 *28079:RESET_B *25493:A1 0.000207843 -6 *28079:RESET_B *25816:S 0.000114012 -7 *28079:RESET_B *28097:D 0.000205753 -8 *28079:RESET_B *4161:41 2.05139e-05 -9 *28079:RESET_B *5467:8 3.44781e-05 -10 *28079:RESET_B *5728:64 1.88878e-05 -11 *28079:RESET_B *5728:90 4.88631e-05 -12 *28079:RESET_B *5739:37 0 -13 *28079:RESET_B *5742:51 0.000160692 -14 *28079:RESET_B *6169:126 2.11419e-05 -*RES -1 *28034:X *28079:RESET_B 45.037 -*END - -*D_NET *388 0.0106774 -*CONN -*I *28080:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28035:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28080:RESET_B 0.00117699 -2 *28035:X 0.0013467 -3 *388:10 0.00252369 -4 *28080:RESET_B *24866:A 0.000141526 -5 *28080:RESET_B *25466:A1 0.000128809 -6 *28080:RESET_B *25469:S 0.000161109 -7 *28080:RESET_B *25536:A1 0.000216297 -8 *28080:RESET_B *25536:A2 0.000375745 -9 *28080:RESET_B *28080:D 8.25613e-05 -10 *28080:RESET_B *28169:RESET_B 0.000116498 -11 *28080:RESET_B *29534:A 0.000276884 -12 *28080:RESET_B *419:9 4.92076e-05 -13 *28080:RESET_B *1170:6 7.83587e-05 -14 *28080:RESET_B *1175:21 0.000793961 -15 *28080:RESET_B *1323:24 0.00271239 -16 *28080:RESET_B *4187:18 0 -17 *28080:RESET_B *4187:20 0 -18 *28080:RESET_B *4190:13 5.33005e-05 -19 *388:10 *28068:CLK 1.98839e-05 -20 *388:10 *28084:CLK 3.34366e-05 -21 *388:10 *28095:CLK 4.49203e-05 -22 *388:10 *28095:D 9.66697e-05 -23 *388:10 *1323:24 1.91414e-05 -24 *388:10 *3561:131 0.000229332 -25 *388:10 *4187:20 0 -*RES -1 *28035:X *388:10 29.4607 -2 *388:10 *28080:RESET_B 42.1518 -*END - -*D_NET *389 0.00718623 -*CONN -*I *28081:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28036:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28081:RESET_B 0.00012852 -2 *28036:X 0.00113946 -3 *389:8 0.00126798 -4 *28081:RESET_B *28081:CLK 7.19623e-05 -5 *28081:RESET_B *28081:D 8.09442e-06 -6 *28081:RESET_B *29550:A 4.70821e-05 -7 *28081:RESET_B *3558:76 9.33521e-05 -8 *28081:RESET_B *5316:23 0.000265219 -9 *389:8 *25421:A 4.30185e-05 -10 *389:8 *25473:C1 0.000215432 -11 *389:8 *25480:B 5.41797e-06 -12 *389:8 *25493:A2 3.70428e-05 -13 *389:8 *25493:B1 8.0618e-05 -14 *389:8 *25569:A0 0.00130367 -15 *389:8 *28046:A 1.26641e-05 -16 *389:8 *28096:CLK 0 -17 *389:8 *28097:RESET_B 0 -18 *389:8 *4164:24 5.55405e-05 -19 *389:8 *4164:29 0.000672304 -20 *389:8 *4167:14 0.000139543 -21 *389:8 *5348:17 9.49939e-05 -22 *389:8 *5728:88 0.000184504 -23 *389:8 *5742:51 0.000905163 -24 *389:8 *6036:13 0.00026546 -25 *385:18 *389:8 0.000149194 -*RES -1 *28036:X *389:8 46.8714 -2 *389:8 *28081:RESET_B 8.25893 -*END - -*D_NET *390 0.0115822 -*CONN -*I *28082:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28037:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28082:RESET_B 0.00401791 -2 *28037:X 0.00401791 -3 *28082:RESET_B *24866:A 8.38362e-05 -4 *28082:RESET_B *25427:B 0.000149904 -5 *28082:RESET_B *25466:B1 0.00012963 -6 *28082:RESET_B *25467:A1 0.00012963 -7 *28082:RESET_B *25536:B1 0.00011994 -8 *28082:RESET_B *25569:A0 3.92589e-05 -9 *28082:RESET_B *448:11 0.00016273 -10 *28082:RESET_B *1323:24 0.000318702 -11 *28082:RESET_B *1732:29 6.81065e-05 -12 *28082:RESET_B *3561:88 0.000111835 -13 *28082:RESET_B *4163:17 4.99054e-05 -14 *28082:RESET_B *4192:64 0.000112443 -15 *28082:RESET_B *4194:32 0.000114286 -16 *28082:RESET_B *4194:51 0.000107002 -17 *28082:RESET_B *4195:196 0.000134168 -18 *28082:RESET_B *5739:37 0.000375849 -19 *28082:RESET_B *6147:31 0 -20 *28082:RESET_B *6158:28 6.37883e-06 -21 *361:20 *28082:RESET_B 0.00133277 -*RES -1 *28037:X *28082:RESET_B 43.8894 -*END - -*D_NET *391 0.00313233 -*CONN -*I *28083:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28038:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28083:RESET_B 0.000649162 -2 *28038:X 0.000649162 -3 *28083:RESET_B *25465:A1 0.000588774 -4 *28083:RESET_B *25492:A 8.79458e-05 -5 *28083:RESET_B *25569:S 0.000171375 -6 *28083:RESET_B *28096:RESET_B 0 -7 *28083:RESET_B *1323:24 0 -8 *28083:RESET_B *4163:17 0.000124527 -9 *28083:RESET_B *4163:149 7.35585e-05 -10 *28083:RESET_B *4187:48 0.00012401 -11 *28083:RESET_B *4192:79 0.000301982 -12 *28083:RESET_B *5474:10 0.000361831 -*RES -1 *28038:X *28083:RESET_B 35.7018 -*END - -*D_NET *392 0.00592553 -*CONN -*I *28084:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28039:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28084:RESET_B 0.00126063 -2 *28039:X 0.00126063 -3 *28084:RESET_B *25546:A1 7.40571e-05 -4 *28084:RESET_B *25730:S 0.000105471 -5 *28084:RESET_B *28039:A 0.00042519 -6 *28084:RESET_B *28199:CLK 1.90936e-05 -7 *28084:RESET_B *28385:RESET_B 0.000116749 -8 *28084:RESET_B *28388:D 3.19457e-05 -9 *28084:RESET_B *30271:A 6.62868e-05 -10 *28084:RESET_B *721:24 9.97121e-05 -11 *28084:RESET_B *1810:36 0.000135253 -12 *28084:RESET_B *3710:39 5.52302e-05 -13 *28084:RESET_B *3840:10 6.42877e-05 -14 *28084:RESET_B *4183:78 0.000312838 -15 *28084:RESET_B *4184:8 6.79957e-05 -16 *28084:RESET_B *5732:171 7.77751e-05 -17 *28084:RESET_B *5947:89 0.00064401 -18 *28084:RESET_B *5947:100 0.000851019 -19 *28084:RESET_B *6036:27 1.90936e-05 -20 *28084:RESET_B *6058:26 0.000224075 -21 *28084:RESET_B *6103:34 1.41805e-05 -*RES -1 *28039:X *28084:RESET_B 48.4161 -*END - -*D_NET *393 0.0119334 -*CONN -*I *28085:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28040:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28085:RESET_B 0.00255406 -2 *28040:X 0.00255406 -3 *28085:RESET_B *25554:S 6.46173e-05 -4 *28085:RESET_B *25555:S 0.000164714 -5 *28085:RESET_B *27871:A2 0.000104778 -6 *28085:RESET_B *28085:D 7.78792e-05 -7 *28085:RESET_B *437:23 3.11255e-05 -8 *28085:RESET_B *1748:20 0.00143499 -9 *28085:RESET_B *1763:22 3.23958e-05 -10 *28085:RESET_B *1830:23 0.000109817 -11 *28085:RESET_B *1830:55 5.18176e-05 -12 *28085:RESET_B *1844:44 0.000582002 -13 *28085:RESET_B *1862:36 9.57238e-05 -14 *28085:RESET_B *2789:27 6.94824e-05 -15 *28085:RESET_B *3934:28 0.000137983 -16 *28085:RESET_B *4103:18 0.000245063 -17 *28085:RESET_B *4154:27 0.000429573 -18 *28085:RESET_B *4165:122 0 -19 *28085:RESET_B *4185:7 0.000125355 -20 *28085:RESET_B *4185:30 4.73656e-05 -21 *28085:RESET_B *4192:168 0.00251812 -22 *28085:RESET_B *4560:14 0.000502494 -*RES -1 *28040:X *28085:RESET_B 48.8654 -*END - -*D_NET *394 0.00665203 -*CONN -*I *28086:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28041:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28086:RESET_B 0.000384507 -2 *28041:X 0.00122183 -3 *394:14 0.00160634 -4 *28086:RESET_B *499:10 9.41642e-05 -5 *28086:RESET_B *4165:122 0.00076159 -6 *394:14 *25655:A0 4.46186e-06 -7 *394:14 *29582:A 0.000124008 -8 *394:14 *29588:A 0.000267814 -9 *394:14 *30519:A 0.000364953 -10 *394:14 *1778:16 0.000182694 -11 *394:14 *1830:23 5.31158e-05 -12 *394:14 *1844:59 0.000294972 -13 *394:14 *1864:89 0.000100823 -14 *394:14 *3570:57 0.000125445 -15 *394:14 *3605:12 9.93163e-05 -16 *394:14 *3710:28 0 -17 *394:14 *4165:122 3.17148e-05 -18 *394:14 *4646:13 0.000394975 -19 *394:14 *5727:170 0.000539298 -*RES -1 *28041:X *394:14 47.8 -2 *394:14 *28086:RESET_B 11.9554 -*END - -*D_NET *395 0.00343439 -*CONN -*I *28087:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28042:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28087:RESET_B 0.000631239 -2 *28042:X 0.000631239 -3 *28087:RESET_B *28042:B 5.71472e-05 -4 *28087:RESET_B *28089:RESET_B 0.000216098 -5 *28087:RESET_B *30191:A 0.000271345 -6 *28087:RESET_B *1743:54 0.000176033 -7 *28087:RESET_B *1771:29 0 -8 *28087:RESET_B *4155:15 1.07719e-05 -9 *28087:RESET_B *4157:9 0 -10 *28087:RESET_B *4195:123 0 -11 *28087:RESET_B *4195:133 0 -12 *28087:RESET_B *5742:268 6.58597e-05 -13 *28087:RESET_B *5742:275 0.000157409 -14 *28087:RESET_B *5855:8 0.000443654 -15 *373:12 *28087:RESET_B 0.000773594 -*RES -1 *28042:X *28087:RESET_B 36.9518 -*END - -*D_NET *396 0.00156591 -*CONN -*I *28088:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28043:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28088:RESET_B 0.000338839 -2 *28043:X 0.000338839 -3 *28088:RESET_B *25449:A0 4.90306e-05 -4 *28088:RESET_B *28043:B 1.56108e-05 -5 *28088:RESET_B *28088:D 0.000387143 -6 *28088:RESET_B *1173:130 5.7836e-06 -7 *28088:RESET_B *1744:25 2.06112e-05 -8 *28088:RESET_B *4156:22 0.000139808 -9 *28088:RESET_B *4195:105 0.000117643 -10 *28088:RESET_B *5742:213 6.29147e-05 -11 *28088:RESET_B *5742:234 8.9691e-05 -*RES -1 *28043:X *28088:RESET_B 28.7557 -*END - -*D_NET *397 0.00118496 -*CONN -*I *28089:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28044:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28089:RESET_B 0.000411389 -2 *28044:X 0.000411389 -3 *28089:RESET_B *30191:A 0 -4 *28089:RESET_B *1771:29 0 -5 *28089:RESET_B *4157:9 0 -6 *28089:RESET_B *5728:286 5.33978e-05 -7 *28087:RESET_B *28089:RESET_B 0.000216098 -8 *373:12 *28089:RESET_B 9.26828e-05 -*RES -1 *28044:X *28089:RESET_B 27.8357 -*END - -*D_NET *398 0.00133574 -*CONN -*I *28090:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28045:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28090:RESET_B 0.000454713 -2 *28045:X 0.000454713 -3 *28090:RESET_B *28090:CLK 0.000223564 -4 *28090:RESET_B *28090:D 0.000135028 -5 *28090:RESET_B *1829:25 6.77255e-05 -*RES -1 *28045:X *28090:RESET_B 15.2554 -*END - -*D_NET *399 0.0169198 -*CONN -*I *28091:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28046:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28091:RESET_B 0.00042187 -2 *28046:X 0.000973954 -3 *399:18 0.00224046 -4 *399:17 0.00279254 -5 *28091:RESET_B *28410:RESET_B 0.000135028 -6 *28091:RESET_B *4195:90 1.25672e-06 -7 *399:17 *25469:A1 0.000110072 -8 *399:17 *25477:A 1.39726e-05 -9 *399:17 *25477:B 1.02415e-05 -10 *399:17 *28086:CLK 4.18834e-05 -11 *399:17 *1251:29 4.73891e-05 -12 *399:17 *1769:17 8.25843e-06 -13 *399:17 *1774:15 0.000773728 -14 *399:17 *4192:58 0.000243635 -15 *399:17 *4194:65 8.13433e-05 -16 *399:17 *4195:196 3.34366e-05 -17 *399:17 *5836:176 4.88232e-05 -18 *399:18 *25458:A 1.24469e-05 -19 *399:18 *25476:A1 0.00134979 -20 *399:18 *25477:B 1.09232e-05 -21 *399:18 *25541:A 1.50738e-05 -22 *399:18 *28086:CLK 0.000243687 -23 *399:18 *28092:CLK 0.000501335 -24 *399:18 *29470:A 2.14474e-05 -25 *399:18 *411:25 0 -26 *399:18 *1191:76 0.000710462 -27 *399:18 *1324:23 0.000162424 -28 *399:18 *1738:59 0.000516839 -29 *399:18 *1762:16 6.59118e-05 -30 *399:18 *4159:14 0.000253865 -31 *399:18 *4162:12 1.08691e-05 -32 *399:18 *4168:12 0.00078723 -33 *399:18 *4168:20 3.55624e-05 -34 *399:18 *4192:44 0.000335987 -35 *399:18 *5238:13 0.00159272 -36 *399:18 *5728:53 0.000206004 -37 *399:18 *5835:11 0.00147712 -38 *399:18 *5836:176 1.31942e-05 -39 *28165:D *399:18 0.00058629 -40 *359:14 *399:18 1.20729e-05 -41 *361:20 *399:17 2.06112e-05 -*RES -1 *28046:X *399:17 33.6086 -2 *399:17 *399:18 62.8125 -3 *399:18 *28091:RESET_B 9.63393 -*END - -*D_NET *400 0.012661 -*CONN -*I *28092:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28047:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28092:RESET_B 0.00281962 -2 *28047:X 0.00193277 -3 *400:14 0.00475239 -4 *28092:RESET_B *27158:A1 4.75237e-05 -5 *28092:RESET_B *28292:RESET_B 5.30455e-05 -6 *28092:RESET_B *29455:A 0.00030432 -7 *28092:RESET_B *29691:A 0.000125355 -8 *28092:RESET_B *30401:A 0.000405033 -9 *28092:RESET_B *4143:8 2.79421e-05 -10 *28092:RESET_B *4160:15 3.8524e-05 -11 *28092:RESET_B *5806:8 6.63007e-05 -12 *400:14 *25865:A0 2.87555e-06 -13 *400:14 *25865:S 9.0145e-05 -14 *400:14 *27158:A0 3.37161e-05 -15 *400:14 *27158:A1 0.000178847 -16 *400:14 *27158:S 0.000424029 -17 *400:14 *27563:A1 1.65169e-05 -18 *400:14 *28047:A 8.55871e-05 -19 *400:14 *28047:B 0.000106142 -20 *400:14 *28311:CLK 4.02038e-05 -21 *400:14 *28311:D 0.000129147 -22 *400:14 *29331:A 5.33005e-05 -23 *400:14 *30253:A 0.000589407 -24 *400:14 *1872:58 5.66551e-05 -25 *400:14 *1877:66 0.000103974 -26 *400:14 *1886:18 2.22618e-05 -27 *400:14 *2894:106 0.00013558 -28 *400:14 *5712:17 1.97865e-05 -*RES -1 *28047:X *400:14 45.7821 -2 *400:14 *28092:RESET_B 34.7054 -*END - -*D_NET *401 0.00791184 -*CONN -*I *28093:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28048:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28093:RESET_B 0.000993638 -2 *28048:X 0.000567029 -3 *401:13 0.00156067 -4 *28093:RESET_B *25430:A_N 6.90644e-05 -5 *28093:RESET_B *25430:B 4.58194e-05 -6 *28093:RESET_B *25435:S 0.000211938 -7 *28093:RESET_B *28407:D 0.000397554 -8 *28093:RESET_B *1196:23 0.000175892 -9 *28093:RESET_B *1231:17 0.000242084 -10 *28093:RESET_B *1731:19 4.27935e-05 -11 *28093:RESET_B *1771:29 0.000210223 -12 *28093:RESET_B *4154:6 0.000207054 -13 *28093:RESET_B *4154:27 0.000317583 -14 *28093:RESET_B *4192:14 0.00043866 -15 *28093:RESET_B *4192:20 0.000428594 -16 *28093:RESET_B *5836:150 0.00158194 -17 *401:13 *24998:B 3.69047e-06 -18 *401:13 *28048:B 0.000109132 -19 *401:13 *29485:A 0.000136958 -20 *401:13 *1808:11 1.65169e-05 -21 *401:13 *5727:8 7.83659e-05 -22 *401:13 *5836:150 7.6644e-05 -*RES -1 *28048:X *401:13 29.8357 -2 *401:13 *28093:RESET_B 44.4375 -*END - -*D_NET *402 0.0147514 -*CONN -*I *28094:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28049:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28094:RESET_B 0.00113404 -2 *28049:X 0.00250283 -3 *402:13 0.00363687 -4 *28094:RESET_B *24870:A2 0.00012779 -5 *28094:RESET_B *25439:S 3.97677e-05 -6 *28094:RESET_B *25657:S 0.000366506 -7 *28094:RESET_B *28093:D 0.000383166 -8 *28094:RESET_B *28094:D 4.57695e-05 -9 *28094:RESET_B *1202:17 9.24982e-05 -10 *28094:RESET_B *1231:17 0.000272209 -11 *28094:RESET_B *1324:37 5.58875e-06 -12 *28094:RESET_B *1731:19 0.000131843 -13 *28094:RESET_B *1742:15 0.00023389 -14 *28094:RESET_B *1744:38 0.000101545 -15 *28094:RESET_B *1862:36 5.10587e-05 -16 *28094:RESET_B *3558:38 0.000164236 -17 *28094:RESET_B *4161:10 5.33005e-05 -18 *28094:RESET_B *4162:12 0.000131765 -19 *28094:RESET_B *4525:11 0.000676744 -20 *28094:RESET_B *5888:16 0.00010326 -21 *402:13 *25824:A1 0 -22 *402:13 *28165:SET_B 0.000499575 -23 *402:13 *30289:A 5.11398e-05 -24 *402:13 *408:20 0 -25 *402:13 *1173:82 0 -26 *402:13 *1396:64 0.000850092 -27 *402:13 *1456:82 1.75318e-05 -28 *402:13 *3164:146 0.00102229 -29 *402:13 *3455:17 0.000183827 -30 *402:13 *3711:21 9.29324e-05 -31 *402:13 *3739:36 0.000663336 -32 *402:13 *3739:38 9.65773e-05 -33 *402:13 *3870:18 0.000299409 -34 *402:13 *4116:36 0.000221212 -35 *402:13 *4185:30 0.000177422 -36 *402:13 *5253:44 0.000321377 -37 *402:13 *5693:97 0 -*RES -1 *28049:X *402:13 44.2716 -2 *402:13 *28094:RESET_B 39.9107 -*END - -*D_NET *403 0.00241067 -*CONN -*I *28095:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28050:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28095:RESET_B 0.000675735 -2 *28050:X 0.000675735 -3 *28095:RESET_B *25462:A 0.000185401 -4 *28095:RESET_B *28097:RESET_B 0.000331057 -5 *28095:RESET_B *410:17 2.24346e-05 -6 *28095:RESET_B *1733:41 0.000258115 -7 *28095:RESET_B *6169:148 0.000262192 -*RES -1 *28050:X *28095:RESET_B 40.3357 -*END - -*D_NET *404 0.00426353 -*CONN -*I *28096:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28051:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28096:RESET_B 0.00082886 -2 *28051:X 0.00082886 -3 *28096:RESET_B *25465:A1 0.000240016 -4 *28096:RESET_B *25494:B1 0.000304552 -5 *28096:RESET_B *25569:A1 0.000150838 -6 *28096:RESET_B *28083:D 0.000658103 -7 *28096:RESET_B *1778:25 8.79458e-05 -8 *28096:RESET_B *3561:104 0.000263999 -9 *28096:RESET_B *3561:113 0.000147211 -10 *28096:RESET_B *3561:115 8.15095e-05 -11 *28096:RESET_B *4164:5 2.59355e-05 -12 *28096:RESET_B *4164:13 2.29097e-05 -13 *28096:RESET_B *4164:82 5.37664e-05 -14 *28096:RESET_B *4194:65 2.9048e-05 -15 *28096:RESET_B *5357:16 5.51406e-05 -16 *28096:RESET_B *5474:10 2.00751e-05 -17 *28096:RESET_B *5727:46 0.000197984 -18 *28096:RESET_B *5727:73 7.6644e-05 -19 *28096:RESET_B *6125:21 4.15914e-05 -20 *28096:RESET_B *6147:31 0.00014854 -21 *28083:RESET_B *28096:RESET_B 0 -*RES -1 *28051:X *28096:RESET_B 40.8625 -*END - -*D_NET *405 0.00420428 -*CONN -*I *28097:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28052:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28097:RESET_B 0.000793673 -2 *28052:X 0.000793673 -3 *28097:RESET_B *25417:B 5.52302e-05 -4 *28097:RESET_B *25492:A 3.06878e-06 -5 *28097:RESET_B *28095:D 0.000125724 -6 *28097:RESET_B *4163:55 0.00011082 -7 *28097:RESET_B *4195:196 0.000527112 -8 *28097:RESET_B *5947:100 9.77423e-05 -9 *28097:RESET_B *6169:144 0.000811053 -10 *28097:RESET_B *6169:148 0.000555127 -11 *28095:RESET_B *28097:RESET_B 0.000331057 -12 *389:8 *28097:RESET_B 0 -*RES -1 *28052:X *28097:RESET_B 48.7286 -*END - -*D_NET *406 0.0123455 -*CONN -*I *28165:SET_B I *D sky130_fd_sc_hd__dfstp_4 -*I *28053:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28165:SET_B 0.00152277 -2 *28053:X 0.00146082 -3 *406:8 0.00298359 -4 *28165:SET_B *24870:A2 9.32348e-05 -5 *28165:SET_B *28148:CLK 0.00027587 -6 *28165:SET_B *28148:D 0.000261032 -7 *28165:SET_B *28148:RESET_B 8.79458e-05 -8 *28165:SET_B *1173:82 8.79752e-05 -9 *28165:SET_B *1287:21 0.000186286 -10 *28165:SET_B *1730:41 0.000210452 -11 *28165:SET_B *1746:17 9.60875e-05 -12 *28165:SET_B *3558:54 0.000171603 -13 *28165:SET_B *3935:16 3.44848e-05 -14 *28165:SET_B *4127:75 9.11828e-05 -15 *406:8 *25655:A1 0.000142376 -16 *406:8 *30317:A 0 -17 *406:8 *30327:A 0.000116371 -18 *406:8 *1829:34 0 -19 *406:8 *1868:49 0.000875801 -20 *406:8 *3165:314 1.02465e-05 -21 *406:8 *3870:8 0 -22 *406:8 *4064:12 0.000138689 -23 *406:8 *4127:32 0.000646837 -24 *406:8 *4163:95 0.000475683 -25 *406:8 *5699:66 0.000220276 -26 *406:8 *5712:49 0.0015985 -27 *406:8 *5743:33 5.77668e-05 -28 *402:13 *28165:SET_B 0.000499575 -*RES -1 *28053:X *406:8 49.0768 -2 *406:8 *28165:SET_B 28.1368 -*END - -*D_NET *407 0.00767087 -*CONN -*I *28166:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28054:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28166:RESET_B 0.00124732 -2 *28054:X 0.000570405 -3 *407:10 0.00181773 -4 *28166:RESET_B *25473:A2 5.33005e-05 -5 *28166:RESET_B *25473:C1 0.000117809 -6 *28166:RESET_B *25480:A 0.000104446 -7 *28166:RESET_B *25480:B 0.000599997 -8 *28166:RESET_B *418:7 8.98691e-05 -9 *28166:RESET_B *4160:57 0.000337033 -10 *28166:RESET_B *4163:76 0.000135028 -11 *28166:RESET_B *4194:65 0.000223891 -12 *28166:RESET_B *5727:36 3.10397e-05 -13 *407:10 *25422:A 0.000311165 -14 *407:10 *25473:A2 0.00010326 -15 *407:10 *25493:A1 0.000839215 -16 *407:10 *28037:B 9.60939e-05 -17 *407:10 *28054:B 0.000100462 -18 *407:10 *28058:A 0.000189832 -19 *407:10 *28058:B 0.000125731 -20 *407:10 *28097:D 4.46618e-05 -21 *407:10 *4192:117 0.000150625 -22 *407:10 *5467:8 0 -23 *407:10 *5728:101 9.16325e-06 -24 *407:10 *6114:39 0.000125693 -25 *407:10 *6158:28 0.000247103 -*RES -1 *28054:X *407:10 36.6929 -2 *407:10 *28166:RESET_B 22.5804 -*END - -*D_NET *408 0.0163213 -*CONN -*I *28167:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28055:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28167:RESET_B 0.000700872 -2 *28055:X 0.00266946 -3 *408:20 0.00337034 -4 *28167:RESET_B *24870:A2 2.95726e-05 -5 *28167:RESET_B *24870:B1 0.000490048 -6 *28167:RESET_B *25434:A 5.71472e-05 -7 *28167:RESET_B *25434:B 5.71472e-05 -8 *28167:RESET_B *25656:A1 0.000135028 -9 *28167:RESET_B *1196:23 2.40747e-05 -10 *28167:RESET_B *3561:49 0.000423716 -11 *28167:RESET_B *3935:16 0.00047669 -12 *28167:RESET_B *4194:8 0.000218685 -13 *28167:RESET_B *4548:15 0.000137983 -14 *408:20 *25461:A1 0.000198949 -15 *408:20 *25461:A3 0.000479592 -16 *408:20 *25824:A1 0.00193099 -17 *408:20 *27533:B2 5.74026e-05 -18 *408:20 *27557:A1 6.57603e-05 -19 *408:20 *27871:B2 0.000323007 -20 *408:20 *28022:A 1.90936e-05 -21 *408:20 *28717:RESET_B 8.69554e-05 -22 *408:20 *1545:48 0.000900916 -23 *408:20 *1739:34 0.00130825 -24 *408:20 *1830:55 0.000315964 -25 *408:20 *2872:112 0 -26 *408:20 *2874:85 1.10423e-05 -27 *408:20 *3457:10 9.30205e-05 -28 *408:20 *3870:34 4.30918e-05 -29 *408:20 *4064:81 8.08045e-05 -30 *408:20 *4065:107 2.06112e-05 -31 *408:20 *4116:36 6.54117e-05 -32 *408:20 *4130:77 4.75442e-05 -33 *408:20 *4195:36 0.000228271 -34 *408:20 *4525:11 0.000317895 -35 *408:20 *5657:88 3.43966e-05 -36 *408:20 *5657:90 0.000109184 -37 *408:20 *5693:97 4.49626e-05 -38 *408:20 *5706:38 0.000347073 -39 *408:20 *5727:281 0.000145727 -40 *408:20 *5728:205 0.00025461 -41 *402:13 *408:20 0 -*RES -1 *28055:X *408:20 49.2385 -2 *408:20 *28167:RESET_B 21.1339 -*END - -*D_NET *409 0.00788587 -*CONN -*I *28168:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28056:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28168:RESET_B 0.000784443 -2 *28056:X 0.0012984 -3 *409:10 0.00208284 -4 *28168:RESET_B *25698:A0 2.42516e-05 -5 *28168:RESET_B *29108:A 5.49489e-05 -6 *28168:RESET_B *1844:49 0.000719054 -7 *28168:RESET_B *1844:59 5.50052e-05 -8 *28168:RESET_B *4195:5 8.43386e-05 -9 *28168:RESET_B *4195:10 9.58632e-05 -10 *28168:RESET_B *4546:20 0.000116294 -11 *28168:RESET_B *4759:8 0.000305353 -12 *409:10 *25655:A0 0.000540537 -13 *409:10 *28163:CLK 9.0065e-05 -14 *409:10 *1287:25 0.000172894 -15 *409:10 *1769:17 0.00118116 -16 *409:10 *3605:12 2.8266e-06 -17 *409:10 *3605:28 5.3553e-05 -18 *409:10 *3605:35 3.65078e-05 -19 *409:10 *3605:96 0.000110946 -20 *409:10 *3710:28 7.65884e-05 -*RES -1 *28056:X *409:10 40.9786 -2 *409:10 *28168:RESET_B 15.5804 -*END - -*D_NET *410 0.00856578 -*CONN -*I *28169:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28057:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28169:RESET_B 0.000733031 -2 *28057:X 0.0015009 -3 *410:17 0.00223393 -4 *28169:RESET_B *25459:A0 7.79332e-05 -5 *28169:RESET_B *25469:S 0.000542492 -6 *28169:RESET_B *25471:S 0.000214967 -7 *28169:RESET_B *25536:A1 4.98776e-05 -8 *28169:RESET_B *25536:A2 3.69697e-05 -9 *28169:RESET_B *28166:D 0 -10 *28169:RESET_B *28169:D 8.55871e-05 -11 *28169:RESET_B *29534:A 0.000144323 -12 *28169:RESET_B *29625:A 0.00058809 -13 *28169:RESET_B *1778:16 0 -14 *28169:RESET_B *4187:18 0 -15 *28169:RESET_B *5304:13 0.000186641 -16 *28169:RESET_B *5739:37 0.000204962 -17 *410:17 *25423:A2 2.00238e-05 -18 *410:17 *25465:B1 8.25843e-06 -19 *410:17 *25494:B1 9.41642e-05 -20 *410:17 *29592:A 0.000123861 -21 *410:17 *719:17 0.000221634 -22 *410:17 *1323:24 0.000591915 -23 *410:17 *1733:41 6.23206e-05 -24 *410:17 *4163:145 0.000171375 -25 *410:17 *4183:78 6.86792e-05 -26 *410:17 *4188:20 0.000192262 -27 *410:17 *4192:79 0.000117945 -28 *410:17 *4213:15 3.14048e-05 -29 *28080:RESET_B *28169:RESET_B 0.000116498 -30 *28095:RESET_B *410:17 2.24346e-05 -31 *29586:A *28169:RESET_B 0.000123295 -*RES -1 *28057:X *410:17 47.425 -2 *410:17 *28169:RESET_B 25.8661 -*END - -*D_NET *411 0.0147722 -*CONN -*I *28407:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 -*I *28058:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28407:RESET_B 0.000705332 -2 *28058:X 0.00210993 -3 *411:25 0.00183979 -4 *411:19 0.00324438 -5 *28407:RESET_B *28077:CLK 0.000265122 -6 *28407:RESET_B *28413:D 0 -7 *28407:RESET_B *29481:A 0.000981325 -8 *28407:RESET_B *743:11 0.000170083 -9 *28407:RESET_B *1730:114 0.000368659 -10 *28407:RESET_B *3558:58 9.60875e-05 -11 *28407:RESET_B *3561:28 0.000361046 -12 *28407:RESET_B *4175:10 0.000362794 -13 *28407:RESET_B *5236:20 7.50999e-05 -14 *28407:RESET_B *6169:126 0 -15 *411:19 *1732:29 2.79435e-05 -16 *411:19 *4163:24 0.000139208 -17 *411:19 *4164:24 0.000177081 -18 *411:19 *4164:55 3.30337e-05 -19 *411:19 *5739:37 9.09604e-05 -20 *411:19 *5742:43 0.000105026 -21 *411:19 *6169:144 0.000154032 -22 *411:25 *24865:C 0.000121871 -23 *411:25 *25466:B1 8.68127e-05 -24 *411:25 *25477:B 0 -25 *411:25 *25480:A 9.71117e-05 -26 *411:25 *29481:A 9.20464e-06 -27 *411:25 *1191:11 0.00121107 -28 *411:25 *1730:114 0.000341161 -29 *411:25 *1766:24 7.85178e-05 -30 *411:25 *1772:29 1.38247e-05 -31 *411:25 *3857:21 1.19321e-05 -32 *411:25 *4163:84 0.000237743 -33 *411:25 *4164:82 1.94879e-05 -34 *411:25 *4164:115 0.000464493 -35 *411:25 *4164:124 0.000400034 -36 *411:25 *4165:92 6.9293e-05 -37 *411:25 *4165:103 2.30116e-06 -38 *411:25 *5236:20 4.58194e-05 -39 *411:25 *5253:21 9.80742e-05 -40 *411:25 *5316:23 7.6644e-05 -41 *411:25 *5341:12 7.98302e-05 -42 *359:14 *411:25 0 -43 *361:20 *411:25 0 -44 *399:18 *411:25 0 -*RES -1 *28058:X *411:19 37.158 -2 *411:19 *411:25 38.7589 -3 *411:25 *28407:RESET_B 34.7857 -*END - -*D_NET *412 0.0183209 -*CONN -*I *28408:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 -*I *28059:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28408:RESET_B 0.00189883 -2 *28059:X 0.00186857 -3 *412:14 0.0037674 -4 *28408:RESET_B *28094:CLK 0.000176429 -5 *28408:RESET_B *30790:A 0.000213526 -6 *28408:RESET_B *31002:A 1.62539e-05 -7 *28408:RESET_B *1202:18 0.000699363 -8 *28408:RESET_B *1202:43 5.54856e-05 -9 *28408:RESET_B *1323:57 1.03105e-05 -10 *28408:RESET_B *1730:56 0.000729712 -11 *28408:RESET_B *4161:17 0.00012726 -12 *28408:RESET_B *4161:28 0.00105386 -13 *28408:RESET_B *4162:12 0.000257619 -14 *28408:RESET_B *5377:15 3.71613e-05 -15 *412:14 *25461:A1 0.000216824 -16 *412:14 *25823:S 8.367e-05 -17 *412:14 *28059:A 0.000165693 -18 *412:14 *28059:B 4.58194e-05 -19 *412:14 *1545:48 0.00227849 -20 *412:14 *1603:36 0.00185332 -21 *412:14 *1741:13 4.32957e-05 -22 *412:14 *1830:55 9.62956e-06 -23 *412:14 *1862:36 0.000847542 -24 *412:14 *1872:31 5.00194e-05 -25 *412:14 *3697:57 2.83129e-05 -26 *412:14 *3739:36 3.51613e-05 -27 *412:14 *3739:38 6.65873e-05 -28 *412:14 *3857:36 0.000627926 -29 *412:14 *4103:18 0.00017641 -30 *412:14 *4154:27 1.46617e-05 -31 *412:14 *4525:11 0.0008138 -32 *412:14 *5742:111 5.19659e-05 -*RES -1 *28059:X *412:14 45.6766 -2 *412:14 *28408:RESET_B 45.8482 -*END - -*D_NET *413 0.0155693 -*CONN -*I *28409:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 -*I *28060:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28409:RESET_B 0.000547799 -2 *28060:X 4.33716e-05 -3 *413:8 0.00254607 -4 *413:7 0.00204164 -5 *28409:RESET_B *28409:CLK_N 0.000148156 -6 *413:7 *5742:51 2.89016e-05 -7 *413:8 *25433:D 0.000113898 -8 *413:8 *25806:S 7.83587e-05 -9 *413:8 *25815:A1 0 -10 *413:8 *28272:D 0.000150625 -11 *413:8 *29563:A 1.14232e-05 -12 *413:8 *29570:A 2.90068e-05 -13 *413:8 *29572:A 0.0023863 -14 *413:8 *1874:68 0.000334137 -15 *413:8 *5326:11 0.00144451 -16 *413:8 *5333:14 0.000326809 -17 *413:8 *5345:11 0.00146375 -18 *413:8 *5558:17 0.000173083 -19 *413:8 *5574:8 2.74584e-05 -20 *413:8 *5580:16 2.14474e-05 -21 *413:8 *6158:24 0.000105611 -22 *413:8 *6158:28 0.0022778 -23 *385:18 *413:8 0.000996294 -24 *385:39 *413:8 0.000272858 -*RES -1 *28060:X *413:7 14.3357 -2 *413:7 *413:8 71.4643 -3 *413:8 *28409:RESET_B 11.2768 -*END - -*D_NET *414 0.00846887 -*CONN -*I *28410:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 -*I *28061:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28410:RESET_B 0.000437167 -2 *28061:X 0.00176989 -3 *414:22 0.00220706 -4 *28410:RESET_B *25073:A0 9.87983e-06 -5 *28410:RESET_B *28091:CLK 8.40933e-05 -6 *28410:RESET_B *28410:CLK_N 9.27914e-05 -7 *28410:RESET_B *29477:A 0.000727158 -8 *28410:RESET_B *1169:10 4.98055e-06 -9 *28410:RESET_B *5247:10 0.000728439 -10 *28410:RESET_B *5575:30 8.40351e-06 -11 *414:22 *25073:A0 0 -12 *414:22 *25169:A1 1.94926e-05 -13 *414:22 *25457:A0 0.000151769 -14 *414:22 *25457:S 4.13027e-05 -15 *414:22 *25794:S 0 -16 *414:22 *28087:D 0 -17 *414:22 *28089:D 0 -18 *414:22 *28254:D 0.000261933 -19 *414:22 *28411:D 7.61913e-05 -20 *414:22 *28878:A 0 -21 *414:22 *1169:10 0 -22 *414:22 *1730:87 0.000751851 -23 *414:22 *1874:36 0.000180999 -24 *414:22 *3564:14 0 -25 *414:22 *3564:19 9.25014e-06 -26 *414:22 *5575:30 0.000240934 -27 *414:22 *5728:296 1.21258e-05 -28 *414:22 *5742:282 0.000518137 -29 *28091:RESET_B *28410:RESET_B 0.000135028 -*RES -1 *28061:X *414:22 49.7732 -2 *414:22 *28410:RESET_B 17.8393 -*END - -*D_NET *415 0.00343567 -*CONN -*I *28411:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 -*I *28062:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28411:RESET_B 0.000714557 -2 *28062:X 0.000714557 -3 *28411:RESET_B *24809:A 0.000175519 -4 *28411:RESET_B *25035:A0 1.90936e-05 -5 *28411:RESET_B *25169:A1 9.41642e-05 -6 *28411:RESET_B *25805:S 6.63872e-05 -7 *28411:RESET_B *28092:CLK 3.14008e-05 -8 *28411:RESET_B *28266:D 7.90803e-05 -9 *28411:RESET_B *28411:D 0.000108314 -10 *28411:RESET_B *29470:A 7.83659e-05 -11 *28411:RESET_B *1191:85 0.000155155 -12 *28411:RESET_B *1873:61 0.0004134 -13 *28411:RESET_B *1873:63 2.34204e-05 -14 *28411:RESET_B *3564:22 9.56112e-07 -15 *28411:RESET_B *3564:24 0.000173577 -16 *28411:RESET_B *3564:26 3.98428e-05 -17 *28411:RESET_B *3564:28 0.000188787 -18 *28411:RESET_B *4174:17 0.000121573 -19 *28411:RESET_B *5575:30 0.000133952 -20 *28411:RESET_B *5728:27 5.52238e-05 -21 *28411:RESET_B *6169:107 4.83461e-05 -*RES -1 *28062:X *28411:RESET_B 36.8625 -*END - -*D_NET *416 0.00418246 -*CONN -*I *28412:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 -*I *28063:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28412:RESET_B 0.00063235 -2 *28063:X 0.00063235 -3 *28412:RESET_B *24872:B 1.92646e-05 -4 *28412:RESET_B *25790:A0 8.24204e-05 -5 *28412:RESET_B *25792:A1 1.17921e-05 -6 *28412:RESET_B *28411:CLK_N 1.25366e-05 -7 *28412:RESET_B *28412:CLK_N 9.60875e-05 -8 *28412:RESET_B *28816:D 0.000474206 -9 *28412:RESET_B *1545:96 0.000843059 -10 *28412:RESET_B *5542:41 0.000261426 -11 *28412:RESET_B *5564:8 0.00111697 -*RES -1 *28063:X *28412:RESET_B 39.4161 -*END - -*D_NET *417 0.00358454 -*CONN -*I *28413:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 -*I *28064:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28413:RESET_B 0.000796096 -2 *28064:X 0.000796096 -3 *28413:RESET_B *28064:B 0.000139913 -4 *28413:RESET_B *28264:D 0.000214613 -5 *28413:RESET_B *28413:CLK_N 0.000344352 -6 *28413:RESET_B *28413:D 0.000107311 -7 *28413:RESET_B *31006:A 0.000351156 -8 *28413:RESET_B *1545:62 0.000389591 -9 *28413:RESET_B *4171:21 0.000319053 -10 *28413:RESET_B *5541:8 0.000120556 -11 wb_dat_o[8] *28413:RESET_B 5.80706e-06 -*RES -1 *28064:X *28413:RESET_B 38.4339 -*END - -*D_NET *418 0.0131265 -*CONN -*I *28414:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 -*I *28065:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *28414:RESET_B 0.000171213 -2 *28065:X 0.000767436 -3 *418:8 0.00193727 -4 *418:7 0.00253349 -5 *28414:RESET_B *24867:A2 0.000254757 -6 *418:7 *5727:36 2.6018e-06 -7 *418:7 *5727:163 0.000302365 -8 *418:7 *5727:170 2.42516e-05 -9 *418:8 *25430:B 0.000101748 -10 *418:8 *25471:A1 3.55056e-05 -11 *418:8 *25471:S 0.000873274 -12 *418:8 *25538:B2 2.49484e-05 -13 *418:8 *25808:A0 0.000815848 -14 *418:8 *28048:A 0.000433705 -15 *418:8 *28086:D 8.00806e-05 -16 *418:8 *30513:A 0.000728554 -17 *418:8 *1192:25 0.000181409 -18 *418:8 *1738:40 7.17836e-05 -19 *418:8 *4154:27 0.000621083 -20 *418:8 *4164:153 1.70307e-05 -21 *418:8 *4195:67 8.34352e-05 -22 *418:8 *5836:162 3.12742e-05 -23 *418:8 *5836:211 0.000474591 -24 *28166:RESET_B *418:7 8.98691e-05 -25 *373:10 *418:8 0.00223999 -26 *373:12 *418:8 0.000228969 -*RES -1 *28065:X *418:7 22.9607 -2 *418:7 *418:8 55.375 -3 *418:8 *28414:RESET_B 7.86607 -*END - -*D_NET *419 0.00533956 -*CONN -*I *28066:D I *D sky130_fd_sc_hd__dfrtn_1 -*I *25498:X O *D sky130_fd_sc_hd__o211a_1 -*CAP -1 *28066:D 0 -2 *25498:X 0.00130804 -3 *419:9 0.00130804 -4 *419:9 *24866:A 0.00102389 -5 *419:9 *24870:A1 2.39165e-05 -6 *419:9 *28148:D 5.01117e-05 -7 *419:9 *30307:A 2.09897e-05 -8 *419:9 *1175:21 4.15526e-05 -9 *419:9 *1202:43 0.000274473 -10 *419:9 *1808:11 0.00109649 -11 *419:9 *4161:41 3.69047e-06 -12 *419:9 *5727:8 0.00012688 -13 *419:9 *5835:11 1.22751e-05 -14 *28080:RESET_B *419:9 4.92076e-05 -*RES -1 *25498:X *419:9 45.2687 -2 *419:9 *28066:D 9.3 -*END - -*D_NET *420 0.00226063 -*CONN -*I *28068:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *25495:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *28068:D 0.000358187 -2 *25495:X 0.000358187 -3 *28068:D *25495:A1 4.99185e-05 -4 *28068:D *25495:A2 0.000808892 -5 *28068:D *25495:B2 0.000310915 -6 *28068:D *719:17 0.000124495 -7 *28068:D *1780:13 0.000243986 -8 *28068:D *4187:43 6.05161e-06 -*RES -1 *25495:X *28068:D 30.85 -*END - -*D_NET *421 0.00355449 -*CONN -*I *28069:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *25493:X O *D sky130_fd_sc_hd__a31o_1 -*CAP -1 *28069:D 0.0013767 -2 *25493:X 0.0013767 -3 *28069:D *25493:A1 0.000135028 -4 *28069:D *25493:A2 8.43535e-06 -5 *28069:D *25493:B1 9.0145e-05 -6 *28069:D *28097:CLK 0.000201843 -7 *28069:D *28097:D 5.49544e-05 -8 *28069:D *3561:93 6.26276e-05 -9 *28069:D *3561:95 0.000235329 -10 *28069:D *5007:10 1.27301e-05 -*RES -1 *25493:X *28069:D 36.5286 -*END - -*D_NET *422 0.00106168 -*CONN -*I *28070:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *25490:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28070:D 0.000290791 -2 *25490:X 0.000290791 -3 *28070:D *26155:C1 0 -4 *28070:D *28070:CLK 0.000167678 -5 *28070:D *28071:D 0.000149638 -6 *28070:D *1880:18 0.000105347 -7 *28070:D *1935:193 0 -8 *28070:RESET_B *28070:D 5.74309e-05 -*RES -1 *25490:X *28070:D 34.975 -*END - -*D_NET *423 0.0022577 -*CONN -*I *28071:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *25489:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28071:D 0.000448257 -2 *25489:X 0.000448257 -3 *28071:D *25489:A0 6.05161e-06 -4 *28071:D *28071:CLK 7.22686e-05 -5 *28071:D *29520:A 8.21873e-05 -6 *28071:D *1880:18 0.000360974 -7 *28071:D *5290:10 2.11336e-05 -8 *28070:D *28071:D 0.000149638 -9 *28070:RESET_B *28071:D 0.000107916 -10 *28071:RESET_B *28071:D 0.000561017 -*RES -1 *25489:X *28071:D 39.5107 -*END - -*D_NET *424 0.000416599 -*CONN -*I *28072:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *25488:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28072:D 0.00011617 -2 *25488:X 0.00011617 -3 *28072:D *25488:A0 9.71197e-05 -4 *28072:D *1816:8 3.53073e-05 -5 *28072:D *5779:181 5.18319e-05 -*RES -1 *25488:X *28072:D 29.8857 -*END - -*D_NET *425 0.00126906 -*CONN -*I *28073:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *25487:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28073:D 0.000394494 -2 *25487:X 0.000394494 -3 *28073:D *25591:S 0.00027032 -4 *28073:D *25595:A0 0.000104974 -5 *28073:D *1771:76 0.000100965 -6 *28073:D *1817:16 3.81416e-06 -7 *28073:D *5672:8 0 -8 *28073:D *5836:324 0 -*RES -1 *25487:X *28073:D 33.7652 -*END - -*D_NET *426 0.000676998 -*CONN -*I *28074:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *25486:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28074:D 0.000143726 -2 *25486:X 0.000143726 -3 *28074:D *25597:A0 0.000126409 -4 *28074:D *3567:84 0.000136727 -5 *28074:D *5284:8 0.000126409 -*RES -1 *25486:X *28074:D 30.6 -*END - -*D_NET *427 0.00399705 -*CONN -*I *29524:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25485:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29524:A 0.000713709 -2 *25485:X 0.000713709 -3 *29524:A *25484:A1 1.15281e-05 -4 *29524:A *25484:S 0.000555866 -5 *29524:A *25605:A0 7.03132e-05 -6 *29524:A *29490:A 0.000650934 -7 *29524:A *1174:68 0.000709845 -8 *29524:A *1771:95 0.000265453 -9 *29524:A *3535:13 0.000206585 -10 *29524:A *5836:312 9.91086e-05 -*RES -1 *25485:X *29524:A 48.4571 -*END - -*D_NET *428 0.00305978 -*CONN -*I *29490:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25484:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29490:A 0.00069434 -2 *25484:X 0.00069434 -3 *29490:A *25601:A0 2.47093e-05 -4 *29490:A *25605:A0 2.13481e-06 -5 *29490:A *28076:D 0.000312222 -6 *29490:A *3535:13 0.000150618 -7 *29490:A *4182:8 7.83587e-05 -8 *29490:A *5836:312 0.000137983 -9 *28076:RESET_B *29490:A 0.000314139 -10 *29524:A *29490:A 0.000650934 -*RES -1 *25484:X *29490:A 40.475 -*END - -*D_NET *429 0.00495708 -*CONN -*I *28077:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *25483:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *28077:D 0 -2 *25483:X 0.00118366 -3 *429:31 0.00118366 -4 *429:31 *25483:B1 8.38835e-05 -5 *429:31 *28077:CLK 8.55871e-05 -6 *429:31 *29467:A 0.000534525 -7 *429:31 *623:22 7.809e-05 -8 *429:31 *1745:16 7.83699e-05 -9 *429:31 *1752:15 0.000164787 -10 *429:31 *1766:24 1.92492e-05 -11 *429:31 *3558:71 0 -12 *429:31 *3558:76 0 -13 *429:31 *4166:7 5.21937e-05 -14 *429:31 *4167:14 0.000590482 -15 *429:31 *5317:19 0 -16 *429:31 *5343:14 0.000678135 -17 *429:31 *5348:17 4.55258e-05 -18 *429:31 *5558:17 0.000178925 -*RES -1 *25483:X *429:31 47.8179 -2 *429:31 *28077:D 9.3 -*END - -*D_NET *430 0.00512634 -*CONN -*I *28078:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *25481:Y O *D sky130_fd_sc_hd__xnor2_1 -*CAP -1 *28078:D 0 -2 *25481:Y 0.000947078 -3 *430:9 0.000947078 -4 *430:9 *25433:D 0.000234009 -5 *430:9 *25515:B2 0.000315235 -6 *430:9 *29560:A 0.000363501 -7 *430:9 *29578:A 0.000498081 -8 *430:9 *1770:42 3.53525e-05 -9 *430:9 *1770:67 0.00012401 -10 *430:9 *4166:10 0.000173915 -11 *430:9 *4168:20 0 -12 *430:9 *5412:27 0.000300687 -13 *430:9 *5467:8 0.000349877 -14 *430:9 *6114:39 0.000305726 -15 wb_ack_o *430:9 0.000127446 -16 wb_dat_o[0] *430:9 0.000131092 -17 *28078:RESET_B *430:9 0.000273255 -*RES -1 *25481:Y *430:9 46.8536 -2 *430:9 *28078:D 9.3 -*END - -*D_NET *431 0.00287594 -*CONN -*I *29547:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25479:X O *D sky130_fd_sc_hd__o22a_1 -*CAP -1 *29547:A 0.000766207 -2 *25479:X 0.000766207 -3 *29547:A *25469:A1 0.000172647 -4 *29547:A *29550:A 0.000342302 -5 *29547:A *4192:128 0.000120342 -6 *29547:A *5316:23 0.000589407 -7 *29547:A *6158:28 0.000118824 -*RES -1 *25479:X *29547:A 39.7607 -*END - -*D_NET *432 0.0015122 -*CONN -*I *28080:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *25471:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28080:D 0.000447535 -2 *25471:X 0.000447535 -3 *28080:D *25466:A1 0.000151398 -4 *28080:D *25466:A2 7.20217e-06 -5 *28080:D *25466:B1 2.84026e-05 -6 *28080:D *4190:13 4.85033e-05 -7 *28080:D *5253:21 0.000295976 -8 *28080:D *5836:150 3.08382e-06 -9 *28080:RESET_B *28080:D 8.25613e-05 -*RES -1 *25471:X *28080:D 27.7786 -*END - -*D_NET *433 0.00195743 -*CONN -*I *28081:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *25469:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28081:D 0.000715409 -2 *25469:X 0.000715409 -3 *28081:D *28081:CLK 8.86129e-06 -4 *28081:D *29550:A 2.40584e-05 -5 *28081:D *3558:76 9.69399e-05 -6 *28081:D *4163:93 0.000388655 -7 *28081:RESET_B *28081:D 8.09442e-06 -*RES -1 *25469:X *28081:D 27.408 -*END - -*D_NET *434 0.00950477 -*CONN -*I *28082:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *25467:Y O *D sky130_fd_sc_hd__a211oi_1 -*CAP -1 *28082:D 0 -2 *25467:Y 3.75815e-05 -3 *434:13 0.00377831 -4 *434:8 0.00381589 -5 *434:8 *1872:31 7.40571e-05 -6 *434:8 *3831:83 7.5779e-05 -7 *434:13 *6538:DIODE 0.000161024 -8 *434:13 *24814:A 2.61714e-05 -9 *434:13 *25460:A 3.00623e-05 -10 *434:13 *25460:B 0.000505757 -11 *434:13 *25460:C 4.58194e-05 -12 *434:13 *28164:CLK 3.04941e-05 -13 *434:13 *28164:D 8.55871e-05 -14 *434:13 *28164:RESET_B 9.51514e-06 -15 *434:13 *28237:D 0.000110029 -16 *434:13 *28237:RESET_B 2.59355e-05 -17 *434:13 *1173:11 0.000135028 -18 *434:13 *1202:43 0.000107946 -19 *434:13 *1766:33 0.000106584 -20 *434:13 *3184:186 1.33343e-05 -21 *434:13 *3184:202 6.24874e-05 -22 *434:13 *3561:170 0.000142395 -23 *434:13 *4189:7 2.89016e-05 -24 *434:13 *5614:114 7.1271e-05 -25 *434:13 *5740:162 2.4816e-05 -*RES -1 *25467:Y *434:8 19.3357 -2 *434:8 *434:13 46.1607 -3 *434:13 *28082:D 9.3 -*END - -*D_NET *435 0.00247305 -*CONN -*I *28083:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *25465:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *28083:D 0.000513331 -2 *25465:X 0.000513331 -3 *28083:D *25465:A1 0.00043988 -4 *28083:D *5947:100 0.000348406 -5 *28096:RESET_B *28083:D 0.000658103 -*RES -1 *25465:X *28083:D 39.6357 -*END - -*D_NET *436 0.00107766 -*CONN -*I *28084:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *25464:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28084:D 0.00041531 -2 *25464:X 0.00041531 -3 *28084:D *25465:A2 2.8266e-06 -4 *28084:D *25730:A1 6.65062e-05 -5 *28084:D *28199:RESET_B 0.000116676 -6 *28084:D *1323:24 0 -7 *28084:D *4183:78 5.52238e-05 -8 *28084:D *4184:8 0 -9 *28084:D *5732:138 5.80706e-06 -*RES -1 *25464:X *28084:D 34.0821 -*END - -*D_NET *437 0.00939499 -*CONN -*I *28085:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *25461:X O *D sky130_fd_sc_hd__a31o_1 -*CAP -1 *28085:D 0.000348803 -2 *25461:X 0.00172905 -3 *437:23 0.00207785 -4 *28085:D *29485:A 6.57032e-05 -5 *28085:D *30305:A 0.000292874 -6 *28085:D *4560:14 6.24743e-05 -7 *437:23 *25461:A2 0.000770854 -8 *437:23 *25638:A0 3.0166e-05 -9 *437:23 *25638:A1 0.000110513 -10 *437:23 *25638:S 0.000301438 -11 *437:23 *25825:A0 0.000233543 -12 *437:23 *25825:S 0.000213196 -13 *437:23 *28163:CLK 6.3429e-05 -14 *437:23 *28163:D 7.02611e-05 -15 *437:23 *30305:A 0.000365689 -16 *437:23 *1766:33 0.00134899 -17 *437:23 *3896:8 0.000135028 -18 *437:23 *4185:7 0.000301438 -19 *437:23 *4185:30 0.000584528 -20 *437:23 *4560:14 2.13481e-06 -21 *437:23 *5614:114 0.000155764 -22 *437:23 *5742:102 2.22618e-05 -23 *28085:RESET_B *28085:D 7.78792e-05 -24 *28085:RESET_B *437:23 3.11255e-05 -*RES -1 *25461:X *437:23 49.2375 -2 *437:23 *28085:D 16.2018 -*END - -*D_NET *438 0.000367138 -*CONN -*I *28086:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *25459:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28086:D 8.89972e-05 -2 *25459:X 8.89972e-05 -3 *28086:D *4163:93 5.71472e-05 -4 *28086:D *4164:153 9.25014e-06 -5 *28086:D *4165:122 1.01581e-05 -6 *28086:D *5836:162 3.25078e-05 -7 *418:8 *28086:D 8.00806e-05 -*RES -1 *25459:X *28086:D 29.3813 -*END - -*D_NET *439 0.00055121 -*CONN -*I *28087:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *25457:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28087:D 0.000151946 -2 *25457:X 0.000151946 -3 *28087:D *1730:87 0.000102545 -4 *28087:D *4158:46 0.000144773 -5 *414:22 *28087:D 0 -*RES -1 *25457:X *28087:D 30.2964 -*END - -*D_NET *440 0.00655718 -*CONN -*I *28088:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *25455:Y O *D sky130_fd_sc_hd__xnor2_1 -*CAP -1 *28088:D 0.000639721 -2 *25455:Y 0.00110082 -3 *440:29 0.00174055 -4 *28088:D *28043:A 1.24368e-05 -5 *28088:D *1173:130 1.01912e-05 -6 *28088:D *1324:113 6.05161e-06 -7 *28088:D *1744:25 8.22793e-06 -8 *28088:D *1771:29 2.09897e-05 -9 *28088:D *1871:94 4.87953e-05 -10 *28088:D *4156:22 0.000347487 -11 *28088:D *4189:41 1.90936e-05 -12 *440:29 *25432:B 0.000155551 -13 *440:29 *25449:A1 0 -14 *440:29 *25450:A2 0 -15 *440:29 *28282:D 0.000457438 -16 *440:29 *28308:RESET_B 4.60547e-05 -17 *440:29 *29568:A 5.33005e-05 -18 *440:29 *29658:A 9.41642e-05 -19 *440:29 *29909:A 0.000147034 -20 *440:29 *1173:130 0.000413251 -21 *440:29 *1287:14 0.000551712 -22 *440:29 *1427:161 2.72128e-05 -23 *440:29 *1759:11 7.32272e-05 -24 *440:29 *4156:60 0.00011069 -25 *440:29 *5742:234 8.60328e-05 -26 *440:29 *5797:11 0 -27 *28088:RESET_B *28088:D 0.000387143 -*RES -1 *25455:Y *440:29 47.6523 -2 *440:29 *28088:D 34.5643 -*END - -*D_NET *441 0.00205583 -*CONN -*I *28089:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *25452:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28089:D 0.000506874 -2 *25452:X 0.000506874 -3 *28089:D *25794:S 0.000482149 -4 *28089:D *3564:19 0.000120246 -5 *28089:D *4158:46 0.000439685 -6 *414:22 *28089:D 0 -*RES -1 *25452:X *28089:D 38.0286 -*END - -*D_NET *442 0.000587971 -*CONN -*I *28090:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *25449:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28090:D 9.43292e-05 -2 *25449:X 9.43292e-05 -3 *28090:D *1173:130 9.78027e-05 -4 *28090:D *1750:15 9.78027e-05 -5 *28090:D *1829:25 6.86792e-05 -6 *28090:RESET_B *28090:D 0.000135028 -*RES -1 *25449:X *28090:D 30.2964 -*END - -*D_NET *443 0.00142891 -*CONN -*I *28091:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *25446:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28091:D 0.000437396 -2 *25446:X 0.000437396 -3 *28091:D *25446:A1 1.39726e-05 -4 *28091:D *5248:11 0.000277091 -5 *28091:D *5575:30 9.97612e-05 -6 *28091:D *5728:27 0.000163297 -*RES -1 *25446:X *28091:D 33.9929 -*END - -*D_NET *444 0.00113984 -*CONN -*I *28092:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *25443:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28092:D 0.000420061 -2 *25443:X 0.000420061 -3 *28092:D *4160:15 7.83659e-05 -4 *28092:D *5242:18 6.86693e-05 -5 *28092:D *5575:30 0.000124473 -6 *28092:D *5742:193 2.82057e-05 -*RES -1 *25443:X *28092:D 33.475 -*END - -*D_NET *445 0.00125561 -*CONN -*I *28093:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *25439:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28093:D 0.00033884 -2 *25439:X 0.00033884 -3 *28093:D *25443:A1 7.83659e-05 -4 *28093:D *1742:12 1.3142e-05 -5 *28093:D *1746:17 0.000103253 -6 *28094:RESET_B *28093:D 0.000383166 -*RES -1 *25439:X *28093:D 32.7607 -*END - -*D_NET *446 0.0014269 -*CONN -*I *28094:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *25436:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *28094:D 0.000327998 -2 *25436:Y 0.000327998 -3 *28094:D *1202:17 0.000308868 -4 *28094:D *1742:12 9.25014e-06 -5 *28094:D *1742:37 0.000116481 -6 *28094:D *1752:19 0.000125731 -7 *28094:D *4162:12 0.000164802 -8 *28094:RESET_B *28094:D 4.57695e-05 -*RES -1 *25436:Y *28094:D 35.5286 -*END - -*D_NET *447 0.000535014 -*CONN -*I *28095:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *25425:X O *D sky130_fd_sc_hd__xor2_1 -*CAP -1 *28095:D 0.000123251 -2 *25425:X 0.000123251 -3 *28095:D *28095:CLK 6.61173e-05 -4 *28097:RESET_B *28095:D 0.000125724 -5 *388:10 *28095:D 9.66697e-05 -*RES -1 *25425:X *28095:D 31.0107 -*END - -*D_NET *448 0.00470947 -*CONN -*I *28096:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *25424:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *28096:D 0 -2 *25424:Y 0.000893183 -3 *448:11 0.000893183 -4 *448:11 *25424:A 9.60875e-05 -5 *448:11 *25427:B 0.000510669 -6 *448:11 *25462:A 5.71472e-05 -7 *448:11 *25462:B 5.33005e-05 -8 *448:11 *25492:B 0.000565152 -9 *448:11 *25546:S 0.000302796 -10 *448:11 *28096:CLK 6.81786e-05 -11 *448:11 *1736:7 1.21289e-05 -12 *448:11 *1778:25 6.06291e-05 -13 *448:11 *4164:29 0.000126487 -14 *448:11 *4213:15 0.000545413 -15 *448:11 *6158:28 7.47029e-06 -16 *448:11 *6236:8 3.69047e-06 -17 *28082:RESET_B *448:11 0.00016273 -18 *30754:A *448:11 5.52302e-05 -19 *385:18 *448:11 0.000295996 -*RES -1 *25424:Y *448:11 44.4786 -2 *448:11 *28096:D 9.3 -*END - -*D_NET *449 0.00201878 -*CONN -*I *28097:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *25422:X O *D sky130_fd_sc_hd__xor2_1 -*CAP -1 *28097:D 0.000586681 -2 *25422:X 0.000586681 -3 *28097:D *25546:S 0.000175892 -4 *28097:D *28097:CLK 2.84109e-05 -5 *28097:D *5728:88 0.000177321 -6 *28097:D *5739:37 0.000116903 -7 *28097:D *6158:28 4.15183e-05 -8 *28097:D *6169:144 0 -9 *28069:D *28097:D 5.49544e-05 -10 *28079:RESET_B *28097:D 0.000205753 -11 *407:10 *28097:D 4.46618e-05 -*RES -1 *25422:X *28097:D 40.5454 -*END - -*D_NET *450 0.00706246 -*CONN -*I *29382:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25592:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29382:A 0.000199141 -2 *25592:X 0.00105065 -3 *450:10 0.00124979 -4 *29382:A *28394:RESET_B 0.000380955 -5 *29382:A *1263:88 0.000174228 -6 *29382:A *5041:23 5.83242e-05 -7 *29382:A *5154:10 8.55871e-05 -8 *450:10 *25406:A2 0.000347859 -9 *450:10 *25592:A1 8.44271e-06 -10 *450:10 *27593:A2 3.49869e-05 -11 *450:10 *28390:D 4.19535e-05 -12 *450:10 *29381:A 0.00071581 -13 *450:10 *30068:A 1.46548e-05 -14 *450:10 *723:24 0.000125304 -15 *450:10 *1498:49 0.000351483 -16 *450:10 *1824:38 0.000109551 -17 *450:10 *5010:18 9.58897e-05 -18 *450:10 *5153:8 0.00176452 -19 *450:10 *5501:9 2.07446e-05 -20 *450:10 *5691:190 0.000232584 -*RES -1 *25592:X *450:10 46.7286 -2 *450:10 *29382:A 14.7643 -*END - -*D_NET *451 0.00728606 -*CONN -*I *29269:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25594:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29269:A 0.000249028 -2 *25594:X 0.00122001 -3 *451:12 0.00146904 -4 *29269:A *25404:A1 4.09076e-05 -5 *29269:A *25404:A2 5.57613e-05 -6 *29269:A *25405:D 0.000160273 -7 *29269:A *1624:43 0.000101895 -8 *451:12 *25404:B1 0.000201905 -9 *451:12 *25594:A0 0.00090836 -10 *451:12 *25598:A1 0.00014285 -11 *451:12 *25941:A0 0.000147121 -12 *451:12 *29536:A 0.000387899 -13 *451:12 *1263:88 8.58829e-05 -14 *451:12 *1408:103 0.000405096 -15 *451:12 *1471:12 8.41284e-06 -16 *451:12 *4001:8 0.000169797 -17 *451:12 *5154:10 0.000299719 -18 *451:12 *5527:10 0.00077345 -19 *451:12 *5711:86 0.00039403 -20 *451:12 *6346:15 6.46173e-05 -*RES -1 *25594:X *451:12 46.6036 -2 *451:12 *29269:A 18.8893 -*END - -*D_NET *452 0.00372118 -*CONN -*I *29183:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25596:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29183:A 0.000656855 -2 *25596:X 0.000656855 -3 *29183:A *25600:A1 7.69776e-06 -4 *29183:A *28100:D 0.000171594 -5 *29183:A *28113:CLK 5.66971e-05 -6 *29183:A *30017:A 0.00103643 -7 *29183:A *3648:22 6.015e-05 -8 *29183:A *3648:24 1.50179e-05 -9 *29183:A *3648:91 1.69115e-05 -10 *29183:A *4311:12 0.00100537 -11 *29183:A *5675:244 3.75959e-05 -*RES -1 *25596:X *29183:A 46.7786 -*END - -*D_NET *453 0.00434332 -*CONN -*I *30068:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25598:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30068:A 0.00107624 -2 *25598:X 0.00107624 -3 *30068:A *25406:A2 0.000105192 -4 *30068:A *25594:A0 0.000613626 -5 *30068:A *25594:S 2.89016e-05 -6 *30068:A *27593:A2 0.000245351 -7 *30068:A *28098:CLK 0.000169546 -8 *30068:A *29381:A 0.000146644 -9 *30068:A *1815:51 0.000132388 -10 *30068:A *5010:18 0.000242289 -11 *30068:A *5518:62 9.67517e-05 -12 *30068:A *5680:308 0.000277652 -13 *30068:A *5691:190 9.68479e-05 -14 *30068:A *5698:179 2.09897e-05 -15 *450:10 *30068:A 1.46548e-05 -*RES -1 *25598:X *30068:A 49.6893 -*END - -*D_NET *454 0.003901 -*CONN -*I *30017:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25600:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30017:A 0.00060222 -2 *25600:X 0.00060222 -3 *30017:A *3568:99 0.000402616 -4 *30017:A *3568:110 0.000483374 -5 *30017:A *3648:20 0.000153443 -6 *30017:A *3648:22 4.13122e-06 -7 *30017:A *3648:91 0 -8 *30017:A *3820:25 0.000266486 -9 *30017:A *5354:17 8.359e-05 -10 *30017:A *5624:132 0.000266486 -11 *29183:A *30017:A 0.00103643 -*RES -1 *25600:X *30017:A 46.4036 -*END - -*D_NET *455 0.00702502 -*CONN -*I *29562:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25602:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29562:A 0.00129366 -2 *25602:X 0.00129366 -3 *29562:A *25216:A2 5.50052e-05 -4 *29562:A *25604:A0 0.000806351 -5 *29562:A *26825:S 2.12733e-05 -6 *29562:A *762:14 8.6229e-06 -7 *29562:A *1245:76 0.000149989 -8 *29562:A *1245:92 4.34677e-05 -9 *29562:A *1254:14 0.00188497 -10 *29562:A *1815:19 0.000137639 -11 *29562:A *1815:34 0.000224575 -12 *29562:A *5707:222 0.000175212 -13 *29562:A *5745:61 6.25524e-05 -14 *29562:A *5746:21 0 -15 *29562:A *6142:14 0.000677141 -16 *29562:A *6385:32 7.92328e-05 -17 *30662:A *29562:A 0.000100165 -18 *282:15 *29562:A 1.15058e-05 -*RES -1 *25602:X *29562:A 46.196 -*END - -*D_NET *456 0.0110825 -*CONN -*I *30499:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25604:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30499:A 0 -2 *25604:X 0.00188254 -3 *456:17 0.00188254 -4 *456:17 *24819:A 0.00167063 -5 *456:17 *26825:S 6.05161e-06 -6 *456:17 *775:23 0.000136676 -7 *456:17 *1815:19 4.04683e-05 -8 *456:17 *3573:46 0.000129696 -9 *456:17 *3875:35 0.00122623 -10 *456:17 *4742:17 0.000144494 -11 *456:17 *4881:17 0 -12 *456:17 *5504:49 1.10568e-05 -13 *456:17 *5512:29 0.00175223 -14 *456:17 *5609:33 0.000332614 -15 *456:17 *5636:10 0.000381106 -16 *456:17 *5746:97 0.000135028 -17 *456:17 *5757:21 0.000924975 -18 *456:17 *5945:18 3.4323e-06 -19 *456:17 *6008:26 0.000235532 -20 *456:17 *6314:11 0.000187193 -*RES -1 *25604:X *456:17 40.9355 -2 *456:17 *30499:A 9.3 -*END - -*D_NET *457 0.00991886 -*CONN -*I *30287:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25606:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30287:A 0.000849016 -2 *25606:X 0.00147801 -3 *457:17 0.00232703 -4 *30287:A *26839:A0 8.6229e-06 -5 *30287:A *27075:A0 2.61321e-05 -6 *30287:A *29112:A 0.000816135 -7 *30287:A *29706:A 0.000230482 -8 *30287:A *1178:10 0 -9 *30287:A *3847:14 6.53083e-05 -10 *30287:A *3847:25 0.00031432 -11 *30287:A *4528:14 0.00119276 -12 *30287:A *4529:15 0.000134617 -13 *30287:A *6008:26 0.000188586 -14 *457:17 *25606:A1 2.48326e-05 -15 *457:17 *25606:S 3.10819e-05 -16 *457:17 *27095:A0 0.00146275 -17 *457:17 *28103:D 5.52238e-05 -18 *457:17 *995:25 9.80173e-05 -19 *457:17 *4881:17 1.37595e-05 -20 *457:17 *5680:278 0.000316103 -21 *457:17 *5945:18 0.000148711 -22 *30685:A *30287:A 5.68461e-05 -23 *244:50 *30287:A 8.05184e-05 -*RES -1 *25606:X *457:17 46.8179 -2 *457:17 *30287:A 37.5679 -*END - -*D_NET *458 0.00681386 -*CONN -*I *29397:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25608:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29397:A 0.000104267 -2 *25608:X 0.00115575 -3 *458:10 0.00126002 -4 *29397:A *5167:14 0.000339346 -5 *29397:A *5522:52 0.00034188 -6 *458:10 *25355:A 3.84377e-05 -7 *458:10 *25937:A1 0.000647417 -8 *458:10 *25940:A0 0.000261485 -9 *458:10 *27928:B2 0.000342347 -10 *458:10 *28809:CLK 5.41794e-05 -11 *458:10 *28812:CLK 0.000345842 -12 *458:10 *29394:A 0.000266863 -13 *458:10 *1140:10 0.000315892 -14 *458:10 *1713:26 0.000218616 -15 *458:10 *1898:17 0 -16 *458:10 *3571:101 0.000173804 -17 *458:10 *3571:110 0.000217583 -18 *458:10 *4000:8 0.000467584 -19 *458:10 *4000:17 0.000205676 -20 *458:10 *5166:23 5.68722e-05 -*RES -1 *25608:X *458:10 47.1929 -2 *458:10 *29397:A 12.7107 -*END - -*D_NET *459 0.0102917 -*CONN -*I *29266:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25609:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29266:A 0.00016826 -2 *25609:X 2.62605e-05 -3 *459:10 0.00175471 -4 *459:7 0.00161271 -5 *29266:A *5167:14 0.000135028 -6 *29266:A *5522:52 0.000641147 -7 *29266:A *5619:31 0.000424927 -8 *29266:A *5619:39 3.98559e-05 -9 *459:7 *5523:68 5.52302e-05 -10 *459:10 *25180:B2 0.000166183 -11 *459:10 *25609:S 0.000233136 -12 *459:10 *30314:A 0.000394552 -13 *459:10 *30958:A 8.66018e-05 -14 *459:10 *1294:77 2.02841e-05 -15 *459:10 *1498:34 0.00013355 -16 *459:10 *1624:55 9.37282e-05 -17 *459:10 *3459:8 0.000141864 -18 *459:10 *4265:8 0.00231079 -19 *459:10 *5500:41 0.00127961 -20 *459:10 *5500:55 1.74932e-05 -21 *459:10 *5524:14 2.06178e-05 -22 *459:10 *5666:231 0.000162607 -23 *459:10 *5711:112 7.55747e-05 -24 *459:10 *5737:10 0 -25 *459:10 *6346:15 2.04825e-05 -26 pll_trim[10] *459:10 0.000276545 -*RES -1 *25609:X *459:7 14.3357 -2 *459:7 *459:10 48.6429 -3 *459:10 *29266:A 15.5857 -*END - -*D_NET *460 0.0219105 -*CONN -*I *29241:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25610:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29241:A 0.00029038 -2 *25610:X 0.00196669 -3 *460:33 0.00332621 -4 *460:11 0.00500252 -5 *29241:A *25760:S 4.62147e-05 -6 *29241:A *25763:S 0.000145689 -7 *29241:A *28369:D 0.000769987 -8 *29241:A *4950:25 0.000368247 -9 *29241:A *5665:127 6.24451e-05 -10 *460:11 *25608:S 1.98839e-05 -11 *460:11 *27869:B2 0.00123896 -12 *460:11 *28380:RESET_B 4.02038e-05 -13 *460:11 *472:8 0.000345333 -14 *460:11 *714:23 5.52238e-05 -15 *460:11 *1264:41 0.000353382 -16 *460:11 *1824:42 5.49894e-05 -17 *460:11 *5523:36 0 -18 *460:11 *5680:343 0 -19 *460:11 *5711:112 0.000762724 -20 *460:11 *5734:178 6.86693e-05 -21 *460:11 *6055:23 5.06576e-05 -22 *460:33 *25400:B2 2.51132e-05 -23 *460:33 *25617:A0 0.000327174 -24 *460:33 *25661:A1 2.14658e-05 -25 *460:33 *25661:S 0.000139913 -26 *460:33 *26822:S 0.000641578 -27 *460:33 *27869:B1 0.000327707 -28 *460:33 *27918:B1_N 0.000111348 -29 *460:33 *28369:D 0.000649062 -30 *460:33 *28369:RESET_B 7.02611e-05 -31 *460:33 *29193:A 1.07402e-05 -32 *460:33 *699:22 0 -33 *460:33 *759:16 0 -34 *460:33 *1327:116 0.000449499 -35 *460:33 *1430:165 0 -36 *460:33 *1671:24 0.000614185 -37 *460:33 *1715:18 0.000104768 -38 *460:33 *3145:32 1.00681e-05 -39 *460:33 *3650:85 0.000120159 -40 *460:33 *3652:136 0.000238994 -41 *460:33 *3792:33 0.00131179 -42 *460:33 *3793:25 5.48795e-05 -43 *460:33 *3802:11 1.71799e-05 -44 *460:33 *3893:52 0.000133184 -45 *460:33 *4257:15 0.000105924 -46 *460:33 *4950:25 0.000201057 -47 *460:33 *5011:12 5.67795e-05 -48 *460:33 *5200:25 0.000229458 -49 *460:33 *5685:142 0.000293428 -50 *460:33 *5685:151 0.000181097 -51 *460:33 *5705:122 0.000329811 -52 *460:33 *6332:39 0.000165414 -*RES -1 *25610:X *460:11 48.1571 -2 *460:11 *460:33 43.64 -3 *460:33 *29241:A 27.7643 -*END - -*D_NET *461 0.0106337 -*CONN -*I *30138:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25611:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30138:A 0.000367825 -2 *25611:X 0.00177484 -3 *461:19 0.00214267 -4 *30138:A *25121:A1 9.0145e-05 -5 *30138:A *27853:A1 0.000302287 -6 *30138:A *27853:A2 1.94945e-05 -7 *30138:A *27853:B2 0.000318393 -8 *30138:A *1395:61 0.000178847 -9 *30138:A *3397:8 0.000307543 -10 *30138:A *3663:42 0.000183614 -11 *30138:A *4371:19 0 -12 *461:19 *25400:B2 4.4316e-05 -13 *461:19 *27893:A1 0.000540249 -14 *461:19 *27968:B1 5.16399e-06 -15 *461:19 *462:17 7.33772e-05 -16 *461:19 *1294:64 5.21882e-05 -17 *461:19 *1452:27 0.0011392 -18 *461:19 *4263:9 0.00079335 -19 *461:19 *4265:8 0.000124949 -20 *461:19 *5074:15 0.000304006 -21 *461:19 *5166:23 0.000181705 -22 *461:19 *5279:29 0.00134866 -23 *461:19 *5430:12 0.000200994 -24 *461:19 *5711:112 0.0001399 -*RES -1 *25611:X *461:19 44.0296 -2 *461:19 *30138:A 24.9518 -*END - -*D_NET *462 0.00737471 -*CONN -*I *30021:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25612:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30021:A 0.000522768 -2 *25612:X 0.00130734 -3 *462:17 0.00183011 -4 *30021:A *25398:B1 0.00018688 -5 *30021:A *30020:A 0.000119636 -6 *30021:A *723:24 8.98342e-05 -7 *30021:A *1140:10 0.000903869 -8 *30021:A *3482:38 0.000219563 -9 *462:17 *25400:B2 6.30454e-05 -10 *462:17 *25937:A1 8.68686e-05 -11 *462:17 *27593:A2 0 -12 *462:17 *28809:D 0.000212353 -13 *462:17 *29394:A 0 -14 *462:17 *1294:64 0.000147652 -15 *462:17 *4381:19 1.83517e-05 -16 *462:17 *5166:23 0.000631409 -17 *462:17 *5279:29 6.58294e-06 -18 *462:17 *5421:10 0.000766641 -19 *462:17 *5520:48 3.84095e-05 -20 *462:17 *5524:23 0 -21 *462:17 *6332:39 0.00010586 -22 pll_trim[9] *462:17 4.41553e-05 -23 *461:19 *462:17 7.33772e-05 -*RES -1 *25612:X *462:17 41.8587 -2 *462:17 *30021:A 26.2196 -*END - -*D_NET *463 0.00230088 -*CONN -*I *29518:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25613:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29518:A 0.000679286 -2 *25613:X 0.000679286 -3 *29518:A *25596:A1 0 -4 *29518:A *30942:A 0.000121261 -5 *29518:A *3791:148 0.000346266 -6 *29518:A *4310:10 0 -7 *29518:A *5499:31 3.34672e-05 -8 *29518:A *5509:10 0.000441317 -*RES -1 *25613:X *29518:A 39.9393 -*END - -*D_NET *464 0.0030597 -*CONN -*I *29767:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25614:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29767:A 0.000744291 -2 *25614:X 0.000744291 -3 *29767:A *24968:B 0 -4 *29767:A *25260:B2 1.60861e-05 -5 *29767:A *25596:A0 0.000253251 -6 *29767:A *25614:S 5.71472e-05 -7 *29767:A *26824:A 2.07265e-05 -8 *29767:A *1278:18 2.06178e-05 -9 *29767:A *3412:62 7.14469e-05 -10 *29767:A *3875:35 0.000274304 -11 *29767:A *5483:135 0.00073567 -12 *29767:A *5518:62 0 -13 pll_trim[22] *29767:A 0.000121869 -*RES -1 *25614:X *29767:A 44.35 -*END - -*D_NET *465 0.00204244 -*CONN -*I *30004:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25615:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30004:A 0.000357556 -2 *25615:X 0.000357556 -3 *30004:A *30942:A 1.6806e-05 -4 *30004:A *1240:96 0.000291293 -5 *30004:A *1535:13 6.57032e-05 -6 *30004:A *1824:38 0.000271439 -7 *30004:A *3146:17 5.33433e-05 -8 *30004:A *5485:104 0.000265447 -9 *30004:A *5499:34 9.8285e-06 -10 *30004:A *5508:20 0.00016022 -11 *30004:A *5508:44 0.000192465 -12 *30004:A *5509:10 7.87026e-07 -*RES -1 *25615:X *30004:A 37.5821 -*END - -*D_NET *466 0.0232106 -*CONN -*I *29389:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25617:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29389:A 0.000773185 -2 *25617:X 0.00286148 -3 *466:15 0.00363466 -4 *29389:A *25354:B 0 -5 *29389:A *25559:A1 0 -6 *29389:A *26812:A1 5.52238e-05 -7 *29389:A *30094:A 8.6229e-06 -8 *29389:A *571:19 0.000498826 -9 *29389:A *768:29 0.000358025 -10 *29389:A *4183:24 0 -11 *29389:A *4316:25 3.47248e-05 -12 *29389:A *4900:26 4.11218e-05 -13 *29389:A *4977:22 0.000130976 -14 *29389:A *5488:34 5.33005e-05 -15 *29389:A *5498:34 2.35649e-05 -16 *29389:A *5733:48 1.26641e-05 -17 *466:15 *25617:A0 0.000289866 -18 *466:15 *26822:S 0.00011065 -19 *466:15 *27505:A2 4.78793e-05 -20 *466:15 *27527:A2 4.40015e-05 -21 *466:15 *29180:A 0.000923724 -22 *466:15 *571:19 9.37043e-05 -23 *466:15 *759:16 0.00709951 -24 *466:15 *1408:21 0.000131692 -25 *466:15 *1408:26 0.000183158 -26 *466:15 *3571:53 0 -27 *466:15 *3801:6 0 -28 *466:15 *3805:47 0.00316473 -29 *466:15 *4336:11 0.000591318 -30 *466:15 *4951:17 0.00204398 -*RES -1 *25617:X *466:15 40.1769 -2 *466:15 *29389:A 29.4875 -*END - -*D_NET *467 0.00610584 -*CONN -*I *29434:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25618:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29434:A 0.000168526 -2 *25618:X 0.00079818 -3 *467:18 0.000966706 -4 *29434:A *29279:A 0.000423839 -5 *29434:A *5203:27 6.89649e-05 -6 *29434:A *5485:62 0.000693947 -7 *467:18 *26814:A1 0.000399835 -8 *467:18 *26814:S 7.04471e-05 -9 *467:18 *28415:D 8.79856e-06 -10 *467:18 *29464:A 0.000169514 -11 *467:18 *30918:A 0.000181796 -12 *467:18 *30963:A 0.000317082 -13 *467:18 *701:29 0.000157864 -14 *467:18 *755:18 3.85222e-05 -15 *467:18 *2745:33 5.50052e-05 -16 *467:18 *4944:18 1.28443e-05 -17 *467:18 *5028:9 0.000905628 -18 *467:18 *5069:46 4.71798e-05 -19 *467:18 *5495:23 0.000354098 -20 *467:18 *5498:34 0 -21 *467:18 *5698:256 8.60609e-05 -22 *30732:A *467:18 0.000181001 -*RES -1 *25618:X *467:18 45.6036 -2 *467:18 *29434:A 20.925 -*END - -*D_NET *468 0.0100142 -*CONN -*I *29465:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25619:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29465:A 0.000695655 -2 *25619:X 0.00103386 -3 *468:20 0.00172952 -4 *29465:A *28415:D 0.000746382 -5 *29465:A *28418:D 0.00014285 -6 *29465:A *30055:A 2.98827e-05 -7 *29465:A *752:15 0.00116785 -8 *29465:A *755:42 9.25014e-06 -9 *29465:A *4183:28 3.27093e-05 -10 *29465:A *5496:40 0.000525375 -11 *468:20 *28418:D 4.19624e-06 -12 *468:20 *28426:D 0.000437756 -13 *468:20 *29057:A 8.24538e-05 -14 *468:20 *2745:8 4.6025e-06 -15 *468:20 *5489:6 7.14469e-05 -16 *244:20 *29465:A 0.00289579 -17 *244:20 *468:20 0.000404625 -*RES -1 *25619:X *468:20 42.3 -2 *468:20 *29465:A 47.8893 -*END - -*D_NET *469 0.0223205 -*CONN -*I *30066:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25620:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30066:A 0.000510551 -2 *25620:X 0.00145979 -3 *469:11 0.00514496 -4 *469:10 0.0060942 -5 *30066:A *29244:A 0.000284338 -6 *30066:A *29303:A 9.34543e-05 -7 *30066:A *4999:11 2.89016e-05 -8 *30066:A *5014:24 0 -9 *30066:A *5073:10 3.4323e-05 -10 *30066:A *5474:14 0.000151985 -11 *469:10 *25620:A0 0.000476744 -12 *469:10 *25621:A0 0.000353539 -13 *469:10 *25921:A1 0 -14 *469:10 *25921:S 2.1343e-05 -15 *469:10 *470:14 0.000110665 -16 *469:10 *3652:6 3.0193e-05 -17 *469:10 *3652:10 0.000205323 -18 *469:10 *5734:125 0.000388045 -19 *469:11 *4309:9 6.62898e-05 -20 *469:11 *5073:13 0 -21 *469:11 *5520:25 0.00659738 -22 pad_flash_clk_oeb *30066:A 0 -23 pad_flash_csb *30066:A 7.40992e-05 -24 pll_sel[0] *469:10 0 -25 *182:17 *469:10 0.000194358 -*RES -1 *25620:X *469:10 43.0143 -2 *469:10 *469:11 67.0714 -3 *469:11 *30066:A 28.8 -*END - -*D_NET *470 0.0192487 -*CONN -*I *30055:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25621:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30055:A 0.000789522 -2 *25621:X 0.000410652 -3 *470:15 0.00163509 -4 *470:14 0.00125622 -5 *30055:A *25572:A 0.000216937 -6 *30055:A *29279:A 0.000351476 -7 *30055:A *755:42 8.54954e-05 -8 *30055:A *4183:28 0 -9 *30055:A *4298:8 0.000313148 -10 *30055:A *4383:16 0.000109215 -11 *30055:A *5477:15 0 -12 *30055:A *5485:62 0.00033097 -13 *30055:A *5733:13 0.000355059 -14 *470:14 *1825:34 5.33005e-05 -15 *470:14 *3652:10 0.000193234 -16 *470:14 *5496:10 0.000293147 -17 *470:14 *5497:13 5.68312e-06 -18 *470:15 *4298:9 0.00608442 -19 *470:15 *4309:9 0.00608826 -20 pad_flash_io0_do *30055:A 1.00375e-05 -21 pad_flash_io0_ieb *30055:A 0 -22 pll_sel[2] *470:14 0.0003335 -23 *29465:A *30055:A 2.98827e-05 -24 *182:17 *470:14 0.000192772 -25 *469:10 *470:14 0.000110665 -*RES -1 *25621:X *470:14 28.7286 -2 *470:14 *470:15 57.625 -3 *470:15 *30055:A 36.4071 -*END - -*D_NET *471 0.00964048 -*CONN -*I *29440:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25622:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29440:A 0 -2 *25622:X 0.00025736 -3 *471:12 0.00136683 -4 *471:9 0.00162419 -5 *471:9 *25623:S 0.000132889 -6 *471:9 *5200:25 0.000259549 -7 *471:9 *5485:102 0.000180764 -8 *471:9 *5926:224 1.21258e-05 -9 *471:9 *5926:235 1.39726e-05 -10 *471:12 *28223:SET_B 1.53191e-05 -11 *471:12 *3650:10 4.45524e-05 -12 *471:12 *3650:91 5.19755e-05 -13 *471:12 *3662:8 0.000118986 -14 *471:12 *3662:19 0.00128237 -15 *471:12 *3792:75 0.00118304 -16 *471:12 *5200:10 0.000936468 -17 *471:12 *5200:25 0.000323901 -18 *471:12 *5320:8 9.18765e-06 -19 *471:12 *5488:79 0.000869332 -20 *471:12 *5520:48 0.000503827 -21 *471:12 *5599:171 2.52223e-05 -22 *471:12 *5699:147 7.1461e-05 -23 *471:12 *6324:17 0.000357157 -*RES -1 *25622:X *471:9 19.2821 -2 *471:9 *471:12 45.3036 -3 *471:12 *29440:A 9.3 -*END - -*D_NET *472 0.00706996 -*CONN -*I *29752:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25623:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29752:A 0 -2 *25623:X 0.00139559 -3 *472:8 0.00139559 -4 *472:8 *6548:DIODE 5.71472e-05 -5 *472:8 *25938:S 8.31737e-05 -6 *472:8 *28380:RESET_B 0.000195802 -7 *472:8 *29177:A 0.000390036 -8 *472:8 *29387:A 0.000392783 -9 *472:8 *29430:A 1.31516e-05 -10 *472:8 *29986:A 0.000330944 -11 *472:8 *1327:116 0.000305418 -12 *472:8 *3780:23 0.000401656 -13 *472:8 *4618:21 8.17344e-05 -14 *472:8 *5052:36 5.05056e-05 -15 *472:8 *5158:11 0.00124797 -16 *472:8 *5523:36 0 -17 *472:8 *5523:41 0 -18 *472:8 *5680:343 7.03062e-05 -19 *472:8 *5691:252 5.00841e-06 -20 *472:8 *5706:219 2.19302e-05 -21 *472:8 *5738:172 0.000170654 -22 *472:8 *6055:13 8.50458e-05 -23 *472:8 *6055:23 3.01909e-05 -24 *460:11 *472:8 0.000345333 -*RES -1 *25623:X *472:8 49.9786 -2 *472:8 *29752:A 13.8 -*END - -*D_NET *473 0.0147411 -*CONN -*I *30129:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25624:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30129:A 0 -2 *25624:X 0.000617757 -3 *473:29 0.00197817 -4 *473:13 0.00259593 -5 *473:13 *25624:A0 9.50184e-05 -6 *473:13 *27571:B1 5.33005e-05 -7 *473:13 *30957:A 0.000480549 -8 *473:13 *1825:58 0 -9 *473:13 *1895:13 4.3563e-05 -10 *473:13 *3125:10 0.000306317 -11 *473:13 *5494:49 0.00149286 -12 *473:13 *5778:261 0.000113073 -13 *473:13 *6334:39 9.58632e-05 -14 *473:13 *6385:56 0.000186379 -15 *473:13 *6385:70 5.81579e-05 -16 *473:29 *25398:B1 8.74121e-05 -17 *473:29 *27853:B1 9.60875e-05 -18 *473:29 *28106:D 0.00102968 -19 *473:29 *29394:A 4.88232e-05 -20 *473:29 *29430:A 0.00171299 -21 *473:29 *1140:10 0.000648768 -22 *473:29 *1264:41 0 -23 *473:29 *1457:35 0.000109173 -24 *473:29 *1713:26 8.77988e-05 -25 *473:29 *3460:21 9.01339e-05 -26 *473:29 *3482:38 3.94642e-05 -27 *473:29 *3661:31 0.000369758 -28 *473:29 *4372:19 0.000481993 -29 *473:29 *4617:23 0.000447916 -30 *473:29 *5149:22 0.000346305 -31 *473:29 *5166:23 1.09611e-05 -32 *473:29 *5520:48 1.88917e-05 -33 *473:29 *5521:73 0.000233496 -34 *473:29 *5599:158 0.000322254 -35 *473:29 *5680:320 0 -36 *473:29 *5738:172 4.87854e-05 -37 pll_trim[3] *473:13 0.00039343 -*RES -1 *25624:X *473:13 43.5321 -2 *473:13 *473:29 46.2124 -3 *473:29 *30129:A 9.3 -*END - -*D_NET *474 0.00252889 -*CONN -*I *29110:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25626:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29110:A 0 -2 *25626:X 0.000568588 -3 *474:15 0.000568588 -4 *474:15 *25626:S 7.02999e-05 -5 *474:15 *26825:A0 3.48023e-05 -6 *474:15 *26845:A 0.000140933 -7 *474:15 *28122:D 0.000159771 -8 *474:15 *1900:30 0.000676458 -9 *474:15 *4352:35 0.000140933 -10 *474:15 *5505:42 7.72916e-05 -11 *474:15 *6332:29 7.65658e-05 -12 *282:15 *474:15 0 -13 *282:19 *474:15 1.46624e-05 -*RES -1 *25626:X *474:15 40.8 -2 *474:15 *29110:A 9.3 -*END - -*D_NET *475 0.00129894 -*CONN -*I *30478:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25627:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30478:A 0.000173759 -2 *25627:X 0.000173759 -3 *30478:A *2894:269 5.33005e-05 -4 *30478:A *3538:16 0.000422412 -5 *30478:A *3690:63 5.33005e-05 -6 *30478:A *3822:32 0.000422412 -*RES -1 *25627:X *30478:A 33.725 -*END - -*D_NET *476 0.000849482 -*CONN -*I *29278:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25628:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29278:A 0.000226917 -2 *25628:X 0.000226917 -3 *29278:A *2788:97 0.000147024 -4 *29278:A *3206:304 9.83442e-05 -5 *29278:A *4002:18 0.000150281 -*RES -1 *25628:X *29278:A 32.6179 -*END - -*D_NET *477 0.00149726 -*CONN -*I *30026:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25629:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30026:A 0.000218644 -2 *25629:X 0.000218644 -3 *30026:A *5638:195 0.000551687 -4 *30026:A *5695:99 0.00050829 -*RES -1 *25629:X *30026:A 25.1 -*END - -*D_NET *478 0.00131644 -*CONN -*I *29667:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25630:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29667:A 0.000215978 -2 *25630:X 0.000215978 -3 *29667:A *25630:A0 0.000385089 -4 *29667:A *25630:A1 5.52238e-05 -5 *29667:A *27023:S 6.12434e-05 -6 *29667:A *1826:251 0.000260574 -7 *29667:A *2775:111 0.000122357 -*RES -1 *25630:X *29667:A 24.7964 -*END - -*D_NET *479 0.000878125 -*CONN -*I *29092:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25631:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29092:A 0.000120178 -2 *25631:X 0.000120178 -3 *29092:A *25055:A 0.000143756 -4 *29092:A *27788:C1 0.000175892 -5 *29092:A *4095:33 0.000175892 -6 *29092:A *5862:35 0.000142231 -*RES -1 *25631:X *29092:A 31.3143 -*END - -*D_NET *480 0.00188647 -*CONN -*I *30504:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25632:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30504:A 0.00034251 -2 *25632:X 0.00034251 -3 *30504:A *25632:S 2.44318e-05 -4 *30504:A *1490:82 3.06406e-05 -5 *30504:A *2776:10 0.000414755 -6 *30504:A *5583:178 0.000731626 -*RES -1 *25632:X *30504:A 36.6893 -*END - -*D_NET *481 0.00118403 -*CONN -*I *30300:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25633:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30300:A 0.000322907 -2 *25633:X 0.000322907 -3 *30300:A *25633:A0 6.69154e-05 -4 *30300:A *25633:S 0.000139331 -5 *30300:A *3982:9 0.000112203 -6 *30300:A *5492:10 0.000219764 -*RES -1 *25633:X *30300:A 34.6893 -*END - -*D_NET *482 0.00209631 -*CONN -*I *29393:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25635:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29393:A 0.000558626 -2 *25635:X 0.000558626 -3 *29393:A *25637:A0 0.000179189 -4 *29393:A *25637:S 0 -5 *29393:A *29190:A 0.000139913 -6 *29393:A *29225:A 0.000487473 -7 *29393:A *1868:21 0 -8 *29393:A *3856:13 4.43256e-05 -9 *29393:A *3866:11 0.000128161 -10 *29393:A *5705:22 0 -11 *29393:A *5732:68 0 -*RES -1 *25635:X *29393:A 39.2071 -*END - -*D_NET *483 0.00180438 -*CONN -*I *30519:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25636:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30519:A 0.000384783 -2 *25636:X 0.000384783 -3 *30519:A *28039:B 0.000188947 -4 *30519:A *28325:CLK 0.000188947 -5 *30519:A *28325:D 0 -6 *30519:A *28885:A 0 -7 *30519:A *1827:36 9.60875e-05 -8 *30519:A *3605:12 0.00016686 -9 *30519:A *5727:170 2.90164e-05 -10 *394:14 *30519:A 0.000364953 -*RES -1 *25636:X *30519:A 38.6893 -*END - -*D_NET *484 0.00323773 -*CONN -*I *29190:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25637:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29190:A 0.00108011 -2 *25637:X 0.00108011 -3 *29190:A *25547:A1 0.000124327 -4 *29190:A *28130:D 9.05288e-05 -5 *29190:A *28132:D 0.000184804 -6 *29190:A *29225:A 0.000259 -7 *29190:A *3856:13 1.98839e-05 -8 *29190:A *5732:68 0.000225616 -9 *29190:A *5732:82 3.34366e-05 -10 *29393:A *29190:A 0.000139913 -*RES -1 *25637:X *29190:A 48.7964 -*END - -*D_NET *485 0.00483094 -*CONN -*I *30305:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25638:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30305:A 0.00127684 -2 *25638:X 0.00127684 -3 *30305:A *25438:A3 7.02611e-05 -4 *30305:A *28168:D 0.000165243 -5 *30305:A *1194:37 0.000372567 -6 *30305:A *1738:92 0.00027348 -7 *30305:A *1745:38 0.000639131 -8 *30305:A *4160:38 8.66789e-05 -9 *30305:A *4196:18 1.13346e-05 -10 *28085:D *30305:A 0.000292874 -11 *437:23 *30305:A 0.000365689 -*RES -1 *25638:X *30305:A 49.8857 -*END - -*D_NET *486 0.00159985 -*CONN -*I *29892:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25639:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29892:A 0.000218824 -2 *25639:X 0.000218824 -3 *29892:A *24874:A_N 0.000320031 -4 *29892:A *24875:A1 1.24368e-05 -5 *29892:A *25453:A1 9.76689e-05 -6 *29892:A *28134:D 0.000139907 -7 *29892:A *1287:14 9.01355e-05 -8 *29892:A *3657:10 0.000502026 -*RES -1 *25639:X *29892:A 35.8679 -*END - -*D_NET *487 0.00259026 -*CONN -*I *29371:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25641:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29371:A 0.000526098 -2 *25641:X 0.000526098 -3 *29371:A *25641:A1 0.000344835 -4 *29371:A *25641:S 5.52302e-05 -5 *29371:A *25642:S 0.000207878 -6 *29371:A *30532:A 5.20232e-05 -7 *29371:A *2865:115 0.000283913 -8 *29371:A *3736:25 5.53178e-05 -9 *29371:A *3944:25 5.36e-05 -10 *29371:A *5386:11 5.73837e-05 -11 *29371:A *5712:92 0.000175892 -12 *29371:A *5909:76 0.000251987 -*RES -1 *25641:X *29371:A 45.1325 -*END - -*D_NET *488 0.00144172 -*CONN -*I *30532:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25642:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30532:A 0.000526599 -2 *25642:X 0.000526599 -3 *30532:A *25834:A 9.23063e-05 -4 *30532:A *28135:RESET_B 0.000159738 -5 *30532:A *1471:95 0 -6 *30532:A *2865:115 8.44516e-05 -7 *29371:A *30532:A 5.20232e-05 -*RES -1 *25642:X *30532:A 41.776 -*END - -*D_NET *489 0.000966706 -*CONN -*I *30448:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25643:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30448:A 0.000316215 -2 *25643:X 0.000316215 -3 *30448:A *28137:D 2.70899e-05 -4 *30448:A *2874:74 7.83587e-05 -5 *30448:A *3194:116 2.88157e-05 -6 *30448:A *4152:50 2.21972e-05 -7 *30448:A *5744:30 0.000177815 -*RES -1 *25643:X *30448:A 32.7964 -*END - -*D_NET *490 0.00133379 -*CONN -*I *30167:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25644:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30167:A 0.000275961 -2 *25644:X 0.000275961 -3 *30167:A *28138:D 9.3927e-05 -4 *30167:A *28139:CLK 0.000140707 -5 *30167:A *3558:17 4.95506e-05 -6 *30167:A *3637:59 2.31791e-05 -7 *30167:A *4127:84 0.000429512 -8 *30167:A *5728:205 4.49904e-05 -*RES -1 *25644:X *30167:A 34.5107 -*END - -*D_NET *491 0.000647231 -*CONN -*I *30104:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25645:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30104:A 0.000187081 -2 *25645:X 0.000187081 -3 *30104:A *3197:125 0.000137687 -4 *30104:A *3727:32 0.000135381 -*RES -1 *25645:X *30104:A 31.6714 -*END - -*D_NET *492 0.000876605 -*CONN -*I *29391:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25647:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29391:A 0.000333441 -2 *25647:X 0.000333441 -3 *29391:A *25647:A0 4.35306e-05 -4 *29391:A *1829:56 0.000125153 -5 *29391:A *5733:137 4.10408e-05 -*RES -1 *25647:X *29391:A 32.2429 -*END - -*D_NET *493 0.00145152 -*CONN -*I *29221:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25648:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29221:A 0.000421296 -2 *25648:X 0.000421296 -3 *29221:A *1430:43 9.90431e-05 -4 *29221:A *3568:59 0.000365863 -5 *29221:A *5733:96 4.94455e-05 -6 *29221:A *5733:117 9.45762e-05 -*RES -1 *25648:X *29221:A 37.475 -*END - -*D_NET *494 0.00092766 -*CONN -*I *29179:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25649:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29179:A 9.95813e-05 -2 *25649:X 9.95813e-05 -3 *29179:A *25773:S 0.000127446 -4 *29179:A *29219:A 0.000268409 -5 *29179:A *5680:358 0.000268409 -6 *29179:A *5705:22 6.42338e-05 -*RES -1 *25649:X *29179:A 31.8321 -*END - -*D_NET *495 0.00494236 -*CONN -*I *30318:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25650:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30318:A 0 -2 *25650:X 0.00174164 -3 *495:15 0.00174164 -4 *495:15 *25540:A1 9.41642e-05 -5 *495:15 *25540:A2 0.000123861 -6 *495:15 *25540:B1 2.89114e-05 -7 *495:15 *25823:A1 7.44888e-05 -8 *495:15 *25823:S 4.50149e-05 -9 *495:15 *25825:A1 3.47641e-06 -10 *495:15 *28133:CLK 0.000250865 -11 *495:15 *28133:RESET_B 4.85567e-05 -12 *495:15 *28149:RESET_B 0.000328848 -13 *495:15 *1603:36 3.98893e-05 -14 *495:15 *1739:26 2.16992e-05 -15 *495:15 *1808:11 7.96918e-05 -16 *495:15 *3710:28 5.12108e-05 -17 *495:15 *3857:36 5.18801e-05 -18 *495:15 *4560:14 0.000135028 -19 *495:15 *5742:102 5.55449e-05 -20 *495:15 *5742:111 2.59355e-05 -*RES -1 *25650:X *495:15 43.6929 -2 *495:15 *30318:A 9.3 -*END - -*D_NET *496 0.00416916 -*CONN -*I *30276:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25651:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30276:A 0.00116052 -2 *25651:X 0.00116052 -3 *30276:A *24925:A 9.80173e-05 -4 *30276:A *24925:B 2.89114e-05 -5 *30276:A *25416:B2 1.90936e-05 -6 *30276:A *25439:A0 0 -7 *30276:A *25439:A1 2.05938e-05 -8 *30276:A *28144:D 0.000136958 -9 *30276:A *28148:CLK 1.98839e-05 -10 *30276:A *28407:D 0.000244519 -11 *30276:A *30244:A 0.000173602 -12 *30276:A *1196:23 9.60939e-05 -13 *30276:A *1202:17 3.80414e-05 -14 *30276:A *1231:17 0.000126094 -15 *30276:A *4161:10 8.58353e-05 -16 *30276:A *5838:31 0.000462336 -17 *30276:A *5865:53 0.000298138 -*RES -1 *25651:X *30276:A 49.3321 -*END - -*D_NET *497 0.00421533 -*CONN -*I *29369:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25653:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29369:A 0.00100923 -2 *25653:X 0.00100923 -3 *29369:A *25481:B 0.000100126 -4 *29369:A *29534:A 0.000556707 -5 *29369:A *30505:A 0.000482601 -6 *29369:A *30506:A 0.000921714 -7 *29369:A *5304:7 0.000135729 -*RES -1 *25653:X *29369:A 39.6893 -*END - -*D_NET *498 0.0028932 -*CONN -*I *30526:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25654:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30526:A 0.000991909 -2 *25654:X 0.000991909 -3 *30526:A *25538:A3 6.19181e-05 -4 *30526:A *25538:B2 0.000125731 -5 *30526:A *4187:10 0.000111931 -6 *30526:A *4187:18 4.78056e-05 -7 *30526:A *5727:36 0 -8 *30526:A *5836:211 0.000176451 -9 *28069:RESET_B *30526:A 0.000362905 -10 *29535:A *30526:A 2.26424e-05 -*RES -1 *25654:X *30526:A 44.0464 -*END - -*D_NET *499 0.00556881 -*CONN -*I *30404:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25655:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30404:A 0 -2 *25655:X 0.00164951 -3 *499:10 0.00164951 -4 *499:10 *25655:A0 0.000647985 -5 *499:10 *25655:A1 0.000150171 -6 *499:10 *25872:A1 9.60201e-05 -7 *499:10 *25878:A0 0.000144038 -8 *499:10 *28326:D 0.000136951 -9 *499:10 *28326:RESET_B 0.00025878 -10 *499:10 *1427:143 0.000206593 -11 *499:10 *1830:23 1.21289e-05 -12 *499:10 *3750:16 0.000352032 -13 *499:10 *4127:32 0.000151046 -14 *499:10 *4165:122 1.98839e-05 -15 *28086:RESET_B *499:10 9.41642e-05 -*RES -1 *25655:X *499:10 44.8893 -2 *499:10 *30404:A 9.3 -*END - -*D_NET *500 0.00462258 -*CONN -*I *30307:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25656:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30307:A 0.000882786 -2 *25656:X 0.000882786 -3 *30307:A *25439:A0 6.76337e-05 -4 *30307:A *25476:A1 0.000897962 -5 *30307:A *29173:A 2.30116e-06 -6 *30307:A *1545:48 8.49116e-06 -7 *30307:A *1545:51 0 -8 *30307:A *1740:22 0.00013976 -9 *30307:A *1795:19 0.000195218 -10 *30307:A *4194:111 0.00115391 -11 *30307:A *4195:36 4.49626e-05 -12 *30307:A *4548:15 0.000298732 -13 *30307:A *5545:59 1.88009e-05 -14 *30307:A *5727:8 8.23597e-06 -15 *419:9 *30307:A 2.09897e-05 -*RES -1 *25656:X *30307:A 43.4676 -*END - -*D_NET *501 0.00531871 -*CONN -*I *30283:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25657:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30283:A 0 -2 *25657:X 0.0009252 -3 *501:10 0.0009252 -4 *501:10 *24868:B 0.00026353 -5 *501:10 *24877:A2 0.000970016 -6 *501:10 *25657:S 5.33005e-05 -7 *501:10 *30790:A 9.79256e-05 -8 *501:10 *1192:34 1.90936e-05 -9 *501:10 *1196:23 5.1279e-05 -10 *501:10 *1752:19 0.000950283 -11 *501:10 *4160:38 0.000800374 -12 *501:10 *5887:14 0.000262504 -*RES -1 *25657:X *501:10 42.9071 -2 *501:10 *30283:A 9.3 -*END - -*D_NET *502 0.00773563 -*CONN -*I *29401:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25659:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29401:A 0.000363445 -2 *25659:X 0.000868353 -3 *502:18 0.0012318 -4 *29401:A *28150:D 0.000606687 -5 *29401:A *29206:A 4.10843e-05 -6 *29401:A *29308:A 0.00045162 -7 *29401:A *30000:A 0.00158891 -8 *29401:A *4331:27 2.24195e-05 -9 *29401:A *4977:22 0.000134559 -10 *502:18 *28226:RESET_B 2.24079e-05 -11 *502:18 *29231:A 0.000137983 -12 *502:18 *29308:A 0.000281903 -13 *502:18 *3792:51 0.000765727 -14 *502:18 *3815:25 0.000393949 -15 *502:18 *3892:18 7.35637e-05 -16 *502:18 *4331:27 0.000438994 -17 *502:18 *5710:103 0.000312222 -*RES -1 *25659:X *502:18 37.4071 -2 *502:18 *29401:A 25.05 -*END - -*D_NET *503 0.00889293 -*CONN -*I *29199:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25660:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29199:A 0.00146444 -2 *25660:X 0.00146444 -3 *29199:A *25617:A0 0.000123742 -4 *29199:A *25660:A0 5.71472e-05 -5 *29199:A *25660:S 0 -6 *29199:A *29193:A 0.000679138 -7 *29199:A *29231:A 0.00172601 -8 *29199:A *569:11 8.86947e-05 -9 *29199:A *571:19 0.000893812 -10 *29199:A *759:16 0.000358493 -11 *29199:A *3793:25 0.00124841 -12 *29199:A *3804:14 4.50033e-05 -13 *29199:A *3983:24 2.05413e-05 -14 *29199:A *4240:37 0 -15 *29199:A *4258:16 0.000295175 -16 *29199:A *4329:18 2.51133e-05 -17 *29199:A *4969:15 0.000402784 -*RES -1 *25660:X *29199:A 48.5978 -*END - -*D_NET *504 0.00718907 -*CONN -*I *29181:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25661:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29181:A 0.000119121 -2 *25661:X 0.00122163 -3 *504:19 0.00134076 -4 *29181:A *28229:D 0.000209229 -5 *29181:A *572:19 0.000659769 -6 *29181:A *5041:54 1.69961e-05 -7 *29181:A *5041:55 0.000318101 -8 *504:19 *28229:D 0.000595547 -9 *504:19 *627:15 6.72205e-05 -10 *504:19 *1831:18 3.32772e-05 -11 *504:19 *1866:38 0.000310292 -12 *504:19 *4801:19 0.000523464 -13 *504:19 *5041:23 2.07491e-05 -14 *504:19 *5041:54 0.00157277 -15 *504:19 *5490:39 0.000119173 -16 *504:19 *5731:5 6.09773e-05 -*RES -1 *25661:X *504:19 45.8357 -2 *504:19 *29181:A 15.9964 -*END - -*D_NET *505 0.0098778 -*CONN -*I *30032:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25662:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30032:A 0.000678021 -2 *25662:X 0.000623297 -3 *505:17 0.00130132 -4 *30032:A *25354:B 0.000147352 -5 *30032:A *26821:A1 2.12087e-05 -6 *30032:A *29128:A 0.000251762 -7 *30032:A *29999:A 0.000943252 -8 *30032:A *30140:A 0.000107974 -9 *30032:A *701:29 0.000149074 -10 *30032:A *758:26 0.000385 -11 *30032:A *2744:51 1.34631e-05 -12 *30032:A *4183:24 1.21384e-05 -13 *30032:A *4276:17 2.09655e-05 -14 *30032:A *4383:16 0 -15 *30032:A *4899:13 0.000782926 -16 *30032:A *5069:27 0.000224583 -17 *30032:A *5093:19 1.8038e-05 -18 *30032:A *5488:34 0.00120131 -19 *30032:A *5489:19 0.000266781 -20 *30032:A *6313:45 4.77002e-05 -21 *505:17 *25662:A0 2.23592e-05 -22 *505:17 *26812:S 5.04841e-06 -23 *505:17 *26821:A1 0.000396623 -24 *505:17 *26821:S 3.47641e-06 -25 *505:17 *28418:D 0.000246394 -26 *505:17 *29999:A 0.000170473 -27 *505:17 *2743:12 1.37495e-05 -28 *505:17 *2744:51 0.000225616 -29 *505:17 *5342:19 0.00106972 -30 *505:17 *5484:44 0.000454312 -31 *505:17 *5665:127 0 -32 *505:17 *5691:293 0 -33 *505:17 *5705:52 5.47833e-05 -34 *505:17 *5705:67 1.90936e-05 -*RES -1 *25662:X *505:17 32.9495 -2 *505:17 *30032:A 49.188 -*END - -*D_NET *506 0.0127127 -*CONN -*I *30000:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25663:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30000:A 0.00159429 -2 *25663:X 0.00135677 -3 *506:24 0.00295106 -4 *30000:A *29308:A 2.24195e-05 -5 *30000:A *29433:A 0.00018372 -6 *30000:A *4184:14 0 -7 *30000:A *4336:11 0 -8 *30000:A *4909:14 5.54183e-05 -9 *30000:A *4977:22 6.4443e-05 -10 *506:24 *25617:A0 0.000334311 -11 *506:24 *25662:S 0 -12 *506:24 *25663:A0 5.33433e-05 -13 *506:24 *28150:D 0.00192792 -14 *506:24 *28151:CLK 7.83805e-05 -15 *506:24 *28152:D 1.32425e-05 -16 *506:24 *28227:D 0 -17 *506:24 *29308:A 2.72602e-05 -18 *506:24 *29433:A 2.25243e-05 -19 *506:24 *698:11 1.89507e-06 -20 *506:24 *699:22 3.9062e-05 -21 *506:24 *749:24 4.8367e-05 -22 *506:24 *3652:100 0.000163121 -23 *506:24 *3792:51 7.23766e-05 -24 *506:24 *3804:14 0.000119637 -25 *506:24 *3805:8 0 -26 *506:24 *4331:27 3.7331e-05 -27 *506:24 *4900:26 0.000363226 -28 *506:24 *5079:20 0.000117677 -29 *506:24 *5691:293 0 -30 *506:24 *5698:109 0.00147595 -31 *29401:A *30000:A 0.00158891 -*RES -1 *25663:X *506:24 41.6581 -2 *506:24 *30000:A 40.8893 -*END - -*D_NET *507 0.000957079 -*CONN -*I *29344:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25665:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29344:A 0.000153734 -2 *25665:X 0.000153734 -3 *29344:A *28155:D 0.000154142 -4 *29344:A *2845:80 0.000114999 -5 *29344:A *3168:54 3.57488e-05 -6 *29344:A *3779:59 2.78253e-05 -7 *29344:A *3919:63 0.000316894 -*RES -1 *25665:X *29344:A 32.6179 -*END - -*D_NET *508 0.000461579 -*CONN -*I *30545:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25666:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30545:A 9.41488e-05 -2 *25666:X 9.41488e-05 -3 *30545:A *27872:A 0.000122812 -4 *30545:A *27874:B2 2.59355e-05 -5 *30545:A *4023:28 0.000124534 -*RES -1 *25666:X *30545:A 29.7786 -*END - -*D_NET *509 0.000456856 -*CONN -*I *29301:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25667:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29301:A 7.88554e-05 -2 *25667:X 7.88554e-05 -3 *29301:A *27544:A1 5.33005e-05 -4 *29301:A *28157:CLK 0.000122065 -5 *29301:A *3919:63 0.00012378 -*RES -1 *25667:X *29301:A 29.7786 -*END - -*D_NET *510 0.00458813 -*CONN -*I *30311:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25668:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30311:A 0 -2 *25668:X 0.00141546 -3 *510:26 0.00141546 -4 *510:26 *25668:A0 0.000175892 -5 *510:26 *25668:A1 5.49544e-05 -6 *510:26 *30226:A 0.000178425 -7 *510:26 *1470:90 5.58905e-06 -8 *510:26 *1563:17 2.81529e-05 -9 *510:26 *3170:83 1.85696e-05 -10 *510:26 *3173:94 7.37829e-05 -11 *510:26 *3205:83 0.000170661 -12 *510:26 *3666:52 7.04017e-05 -13 *510:26 *3779:59 2.83129e-05 -14 *510:26 *3780:34 4.88232e-05 -15 *510:26 *3818:43 0.000182747 -16 *510:26 *3832:43 2.21972e-05 -17 *510:26 *3923:32 0.000149425 -18 *510:26 *5685:9 5.47343e-05 -19 *510:26 *5685:333 2.22043e-05 -20 *510:26 *5687:37 0.000337102 -21 *510:26 *5729:276 0.000135242 -*RES -1 *25668:X *510:26 47.9334 -2 *510:26 *30311:A 13.8 -*END - -*D_NET *511 0.000645966 -*CONN -*I *30227:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25669:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30227:A 0.000109457 -2 *25669:X 0.000109457 -3 *30227:A *25300:A2 5.33005e-05 -4 *30227:A *1844:26 2.84026e-05 -5 *30227:A *3145:14 7.75941e-05 -6 *30227:A *4023:18 0.000212583 -7 *30227:A *5687:58 5.51716e-05 -*RES -1 *25669:X *30227:A 30.9929 -*END - -*D_NET *512 0.000711175 -*CONN -*I *29161:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25671:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29161:A 0.000289744 -2 *25671:X 0.000289744 -3 *29161:A *28160:D 2.89016e-05 -4 *29161:A *5710:22 0.000102784 -*RES -1 *25671:X *29161:A 23.7964 -*END - -*D_NET *513 0.00222749 -*CONN -*I *29159:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25672:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29159:A 0.000463088 -2 *25672:X 0.000463088 -3 *29159:A *25671:A1 0.000687823 -4 *29159:A *25672:S 0.00018077 -5 *29159:A *28161:D 0.000102679 -6 *29159:A *3905:11 8.40933e-05 -7 *29159:A *3905:23 0.000245952 -*RES -1 *25672:X *29159:A 29.8321 -*END - -*D_NET *514 0.000292081 -*CONN -*I *29170:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25673:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29170:A 5.29994e-05 -2 *25673:X 5.29994e-05 -3 *29170:A *1427:142 6.15485e-05 -4 *29170:A *3750:20 0.000124534 -*RES -1 *25673:X *29170:A 29.2429 -*END - -*D_NET *515 0.00128648 -*CONN -*I *30327:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25674:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30327:A 0.000250438 -2 *25674:X 0.000250438 -3 *30327:A *28163:D 4.37451e-05 -4 *30327:A *29106:A 0.000266245 -5 *30327:A *5253:28 0.000180207 -6 *30327:A *5699:66 0.00011333 -7 *30327:A *5740:137 6.57032e-05 -8 *406:8 *30327:A 0.000116371 -*RES -1 *25674:X *30327:A 33.1893 -*END - -*D_NET *516 0.00249527 -*CONN -*I *30220:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25675:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30220:A 0.000310588 -2 *25675:X 0.000310588 -3 *30220:A *25675:A1 0.00050975 -4 *30220:A *25675:S 0.00022266 -5 *30220:A *3857:36 0.00105978 -6 *30220:A *5742:111 8.19022e-05 -*RES -1 *25675:X *30220:A 29.4214 -*END - -*D_NET *517 0.00342564 -*CONN -*I *29274:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25679:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29274:A 0.000957707 -2 *25679:X 0.000957707 -3 *29274:A *25736:S 0.000411134 -4 *29274:A *28170:D 0.000274288 -5 *29274:A *28204:D 0.00022459 -6 *29274:A *30170:A 0.000413556 -7 *29274:A *30362:A 0.000186662 -*RES -1 *25679:X *29274:A 47.4036 -*END - -*D_NET *518 0.00116636 -*CONN -*I *29954:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25681:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29954:A 0.000421559 -2 *25681:X 0.000421559 -3 *29954:A *25681:A0 0.000275257 -4 *29954:A *3611:10 4.79842e-05 -*RES -1 *25681:X *29954:A 33.8857 -*END - -*D_NET *519 0.00302569 -*CONN -*I *30564:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25683:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30564:A 0.00045526 -2 *25683:X 0.00045526 -3 *30564:A *25683:A1 0 -4 *30564:A *28172:D 0.000780456 -5 *30564:A *30005:A 0.000227532 -6 *30564:A *30538:A 0.000263524 -7 *30564:A *4226:23 0.000492379 -8 *30564:A *4248:8 0.000140471 -9 *30564:A *5461:32 3.80761e-05 -10 mgmt_gpio_out[19] *30564:A 0.000172735 -*RES -1 *25683:X *30564:A 42.5107 -*END - -*D_NET *520 0.00228649 -*CONN -*I *30539:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25685:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30539:A 0.000574493 -2 *25685:X 0.000574493 -3 *30539:A *30005:A 0.00049014 -4 *30539:A *4248:8 0.000126629 -5 *30539:A *4781:15 0.00021529 -6 *30539:A *5825:8 0 -7 *30539:A *5914:6 0.000305448 -*RES -1 *25685:X *30539:A 41.2429 -*END - -*D_NET *521 0.00211707 -*CONN -*I *29905:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25687:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29905:A 0.000824603 -2 *25687:X 0.000824603 -3 *29905:A *25731:A1 0 -4 *29905:A *28174:D 9.60988e-05 -5 *29905:A *28200:CLK 0.000161859 -6 *29905:A *3602:24 0.00016061 -7 *29905:A *5397:23 4.92942e-05 -*RES -1 *25687:X *29905:A 40.2964 -*END - -*D_NET *522 0.00894741 -*CONN -*I *30543:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25689:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30543:A 0.000127492 -2 *25689:X 0.00208935 -3 *522:10 0.00221684 -4 *30543:A *4786:21 6.05161e-06 -5 *30543:A *5446:21 0.000747401 -6 *30543:A *6010:21 0.000578042 -7 *522:10 *24833:A 7.24063e-05 -8 *522:10 *30889:A 9.54798e-06 -9 *522:10 *1180:22 0.00011307 -10 *522:10 *5458:67 0.00289194 -11 mgmt_gpio_oeb[27] *522:10 5.31113e-05 -12 mgmt_gpio_out[26] *30543:A 4.21613e-05 -13 *61:14 *522:10 0 -*RES -1 *25689:X *522:10 49.1036 -2 *522:10 *30543:A 16.4071 -*END - -*D_NET *523 0.00684116 -*CONN -*I *29950:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25691:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29950:A 0 -2 *25691:X 0.00205665 -3 *523:10 0.00205665 -4 *523:10 *25691:S 5.33005e-05 -5 *523:10 *28176:D 0.00011402 -6 *523:10 *29737:A 5.33005e-05 -7 *523:10 *29949:A 1.54142e-05 -8 *523:10 *1835:84 0.000873974 -9 *523:10 *5175:25 0.00151598 -10 *523:10 *5451:23 7.29646e-05 -11 *523:10 *5588:63 2.89016e-05 -*RES -1 *25691:X *523:10 47.3893 -2 *523:10 *29950:A 9.3 -*END - -*D_NET *524 0.0097414 -*CONN -*I *29737:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25693:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29737:A 0.00153561 -2 *25693:X 0.00153561 -3 *29737:A *24829:A 0.000112799 -4 *29737:A *26858:A1 0.000119627 -5 *29737:A *1180:22 0 -6 *29737:A *3848:95 8.68127e-05 -7 *29737:A *5175:25 5.52302e-05 -8 *29737:A *5274:20 6.9512e-05 -9 *29737:A *5364:13 0.000114356 -10 *29737:A *5449:28 0.00240394 -11 *29737:A *5463:14 0.000178513 -12 *29737:A *5709:290 2.25531e-05 -13 *29737:A *6010:21 0.000580074 -14 *29737:A *6039:24 0.00287347 -15 mgmt_gpio_out[28] *29737:A 0 -16 *523:10 *29737:A 5.33005e-05 -*RES -1 *25693:X *29737:A 45.4122 -*END - -*D_NET *525 0.00234427 -*CONN -*I *29259:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25696:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29259:A 0.000810225 -2 *25696:X 0.000810225 -3 *29259:A *25557:A0 0 -4 *29259:A *25696:S 0.000228558 -5 *29259:A *28212:CLK 0.000125717 -6 *29259:A *1430:46 0.000288478 -7 *29259:A *4183:24 0 -8 *29259:A *6092:11 8.10658e-05 -*RES -1 *25696:X *29259:A 42.1179 -*END - -*D_NET *526 0.00406794 -*CONN -*I *29108:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25698:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29108:A 0.000793232 -2 *25698:X 0.000793232 -3 *29108:A *6609:DIODE 0.000309273 -4 *29108:A *25539:A2_N 0.000387622 -5 *29108:A *25697:A0 0.00024259 -6 *29108:A *25697:A1 0.000273926 -7 *29108:A *28133:D 5.76571e-05 -8 *29108:A *1287:38 0.000380874 -9 *29108:A *1769:17 0.000200519 -10 *29108:A *1810:36 1.0946e-05 -11 *29108:A *1862:36 2.12333e-05 -12 *29108:A *4195:179 0.000511239 -13 *29108:A *4747:10 3.06466e-05 -14 *29108:A *5742:38 0 -15 *28168:RESET_B *29108:A 5.49489e-05 -*RES -1 *25698:X *29108:A 48.7964 -*END - -*D_NET *527 0.00714751 -*CONN -*I *30093:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25700:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30093:A 0.000308581 -2 *25700:X 0.00134265 -3 *527:16 0.00165123 -4 *30093:A *24855:A 0.000131375 -5 *30093:A *28180:D 5.33005e-05 -6 *30093:A *3952:35 2.40574e-05 -7 *527:16 *27088:A1 3.61629e-06 -8 *527:16 *27088:S 5.33334e-05 -9 *527:16 *2776:109 0.00122408 -10 *527:16 *2781:38 0.000679895 -11 *527:16 *5461:18 1.90936e-05 -12 *527:16 *5602:53 0.000197779 -13 *527:16 *5675:97 7.69776e-06 -14 *527:16 *5947:14 0 -15 *527:16 *6150:18 0.000188028 -16 *244:65 *527:16 0.00126279 -*RES -1 *25700:X *527:16 49.1766 -2 *527:16 *30093:A 13.4429 -*END - -*D_NET *528 0.00319483 -*CONN -*I *29961:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25702:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29961:A 0.000852236 -2 *25702:X 0.000852236 -3 *29961:A *25701:A1 1.54142e-05 -4 *29961:A *25702:A0 0.000130393 -5 *29961:A *25748:S 0.000266479 -6 *29961:A *30844:A 0.000186315 -7 *29961:A *1864:187 4.27935e-05 -8 *29961:A *2776:109 0.000197984 -9 *29961:A *5196:95 2.28499e-05 -10 *29961:A *5667:286 0.000196269 -11 *29961:A *6112:8 0.000431864 -*RES -1 *25702:X *29961:A 43.975 -*END - -*D_NET *529 0.00572694 -*CONN -*I *29945:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25704:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29945:A 0 -2 *25704:X 0.00103077 -3 *529:11 0.00103077 -4 *529:11 *29715:A 0.000265934 -5 *529:11 *30463:A 0.000308234 -6 *529:11 *1326:65 0.000281235 -7 *529:11 *1371:25 0.000650712 -8 *529:11 *1490:72 0.000881358 -9 *529:11 *1864:170 4.98872e-05 -10 *529:11 *5461:18 0.000482607 -11 *529:11 *5659:32 0.000745436 -*RES -1 *25704:X *529:11 46.8714 -2 *529:11 *29945:A 9.3 -*END - -*D_NET *530 0.00223889 -*CONN -*I *29930:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25706:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29930:A 0.000556569 -2 *25706:X 0.000556569 -3 *29930:A *25706:S 5.4864e-05 -4 *29930:A *28183:CLK 0.000126416 -5 *29930:A *28932:A 1.06693e-05 -6 *29930:A *29695:A 1.58163e-05 -7 *29930:A *1326:72 0.000510049 -8 *29930:A *5467:18 0.000354081 -9 *29930:A *5999:5 5.38576e-05 -*RES -1 *25706:X *29930:A 38.7429 -*END - -*D_NET *531 0.000548314 -*CONN -*I *29937:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25708:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29937:A 0.000161192 -2 *25708:X 0.000161192 -3 *29937:A *28184:D 0.000119135 -4 *29937:A *1430:46 6.42242e-05 -5 *29937:A *6058:26 4.25716e-05 -*RES -1 *25708:X *29937:A 31.4214 -*END - -*D_NET *532 0.000456804 -*CONN -*I *30562:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25710:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30562:A 0.00014033 -2 *25710:X 0.00014033 -3 *30562:A *1371:19 4.79842e-05 -4 *30562:A *5659:36 0.000128161 -*RES -1 *25710:X *30562:A 30.6 -*END - -*D_NET *533 0.00388957 -*CONN -*I *29315:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25713:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29315:A 0.000976303 -2 *25713:X 0.000976303 -3 *29315:A *27027:A 0.000387796 -4 *29315:A *27426:A1 0.000334439 -5 *29315:A *27784:A2 0 -6 *29315:A *30077:A 1.90936e-05 -7 *29315:A *534:25 0.000384814 -8 *29315:A *2769:30 1.0033e-05 -9 *29315:A *2775:14 2.63501e-05 -10 *29315:A *5084:20 0.000602655 -11 *29315:A *5639:165 0.000132806 -12 *29315:A *5847:43 1.90936e-05 -13 *29315:A *5929:17 1.98839e-05 -*RES -1 *25713:X *29315:A 45.6009 -*END - -*D_NET *534 0.00566025 -*CONN -*I *29396:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25715:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29396:A 0 -2 *25715:X 0.000872791 -3 *534:25 0.000872791 -4 *534:25 *25713:A1 9.41642e-05 -5 *534:25 *25713:S 0.000133705 -6 *534:25 *2775:14 5.31158e-05 -7 *534:25 *2985:14 3.97677e-05 -8 *534:25 *3733:51 5.4695e-05 -9 *534:25 *5692:17 0.000161871 -10 *534:25 *5928:20 0.000955883 -11 *534:25 *5929:17 0.00203666 -12 *29315:A *534:25 0.000384814 -*RES -1 *25715:X *534:25 43.8536 -2 *534:25 *29396:A 9.3 -*END - -*D_NET *535 0.00245933 -*CONN -*I *29072:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25717:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29072:A 0.000573211 -2 *25717:X 0.000573211 -3 *29072:A *28192:D 6.86693e-05 -4 *29072:A *30835:A 0.000320738 -5 *29072:A *30873:A 0.000303368 -6 *29072:A *4124:59 7.20217e-06 -7 *29072:A *5461:28 9.97648e-05 -8 *29072:A *5659:24 0.000509472 -9 *29072:A *5676:125 3.69047e-06 -*RES -1 *25717:X *29072:A 41.2071 -*END - -*D_NET *536 0.00635234 -*CONN -*I *29941:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25719:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29941:A 0.000978252 -2 *25719:X 0.000978252 -3 *29941:A *25719:S 0 -4 *29941:A *30837:A 0.000286467 -5 *29941:A *4107:21 0.000151319 -6 *29941:A *6243:9 0.00210639 -7 *29941:A *6244:23 0.00185166 -*RES -1 *25719:X *29941:A 48.3679 -*END - -*D_NET *537 0.00594354 -*CONN -*I *29903:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25721:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29903:A 0.00177687 -2 *25721:X 0.00177687 -3 *29903:A *25721:A1 0 -4 *29903:A *26997:A1 6.7224e-05 -5 *29903:A *26997:S 2.34503e-05 -6 *29903:A *27433:A2 0.00016752 -7 *29903:A *28461:D 0.000180951 -8 *29903:A *28516:RESET_B 0.000138881 -9 *29903:A *28529:CLK 2.50824e-05 -10 *29903:A *30875:A 0 -11 *29903:A *849:17 4.1784e-05 -12 *29903:A *1275:164 2.98554e-05 -13 *29903:A *2759:149 0.000394147 -14 *29903:A *2771:11 0.000473319 -15 *29903:A *3720:19 6.16771e-05 -16 *29903:A *3889:31 0.000291238 -17 *29903:A *4124:43 1.44355e-05 -18 *29903:A *5681:16 0.000392432 -19 *29903:A *5847:43 8.77988e-05 -*RES -1 *25721:X *29903:A 48.2094 -*END - -*D_NET *538 0.00250541 -*CONN -*I *30415:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25723:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30415:A 0.000581576 -2 *25723:X 0.000581576 -3 *30415:A *25723:A1 0.000138881 -4 *30415:A *26887:A0 7.74018e-05 -5 *30415:A *28477:RESET_B 0.000345807 -6 *30415:A *30086:A 9.71197e-05 -7 *30415:A *1853:19 7.31062e-05 -8 *30415:A *2754:34 6.59861e-05 -9 *30415:A *2759:43 7.43447e-05 -10 *30415:A *5638:162 9.90367e-05 -11 *30415:A *5795:181 0.000370575 -*RES -1 *25723:X *30415:A 41.1179 -*END - -*D_NET *539 0.00147615 -*CONN -*I *29870:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25725:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29870:A 0.00024444 -2 *25725:X 0.00024444 -3 *29870:A *25724:A0 0.000301008 -4 *29870:A *28464:RESET_B 2.6269e-05 -5 *29870:A *5669:296 0.000386984 -6 *29870:A *5682:44 0 -7 *29870:A *5775:21 4.60232e-06 -8 *29870:A *5820:85 0.000268409 -*RES -1 *25725:X *29870:A 34.2607 -*END - -*D_NET *540 0.00833266 -*CONN -*I *29783:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25727:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29783:A 0.000230903 -2 *25727:X 0.00259045 -3 *540:15 0.00282135 -4 *29783:A *27782:A2 0.000116971 -5 *29783:A *27782:B2 5.58118e-05 -6 *29783:A *27833:B2 0.000248507 -7 *29783:A *5800:78 0.000303362 -8 *29783:A *6086:23 0.000305285 -9 *540:15 *25054:A1 0.000345251 -10 *540:15 *25727:S 0.000236074 -11 *540:15 *28465:CLK 1.69175e-05 -12 *540:15 *28465:D 0.000269205 -13 *540:15 *28764:CLK 0.000100163 -14 *540:15 *28764:D 0.00011113 -15 *540:15 *28764:RESET_B 4.50675e-05 -16 *540:15 *4222:21 0.000312651 -17 *6424:DIODE *540:15 0.000138881 -18 *244:193 *540:15 8.46829e-05 -*RES -1 *25727:X *540:15 47.5679 -2 *540:15 *29783:A 20.2643 -*END - -*D_NET *541 0.00140546 -*CONN -*I *29297:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25729:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29297:A 0.000362597 -2 *25729:X 0.000362597 -3 *29297:A *25575:A1 0.000315179 -4 *29297:A *25729:A1 0 -5 *29297:A *28198:D 0.000110029 -6 *29297:A *5732:109 0.000255058 -*RES -1 *25729:X *29297:A 36.3143 -*END - -*D_NET *542 0.00338651 -*CONN -*I *29282:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25730:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29282:A 0.000515784 -2 *25730:X 0.000515784 -3 *29282:A *25495:A2 1.02504e-05 -4 *29282:A *25575:A1 0.000385717 -5 *29282:A *25730:A1 0.000314788 -6 *29282:A *25730:S 0 -7 *29282:A *28023:A 0.000271345 -8 *29282:A *29281:A 0.000399841 -9 *29282:A *1765:8 0.000716137 -10 *29282:A *4187:22 1.08359e-05 -11 *29282:A *5947:100 0.00024603 -*RES -1 *25730:X *29282:A 45.1714 -*END - -*D_NET *543 0.0011178 -*CONN -*I *29794:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25731:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29794:A 0.000296529 -2 *25731:X 0.000296529 -3 *29794:A *28200:D 0.000354984 -4 *29794:A *5408:19 0.000123145 -5 *30755:A *29794:A 4.66096e-05 -*RES -1 *25731:X *29794:A 34.1 -*END - -*D_NET *544 0.00155519 -*CONN -*I *30259:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25732:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30259:A 0.000709601 -2 *25732:X 0.000709601 -3 *30259:A *25575:A1 0 -4 *30259:A *30256:A 6.5185e-05 -5 *30259:A *5542:12 7.08001e-05 -*RES -1 *25732:X *30259:A 37.6714 -*END - -*D_NET *545 0.00177642 -*CONN -*I *30370:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25733:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30370:A 0.000388325 -2 *25733:X 0.000388325 -3 *30370:A *27996:A1 7.16231e-05 -4 *30370:A *28001:A0 0.000135921 -5 *30370:A *28202:D 0.000310651 -6 *30370:A *28202:RESET_B 0.000125731 -7 *30370:A *28981:A 5.71472e-05 -8 *30370:A *30413:A 5.52302e-05 -9 *30370:A *5878:8 1.30681e-05 -10 *30370:A *5878:12 0.000175172 -11 *30370:A *6192:13 5.52302e-05 -*RES -1 *25733:X *30370:A 37.9036 -*END - -*D_NET *546 0.000372357 -*CONN -*I *29674:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25734:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29674:A 0.000136657 -2 *25734:X 0.000136657 -3 *29674:A *26000:A 0 -4 *29674:A *5672:5 9.90431e-05 -5 *29674:A *6378:41 0 -*RES -1 *25734:X *29674:A 30.1893 -*END - -*D_NET *547 0.00251331 -*CONN -*I *30362:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25736:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30362:A 0.000745626 -2 *25736:X 0.000745626 -3 *30362:A *28204:CLK 0.000315165 -4 *30362:A *28204:D 4.06782e-05 -5 *30362:A *2764:89 0.000392276 -6 *30362:A *5588:130 3.90513e-05 -7 *30362:A *5681:10 0 -8 *29274:A *30362:A 0.000186662 -9 *30699:A *30362:A 4.8226e-05 -*RES -1 *25736:X *30362:A 42.0286 -*END - -*D_NET *548 0.00136376 -*CONN -*I *29745:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25737:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29745:A 0.000442849 -2 *25737:X 0.000442849 -3 *29745:A *3611:10 2.16719e-05 -4 *29745:A *3611:12 1.76039e-05 -5 *29745:A *5776:64 0.000438788 -*RES -1 *25737:X *29745:A 33.6893 -*END - -*D_NET *549 0.000677553 -*CONN -*I *30351:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25738:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30351:A 0.000183977 -2 *25738:X 0.000183977 -3 *30351:A *30005:A 0 -4 *30351:A *30006:A 0.0003096 -5 *30351:A *30563:A 0 -6 *30351:A *5893:101 0 -*RES -1 *25738:X *30351:A 31.6714 -*END - -*D_NET *550 0.00224747 -*CONN -*I *30006:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25739:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30006:A 0.000743129 -2 *25739:X 0.000743129 -3 *30006:A *25682:A0 0 -4 *30006:A *25738:A0 0 -5 *30006:A *25739:A0 5.52238e-05 -6 *30006:A *25739:S 1.21955e-05 -7 *30006:A *28206:D 0.00021141 -8 *30006:A *30005:A 0 -9 *30006:A *30350:A 0.000128161 -10 *30006:A *4248:8 0 -11 *30006:A *5893:101 0 -12 *30351:A *30006:A 0.0003096 -13 *30701:A *30006:A 4.46199e-05 -*RES -1 *25739:X *30006:A 42.225 -*END - -*D_NET *551 0.00376633 -*CONN -*I *29681:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25740:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29681:A 0.000659192 -2 *25740:X 0.000659192 -3 *29681:A *25731:A1 0.000434289 -4 *29681:A *28174:D 3.57844e-05 -5 *29681:A *28200:RESET_B 0.00010326 -6 *29681:A *28208:D 0.000130274 -7 *29681:A *1835:6 0 -8 *29681:A *1835:16 0.000141907 -9 *29681:A *1835:35 3.39147e-05 -10 *29681:A *4586:17 0.000130751 -11 *29681:A *5408:19 7.80815e-05 -12 *29681:A *5588:19 0.000100831 -13 *29681:A *5879:188 0.000532299 -14 *29681:A *5926:35 0.000534222 -15 *29681:A *6247:6 5.24274e-05 -16 *30626:A *29681:A 0.0001399 -17 *247:17 *29681:A 0 -*RES -1 *25740:X *29681:A 46.3321 -*END - -*D_NET *552 0.00841804 -*CONN -*I *29373:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25741:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29373:A 0.00067925 -2 *25741:X 0.000848627 -3 *552:14 0.00152788 -4 *29373:A *25692:S 0.00017827 -5 *29373:A *25693:A1 2.03997e-05 -6 *29373:A *26858:S 0.000180764 -7 *29373:A *28177:D 7.69776e-06 -8 *29373:A *28450:RESET_B 2.58757e-05 -9 *29373:A *5173:14 0.000104435 -10 *29373:A *5449:16 1.74352e-05 -11 *29373:A *5709:290 0 -12 *29373:A *5829:42 0 -13 *29373:A *5893:81 0.000346177 -14 *29373:A *5894:14 2.22594e-05 -15 *29373:A *5897:13 0.000136388 -16 *29373:A *6011:17 1.89507e-06 -17 *552:14 *25741:A0 5.52302e-05 -18 *552:14 *25741:A1 5.59682e-05 -19 *552:14 *25741:S 0.00018372 -20 *552:14 *28177:D 0.0012142 -21 *552:14 *28450:RESET_B 8.79856e-06 -22 *552:14 *28451:CLK 2.44318e-05 -23 *552:14 *5792:15 4.72595e-05 -24 *552:14 *5879:227 9.60875e-05 -25 *552:14 *5926:39 0.000136951 -26 *30709:A *29373:A 4.58194e-05 -27 *30710:A *552:14 0.00186551 -28 *59:21 *29373:A 0.000237671 -29 *60:13 *29373:A 0.000349043 -*RES -1 *25741:X *552:14 47.9875 -2 *552:14 *29373:A 42.3233 -*END - -*D_NET *553 0.006458 -*CONN -*I *30568:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25742:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30568:A 0 -2 *25742:X 0.00134127 -3 *553:13 0.00134127 -4 *553:13 *25742:S 0.000398822 -5 *553:13 *26857:A1 0.000641786 -6 *553:13 *28210:D 0.00105983 -7 *553:13 *29083:A 0.000119203 -8 *553:13 *783:17 2.89114e-05 -9 *553:13 *4230:17 0.000265453 -10 *553:13 *5803:7 5.52238e-05 -11 *553:13 *5894:14 0.000888201 -12 *553:13 *5927:14 0.000262808 -13 *61:14 *553:13 5.52238e-05 -*RES -1 *25742:X *553:13 43.4786 -2 *553:13 *30568:A 9.3 -*END - -*D_NET *554 0.00977938 -*CONN -*I *29936:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25743:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29936:A 0 -2 *25743:X 0.00153785 -3 *554:20 0.00153785 -4 *554:20 *24835:A 0.000140751 -5 *554:20 *25691:A1 0 -6 *554:20 *25742:A1 8.32677e-05 -7 *554:20 *26860:A0 0 -8 *554:20 *28451:RESET_B 0.000220922 -9 *554:20 *28452:D 5.48376e-05 -10 *554:20 *3848:95 0.000142661 -11 *554:20 *4229:27 0 -12 *554:20 *5451:23 1.15058e-05 -13 *554:20 *5463:14 0 -14 *554:20 *5680:30 0.00167861 -15 *554:20 *5683:29 7.69372e-05 -16 *554:20 *5879:237 8.30243e-05 -17 *554:20 *5893:81 0.002418 -18 *554:20 *5926:63 2.11515e-05 -19 *60:13 *554:20 0.000784129 -20 *61:14 *554:20 0.000987887 -*RES -1 *25743:X *554:20 43.6386 -2 *554:20 *29936:A 9.3 -*END - -*D_NET *555 0.00249087 -*CONN -*I *30566:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25745:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30566:A 0.000723545 -2 *25745:X 0.000723545 -3 *30566:A *25696:A0 0.000200413 -4 *30566:A *29599:A 0.00048555 -5 *30566:A *30565:A 0 -6 *30566:A *4183:24 0.000282094 -7 *30566:A *4187:22 0 -8 *30566:A *6037:8 7.2032e-05 -9 *30566:A *6103:20 3.69047e-06 -*RES -1 *25745:X *30566:A 43.2786 -*END - -*D_NET *556 0.00386847 -*CONN -*I *30506:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25746:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30506:A 0.000562314 -2 *25746:X 0.000562314 -3 *30506:A *28145:D 0.000402914 -4 *30506:A *28213:D 0.000128409 -5 *30506:A *29585:A 0.00022459 -6 *30506:A *30505:A 0.000227539 -7 *30506:A *1430:50 2.86815e-05 -8 *30506:A *1430:59 6.96847e-05 -9 *30506:A *5304:7 7.32272e-05 -10 *30506:A *5739:170 0.000503764 -11 *30506:A *5742:38 0.000143828 -12 *30506:A *5742:43 1.94879e-05 -13 *29369:A *30506:A 0.000921714 -*RES -1 *25746:X *30506:A 47.1 -*END - -*D_NET *557 0.00447319 -*CONN -*I *29848:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25747:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29848:A 0 -2 *25747:X 0.00104675 -3 *557:11 0.00104675 -4 *557:11 *27089:S 0.000924316 -5 *557:11 *28181:CLK 9.25014e-06 -6 *557:11 *28214:D 7.02611e-05 -7 *557:11 *1347:31 0.000290993 -8 *557:11 *2781:21 0.000150632 -9 *557:11 *3633:111 0.000332238 -10 *557:11 *3633:113 0.000370894 -11 *557:11 *3864:5 0.000178786 -12 *557:11 *4334:15 3.13225e-05 -13 *557:11 *5700:260 2.1006e-05 -*RES -1 *25747:X *557:11 41.3714 -2 *557:11 *29848:A 9.3 -*END - -*D_NET *558 0.00284021 -*CONN -*I *29809:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25748:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29809:A 0.000764215 -2 *25748:X 0.000764215 -3 *29809:A *25702:A0 0.000851291 -4 *29809:A *27806:A2 0.000123295 -5 *29809:A *27806:B1 0.000194833 -6 *29809:A *1307:23 4.91352e-05 -7 *244:65 *29809:A 9.3231e-05 -*RES -1 *25748:X *29809:A 40.0107 -*END - -*D_NET *559 0.0020719 -*CONN -*I *29715:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25749:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29715:A 0.000265996 -2 *25749:X 0.000265996 -3 *29715:A *28584:D 2.89016e-05 -4 *29715:A *30463:A 0.000306311 -5 *29715:A *1326:65 0.000684868 -6 *29715:A *5461:18 0.000128161 -7 *29715:A *5468:29 0.000125731 -8 *529:11 *29715:A 0.000265934 -*RES -1 *25749:X *29715:A 37.1714 -*END - -*D_NET *560 0.00112086 -*CONN -*I *29696:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25750:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29696:A 0.000181145 -2 *25750:X 0.000181145 -3 *29696:A *5461:20 0.00050089 -4 *29696:A *5468:31 0.00025768 -*RES -1 *25750:X *29696:A 34.1 -*END - -*D_NET *561 0.00151125 -*CONN -*I *29734:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25751:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29734:A 0.000410441 -2 *25751:X 0.000410441 -3 *29734:A *6502:DIODE 3.14048e-05 -4 *29734:A *28218:D 0.00040279 -5 *29734:A *5680:360 1.92905e-05 -6 *29734:A *6081:7 0.000236881 -*RES -1 *25751:X *29734:A 28.1893 -*END - -*D_NET *562 0.0017447 -*CONN -*I *30320:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25752:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30320:A 0.000565794 -2 *25752:X 0.000565794 -3 *30320:A *25710:A1 0.000175519 -4 *30320:A *25752:A0 1.72894e-05 -5 *30320:A *28185:CLK 4.87953e-05 -6 *30320:A *28185:D 6.57032e-05 -7 *30320:A *28219:D 3.97794e-05 -8 *30320:A *1371:19 9.01334e-05 -9 *30320:A *5468:9 0.000175892 -*RES -1 *25752:X *30320:A 37.9214 -*END - -*D_NET *563 0.009322 -*CONN -*I *29356:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25754:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29356:A 0.000735455 -2 *25754:X 0.00103439 -3 *563:10 0.00176985 -4 *29356:A *28017:A1 0.000396892 -5 *29356:A *28836:D 0.000132201 -6 *29356:A *28836:RESET_B 4.27935e-05 -7 *29356:A *3536:7 0.000356028 -8 *29356:A *3666:98 9.41642e-05 -9 *29356:A *3910:14 4.05285e-05 -10 *29356:A *5126:11 0.000243755 -11 *29356:A *5731:20 0.000148293 -12 *563:10 *25399:A2 3.17148e-05 -13 *563:10 *25919:A0 0.000120645 -14 *563:10 *25923:S 9.41642e-05 -15 *563:10 *28355:D 9.57003e-05 -16 *563:10 *1362:152 1.94945e-05 -17 *563:10 *1363:6 0.00085783 -18 *563:10 *1363:23 0.000517573 -19 *563:10 *1363:49 0.000341857 -20 *563:10 *1408:21 0.000615963 -21 *563:10 *3801:21 0.000167754 -22 *563:10 *5497:39 9.79902e-05 -23 *563:10 *5510:87 0.000178847 -24 *563:10 *5619:76 4.38074e-05 -25 *563:10 *5710:190 0.00015563 -26 *563:10 *6225:27 0.000988677 -*RES -1 *25754:X *563:10 45.6571 -2 *563:10 *29356:A 30.0857 -*END - -*D_NET *564 0.00771823 -*CONN -*I *29247:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25755:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29247:A 0 -2 *25755:X 0.00117657 -3 *564:19 0.00117657 -4 *564:19 *28019:A0 0.00022459 -5 *564:19 *28020:S 9.60939e-05 -6 *564:19 *28221:RESET_B 2.06112e-05 -7 *564:19 *29176:A 8.53089e-05 -8 *564:19 *30008:A 0.000745137 -9 *564:19 *30034:A 0.0014401 -10 *564:19 *1163:17 4.13496e-05 -11 *564:19 *1452:57 2.74787e-05 -12 *564:19 *1471:43 6.53815e-05 -13 *564:19 *1471:56 1.93122e-05 -14 *564:19 *1471:64 0.000289529 -15 *564:19 *3536:15 0.000136951 -16 *564:19 *3536:31 0.000467223 -17 *564:19 *3666:98 5.65833e-05 -18 *564:19 *3801:56 0.000149137 -19 *564:19 *3892:37 2.85964e-05 -20 *564:19 *5017:14 0.00102723 -21 *564:19 *5619:76 0.000293561 -22 *564:19 *5624:107 0.000150924 -*RES -1 *25755:X *564:19 47.9553 -2 *564:19 *29247:A 9.3 -*END - -*D_NET *565 0.00797493 -*CONN -*I *29177:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25756:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29177:A 0.000697242 -2 *25756:X 0.00135862 -3 *565:17 0.00205586 -4 *29177:A *1401:37 5.52302e-05 -5 *29177:A *1600:19 1.92905e-05 -6 *29177:A *3460:21 2.79405e-05 -7 *29177:A *4618:21 0.000154463 -8 *29177:A *5522:32 8.65028e-05 -9 *29177:A *5523:41 0.000765247 -10 *29177:A *5691:252 0.000121613 -11 *29177:A *5705:162 3.90307e-05 -12 *29177:A *5706:219 4.01689e-05 -13 *29177:A *6054:21 0.00049869 -14 *29177:A *6225:48 0.000114854 -15 *565:17 *25132:B2 0.00019402 -16 *565:17 *25754:S 6.57815e-05 -17 *565:17 *25756:A0 0.000138606 -18 *565:17 *25756:A1 0.000175892 -19 *565:17 *25756:S 0.000113005 -20 *565:17 *28224:D 0.000127916 -21 *565:17 *28224:SET_B 2.53768e-05 -22 *565:17 *28383:RESET_B 0.000254356 -23 *565:17 *716:16 7.15817e-05 -24 *565:17 *1408:26 9.39059e-05 -25 *565:17 *3571:53 1.68854e-05 -26 *565:17 *4282:17 5.31113e-05 -27 *565:17 *5735:42 0.000219711 -28 *472:8 *29177:A 0.000390036 -*RES -1 *25756:X *565:17 42.6036 -2 *565:17 *29177:A 36.5129 -*END - -*D_NET *566 0.00686249 -*CONN -*I *30034:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25757:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30034:A 0.00123518 -2 *25757:X 0.00123518 -3 *30034:A *25758:A0 0.000224583 -4 *30034:A *29176:A 5.33005e-05 -5 *30034:A *1471:56 3.36363e-05 -6 *30034:A *3082:13 4.19624e-06 -7 *30034:A *3672:8 1.90936e-05 -8 *30034:A *3801:56 0.000124935 -9 *30034:A *3801:109 9.11102e-06 -10 *30034:A *5016:17 0.000221668 -11 *30034:A *5052:46 0.00199045 -12 *30034:A *5489:45 0.000108511 -13 *30034:A *5685:218 0.000107313 -14 *30034:A *5710:183 5.52302e-05 -15 *564:19 *30034:A 0.0014401 -*RES -1 *25757:X *30034:A 46.2993 -*END - -*D_NET *567 0.002202 -*CONN -*I *29996:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25758:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29996:A 0.000555308 -2 *25758:X 0.000555308 -3 *29996:A *25398:A1 0.000136676 -4 *29996:A *25758:A0 1.03859e-05 -5 *29996:A *25758:S 5.33005e-05 -6 *29996:A *25906:A 8.74295e-05 -7 *29996:A *27505:A1 0 -8 *29996:A *28223:SET_B 0.000338198 -9 *29996:A *29995:A 0.000187077 -10 *29996:A *1471:37 7.36227e-05 -11 *29996:A *1893:14 5.52302e-05 -12 *29996:A *3650:38 0.000149464 -*RES -1 *25758:X *29996:A 38.7964 -*END - -*D_NET *568 0.0049624 -*CONN -*I *29360:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25760:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29360:A 0 -2 *25760:X 0.00122079 -3 *568:21 0.00122079 -4 *568:21 *25106:B 0.000729713 -5 *568:21 *25760:A0 2.77173e-05 -6 *568:21 *28225:D 0.000179434 -7 *568:21 *28229:D 0.000267558 -8 *568:21 *28284:D 5.52238e-05 -9 *568:21 *29015:A 1.96203e-05 -10 *568:21 *29171:A 0.00018077 -11 *568:21 *569:11 0.000230475 -12 *568:21 *627:15 4.46186e-06 -13 *568:21 *3568:59 0 -14 *568:21 *3806:25 9.88371e-05 -15 *568:21 *4261:17 0 -16 *568:21 *4950:25 0.000232399 -17 *568:21 *5002:18 0.00019287 -18 *568:21 *5698:106 0.000301737 -*RES -1 *25760:X *568:21 49.2286 -2 *568:21 *29360:A 9.3 -*END - -*D_NET *569 0.00434091 -*CONN -*I *29232:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25761:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29232:A 0 -2 *25761:X 0.00120519 -3 *569:11 0.00120519 -4 *569:11 *28225:D 8.47881e-05 -5 *569:11 *571:19 1.61405e-05 -6 *569:11 *3568:56 0.00022963 -7 *569:11 *4329:18 0.000654903 -8 *569:11 *4950:25 0.000282997 -9 *569:11 *4951:17 2.28499e-05 -10 *569:11 *4968:26 8.6229e-06 -11 *569:11 *4969:15 0.000282514 -12 *569:11 *5002:18 2.89114e-05 -13 *29199:A *569:11 8.86947e-05 -14 *568:21 *569:11 0.000230475 -*RES -1 *25761:X *569:11 43.0857 -2 *569:11 *29232:A 9.3 -*END - -*D_NET *570 0.00808479 -*CONN -*I *29208:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25762:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29208:A 0.00145598 -2 *25762:X 0.000967814 -3 *570:26 0.00242379 -4 *29208:A *29206:A 0.00127521 -5 *29208:A *4331:27 0.000391597 -6 *29208:A *5476:8 0 -7 *29208:A *6147:31 2.06741e-05 -8 *570:26 *25761:A0 2.59355e-05 -9 *570:26 *28366:D 5.33005e-05 -10 *570:26 *29193:A 0.00020356 -11 *570:26 *29231:A 3.06343e-05 -12 *570:26 *30015:A 0.000132086 -13 *570:26 *30087:A 0 -14 *570:26 *699:22 2.64924e-05 -15 *570:26 *749:24 0 -16 *570:26 *3893:41 0.000625769 -17 *570:26 *4965:16 0.000391713 -18 *570:26 *4968:26 8.6229e-06 -19 *570:26 *5078:20 7.54369e-06 -20 *570:26 *5170:19 4.40603e-05 -21 *570:26 *5698:106 0 -22 *570:26 *5733:72 0 -*RES -1 *25762:X *570:26 45.4451 -2 *570:26 *29208:A 38.4429 -*END - -*D_NET *571 0.00797358 -*CONN -*I *30088:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25763:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30088:A 0 -2 *25763:X 0.00152289 -3 *571:19 0.00152289 -4 *571:19 *25559:A1 0.000155002 -5 *571:19 *25617:A0 0.000143248 -6 *571:19 *28150:D 5.33005e-05 -7 *571:19 *29206:A 5.52302e-05 -8 *571:19 *29231:A 0.000186653 -9 *571:19 *759:16 0.00141267 -10 *571:19 *3793:25 0.000434813 -11 *571:19 *3893:41 3.20942e-05 -12 *571:19 *4900:26 0 -13 *571:19 *4950:25 0.000551468 -14 *571:19 *4969:15 0.000392026 -15 *571:19 *5698:106 8.79856e-06 -16 *29199:A *571:19 0.000893812 -17 *29389:A *571:19 0.000498826 -18 *466:15 *571:19 9.37043e-05 -19 *569:11 *571:19 1.61405e-05 -*RES -1 *25763:X *571:19 47.1 -2 *571:19 *30088:A 9.3 -*END - -*D_NET *572 0.00660877 -*CONN -*I *30019:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25764:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30019:A 0 -2 *25764:X 0.00152219 -3 *572:19 0.00152219 -4 *572:19 *25764:A0 0 -5 *572:19 *29015:A 0.000111241 -6 *572:19 *29180:A 0.000674284 -7 *572:19 *29231:A 0 -8 *572:19 *29359:A 1.54713e-05 -9 *572:19 *3568:59 6.2589e-06 -10 *572:19 *3793:25 3.07457e-05 -11 *572:19 *4257:15 4.14407e-05 -12 *572:19 *4258:16 0.000125917 -13 *572:19 *4336:11 0.000954148 -14 *572:19 *5041:54 0.000356028 -15 *572:19 *5041:55 0.000279568 -16 *572:19 *5698:106 6.67967e-05 -17 *572:19 *5705:44 4.64349e-05 -18 *572:19 *5705:48 9.61073e-06 -19 *572:19 *6225:17 0.000186669 -20 *29181:A *572:19 0.000659769 -*RES -1 *25764:X *572:19 48.234 -2 *572:19 *30019:A 9.3 -*END - -*D_NET *573 0.000705868 -*CONN -*I *29249:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25766:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29249:A 0.000240792 -2 *25766:X 0.000240792 -3 *29249:A *25768:S 0 -4 *29249:A *28230:CLK 0.000177272 -5 *29249:A *28230:D 3.79467e-05 -6 *29249:A *28406:CLK 5.39166e-06 -7 *29249:A *5824:28 0 -8 *29249:A *5833:65 3.67279e-06 -*RES -1 *25766:X *29249:A 32.2071 -*END - -*D_NET *574 0.00202802 -*CONN -*I *29803:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25767:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29803:A 0.000418559 -2 *25767:X 0.000418559 -3 *29803:A *28405:CLK 0.000164117 -4 *29803:A *28405:RESET_B 9.99644e-06 -5 *29803:A *28406:D 0.000271958 -6 *29803:A *2544:30 0.00050705 -7 *29803:A *2728:12 0.000124713 -8 *29803:A *3413:25 0.000113064 -*RES -1 *25767:X *29803:A 37.5107 -*END - -*D_NET *575 0.00333288 -*CONN -*I *29750:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25768:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29750:A 0.000886376 -2 *25768:X 0.000886376 -3 *29750:A *26763:C 9.60939e-05 -4 *29750:A *28230:RESET_B 0.00022495 -5 *29750:A *28232:D 4.07215e-05 -6 *29750:A *2700:9 5.33005e-05 -7 *29750:A *3656:42 2.44318e-05 -8 *29750:A *3656:47 0.000132889 -9 *29750:A *3957:17 7.83659e-05 -10 *29750:A *5250:8 0 -11 *29750:A *5760:8 7.39637e-05 -12 *29750:A *5760:32 6.13157e-05 -13 *29750:A *5833:65 2.85234e-05 -14 *29750:A *6355:24 0.000103032 -15 *29750:A *6356:24 0.000642546 -*RES -1 *25768:X *29750:A 46.4393 -*END - -*D_NET *576 0.00179785 -*CONN -*I *29495:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25769:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29495:A 0.000678254 -2 *25769:X 0.000678254 -3 *29495:A *25769:A1 0.000218685 -4 *29495:A *29419:A 0.00022266 -*RES -1 *25769:X *29495:A 28.6 -*END - -*D_NET *577 0.00235249 -*CONN -*I *30131:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25770:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30131:A 0.000373698 -2 *25770:X 0.000373698 -3 *30131:A *1464:105 2.58997e-05 -4 *30131:A *3776:33 0.000260574 -5 *30131:A *4087:20 0.000683116 -6 *30131:A *5111:13 0.000635507 -*RES -1 *25770:X *30131:A 39.1179 -*END - -*D_NET *578 0.00203456 -*CONN -*I *29225:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25772:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29225:A 0.000443805 -2 *25772:X 0.000443805 -3 *29225:A *28132:D 1.24368e-05 -4 *29225:A *28235:D 0.000388045 -5 *29190:A *29225:A 0.000259 -6 *29393:A *29225:A 0.000487473 -*RES -1 *25772:X *29225:A 29.0286 -*END - -*D_NET *579 0.00209655 -*CONN -*I *29219:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25773:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29219:A 0.000565009 -2 *25773:X 0.000565009 -3 *29219:A *28142:D 0.000182694 -4 *29219:A *5680:352 3.57366e-05 -5 *29219:A *5680:358 0.00047969 -6 *29179:A *29219:A 0.000268409 -*RES -1 *25773:X *29219:A 30.2429 -*END - -*D_NET *580 0.00313685 -*CONN -*I *30378:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25774:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30378:A 0.000537403 -2 *25774:X 0.000537403 -3 *30378:A *29106:A 0.000394037 -4 *30378:A *1829:48 0.000754454 -5 *30378:A *3857:36 2.59355e-05 -6 *30378:A *5253:28 0.00039234 -7 *30378:A *5614:114 0.000169816 -8 *30378:A *5743:60 0.000325459 -*RES -1 *25774:X *30378:A 43.0643 -*END - -*D_NET *581 0.005924 -*CONN -*I *30325:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25775:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30325:A 0.00202226 -2 *25775:X 0.00202226 -3 *30325:A *28238:D 4.43256e-05 -4 *30325:A *1324:37 7.27549e-05 -5 *30325:A *4065:10 5.33005e-05 -6 *30325:A *4065:91 0.000135028 -7 *30325:A *4159:56 0.00137604 -8 *30325:A *4160:98 0.000198024 -*RES -1 *25775:X *30325:A 44.1 -*END - -*D_NET *582 0.00313887 -*CONN -*I *30278:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25776:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30278:A 0.00052844 -2 *25776:X 0.00052844 -3 *30278:A *25776:A1 0.000730732 -4 *30278:A *30277:A 4.43256e-05 -5 *30278:A *1173:96 0.000106923 -6 *30278:A *1197:15 0.000380866 -7 *30278:A *1875:36 0.000208322 -8 *30278:A *3561:19 2.95726e-05 -9 *30278:A *5742:170 9.60875e-05 -10 *30278:A *5742:332 9.60939e-05 -11 *30278:A *5838:11 0.00038907 -*RES -1 *25776:X *30278:A 42.5821 -*END - -*D_NET *583 0.00176806 -*CONN -*I *29311:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25778:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29311:A 0.000542795 -2 *25778:X 0.000542795 -3 *29311:A *1884:46 0.000126439 -4 *29311:A *2114:43 0.000128154 -5 *373:65 *29311:A 0.000427882 -*RES -1 *25778:X *29311:A 35.6536 -*END - -*D_NET *584 0.00159311 -*CONN -*I *29889:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25779:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29889:A 0.000330344 -2 *25779:X 0.000330344 -3 *29889:A *28241:D 0.000170523 -4 *29889:A *28882:A 0.000227532 -5 *29889:A *1816:16 0 -6 *29889:A *1873:8 0.000534365 -*RES -1 *25779:X *29889:A 36.9929 -*END - -*D_NET *585 0.00142435 -*CONN -*I *29726:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25780:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29726:A 0.000185272 -2 *25780:X 0.000185272 -3 *29726:A *25765:A 5.33005e-05 -4 *29726:A *29341:A 0.00031591 -5 *29726:A *5688:173 0.000175119 -6 *29726:A *5824:84 0.000509479 -*RES -1 *25780:X *29726:A 34.6357 -*END - -*D_NET *586 0.000967428 -*CONN -*I *29670:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25781:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29670:A 0.000207288 -2 *25781:X 0.000207288 -3 *29670:A *1871:12 7.3184e-05 -4 *29670:A *1873:8 0.000307303 -5 *29670:A *5301:40 7.62712e-05 -6 *29670:A *5824:108 9.60939e-05 -*RES -1 *25781:X *29670:A 32.6179 -*END - -*D_NET *587 0.000681543 -*CONN -*I *30101:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25782:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30101:A 9.34881e-05 -2 *25782:X 9.34881e-05 -3 *30101:A *5721:20 0.000321738 -4 *30101:A *5780:79 0.000172829 -*RES -1 *25782:X *30101:A 31.6714 -*END - -*D_NET *588 0.00178816 -*CONN -*I *29346:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25784:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29346:A 0.000432117 -2 *25784:X 0.000432117 -3 *29346:A *28248:CLK 5.52302e-05 -4 *29346:A *28248:D 1.55885e-05 -5 *29346:A *28248:RESET_B 6.05161e-06 -6 *29346:A *3688:69 0.000359773 -7 *29346:A *3713:119 0.000167266 -8 *29346:A *5761:109 0.000104974 -9 *29346:A *5761:122 0.000215049 -*RES -1 *25784:X *29346:A 37.9571 -*END - -*D_NET *589 0.000274854 -*CONN -*I *29852:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25785:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29852:A 3.75945e-05 -2 *25785:X 3.75945e-05 -3 *29852:A *3713:130 0.000129868 -4 *29852:A *5709:107 6.97972e-05 -*RES -1 *25785:X *29852:A 29.2429 -*END - -*D_NET *590 0.00191667 -*CONN -*I *29785:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25786:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29785:A 0.000874948 -2 *25786:X 0.000874948 -3 *29785:A *3553:10 1.58163e-05 -4 *29785:A *3553:25 1.58163e-05 -5 *29785:A *3684:64 8.71576e-05 -6 *29785:A *3688:69 0 -7 *29785:A *5839:63 4.79842e-05 -*RES -1 *25786:X *29785:A 38.4036 -*END - -*D_NET *591 0.00283327 -*CONN -*I *29683:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25787:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29683:A 0.000327175 -2 *25787:X 0.000327175 -3 *29683:A *25252:A1 0.000220441 -4 *29683:A *1362:48 0.000119391 -5 *29683:A *1422:7 5.52238e-05 -6 *29683:A *1422:100 0.000872909 -7 *29683:A *3684:72 0.000596344 -8 *29683:A *5215:12 0.000220441 -9 *29683:A *5824:37 9.41642e-05 -*RES -1 *25787:X *29683:A 39.6179 -*END - -*D_NET *592 0.0016115 -*CONN -*I *30225:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25788:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30225:A 0.000229637 -2 *25788:X 0.000229637 -3 *30225:A *28249:D 0.000354487 -4 *30225:A *28249:RESET_B 8.15095e-05 -5 *30225:A *3585:41 3.48139e-05 -6 *30225:A *5761:157 1.58163e-05 -7 *30225:A *5761:179 0.000513271 -8 *30225:A *5824:28 0.000152333 -*RES -1 *25788:X *30225:A 36.2429 -*END - -*D_NET *593 0.00679038 -*CONN -*I *28250:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *25790:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28250:D 0.000541571 -2 *25790:X 0.00138739 -3 *593:19 0.00192896 -4 *28250:D *1323:80 3.91517e-05 -5 *28250:D *1665:49 0.000521001 -6 *28250:D *5541:8 0 -7 *28250:D *5566:8 0 -8 *593:19 *24872:A 0.000303175 -9 *593:19 *25073:A0 0.000221815 -10 *593:19 *25168:S 0.000107909 -11 *593:19 *25790:A0 0.000462895 -12 *593:19 *1145:11 1.75012e-05 -13 *593:19 *1191:85 0.000199209 -14 *593:19 *1665:49 4.35306e-05 -15 *593:19 *1871:81 0.000149883 -16 *593:19 *3564:71 0.000136014 -17 *593:19 *4174:17 0.000256328 -18 *593:19 *5248:11 0.000128409 -19 *593:19 *5564:8 0.00034563 -*RES -1 *25790:X *593:19 46.175 -2 *593:19 *28250:D 24.5545 -*END - -*D_NET *594 0.00114653 -*CONN -*I *28251:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *25791:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28251:D 0.000330394 -2 *25791:X 0.000330394 -3 *28251:D *1358:85 0.000189352 -4 *28251:D *1871:81 0.000148196 -5 *28251:D *5554:35 0.000148196 -*RES -1 *25791:X *28251:D 34.6402 -*END - -*D_NET *595 0.00334017 -*CONN -*I *28252:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *25792:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28252:D 0.000643183 -2 *25792:X 0.000643183 -3 *28252:D *1145:11 0.000886667 -4 *28252:D *1323:80 6.73707e-06 -5 *28252:D *1323:96 0.0006192 -6 *28252:D *1874:59 9.41642e-05 -7 *28252:D *3577:33 0.000257882 -8 *28252:D *5564:8 2.39999e-05 -9 wb_dat_o[11] *28252:D 0.000165157 -*RES -1 *25792:X *28252:D 44.1223 -*END - -*D_NET *596 0.00107213 -*CONN -*I *28253:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *25793:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28253:D 0.000536065 -2 *25793:X 0.000536065 -3 *28253:D *1871:63 0 -4 *28253:D *1871:70 0 -*RES -1 *25793:X *28253:D 26.4795 -*END - -*D_NET *597 0.00250736 -*CONN -*I *28254:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *25794:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28254:D 0.000588087 -2 *25794:X 0.000588087 -3 *28254:D *25794:A1 8.23929e-05 -4 *28254:D *28254:CLK 0.000146026 -5 *28254:D *1665:29 0.000251326 -6 *28254:D *1665:33 0.000189914 -7 *28254:D *3564:14 0 -8 *28254:D *3577:7 0.000399594 -9 *414:22 *28254:D 0.000261933 -*RES -1 *25794:X *28254:D 43.7473 -*END - -*D_NET *598 0.000644102 -*CONN -*I *28255:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *25795:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28255:D 0.000168079 -2 *25795:X 0.000168079 -3 *28255:D *3579:69 0.000149991 -4 *28255:D *3579:76 9.54798e-06 -5 *28255:D *5568:10 0.000148405 -*RES -1 *25795:X *28255:D 30.9393 -*END - -*D_NET *599 0.000692759 -*CONN -*I *28256:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *25796:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28256:D 0.000195329 -2 *25796:X 0.000195329 -3 *28256:D *5541:8 0.000150257 -4 *28256:D *5567:14 0.000151843 -*RES -1 *25796:X *28256:D 31.0688 -*END - -*D_NET *600 0.00213197 -*CONN -*I *28257:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *25797:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28257:D 0.00072178 -2 *25797:X 0.00072178 -3 *28257:D *28880:A 0.00010326 -4 *28257:D *30984:A 3.50931e-05 -5 *28257:D *2149:10 0.000287849 -6 *28257:D *5542:44 0.000246394 -7 *28257:D *5563:7 1.58163e-05 -*RES -1 *25797:X *28257:D 40.9437 -*END - -*D_NET *601 0.00538102 -*CONN -*I *29306:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25799:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29306:A 0.00173256 -2 *25799:X 0.00173256 -3 *29306:A *24871:A1 7.30699e-05 -4 *29306:A *24871:A2 5.20132e-05 -5 *29306:A *24933:C_N 0.000136958 -6 *29306:A *29454:A 8.70594e-05 -7 *29306:A *1197:15 0.000453274 -8 *29306:A *1231:17 0.000124471 -9 *29306:A *3739:19 0.000388045 -10 *29306:A *5077:11 0.000274297 -11 *29306:A *5805:17 0.000326714 -*RES -1 *25799:X *29306:A 46.5643 -*END - -*D_NET *602 0.000904707 -*CONN -*I *30537:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25800:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30537:A 0.000114059 -2 *25800:X 0.000114059 -3 *30537:A *27913:A1 0.000120708 -4 *30537:A *3830:36 5.41797e-06 -5 *30537:A *4126:39 0.000110238 -6 *30537:A *4163:95 0.000219289 -7 *30537:A *5727:243 0.000220935 -*RES -1 *25800:X *30537:A 31.4214 -*END - -*D_NET *603 0.00335241 -*CONN -*I *30355:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25801:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30355:A 0.000801927 -2 *25801:X 0.000801927 -3 *30355:A *1324:37 2.06112e-05 -4 *30355:A *3558:17 0.000589595 -5 *30355:A *3697:33 0.000653552 -6 *30355:A *4103:8 6.57779e-05 -7 *30355:A *4103:18 0.000365632 -8 *30355:A *4103:40 5.33833e-05 -*RES -1 *25801:X *30355:A 42.4036 -*END - -*D_NET *604 0.0011644 -*CONN -*I *30251:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25802:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30251:A 0.0001713 -2 *25802:X 0.0001713 -3 *30251:A *28261:D 0.000178847 -4 *30251:A *30080:A 0.000465137 -5 *30251:A *3196:179 0.000177815 -*RES -1 *25802:X *30251:A 23.6714 -*END - -*D_NET *605 0.00150279 -*CONN -*I *29883:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25803:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29883:A 0.000318668 -2 *25803:X 0.000318668 -3 *29883:A *28262:D 0.000185643 -4 *29883:A *4118:26 0.000257619 -5 *29883:A *5611:27 0.000216755 -6 *29883:A *5719:86 0.000205432 -*RES -1 *25803:X *29883:A 26.5464 -*END - -*D_NET *606 0.00289541 -*CONN -*I *28263:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *25805:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28263:D 0.0010022 -2 *25805:X 0.0010022 -3 *28263:D *25036:A1 0.000112077 -4 *28263:D *746:10 9.58181e-05 -5 *28263:D *1323:80 9.50861e-05 -6 *28263:D *1324:88 0.000474576 -7 *28263:D *1324:104 9.41642e-05 -8 *28263:D *1665:56 1.92889e-05 -*RES -1 *25805:X *28263:D 35.2295 -*END - -*D_NET *607 0.00202183 -*CONN -*I *28264:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *25806:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28264:D 0.000517118 -2 *25806:X 0.000517118 -3 *28264:D *1323:80 0 -4 *28264:D *1665:56 8.82396e-05 -5 *28264:D *4171:21 0.000388876 -6 *28264:D *5541:8 0 -7 *28264:D *5578:19 0.000295867 -8 wb_dat_o[8] *28264:D 0 -9 *28413:RESET_B *28264:D 0.000214613 -*RES -1 *25806:X *28264:D 40.8545 -*END - -*D_NET *608 0.00168152 -*CONN -*I *28265:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *25807:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28265:D 0.000524768 -2 *25807:X 0.000524768 -3 *28265:D *25790:A0 3.34295e-05 -4 *28265:D *25792:A1 9.95404e-05 -5 *28265:D *31007:A 0.000128154 -6 *28265:D *1545:95 0.000344925 -7 *28265:D *5547:7 2.59355e-05 -*RES -1 *25807:X *28265:D 38.3009 -*END - -*D_NET *609 0.00430832 -*CONN -*I *28266:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *25808:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28266:D 0.00101232 -2 *25808:X 0.00101232 -3 *28266:D *25227:A0 0.000735345 -4 *28266:D *25227:S 9.41642e-05 -5 *28266:D *25448:B2 9.60875e-05 -6 *28266:D *25792:A1 4.43256e-05 -7 *28266:D *25807:A0 0.000181374 -8 *28266:D *25808:A1 0.000293502 -9 *28266:D *25808:S 0.000346906 -10 *28266:D *1324:104 0.000267738 -11 *28266:D *1603:102 6.12668e-05 -12 *28266:D *1873:61 5.08488e-05 -13 *28266:D *5549:34 3.30337e-05 -14 *28411:RESET_B *28266:D 7.90803e-05 -*RES -1 *25808:X *28266:D 49.8723 -*END - -*D_NET *610 0.000551112 -*CONN -*I *28267:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *25809:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28267:D 0.00013198 -2 *25809:X 0.00013198 -3 *28267:D *28855:A 9.91224e-05 -4 *28267:D *1198:7 0.000188029 -*RES -1 *25809:X *28267:D 30.7473 -*END - -*D_NET *611 0.00240403 -*CONN -*I *28268:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *25810:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28268:D 0.000674443 -2 *25810:X 0.000674443 -3 *28268:D *27973:A0 0.000139913 -4 *28268:D *29576:A 0.000413665 -5 *28268:D *1145:11 0.000159071 -6 *28268:D *1545:96 0.000342495 -7 *28268:D *3510:156 0 -8 *28268:D *3510:166 0 -*RES -1 *25810:X *28268:D 41.5866 -*END - -*D_NET *612 0.00182247 -*CONN -*I *28269:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *25811:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28269:D 0.000672047 -2 *25811:X 0.000672047 -3 *28269:D *29576:A 9.25014e-06 -4 *28269:D *29624:A 0.00032093 -5 *28269:D *1393:132 0 -6 *28269:D *1545:96 0.000148196 -*RES -1 *25811:X *28269:D 39.158 -*END - -*D_NET *613 0.000775254 -*CONN -*I *28270:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *25812:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28270:D 9.27644e-05 -2 *25812:X 9.27644e-05 -3 *28270:D *5541:8 0.000294073 -4 *28270:D *5568:10 0.000295653 -*RES -1 *25812:X *28270:D 31.533 -*END - -*D_NET *614 0.000485101 -*CONN -*I *28271:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *25814:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28271:D 5.95061e-05 -2 *25814:X 5.95061e-05 -3 *28271:D *3571:29 0.000102545 -4 *28271:D *4159:100 8.03949e-05 -5 *28271:D *6114:24 0.000100831 -6 *28078:RESET_B *28271:D 8.23182e-05 -*RES -1 *25814:X *28271:D 29.7473 -*END - -*D_NET *615 0.00147409 -*CONN -*I *28272:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *25815:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28272:D 0.000475088 -2 *25815:X 0.000475088 -3 *28272:D *1739:26 0.000137074 -4 *28272:D *5333:14 0.000150625 -5 *28272:D *5558:17 8.55871e-05 -6 *413:8 *28272:D 0.000150625 -*RES -1 *25815:X *28272:D 36.283 -*END - -*D_NET *616 0.0025819 -*CONN -*I *28273:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *25816:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28273:D 0.000760707 -2 *25816:X 0.000760707 -3 *28273:D *25815:A0 0.000393413 -4 *28273:D *25815:A1 9.41642e-05 -5 *28273:D *25815:S 1.98839e-05 -6 *28273:D *1603:76 0 -7 *28273:D *1874:98 0.000553029 -*RES -1 *25816:X *28273:D 31.5152 -*END - -*D_NET *617 0.000400995 -*CONN -*I *28274:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *25817:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28274:D 9.63804e-05 -2 *25817:X 9.63804e-05 -3 *28274:D *28274:CLK 0.000104974 -4 *28274:D *6114:24 0.00010326 -*RES -1 *25817:X *28274:D 29.7473 -*END - -*D_NET *618 0.000606417 -*CONN -*I *28275:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *25818:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28275:D 0.000113771 -2 *25818:X 0.000113771 -3 *28275:D *27977:A1 0.000100823 -4 *28275:D *28275:CLK 0.000139025 -5 *28275:D *1743:54 0.000139025 -*RES -1 *25818:X *28275:D 30.3366 -*END - -*D_NET *619 0.000934346 -*CONN -*I *28276:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *25819:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28276:D 0.000211373 -2 *25819:X 0.000211373 -3 *28276:D *28276:CLK 4.1331e-06 -4 *28276:D *5541:8 0.000336512 -5 *28276:D *6114:24 0.000170955 -6 *28276:D *6125:8 0 -*RES -1 *25819:X *28276:D 32.6759 -*END - -*D_NET *620 0.00132484 -*CONN -*I *28277:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *25820:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28277:D 0.000590367 -2 *25820:X 0.000590367 -3 *28277:D *1874:75 0.000135851 -4 *28277:D *5577:7 8.25843e-06 -*RES -1 *25820:X *28277:D 26.3009 -*END - -*D_NET *621 0.00132725 -*CONN -*I *28278:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *25821:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28278:D 0.000319948 -2 *25821:X 0.000319948 -3 *28278:D *28276:CLK 8.1835e-05 -4 *28278:D *3577:54 0.000268313 -5 *28278:D *5541:8 0.000337204 -*RES -1 *25821:X *28278:D 37.0509 -*END - -*D_NET *622 0.00576601 -*CONN -*I *29365:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25823:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29365:A 1.69531e-05 -2 *25823:X 0.00187411 -3 *622:20 0.00189107 -4 *29365:A *25652:B 5.33005e-05 -5 *29365:A *29025:A 5.33005e-05 -6 *622:20 *623:22 0.000138505 -7 *622:20 *1173:20 0 -8 *622:20 *1738:92 0.000298851 -9 *622:20 *1749:21 0.000196784 -10 *622:20 *1763:22 0.000135624 -11 *622:20 *1810:19 0.000366128 -12 *622:20 *4127:7 7.02611e-05 -13 *622:20 *4192:168 0.000177186 -14 *622:20 *4548:15 0.000422226 -15 *622:20 *5742:38 2.02794e-05 -16 *622:20 *5742:102 5.14314e-05 -*RES -1 *25823:X *622:20 45.7924 -2 *622:20 *29365:A 14.3357 -*END - -*D_NET *623 0.0106014 -*CONN -*I *30517:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25824:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30517:A 0 -2 *25824:X 0.00231868 -3 *623:22 0.00231868 -4 *623:22 *25515:B1 2.25351e-05 -5 *623:22 *25638:A1 0.00052627 -6 *623:22 *28048:A 0.000459523 -7 *623:22 *1175:21 0.000114432 -8 *623:22 *1472:11 0.00253202 -9 *623:22 *1732:29 0.000235716 -10 *623:22 *1745:38 3.31163e-05 -11 *623:22 *1752:15 2.39368e-05 -12 *623:22 *1763:22 0.000516075 -13 *623:22 *1766:24 0.000108765 -14 *623:22 *1795:19 2.59355e-05 -15 *623:22 *1830:55 0.000647675 -16 *623:22 *3558:76 1.69252e-05 -17 *623:22 *4127:14 2.87037e-05 -18 *623:22 *4189:40 0.000223672 -19 *623:22 *5412:27 0.000119221 -20 *623:22 *5614:114 5.50566e-05 -21 *623:22 *5727:20 3.35295e-05 -22 *623:22 *5728:137 2.43382e-05 -23 *429:31 *623:22 7.809e-05 -24 *622:20 *623:22 0.000138505 -*RES -1 *25824:X *623:22 49.4231 -2 *623:22 *30517:A 9.3 -*END - -*D_NET *624 0.00166164 -*CONN -*I *30400:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25825:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30400:A 0.000417279 -2 *25825:X 0.000417279 -3 *30400:A *25467:A1 0.000276807 -4 *30400:A *25698:A0 5.49995e-05 -5 *30400:A *28237:D 5.19752e-05 -6 *30400:A *28281:D 0 -7 *30400:A *1287:25 0.000179045 -8 *30400:A *1440:56 4.31483e-05 -9 *30400:A *1766:33 0.000164409 -10 *30400:A *1844:49 5.66971e-05 -*RES -1 *25825:X *30400:A 37.0643 -*END - -*D_NET *625 0.00199457 -*CONN -*I *29909:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25826:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29909:A 0.000401701 -2 *25826:X 0.000401701 -3 *29909:A *25432:B 0.000306309 -4 *29909:A *28282:D 1.08524e-05 -5 *29909:A *1207:11 0.000257619 -6 *29909:A *1875:36 0.000222156 -7 *29909:A *5742:234 8.65688e-05 -8 *29909:A *5742:240 0.00016063 -9 *29909:A *5797:11 0 -10 *440:29 *29909:A 0.000147034 -*RES -1 *25826:X *29909:A 36.6893 -*END - -*D_NET *626 0.00332119 -*CONN -*I *29887:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25827:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29887:A 0.000681605 -2 *25827:X 0.000681605 -3 *29887:A *28283:D 0.000206262 -4 *29887:A *29899:A 0.000182694 -5 *29887:A *30147:A 4.884e-05 -6 *29887:A *1195:6 0.000429918 -7 *29887:A *1875:15 0.000392577 -8 *29887:A *3626:21 0 -9 *29887:A *5824:63 0.00069769 -*RES -1 *25827:X *29887:A 46.0464 -*END - -*D_NET *627 0.00426487 -*CONN -*I *29164:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25829:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29164:A 0 -2 *25829:X 0.00106945 -3 *627:15 0.00106945 -4 *627:15 *25281:A2_N 2.1398e-05 -5 *627:15 *25281:B2 0 -6 *627:15 *25829:S 0.000597669 -7 *627:15 *25831:S 9.52467e-05 -8 *627:15 *28225:D 3.7044e-05 -9 *627:15 *28229:D 5.89958e-05 -10 *627:15 *28286:CLK 0.000181803 -11 *627:15 *29015:A 6.35864e-05 -12 *627:15 *29442:A 0 -13 *627:15 *1876:26 2.17809e-05 -14 *627:15 *3568:59 0 -15 *627:15 *3806:25 0 -16 *627:15 *4261:17 0.00011799 -17 *627:15 *5733:90 0.000779171 -18 *627:15 *5733:96 7.96048e-05 -19 *504:19 *627:15 6.72205e-05 -20 *568:21 *627:15 4.46186e-06 -*RES -1 *25829:X *627:15 41.5321 -2 *627:15 *29164:A 9.3 -*END - -*D_NET *628 0.00199626 -*CONN -*I *29166:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25830:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29166:A 0.000447886 -2 *25830:X 0.000447886 -3 *29166:A *25830:S 0.000227539 -4 *29166:A *28285:D 0.000140403 -5 *29166:A *1457:80 0 -6 *29166:A *5685:205 0.000606109 -7 *29166:A *5778:31 0.000126439 -*RES -1 *25830:X *29166:A 37.2964 -*END - -*D_NET *629 0.00139295 -*CONN -*I *29443:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25831:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29443:A 0.000540278 -2 *25831:X 0.000540278 -3 *29443:A *25831:A0 0.000122091 -4 *29443:A *28286:D 0.000151141 -5 *29443:A *3568:59 0 -6 *29443:A *5430:12 3.91575e-05 -*RES -1 *25831:X *29443:A 36.85 -*END - -*D_NET *630 0.00104612 -*CONN -*I *30030:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25832:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30030:A 0.000367221 -2 *25832:X 0.000367221 -3 *30030:A *1876:13 5.70797e-05 -4 *30030:A *1876:26 0.000128161 -5 *30030:A *3654:18 0.000117189 -6 *30030:A *3654:20 9.25014e-06 -*RES -1 *25832:X *30030:A 33.6 -*END - -*D_NET *631 0.000998919 -*CONN -*I *29994:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25833:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29994:A 0.000254769 -2 *25833:X 0.000254769 -3 *29994:A *25833:A1 0 -4 *29994:A *25833:S 0 -5 *29994:A *28288:D 0.000125057 -6 *29994:A *5063:17 9.40041e-05 -7 *29994:A *6014:20 0 -8 *29994:A *6103:9 0.00027032 -*RES -1 *25833:X *29994:A 33.8857 -*END - -*D_NET *632 0.000338436 -*CONN -*I *29374:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25835:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29374:A 4.42083e-05 -2 *25835:X 4.42083e-05 -3 *29374:A *1427:142 0.00012501 -4 *29374:A *4140:61 0.00012501 -*RES -1 *25835:X *29374:A 29.2429 -*END - -*D_NET *633 0.000704616 -*CONN -*I *29290:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25836:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29290:A 9.58215e-05 -2 *25836:X 9.58215e-05 -3 *29290:A *25945:A0 0.000135028 -4 *29290:A *3750:16 0.000120697 -5 *29290:A *3830:15 0.000135028 -6 *29290:A *5778:39 0.000122221 -*RES -1 *25836:X *29290:A 30.6 -*END - -*D_NET *634 0.0022177 -*CONN -*I *30432:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25837:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30432:A 0.000596689 -2 *25837:X 0.000596689 -3 *30432:A *1868:31 0.000124544 -4 *30432:A *3934:28 0.000773525 -5 *30432:A *5778:47 0.000126258 -*RES -1 *25837:X *30432:A 36.7607 -*END - -*D_NET *635 0.000855918 -*CONN -*I *29911:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25838:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29911:A 0.000340068 -2 *25838:X 0.000340068 -3 *29911:A *24895:B 0.000175782 -*RES -1 *25838:X *29911:A 24.0821 -*END - -*D_NET *636 0.00192539 -*CONN -*I *29885:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25839:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29885:A 0.000266558 -2 *25839:X 0.000266558 -3 *29885:A *27921:A2 0.000690655 -4 *29885:A *1396:45 0.000685803 -5 *29885:A *3871:32 1.58163e-05 -*RES -1 *25839:X *29885:A 37.0643 -*END - -*D_NET *637 0.00188565 -*CONN -*I *30541:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25841:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30541:A 0.000219671 -2 *25841:X 0.000219671 -3 *30541:A *3684:8 0.000120348 -4 *30541:A *3700:22 0.00042324 -5 *30541:A *3701:25 0.000782373 -6 *30541:A *5007:42 0.000120348 -*RES -1 *25841:X *30541:A 36.7607 -*END - -*D_NET *638 0.000601855 -*CONN -*I *30474:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25842:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30474:A 0.000148414 -2 *25842:X 0.000148414 -3 *30474:A *28295:D 0.000128154 -4 *30474:A *1872:58 0.000129868 -5 *30474:A *5666:55 4.70048e-05 -*RES -1 *25842:X *30474:A 30.6 -*END - -*D_NET *639 0.00199392 -*CONN -*I *29980:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25843:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29980:A 0.000591903 -2 *25843:X 0.000591903 -3 *29980:A *3586:10 0 -4 *29980:A *5545:30 6.42242e-05 -5 *29980:A *5849:11 0.000736643 -6 *29980:A *6358:27 9.25014e-06 -*RES -1 *25843:X *29980:A 36.35 -*END - -*D_NET *640 0.000622473 -*CONN -*I *30247:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25844:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30247:A 7.88445e-05 -2 *25844:X 7.88445e-05 -3 *30247:A *28297:D 0.000196909 -4 *30247:A *3697:31 0.000243778 -5 *30247:A *4103:44 2.40966e-05 -*RES -1 *25844:X *30247:A 30.7607 -*END - -*D_NET *641 0.000915016 -*CONN -*I *30242:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25845:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30242:A 0.000282601 -2 *25845:X 0.000282601 -3 *30242:A *25842:S 9.60939e-05 -4 *30242:A *28298:D 9.71197e-05 -5 *30242:A *3858:19 0.0001566 -*RES -1 *25845:X *30242:A 24.0821 -*END - -*D_NET *642 0.000929516 -*CONN -*I *30530:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25847:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30530:A 0.000131902 -2 *25847:X 0.000131902 -3 *30530:A *3564:11 0.000181189 -4 *30530:A *5611:34 0.000484524 -*RES -1 *25847:X *30530:A 23.6714 -*END - -*D_NET *643 0.00296357 -*CONN -*I *30457:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25848:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30457:A 0.000455464 -2 *25848:X 0.000455464 -3 *30457:A *25850:S 0.000171375 -4 *30457:A *28300:D 0.00061595 -5 *30457:A *3564:11 0.000188586 -6 *30457:A *3586:64 0 -7 *30457:A *3697:8 8.32591e-05 -8 *30457:A *5267:11 0.00028115 -9 *30457:A *5824:50 0.000183726 -10 *30457:A *5841:22 0.000175519 -11 *30457:A *6356:41 0.000353073 -*RES -1 *25848:X *30457:A 42.0821 -*END - -*D_NET *644 0.00225452 -*CONN -*I *30058:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25849:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30058:A 0.000443129 -2 *25849:X 0.000443129 -3 *30058:A *25849:S 0.000267377 -4 *30058:A *25850:A1 0.000340066 -5 *30058:A *28302:CLK 2.14378e-05 -6 *30058:A *28302:D 0.00015234 -7 *30058:A *3586:64 0.000123288 -8 *30058:A *3586:73 0.00019384 -9 *30058:A *3684:64 1.5942e-05 -10 *30058:A *5715:149 0.000253972 -*RES -1 *25849:X *30058:A 39.1179 -*END - -*D_NET *645 0.0011131 -*CONN -*I *29891:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25850:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29891:A 0.000329362 -2 *25850:X 0.000329362 -3 *29891:A *26715:A2 4.01768e-05 -4 *29891:A *2654:10 0 -5 *29891:A *5545:28 0.000358121 -6 *29891:A *5545:30 5.60772e-05 -*RES -1 *25850:X *29891:A 35.0464 -*END - -*D_NET *646 0.000889337 -*CONN -*I *30187:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25851:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30187:A 0.000147013 -2 *25851:X 0.000147013 -3 *30187:A *28303:D 0.000127439 -4 *30187:A *30047:A 9.74684e-05 -5 *30187:A *3541:38 0.000315172 -6 *30187:A *3564:11 5.52302e-05 -*RES -1 *25851:X *30187:A 32.2071 -*END - -*D_NET *647 0.0016409 -*CONN -*I *28304:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *25857:X O *D sky130_fd_sc_hd__a2111o_1 -*CAP -1 *28304:D 0.000397703 -2 *25857:X 0.000397703 -3 *28304:D *25535:A1 0.000114759 -4 *28304:D *27970:B 0.000229456 -5 *28304:D *1172:18 0.000351965 -6 *28304:D *3583:115 3.49075e-05 -7 *28304:D *3583:126 0.000114409 -*RES -1 *25857:X *28304:D 35.975 -*END - -*D_NET *648 0.00212613 -*CONN -*I *30552:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25859:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30552:A 0.000561675 -2 *25859:X 0.000561675 -3 *30552:A *28309:RESET_B 4.13001e-05 -4 *30552:A *1287:14 6.09476e-05 -5 *30552:A *3701:19 0.000152269 -6 *30552:A *3702:7 6.86693e-05 -7 *30552:A *5267:17 0.000679593 -8 *30552:A *5688:242 0 -*RES -1 *25859:X *30552:A 39.5286 -*END - -*D_NET *649 0.0027729 -*CONN -*I *30480:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25860:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30480:A 0.00117532 -2 *25860:X 0.00117532 -3 *30480:A *24961:A 1.11428e-05 -4 *30480:A *28305:CLK 0.000167119 -5 *30480:A *28305:RESET_B 2.77274e-05 -6 *30480:A *28309:RESET_B 2.29097e-05 -7 *30480:A *29012:A 5.71472e-05 -8 *30480:A *1287:97 3.69697e-05 -9 *30480:A *3710:15 9.92409e-05 -*RES -1 *25860:X *30480:A 43.4214 -*END - -*D_NET *650 0.00269858 -*CONN -*I *30180:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25861:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30180:A 0.000512087 -2 *25861:X 0.000512087 -3 *30180:A *24961:A 0.000224583 -4 *30180:A *28305:RESET_B 0.000172602 -5 *30180:A *28307:D 3.34687e-05 -6 *30180:A *30135:A 0.000119135 -7 *30180:A *1873:35 3.30237e-05 -8 *30180:A *3710:77 1.46624e-05 -9 *30180:A *5761:8 0.000168598 -10 *30180:A *5761:40 0.000246064 -11 *30180:A *5824:63 0.000350055 -12 *30180:A *6358:27 0.000312215 -*RES -1 *25861:X *30180:A 41.7071 -*END - -*D_NET *651 0.00181918 -*CONN -*I *30147:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25862:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30147:A 0.00058743 -2 *25862:X 0.00058743 -3 *30147:A *28308:D 0.000237175 -4 *30147:A *28309:CLK 9.91086e-05 -5 *30147:A *28309:D 6.74815e-05 -6 *30147:A *1862:34 8.91066e-05 -7 *30147:A *1862:36 0.000102608 -8 *30147:A *5855:8 0 -9 *29887:A *30147:A 4.884e-05 -*RES -1 *25862:X *30147:A 40.0643 -*END - -*D_NET *652 0.00130452 -*CONN -*I *29900:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25863:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29900:A 0.000382916 -2 *25863:X 0.000382916 -3 *29900:A *29012:A 0.000538689 -*RES -1 *25863:X *29900:A 25.0464 -*END - -*D_NET *653 0.00095889 -*CONN -*I *29331:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25865:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29331:A 0.000172785 -2 *25865:X 0.000172785 -3 *29331:A *27158:S 0.000304646 -4 *29331:A *27924:A1 0.000112777 -5 *29331:A *28310:D 9.54301e-05 -6 *29331:A *3479:8 4.71666e-05 -7 *400:14 *29331:A 5.33005e-05 -*RES -1 *25865:X *29331:A 32.2071 -*END - -*D_NET *654 0.000967487 -*CONN -*I *30490:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25866:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30490:A 0.000171664 -2 *25866:X 0.000171664 -3 *30490:A *28311:D 0.000256256 -4 *30490:A *1205:22 1.46148e-05 -5 *30490:A *3119:16 8.63766e-05 -6 *30490:A *3192:107 5.01876e-06 -7 *30490:A *3558:17 0.000117919 -8 *30490:A *3713:39 1.72244e-05 -9 *30490:A *3947:68 2.84109e-05 -10 *30490:A *5623:23 9.83388e-05 -*RES -1 *25866:X *30490:A 32.6179 -*END - -*D_NET *655 0.000784894 -*CONN -*I *30358:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25867:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30358:A 0.000129712 -2 *25867:X 0.000129712 -3 *30358:A *28312:D 2.10292e-05 -4 *30358:A *4142:26 0.000318576 -5 *30358:A *5651:189 0 -6 *30358:A *5699:10 3.43988e-06 -7 *30358:A *5839:26 0.000182425 -*RES -1 *25867:X *30358:A 31.6714 -*END - -*D_NET *656 0.00124545 -*CONN -*I *30263:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25868:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30263:A 0.000291365 -2 *25868:X 0.000291365 -3 *30263:A *25868:A0 0 -4 *30263:A *25868:A1 9.83159e-05 -5 *30263:A *28313:D 0.000139239 -6 *30263:A *28718:RESET_B 0.000130329 -7 *30263:A *29002:A 1.88312e-05 -8 *30263:A *3192:118 0 -9 *30263:A *3723:80 5.53507e-05 -10 *30263:A *4142:26 6.57128e-05 -11 *30263:A *5743:122 0.000154944 -*RES -1 *25868:X *30263:A 34.9214 -*END - -*D_NET *657 0.0030697 -*CONN -*I *30255:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25869:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30255:A 0.000969533 -2 *25869:X 0.000969533 -3 *30255:A *25869:A1 2.59355e-05 -4 *30255:A *25869:S 6.57032e-05 -5 *30255:A *28314:CLK 0.000134862 -6 *30255:A *28314:D 8.55871e-05 -7 *30255:A *28314:RESET_B 1.86517e-05 -8 *30255:A *28990:A 0.000111102 -9 *30255:A *3197:115 5.59013e-05 -10 *30255:A *3216:142 1.76335e-05 -11 *30255:A *3714:28 2.2628e-05 -12 *30255:A *3947:68 0.00038842 -13 *30255:A *5909:44 5.88095e-05 -14 *30255:A *5909:61 0.000145399 -*RES -1 *25869:X *30255:A 42.85 -*END - -*D_NET *658 0.00235542 -*CONN -*I *29367:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25871:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29367:A 0.000668793 -2 *25871:X 0.000668793 -3 *29367:A *25546:A0 8.85631e-05 -4 *29367:A *25634:A 4.43256e-05 -5 *29367:A *25634:B 0.000410305 -6 *29367:A *25945:S 0.000181803 -7 *29367:A *29287:A 0.000105116 -8 *29367:A *1827:11 0.000139913 -9 *29367:A *3710:38 4.78056e-05 -*RES -1 *25871:X *29367:A 40.2964 -*END - -*D_NET *659 0.000709934 -*CONN -*I *30515:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25872:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30515:A 0.000155161 -2 *25872:X 0.000155161 -3 *30515:A *1829:48 0.000305701 -4 *30515:A *5712:52 9.39107e-05 -*RES -1 *25872:X *30515:A 31.6714 -*END - -*D_NET *660 0.000331028 -*CONN -*I *30452:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25873:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30452:A 3.8068e-05 -2 *25873:X 3.8068e-05 -3 *30452:A *1408:28 0.000127446 -4 *30452:A *3687:52 0.000127446 -*RES -1 *25873:X *30452:A 29.2429 -*END - -*D_NET *661 0.00441854 -*CONN -*I *30289:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25874:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30289:A 0.00128999 -2 *25874:X 0.00128999 -3 *30289:A *1396:64 0.000285962 -4 *30289:A *1744:112 1.28099e-05 -5 *30289:A *1888:27 1.90936e-05 -6 *30289:A *2874:101 4.15592e-05 -7 *30289:A *3727:20 4.15592e-05 -8 *30289:A *3749:105 0.000921844 -9 *30289:A *3896:56 8.46266e-05 -10 *30289:A *4126:84 1.90936e-05 -11 *30289:A *4159:56 3.1971e-05 -12 *30289:A *5007:31 0.000254782 -13 *30289:A *5253:44 7.41135e-05 -14 *402:13 *30289:A 5.11398e-05 -*RES -1 *25874:X *30289:A 39.6172 -*END - -*D_NET *662 0.0010179 -*CONN -*I *30344:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25875:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30344:A 0.000257473 -2 *25875:X 0.000257473 -3 *30344:A *1247:25 5.90666e-05 -4 *30344:A *2886:102 9.91086e-05 -5 *30344:A *5623:23 2.89114e-05 -6 *30344:A *5686:17 0.000163455 -7 *30344:A *5686:35 5.33005e-05 -8 *30344:A *5686:185 9.91086e-05 -*RES -1 *25875:X *30344:A 32.0821 -*END - -*D_NET *663 0.00106771 -*CONN -*I *29363:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25877:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29363:A 0.00023609 -2 *25877:X 0.00023609 -3 *29363:A *25467:A2 8.89464e-05 -4 *29363:A *25514:A 1.74852e-05 -5 *29363:A *1427:143 0.00011594 -6 *29363:A *1741:13 0.000136958 -7 *29363:A *5693:87 0.000117024 -8 *29363:A *5699:41 0.000119179 -*RES -1 *25877:X *29363:A 32.5107 -*END - -*D_NET *664 0.000279277 -*CONN -*I *30528:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25878:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30528:A 5.71531e-05 -2 *25878:X 5.71531e-05 -3 *30528:A *1829:48 0.000124655 -4 *30528:A *5712:52 4.03157e-05 -*RES -1 *25878:X *30528:A 29.2429 -*END - -*D_NET *665 0.00192317 -*CONN -*I *29455:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25879:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29455:A 0.000434268 -2 *25879:X 0.000434268 -3 *29455:A *30401:A 5.52302e-05 -4 *29455:A *1427:149 0.000128154 -5 *29455:A *4065:21 0.000128154 -6 *29455:A *5806:8 0.000438781 -7 *28092:RESET_B *29455:A 0.00030432 -*RES -1 *25879:X *29455:A 38.4036 -*END - -*D_NET *666 0.00175838 -*CONN -*I *29907:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25880:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29907:A 0.000534782 -2 *25880:X 0.000534782 -3 *29907:A *28333:D 0.000110029 -4 *29907:A *28333:RESET_B 0.000110239 -5 *29907:A *3165:343 0.000193248 -6 *29907:A *3196:145 2.1044e-05 -7 *29907:A *3711:16 8.69688e-05 -8 *29907:A *3711:21 6.6261e-05 -9 *29907:A *5611:27 6.46107e-05 -10 *29907:A *5686:118 3.64113e-05 -*RES -1 *25880:X *29907:A 36.3143 -*END - -*D_NET *667 0.00408681 -*CONN -*I *30244:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25881:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30244:A 0.000726941 -2 *25881:X 0.000726941 -3 *30244:A *24925:A 4.87854e-05 -4 *30244:A *24925:B 5.33005e-05 -5 *30244:A *28334:D 0.0015133 -6 *30244:A *1202:17 1.24368e-05 -7 *30244:A *1251:21 0.000136951 -8 *30244:A *5838:31 0.000694551 -9 *30276:A *30244:A 0.000173602 -*RES -1 *25881:X *30244:A 39.1536 -*END - -*D_NET *668 0.00210512 -*CONN -*I *29342:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25883:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29342:A 0.000453589 -2 *25883:X 0.000453589 -3 *29342:A *26735:A1 0.000101545 -4 *29342:A *28231:D 0.000511661 -5 *29342:A *28335:D 0.000112829 -6 *29342:A *29749:A 0.000136958 -7 *29342:A *29801:A 0.000178847 -8 *29342:A *1871:24 5.45582e-05 -9 *29342:A *5780:47 0.000101545 -*RES -1 *25883:X *29342:A 37.4214 -*END - -*D_NET *669 0.00140038 -*CONN -*I *29807:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25884:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29807:A 0.000215045 -2 *25884:X 0.000215045 -3 *29807:A *28336:D 8.49275e-05 -4 *29807:A *30165:A 0.000313468 -5 *29807:A *3947:15 6.94441e-06 -6 *29807:A *5669:48 0.000289735 -7 *29807:A *5669:57 0.000220219 -8 *29807:A *5688:205 5.49995e-05 -*RES -1 *25884:X *29807:A 34.6357 -*END - -*D_NET *670 0.00201377 -*CONN -*I *29741:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25885:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29741:A 0.000323237 -2 *25885:X 0.000323237 -3 *29741:A *1464:38 8.55871e-05 -4 *29741:A *3655:24 0.000311028 -5 *29741:A *3775:28 0.000315172 -6 *29741:A *3957:67 0.000177821 -7 *29741:A *5688:181 4.87854e-05 -8 *29741:A *5709:94 0.000428902 -*RES -1 *25885:X *29741:A 36.85 -*END - -*D_NET *671 0.00353566 -*CONN -*I *29606:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25886:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29606:A 0.000864717 -2 *25886:X 0.000864717 -3 *29606:A *25770:S 0.000742357 -4 *29606:A *25896:A1 0.000175892 -5 *29606:A *25896:S 0.000175892 -6 *29606:A *26763:C 2.09897e-05 -7 *29606:A *28233:D 0.000125355 -8 *29606:A *3413:25 0.000177191 -9 *29606:A *3948:17 0.000128331 -10 *29606:A *4350:8 0.000130965 -11 *29606:A *6354:8 0.000129251 -*RES -1 *25886:X *29606:A 42.5531 -*END - -*D_NET *672 0.00177811 -*CONN -*I *30165:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25887:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30165:A 0.000277697 -2 *25887:X 0.000277697 -3 *30165:A *28336:D 0.000549418 -4 *30165:A *3947:15 0.000128617 -5 *30165:A *5669:48 0.000153201 -6 *30165:A *5709:102 7.80096e-05 -7 *29807:A *30165:A 0.000313468 -*RES -1 *25887:X *30165:A 37.0643 -*END - -*D_NET *673 0.00129129 -*CONN -*I *29380:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25889:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29380:A 0.000285504 -2 *25889:X 0.000285504 -3 *29380:A *25889:A1 0.00017754 -4 *29380:A *3816:43 4.65944e-05 -5 *29380:A *5691:139 0.00019806 -6 *29380:A *5869:32 0.000298084 -*RES -1 *25889:X *29380:A 33.4393 -*END - -*D_NET *674 0.000493229 -*CONN -*I *29932:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25890:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29932:A 7.36782e-05 -2 *25890:X 7.36782e-05 -3 *29932:A *1271:88 5.33005e-05 -4 *29932:A *1592:20 0.000119636 -5 *29932:A *2834:40 5.33005e-05 -6 *282:21 *29932:A 0.000119636 -*RES -1 *25890:X *29932:A 29.7786 -*END - -*D_NET *675 0.00167871 -*CONN -*I *29717:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25891:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29717:A 0.000632068 -2 *25891:X 0.000632068 -3 *29717:A *25891:A0 7.43578e-06 -4 *29717:A *28342:D 7.79129e-05 -5 *29717:A *3156:59 3.30337e-05 -6 *29717:A *3797:53 0 -7 *29717:A *3992:25 9.41642e-05 -8 *29717:A *4062:27 7.5779e-05 -9 *29717:A *5655:113 7.40571e-05 -10 *29717:A *5750:130 5.21937e-05 -*RES -1 *25891:X *29717:A 36.3143 -*END - -*D_NET *676 0.00127489 -*CONN -*I *30122:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25892:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30122:A 0.000259435 -2 *25892:X 0.000259435 -3 *30122:A *28343:D 1.94945e-05 -4 *30122:A *1418:15 0.000294865 -5 *30122:A *3547:25 0.000441662 -*RES -1 *25892:X *30122:A 35.4214 -*END - -*D_NET *677 0.00119962 -*CONN -*I *30353:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25893:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30353:A 0.000200211 -2 *25893:X 0.000200211 -3 *30353:A *25893:A0 2.59355e-05 -4 *30353:A *25893:A1 1.51029e-05 -5 *30353:A *28344:D 0.000103028 -6 *30353:A *2778:66 9.71197e-05 -7 *30353:A *2865:44 0.000558008 -*RES -1 *25893:X *30353:A 24.4929 -*END - -*D_NET *678 0.000802872 -*CONN -*I *29337:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25895:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29337:A 8.01788e-05 -2 *25895:X 8.01788e-05 -3 *29337:A *3581:33 0.000321257 -4 *29337:A *5697:23 0.000321257 -*RES -1 *25895:X *29337:A 31.6714 -*END - -*D_NET *679 0.00223674 -*CONN -*I *29811:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25896:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29811:A 0.000422274 -2 *25896:X 0.000422274 -3 *29811:A *25886:A0 3.92854e-05 -4 *29811:A *25896:A0 0.00017309 -5 *29811:A *26727:A 0.00035013 -6 *29811:A *28346:D 0.00027052 -7 *29811:A *29479:A 5.10978e-05 -8 *29811:A *1867:16 6.71755e-05 -9 *29811:A *2483:28 0 -10 *29811:A *5780:61 0.000440892 -*RES -1 *25896:X *29811:A 39.4036 -*END - -*D_NET *680 0.00124393 -*CONN -*I *29728:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25897:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29728:A 0.000155698 -2 *25897:X 0.000155698 -3 *29728:A *29336:A 9.0573e-05 -4 *29728:A *1816:26 9.02837e-05 -5 *29728:A *3775:70 0.000247771 -6 *29728:A *5780:79 0.000503906 -*RES -1 *25897:X *29728:A 34.1 -*END - -*D_NET *681 0.00241436 -*CONN -*I *29584:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25898:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29584:A 0.00070994 -2 *25898:X 0.00070994 -3 *29584:A *28348:D 0.000136951 -4 *29584:A *28349:D 9.91086e-05 -5 *29584:A *30115:A 4.16984e-05 -6 *29584:A *1891:11 9.94194e-05 -7 *29584:A *3656:93 9.91086e-05 -8 *29584:A *4350:87 0.000301438 -9 *29584:A *5719:37 0.000216755 -*RES -1 *25898:X *29584:A 38.6536 -*END - -*D_NET *682 0.00148656 -*CONN -*I *30116:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25899:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30116:A 0.000475714 -2 *25899:X 0.000475714 -3 *30116:A *26657:B 0.000225314 -4 *30116:A *29479:A 4.89264e-05 -5 *30116:A *30115:A 0.000144351 -6 *30116:A *5250:29 0.000116545 -*RES -1 *25899:X *30116:A 35.9036 -*END - -*D_NET *683 0.000839726 -*CONN -*I *29132:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25901:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29132:A 0.000105405 -2 *25901:X 0.000105405 -3 *29132:A *27666:B1 0.000314458 -4 *29132:A *3920:40 0.000314458 -*RES -1 *25901:X *29132:A 31.6714 -*END - -*D_NET *684 0.00273295 -*CONN -*I *29863:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25902:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29863:A 0.000439361 -2 *25902:X 0.000439361 -3 *29863:A *25902:A0 5.96516e-05 -4 *29863:A *25902:A1 4.72733e-05 -5 *29863:A *25902:S 0.000263524 -6 *29863:A *28351:D 8.5166e-05 -7 *29863:A *29862:A 0.000132879 -8 *29863:A *5824:147 0.00126574 -*RES -1 *25902:X *29863:A 31.475 -*END - -*D_NET *685 0.00114205 -*CONN -*I *29819:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25903:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29819:A 0.000288367 -2 *25903:X 0.000288367 -3 *29819:A *25900:A 0.000128154 -4 *29819:A *25903:A1 8.98897e-05 -5 *29819:A *1892:8 0.00010326 -6 *29819:A *5650:304 0.000120402 -7 *29819:A *5669:245 9.11365e-06 -8 *29819:A *5833:178 8.55871e-05 -9 *29819:A *5833:190 2.89114e-05 -*RES -1 *25903:X *29819:A 33.85 -*END - -*D_NET *686 0.00231279 -*CONN -*I *29687:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25904:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29687:A 0.000762866 -2 *25904:X 0.000762866 -3 *29687:A *28353:D 0.000134179 -4 *29687:A *1174:51 0.00024601 -5 *29687:A *4038:8 0.00013906 -6 *29687:A *5458:40 0.000267814 -*RES -1 *25904:X *29687:A 40.7964 -*END - -*D_NET *687 0.00213078 -*CONN -*I *30181:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25905:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30181:A 0.000237158 -2 *25905:X 0.000237158 -3 *30181:A *1883:29 9.60875e-05 -4 *30181:A *5457:22 0.00016252 -5 *30181:A *5458:40 0.000698927 -6 *30181:A *5719:17 0.000698927 -*RES -1 *25905:X *30181:A 37.475 -*END - -*D_NET *688 0.00147346 -*CONN -*I *29261:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25907:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29261:A 0.000209808 -2 *25907:X 0.000209808 -3 *29261:A *25399:B1 0.000192588 -4 *29261:A *1395:62 0.00030829 -5 *29261:A *1600:17 5.52238e-05 -6 *29261:A *5497:39 0.000497739 -*RES -1 *25907:X *29261:A 34.6357 -*END - -*D_NET *689 0.00283872 -*CONN -*I *29243:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25908:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29243:A 0.000562824 -2 *25908:X 0.000562824 -3 *29243:A *25909:A1 0.00010326 -4 *29243:A *28355:RESET_B 1.72894e-05 -5 *29243:A *3568:17 0.000455399 -6 *29243:A *5063:5 9.60875e-05 -7 *29243:A *5510:87 0.00104104 -*RES -1 *25908:X *29243:A 42.8679 -*END - -*D_NET *690 0.000937628 -*CONN -*I *29212:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25909:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29212:A 0.000229923 -2 *25909:X 0.000229923 -3 *29212:A *5711:47 0.000477782 -*RES -1 *25909:X *29212:A 23.6714 -*END - -*D_NET *691 0.000824831 -*CONN -*I *30082:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25910:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30082:A 0.000166765 -2 *25910:X 0.000166765 -3 *30082:A *27526:A1 0 -4 *30082:A *28358:D 0.000260772 -5 *30082:A *1395:61 5.52238e-05 -6 *30082:A *3568:17 6.36232e-05 -7 *30082:A *5698:55 5.52238e-05 -8 *30082:A *5738:59 5.64584e-05 -*RES -1 *25910:X *30082:A 32.2071 -*END - -*D_NET *692 0.00318231 -*CONN -*I *29987:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25911:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29987:A 0.000844002 -2 *25911:X 0.000844002 -3 *29987:A *1457:35 0.000979685 -4 *29987:A *3789:14 9.83597e-05 -5 *29987:A *5200:10 0.000306492 -6 *29987:A *5699:147 0.000109774 -*RES -1 *25911:X *29987:A 41.2429 -*END - -*D_NET *693 0.000581875 -*CONN -*I *29238:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25913:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29238:A 7.01882e-05 -2 *25913:X 7.01882e-05 -3 *29238:A *28360:D 9.41642e-05 -4 *29238:A *3484:20 0.000125724 -5 *29238:A *4350:87 9.41642e-05 -6 *29238:A *5646:195 0.000127446 -*RES -1 *25913:X *29238:A 30.1893 -*END - -*D_NET *694 0.00188655 -*CONN -*I *29845:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25914:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29845:A 0.000312547 -2 *25914:X 0.000312547 -3 *29845:A *25144:B1 0.000456438 -4 *29845:A *28361:D 0.000114587 -5 *29845:A *1464:105 5.52302e-05 -6 *29845:A *4078:16 0.000317602 -7 *29845:A *5778:113 0.000317602 -*RES -1 *25914:X *29845:A 36.725 -*END - -*D_NET *695 0.000530583 -*CONN -*I *29763:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25915:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29763:A 0.000137332 -2 *25915:X 0.000137332 -3 *29763:A *25365:B1 2.58997e-05 -4 *29763:A *28362:D 0.000104294 -5 *29763:A *4038:8 0.000125724 -*RES -1 *25915:X *29763:A 30.6 -*END - -*D_NET *696 0.000846357 -*CONN -*I *29629:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25916:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29629:A 0.000333551 -2 *25916:X 0.000333551 -3 *29629:A *25916:A0 0.000159966 -4 *29629:A *5824:84 1.92905e-05 -*RES -1 *25916:X *29629:A 24.0821 -*END - -*D_NET *697 0.000420467 -*CONN -*I *30183:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25917:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30183:A 8.67918e-05 -2 *25917:X 8.67918e-05 -3 *30183:A *1463:42 6.42145e-05 -4 *30183:A *2773:155 0.000127439 -5 *30183:A *5688:143 5.52302e-05 -*RES -1 *25917:X *30183:A 29.7786 -*END - -*D_NET *698 0.0103368 -*CONN -*I *29309:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25919:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29309:A 0.000803448 -2 *25919:X 0.00231355 -3 *698:11 0.00311699 -4 *29309:A *26809:A1 0.000443648 -5 *29309:A *26813:A0 5.52302e-05 -6 *29309:A *26813:S 2.08238e-05 -7 *29309:A *751:9 0.000320921 -8 *29309:A *3653:44 6.87975e-06 -9 *29309:A *3653:48 3.33467e-05 -10 *29309:A *4244:7 0.000115538 -11 *29309:A *4276:17 8.6229e-06 -12 *29309:A *4978:17 0.000828713 -13 *29309:A *5026:35 1.64583e-05 -14 *29309:A *5698:256 0.000253076 -15 *698:11 *25662:A0 1.21289e-05 -16 *698:11 *25662:S 0 -17 *698:11 *25663:A0 7.77751e-05 -18 *698:11 *25919:A1 0.000510174 -19 *698:11 *28153:D 0.000144105 -20 *698:11 *29999:A 0.000522432 -21 *698:11 *3884:24 0.000716096 -22 *698:11 *5303:9 1.49615e-05 -23 *698:11 *5490:39 0 -24 *506:24 *698:11 1.89507e-06 -*RES -1 *25919:X *698:11 49.5679 -2 *698:11 *29309:A 37.5143 -*END - -*D_NET *699 0.0130757 -*CONN -*I *29194:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25920:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29194:A 0.000959176 -2 *25920:X 0.00159013 -3 *699:22 0.00254931 -4 *29194:A *3893:41 0.000181803 -5 *29194:A *4965:16 5.33005e-05 -6 *29194:A *5170:19 0.00081177 -7 *699:22 *25617:A0 0.000560807 -8 *699:22 *25661:A1 0.00029649 -9 *699:22 *28151:RESET_B 2.33059e-05 -10 *699:22 *30015:A 0.000107578 -11 *699:22 *3792:33 0.000486615 -12 *699:22 *3801:21 0.00232833 -13 *699:22 *3892:33 4.13496e-05 -14 *699:22 *3893:41 2.50608e-05 -15 *699:22 *4900:26 0.000503198 -16 *699:22 *4965:16 1.61405e-05 -17 *699:22 *5011:12 3.19604e-05 -18 *699:22 *5493:14 0.000107686 -19 *699:22 *5685:151 4.94974e-05 -20 *699:22 *5698:109 0.00189165 -21 *699:22 *5705:122 0.000394994 -22 *460:33 *699:22 0 -23 *506:24 *699:22 3.9062e-05 -24 *570:26 *699:22 2.64924e-05 -*RES -1 *25920:X *699:22 38.1233 -2 *699:22 *29194:A 23.0143 -*END - -*D_NET *700 0.0217095 -*CONN -*I *29175:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25921:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29175:A 0.00512953 -2 *25921:X 0.00512953 -3 *29175:A *25616:B 0.000422116 -4 *29175:A *29228:A 0.000144559 -5 *29175:A *701:29 0.000321056 -6 *29175:A *1264:41 0.000248565 -7 *29175:A *4184:45 4.15526e-05 -8 *29175:A *4240:33 0.000139156 -9 *29175:A *4337:16 0.000464711 -10 *29175:A *4910:17 0.000332324 -11 *29175:A *4945:15 0.000990626 -12 *29175:A *5000:14 0.000708652 -13 *29175:A *5014:24 0.00125485 -14 *29175:A *5015:14 0.00041157 -15 *29175:A *5043:11 0.000495878 -16 *29175:A *5160:22 0.000485042 -17 *29175:A *5235:14 0.000480124 -18 *29175:A *5351:19 0.000135237 -19 *29175:A *5485:32 5.30116e-05 -20 *29175:A *5487:11 0.000273348 -21 *29175:A *5487:63 0.000153373 -22 *29175:A *5490:11 0.000167749 -23 *29175:A *5493:55 0.000202643 -24 *29175:A *5494:46 0.000466896 -25 *29175:A *5496:16 0.00013784 -26 *29175:A *5496:40 0.000183205 -27 *29175:A *5498:34 0.000536623 -28 *29175:A *5519:19 0.000211771 -29 *29175:A *5592:112 0.000202209 -30 *29175:A *5665:131 0.00011195 -31 *29175:A *5730:11 0.000427123 -32 *29175:A *5730:84 0.000123548 -33 *29175:A *5730:115 1.90936e-05 -34 *29175:A *5778:219 0.000278361 -35 *29175:A *5778:245 0.000232288 -36 *29175:A *5980:14 0.000587625 -37 pll_sel[0] *29175:A 5.7903e-06 -*RES -1 *25921:X *29175:A 48.1216 -*END - -*D_NET *701 0.0173621 -*CONN -*I *30090:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25922:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30090:A 0 -2 *25922:X 0.00191923 -3 *701:29 0.00239499 -4 *701:15 0.00431422 -5 *701:15 *25618:S 0.000638033 -6 *701:15 *25921:S 8.28083e-05 -7 *701:15 *28419:CLK 0.000390641 -8 *701:15 *4332:25 8.40115e-05 -9 *701:15 *5485:32 4.04683e-05 -10 *701:15 *5487:10 0.000120207 -11 *701:15 *5487:63 0.00090616 -12 *701:29 *25354:B 8.0883e-05 -13 *701:29 *25618:S 0.000253811 -14 *701:29 *2745:33 3.5097e-05 -15 *701:29 *4183:24 0.000577885 -16 *701:29 *4183:28 6.52216e-05 -17 *701:29 *4240:33 0.000868861 -18 *701:29 *4316:25 0.000137983 -19 *701:29 *4383:16 0.00192257 -20 *701:29 *4910:17 6.97033e-05 -21 *701:29 *4944:18 0.000102859 -22 *701:29 *5014:24 0.000254872 -23 *701:29 *5027:17 1.90936e-05 -24 *701:29 *5204:15 6.40766e-05 -25 *701:29 *5491:104 9.76261e-05 -26 *701:29 *5498:34 0 -27 *701:29 *5698:256 0.000522756 -28 *701:29 *5730:11 0.000247518 -29 *701:29 *5778:219 0.000168416 -30 pll90_sel[1] *701:15 0.000354078 -31 *29175:A *701:29 0.000321056 -32 *30032:A *701:29 0.000149074 -33 *467:18 *701:29 0.000157864 -*RES -1 *25922:X *701:15 42.175 -2 *701:15 *701:29 44.7688 -3 *701:29 *30090:A 9.3 -*END - -*D_NET *702 0.00970341 -*CONN -*I *30015:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25923:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30015:A 0.000900213 -2 *25923:X 0.00148495 -3 *702:20 0.00238516 -4 *30015:A *25762:S 0.000561373 -5 *30015:A *29193:A 0.000234102 -6 *30015:A *3653:26 0 -7 *30015:A *3893:41 0.000153397 -8 *30015:A *4331:27 0 -9 *30015:A *4900:26 6.39144e-05 -10 *30015:A *4964:10 8.08476e-06 -11 *30015:A *4964:17 0.00141009 -12 *30015:A *4965:16 0.000139989 -13 *30015:A *5170:19 0.000513426 -14 *30015:A *5698:106 9.25014e-06 -15 *30015:A *6225:17 0.000148342 -16 *702:20 *28151:CLK 7.2394e-05 -17 *702:20 *28151:D 8.62834e-05 -18 *702:20 *28152:D 8.44271e-06 -19 *702:20 *6225:17 0.000213809 -20 *702:20 *6225:27 0.00107052 -21 *570:26 *30015:A 0.000132086 -22 *699:22 *30015:A 0.000107578 -*RES -1 *25923:X *702:20 38.55 -2 *702:20 *30015:A 44.8548 -*END - -*D_NET *703 0.000334391 -*CONN -*I *29192:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25925:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29192:A 4.56641e-05 -2 *25925:X 4.56641e-05 -3 *29192:A *2773:155 5.58875e-06 -4 *29192:A *3484:20 0.000124534 -5 *29192:A *5646:195 0.00011294 -*RES -1 *25925:X *29192:A 29.2429 -*END - -*D_NET *704 0.000450533 -*CONN -*I *29815:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25926:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29815:A 7.32467e-05 -2 *25926:X 7.32467e-05 -3 *29815:A *28371:D 5.33005e-05 -4 *29815:A *3541:68 0.000125369 -5 *29815:A *5709:87 0.000125369 -*RES -1 *25926:X *29815:A 29.7786 -*END - -*D_NET *705 0.00175558 -*CONN -*I *29732:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25927:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29732:A 0.00022198 -2 *25927:X 0.00022198 -3 *29732:A *25927:A0 5.33005e-05 -4 *29732:A *28372:D 1.98839e-05 -5 *29732:A *3576:15 5.03772e-05 -6 *29732:A *3659:14 0.00013235 -7 *29732:A *3766:40 0.000536164 -8 *29732:A *4035:23 0.00050775 -9 *29732:A *5640:98 1.17968e-05 -*RES -1 *25927:X *29732:A 35.5821 -*END - -*D_NET *706 0.00132007 -*CONN -*I *29663:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25928:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29663:A 0.000187163 -2 *25928:X 0.000187163 -3 *29663:A *3949:41 0.000216755 -4 *29663:A *4035:23 0.000311758 -5 *29663:A *4036:17 0.000311758 -6 *29663:A *5966:16 0.000105471 -*RES -1 *25928:X *29663:A 33.85 -*END - -*D_NET *707 0.000638005 -*CONN -*I *30125:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25929:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30125:A 0.000122283 -2 *25929:X 0.000122283 -3 *30125:A *25115:A1 5.46828e-05 -4 *30125:A *25926:A1 0.000127439 -5 *30125:A *28374:D 8.55871e-05 -6 *30125:A *4013:17 0.000125731 -*RES -1 *25929:X *30125:A 31.0107 -*END - -*D_NET *708 0.00100428 -*CONN -*I *30548:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25931:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30548:A 0.000186303 -2 *25931:X 0.000186303 -3 *30548:A *3671:75 0.000301388 -4 *30548:A *5711:47 2.89016e-05 -5 *30548:A *6323:10 0.000301388 -*RES -1 *25931:X *30548:A 32.2071 -*END - -*D_NET *709 0.00114593 -*CONN -*I *30534:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25932:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30534:A 0.000198406 -2 *25932:X 0.000198406 -3 *30534:A *27519:A1 5.52238e-05 -4 *30534:A *27519:A2 2.59355e-05 -5 *30534:A *28376:D 0.000175512 -6 *30534:A *28947:A 0.000295691 -7 *30534:A *3570:37 9.74231e-05 -8 *30534:A *3775:30 9.93344e-05 -*RES -1 *25932:X *30534:A 33.4214 -*END - -*D_NET *710 0.000337761 -*CONN -*I *29317:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25933:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29317:A 4.54895e-05 -2 *25933:X 4.54895e-05 -3 *29317:A *3779:45 0.000123391 -4 *29317:A *3919:51 0.000123391 -*RES -1 *25933:X *29317:A 29.2429 -*END - -*D_NET *711 0.000454193 -*CONN -*I *30281:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25934:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30281:A 8.80265e-05 -2 *25934:X 8.80265e-05 -3 *30281:A *25934:A1 2.59355e-05 -4 *30281:A *3775:30 0.000126963 -5 *30281:A *5718:183 0.000125241 -*RES -1 *25934:X *30281:A 29.7786 -*END - -*D_NET *712 0.000772499 -*CONN -*I *30333:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25935:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30333:A 0.000115884 -2 *25935:X 0.000115884 -3 *30333:A *28379:D 0.000122812 -4 *30333:A *1476:42 1.94945e-05 -5 *30333:A *3123:14 0.000310061 -6 *30333:A *4087:26 8.83616e-05 -*RES -1 *25935:X *30333:A 31.6714 -*END - -*D_NET *713 0.00279587 -*CONN -*I *29387:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25937:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29387:A 0.000617684 -2 *25937:X 0.000617684 -3 *29387:A *25937:A0 7.77171e-05 -4 *29387:A *25940:A1 0.000377487 -5 *29387:A *27918:A1 2.99092e-05 -6 *29387:A *1327:116 0.000303257 -7 *29387:A *5523:41 0.000135312 -8 *29387:A *5599:158 9.71545e-05 -9 *29387:A *5691:252 0.000146882 -10 *472:8 *29387:A 0.000392783 -*RES -1 *25937:X *29387:A 42.5821 -*END - -*D_NET *714 0.0060099 -*CONN -*I *30376:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25938:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30376:A 0 -2 *25938:X 0.00114778 -3 *714:23 0.00114778 -4 *714:23 *27869:B1 0.000186812 -5 *714:23 *28119:D 2.06112e-05 -6 *714:23 *3411:32 4.80602e-05 -7 *714:23 *3789:14 0.000188285 -8 *714:23 *4618:21 0.000105141 -9 *714:23 *4947:16 6.35864e-05 -10 *714:23 *5200:10 0 -11 *714:23 *5520:48 0.000109285 -12 *714:23 *5619:39 4.96113e-05 -13 *714:23 *5624:94 8.69554e-05 -14 *714:23 *5680:343 0.00181567 -15 *714:23 *5691:252 2.31868e-05 -16 *714:23 *5699:156 0.000566046 -17 *714:23 *5933:17 0.00037677 -18 *714:23 *6055:23 1.90936e-05 -19 *460:11 *714:23 5.52238e-05 -*RES -1 *25938:X *714:23 41.601 -2 *714:23 *30376:A 9.3 -*END - -*D_NET *715 0.00118105 -*CONN -*I *30314:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25939:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30314:A 0.000195013 -2 *25939:X 0.000195013 -3 *30314:A *1624:55 0.000234257 -4 *30314:A *3801:109 2.59355e-05 -5 *30314:A *5052:36 5.33005e-05 -6 *30314:A *5500:41 8.29761e-05 -7 *459:10 *30314:A 0.000394552 -*RES -1 *25939:X *30314:A 33.4214 -*END - -*D_NET *716 0.00737433 -*CONN -*I *30040:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25940:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30040:A 0 -2 *25940:X 0.0026493 -3 *716:16 0.0026493 -4 *716:16 *25754:S 4.27437e-05 -5 *716:16 *25756:A0 3.5003e-05 -6 *716:16 *25756:S 6.42095e-05 -7 *716:16 *28221:CLK 1.34631e-05 -8 *716:16 *28221:D 0.000110029 -9 *716:16 *28224:D 0.000307374 -10 *716:16 *3568:24 0.000120865 -11 *716:16 *3663:10 0.000261806 -12 *716:16 *3672:8 5.52238e-05 -13 *716:16 *3793:5 3.14163e-05 -14 *716:16 *3793:25 2.26812e-05 -15 *716:16 *3884:53 0.000135028 -16 *716:16 *4282:17 0.000600862 -17 *716:16 *5497:39 0.000119347 -18 *716:16 *5735:42 8.40933e-05 -19 *565:17 *716:16 7.15817e-05 -*RES -1 *25940:X *716:16 49.1214 -2 *716:16 *30040:A 13.8 -*END - -*D_NET *717 0.0019888 -*CONN -*I *30023:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25941:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30023:A 0.00032846 -2 *25941:X 0.00032846 -3 *30023:A *25941:S 7.15821e-05 -4 *30023:A *29601:A 2.96985e-05 -5 *30023:A *29615:A 0.000121416 -6 *30023:A *723:24 9.58126e-05 -7 *30023:A *3568:136 9.41642e-05 -8 *30023:A *3649:79 0.000524672 -9 *30023:A *5501:23 5.33005e-05 -10 *30023:A *5737:77 0.000341232 -*RES -1 *25941:X *30023:A 37.4571 -*END - -*D_NET *718 0.000917591 -*CONN -*I *29399:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25943:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29399:A 0.00030454 -2 *25943:X 0.00030454 -3 *29399:A *25729:A0 2.89114e-05 -4 *29399:A *28161:CLK 0 -5 *29399:A *28385:D 0 -6 *29399:A *1827:11 0.000177847 -7 *29399:A *5732:168 0.000101753 -*RES -1 *25943:X *29399:A 34.6357 -*END - -*D_NET *719 0.00576252 -*CONN -*I *29288:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25944:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29288:A 0 -2 *25944:X 0.00128351 -3 *719:17 0.00128351 -4 *719:17 *25494:A2 0.000341886 -5 *719:17 *25495:A2 7.64885e-05 -6 *719:17 *25546:A1 0 -7 *719:17 *25654:A0 0.000476709 -8 *719:17 *25730:A0 5.52302e-05 -9 *719:17 *29287:A 0.00125549 -10 *719:17 *1430:50 0.000238357 -11 *719:17 *1780:13 0.000203171 -12 *719:17 *1810:36 0.000202055 -13 *28068:D *719:17 0.000124495 -14 *410:17 *719:17 0.000221634 -*RES -1 *25944:X *719:17 47.9071 -2 *719:17 *29288:A 9.3 -*END - -*D_NET *720 0.00140188 -*CONN -*I *29449:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25945:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29449:A 0.000260816 -2 *25945:X 0.000260816 -3 *29449:A *28289:CLK 5.33005e-05 -4 *29449:A *28290:CLK 8.89289e-05 -5 *29449:A *28995:A 0.000127439 -6 *29449:A *1829:48 0.000106826 -7 *29449:A *1829:50 1.09232e-05 -8 *29449:A *3584:117 1.76135e-05 -9 *29449:A *3710:38 7.76647e-05 -10 *29449:A *5458:24 4.58194e-05 -11 *29449:A *5705:14 0.000101545 -12 *29449:A *5705:16 0.000250193 -*RES -1 *25945:X *29449:A 36.0643 -*END - -*D_NET *721 0.00474805 -*CONN -*I *30272:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25946:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30272:A 0.000333334 -2 *25946:X 0.000898156 -3 *721:24 0.00123149 -4 *30272:A *5727:45 5.62995e-05 -5 *30272:A *5936:87 0.000420767 -6 *721:24 *25547:A0 5.54856e-05 -7 *721:24 *25730:A0 0.000150442 -8 *721:24 *25730:A1 5.52302e-05 -9 *721:24 *25730:S 0.00018873 -10 *721:24 *25944:S 0.000146523 -11 *721:24 *28385:D 3.34366e-05 -12 *721:24 *28386:RESET_B 0.000175512 -13 *721:24 *28885:A 0.000291644 -14 *721:24 *1810:36 0.000172681 -15 *721:24 *3584:50 4.58192e-05 -16 *721:24 *3584:57 0.000102055 -17 *721:24 *5732:195 0.000180361 -18 *721:24 *6058:26 5.52302e-05 -19 *721:24 *6103:34 5.51406e-05 -20 *28084:RESET_B *721:24 9.97121e-05 -*RES -1 *25946:X *721:24 46.5321 -2 *721:24 *30272:A 16.8179 -*END - -*D_NET *722 0.00142736 -*CONN -*I *30274:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25947:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30274:A 0.000305686 -2 *25947:X 0.000305686 -3 *30274:A *25410:B2 0.000232624 -4 *30274:A *27850:A1 4.69738e-05 -5 *30274:A *28389:CLK 0.000107018 -6 *30274:A *2848:53 2.89016e-05 -7 *30274:A *3170:51 2.43389e-05 -8 *30274:A *3457:28 0.000322829 -9 *30274:A *5661:64 5.33005e-05 -*RES -1 *25947:X *30274:A 35.0464 -*END - -*D_NET *723 0.00589056 -*CONN -*I *29378:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25949:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29378:A 0.000669723 -2 *25949:X 0.000824206 -3 *723:24 0.00149393 -4 *29378:A *28811:D 3.06878e-06 -5 *29378:A *28812:CLK 3.7278e-05 -6 *29378:A *29386:A 0.000117112 -7 *29378:A *5279:29 1.58163e-05 -8 *723:24 *25400:C1 0.000947124 -9 *723:24 *25406:A1 5.52238e-05 -10 *723:24 *27918:A1 0.0002653 -11 *723:24 *27942:A1 1.84506e-05 -12 *723:24 *27943:A1 7.83659e-05 -13 *723:24 *29381:A 0.000123589 -14 *723:24 *29615:A 0.000428915 -15 *723:24 *1140:10 1.7044e-05 -16 *723:24 *3482:38 8.6229e-06 -17 *723:24 *3568:136 0.000243036 -18 *723:24 *5421:10 9.54798e-06 -19 *723:24 *5506:24 5.33005e-05 -20 *723:24 *5599:128 0.000169959 -21 *30021:A *723:24 8.98342e-05 -22 *30023:A *723:24 9.58126e-05 -23 *450:10 *723:24 0.000125304 -*RES -1 *25949:X *723:24 48.3536 -2 *723:24 *29378:A 17.1393 -*END - -*D_NET *724 0.0014347 -*CONN -*I *29817:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25950:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29817:A 0.000216953 -2 *25950:X 0.000216953 -3 *29817:A *25387:A1 0.000158902 -4 *29817:A *28626:CLK 0.000148189 -5 *29817:A *1900:26 0 -6 *29817:A *3639:83 2.78729e-05 -7 *29817:A *5505:42 0.000316894 -8 *29817:A *5602:13 4.15914e-05 -9 *29817:A *5667:131 0.000307343 -*RES -1 *25950:X *29817:A 34.6714 -*END - -*D_NET *725 0.0015721 -*CONN -*I *30240:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25951:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30240:A 0.000423456 -2 *25951:X 0.000423456 -3 *30240:A *25949:S 0.000143375 -4 *30240:A *28392:D 0 -5 *30240:A *1227:68 8.74043e-05 -6 *30240:A *3996:8 0.00049441 -*RES -1 *25951:X *30240:A 35.9929 -*END - -*D_NET *726 0.00282794 -*CONN -*I *29743:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25952:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29743:A 0.000686489 -2 *25952:X 0.000686489 -3 *29743:A *25216:C1 0.000177591 -4 *29743:A *25261:B2 1.90936e-05 -5 *29743:A *25590:B 0.000178333 -6 *29743:A *1815:18 0.000138614 -7 *29743:A *3412:41 0.000434123 -8 *29743:A *4881:17 0.000300493 -9 *29743:A *5609:33 6.59398e-05 -10 *29743:A *5707:200 0.000140773 -*RES -1 *25952:X *29743:A 39.6179 -*END - -*D_NET *727 0.00105944 -*CONN -*I *29985:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25953:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29985:A 0.000300173 -2 *25953:X 0.000300173 -3 *29985:A *28394:D 5.52302e-05 -4 *29985:A *5522:52 0.000238526 -5 *29985:A *5522:56 3.03145e-05 -6 *29985:A *5620:44 0.000135028 -*RES -1 *25953:X *29985:A 24.4929 -*END - -*D_NET *728 0.00163827 -*CONN -*I *29326:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25955:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29326:A 0.000307946 -2 *25955:X 0.000307946 -3 *29326:A *24910:A 0.000242162 -4 *29326:A *25270:A1 6.56976e-05 -5 *29326:A *25957:A0 6.53397e-05 -6 *29326:A *29150:A 9.41642e-05 -7 *29326:A *1504:16 6.56976e-05 -8 *29326:A *5618:126 1.24368e-05 -9 *29326:A *5711:153 0 -10 *29326:A *5738:223 6.74279e-05 -11 *29326:A *6317:85 0.00040945 -*RES -1 *25955:X *29326:A 37.8299 -*END - -*D_NET *729 0.00118778 -*CONN -*I *30450:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25956:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30450:A 0.00021521 -2 *25956:X 0.00021521 -3 *30450:A *1253:105 0.00037868 -4 *30450:A *1535:14 0.00037868 -*RES -1 *25956:X *30450:A 32.8857 -*END - -*D_NET *730 0.000581886 -*CONN -*I *29252:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25957:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29252:A 0.000151855 -2 *25957:X 0.000151855 -3 *29252:A *1455:28 5.49489e-05 -4 *29252:A *1581:11 5.49489e-05 -5 *29252:A *1657:19 0 -6 *29252:A *2865:57 5.49489e-05 -7 *29252:A *3568:168 0.00011333 -*RES -1 *25957:X *29252:A 30.3143 -*END - -*D_NET *731 0.00115317 -*CONN -*I *29992:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25958:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29992:A 0.000172335 -2 *25958:X 0.000172335 -3 *29992:A *25958:S 0.000178425 -4 *29992:A *1901:41 9.41642e-05 -5 *29992:A *3844:25 0.00046996 -6 *29992:A *5691:157 6.595e-05 -*RES -1 *25958:X *29992:A 23.6714 -*END - -*D_NET *732 0.00199913 -*CONN -*I *30071:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25959:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30071:A 0.000531765 -2 *25959:X 0.000531765 -3 *30071:A *25954:B 4.58194e-05 -4 *30071:A *28399:D 5.33005e-05 -5 *30071:A *1659:18 0.000116395 -6 *30071:A *1901:9 0.000121755 -7 *30071:A *2860:56 4.99764e-05 -8 *30071:A *3568:93 0.00030355 -9 *30071:A *3854:10 0.000126887 -10 *30071:A *3986:40 0.000117913 -*RES -1 *25959:X *30071:A 36.8857 -*END - -*D_NET *733 0.000883338 -*CONN -*I *28400:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *26400:X O *D sky130_fd_sc_hd__o32a_1 -*CAP -1 *28400:D 0.000211194 -2 *26400:X 0.000211194 -3 *28400:D *26400:A1 5.33334e-05 -4 *28400:D *26400:A3 1.02936e-05 -5 *28400:D *26400:B2 9.60875e-05 -6 *28400:D *26554:B 0.000150618 -7 *28400:D *2283:12 0.000150618 -*RES -1 *26400:X *28400:D 31.725 -*END - -*D_NET *734 0.00085796 -*CONN -*I *28401:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *26553:X O *D sky130_fd_sc_hd__o22a_1 -*CAP -1 *28401:D 0.000264124 -2 *26553:X 0.000264124 -3 *28401:D *26522:A2 7.85375e-05 -4 *28401:D *26553:B2 9.41642e-05 -5 *28401:D *2283:23 6.05161e-06 -6 *28401:D *2556:6 0.00015096 -*RES -1 *26553:X *28401:D 32.2607 -*END - -*D_NET *735 0.00118953 -*CONN -*I *28402:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *26658:X O *D sky130_fd_sc_hd__o32a_1 -*CAP -1 *28402:D 0.000385262 -2 *26658:X 0.000385262 -3 *28402:D *26658:A3 1.74352e-05 -4 *28402:D *26658:B2 0.000183713 -5 *28402:D *26675:B1 4.47762e-05 -6 *28402:D *29479:A 0 -7 *28402:D *2483:28 0.000173083 -*RES -1 *26658:X *28402:D 33.6714 -*END - -*D_NET *736 0.00136976 -*CONN -*I *28403:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *26728:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *28403:D 0.000443548 -2 *26728:X 0.000443548 -3 *28403:D *26728:D 0.000311378 -4 *28403:D *28233:D 2.03486e-05 -5 *28403:D *2554:17 0.000100823 -6 *28403:D *3553:26 5.01117e-05 -*RES -1 *26728:X *28403:D 33.9929 -*END - -*D_NET *737 0.000671021 -*CONN -*I *28404:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *26763:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *28404:D 0.00016328 -2 *26763:X 0.00016328 -3 *28404:D *26763:B 8.06427e-05 -4 *28404:D *2700:9 0.000135028 -5 *28404:D *3553:26 2.72449e-05 -6 *28404:D *3581:45 0.000101545 -*RES -1 *26763:X *28404:D 30.9036 -*END - -*D_NET *738 0.00132865 -*CONN -*I *28405:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *26792:X O *D sky130_fd_sc_hd__a211o_1 -*CAP -1 *28405:D 0.000369524 -2 *26792:X 0.000369524 -3 *28405:D *26353:A 0.000148911 -4 *28405:D *26442:B 7.48356e-05 -5 *28405:D *26806:A1 6.73313e-05 -6 *28405:D *28959:A 0.000152763 -7 *28405:D *1953:137 0.00014576 -*RES -1 *26792:X *28405:D 34.3679 -*END - -*D_NET *739 0.00115962 -*CONN -*I *28406:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *26807:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *28406:D 0.000146589 -2 *26807:X 0.000146589 -3 *28406:D *2544:30 0.00011061 -4 *28406:D *2728:12 0.00048387 -5 *29803:A *28406:D 0.000271958 -*RES -1 *26807:X *28406:D 33.7964 -*END - -*D_NET *740 0.0192552 -*CONN -*I *28407:D I *D sky130_fd_sc_hd__dfrtn_1 -*I *25416:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *28407:D 0.00313591 -2 *25416:X 0.00207 -3 *740:19 0.00520591 -4 *28407:D *25430:A_N 8.75919e-05 -5 *28407:D *28144:D 0.000594852 -6 *28407:D *28407:CLK_N 0.000118843 -7 *28407:D *1196:23 0.000543665 -8 *28407:D *1324:60 0.000211666 -9 *28407:D *1393:165 9.26089e-06 -10 *740:19 *25416:B2 7.41094e-05 -11 *740:19 *25775:S 0.000464893 -12 *740:19 *27564:B2 0.000123671 -13 *740:19 *28144:D 0.000303355 -14 *740:19 *28238:SET_B 2.59355e-05 -15 *740:19 *1324:37 0.00110053 -16 *740:19 *1396:45 5.05056e-05 -17 *740:19 *1730:41 1.4873e-05 -18 *740:19 *1888:27 2.37838e-05 -19 *740:19 *3452:6 6.24939e-05 -20 *740:19 *3724:20 6.09762e-05 -21 *740:19 *3727:32 0.000614497 -22 *740:19 *3739:36 5.28721e-05 -23 *740:19 *3831:92 0.00196425 -24 *740:19 *3984:33 0.00015377 -25 *740:19 *5545:50 0.000300573 -26 *740:19 *5686:35 1.70641e-05 -27 *740:19 *5691:61 0.000219711 -28 *740:19 *5712:30 0.000109311 -29 *740:19 *5741:17 1.98839e-05 -30 *740:19 *5901:57 0.000878409 -31 *28093:RESET_B *28407:D 0.000397554 -32 *30276:A *28407:D 0.000244519 -*RES -1 *25416:X *740:19 43.9221 -2 *740:19 *28407:D 46.858 -*END - -*D_NET *741 0.00353809 -*CONN -*I *29467:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25349:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29467:A 0.000923544 -2 *25349:X 0.000923544 -3 *29467:A *28079:D 9.75934e-05 -4 *29467:A *29580:A 0.000165152 -5 *29467:A *746:10 0.000681828 -6 *29467:A *1393:165 0 -7 *29467:A *3558:59 0 -8 *29467:A *3558:71 0 -9 *29467:A *3558:150 0 -10 *29467:A *4161:41 1.01912e-05 -11 *29467:A *5237:29 0 -12 *29467:A *5334:28 2.06112e-05 -13 *29467:A *5343:14 0.000113603 -14 *29467:A *5549:34 2.11419e-05 -15 *28077:RESET_B *29467:A 4.6361e-05 -16 *429:31 *29467:A 0.000534525 -*RES -1 *25349:X *29467:A 48.6714 -*END - -*D_NET *742 0.000665061 -*CONN -*I *28409:D I *D sky130_fd_sc_hd__dfrtn_1 -*I *25286:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28409:D 0.000192692 -2 *25286:X 0.000192692 -3 *28409:D *28064:A 4.38942e-05 -4 *28409:D *28413:CLK_N 0 -5 *28409:D *1324:146 5.7903e-06 -6 *28409:D *5244:17 0.000138826 -7 *28409:D *5334:28 9.11672e-05 -*RES -1 *25286:X *28409:D 31.5107 -*END - -*D_NET *743 0.00551531 -*CONN -*I *29471:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25227:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29471:A 0 -2 *25227:X 0.00143912 -3 *743:11 0.00143912 -4 *743:11 *25808:A1 0.000139202 -5 *743:11 *28413:D 0 -6 *743:11 *1324:104 0 -7 *743:11 *1393:165 0.000120847 -8 *743:11 *4159:71 1.39782e-05 -9 *743:11 *4175:10 1.12635e-05 -10 *743:11 *5242:18 0.000156316 -11 *743:11 *5243:8 0.000185118 -12 *743:11 *5899:20 8.55319e-05 -13 *743:11 *6169:126 0.00175473 -14 *28407:RESET_B *743:11 0.000170083 -*RES -1 *25227:X *743:11 49.7821 -2 *743:11 *29471:A 9.3 -*END - -*D_NET *744 0.00204842 -*CONN -*I *28411:D I *D sky130_fd_sc_hd__dfrtn_1 -*I *25169:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28411:D 0.000479893 -2 *25169:X 0.000479893 -3 *28411:D *6461:DIODE 5.49995e-05 -4 *28411:D *25169:A1 8.43535e-06 -5 *28411:D *25454:B 3.97677e-05 -6 *28411:D *25456:A0 0.000181107 -7 *28411:D *1730:87 4.02652e-05 -8 *28411:D *1760:13 4.84159e-05 -9 *28411:D *1874:59 0.000407236 -10 *28411:D *6169:107 0.000123898 -11 *28411:RESET_B *28411:D 0.000108314 -12 *414:22 *28411:D 7.61913e-05 -*RES -1 *25169:X *28411:D 39.9393 -*END - -*D_NET *745 0.00277365 -*CONN -*I *29477:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25073:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29477:A 0.000487998 -2 *25073:X 0.000487998 -3 *29477:A *24809:A 4.13595e-05 -4 *29477:A *25073:A0 5.52238e-05 -5 *29477:A *28062:A 9.60875e-05 -6 *29477:A *1169:10 3.69697e-05 -7 *29477:A *1191:76 0.000541406 -8 *29477:A *1730:76 0.000109492 -9 *29477:A *3564:24 6.8646e-06 -10 *29477:A *3564:26 7.09725e-05 -11 *29477:A *3564:28 6.8646e-06 -12 *29477:A *5247:10 1.10978e-05 -13 *29477:A *5728:27 9.41642e-05 -14 *28410:RESET_B *29477:A 0.000727158 -*RES -1 *25073:X *29477:A 41.2607 -*END - -*D_NET *746 0.00563094 -*CONN -*I *29469:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25036:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29469:A 0 -2 *25036:X 0.000797101 -3 *746:10 0.000797101 -4 *746:10 *25415:B 4.11218e-05 -5 *746:10 *25441:A1 6.48497e-05 -6 *746:10 *25807:A0 9.08056e-05 -7 *746:10 *1323:80 7.83587e-05 -8 *746:10 *5237:29 0 -9 *746:10 *5243:8 0.00171945 -10 *746:10 *5332:20 7.88753e-05 -11 *746:10 *5334:28 0.000974226 -12 *746:10 *5343:14 0.000211403 -13 *28263:D *746:10 9.58181e-05 -14 *29467:A *746:10 0.000681828 -*RES -1 *25036:X *746:10 46.6929 -2 *746:10 *29469:A 9.3 -*END - -*D_NET *747 0.00110418 -*CONN -*I *28414:D I *D sky130_fd_sc_hd__dfrtn_1 -*I *25000:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28414:D 0.000274439 -2 *25000:X 0.000274439 -3 *28414:D *25000:S 0.000303092 -4 *28414:D *5350:8 0.000126963 -5 *28414:D *5899:20 0.000125241 -*RES -1 *25000:X *28414:D 32.2429 -*END - -*D_NET *748 0.00897428 -*CONN -*I *29138:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26809:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29138:A 0.000358262 -2 *26809:X 0.00234521 -3 *748:16 0.00270347 -4 *29138:A *29128:A 5.52302e-05 -5 *29138:A *4910:17 0.000183184 -6 *29138:A *5026:15 0.000267731 -7 *748:16 *6473:DIODE 6.72779e-05 -8 *748:16 *25617:A0 3.52966e-05 -9 *748:16 *25663:A0 0.000304387 -10 *748:16 *26810:A1 0.000105488 -11 *748:16 *4316:25 0.00170174 -12 *748:16 *4899:13 0.000135028 -13 *748:16 *4909:14 0 -14 *748:16 *5027:17 0.000108229 -15 *748:16 *5491:104 0.000269018 -16 *748:16 *5498:34 9.60939e-05 -17 *748:16 *5525:7 9.33978e-07 -18 *748:16 *6169:150 0.000123137 -19 *160:14 *29138:A 0.000114553 -*RES -1 *26809:X *748:16 48.3 -2 *748:16 *29138:A 22.1393 -*END - -*D_NET *749 0.00842612 -*CONN -*I *29130:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26810:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29130:A 0.00139028 -2 *26810:X 0.00100188 -3 *749:24 0.00239217 -4 *29130:A *6225:11 5.31719e-05 -5 *29130:A *6225:17 0.00198853 -6 *749:24 *25663:A0 0.000356209 -7 *749:24 *26809:A1 2.81854e-05 -8 *749:24 *26835:A0 1.34741e-05 -9 *749:24 *28150:D 0.000480879 -10 *749:24 *3792:51 0.00021413 -11 *749:24 *3892:18 4.32347e-05 -12 *749:24 *4332:24 0 -13 *749:24 *4900:26 6.39144e-05 -14 *749:24 *4977:22 0.000161441 -15 *749:24 *5079:20 1.90936e-05 -16 *749:24 *5303:8 7.44888e-05 -17 *749:24 *5710:125 3.5703e-05 -18 *749:24 *5733:72 6.09762e-05 -19 *506:24 *749:24 4.8367e-05 -20 *570:26 *749:24 0 -*RES -1 *26810:X *749:24 38.5662 -2 *749:24 *29130:A 29.4607 -*END - -*D_NET *750 0.00833923 -*CONN -*I *29299:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26812:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29299:A 0.000171852 -2 *26812:X 0.00141792 -3 *750:19 0.00158977 -4 *29299:A *4909:14 8.89615e-05 -5 *29299:A *5474:14 8.53783e-05 -6 *29299:A *6169:150 0 -7 *750:19 *25354:A 8.6229e-06 -8 *750:19 *25354:B 5.74241e-05 -9 *750:19 *25354:C 8.86413e-05 -10 *750:19 *26812:A1 0.000645217 -11 *750:19 *28368:D 6.82827e-05 -12 *750:19 *30031:A 2.02801e-05 -13 *750:19 *768:29 0.00011333 -14 *750:19 *4382:17 0.000166862 -15 *750:19 *5027:17 0.000946515 -16 *750:19 *5093:19 0.0021503 -17 *750:19 *5160:22 4.64941e-05 -18 *750:19 *5484:44 0.000438738 -19 *750:19 *5498:34 0.000234638 -*RES -1 *26812:X *750:19 49.6657 -2 *750:19 *29299:A 17.4161 -*END - -*D_NET *751 0.00843756 -*CONN -*I *29256:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26813:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29256:A 0.000118198 -2 *26813:X 0.00140674 -3 *751:9 0.00152494 -4 *29256:A *6158:32 0 -5 *751:9 *26809:A1 0.00101542 -6 *751:9 *29324:A 0.000523886 -7 *751:9 *768:29 0.000829135 -8 *751:9 *4337:16 0.000400867 -9 *751:9 *4909:14 7.12975e-05 -10 *751:9 *5026:35 0.00090853 -11 *751:9 *5079:20 0.000441731 -12 *751:9 *5094:20 0.00087589 -13 *29309:A *751:9 0.000320921 -*RES -1 *26813:X *751:9 49.8179 -2 *751:9 *29256:A 20.2464 -*END - -*D_NET *752 0.00907912 -*CONN -*I *29230:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26814:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29230:A 0 -2 *26814:X 4.95659e-05 -3 *752:15 0.00275049 -4 *752:8 0.00280006 -5 *752:8 *30917:A 7.29712e-05 -6 *752:8 *5495:23 3.07101e-05 -7 *752:15 *29244:A 1.93106e-05 -8 *752:15 *29304:A 0.00132473 -9 *752:15 *4999:11 4.25809e-05 -10 *752:15 *5000:14 0.000126629 -11 *752:15 *5014:24 5.52238e-05 -12 *752:15 *6003:13 0.000396892 -13 pad_flash_clk *752:15 0 -14 *29465:A *752:15 0.00116785 -15 *244:19 *752:15 0.000226678 -16 *244:20 *752:15 1.5424e-05 -*RES -1 *26814:X *752:8 19.3357 -2 *752:8 *752:15 48.9821 -3 *752:15 *29230:A 9.3 -*END - -*D_NET *753 0.007722 -*CONN -*I *30075:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26815:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30075:A 0.000331543 -2 *26815:X 0.00136654 -3 *753:13 0.00169808 -4 *30075:A *29172:A 0.000225367 -5 *30075:A *30139:A 9.31523e-05 -6 *30075:A *4317:16 0.000422141 -7 *30075:A *4944:18 5.66971e-05 -8 *30075:A *5489:19 0.00123717 -9 *753:13 *26834:A 0.00022266 -10 *753:13 *26834:B 6.90348e-06 -11 *753:13 *26835:S 0.000183307 -12 *753:13 *28435:CLK 0.000155602 -13 *753:13 *29172:A 0.000987741 -14 *753:13 *760:20 2.34027e-05 -15 *753:13 *4332:24 6.44531e-05 -16 *753:13 *4944:18 1.52131e-05 -17 *753:13 *5069:46 7.85579e-05 -18 *753:13 *5483:44 0.000428669 -19 *753:13 *5484:44 1.02821e-05 -20 *753:13 *5979:21 3.10885e-05 -21 *753:13 *6313:17 8.34352e-05 -*RES -1 *26815:X *753:13 40.7286 -2 *753:13 *30075:A 22.5857 -*END - -*D_NET *754 0.00999809 -*CONN -*I *30012:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26816:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30012:A 0 -2 *26816:X 0 -3 *754:11 0.00303739 -4 *754:4 0.00303739 -5 *754:11 *25583:A 5.7661e-06 -6 *754:11 *30910:A 4.4873e-05 -7 *754:11 *4255:9 0.00361735 -8 *754:11 *4256:11 0.000115846 -9 *754:11 *5592:113 0.000139479 -*RES -1 *26816:X *754:4 9.3 -2 *754:4 *754:11 43.9107 -3 *754:11 *30012:A 9.3 -*END - -*D_NET *755 0.0124157 -*CONN -*I *29304:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26818:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29304:A 0.000383087 -2 *26818:X 0.00125972 -3 *755:42 0.0010864 -4 *755:18 0.00196303 -5 *29304:A *25568:A 0.000140933 -6 *29304:A *25570:B 2.14757e-05 -7 *29304:A *29228:A 3.30145e-05 -8 *29304:A *29244:A 8.12389e-05 -9 *29304:A *5075:31 5.75147e-05 -10 *29304:A *5733:13 1.02936e-05 -11 *29304:A *6169:159 4.13595e-05 -12 *755:18 *26815:A1 2.76139e-05 -13 *755:18 *26815:S 2.88045e-05 -14 *755:18 *26816:A0 0 -15 *755:18 *26816:S 0.000330042 -16 *755:18 *26819:A0 1.15058e-05 -17 *755:18 *28435:CLK 1.48029e-05 -18 *755:18 *29464:A 0.000578042 -19 *755:18 *30918:A 9.90431e-05 -20 *755:18 *30963:A 0.000640342 -21 *755:18 *1471:56 4.25213e-05 -22 *755:18 *2744:14 8.6229e-06 -23 *755:18 *4332:24 6.00598e-05 -24 *755:18 *5069:46 2.03618e-05 -25 *755:18 *5484:44 1.19191e-05 -26 *755:18 *5491:97 0.00035074 -27 *755:18 *5698:256 0.000202762 -28 *755:42 *25572:A 8.6229e-06 -29 *755:42 *26816:S 5.52302e-05 -30 *755:42 *30915:A 2.92352e-05 -31 *755:42 *30916:A 0.000408303 -32 *755:42 *4183:28 0 -33 *755:42 *4255:9 0.000908566 -34 *755:42 *4256:11 0.00140199 -35 pad_flash_io1_oeb *755:18 0.000163782 -36 *29465:A *755:42 9.25014e-06 -37 *30055:A *755:42 8.54954e-05 -38 *30732:A *755:42 0 -39 *244:20 *29304:A 0.000476709 -40 *467:18 *755:18 3.85222e-05 -41 *752:15 *29304:A 0.00132473 -*RES -1 *26818:X *755:18 47.7643 -2 *755:18 *755:42 43.6964 -3 *755:42 *29304:A 22.8893 -*END - -*D_NET *756 0.0080831 -*CONN -*I *29271:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26819:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29271:A 0.000279388 -2 *26819:X 0.00178131 -3 *756:16 0.0020607 -4 *29271:A *6540:DIODE 0.000228508 -5 *29271:A *29270:A 0.00027218 -6 *29271:A *757:12 3.66228e-05 -7 *29271:A *4240:33 0.000231479 -8 *29271:A *5043:11 0.000912103 -9 *756:16 *29257:A 0.000157399 -10 *756:16 *4307:14 7.29712e-05 -11 *756:16 *5043:11 0.000643119 -12 *756:16 *5160:22 7.29712e-05 -13 *756:16 *5474:14 2.10835e-05 -14 *756:16 *5519:25 0.00130411 -15 *756:16 *5979:21 9.15842e-06 -*RES -1 *26819:X *756:16 47.5321 -2 *756:16 *29271:A 25.0143 -*END - -*D_NET *757 0.00808096 -*CONN -*I *29245:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26820:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29245:A 0.000200016 -2 *26820:X 0.00169447 -3 *757:12 0.00189448 -4 *29245:A *4999:11 0.000398719 -5 *29245:A *5000:14 4.72818e-05 -6 *757:12 *6540:DIODE 0.000185401 -7 *757:12 *29257:A 5.36e-05 -8 *757:12 *29270:A 0.000823789 -9 *757:12 *4240:33 0.000871623 -10 *757:12 *5519:25 0.000355805 -11 *757:12 *5733:13 0.000271345 -12 *757:12 *5733:36 0.000475634 -13 *757:12 *5778:219 0.000719559 -14 *757:12 *6003:13 5.26184e-05 -15 *29271:A *757:12 3.66228e-05 -*RES -1 *26820:X *757:12 45.9964 -2 *757:12 *29245:A 18.4429 -*END - -*D_NET *758 0.00962082 -*CONN -*I *30140:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26821:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30140:A 0.00174027 -2 *26821:X 0.000964863 -3 *758:26 0.00270513 -4 *30140:A *25571:A_N 2.89016e-05 -5 *30140:A *29137:A 9.7659e-05 -6 *30140:A *4184:38 4.04232e-05 -7 *30140:A *5069:27 2.24763e-05 -8 *30140:A *5093:19 0.000981636 -9 *30140:A *5474:14 0.00012501 -10 *30140:A *5477:15 4.87854e-05 -11 *30140:A *6147:31 4.51009e-05 -12 *30140:A *6313:45 2.86195e-05 -13 *758:26 *25662:A0 5.71472e-05 -14 *758:26 *26812:A1 2.87584e-05 -15 *758:26 *26812:S 4.67975e-05 -16 *758:26 *26821:A1 3.68898e-05 -17 *758:26 *26835:A0 0.000747372 -18 *758:26 *29999:A 0.000114854 -19 *758:26 *30031:A 0.000429501 -20 *758:26 *30087:A 3.32235e-05 -21 *758:26 *768:29 4.56899e-05 -22 *758:26 *2744:51 0.000142011 -23 *758:26 *4274:16 4.19624e-06 -24 *758:26 *4276:17 2.24813e-05 -25 *758:26 *4332:24 0.000232827 -26 *758:26 *5484:44 5.37332e-06 -27 *758:26 *5488:34 0.00030926 -28 *758:26 *5491:97 4.25822e-05 -29 *30032:A *30140:A 0.000107974 -30 *30032:A *758:26 0.000385 -*RES -1 *26821:X *758:26 42.9049 -2 *758:26 *30140:A 41.425 -*END - -*D_NET *759 0.0229772 -*CONN -*I *30095:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26822:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30095:A 2.19479e-05 -2 *26822:X 0.0036789 -3 *759:16 0.00370085 -4 *30095:A *26809:A1 9.80173e-05 -5 *30095:A *4978:17 9.60875e-05 -6 *759:16 *25109:A 2.01997e-05 -7 *759:16 *25559:A1 0.000700409 -8 *759:16 *25617:A0 0.000332659 -9 *759:16 *26822:A1 1.7721e-05 -10 *759:16 *26822:S 1.43288e-05 -11 *759:16 *27527:A2 0.000187301 -12 *759:16 *29193:A 0.00042559 -13 *759:16 *1430:165 0.000234046 -14 *759:16 *1452:35 0.0011392 -15 *759:16 *3650:28 5.04793e-05 -16 *759:16 *3793:25 0.00122307 -17 *759:16 *3802:11 4.2629e-05 -18 *759:16 *3805:47 0.000280219 -19 *759:16 *3983:24 0.000286966 -20 *759:16 *4258:16 0.000290724 -21 *759:16 *5313:11 0.000503065 -22 *759:16 *5685:142 6.80108e-05 -23 *759:16 *6014:20 0.000694068 -24 *29199:A *759:16 0.000358493 -25 *460:33 *759:16 0 -26 *466:15 *759:16 0.00709951 -27 *571:19 *759:16 0.00141267 -*RES -1 *26822:X *759:16 49.5302 -2 *759:16 *30095:A 14.7464 -*END - -*D_NET *760 0.011155 -*CONN -*I *29676:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26823:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29676:A 0.00025385 -2 *26823:X 0.00143371 -3 *760:20 0.00168756 -4 *29676:A *29270:A 6.78021e-05 -5 *29676:A *29279:A 0.000730599 -6 *29676:A *5042:5 0.000279263 -7 *29676:A *5485:62 0.00111784 -8 *760:20 *26811:B 3.93019e-05 -9 *760:20 *26815:A1 0.000180951 -10 *760:20 *26834:B 9.39059e-05 -11 *760:20 *28417:D 9.67754e-05 -12 *760:20 *28435:CLK 0.00025548 -13 *760:20 *3791:36 0.000180207 -14 *760:20 *4332:24 6.58294e-06 -15 *760:20 *5014:24 3.93027e-05 -16 *760:20 *5050:25 0.00220246 -17 *760:20 *5204:15 1.88642e-05 -18 *760:20 *5476:8 1.61405e-05 -19 *760:20 *5979:21 0.0024053 -20 *760:20 *6169:159 1.61405e-05 -21 *760:20 *6313:17 9.54798e-06 -22 *753:13 *760:20 2.34027e-05 -*RES -1 *26823:X *760:20 38.218 -2 *760:20 *29676:A 21.3357 -*END - -*D_NET *761 0.00840835 -*CONN -*I *29112:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26825:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29112:A 0.000734976 -2 *26825:X 0.00110082 -3 *761:17 0.0018358 -4 *29112:A *30922:A 0.000183726 -5 *29112:A *4528:14 2.44318e-05 -6 *29112:A *4529:15 0.000732319 -7 *761:17 *26825:A0 2.47724e-05 -8 *761:17 *28104:SET_B 0 -9 *761:17 *28105:D 0.000131648 -10 *761:17 *30947:A 1.90936e-05 -11 *761:17 *3638:122 0.000605185 -12 *761:17 *4529:15 0.000309477 -13 *761:17 *5521:41 1.94879e-05 -14 *761:17 *5526:16 0 -15 *761:17 *5745:180 0 -16 pll_trim[23] *761:17 0.000733152 -17 *30287:A *29112:A 0.000816135 -18 *30682:A *29112:A 5.52238e-05 -19 *30684:A *29112:A 0.000826186 -20 *30694:A *761:17 0.000227532 -21 *282:15 *761:17 0 -22 *348:16 *761:17 2.83849e-05 -*RES -1 *26825:X *761:17 39.9964 -2 *761:17 *29112:A 30.925 -*END - -*D_NET *762 0.00614372 -*CONN -*I *29839:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26826:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29839:A 0.000490233 -2 *26826:X 0.000398778 -3 *762:14 0.000889011 -4 *29839:A *25604:A1 0.000190172 -5 *29839:A *1815:19 0.000394944 -6 *29839:A *3412:41 0.00129094 -7 *29839:A *6142:14 0.0002429 -8 *762:14 *25613:A0 3.68898e-05 -9 *762:14 *25952:A1 8.6229e-06 -10 *762:14 *25952:S 0.000126572 -11 *762:14 *1254:14 0.000116964 -12 *762:14 *1900:40 0.000480543 -13 *762:14 *3412:41 0.000939872 -14 *762:14 *5505:41 0.000303368 -15 *762:14 *5516:20 0.000118618 -16 *762:14 *5745:61 5.33333e-05 -17 *29562:A *762:14 8.6229e-06 -18 *282:15 *762:14 5.33333e-05 -*RES -1 *26826:X *762:14 40.4607 -2 *762:14 *29839:A 24.6393 -*END - -*D_NET *763 0.000811866 -*CONN -*I *30109:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26828:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30109:A 0.000133918 -2 *26828:X 0.000133918 -3 *30109:A *25358:B2 0.000109274 -4 *30109:A *26828:A0 2.21972e-05 -5 *30109:A *28430:D 0.000166345 -6 *30109:A *3641:61 3.57768e-05 -7 *30109:A *5583:71 2.04558e-05 -8 *30109:A *5651:21 9.58181e-05 -9 *30109:A *5657:38 9.41642e-05 -*RES -1 *26828:X *30109:A 31.4036 -*END - -*D_NET *764 0.00035522 -*CONN -*I *29254:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26830:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29254:A 9.64209e-05 -2 *26830:X 9.64209e-05 -3 *29254:A *28431:D 5.33334e-05 -4 *29254:A *1826:93 4.48113e-05 -5 *244:65 *29254:A 6.42338e-05 -*RES -1 *26830:X *29254:A 30.1893 -*END - -*D_NET *765 0.00149878 -*CONN -*I *29916:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26831:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29916:A 0.000258998 -2 *26831:X 0.000258998 -3 *29916:A *27049:A1 9.60875e-05 -4 *29916:A *28621:D 0.00012401 -5 *29916:A *28621:RESET_B 0.000102545 -6 *29916:A *3572:81 0.000261467 -7 *29916:A *5503:8 0 -8 *29916:A *5675:132 5.33005e-05 -9 *29916:A *5752:82 0.000245356 -10 *29916:A *5926:136 9.80173e-05 -*RES -1 *26831:X *29916:A 35.5821 -*END - -*D_NET *766 0.000917975 -*CONN -*I *29850:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26832:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29850:A 0.000320856 -2 *26832:X 0.000320856 -3 *29850:A *26832:A0 0.00021527 -4 *29850:A *5530:20 6.09919e-05 -*RES -1 *26832:X *29850:A 24.4929 -*END - -*D_NET *767 0.000984649 -*CONN -*I *29798:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26833:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29798:A 0.000303208 -2 *26833:X 0.000303208 -3 *29798:A *26833:A1 5.71472e-05 -4 *29798:A *26833:S 0.000227071 -5 *29798:A *28434:D 9.40156e-05 -*RES -1 *26833:X *29798:A 24.4929 -*END - -*D_NET *768 0.00614146 -*CONN -*I *29324:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26835:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29324:A 0.000193506 -2 *26835:X 0.000868209 -3 *768:29 0.00106171 -4 *29324:A *4909:14 0.000526784 -5 *29324:A *6147:31 0.000100368 -6 *768:29 *25559:A1 7.80041e-05 -7 *768:29 *26809:A1 0.000700639 -8 *768:29 *26812:A1 0.000316135 -9 *768:29 *29571:A 0 -10 *768:29 *30094:A 6.12005e-06 -11 *768:29 *4909:14 4.69993e-05 -12 *768:29 *5094:20 4.54885e-06 -13 *768:29 *5160:22 2.38292e-05 -14 *768:29 *5484:44 2.84026e-05 -15 *768:29 *5488:34 0.000316135 -16 *29389:A *768:29 0.000358025 -17 *750:19 *768:29 0.00011333 -18 *751:9 *29324:A 0.000523886 -19 *751:9 *768:29 0.000829135 -20 *758:26 *768:29 4.56899e-05 -*RES -1 *26835:X *768:29 49.8 -2 *768:29 *29324:A 18.4607 -*END - -*D_NET *769 0.00149552 -*CONN -*I *30550:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26837:X O *D sky130_fd_sc_hd__o211a_1 -*CAP -1 *30550:A 0.000338424 -2 *26837:X 0.000338424 -3 *30550:A *25351:A 0.000102196 -4 *30550:A *25411:B1 0.000135403 -5 *30550:A *1504:8 0.000100474 -6 *30550:A *1668:15 0.000125355 -7 *30550:A *1727:19 0.000301948 -8 *30550:A *3170:51 5.33005e-05 -*RES -1 *26837:X *30550:A 34.9571 -*END - -*D_NET *770 0.00998764 -*CONN -*I *29694:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26839:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29694:A 0 -2 *26839:X 0.00172032 -3 *770:24 0.00172032 -4 *770:24 *24819:A 6.12016e-05 -5 *770:24 *25018:A1 0.00179871 -6 *770:24 *25044:A1 4.73953e-05 -7 *770:24 *25401:A2 4.15526e-05 -8 *770:24 *27270:A2 4.03433e-05 -9 *770:24 *1177:14 3.57408e-05 -10 *770:24 *1245:116 0.000308859 -11 *770:24 *1254:40 3.47641e-06 -12 *770:24 *1290:89 6.50603e-06 -13 *770:24 *1717:14 0.000396905 -14 *770:24 *5352:22 0.00182953 -15 *770:24 *5512:50 0.000218632 -16 *770:24 *5609:33 0.000119959 -17 *770:24 *5675:223 0.000221439 -18 *770:24 *5680:276 0.000589853 -19 *770:24 *5680:278 0.000817351 -20 *770:24 *6386:17 9.54798e-06 -*RES -1 *26839:X *770:24 47.4518 -2 *770:24 *29694:A 9.3 -*END - -*D_NET *771 0.00520345 -*CONN -*I *29739:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26840:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29739:A 0.000687398 -2 *26840:X 0.000687398 -3 *29739:A *26840:S 0.000270326 -4 *29739:A *26841:A1 0.00064757 -5 *29739:A *27594:S 8.44271e-06 -6 *29739:A *28189:CLK 0.000230482 -7 *29739:A *29879:A 0.000346155 -8 *29739:A *2797:38 0.000649909 -9 *29739:A *3573:37 1.24368e-05 -10 *29739:A *3573:42 1.38323e-05 -11 *29739:A *5535:71 1.21289e-05 -12 *29739:A *5581:8 0.000101139 -13 *29739:A *5718:70 0.000137905 -14 *29739:A *6042:20 8.12154e-05 -15 *29739:A *6183:14 0.000641061 -16 *29739:A *6317:33 2.40674e-05 -17 *29739:A *6317:49 9.62956e-06 -18 *29739:A *6319:70 0.000183307 -19 *29739:A *6345:13 1.64375e-05 -20 *29739:A *6345:45 0.000442606 -*RES -1 *26840:X *29739:A 49.9929 -*END - -*D_NET *772 0.00578902 -*CONN -*I *29796:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26841:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29796:A 0.000956103 -2 *26841:X 0.000956103 -3 *29796:A *28767:D 0.000306317 -4 *29796:A *2784:26 0.00176553 -5 *29796:A *2797:38 8.61512e-05 -6 *29796:A *2831:17 0.000248336 -7 *29796:A *2840:17 0.000511307 -8 *29796:A *5515:32 0.000131982 -9 *29796:A *5535:14 3.00656e-05 -10 *29796:A *5746:176 6.30931e-05 -11 *29796:A *5746:188 0.000183051 -12 *29796:A *5757:31 1.49882e-05 -13 *29796:A *6311:43 0.000288286 -14 *29796:A *6319:19 0.000198694 -15 *29796:A *6319:42 4.901e-05 -*RES -1 *26841:X *29796:A 44.474 -*END - -*D_NET *773 0.00365572 -*CONN -*I *29761:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26842:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29761:A 0.000527594 -2 *26842:X 0.000527594 -3 *29761:A *25018:A1 0.000411766 -4 *29761:A *3412:25 0.000483234 -5 *29761:A *3573:44 4.1611e-05 -6 *29761:A *5352:22 0.000244037 -7 *29761:A *5538:21 0.000266479 -8 *29761:A *5539:17 6.89506e-05 -9 *29761:A *5746:132 0.000137427 -10 *29761:A *5747:37 0.000459515 -11 *29761:A *5998:11 1.56445e-05 -12 *29761:A *6063:27 0.000355482 -13 *29761:A *6314:19 6.30931e-05 -14 *29761:A *6317:27 4.00349e-05 -15 *29761:A *6325:12 1.32552e-05 -*RES -1 *26842:X *29761:A 46.2763 -*END - -*D_NET *774 0.00215945 -*CONN -*I *30454:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26843:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30454:A 0.000616701 -2 *26843:X 0.000616701 -3 *30454:A *26843:A1 0.000418282 -4 *30454:A *27844:A0 1.32552e-05 -5 *30454:A *4352:19 0.00011315 -6 *30454:A *4574:47 8.7619e-05 -7 *30454:A *5678:247 1.21289e-05 -8 *30454:A *5678:267 0.000161389 -9 *30454:A *6338:41 0.000120227 -*RES -1 *26843:X *30454:A 37.5464 -*END - -*D_NET *775 0.00939353 -*CONN -*I *29876:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26844:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29876:A 0.000703296 -2 *26844:X 0.00106115 -3 *775:23 0.00176445 -4 *29876:A *26839:A1 3.98517e-05 -5 *29876:A *27225:A2 0.000232276 -6 *29876:A *27271:A1 0.000270326 -7 *29876:A *28768:D 7.55769e-05 -8 *29876:A *28770:D 0.000697471 -9 *29876:A *2780:100 4.25794e-05 -10 *29876:A *2799:18 4.51495e-05 -11 *29876:A *2803:20 3.4323e-06 -12 *29876:A *4574:37 0.00132649 -13 *29876:A *5747:21 4.13817e-05 -14 *775:23 *26839:A1 0.000149861 -15 *775:23 *27271:A0 1.21258e-05 -16 *775:23 *27271:A1 9.82016e-05 -17 *775:23 *27271:S 0.00010096 -18 *775:23 *29500:A 9.60939e-05 -19 *775:23 *29838:A 9.71197e-05 -20 *775:23 *3573:46 4.90655e-05 -21 *775:23 *4740:33 0.000128994 -22 *775:23 *4742:17 0.000475677 -23 *775:23 *5512:50 0.000572143 -24 *775:23 *5521:41 5.74499e-06 -25 *775:23 *5707:222 1.39726e-05 -26 *775:23 *5746:97 0.000136119 -27 *775:23 *6008:26 0.000137336 -28 *775:23 *6105:17 0.000378208 -29 *775:23 *6141:21 0.000142011 -30 *775:23 *6178:35 0.000272082 -31 *19:25 *29876:A 8.77129e-05 -32 *456:17 *775:23 0.000136676 -*RES -1 *26844:X *775:23 45.4607 -2 *775:23 *29876:A 40.2821 -*END - -*D_NET *776 0.000527517 -*CONN -*I *29461:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26846:X O *D sky130_fd_sc_hd__o311a_1 -*CAP -1 *29461:A 0.000144073 -2 *26846:X 0.000144073 -3 *29461:A *28443:D 0.000139133 -4 *29461:A *1244:23 4.06299e-05 -5 *29461:A *2780:90 4.05154e-05 -6 *29461:A *6338:41 1.90936e-05 -*RES -1 *26846:X *29461:A 30.1893 -*END - -*D_NET *777 0.00237768 -*CONN -*I *29328:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26848:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29328:A 0.000547438 -2 *26848:X 0.000547438 -3 *29328:A *25154:A 0.000191765 -4 *29328:A *25372:B1 4.22135e-06 -5 *29328:A *1450:28 0.000113061 -6 *29328:A *3868:74 0.00012401 -7 *29328:A *5715:213 0.000467004 -8 *29328:A *5891:33 0.000382744 -*RES -1 *26848:X *29328:A 37.7071 -*END - -*D_NET *778 0.00413484 -*CONN -*I *29127:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26850:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29127:A 0 -2 *26850:X 0.0012988 -3 *778:15 0.0012988 -4 *778:15 *26850:A1 0.000118921 -5 *778:15 *26850:S 7.6644e-05 -6 *778:15 *26857:A0 0 -7 *778:15 *26857:A1 0.000184745 -8 *778:15 *26857:S 3.85347e-05 -9 *778:15 *28556:D 7.13999e-05 -10 *778:15 *889:22 0.000177946 -11 *778:15 *2786:147 4.19624e-06 -12 *778:15 *2794:86 4.15526e-05 -13 *778:15 *3848:95 0 -14 *778:15 *3861:53 0.000468299 -15 *778:15 *4875:18 2.42103e-05 -16 *778:15 *4898:11 0 -17 *778:15 *5695:16 0 -18 *778:15 *5695:25 4.8347e-06 -19 *778:15 *5787:9 0.000110151 -20 *778:15 *5824:170 8.7935e-05 -21 *778:15 *5927:14 9.92409e-05 -22 *778:15 *6011:17 9.60337e-06 -23 *778:15 *6259:38 1.90332e-05 -*RES -1 *26850:X *778:15 42.2464 -2 *778:15 *29127:A 9.3 -*END - -*D_NET *779 0.0042633 -*CONN -*I *29062:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26851:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29062:A 0.00102302 -2 *26851:X 0.00102302 -3 *29062:A *26851:A0 0.000604483 -4 *29062:A *27208:A1 0.000518454 -5 *29062:A *28446:D 0.000368753 -6 *29062:A *3835:36 6.14605e-05 -7 *29062:A *5771:116 0.000176238 -8 *29062:A *5795:163 8.21234e-05 -9 *29062:A *5829:207 2.59355e-05 -10 *29062:A *6274:8 0.000379807 -*RES -1 *26851:X *29062:A 49.2071 -*END - -*D_NET *780 0.00313124 -*CONN -*I *30569:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26852:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30569:A 0.000658751 -2 *26852:X 0.000658751 -3 *30569:A *27189:A1 0.000486447 -4 *30569:A *2779:26 0.000183726 -5 *30569:A *3861:53 0.000425398 -6 *30569:A *4403:20 6.25005e-05 -7 *30569:A *4812:13 0.000641002 -8 *30569:A *4898:11 1.46624e-05 -*RES -1 *26852:X *30569:A 42.9393 -*END - -*D_NET *781 0.000942528 -*CONN -*I *29149:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26854:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29149:A 0.00024037 -2 *26854:X 0.00024037 -3 *29149:A *1600:19 0.000221641 -4 *29149:A *2755:11 5.52302e-05 -5 *29149:A *5711:67 0.000129235 -6 *29149:A *6225:79 5.56825e-05 -*RES -1 *26854:X *29149:A 23.6714 -*END - -*D_NET *782 0.00218066 -*CONN -*I *29152:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26855:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29152:A 0.000281476 -2 *26855:X 0.000281476 -3 *29152:A *24910:A 0.000221365 -4 *29152:A *26855:S 0.000306837 -5 *29152:A *28448:CLK 1.98839e-05 -6 *29152:A *28448:RESET_B 0.000121021 -7 *29152:A *28449:D 8.55871e-05 -8 *29152:A *28449:RESET_B 2.95642e-05 -9 *29152:A *3986:40 0.000142561 -10 *29152:A *5581:46 0.000305189 -11 *29152:A *5738:176 0.000385699 -*RES -1 *26855:X *29152:A 36.7786 -*END - -*D_NET *783 0.0102837 -*CONN -*I *30560:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26857:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30560:A 0 -2 *26857:X 0.00132547 -3 *783:17 0.00132547 -4 *783:17 *24829:A 0 -5 *783:17 *26859:A1 0.00103166 -6 *783:17 *27134:S 0.00103421 -7 *783:17 *28210:D 5.33005e-05 -8 *783:17 *29949:A 0.000399841 -9 *783:17 *29965:A 0.000102859 -10 *783:17 *2756:72 1.90936e-05 -11 *783:17 *3603:164 4.18834e-05 -12 *783:17 *4230:17 0.00167088 -13 *783:17 *4231:14 0.00181156 -14 *783:17 *4786:16 1.90936e-05 -15 *783:17 *5451:23 1.94261e-05 -16 *783:17 *5829:42 0.000213047 -17 *783:17 *5830:16 0.000170228 -18 *783:17 *6011:17 0.000293881 -19 *783:17 *6040:20 0.00015176 -20 *783:17 *6252:13 0.00040279 -21 *783:17 *6253:13 0 -22 *30712:A *783:17 0.000168299 -23 *553:13 *783:17 2.89114e-05 -*RES -1 *26857:X *783:17 43.926 -2 *783:17 *30560:A 9.3 -*END - -*D_NET *784 0.0137865 -*CONN -*I *29708:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26858:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29708:A 0.000414597 -2 *26858:X 0 -3 *784:8 0.00357711 -4 *784:4 0.00316252 -5 *29708:A *29735:A 0.000230482 -6 *29708:A *30857:A 0.000334175 -7 *29708:A *30862:A 1.21258e-05 -8 *29708:A *3965:134 0.000186662 -9 *29708:A *5397:53 0.000183393 -10 *29708:A *5449:28 0.000615943 -11 *29708:A *5453:32 0.000137475 -12 *29708:A *5455:7 4.27437e-05 -13 *29708:A *5859:14 0 -14 *29708:A *6242:23 0.000271352 -15 *784:8 *5397:53 1.49682e-05 -16 *784:8 *5446:21 0.00424256 -17 *784:8 *5453:32 9.25014e-06 -18 *784:8 *6039:24 0.000351156 -19 *66:13 *784:8 0 -*RES -1 *26858:X *784:4 9.3 -2 *784:4 *784:8 49.9107 -3 *784:8 *29708:A 35.9429 -*END - -*D_NET *785 0.00860392 -*CONN -*I *29154:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26859:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29154:A 0.00111857 -2 *26859:X 0.00156083 -3 *785:21 0.00267941 -4 *29154:A *26862:A0 1.24368e-05 -5 *29154:A *26862:A1 0.000254083 -6 *29154:A *26862:S 5.52238e-05 -7 *29154:A *26863:A0 5.52302e-05 -8 *29154:A *28457:CLK 6.444e-05 -9 *29154:A *28457:D 5.33005e-05 -10 *29154:A *29085:A 4.35452e-05 -11 *29154:A *29153:A 0.000118057 -12 *29154:A *4925:25 9.25014e-06 -13 *29154:A *5775:128 3.43886e-05 -14 *29154:A *5880:24 4.38518e-05 -15 *29154:A *5893:81 6.18969e-06 -16 *29154:A *6286:16 0.000111439 -17 *785:21 *26858:S 5.33005e-05 -18 *785:21 *26862:A0 2.28499e-05 -19 *785:21 *26864:A0 0.000263255 -20 *785:21 *28453:RESET_B 0.000434038 -21 *785:21 *28454:CLK 3.97677e-05 -22 *785:21 *28454:D 0.000212109 -23 *785:21 *29153:A 2.51133e-05 -24 *785:21 *2756:48 0.000222666 -25 *785:21 *2756:57 0.000695773 -26 *785:21 *3887:97 9.45051e-05 -27 *785:21 *5452:16 0.000306311 -28 *785:21 *5781:10 0 -29 *785:21 *5880:24 1.39841e-05 -*RES -1 *26859:X *785:21 44.8357 -2 *785:21 *29154:A 35.3 -*END - -*D_NET *786 0.0105943 -*CONN -*I *29983:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26860:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29983:A 0.00140719 -2 *26860:X 0.00193569 -3 *786:13 0.00334288 -4 *29983:A *28457:D 2.95495e-05 -5 *29983:A *29153:A 0.000409481 -6 *29983:A *29410:A 0.000123137 -7 *29983:A *5179:16 8.6229e-06 -8 *29983:A *5181:22 0.000464989 -9 *29983:A *5462:70 0.000186669 -10 *29983:A *5870:8 0 -11 *29983:A *5893:81 0.000227539 -12 *29983:A *6286:16 8.7935e-05 -13 *786:13 *28452:D 0.000110361 -14 *786:13 *28455:D 0.000163747 -15 *786:13 *28455:RESET_B 0.000520189 -16 *786:13 *29939:A 0.000121508 -17 *786:13 *4925:25 0.000329879 -18 *786:13 *5893:81 3.47641e-06 -19 *786:13 *6253:13 0.00100439 -20 *786:13 *6285:21 0.000117072 -*RES -1 *26860:X *786:13 47.3736 -2 *786:13 *29983:A 44.3446 -*END - -*D_NET *787 0.0112966 -*CONN -*I *29410:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26861:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29410:A 0.00105875 -2 *26861:X 0.0014921 -3 *787:14 0.00255085 -4 *29410:A *25739:A0 6.48686e-05 -5 *29410:A *30435:A 0.000478632 -6 *29410:A *3991:72 0 -7 *29410:A *5408:42 6.68446e-05 -8 *29410:A *5462:55 0.00164137 -9 *29410:A *5462:70 0 -10 *29410:A *5870:8 0 -11 *29410:A *5903:11 0 -12 *29410:A *6011:17 0.00041407 -13 *29410:A *6223:17 0.000723307 -14 *29410:A *6242:23 0.000478632 -15 *787:14 *28454:RESET_B 7.29712e-05 -16 *787:14 *28457:D 4.19624e-06 -17 *787:14 *29920:A 0.000347476 -18 *787:14 *1083:15 6.2589e-06 -19 *787:14 *5462:55 0.000365554 -20 *787:14 *6011:17 0.000106013 -21 *787:14 *6223:17 0.00130157 -22 *29983:A *29410:A 0.000123137 -*RES -1 *26861:X *787:14 47.3618 -2 *787:14 *29410:A 31.1307 -*END - -*D_NET *788 0.00953259 -*CONN -*I *29939:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26862:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29939:A 0.00121019 -2 *26862:X 0.00121019 -3 *29939:A *24824:A 0 -4 *29939:A *26864:A0 6.42932e-05 -5 *29939:A *29085:A 0.0028594 -6 *29939:A *29153:A 3.50527e-05 -7 *29939:A *30435:A 0.000270257 -8 *29939:A *1835:84 8.9168e-05 -9 *29939:A *5680:30 0.000753749 -10 *29939:A *5893:81 0.00116233 -11 *29939:A *5925:10 5.36308e-05 -12 *29939:A *6242:23 0.000483808 -13 *29939:A *6285:21 0.00121901 -14 *786:13 *29939:A 0.000121508 -*RES -1 *26862:X *29939:A 47.1788 -*END - -*D_NET *789 0.00873281 -*CONN -*I *29085:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26863:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29085:A 0.00178664 -2 *26863:X 0.00178664 -3 *29085:A *24832:A 1.94879e-05 -4 *29085:A *25739:A0 0.000771724 -5 *29085:A *26862:A1 9.94209e-05 -6 *29085:A *29153:A 0.000891547 -7 *29085:A *30863:A 9.41642e-05 -8 *29085:A *1835:84 8.28506e-05 -9 *29085:A *5680:30 4.00658e-06 -10 *29085:A *5880:24 0.000225616 -11 *29085:A *5892:6 1.94879e-05 -12 *29085:A *5898:17 4.82865e-05 -13 *29154:A *29085:A 4.35452e-05 -14 *29939:A *29085:A 0.0028594 -*RES -1 *26863:X *29085:A 43.9791 -*END - -*D_NET *790 0.00493625 -*CONN -*I *29926:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26864:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29926:A 0.000146086 -2 *26864:X 0.00106515 -3 *790:22 0.00121124 -4 *29926:A *28457:D 0.000356028 -5 *790:22 *26864:A1 0.000195455 -6 *790:22 *26864:S 0.000276211 -7 *790:22 *28456:RESET_B 0.000205067 -8 *790:22 *29411:A 9.12599e-05 -9 *790:22 *30895:A 0.000178125 -10 *790:22 *4925:25 8.80812e-06 -11 *790:22 *5179:16 0.000183324 -12 *790:22 *5456:7 2.89114e-05 -13 *790:22 *5463:14 0.00012864 -14 *790:22 *5588:85 1.38495e-05 -15 *790:22 *5859:14 0.000366524 -16 *790:22 *6011:17 0 -17 *790:22 *6286:16 0.000481568 -*RES -1 *26864:X *790:22 49.9964 -2 *790:22 *29926:A 12.7107 -*END - -*D_NET *791 0.00807266 -*CONN -*I *30501:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26866:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30501:A 0.000290319 -2 *26866:X 0.00125726 -3 *791:11 0.00154758 -4 *30501:A *27789:A2 4.38128e-05 -5 *30501:A *3338:8 0.000459601 -6 *30501:A *4071:19 5.49489e-05 -7 *30501:A *4123:9 5.31122e-05 -8 *30501:A *5651:357 0.000341154 -9 *30501:A *5652:184 2.16977e-05 -10 *30501:A *5703:42 6.25562e-05 -11 *30501:A *5910:69 3.08664e-05 -12 *791:11 *25381:B2 1.69159e-05 -13 *791:11 *26866:A1 0.000197078 -14 *791:11 *26943:A1 0.000219289 -15 *791:11 *26982:B 0.000137983 -16 *791:11 *27000:A 0.000188071 -17 *791:11 *27099:A 5.33005e-05 -18 *791:11 *27099:B 5.33005e-05 -19 *791:11 *28557:CLK 0.000108213 -20 *791:11 *28557:D 0.00206028 -21 *791:11 *1269:154 0.000287549 -22 *791:11 *2773:60 0.000168333 -23 *791:11 *5669:320 0.000219289 -24 *791:11 *5873:26 0.000200151 -*RES -1 *26866:X *791:11 48.3179 -2 *791:11 *30501:A 26.55 -*END - -*D_NET *792 0.00873718 -*CONN -*I *29406:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26867:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29406:A 0 -2 *26867:X 0.00263701 -3 *792:24 0.00263701 -4 *792:24 *28583:CLK 6.9156e-05 -5 *792:24 *28597:CLK 0.000260797 -6 *792:24 *28597:RESET_B 3.67419e-05 -7 *792:24 *28673:D 5.95974e-05 -8 *792:24 *3367:18 2.21972e-05 -9 *792:24 *3757:13 0.00157819 -10 *792:24 *3757:15 0.000188086 -11 *792:24 *3757:102 0.000303368 -12 *792:24 *3980:20 2.83129e-05 -13 *792:24 *4149:34 2.06112e-05 -14 *792:24 *5595:69 0.000493836 -15 *792:24 *5795:221 0.000197851 -16 *792:24 *5829:149 0.000106924 -17 *792:24 *5873:281 9.74942e-05 -*RES -1 *26867:X *792:24 47.6312 -2 *792:24 *29406:A 9.3 -*END - -*D_NET *793 0.00538191 -*CONN -*I *30445:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26868:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30445:A 0 -2 *26868:X 0.00147448 -3 *793:13 0.00147448 -4 *793:13 *26908:A1 0.000344232 -5 *793:13 *26908:S 4.13496e-05 -6 *793:13 *28460:D 9.74822e-05 -7 *793:13 *29071:A 0.000554221 -8 *793:13 *2759:149 0.000554429 -9 *793:13 *2761:213 9.60939e-05 -10 *793:13 *4108:13 8.55871e-05 -11 *793:13 *5680:147 4.27437e-05 -12 *793:13 *5681:16 0.000552708 -13 *793:13 *5766:43 6.41033e-05 -*RES -1 *26868:X *793:13 45.3893 -2 *793:13 *30445:A 9.3 -*END - -*D_NET *794 0.00753702 -*CONN -*I *29700:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26869:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29700:A 0.000248635 -2 *26869:X 0.00194804 -3 *794:23 0.00219667 -4 *29700:A *27437:C 0.000218685 -5 *29700:A *27437:D 6.43196e-05 -6 *29700:A *2993:27 9.41642e-05 -7 *794:23 *25042:A2 0.000144001 -8 *794:23 *26925:A1 0.000432483 -9 *794:23 *28190:D 0 -10 *794:23 *28511:D 6.07037e-07 -11 *794:23 *28529:CLK 1.90936e-05 -12 *794:23 *30875:A 3.15493e-05 -13 *794:23 *844:19 0.000935186 -14 *794:23 *2763:29 2.12087e-05 -15 *794:23 *2993:27 1.02936e-05 -16 *794:23 *4218:18 0.000352538 -17 *794:23 *5639:165 6.8646e-06 -18 *794:23 *5824:286 0.000255618 -19 *794:23 *6004:15 0.000547031 -20 *794:23 *6005:32 1.0033e-05 -*RES -1 *26869:X *794:23 46.6102 -2 *794:23 *29700:A 13.9429 -*END - -*D_NET *795 0.00262488 -*CONN -*I *29651:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26870:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29651:A 0.000474573 -2 *26870:X 0.000474573 -3 *29651:A *25043:B2 0.000274948 -4 *29651:A *26870:A1 6.05161e-06 -5 *29651:A *2768:220 0.00105886 -6 *29651:A *3681:17 5.49489e-05 -7 *29651:A *5953:11 0.00016968 -8 *29651:A *5954:15 0.000111243 -*RES -1 *26870:X *29651:A 31.475 -*END - -*D_NET *796 0.00392451 -*CONN -*I *29972:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26871:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29972:A 0.000635583 -2 *26871:X 0.000635583 -3 *29972:A *27063:B 0.000244646 -4 *29972:A *28965:A 5.33005e-05 -5 *29972:A *5584:194 0.00173511 -6 *29972:A *5668:11 0.000344225 -7 *29972:A *5716:192 4.56383e-05 -8 *29972:A *5911:246 0.00016345 -9 *29972:A *6275:11 1.90303e-05 -10 *29972:A *6351:10 4.79443e-05 -*RES -1 *26871:X *29972:A 46.3321 -*END - -*D_NET *797 0.00313658 -*CONN -*I *29329:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26872:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29329:A 0.000578929 -2 *26872:X 0.000578929 -3 *29329:A *26872:S 2.47808e-05 -4 *29329:A *27126:A 0.00013956 -5 *29329:A *29054:A 5.68783e-05 -6 *29329:A *29318:A 0.000125318 -7 *29329:A *1292:153 5.52238e-05 -8 *29329:A *3608:14 5.85517e-05 -9 *29329:A *5584:194 0.000647341 -10 *29329:A *5683:96 0.000125318 -11 *29329:A *5736:24 0.000656445 -12 *29329:A *5800:43 6.88204e-05 -13 *29329:A *5911:43 2.04825e-05 -*RES -1 *26872:X *29329:A 42.3152 -*END - -*D_NET *798 0.00689582 -*CONN -*I *30391:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26873:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30391:A 0 -2 *26873:X 0.00236851 -3 *798:11 0.00236851 -4 *798:11 *26873:A0 0 -5 *798:11 *28613:D 7.99211e-05 -6 *798:11 *28613:RESET_B 0.000401751 -7 *798:11 *3783:10 5.33005e-05 -8 *798:11 *4412:11 0.000171177 -9 *798:11 *5461:32 7.83659e-05 -10 *798:11 *5774:185 0.000135899 -11 *798:11 *5876:16 0.00123838 -*RES -1 *26873:X *798:11 48.9429 -2 *798:11 *30391:A 9.3 -*END - -*D_NET *799 0.00198434 -*CONN -*I *29186:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26875:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29186:A 0.000519632 -2 *26875:X 0.000519632 -3 *29186:A *26875:A0 8.77732e-05 -4 *29186:A *27671:A1 4.53436e-05 -5 *29186:A *28466:D 0.000136951 -6 *29186:A *28800:CLK 8.87461e-06 -7 *29186:A *29035:A 3.37553e-05 -8 *29186:A *1536:27 6.98542e-05 -9 *29186:A *2758:23 1.90936e-05 -10 *29186:A *2877:25 0.000341276 -11 *29186:A *2883:15 0.000135028 -12 *29186:A *2883:29 1.46617e-05 -13 *29186:A *3206:84 2.06178e-05 -14 *29186:A *3569:26 3.18486e-05 -*RES -1 *26875:X *29186:A 41.8796 -*END - -*D_NET *800 0.000437727 -*CONN -*I *29867:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26876:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29867:A 8.33757e-05 -2 *26876:X 8.33757e-05 -3 *29867:A *2864:176 2.59355e-05 -4 *29867:A *3992:53 0.00012252 -5 *29867:A *4015:37 0.00012252 -*RES -1 *26876:X *29867:A 29.7786 -*END - -*D_NET *801 0.0010807 -*CONN -*I *29723:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26877:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29723:A 0.000374696 -2 *26877:X 0.000374696 -3 *29723:A *26877:A1 5.33005e-05 -4 *29723:A *28468:D 9.60875e-05 -5 *29723:A *2758:90 3.97677e-05 -6 *29723:A *5936:25 1.04232e-05 -7 *29723:A *5936:29 0.000131734 -*RES -1 *26877:X *29723:A 24.9214 -*END - -*D_NET *802 0.000484451 -*CONN -*I *29710:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26878:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29710:A 9.24425e-05 -2 *26878:X 9.24425e-05 -3 *29710:A *2882:31 5.33005e-05 -4 *29710:A *3938:40 0.000123133 -5 *29710:A *4055:33 0.000123133 -*RES -1 *26878:X *29710:A 29.7786 -*END - -*D_NET *803 0.00217338 -*CONN -*I *29655:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26879:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29655:A 0.000529525 -2 *26879:X 0.000529525 -3 *29655:A *27768:B2 0.000169243 -4 *29655:A *1277:138 0.000300073 -5 *29655:A *3221:15 0.000510642 -6 *29655:A *3667:28 0.000128784 -7 *29655:A *4015:31 5.58875e-06 -*RES -1 *26879:X *29655:A 36.725 -*END - -*D_NET *804 0.00131318 -*CONN -*I *30172:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26880:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30172:A 0.00032379 -2 *26880:X 0.00032379 -3 *30172:A *26880:A1 0.000181879 -4 *30172:A *28471:D 0.000424029 -5 *30172:A *1274:126 5.21937e-05 -6 *30172:A *1274:137 7.49387e-06 -*RES -1 *26880:X *30172:A 25.3143 -*END - -*D_NET *805 0.00222533 -*CONN -*I *30372:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26881:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30372:A 0.000660521 -2 *26881:X 0.000660521 -3 *30372:A *28929:A 0.000137983 -4 *30372:A *1290:179 1.5702e-05 -5 *30372:A *2786:101 0 -6 *30372:A *3040:31 2.11068e-05 -7 *30372:A *3537:73 2.06178e-05 -8 *30372:A *3574:28 0.00064096 -9 *30372:A *5584:79 5.41797e-06 -10 *30372:A *5631:26 6.25005e-05 -*RES -1 *26881:X *30372:A 36.7607 -*END - -*D_NET *806 0.000333718 -*CONN -*I *30142:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26882:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30142:A 4.45467e-05 -2 *26882:X 4.45467e-05 -3 *30142:A *2998:30 0.000112563 -4 *30142:A *2998:32 7.05143e-06 -5 *30142:A *3153:124 0.00012501 -*RES -1 *26882:X *30142:A 29.2429 -*END - -*D_NET *807 0.000853996 -*CONN -*I *29320:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26884:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29320:A 0.000130352 -2 *26884:X 0.000130352 -3 *29320:A *28474:D 2.59355e-05 -4 *29320:A *28474:SET_B 4.90803e-05 -5 *29320:A *28602:SET_B 0.000128154 -6 *29320:A *3920:31 0.000317602 -7 *29320:A *4128:20 7.252e-05 -*RES -1 *26884:X *29320:A 32.2071 -*END - -*D_NET *808 0.00140637 -*CONN -*I *30512:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26885:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30512:A 0.000337019 -2 *26885:X 0.000337019 -3 *30512:A *25249:B2 5.52238e-05 -4 *30512:A *1261:25 0.00018372 -5 *30512:A *1456:134 6.12434e-05 -6 *30512:A *3886:78 0.000143883 -7 *30512:A *3963:87 0.00014559 -8 *30512:A *5708:117 4.85033e-05 -9 *30512:A *5708:141 9.41642e-05 -*RES -1 *26885:X *30512:A 33.9036 -*END - -*D_NET *809 0.00126902 -*CONN -*I *29205:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26886:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29205:A 0.00023203 -2 *26886:X 0.00023203 -3 *29205:A *27675:B2 3.63587e-05 -4 *29205:A *3206:304 5.33005e-05 -5 *29205:A *4029:24 0.000352551 -6 *29205:A *5701:126 6.05161e-06 -7 *29205:A *5824:153 0.000356695 -*RES -1 *26886:X *29205:A 33.7786 -*END - -*D_NET *810 0.00146087 -*CONN -*I *30086:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26887:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30086:A 0.000560201 -2 *26887:X 0.000560201 -3 *30086:A *26887:A1 0.000175892 -4 *30086:A *2759:31 5.63043e-05 -5 *30086:A *2759:43 1.11474e-05 -6 *30415:A *30086:A 9.71197e-05 -*RES -1 *26887:X *30086:A 25.6179 -*END - -*D_NET *811 0.000900447 -*CONN -*I *30294:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26888:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30294:A 0.000340195 -2 *26888:X 0.000340195 -3 *30294:A *26888:S 0.000220058 -*RES -1 *26888:X *30294:A 24.0821 -*END - -*D_NET *812 0.00233321 -*CONN -*I *30588:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26889:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30588:A 0.000440339 -2 *26889:X 0.000440339 -3 *30588:A *26889:A1 0.000253723 -4 *30588:A *2771:36 0.000342903 -5 *30588:A *3783:13 0.000224583 -6 *30588:A *4045:18 0.000524204 -7 *30588:A *5892:6 0.000107119 -*RES -1 *26889:X *30588:A 39.5286 -*END - -*D_NET *813 0.00060204 -*CONN -*I *30396:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26890:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30396:A 0.000143613 -2 *26890:X 0.000143613 -3 *30396:A *26890:S 0.000140933 -4 *30396:A *1338:11 4.57352e-05 -5 *30396:A *2769:98 0.000128146 -*RES -1 *26890:X *30396:A 30.6 -*END - -*D_NET *814 0.00140284 -*CONN -*I *30049:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26891:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30049:A 0.000182288 -2 *26891:X 0.000182288 -3 *30049:A *28555:D 1.92905e-05 -4 *30049:A *2775:44 0.000509486 -5 *30049:A *5528:53 0.000509486 -*RES -1 *26891:X *30049:A 34.6357 -*END - -*D_NET *815 0.00153385 -*CONN -*I *29156:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26893:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29156:A 0.000442112 -2 *26893:X 0.000442112 -3 *29156:A *26893:A1 1.90936e-05 -4 *29156:A *28482:SET_B 9.60939e-05 -5 *29156:A *2777:94 9.57086e-05 -6 *29156:A *2786:89 1.05524e-05 -7 *29156:A *2786:101 5.22991e-06 -8 *29156:A *2998:30 5.34213e-05 -9 *29156:A *3185:48 0.000153884 -10 *29156:A *3537:73 1.49255e-05 -11 *29156:A *3669:63 2.96081e-05 -12 *29156:A *5631:26 4.5539e-05 -13 *29156:A *5757:134 0.000125567 -*RES -1 *26893:X *29156:A 45.6357 -*END - -*D_NET *816 0.000695592 -*CONN -*I *29874:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26894:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29874:A 0.000171904 -2 *26894:X 0.000171904 -3 *29874:A *28483:SET_B 4.31568e-05 -4 *29874:A *5627:75 0.000308627 -5 *29874:A *5661:220 0 -*RES -1 *26894:X *29874:A 31.6714 -*END - -*D_NET *817 0.00312088 -*CONN -*I *29105:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26895:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29105:A 0.00046518 -2 *26895:X 0.00046518 -3 *29105:A *26850:A1 0.000782379 -4 *29105:A *26857:A0 0.000401128 -5 *29105:A *26895:A1 0.000739592 -6 *29105:A *26895:S 3.37161e-05 -7 *29105:A *29702:A 0.000130881 -8 *29105:A *2760:174 0.000102821 -*RES -1 *26895:X *29105:A 42.6 -*END - -*D_NET *818 0.00145731 -*CONN -*I *29661:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26896:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29661:A 0.000222089 -2 *26896:X 0.000222089 -3 *29661:A *26896:A1 0.000138977 -4 *29661:A *26896:S 0.000260574 -5 *29661:A *2871:224 0.000587484 -6 *29661:A *5748:36 2.60984e-05 -*RES -1 *26896:X *29661:A 24.9036 -*END - -*D_NET *819 0.000821141 -*CONN -*I *30216:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26897:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30216:A 0.000322746 -2 *26897:X 0.000322746 -3 *30216:A *28486:D 5.52302e-05 -4 *30216:A *2766:101 0.000120418 -*RES -1 *26897:X *30216:A 24.1 -*END - -*D_NET *820 0.000502718 -*CONN -*I *30136:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26898:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30136:A 0.000153622 -2 *26898:X 0.000153622 -3 *30136:A *28487:D 7.29712e-05 -4 *30136:A *5639:165 2.2518e-05 -5 *30136:A *5879:112 9.99853e-05 -*RES -1 *26898:X *30136:A 30.1893 -*END - -*D_NET *821 0.000686462 -*CONN -*I *30417:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26899:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30417:A 0.000148304 -2 *26899:X 0.000148304 -3 *30417:A *27809:C1 0.000125731 -4 *30417:A *28488:CLK 0.000127446 -5 *30417:A *5678:47 0.000136676 -*RES -1 *26899:X *30417:A 30.6 -*END - -*D_NET *822 0.00166914 -*CONN -*I *30111:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26900:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30111:A 0.000489821 -2 *26900:X 0.000489821 -3 *30111:A *26900:A0 9.66977e-05 -4 *30111:A *27458:A1 2.73646e-05 -5 *30111:A *2760:69 4.85033e-05 -6 *30111:A *3179:67 0 -7 *30111:A *3956:10 7.54868e-05 -8 *30111:A *5660:102 0.000302234 -9 *30111:A *5675:58 0.000139215 -*RES -1 *26900:X *30111:A 35.4929 -*END - -*D_NET *823 0.00078577 -*CONN -*I *29313:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26902:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29313:A 0.000232758 -2 *26902:X 0.000232758 -3 *29313:A *25377:C1 0 -4 *29313:A *26902:A0 1.47972e-05 -5 *29313:A *1524:22 5.49489e-05 -6 *29313:A *3742:36 4.5632e-05 -7 *29313:A *3742:48 5.49007e-05 -8 *29313:A *5656:137 0.000121573 -9 *29313:A *5761:209 2.84026e-05 -*RES -1 *26902:X *29313:A 31.5464 -*END - -*D_NET *824 0.000910131 -*CONN -*I *29843:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26903:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29843:A 0.00012085 -2 *26903:X 0.00012085 -3 *29843:A *28491:D 0.000174805 -4 *29843:A *1256:95 0.000314465 -5 *29843:A *1282:198 5.49544e-05 -6 *29843:A *1700:16 6.9253e-05 -7 *29843:A *5652:27 5.49544e-05 -*RES -1 *26903:X *29843:A 32.2071 -*END - -*D_NET *825 0.000982235 -*CONN -*I *30383:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26904:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30383:A 0.000195795 -2 *26904:X 0.000195795 -3 *30383:A *1252:207 5.49489e-05 -4 *30383:A *1556:26 0 -5 *30383:A *2768:90 0.000238053 -6 *30383:A *3899:38 0.000297644 -*RES -1 *26904:X *30383:A 32.2071 -*END - -*D_NET *826 0.00551059 -*CONN -*I *30222:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26905:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30222:A 0 -2 *26905:X 0.00135991 -3 *826:27 0.00135991 -4 *826:27 *25381:A1 7.98777e-05 -5 *826:27 *25381:A2 1.46576e-05 -6 *826:27 *25381:B1 4.87953e-05 -7 *826:27 *25381:B2 2.84269e-05 -8 *826:27 *25381:C1 0.000965203 -9 *826:27 *28673:RESET_B 0.000109295 -10 *826:27 *1697:20 0.000435039 -11 *826:27 *2773:68 3.06878e-06 -12 *826:27 *3692:29 0.000286724 -13 *826:27 *3744:20 8.28647e-05 -14 *826:27 *4069:17 0.000132438 -15 *826:27 *4069:21 0.000182863 -16 *826:27 *4465:17 0.000183643 -17 *826:27 *4466:10 4.45363e-05 -18 *826:27 *4511:19 5.49111e-05 -19 *826:27 *5773:20 5.33005e-05 -20 *826:27 *5773:23 3.17148e-05 -21 *826:27 *5847:12 5.33983e-05 -*RES -1 *26905:X *826:27 46.1036 -2 *826:27 *30222:A 9.3 -*END - -*D_NET *827 0.00113392 -*CONN -*I *30340:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26906:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30340:A 0.000411367 -2 *26906:X 0.000411367 -3 *30340:A *26906:S 5.36248e-05 -4 *30340:A *28494:D 7.66031e-05 -5 *30340:A *5689:117 0.000180959 -*RES -1 *26906:X *30340:A 25.0464 -*END - -*D_NET *828 0.00197021 -*CONN -*I *29081:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26907:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29081:A 0.000638261 -2 *26907:X 0.000638261 -3 *29081:A *25381:C1 0.000159394 -4 *29081:A *28495:D 0 -5 *29081:A *2761:38 5.49544e-05 -6 *29081:A *3891:29 0 -7 *29081:A *5639:165 0 -8 *29081:A *5682:197 6.96796e-05 -9 *29081:A *5773:20 7.57312e-05 -10 *29081:A *5873:26 0.000333931 -*RES -1 *26907:X *29081:A 39.3679 -*END - -*D_NET *829 0.000314468 -*CONN -*I *29730:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26908:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29730:A 4.70941e-05 -2 *26908:X 4.70941e-05 -3 *29730:A *28496:D 7.83587e-05 -4 *29730:A *2767:18 0.00012501 -5 *29730:A *5910:88 1.69115e-05 -*RES -1 *26908:X *29730:A 29.2429 -*END - -*D_NET *830 0.0030333 -*CONN -*I *30057:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26909:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30057:A 0.00117895 -2 *26909:X 0.00117895 -3 *30057:A *28513:D 9.23451e-05 -4 *30057:A *28513:RESET_B 2.42516e-05 -5 *30057:A *3580:57 6.76261e-05 -6 *30057:A *4099:7 0.000343572 -7 *30057:A *5676:154 0.000128331 -8 *30057:A *5676:172 1.92789e-05 -*RES -1 *26909:X *30057:A 35.0464 -*END - -*D_NET *831 0.00154865 -*CONN -*I *30342:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26911:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30342:A 0.000360686 -2 *26911:X 0.000360686 -3 *30342:A *4076:8 0.000124254 -4 *30342:A *5709:263 0.000641838 -5 *30342:A *5716:214 6.11843e-05 -*RES -1 *26911:X *30342:A 35.5286 -*END - -*D_NET *832 0.0014425 -*CONN -*I *29847:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26912:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29847:A 0.000314133 -2 *26912:X 0.000314133 -3 *29847:A *28499:D 0.000370108 -4 *29847:A *28572:CLK 0 -5 *29847:A *3822:108 9.14786e-05 -6 *29847:A *4080:7 0.000181796 -7 *29847:A *5629:182 2.88424e-05 -8 *29847:A *5629:186 0.000142004 -*RES -1 *26912:X *29847:A 35.3321 -*END - -*D_NET *833 0.000545871 -*CONN -*I *29203:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26913:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29203:A 7.6362e-05 -2 *26913:X 7.6362e-05 -3 *29203:A *26967:S 0.000125724 -4 *29203:A *28500:D 4.58194e-05 -5 *29203:A *3874:91 0.000127439 -6 *29203:A *5716:121 9.41642e-05 -*RES -1 *26913:X *29203:A 30.1893 -*END - -*D_NET *834 0.00169986 -*CONN -*I *29989:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26914:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29989:A 0.000440516 -2 *26914:X 0.000440516 -3 *29989:A *27723:C1 1.38247e-05 -4 *29989:A *27724:C1 0.00035013 -5 *29989:A *1265:161 0.000102593 -6 *29989:A *2792:62 0 -7 *29989:A *3926:32 1.03106e-05 -8 *29989:A *3926:40 1.37595e-05 -9 *29989:A *5781:12 0.00010362 -10 *29989:A *5820:73 0.00022459 -11 *29989:A *5829:68 0 -*RES -1 *26914:X *29989:A 37.1714 -*END - -*D_NET *835 0.0010023 -*CONN -*I *29692:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26915:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29692:A 0.00022766 -2 *26915:X 0.00022766 -3 *29692:A *26911:A0 0.000521419 -4 *29692:A *28502:D 2.55587e-05 -*RES -1 *26915:X *29692:A 24.0821 -*END - -*D_NET *836 0.00274815 -*CONN -*I *30582:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26916:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30582:A 0.00034584 -2 *26916:X 0.00034584 -3 *30582:A *30581:A 5.54204e-05 -4 *30582:A *2771:57 0.000919116 -5 *30582:A *3718:47 0.000514617 -6 *30582:A *4084:10 0.000168774 -7 *30582:A *4086:16 0.000217373 -8 *30582:A *5774:177 0.00018117 -*RES -1 *26916:X *30582:A 39.6179 -*END - -*D_NET *837 0.00291447 -*CONN -*I *29262:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26917:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29262:A 0.000792284 -2 *26917:X 0.000792284 -3 *29262:A *28504:D 0.000221978 -4 *29262:A *29251:A 0.000221978 -5 *29262:A *3930:32 5.62306e-05 -6 *29262:A *4085:16 5.83768e-05 -7 *29262:A *5829:221 0.000771339 -*RES -1 *26917:X *29262:A 40.1714 -*END - -*D_NET *838 0.000988879 -*CONN -*I *29922:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26918:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29922:A 0.00025285 -2 *26918:X 0.00025285 -3 *29922:A *25053:B1 0.000108754 -4 *29922:A *26917:S 5.58875e-06 -5 *29922:A *2792:65 0.000264756 -6 *29922:A *3930:55 9.78828e-05 -7 *29922:A *4137:16 6.19639e-06 -*RES -1 *26918:X *29922:A 31.8321 -*END - -*D_NET *839 0.00131428 -*CONN -*I *29333:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26920:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29333:A 0.00045277 -2 *26920:X 0.00045277 -3 *29333:A *28506:D 0 -4 *29333:A *28506:SET_B 0 -5 *29333:A *5729:163 4.03318e-05 -6 *29333:A *5935:30 0 -7 *29333:A *5961:10 0.000265378 -8 *29333:A *6374:61 3.87941e-05 -9 *28075:RESET_B *29333:A 6.42338e-05 -*RES -1 *26920:X *29333:A 35.4571 -*END - -*D_NET *840 0.00173509 -*CONN -*I *29872:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26921:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29872:A 0.000352325 -2 *26921:X 0.000352325 -3 *29872:A *26921:A0 5.52302e-05 -4 *29872:A *28483:SET_B 9.41642e-05 -5 *29872:A *28507:D 0.000146948 -6 *29872:A *28594:CLK 4.58194e-05 -7 *29872:A *28594:SET_B 0.000135028 -8 *29872:A *2877:187 0.000398254 -9 *29872:A *3574:86 0.00011205 -10 *29872:A *5627:75 4.29471e-05 -*RES -1 *26921:X *29872:A 36.4571 -*END - -*D_NET *841 0.00307382 -*CONN -*I *29125:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26922:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29125:A 0.000765811 -2 *26922:X 0.000765811 -3 *29125:A *26922:A0 0.000389651 -4 *29125:A *3691:8 0.000174914 -5 *29125:A *5701:85 0.000238055 -6 *29125:A *5701:87 0.000445285 -7 *29125:A *5795:163 0.000170999 -8 *29125:A *5881:43 0.000123295 -*RES -1 *26922:X *29125:A 42.4036 -*END - -*D_NET *842 0.000392496 -*CONN -*I *29998:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26923:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29998:A 7.1362e-05 -2 *26923:X 7.1362e-05 -3 *29998:A *26923:A1 2.59355e-05 -4 *29998:A *2771:22 0.000125724 -5 *29998:A *4095:11 5.33005e-05 -6 *29998:A *5892:6 4.48113e-05 -*RES -1 *26923:X *29998:A 29.7786 -*END - -*D_NET *843 0.00118783 -*CONN -*I *30200:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26924:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30200:A 0.000117952 -2 *26924:X 0.000117952 -3 *30200:A *2767:188 0.000123137 -4 *30200:A *3578:21 0.000351105 -5 *30200:A *4350:116 0.000353028 -6 *30200:A *5709:32 0.000124655 -*RES -1 *26924:X *30200:A 32.6536 -*END - -*D_NET *844 0.00448019 -*CONN -*I *30073:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26925:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30073:A 0 -2 *26925:X 0.000699368 -3 *844:19 0.000699368 -4 *844:19 *25042:A2 8.92708e-06 -5 *844:19 *27786:B2 9.69455e-05 -6 *844:19 *28511:D 0.000698161 -7 *844:19 *1382:16 3.03395e-05 -8 *844:19 *2993:27 0.000221006 -9 *844:19 *3733:59 9.78828e-05 -10 *844:19 *4097:11 0.000725467 -11 *844:19 *4097:13 5.33005e-05 -12 *844:19 *4357:21 0.000165675 -13 *844:19 *5587:169 1.96616e-05 -14 *844:19 *5911:94 2.89016e-05 -15 *794:23 *844:19 0.000935186 -*RES -1 *26925:X *844:19 47.1036 -2 *844:19 *30073:A 9.3 -*END - -*D_NET *845 0.00168506 -*CONN -*I *30398:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26926:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30398:A 0.000576739 -2 *26926:X 0.000576739 -3 *30398:A *25020:B1 4.85033e-05 -4 *30398:A *1274:172 0.000126439 -5 *30398:A *2763:124 9.41642e-05 -6 *30398:A *3630:25 0.000128154 -7 *30398:A *3812:24 6.05161e-06 -8 *30398:A *5679:81 9.20635e-06 -9 *30398:A *5679:92 0.000119068 -*RES -1 *26926:X *30398:A 36.0821 -*END - -*D_NET *846 0.00188757 -*CONN -*I *30064:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26927:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30064:A 0.000448198 -2 *26927:X 0.000448198 -3 *30064:A *26927:A0 2.59355e-05 -4 *30064:A *26927:A1 0.000105471 -5 *30064:A *1490:164 0.000342495 -6 *30064:A *2775:44 0.000342495 -7 *30064:A *2776:158 5.33005e-05 -8 *30064:A *5676:154 6.94952e-05 -9 *30064:A *5767:55 5.19805e-05 -*RES -1 *26927:X *30064:A 37.4393 -*END - -*D_NET *847 0.00064477 -*CONN -*I *29099:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26929:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29099:A 0.000267436 -2 *26929:X 0.000267436 -3 *29099:A *26933:S 0 -4 *29099:A *28514:D 0 -5 *29099:A *28532:RESET_B 0 -6 *29099:A *5690:7 5.49489e-05 -7 *29099:A *5769:187 0 -8 *29099:A *5926:35 5.49489e-05 -9 *29099:A *6280:6 0 -*RES -1 *26929:X *29099:A 32.2071 -*END - -*D_NET *848 0.00122458 -*CONN -*I *29865:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26930:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29865:A 0.000306321 -2 *26930:X 0.000306321 -3 *29865:A *26930:A0 9.31209e-05 -4 *29865:A *26930:S 0.000183941 -5 *29865:A *28515:D 0.000298483 -6 *29865:A *3834:57 3.6392e-05 -*RES -1 *26930:X *29865:A 24.9036 -*END - -*D_NET *849 0.00666357 -*CONN -*I *30430:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26931:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30430:A 0 -2 *26931:X 0.00169299 -3 *849:17 0.00169299 -4 *849:17 *25720:A0 7.57402e-05 -5 *849:17 *26870:A0 7.40571e-05 -6 *849:17 *26898:A1 5.86416e-05 -7 *849:17 *27433:B1 0.000171277 -8 *849:17 *27433:B2 5.49544e-05 -9 *849:17 *27785:B2 0.000423607 -10 *849:17 *27786:A1 0.000178614 -11 *849:17 *28575:RESET_B 0.000365617 -12 *849:17 *28918:A 0.000632201 -13 *849:17 *3889:31 0.000731143 -14 *849:17 *5662:111 0.000257619 -15 *849:17 *5683:266 6.44941e-05 -16 *849:17 *5847:43 8.84819e-05 -17 *849:17 *5847:44 2.06112e-05 -18 *849:17 *5863:143 4.19624e-06 -19 *849:17 *5872:42 1.90605e-05 -20 *849:17 *6207:19 1.54899e-05 -21 *29903:A *849:17 4.1784e-05 -*RES -1 *26931:X *849:17 44.234 -2 *849:17 *30430:A 9.3 -*END - -*D_NET *850 0.00440811 -*CONN -*I *29704:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26932:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29704:A 0.000913984 -2 *26932:X 0.000913984 -3 *29704:A *26932:A1 0 -4 *29704:A *26932:S 7.43578e-06 -5 *29704:A *27096:A1 0.000437492 -6 *29704:A *27105:A1 0.000633407 -7 *29704:A *28517:D 0.00130223 -8 *29704:A *30126:A 5.33005e-05 -9 *29704:A *1383:13 0.000123861 -10 *29704:A *3705:62 2.24195e-05 -*RES -1 *26932:X *29704:A 39.2964 -*END - -*D_NET *851 0.00227748 -*CONN -*I *30218:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26933:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30218:A 0.000453018 -2 *26933:X 0.000453018 -3 *30218:A *26933:S 0.000363837 -4 *30218:A *28518:D 0.000186669 -5 *30218:A *30217:A 0 -6 *30218:A *1862:93 0.000659494 -7 *30218:A *3589:135 0.000161448 -8 *30218:A *4109:14 0 -*RES -1 *26933:X *30218:A 40.0286 -*END - -*D_NET *852 0.00294576 -*CONN -*I *30118:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26934:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30118:A 0.000423136 -2 *26934:X 0.000423136 -3 *30118:A *28516:CLK 0.000493754 -4 *30118:A *28516:D 0.000263656 -5 *30118:A *28519:D 4.83863e-05 -6 *30118:A *2775:18 0.00104864 -7 *30118:A *4360:11 5.49544e-05 -8 *30118:A *5911:94 0.000190098 -*RES -1 *26934:X *30118:A 41.9214 -*END - -*D_NET *853 0.000338436 -*CONN -*I *30421:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26935:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30421:A 4.42083e-05 -2 *26935:X 4.42083e-05 -3 *30421:A *3748:34 0.00012501 -4 *244:65 *30421:A 0.00012501 -*RES -1 *26935:X *30421:A 29.2429 -*END - -*D_NET *854 0.00162962 -*CONN -*I *30097:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26936:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30097:A 0.000252117 -2 *26936:X 0.000252117 -3 *30097:A *2776:158 0.000120112 -4 *30097:A *3345:6 0.000311063 -5 *30097:A *4086:35 0.000309539 -6 *30097:A *5767:41 0.000384667 -*RES -1 *26936:X *30097:A 35.4929 -*END - -*D_NET *855 0.000631148 -*CONN -*I *29121:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26938:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29121:A 9.08614e-05 -2 *26938:X 9.08614e-05 -3 *29121:A *26938:A0 0.000123288 -4 *29121:A *3836:10 0.000110841 -5 *29121:A *3836:16 7.05143e-06 -6 *29121:A *4502:21 0.000137983 -7 *29121:A *5651:410 7.02611e-05 -*RES -1 *26938:X *29121:A 30.6 -*END - -*D_NET *856 0.00209748 -*CONN -*I *29747:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26939:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29747:A 0.000414053 -2 *26939:X 0.000414053 -3 *29747:A *26939:A0 4.43157e-05 -4 *29747:A *26939:A1 0.000555485 -5 *29747:A *28126:D 2.79421e-05 -6 *29747:A *2776:257 2.54558e-06 -7 *29747:A *5593:33 0.00050462 -8 *29747:A *5641:180 0.000122341 -9 *29747:A *5810:97 1.21258e-05 -*RES -1 *26939:X *29747:A 37.1 -*END - -*D_NET *857 0.00255877 -*CONN -*I *29223:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26940:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29223:A 0.000533738 -2 *26940:X 0.000533738 -3 *29223:A *29226:A 0.000415546 -4 *29223:A *2775:111 0.000425959 -5 *29223:A *2791:169 5.33005e-05 -6 *29223:A *4003:8 7.6644e-05 -7 *29223:A *4080:22 0.000493912 -8 *29223:A *5701:126 2.59355e-05 -*RES -1 *26940:X *29223:A 38.8679 -*END - -*D_NET *858 0.000760793 -*CONN -*I *30198:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26941:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30198:A 0.000125334 -2 *26941:X 0.000125334 -3 *30198:A *28525:RESET_B 0.000216945 -4 *30198:A *4121:24 0.000150618 -5 *30198:A *5650:352 0.000111921 -6 *30198:A *5683:106 3.06406e-05 -*RES -1 *26941:X *30198:A 31.6714 -*END - -*D_NET *859 0.00132348 -*CONN -*I *30336:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26942:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30336:A 0.000314853 -2 *26942:X 0.000314853 -3 *30336:A *2772:77 9.55226e-05 -4 *30336:A *5613:46 0.000425959 -5 *30336:A *5810:115 0.000172292 -*RES -1 *26942:X *30336:A 25.7607 -*END - -*D_NET *860 0.000369772 -*CONN -*I *29086:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26943:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29086:A 6.13669e-05 -2 *26943:X 6.13669e-05 -3 *29086:A *27791:D 5.35475e-05 -4 *29086:A *3798:99 4.57685e-05 -5 *29086:A *5676:87 0.000147722 -*RES -1 *26943:X *29086:A 29.5464 -*END - -*D_NET *861 0.0040412 -*CONN -*I *29721:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26944:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29721:A 0.00135606 -2 *26944:X 0.00135606 -3 *29721:A *28529:RESET_B 0.00059164 -4 *29721:A *29384:A 0.000737448 -*RES -1 *26944:X *29721:A 36.2964 -*END - -*D_NET *862 0.0033075 -*CONN -*I *30077:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26945:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30077:A 0.000783406 -2 *26945:X 0.000783406 -3 *30077:A *26944:S 0.000140602 -4 *30077:A *26945:A0 0.000181914 -5 *30077:A *27027:B 0.000140933 -6 *30077:A *28190:D 0.000241688 -7 *30077:A *2769:30 0.000178786 -8 *30077:A *2775:14 6.53083e-05 -9 *30077:A *2775:82 1.02504e-05 -10 *30077:A *4125:13 2.06178e-05 -11 *30077:A *4320:16 0.000108308 -12 *30077:A *4744:15 0.000178847 -13 *30077:A *5824:286 4.15183e-05 -14 *30077:A *5847:43 0.000168496 -15 *30077:A *5911:81 6.8646e-06 -16 *30077:A *5911:94 1.98917e-05 -17 *30077:A *5928:20 5.1588e-05 -18 *30077:A *6004:15 0.000165984 -19 *29315:A *30077:A 1.90936e-05 -*RES -1 *26945:X *30077:A 43.6714 -*END - -*D_NET *863 0.00179067 -*CONN -*I *29354:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26947:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29354:A 0.000719515 -2 *26947:X 0.000719515 -3 *29354:A *26947:A0 5.04589e-05 -4 *29354:A *2766:93 6.05161e-06 -5 *29354:A *3589:107 0 -6 *29354:A *3589:114 0 -7 *29354:A *3589:121 0 -8 *29354:A *4246:11 0.000245356 -9 *29354:A *5715:17 0 -10 *29354:A *5833:191 0 -11 *29354:A *5833:200 0 -12 *29354:A *5914:64 4.9773e-05 -*RES -1 *26947:X *29354:A 39.5286 -*END - -*D_NET *864 0.000877294 -*CONN -*I *29859:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26948:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29859:A 0.000115333 -2 *26948:X 0.000115333 -3 *29859:A *1823:12 0.000324167 -4 *29859:A *3589:18 0.000144512 -5 *29859:A *3589:23 0.000177948 -*RES -1 *26948:X *29859:A 32.2071 -*END - -*D_NET *865 0.00173776 -*CONN -*I *29778:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26949:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29778:A 0.00053576 -2 *26949:X 0.00053576 -3 *29778:A *26987:A1 0.000129868 -4 *29778:A *3589:107 0.000266479 -5 *29778:A *4109:14 0.000128154 -6 *29778:A *5246:10 5.45662e-05 -7 *29778:A *5833:194 8.71761e-05 -*RES -1 *26949:X *29778:A 35.9393 -*END - -*D_NET *866 0.00709683 -*CONN -*I *29976:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26950:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29976:A 0 -2 *26950:X 0.0011737 -3 *866:20 0.0011737 -4 *866:20 *27069:S 1.90936e-05 -5 *866:20 *29957:A 0.00181122 -6 *866:20 *29967:A 0.000794266 -7 *866:20 *29975:A 2.95955e-05 -8 *866:20 *30574:A 3.48994e-05 -9 *866:20 *2766:57 1.25494e-05 -10 *866:20 *4817:18 0.000120267 -11 *866:20 *4854:17 6.28948e-05 -12 *866:20 *5702:202 0.00105415 -13 *866:20 *5814:10 8.6229e-06 -14 *866:20 *6259:38 6.43445e-05 -15 *866:20 *6270:11 0.000737528 -*RES -1 *26950:X *866:20 47.1045 -2 *866:20 *29976:A 9.3 -*END - -*D_NET *867 0.00113723 -*CONN -*I *30185:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26951:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30185:A 0.000429259 -2 *26951:X 0.000429259 -3 *30185:A *30184:A 0.000169593 -4 *30185:A *3589:23 6.65398e-05 -5 *30185:A *5832:8 4.25809e-05 -*RES -1 *26951:X *30185:A 33.8857 -*END - -*D_NET *868 0.00390962 -*CONN -*I *30586:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26952:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30586:A 0.00115006 -2 *26952:X 0.00115006 -3 *30586:A *4019:14 6.42145e-05 -4 *30586:A *4829:13 0.00148106 -5 *30586:A *5776:38 6.42145e-05 -*RES -1 *26952:X *30586:A 43.7429 -*END - -*D_NET *869 0.00596758 -*CONN -*I *29813:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26953:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29813:A 0.000481994 -2 *26953:X 0.00143329 -3 *869:15 0.00191528 -4 *29813:A *27181:A0 8.91726e-05 -5 *29813:A *27181:A1 6.8646e-06 -6 *29813:A *28559:D 0 -7 *29813:A *28738:RESET_B 0.00014576 -8 *29813:A *28910:A 6.12813e-05 -9 *29813:A *29416:A 0 -10 *29813:A *1082:20 0.000201783 -11 *29813:A *3991:72 0 -12 *29813:A *4017:82 0 -13 *29813:A *5191:15 0 -14 *29813:A *5776:142 7.90803e-05 -15 *869:15 *24827:A 8.73559e-05 -16 *869:15 *26953:A1 6.01201e-05 -17 *869:15 *28749:CLK 1.34703e-05 -18 *869:15 *29416:A 0.000797067 -19 *869:15 *972:21 0.000154845 -20 *869:15 *1083:15 0 -21 *869:15 *4033:7 0.000178847 -22 *869:15 *5913:15 0.000261376 -*RES -1 *26953:X *869:15 43.3714 -2 *869:15 *29813:A 24.2464 -*END - -*D_NET *870 0.00372854 -*CONN -*I *29898:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26954:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29898:A 0.000820246 -2 *26954:X 0.000820246 -3 *29898:A *28537:D 0.000368131 -4 *29898:A *29897:A 0.000714517 -5 *29898:A *3582:44 0.000806205 -6 *29898:A *4138:18 0.000100458 -7 *29898:A *5676:26 9.87365e-05 -*RES -1 *26954:X *29898:A 43.9929 -*END - -*D_NET *871 0.0016877 -*CONN -*I *29348:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26956:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29348:A 0.000456999 -2 *26956:X 0.000456999 -3 *29348:A *28538:CLK 0.000142157 -4 *29348:A *5462:21 0.000142157 -5 *29348:A *6192:22 0.00048939 -*RES -1 *26956:X *29348:A 35.2429 -*END - -*D_NET *872 0.000777669 -*CONN -*I *30467:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26957:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30467:A 0.00011493 -2 *26957:X 0.00011493 -3 *30467:A *4068:24 0.00031143 -4 *30467:A *6225:124 7.45133e-05 -5 *30467:A *6225:135 0.000161865 -*RES -1 *26957:X *30467:A 31.6714 -*END - -*D_NET *873 0.00104881 -*CONN -*I *30497:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26958:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30497:A 0.000175797 -2 *26958:X 0.000175797 -3 *30497:A *28540:D 0.000100823 -4 *30497:A *3730:28 0.000427142 -5 *30497:A *3899:40 0.000169246 -*RES -1 *26958:X *30497:A 33.1893 -*END - -*D_NET *874 0.0044049 -*CONN -*I *30154:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26959:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30154:A 0.00137559 -2 *26959:X 0.00137559 -3 *30154:A *26959:A1 8.92452e-05 -4 *30154:A *27792:B 0.000473093 -5 *30154:A *27792:C 8.87617e-05 -6 *30154:A *28583:CLK 0.000218554 -7 *30154:A *28583:D 8.25843e-06 -8 *30154:A *3733:15 7.03393e-05 -9 *30154:A *4147:21 1.24368e-05 -10 *30154:A *4396:14 0.000132927 -11 *30154:A *6087:19 0.000560112 -*RES -1 *26959:X *30154:A 40.1357 -*END - -*D_NET *875 0.00144921 -*CONN -*I *30194:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26960:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30194:A 0.000465193 -2 *26960:X 0.000465193 -3 *30194:A *26956:S 0 -4 *30194:A *26960:A1 0.000510202 -5 *30194:A *2767:190 0 -6 *30194:A *3578:36 0 -7 *30194:A *5879:195 8.6229e-06 -*RES -1 *26960:X *30194:A 37.2964 -*END - -*D_NET *876 0.00200082 -*CONN -*I *29075:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26961:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29075:A 0.000766686 -2 *26961:X 0.000766686 -3 *29075:A *27740:B1 2.51343e-06 -4 *29075:A *2767:167 4.12053e-05 -5 *29075:A *2788:158 7.14091e-06 -6 *29075:A *3206:293 5.52238e-05 -7 *29075:A *3582:8 5.33005e-05 -8 *29075:A *3705:14 2.04825e-05 -9 *29075:A *3705:22 2.01359e-05 -10 *29075:A *3796:163 0.000173472 -11 *29075:A *4082:33 4.11173e-05 -12 *29075:A *4134:6 2.22043e-05 -13 *29075:A *5646:311 1.13412e-05 -14 *29075:A *5651:378 1.93122e-05 -*RES -1 *26961:X *29075:A 41.3176 -*END - -*D_NET *877 0.000448702 -*CONN -*I *30381:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26962:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30381:A 8.36018e-05 -2 *26962:X 8.36018e-05 -3 *30381:A *27026:A1 0.000127781 -4 *30381:A *3682:15 2.59355e-05 -5 *244:65 *30381:A 0.000127781 -*RES -1 *26962:X *30381:A 29.7786 -*END - -*D_NET *878 0.000817227 -*CONN -*I *30044:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26963:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30044:A 9.17338e-05 -2 *26963:X 9.17338e-05 -3 *30044:A *2763:58 0.00031688 -4 *30044:A *4124:93 0.00031688 -*RES -1 *26963:X *30044:A 31.6714 -*END - -*D_NET *879 0.00137348 -*CONN -*I *29188:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26965:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29188:A 0.000380954 -2 *26965:X 0.000380954 -3 *29188:A *1439:23 0.000432461 -4 *29188:A *3989:68 5.71563e-05 -5 *29188:A *5638:104 0.000121951 -*RES -1 *26965:X *29188:A 33.475 -*END - -*D_NET *880 0.00170915 -*CONN -*I *30508:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26966:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30508:A 0.00025557 -2 *26966:X 0.00025557 -3 *30508:A *26966:A1 4.22431e-05 -4 *30508:A *26966:S 0.000135028 -5 *30508:A *2768:69 6.37106e-05 -6 *30508:A *2871:298 0.000300406 -7 *30508:A *3730:28 0.000328313 -8 *30508:A *5663:126 0.000328313 -*RES -1 *26966:X *30508:A 35.3857 -*END - -*D_NET *881 0.00181407 -*CONN -*I *29123:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26967:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29123:A 0.000331216 -2 *26967:X 0.000331216 -3 *29123:A *28508:RESET_B 0.000178503 -4 *29123:A *28548:D 0.000311045 -5 *29123:A *2760:164 8.84375e-05 -6 *29123:A *3874:91 0.000325634 -7 *29123:A *5584:178 9.77414e-05 -8 *29123:A *5709:272 1.35553e-05 -9 *29123:A *5863:60 0.000136727 -*RES -1 *26967:X *29123:A 36.8143 -*END - -*D_NET *882 0.00850501 -*CONN -*I *29698:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26968:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29698:A 0.000469531 -2 *26968:X 0.00148905 -3 *882:10 0.00195858 -4 *29698:A *28549:D 0.00126911 -5 *29698:A *30127:A 0.000267738 -6 *29698:A *1262:100 0.000107946 -7 *29698:A *3679:19 5.33005e-05 -8 *29698:A *3679:29 0.000505335 -9 *29698:A *6001:17 0.00026091 -10 *882:10 *27786:A2 0.000147835 -11 *882:10 *3615:12 0.000147121 -12 *882:10 *3705:62 0.00182855 -*RES -1 *26968:X *882:10 38.4429 -2 *882:10 *29698:A 24.2107 -*END - -*D_NET *883 0.000444507 -*CONN -*I *30316:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26969:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30316:A 7.96445e-05 -2 *26969:X 7.96445e-05 -3 *30316:A *28706:SET_B 0.000128154 -4 *30316:A *1433:10 0.000128154 -5 *30316:A *5688:25 2.89114e-05 -*RES -1 *26969:X *30316:A 29.7786 -*END - -*D_NET *884 0.00114169 -*CONN -*I *30133:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26970:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30133:A 0.000172023 -2 *26970:X 0.000172023 -3 *30133:A *28703:RESET_B 1.24368e-05 -4 *30133:A *3206:249 0.000306898 -5 *30133:A *3580:102 3.63775e-05 -6 *30133:A *3800:67 0.000306898 -7 *30133:A *3954:13 0.000135028 -*RES -1 *26970:X *30133:A 33.0286 -*END - -*D_NET *885 0.000427654 -*CONN -*I *30385:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26971:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30385:A 7.51351e-05 -2 *26971:X 7.51351e-05 -3 *30385:A *28552:D 2.59355e-05 -4 *30385:A *28601:RESET_B 0.000125724 -5 *30385:A *2769:98 0.000125724 -*RES -1 *26971:X *30385:A 29.7786 -*END - -*D_NET *886 0.00150022 -*CONN -*I *30060:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26972:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30060:A 0.000223066 -2 *26972:X 0.000223066 -3 *30060:A *26972:A0 4.58194e-05 -4 *30060:A *2769:66 0.000503275 -5 *30060:A *4072:29 0.00050499 -*RES -1 *26972:X *30060:A 35.0464 -*END - -*D_NET *887 0.00146611 -*CONN -*I *29145:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26974:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29145:A 0.000321626 -2 *26974:X 0.000321626 -3 *29145:A *28554:D 0.000128146 -4 *29145:A *2786:151 0.000314139 -5 *29145:A *5716:244 6.53968e-05 -6 *29145:A *5879:19 0.000315172 -*RES -1 *26974:X *29145:A 34.6714 -*END - -*D_NET *888 0.00305857 -*CONN -*I *29214:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26975:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29214:A 0.000794678 -2 *26975:X 0.000794678 -3 *29214:A *2776:144 0.000249486 -4 *29214:A *4124:93 0.000247771 -5 *29214:A *5708:211 0.000971958 -*RES -1 *26975:X *29214:A 40.3321 -*END - -*D_NET *889 0.00691068 -*CONN -*I *29083:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26976:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29083:A 0.000134492 -2 *26976:X 0.00124438 -3 *889:22 0.00137887 -4 *29083:A *26857:A1 0.000650928 -5 *29083:A *5894:14 0.000433781 -6 *889:22 *26976:A1 0.000136894 -7 *889:22 *26985:A0 1.5424e-05 -8 *889:22 *27076:A1 0.000227532 -9 *889:22 *970:16 6.59103e-06 -10 *889:22 *978:14 0.000108525 -11 *889:22 *2756:31 0 -12 *889:22 *2766:79 0.000139907 -13 *889:22 *2769:209 0 -14 *889:22 *2780:297 0.000694754 -15 *889:22 *3848:95 2.01359e-05 -16 *889:22 *4043:32 8.22793e-06 -17 *889:22 *4898:11 9.32015e-05 -18 *889:22 *5695:25 0.00014183 -19 *889:22 *5701:9 0.000181381 -20 *889:22 *5701:29 0.000523325 -21 *889:22 *5709:290 0 -22 *889:22 *5771:30 0.000355606 -23 *889:22 *5903:11 8.60328e-05 -24 *889:22 *6259:38 3.17148e-05 -25 *553:13 *29083:A 0.000119203 -26 *778:15 *889:22 0.000177946 -*RES -1 *26976:X *889:22 49.0847 -2 *889:22 *29083:A 15.5857 -*END - -*D_NET *890 0.0110039 -*CONN -*I *30270:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26977:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30270:A 0 -2 *26977:X 0.00138759 -3 *890:19 0.00209894 -4 *890:10 0.00348653 -5 *890:10 *26977:A0 0.000383661 -6 *890:10 *28509:CLK 4.55132e-05 -7 *890:10 *28509:RESET_B 4.70821e-05 -8 *890:10 *28583:CLK 7.83659e-05 -9 *890:10 *2763:145 0.000517144 -10 *890:10 *5696:39 6.44562e-05 -11 *890:19 *27787:B1 0.000470533 -12 *890:19 *27788:A2 7.13226e-06 -13 *890:19 *27788:B1 0.000344835 -14 *890:19 *27788:C1 1.51489e-05 -15 *890:19 *28679:D 0.00027551 -16 *890:19 *1697:32 0.000259273 -17 *890:19 *3772:14 3.466e-06 -18 *890:19 *3798:99 0.00046434 -19 *890:19 *4147:20 0.000411635 -20 *890:19 *5795:221 0.000589407 -21 *6419:DIODE *890:10 5.33005e-05 -*RES -1 *26977:X *890:10 35.8893 -2 *890:10 *890:19 41.3393 -3 *890:19 *30270:A 9.3 -*END - -*D_NET *891 0.00196228 -*CONN -*I *29678:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26978:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29678:A 0.0004655 -2 *26978:X 0.0004655 -3 *29678:A *25141:A1 0.000546189 -4 *29678:A *26978:A0 3.77147e-05 -5 *29678:A *26978:S 0.000107938 -6 *29678:A *28558:D 4.58194e-05 -7 *29678:A *28755:RESET_B 0.000124488 -8 *29678:A *1225:144 6.47559e-05 -9 *29678:A *5777:178 0.000104379 -10 *29678:A *5879:44 0 -*RES -1 *26978:X *29678:A 38.5107 -*END - -*D_NET *892 0.00335063 -*CONN -*I *30580:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26979:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30580:A 0.00096794 -2 *26979:X 0.00096794 -3 *30580:A *26979:A1 8.12008e-05 -4 *30580:A *28559:D 0.000180777 -5 *30580:A *4034:42 0.000610077 -6 *30580:A *5788:18 0.000487467 -7 *30580:A *6221:16 5.52302e-05 -*RES -1 *26979:X *30580:A 35.3143 -*END - -*D_NET *893 0.00165734 -*CONN -*I *30406:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26980:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30406:A 0.000559261 -2 *26980:X 0.000559261 -3 *30406:A *26980:A1 0.000268403 -4 *30406:A *2772:177 1.58163e-05 -5 *30406:A *3558:224 0.000128161 -6 *30406:A *4124:102 0.000126439 -*RES -1 *26980:X *30406:A 35.6536 -*END - -*D_NET *894 0.00150693 -*CONN -*I *30042:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26981:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30042:A 0.000354987 -2 *26981:X 0.000354987 -3 *30042:A *26981:A1 0.000135028 -4 *30042:A *27026:A1 6.80116e-05 -5 *30042:A *1261:101 0.000153047 -6 *30042:A *1274:172 0.000247771 -7 *30042:A *2776:139 1.721e-05 -8 *30042:A *5675:338 0.000175892 -*RES -1 *26981:X *30042:A 34.1714 -*END - -*D_NET *895 0.000708882 -*CONN -*I *29457:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26983:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29457:A 0.000115986 -2 *26983:X 0.000115986 -3 *29457:A *2760:179 5.33005e-05 -4 *29457:A *2780:272 2.59355e-05 -5 *29457:A *4128:10 0.000197977 -6 *29457:A *5912:100 0 -7 *29457:A *5914:64 0.000199698 -*RES -1 *26983:X *29457:A 31.4214 -*END - -*D_NET *896 0.0010225 -*CONN -*I *30486:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26984:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30486:A 0.000189915 -2 *26984:X 0.000189915 -3 *30486:A *5632:53 0.000308627 -4 *30486:A *5649:120 0.000309701 -5 *30486:A *5650:234 2.43452e-05 -*RES -1 *26984:X *30486:A 32.6179 -*END - -*D_NET *897 0.00516941 -*CONN -*I *29114:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26985:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29114:A 0.001024 -2 *26985:X 0.001024 -3 *29114:A *28904:A 0.00039281 -4 *29114:A *2794:86 2.14757e-05 -5 *29114:A *2794:89 0.0010286 -6 *29114:A *3835:26 0.00157948 -7 *29114:A *4854:17 9.90431e-05 -*RES -1 *26985:X *29114:A 43.9214 -*END - -*D_NET *898 0.00378573 -*CONN -*I *29981:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26986:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29981:A 0.000827812 -2 *26986:X 0.000827812 -3 *29981:A *26986:A0 9.46929e-05 -4 *29981:A *27121:A1 0.000219711 -5 *29981:A *28693:CLK 5.62995e-05 -6 *29981:A *29974:A 5.38242e-05 -7 *29981:A *2786:136 0.000323558 -8 *29981:A *2786:172 0.000103571 -9 *29981:A *5781:10 0.000893769 -10 *29981:A *5912:54 0.000347187 -11 *29981:A *6267:17 2.50565e-05 -12 *29981:A *6284:17 1.24368e-05 -*RES -1 *26986:X *29981:A 47.3679 -*END - -*D_NET *899 0.000889722 -*CONN -*I *30231:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26987:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30231:A 0.000355889 -2 *26987:X 0.000355889 -3 *30231:A *26960:A0 3.08664e-05 -4 *30231:A *28518:RESET_B 1.50179e-05 -5 *30231:A *28542:D 0 -6 *30231:A *28542:RESET_B 1.01912e-05 -7 *30231:A *28566:D 0.000121869 -8 *30231:A *3578:36 0 -*RES -1 *26987:X *30231:A 33.975 -*END - -*D_NET *900 0.00468461 -*CONN -*I *29079:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26988:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29079:A 0 -2 *26988:X 0.00125109 -3 *900:15 0.00125109 -4 *900:15 *25712:A1 5.33005e-05 -5 *900:15 *25712:S 0.000423607 -6 *900:15 *26988:A1 0.000373483 -7 *900:15 *27784:C1 2.12005e-05 -8 *900:15 *28567:CLK 0.000211727 -9 *900:15 *28567:D 2.8078e-05 -10 *900:15 *2775:82 0.000118545 -11 *900:15 *3707:38 0.000401026 -12 *900:15 *3707:40 0.000518824 -13 *900:15 *5911:81 3.26503e-05 -*RES -1 *26988:X *900:15 40.8714 -2 *900:15 *29079:A 9.3 -*END - -*D_NET *901 0.00142856 -*CONN -*I *29774:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26989:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29774:A 0.000202059 -2 *26989:X 0.000202059 -3 *29774:A *28568:RESET_B 0.000125731 -4 *29774:A *2769:98 0.000125731 -5 *29774:A *4108:75 0.000511668 -6 *29774:A *4134:110 0.000261308 -*RES -1 *26989:X *29774:A 34.2964 -*END - -*D_NET *902 0.00148853 -*CONN -*I *30046:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26990:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30046:A 0.000172863 -2 *26990:X 0.000172863 -3 *30046:A *30834:A 0.000177227 -4 *30046:A *2769:66 2.14378e-05 -5 *30046:A *4147:106 0.00050705 -6 *30046:A *5614:13 9.80173e-05 -7 *30046:A *5676:184 4.87953e-05 -8 *30046:A *5681:27 0.000290279 -*RES -1 *26990:X *30046:A 35.0464 -*END - -*D_NET *903 0.00108391 -*CONN -*I *29119:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26992:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29119:A 0.000253322 -2 *26992:X 0.000253322 -3 *29119:A *27609:C1 0.000279649 -4 *29119:A *2757:11 0.000136958 -5 *29119:A *4031:18 1.41029e-05 -6 *29119:A *6247:6 0.000146561 -*RES -1 *26992:X *29119:A 33.0286 -*END - -*D_NET *904 0.00053667 -*CONN -*I *30412:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26993:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30412:A 7.95159e-05 -2 *26993:X 7.95159e-05 -3 *30412:A *2774:99 0.000127446 -4 *30412:A *2781:136 9.41642e-05 -5 *30412:A *3162:20 0.000125724 -6 *30412:A *3859:42 2.42516e-05 -7 *30412:A *5627:90 6.05161e-06 -*RES -1 *26993:X *30412:A 30.1893 -*END - -*D_NET *905 0.0018124 -*CONN -*I *29294:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26994:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29294:A 0.000254882 -2 *26994:X 0.000254882 -3 *29294:A *28500:D 0.000145411 -4 *29294:A *3874:91 1.69153e-05 -5 *29294:A *5590:161 1.94879e-05 -6 *29294:A *5629:217 0.000139516 -7 *29294:A *5641:204 0.00042994 -8 *29294:A *5768:169 0.000121421 -9 *29294:A *5914:29 0.00042994 -*RES -1 *26994:X *29294:A 35.9036 -*END - -*D_NET *906 0.00250993 -*CONN -*I *30144:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26995:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30144:A 0.000261645 -2 *26995:X 0.000261645 -3 *30144:A *26995:A1 0.00022459 -4 *30144:A *26995:S 2.44318e-05 -5 *30144:A *28479:D 0.000170661 -6 *30144:A *3718:27 0.000309266 -7 *30144:A *3891:113 0.000407481 -8 *30144:A *5386:12 0.000107625 -9 *30144:A *5669:317 0.000120383 -10 *30144:A *5680:89 0.000610077 -11 *30144:A *5696:17 1.21289e-05 -*RES -1 *26995:X *30144:A 38.7607 -*END - -*D_NET *907 0.00296587 -*CONN -*I *30211:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26996:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30211:A 0.000706102 -2 *26996:X 0.000706102 -3 *30211:A *28574:CLK 0.000194005 -4 *30211:A *1562:19 0.00021994 -5 *30211:A *2771:97 9.1943e-05 -6 *30211:A *2771:104 0.000216755 -7 *30211:A *3719:13 0.000240004 -8 *30211:A *4031:28 0.000136682 -9 *30211:A *5584:162 0.000109035 -10 *30211:A *5585:8 0.000107648 -11 *30211:A *5590:98 0.000188741 -12 *30211:A *5688:65 3.23957e-05 -13 *30211:A *5688:85 1.65169e-05 -*RES -1 *26996:X *30211:A 45.3624 -*END - -*D_NET *908 0.000865951 -*CONN -*I *30114:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26997:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30114:A 0.000212559 -2 *26997:X 0.000212559 -3 *30114:A *27786:A2 8.85524e-05 -4 *30114:A *3179:244 0.000142112 -5 *30114:A *5683:266 2.48222e-05 -6 *30114:A *5873:31 7.86194e-05 -7 *30114:A *5892:25 0.000106727 -*RES -1 *26997:X *30114:A 32.9929 -*END - -*D_NET *909 0.000807812 -*CONN -*I *29837:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26998:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29837:A 0.00012965 -2 *26998:X 0.00012965 -3 *29837:A *28576:D 0.000186591 -4 *29837:A *2866:296 5.81724e-05 -5 *29837:A *2877:253 0.00030375 -*RES -1 *26998:X *29837:A 31.6714 -*END - -*D_NET *910 0.0013473 -*CONN -*I *30053:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26999:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30053:A 0.000196993 -2 *26999:X 0.000196993 -3 *30053:A *26998:S 0.000178425 -4 *30053:A *27798:A1 0.000551681 -5 *30053:A *28577:D 0.000104793 -6 *30053:A *2771:171 9.41642e-05 -7 *30053:A *5676:110 2.42516e-05 -*RES -1 *26999:X *30053:A 24.4929 -*END - -*D_NET *911 0.000700729 -*CONN -*I *29322:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27001:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29322:A 0.000180074 -2 *27001:X 0.000180074 -3 *29322:A *5650:304 0 -4 *29322:A *5669:245 0.000340582 -*RES -1 *27001:X *29322:A 31.975 -*END - -*D_NET *912 0.000836808 -*CONN -*I *29856:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27002:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29856:A 0.000124688 -2 *27002:X 0.000124688 -3 *29856:A *25115:A2 7.48356e-05 -4 *29856:A *28579:D 0.000150796 -5 *29856:A *1434:47 2.82057e-05 -6 *29856:A *4012:22 1.92789e-05 -7 *29856:A *4027:11 0.000314316 -*RES -1 *27002:X *29856:A 32.2071 -*END - -*D_NET *913 0.000882946 -*CONN -*I *29201:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27003:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29201:A 0.000152353 -2 *27003:X 0.000152353 -3 *29201:A *28580:D 0.000101346 -4 *29201:A *3582:31 0.000313205 -5 *29201:A *3691:8 0.000108459 -6 *29201:A *5651:400 5.52302e-05 -*RES -1 *27003:X *29201:A 32.2071 -*END - -*D_NET *914 0.00187652 -*CONN -*I *30178:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27004:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30178:A 0.000222954 -2 *27004:X 0.000222954 -3 *30178:A *25679:A0 3.34366e-05 -4 *30178:A *28573:D 0.000357687 -5 *30178:A *3718:27 9.41642e-05 -6 *30178:A *5589:135 0.000596922 -7 *30178:A *5602:100 0.000145196 -8 *30178:A *5680:89 9.60939e-05 -9 *30178:A *5911:53 0.000107109 -*RES -1 *27004:X *30178:A 36.2607 -*END - -*D_NET *915 0.00115406 -*CONN -*I *30329:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27005:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30329:A 0.000370043 -2 *27005:X 0.000370043 -3 *30329:A *27005:A0 9.0145e-05 -4 *30329:A *27005:A1 5.91246e-05 -5 *30329:A *2772:69 0.000264702 -*RES -1 *27005:X *30329:A 25.7786 -*END - -*D_NET *916 0.00144022 -*CONN -*I *29070:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27006:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29070:A 0.000340185 -2 *27006:X 0.000340185 -3 *29070:A *2771:36 4.21517e-05 -4 *29070:A *3339:22 6.75539e-05 -5 *29070:A *3733:15 0.000106771 -6 *29070:A *5892:6 1.80461e-05 -7 *29070:A *6086:23 5.33005e-05 -8 *29070:A *6087:19 0.000472026 -*RES -1 *27006:X *29070:A 37.1877 -*END - -*D_NET *917 0.00323156 -*CONN -*I *30463:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27007:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30463:A 0.000400081 -2 *27007:X 0.000400081 -3 *30463:A *27007:S 1.58163e-05 -4 *30463:A *2775:50 0.000902239 -5 *30463:A *5608:71 0.000898802 -6 *29715:A *30463:A 0.000306311 -7 *529:11 *30463:A 0.000308234 -*RES -1 *27007:X *30463:A 42.7964 -*END - -*D_NET *918 0.00123862 -*CONN -*I *29685:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27008:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29685:A 0.000246869 -2 *27008:X 0.000246869 -3 *29685:A *27008:A1 0.000224583 -4 *29685:A *27008:S 0.000301438 -5 *29685:A *28585:D 0.000163629 -6 *29685:A *3735:34 5.52302e-05 -*RES -1 *27008:X *29685:A 24.4929 -*END - -*D_NET *919 0.000656003 -*CONN -*I *29292:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27010:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29292:A 0.000142515 -2 *27010:X 0.000142515 -3 *29292:A *25905:A0 5.96516e-05 -4 *29292:A *25905:S 5.33005e-05 -5 *29292:A *1892:16 0.000128154 -6 *29292:A *5780:110 0.000129868 -*RES -1 *27010:X *29292:A 31.0107 -*END - -*D_NET *920 0.00101134 -*CONN -*I *29832:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27011:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29832:A 0.000350927 -2 *27011:X 0.000350927 -3 *29832:A *3957:67 5.33005e-05 -4 *29832:A *5640:90 6.65398e-05 -5 *29832:A *5646:182 0.00012401 -6 *29832:A *5709:155 6.56373e-05 -*RES -1 *27011:X *29832:A 32.7786 -*END - -*D_NET *921 0.00140364 -*CONN -*I *30387:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27012:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30387:A 0.000247696 -2 *27012:X 0.000247696 -3 *30387:A *28588:D 0.000125717 -4 *30387:A *2759:111 6.66459e-05 -5 *30387:A *2773:118 0.000375835 -6 *30387:A *2784:88 0.000308327 -7 *30387:A *2784:94 2.06178e-05 -8 *30387:A *3886:79 1.11048e-05 -*RES -1 *27012:X *30387:A 34.4036 -*END - -*D_NET *922 0.00478546 -*CONN -*I *30156:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27013:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30156:A 0 -2 *27013:X 0.00119024 -3 *922:17 0.00119024 -4 *922:17 *1853:77 7.83587e-05 -5 *922:17 *2764:92 7.6644e-05 -6 *922:17 *2774:244 0.000343763 -7 *922:17 *4045:50 4.10802e-05 -8 *922:17 *4399:14 5.52238e-05 -9 *922:17 *4455:11 0.000353626 -10 *922:17 *5795:221 0.00107249 -11 *922:17 *5829:165 0.00014784 -12 *922:17 *5892:25 0.000235969 -*RES -1 *27013:X *922:17 47.4786 -2 *922:17 *30156:A 9.3 -*END - -*D_NET *923 0.000951155 -*CONN -*I *30331:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27014:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30331:A 0.000123928 -2 *27014:X 0.000123928 -3 *30331:A *27041:S 5.33005e-05 -4 *30331:A *4109:36 0.000309959 -5 *30331:A *5630:292 0.000314103 -6 *30331:A *5833:190 2.59355e-05 -*RES -1 *27014:X *30331:A 32.2071 -*END - -*D_NET *924 0.0026836 -*CONN -*I *29066:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27015:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29066:A 0.000857207 -2 *27015:X 0.000857207 -3 *29066:A *25194:A2 9.65217e-05 -4 *29066:A *27015:A0 1.98839e-05 -5 *29066:A *27015:A1 0.000685319 -6 *29066:A *2765:18 4.43256e-05 -7 *29066:A *3694:69 0.000123137 -*RES -1 *27015:X *29066:A 38.8143 -*END - -*D_NET *925 0.00170579 -*CONN -*I *30410:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27016:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30410:A 0.000263021 -2 *27016:X 0.000263021 -3 *30410:A *28592:D 0.000454218 -4 *30410:A *1339:26 0.00019712 -5 *30410:A *3631:12 4.31193e-05 -6 *30410:A *3748:15 0.000425959 -7 *30410:A *3864:23 2.58997e-05 -8 *30410:A *4124:116 3.34366e-05 -*RES -1 *27016:X *30410:A 35.9036 -*END - -*D_NET *926 0.00081145 -*CONN -*I *30150:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27017:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30150:A 8.70216e-05 -2 *27017:X 8.70216e-05 -3 *30150:A *5461:18 0.000318703 -4 *30150:A *5468:29 0.000318703 -*RES -1 *27017:X *30150:A 31.6714 -*END - -*D_NET *927 0.000969434 -*CONN -*I *29335:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27019:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29335:A 0.000238957 -2 *27019:X 0.000238957 -3 *29335:A *28594:CLK 4.58194e-05 -4 *29335:A *28594:SET_B 9.60875e-05 -5 *29335:A *1265:69 0.000129887 -6 *29335:A *1490:17 0.000175892 -7 *29335:A *1654:27 7.05143e-06 -8 *29335:A *3540:8 1.53675e-05 -9 *29335:A *5713:336 2.14145e-05 -*RES -1 *27019:X *29335:A 31.9571 -*END - -*D_NET *928 0.00147286 -*CONN -*I *30423:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27020:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30423:A 0.000188372 -2 *27020:X 0.000188372 -3 *30423:A *3742:48 2.2351e-05 -4 *30423:A *4067:32 0.000426218 -5 *30423:A *5584:131 0.000120724 -6 *30423:A *5764:79 0.000469616 -7 *30423:A *6247:34 5.72108e-05 -*RES -1 *27020:X *30423:A 33.8857 -*END - -*D_NET *929 0.000835808 -*CONN -*I *30392:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27021:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30392:A 0.00017087 -2 *27021:X 0.00017087 -3 *30392:A *2759:120 0.000109271 -4 *30392:A *2784:88 0.000295877 -5 *30392:A *3241:14 8.89202e-05 -*RES -1 *27021:X *30392:A 31.6714 -*END - -*D_NET *930 0.0030663 -*CONN -*I *30214:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27022:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30214:A 0.000954107 -2 *27022:X 0.000954107 -3 *30214:A *26919:A 6.86792e-05 -4 *30214:A *2763:5 8.43535e-06 -5 *30214:A *2771:22 0.000127446 -6 *30214:A *4399:14 0.000768231 -7 *30214:A *4455:11 5.95659e-05 -8 *30214:A *5847:12 0.000125731 -*RES -1 *27022:X *30214:A 40.8679 -*END - -*D_NET *931 0.000340122 -*CONN -*I *30265:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27023:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30265:A 4.62811e-05 -2 *27023:X 4.62811e-05 -3 *30265:A *3600:35 0.00012378 -4 *30265:A *5589:74 0.00012378 -*RES -1 *27023:X *30265:A 29.2429 -*END - -*D_NET *932 0.00137603 -*CONN -*I *29077:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27024:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29077:A 0.000414893 -2 *27024:X 0.000414893 -3 *29077:A *27024:A0 0.000177815 -4 *29077:A *28599:D 8.53531e-05 -5 *29077:A *1293:181 1.7678e-05 -6 *29077:A *2774:29 3.47826e-05 -7 *29077:A *3967:18 0.000230612 -*RES -1 *27024:X *29077:A 34.1714 -*END - -*D_NET *933 0.00134948 -*CONN -*I *29828:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27025:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29828:A 0.000183544 -2 *27025:X 0.000183544 -3 *29828:A *28600:D 0.000552531 -4 *29828:A *1266:132 0.000128419 -5 *29828:A *2774:146 0.000301438 -*RES -1 *27025:X *29828:A 24.4929 -*END - -*D_NET *934 0.00110098 -*CONN -*I *30079:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27026:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30079:A 0.000291867 -2 *27026:X 0.000291867 -3 *30079:A *27026:A0 1.15281e-05 -4 *30079:A *28601:D 3.05475e-05 -5 *30079:A *1490:146 0.000345257 -6 *30079:A *2774:180 0.000129913 -*RES -1 *27026:X *30079:A 24.4929 -*END - -*D_NET *935 0.00125913 -*CONN -*I *29147:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27028:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29147:A 0.000170158 -2 *27028:X 0.000170158 -3 *29147:A *28602:D 0.000341289 -4 *29147:A *3699:22 7.73932e-05 -5 *29147:A *5644:251 0.000500132 -*RES -1 *27028:X *29147:A 34.1 -*END - -*D_NET *936 0.00122285 -*CONN -*I *29854:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27029:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29854:A 0.000251625 -2 *27029:X 0.000251625 -3 *29854:A *28603:D 4.58194e-05 -4 *29854:A *1256:95 0.000143381 -5 *29854:A *1271:162 0.000145048 -6 *29854:A *1558:33 0.000385355 -*RES -1 *27029:X *29854:A 33.3679 -*END - -*D_NET *937 0.000895322 -*CONN -*I *29756:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27030:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29756:A 0.000148591 -2 *27030:X 0.000148591 -3 *29756:A *28604:D 7.83659e-05 -4 *29756:A *3874:37 5.52302e-05 -5 *29756:A *3992:42 0.000307143 -6 *29756:A *4015:31 8.46838e-05 -7 *29756:A *5713:305 4.38058e-05 -8 *29756:A *5754:168 2.89114e-05 -*RES -1 *27030:X *29756:A 32.2071 -*END - -*D_NET *938 0.00499763 -*CONN -*I *30152:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27031:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30152:A 0 -2 *27031:X 0.000863827 -3 *938:9 0.000863827 -4 *938:9 *24841:A 0.000196269 -5 *938:9 *26883:B 0.000811236 -6 *938:9 *28170:CLK 0.000228558 -7 *938:9 *28170:D 0.000200133 -8 *938:9 *28605:D 0.000139019 -9 *938:9 *2776:212 8.89385e-05 -10 *938:9 *3770:8 0.00116291 -11 *938:9 *3770:67 0.000126439 -12 *938:9 *5670:13 5.56943e-05 -13 *938:9 *5716:133 1.21258e-05 -14 *938:9 *5716:141 0.000248657 -*RES -1 *27031:X *938:9 45.6571 -2 *938:9 *30152:A 9.3 -*END - -*D_NET *939 0.000738154 -*CONN -*I *30189:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27032:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30189:A 9.92409e-05 -2 *27032:X 9.92409e-05 -3 *30189:A *28606:D 4.15914e-05 -4 *30189:A *29969:A 0.000178847 -5 *30189:A *1235:165 1.46624e-05 -6 *30189:A *3686:67 0.000178847 -7 *30189:A *5600:61 0.000125724 -*RES -1 *27032:X *30189:A 31.0107 -*END - -*D_NET *940 0.00351855 -*CONN -*I *29088:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27033:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29088:A 0 -2 *27033:X 0.000625696 -3 *940:17 0.000625696 -4 *940:17 *27033:A0 2.84109e-05 -5 *940:17 *28607:D 0.000178823 -6 *940:17 *1273:181 0.000230559 -7 *940:17 *1288:157 0.00018077 -8 *940:17 *2772:45 0.000277835 -9 *940:17 *3839:24 0.000160428 -10 *940:17 *3876:62 0.000175892 -11 *940:17 *3930:55 7.07668e-05 -12 *940:17 *4084:18 0 -13 *940:17 *4137:16 0.000629094 -14 *940:17 *4147:31 4.00349e-05 -15 *940:17 *4149:34 0.000260152 -16 *940:17 *5682:114 6.05161e-06 -17 *940:17 *5682:120 1.90936e-05 -18 *940:17 *5800:68 9.25014e-06 -*RES -1 *27033:X *940:17 43.0679 -2 *940:17 *29088:A 9.3 -*END - -*D_NET *941 0.00151332 -*CONN -*I *30482:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27034:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30482:A 0.000333511 -2 *27034:X 0.000333511 -3 *30482:A *24981:C1 0.000128154 -4 *30482:A *4098:42 9.62287e-05 -5 *30482:A *4334:15 0.000305291 -6 *30482:A *5628:45 0.000316624 -*RES -1 *27034:X *30482:A 34.6714 -*END - -*D_NET *942 0.00103659 -*CONN -*I *30051:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27035:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30051:A 0.000177107 -2 *27035:X 0.000177107 -3 *30051:A *24989:A2 4.87854e-05 -4 *30051:A *3618:74 0.000147159 -5 *30051:A *3618:84 0.000174682 -6 *30051:A *5528:55 0.000311752 -*RES -1 *27035:X *30051:A 32.6179 -*END - -*D_NET *943 0.000659662 -*CONN -*I *29339:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27037:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29339:A 0.000146689 -2 *27037:X 0.000146689 -3 *29339:A *27037:S 0.000108675 -4 *29339:A *28610:D 1.54231e-05 -5 *29339:A *1547:36 5.49489e-05 -6 *29339:A *2859:35 9.83388e-05 -7 *29339:A *3875:63 2.89791e-06 -8 *29339:A *5657:38 8.60013e-05 -*RES -1 *27037:X *29339:A 30.725 -*END - -*D_NET *944 0.00170902 -*CONN -*I *30441:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27038:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30441:A 0.000344915 -2 *27038:X 0.000344915 -3 *30441:A *25342:C1 1.08359e-05 -4 *30441:A *26992:A1 0.000161459 -5 *30441:A *27609:B1 0.000175892 -6 *30441:A *28707:CLK 0.000161693 -7 *30441:A *1361:54 0.000189149 -8 *30441:A *5640:235 0.000246183 -9 *30441:A *5641:180 3.38196e-06 -10 *30441:A *5863:98 3.38578e-05 -11 *30441:A *5879:32 3.67414e-05 -*RES -1 *27038:X *30441:A 35.8679 -*END - -*D_NET *945 0.0024687 -*CONN -*I *29834:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27039:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29834:A 0.000526042 -2 *27039:X 0.000526042 -3 *29834:A *27759:A1 9.41642e-05 -4 *29834:A *27759:B1 1.94879e-05 -5 *29834:A *28612:D 2.63501e-05 -6 *29834:A *1228:73 0.000130033 -7 *29834:A *2878:16 8.55871e-05 -8 *29834:A *3156:41 0.00010663 -9 *29834:A *3156:49 6.96768e-06 -10 *29834:A *3160:26 2.72602e-05 -11 *29834:A *3195:34 0.000242496 -12 *29834:A *3206:17 0.000112199 -13 *29834:A *3206:27 5.4528e-05 -14 *29834:A *3214:21 0.000175892 -15 *29834:A *3569:26 0.000213948 -16 *29834:A *3572:20 0.000109896 -17 *29834:A *3572:34 1.11775e-05 -*RES -1 *27039:X *29834:A 42.0106 -*END - -*D_NET *946 0.00277078 -*CONN -*I *30170:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27040:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30170:A 0.000717232 -2 *27040:X 0.000717232 -3 *30170:A *25678:A1 2.6949e-05 -4 *30170:A *25736:S 7.38632e-05 -5 *30170:A *27040:A1 0.000221641 -6 *30170:A *27040:S 1.74352e-05 -7 *30170:A *30840:A 1.03968e-05 -8 *30170:A *3538:92 2.00751e-05 -9 *30170:A *4412:11 0.000552405 -10 *30170:A *5461:32 0 -11 *29274:A *30170:A 0.000413556 -*RES -1 *27040:X *30170:A 44.7964 -*END - -*D_NET *947 0.000522267 -*CONN -*I *30298:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27041:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30298:A 0.000107473 -2 *27041:X 0.000107473 -3 *30298:A *3959:28 0.000145753 -4 *30298:A *4128:20 0.000145753 -5 *30298:A *5833:190 1.58163e-05 -*RES -1 *27041:X *30298:A 30.0821 -*END - -*D_NET *948 0.00033481 -*CONN -*I *30163:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27042:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30163:A 4.13568e-05 -2 *27042:X 4.13568e-05 -3 *30163:A *1246:104 0.00012465 -4 *30163:A *5631:47 0.000127446 -*RES -1 *27042:X *30163:A 29.2429 -*END - -*D_NET *949 0.00215351 -*CONN -*I *29781:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27043:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29781:A 0.000604293 -2 *27043:X 0.000604293 -3 *29781:A *27836:A1 0.000594621 -4 *29781:A *27836:A2 8.43535e-06 -5 *29781:A *27836:B1 9.58126e-05 -6 *29781:A *5872:26 0.000123295 -7 *29781:A *5892:40 0.000122765 -*RES -1 *27043:X *29781:A 36.35 -*END - -*D_NET *950 0.00128949 -*CONN -*I *30349:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27044:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30349:A 0.000375149 -2 *27044:X 0.000375149 -3 *30349:A *3163:20 0.000478233 -4 *30349:A *3851:54 6.09572e-05 -*RES -1 *27044:X *30349:A 33.475 -*END - -*D_NET *951 0.001169 -*CONN -*I *29276:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27046:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29276:A 0.000184935 -2 *27046:X 0.000184935 -3 *29276:A *27046:S 0.000134471 -4 *29276:A *28618:D 0.00056036 -5 *29276:A *1590:15 0.000104295 -*RES -1 *27046:X *29276:A 24.4929 -*END - -*D_NET *952 0.00120475 -*CONN -*I *29934:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27047:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29934:A 0.00022938 -2 *27047:X 0.00022938 -3 *29934:A *3790:11 0.000120098 -4 *29934:A *5581:39 4.88637e-05 -5 *29934:A *5592:64 0.000121745 -6 *29934:A *5625:29 0.000345257 -7 *29934:A *5745:159 0.000110029 -*RES -1 *27047:X *29934:A 33.475 -*END - -*D_NET *953 0.000908467 -*CONN -*I *29748:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27048:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29748:A 0.00012404 -2 *27048:X 0.00012404 -3 *29748:A *2891:111 0.000330193 -4 *29748:A *5644:158 0.000330193 -*RES -1 *27048:X *29748:A 31.975 -*END - -*D_NET *954 0.0025631 -*CONN -*I *29792:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27049:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29792:A 0.000576072 -2 *27049:X 0.000576072 -3 *29792:A *27049:A1 0.000221641 -4 *29792:A *27049:S 7.1271e-05 -5 *29792:A *1826:93 0.000548884 -6 *29792:A *3634:34 0.000171375 -7 *29792:A *3634:38 0.000126439 -8 *244:65 *29792:A 0.000271346 -*RES -1 *27049:X *29792:A 40.7607 -*END - -*D_NET *955 0.00182494 -*CONN -*I *29689:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27050:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29689:A 0.000345854 -2 *27050:X 0.000345854 -3 *29689:A *29816:A 0.000175467 -4 *29689:A *1244:122 0 -5 *29689:A *1271:23 0.000114813 -6 *29689:A *5526:16 0.000495762 -7 *29689:A *5667:131 0.000347187 -*RES -1 *27050:X *29689:A 37.5107 -*END - -*D_NET *956 0.000440677 -*CONN -*I *29653:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27051:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29653:A 9.46407e-05 -2 *27051:X 9.46407e-05 -3 *29653:A *2768:25 5.33005e-05 -4 *29653:A *2998:30 0.000123133 -5 *29653:A *5658:31 2.06178e-05 -6 *29653:A *5757:134 5.43443e-05 -*RES -1 *27051:X *29653:A 29.7786 -*END - -*D_NET *957 0.00162068 -*CONN -*I *30472:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27052:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30472:A 0.000375537 -2 *27052:X 0.000375537 -3 *30472:A *28624:D 9.96242e-05 -4 *30472:A *1317:13 0.000311758 -5 *30472:A *1346:7 0.000347181 -6 *30472:A *5970:43 0.000111043 -*RES -1 *27052:X *30472:A 35.0821 -*END - -*D_NET *958 0.00183865 -*CONN -*I *30285:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27053:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30285:A 0.000403981 -2 *27053:X 0.000403981 -3 *30285:A *27049:S 0.000472299 -4 *30285:A *2777:119 2.95726e-05 -5 *30285:A *3982:44 6.6489e-05 -6 *30285:A *5675:140 0.000309266 -7 *30285:A *5675:145 5.52302e-05 -8 *30285:A *5694:183 5.84731e-05 -9 *30285:A *5926:152 3.93537e-05 -*RES -1 *27053:X *30285:A 37.0821 -*END - -*D_NET *959 0.00161743 -*CONN -*I *29103:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27055:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29103:A 0.000241988 -2 *27055:X 0.000241988 -3 *29103:A *1254:144 0.000208836 -4 *29103:A *1455:19 1.95625e-05 -5 *29103:A *2778:56 0.000170379 -6 *29103:A *2778:66 0.000265447 -7 *29103:A *3816:27 0.000304394 -8 *29103:A *4873:22 0.000164837 -*RES -1 *27055:X *29103:A 34.6893 -*END - -*D_NET *960 0.00130793 -*CONN -*I *29878:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27056:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29878:A 0.000206613 -2 *27056:X 0.000206613 -3 *29878:A *27056:A1 0.000284754 -4 *29878:A *1717:25 5.74499e-06 -5 *29878:A *3820:18 0.000517003 -6 *29878:A *5687:153 7.32272e-05 -7 *29878:A *5707:172 1.39726e-05 -*RES -1 *27056:X *29878:A 25.0286 -*END - -*D_NET *961 0.000755636 -*CONN -*I *29210:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27057:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29210:A 0.000161643 -2 *27057:X 0.000161643 -3 *29210:A *2759:111 0.00013485 -4 *29210:A *4003:20 0.000297498 -*RES -1 *27057:X *29210:A 31.6714 -*END - -*D_NET *962 0.000329425 -*CONN -*I *30099:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27058:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30099:A 9.04379e-05 -2 *27058:X 9.04379e-05 -3 *30099:A *28629:D 2.85234e-05 -4 *30099:A *3251:12 5.886e-05 -5 *30099:A *3770:35 6.11661e-05 -*RES -1 *27058:X *30099:A 29.7786 -*END - -*D_NET *963 0.00137006 -*CONN -*I *30203:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27059:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30203:A 0.000338324 -2 *27059:X 0.000338324 -3 *30203:A *25240:B2 9.58126e-05 -4 *30203:A *2889:65 1.92905e-05 -5 *30203:A *4076:59 0.000295216 -6 *30203:A *5601:175 0.000227859 -7 *30203:A *5709:182 5.52302e-05 -*RES -1 *27059:X *30203:A 34.85 -*END - -*D_NET *964 0.000849606 -*CONN -*I *30233:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27060:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30233:A 0.000119881 -2 *27060:X 0.000119881 -3 *30233:A *5829:76 0.000302507 -4 *30233:A *5926:72 0.000307337 -*RES -1 *27060:X *30233:A 31.6714 -*END - -*D_NET *965 0.00237322 -*CONN -*I *30523:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27061:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30523:A 0.000471417 -2 *27061:X 0.000471417 -3 *30523:A *24817:A 3.14048e-05 -4 *30523:A *28632:CLK 5.52302e-05 -5 *30523:A *28632:D 0.000145327 -6 *30523:A *1278:41 5.38242e-05 -7 *30523:A *1624:33 8.11174e-05 -8 *30523:A *2827:16 0.000480133 -9 *30523:A *3019:21 0.000223904 -10 *30523:A *3572:64 0.000141761 -11 *30523:A *5680:257 0.000162451 -12 *30523:A *5713:208 5.52302e-05 -*RES -1 *27061:X *30523:A 39.8679 -*END - -*D_NET *966 0.00153793 -*CONN -*I *30347:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27062:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30347:A 0.000327971 -2 *27062:X 0.000327971 -3 *30347:A *6498:DIODE 0.000183713 -4 *30347:A *2835:15 0.00031275 -5 *30347:A *5675:284 0.000139907 -6 *30347:A *5694:183 0.000173097 -7 *30347:A *6385:139 7.252e-05 -*RES -1 *27062:X *30347:A 34.6714 -*END - -*D_NET *967 0.000303597 -*CONN -*I *30238:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27064:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30238:A 6.78885e-05 -2 *27064:X 6.78885e-05 -3 *30238:A *1265:95 5.67043e-05 -4 *30238:A *2775:174 0.000106894 -5 *30238:A *3998:46 4.22135e-06 -*RES -1 *27064:X *30238:A 29.2429 -*END - -*D_NET *968 0.000669629 -*CONN -*I *30366:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27065:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30366:A 0.000102099 -2 *27065:X 0.000102099 -3 *30366:A *28574:CLK 0.000232715 -4 *30366:A *3162:18 0.000232715 -*RES -1 *27065:X *30366:A 30.7607 -*END - -*D_NET *969 0.00141516 -*CONN -*I *29754:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27066:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29754:A 0.000178758 -2 *27066:X 0.000178758 -3 *29754:A *27066:A0 5.23164e-05 -4 *29754:A *2779:140 0.000262223 -5 *29754:A *2779:161 0.000175892 -6 *29754:A *2866:70 0.000553758 -7 *29754:A *5604:57 1.34548e-05 -*RES -1 *27066:X *29754:A 24.4929 -*END - -*D_NET *970 0.00742142 -*CONN -*I *29959:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27067:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29959:A 0.000125917 -2 *27067:X 0.00150924 -3 *970:16 0.00163516 -4 *29959:A *29949:A 0.000654909 -5 *29959:A *6252:13 0.000423999 -6 *970:16 *26976:A1 0.000178847 -7 *970:16 *28685:RESET_B 0 -8 *970:16 *29955:A 0.000915545 -9 *970:16 *1018:16 0.000210068 -10 *970:16 *2769:209 5.58809e-05 -11 *970:16 *2779:48 0.0004798 -12 *970:16 *3913:16 5.85247e-05 -13 *970:16 *4231:14 0.000586074 -14 *970:16 *4854:17 2.40098e-05 -15 *970:16 *5695:46 6.09762e-05 -16 *970:16 *5695:252 0 -17 *970:16 *5709:290 0.00017899 -18 *970:16 *5772:112 0 -19 *970:16 *5903:11 2.79405e-05 -20 *970:16 *5903:28 0.000174625 -21 *61:14 *970:16 0.000114322 -22 *889:22 *970:16 6.59103e-06 -*RES -1 *27067:X *970:16 46.4495 -2 *970:16 *29959:A 15.5857 -*END - -*D_NET *971 0.00145802 -*CONN -*I *30267:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27068:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30267:A 0.000400447 -2 *27068:X 0.000400447 -3 *30267:A *27068:A0 5.71472e-05 -4 *30267:A *27169:A1 0.000137485 -5 *30267:A *27169:S 5.03787e-05 -6 *30267:A *28554:CLK 5.52302e-05 -7 *30267:A *28638:D 0.000181368 -8 *30267:A *1835:6 0 -9 *30267:A *1862:82 0 -10 *30267:A *3602:40 0.000175519 -*RES -1 *27068:X *30267:A 37.6 -*END - -*D_NET *972 0.00509534 -*CONN -*I *30575:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27069:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30575:A 0 -2 *27069:X 0.00139182 -3 *972:21 0.00139182 -4 *972:21 *26953:A1 0.000106531 -5 *972:21 *26986:A1 0.000643825 -6 *972:21 *27069:A0 0.00043289 -7 *972:21 *27069:A1 1.97695e-05 -8 *972:21 *28750:CLK 3.97657e-05 -9 *972:21 *28750:D 0.000244065 -10 *972:21 *1083:15 3.5707e-05 -11 *972:21 *4034:5 3.32631e-05 -12 *972:21 *4034:42 2.79421e-05 -13 *972:21 *5191:15 0.000423781 -14 *972:21 *5912:54 0.000149313 -15 *869:15 *972:21 0.000154845 -*RES -1 *27069:X *972:21 44.6214 -2 *972:21 *30575:A 9.3 -*END - -*D_NET *973 0.000883327 -*CONN -*I *30394:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27070:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30394:A 0.000115541 -2 *27070:X 0.000115541 -3 *30394:A *28640:D 0.000127439 -4 *30394:A *2998:30 0.000316887 -5 *30394:A *4186:26 5.52302e-05 -6 *30394:A *5485:139 9.74588e-05 -7 *30394:A *5936:25 5.52302e-05 -*RES -1 *27070:X *30394:A 32.2071 -*END - -*D_NET *974 0.00573252 -*CONN -*I *29914:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27071:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29914:A 4.05627e-05 -2 *27071:X 0.00118033 -3 *974:20 0.00122089 -4 *29914:A *5544:5 0.000318107 -5 *29914:A *6224:18 0.000318107 -6 *974:20 *24827:A 0 -7 *974:20 *27071:A1 0.000415738 -8 *974:20 *27071:S 0.000140933 -9 *974:20 *28688:CLK 5.52302e-05 -10 *974:20 *1083:15 8.3237e-05 -11 *974:20 *2769:209 0.000201965 -12 *974:20 *3609:64 0.000100996 -13 *974:20 *4034:42 8.2982e-05 -14 *974:20 *4252:9 0.000179034 -15 *974:20 *5702:202 0.000903938 -16 *974:20 *5906:15 0.000236944 -17 *974:20 *6217:20 0.000178847 -18 *974:20 *6218:16 7.4672e-05 -*RES -1 *27071:X *974:20 47.866 -2 *974:20 *29914:A 12.3 -*END - -*D_NET *975 0.00136061 -*CONN -*I *29352:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27073:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29352:A 0.000219134 -2 *27073:X 0.000219134 -3 *29352:A *27073:A1 6.35826e-05 -4 *29352:A *27073:S 0.000177821 -5 *29352:A *2883:35 1.45092e-05 -6 *29352:A *2883:39 0.000522686 -7 *29352:A *2894:44 0.000143745 -*RES -1 *27073:X *29352:A 24.9214 -*END - -*D_NET *976 0.00569108 -*CONN -*I *30161:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27074:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30161:A 0.000391885 -2 *27074:X 0.000510411 -3 *976:31 0.000902295 -4 *30161:A *28484:D 9.54798e-06 -5 *30161:A *29104:A 7.84156e-05 -6 *30161:A *29113:A 0.000262775 -7 *30161:A *29157:A 0 -8 *30161:A *2770:134 0 -9 *30161:A *3874:91 0.000164542 -10 *30161:A *4403:20 1.2662e-05 -11 *30161:A *5912:67 0.00047371 -12 *976:31 *27074:A1 0.00060947 -13 *976:31 *27074:S 5.71472e-05 -14 *976:31 *29050:A 1.61399e-05 -15 *976:31 *29157:A 5.80706e-06 -16 *976:31 *29458:A 0.000188586 -17 *976:31 *30557:A 9.60939e-05 -18 *976:31 *2760:174 0.000430985 -19 *976:31 *2770:134 8.81391e-05 -20 *976:31 *3686:27 5.59013e-05 -21 *976:31 *3822:110 5.7616e-05 -22 *976:31 *4402:16 1.71561e-05 -23 *976:31 *4403:20 5.52302e-05 -24 *976:31 *4699:9 0.000635352 -25 *976:31 *5893:10 1.63453e-05 -26 *976:31 *5912:81 0.000554872 -*RES -1 *27074:X *976:31 46.5321 -2 *976:31 *30161:A 29.3 -*END - -*D_NET *977 0.0171173 -*CONN -*I *29800:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27075:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29800:A 0.000572345 -2 *27075:X 0.00288171 -3 *977:15 0.00345406 -4 *29800:A *27223:A 9.2468e-05 -5 *29800:A *27239:A 4.99991e-05 -6 *29800:A *2802:16 0.000140981 -7 *29800:A *2805:40 0.000135028 -8 *29800:A *2816:12 0 -9 *29800:A *6382:26 0.000139338 -10 *29800:A *6385:122 0.000357115 -11 *977:15 *24819:A 5.62995e-05 -12 *977:15 *25520:A 0.00148036 -13 *977:15 *25606:A0 1.74903e-05 -14 *977:15 *25952:A1 0.000250818 -15 *977:15 *26840:A1 0.00174015 -16 *977:15 *27075:A0 0.00116903 -17 *977:15 *27075:A1 0.000226025 -18 *977:15 *29879:A 0 -19 *977:15 *1273:246 0.00131688 -20 *977:15 *2782:13 0.000212189 -21 *977:15 *2782:27 0.00021444 -22 *977:15 *2784:26 0.000436732 -23 *977:15 *2802:16 0.000191048 -24 *977:15 *3638:95 0.000173373 -25 *977:15 *5535:70 0.000133283 -26 *977:15 *5694:133 0.000179429 -27 *977:15 *5757:31 9.24862e-05 -28 *977:15 *6009:23 0.000183713 -29 *977:15 *6386:94 0.000117726 -30 *30686:A *977:15 0.000543155 -31 *18:20 *29800:A 0.000559644 -*RES -1 *27075:X *977:15 46.8485 -2 *977:15 *29800:A 30.6832 -*END - -*D_NET *978 0.00959152 -*CONN -*I *29956:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27076:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29956:A 0.00114019 -2 *27076:X 0.00155213 -3 *978:14 0.00269232 -4 *29956:A *26857:A1 0.0013294 -5 *29956:A *26864:A0 9.25014e-06 -6 *29956:A *28454:RESET_B 0.000136881 -7 *29956:A *4254:17 0.000269627 -8 *29956:A *5544:5 0.000266678 -9 *29956:A *5676:307 3.76295e-05 -10 *29956:A *6224:18 4.00349e-05 -11 *978:14 *26976:A1 8.68595e-05 -12 *978:14 *27076:A1 0.000268396 -13 *978:14 *27130:A1 7.72966e-05 -14 *978:14 *28685:RESET_B 6.30931e-05 -15 *978:14 *29082:A 0.000286686 -16 *978:14 *29104:A 2.59355e-05 -17 *978:14 *3913:16 2.2628e-05 -18 *978:14 *5695:25 7.97063e-05 -19 *978:14 *5695:37 0.000260574 -20 *978:14 *5695:252 0.000311347 -21 *978:14 *5771:157 0.000224583 -22 *978:14 *5772:112 0.00021383 -23 *978:14 *5781:10 2.4296e-05 -24 *978:14 *6261:17 2.14658e-05 -25 *978:14 *6284:17 4.21517e-05 -26 *889:22 *978:14 0.000108525 -*RES -1 *27076:X *978:14 43.6571 -2 *978:14 *29956:A 36.2286 -*END - -*D_NET *979 0.00122344 -*CONN -*I *30338:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27077:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30338:A 0.000233831 -2 *27077:X 0.000233831 -3 *30338:A *27750:A2 9.90367e-05 -4 *30338:A *27765:A2 0.00013687 -5 *30338:A *3581:118 9.90367e-05 -6 *30338:A *4076:48 0.000420832 -*RES -1 *27077:X *30338:A 34.1357 -*END - -*D_NET *980 0.00241023 -*CONN -*I *29805:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27078:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29805:A 0.000722333 -2 *27078:X 0.000722333 -3 *29805:A *27078:A0 0.000142157 -4 *29805:A *27078:A1 0.000594467 -5 *29805:A *27078:S 9.76435e-06 -6 *29805:A *27215:B 3.9883e-05 -7 *29805:A *1240:137 0.000116371 -8 *29805:A *2838:31 7.69776e-06 -9 *29805:A *3850:17 0 -10 *29805:A *5720:31 0 -11 *29805:A *5926:171 5.52238e-05 -*RES -1 *27078:X *29805:A 37.7071 -*END - -*D_NET *981 0.00397729 -*CONN -*I *30521:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27079:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30521:A 0 -2 *27079:X 0.00121908 -3 *981:15 0.00121908 -4 *981:15 *25306:B1 1.45616e-05 -5 *981:15 *25306:B2 2.22977e-05 -6 *981:15 *27226:B2 0.000310066 -7 *981:15 *29875:A 0.000146858 -8 *981:15 *2800:55 0 -9 *981:15 *5521:67 0.000137983 -10 *981:15 *6104:19 0 -11 *981:15 *6380:46 7.19956e-05 -12 *981:15 *6381:78 0.000126728 -13 *981:15 *6381:120 7.29712e-05 -14 *30738:A *981:15 0.000271643 -15 *22:18 *981:15 0.000137983 -16 *23:22 *981:15 0.000226041 -*RES -1 *27079:X *981:15 47.1571 -2 *981:15 *30521:A 9.3 -*END - -*D_NET *982 0.000831719 -*CONN -*I *29285:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27080:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29285:A 0.000147733 -2 *27080:X 0.000147733 -3 *29285:A *2780:308 5.66971e-05 -4 *29285:A *2787:128 0.00016324 -5 *29285:A *4082:76 0.000316316 -*RES -1 *27080:X *29285:A 32.2071 -*END - -*D_NET *983 0.00197192 -*CONN -*I *29134:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27082:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29134:A 0.000382755 -2 *27082:X 0.000382755 -3 *29134:A *27082:A1 5.33005e-05 -4 *29134:A *27082:S 1.00733e-05 -5 *29134:A *28650:D 0.000675008 -6 *29134:A *2781:65 0.00025849 -7 *29134:A *2781:87 0.000115971 -8 *29134:A *3164:35 8.11273e-05 -9 *29134:A *5635:50 1.24368e-05 -*RES -1 *27082:X *29134:A 28.35 -*END - -*D_NET *984 0.00235203 -*CONN -*I *29861:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27083:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29861:A 0.000688247 -2 *27083:X 0.000688247 -3 *29861:A *27083:S 1.32056e-05 -4 *29861:A *28651:D 9.41642e-05 -5 *29861:A *28897:A 2.04825e-05 -6 *29861:A *1260:81 1.90936e-05 -7 *29861:A *1265:112 3.28681e-06 -8 *29861:A *1562:45 0.000135028 -9 *29861:A *2771:111 5.37879e-05 -10 *29861:A *2889:65 0.000103932 -11 *29861:A *3576:76 8.50152e-05 -12 *29861:A *5584:131 0.000141895 -13 *29861:A *5590:79 0 -14 *29861:A *6247:34 0.000305646 -*RES -1 *27083:X *29861:A 43.1864 -*END - -*D_NET *985 0.0024262 -*CONN -*I *29821:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27084:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29821:A 0.000550607 -2 *27084:X 0.000550607 -3 *29821:A *1294:163 2.43731e-05 -4 *29821:A *2781:65 0.000387299 -5 *29821:A *2888:22 6.40293e-05 -6 *29821:A *3197:31 8.21115e-05 -7 *29821:A *3206:84 8.44176e-05 -8 *29821:A *5591:36 2.26973e-05 -9 *29821:A *5606:33 9.66977e-05 -10 *29821:A *5646:17 0.000154827 -11 *29821:A *5651:21 0.000389444 -12 *29821:A *5687:129 1.90936e-05 -*RES -1 *27084:X *29821:A 42.2679 -*END - -*D_NET *986 0.000803899 -*CONN -*I *29787:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27085:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29787:A 0.000107493 -2 *27085:X 0.000107493 -3 *29787:A *28923:A 3.13099e-05 -4 *29787:A *3976:73 0.000246794 -5 *29787:A *4068:16 0.00031081 -*RES -1 *27085:X *29787:A 31.6714 -*END - -*D_NET *987 0.00145569 -*CONN -*I *30174:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27086:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30174:A 0.000212044 -2 *27086:X 0.000212044 -3 *30174:A *27086:A1 3.22692e-05 -4 *30174:A *27086:S 2.12005e-05 -5 *30174:A *28654:D 0.000117543 -6 *30174:A *1516:17 0.000638005 -7 *30174:A *5764:36 0.000222584 -*RES -1 *27086:X *30174:A 25.3143 -*END - -*D_NET *988 0.00123168 -*CONN -*I *30229:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27087:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30229:A 0.000373131 -2 *27087:X 0.000373131 -3 *30229:A *27087:S 9.94194e-05 -4 *30229:A *2844:386 5.33005e-05 -5 *30229:A *3668:34 0.000115446 -6 *30229:A *4095:83 9.41642e-05 -7 *30229:A *5658:113 5.58875e-06 -8 *30229:A *5683:184 1.21258e-05 -9 *30229:A *5777:259 0.00010537 -*RES -1 *27087:X *30229:A 33.1893 -*END - -*D_NET *989 0.00110272 -*CONN -*I *30461:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27088:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30461:A 0.000218527 -2 *27088:X 0.000218527 -3 *30461:A *5461:7 0.00050768 -4 *30461:A *6150:18 0.000157984 -*RES -1 *27088:X *30461:A 24.0821 -*END - -*D_NET *990 0.00130126 -*CONN -*I *30309:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27089:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30309:A 0.000150205 -2 *27089:X 0.000150205 -3 *30309:A *28657:D 0.000319316 -4 *30309:A *3572:95 0.000531645 -5 *30309:A *5528:27 7.09565e-05 -6 *30309:A *5608:71 7.89362e-05 -*RES -1 *27089:X *30309:A 34.4036 -*END - -*D_NET *991 0.00346188 -*CONN -*I *29437:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27091:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29437:A 0.000759295 -2 *27091:X 0.000759295 -3 *29437:A *27136:S 0.000159513 -4 *29437:A *28658:D 0.000136682 -5 *29437:A *2784:59 1.79205e-05 -6 *29437:A *2787:78 1.90936e-05 -7 *29437:A *2855:12 0.000135028 -8 *29437:A *2886:26 0.000107581 -9 *29437:A *3185:264 0.000481397 -10 *29437:A *3560:18 0.000100609 -11 *29437:A *3642:29 0.000111457 -12 *29437:A *3797:53 5.28888e-05 -13 *29437:A *5713:109 0.000199486 -14 *29437:A *5713:130 0.000128972 -15 *29437:A *5750:152 0.000136951 -16 *29437:A *5873:153 0.000155712 -*RES -1 *27091:X *29437:A 42.284 -*END - -*D_NET *992 0.0032826 -*CONN -*I *29881:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27092:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29881:A 0.000577417 -2 *27092:X 0.000577417 -3 *29881:A *25626:A1 6.8455e-05 -4 *29881:A *2798:60 0.000315165 -5 *29881:A *5005:14 0.000315165 -6 *29881:A *5592:78 0.00102761 -7 *29881:A *5687:166 0.000401364 -*RES -1 *27092:X *29881:A 44.3857 -*END - -*D_NET *993 0.0004358 -*CONN -*I *29830:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27093:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29830:A 7.70985e-05 -2 *27093:X 7.70985e-05 -3 *29830:A *25271:B1 4.28106e-05 -4 *29830:A *1282:68 5.52302e-05 -5 *29830:A *1550:34 2.30124e-05 -6 *29830:A *2776:48 6.60111e-05 -7 *29830:A *4907:28 6.48447e-05 -8 *29830:A *6319:25 2.96938e-05 -*RES -1 *27093:X *29830:A 29.7786 -*END - -*D_NET *994 0.00162602 -*CONN -*I *29789:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27094:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29789:A 0.000354669 -2 *27094:X 0.000354669 -3 *29789:A *27427:A2 1.53565e-05 -4 *29789:A *1252:207 0.000175892 -5 *29789:A *2754:28 3.64479e-05 -6 *29789:A *2782:127 4.65519e-05 -7 *29789:A *2889:92 0.000200709 -8 *29789:A *2894:303 0.000115556 -9 *29789:A *3848:38 2.04825e-05 -10 *29789:A *3900:38 0.000305685 -*RES -1 *27094:X *29789:A 40.5754 -*END - -*D_NET *995 0.0110893 -*CONN -*I *29706:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27095:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29706:A 0.000467152 -2 *27095:X 0.00135958 -3 *995:25 0.00182673 -4 *29706:A *28769:CLK 0.00014183 -5 *29706:A *4529:15 0.000536146 -6 *29706:A *5515:32 0.000138652 -7 *29706:A *6008:26 0.000625303 -8 *29706:A *6178:35 0.00014183 -9 *29706:A *6317:9 4.37485e-06 -10 *995:25 *25216:B2 5.69339e-05 -11 *995:25 *29838:A 0.00165596 -12 *995:25 *4740:33 5.58004e-06 -13 *995:25 *4796:20 0.000337273 -14 *995:25 *5513:32 0.000194001 -15 *995:25 *5521:41 0.000824942 -16 *995:25 *5523:93 0.00122276 -17 *995:25 *5592:78 0 -18 *30287:A *29706:A 0.000230482 -19 *30646:A *995:25 9.60875e-05 -20 *30679:A *995:25 5.99719e-05 -21 *30689:A *29706:A 0 -22 *11:17 *29706:A 0.000181911 -23 *39:26 *995:25 0.000203611 -24 *40:30 *29706:A 0.000219883 -25 *244:28 *995:25 0.000460243 -26 *457:17 *995:25 9.80173e-05 -*RES -1 *27095:X *995:25 47.4011 -2 *995:25 *29706:A 37.3625 -*END - -*D_NET *996 0.000448466 -*CONN -*I *30159:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27096:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30159:A 9.36058e-05 -2 *27096:X 9.36058e-05 -3 *30159:A *2782:147 1.07719e-05 -4 *30159:A *3164:295 0.000125241 -5 *30159:A *5594:38 0.000125241 -*RES -1 *27096:X *30159:A 29.7786 -*END - -*D_NET *997 0.00115632 -*CONN -*I *30493:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27097:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30493:A 0.000324169 -2 *27097:X 0.000324169 -3 *30493:A *27097:A0 4.01768e-05 -4 *30493:A *27097:A1 4.8035e-05 -5 *30493:A *4685:8 0.000107026 -6 *30493:A *5675:147 0.000312743 -*RES -1 *27097:X *30493:A 34.2607 -*END - -*D_NET *998 0.000328141 -*CONN -*I *30296:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27098:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30296:A 5.3553e-05 -2 *27098:X 5.3553e-05 -3 *30296:A *27098:A1 0.000122221 -4 *30296:A *28665:D 7.83587e-05 -5 *30296:A *4574:20 2.04558e-05 -*RES -1 *27098:X *30296:A 29.2429 -*END - -*D_NET *999 0.000338556 -*CONN -*I *29376:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27100:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29376:A 4.46159e-05 -2 *27100:X 4.46159e-05 -3 *29376:A *1239:14 0.000124662 -4 *29376:A *1644:36 0.000124662 -*RES -1 *27100:X *29376:A 29.2429 -*END - -*D_NET *1000 0.0011902 -*CONN -*I *30419:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27101:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30419:A 0.000234277 -2 *27101:X 0.000234277 -3 *30419:A *27101:A0 4.20621e-05 -4 *30419:A *27101:S 0.000128321 -5 *30419:A *1261:11 0.000551265 -*RES -1 *27101:X *30419:A 24.4929 -*END - -*D_NET *1001 0.000256144 -*CONN -*I *29826:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27102:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29826:A 8.02837e-05 -2 *27102:X 8.02837e-05 -3 *29826:A *28668:D 3.92757e-05 -4 *29826:A *3572:34 1.46624e-05 -5 *29826:A *3847:25 4.16383e-05 -*RES -1 *27102:X *29826:A 29.2429 -*END - -*D_NET *1002 0.00137022 -*CONN -*I *30028:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27103:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30028:A 0.000290889 -2 *27103:X 0.000290889 -3 *30028:A *27742:A1 4.85277e-05 -4 *30028:A *29053:A 9.02021e-05 -5 *30028:A *2775:111 0.000218409 -6 *30028:A *4082:33 0.000122446 -7 *30028:A *5645:235 0.000308859 -*RES -1 *27103:X *30028:A 33.85 -*END - -*D_NET *1003 0.000453756 -*CONN -*I *30192:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27104:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30192:A 6.06713e-05 -2 *27104:X 6.06713e-05 -3 *30192:A *1257:162 0.000127446 -4 *30192:A *1682:12 0.000125731 -5 *30192:A *2848:300 2.59355e-05 -6 *30192:A *5646:213 5.33005e-05 -*RES -1 *27104:X *30192:A 29.7786 -*END - -*D_NET *1004 0.000865208 -*CONN -*I *30127:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27105:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30127:A 0.000131596 -2 *27105:X 0.000131596 -3 *30127:A *5863:161 6.65398e-05 -4 *30127:A *6001:17 0.000267738 -5 *29698:A *30127:A 0.000267738 -*RES -1 *27105:X *30127:A 31.8321 -*END - -*D_NET *1005 0.000465254 -*CONN -*I *30465:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27106:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30465:A 8.07377e-05 -2 *27106:X 8.07377e-05 -3 *30465:A *27106:S 5.33005e-05 -4 *30465:A *1367:18 5.41797e-06 -5 *30465:A *5602:52 0.000128146 -6 *30465:A *5662:33 0.000116914 -*RES -1 *27106:X *30465:A 29.7786 -*END - -*D_NET *1006 0.000474153 -*CONN -*I *30369:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27107:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30369:A 5.71909e-05 -2 *27107:X 5.71909e-05 -3 *30369:A *2771:22 0.000125724 -4 *30369:A *2774:244 5.33005e-05 -5 *30369:A *4455:11 5.33005e-05 -6 *30369:A *5892:25 0.000127446 -*RES -1 *27107:X *30369:A 29.7786 -*END - -*D_NET *1007 0.00123669 -*CONN -*I *29236:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27109:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29236:A 0.000183618 -2 *27109:X 0.000183618 -3 *29236:A *25626:A1 0.000257722 -4 *29236:A *27109:S 5.33005e-05 -5 *29236:A *6320:29 0.00055843 -*RES -1 *27109:X *29236:A 24.4929 -*END - -*D_NET *1008 0.000311318 -*CONN -*I *30364:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27110:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30364:A 4.78721e-05 -2 *27110:X 4.78721e-05 -3 *30364:A *27690:B1 3.1743e-05 -4 *30364:A *3164:346 0.000126582 -5 *30364:A *4120:47 5.7248e-05 -*RES -1 *27110:X *30364:A 29.2429 -*END - -*D_NET *1009 0.000542076 -*CONN -*I *29234:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27111:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29234:A 0.000117484 -2 *27111:X 0.000117484 -3 *29234:A *2763:185 5.71472e-05 -4 *29234:A *2772:46 1.92905e-05 -5 *29234:A *2779:67 7.83366e-05 -6 *29234:A *3730:8 0.000152333 -*RES -1 *27111:X *29234:A 30.6179 -*END - -*D_NET *1010 0.00259993 -*CONN -*I *30084:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27112:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30084:A 0.000744613 -2 *27112:X 0.000744613 -3 *30084:A *3257:13 9.25014e-06 -4 *30084:A *3691:8 0.000125731 -5 *30084:A *5640:267 0.000935967 -6 *30084:A *5701:95 3.97543e-05 -*RES -1 *27112:X *30084:A 38.4036 -*END - -*D_NET *1011 0.000693589 -*CONN -*I *30261:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27113:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30261:A 0.000154166 -2 *27113:X 0.000154166 -3 *30261:A *3998:17 0.00012501 -4 *30261:A *5651:410 0.000136951 -5 *30261:A *5725:24 0.000123295 -*RES -1 *27113:X *30261:A 30.6 -*END - -*D_NET *1012 0.000686511 -*CONN -*I *29090:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27114:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29090:A 8.56886e-05 -2 *27114:X 8.56886e-05 -3 *29090:A *27788:C1 0.000136682 -4 *29090:A *4095:33 0.000136682 -5 *29090:A *4149:34 0.000118645 -6 *29090:A *5651:378 0.000123126 -*RES -1 *27114:X *29090:A 30.6 -*END - -*D_NET *1013 0.00116758 -*CONN -*I *30470:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27115:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30470:A 0.000237793 -2 *27115:X 0.000237793 -3 *30470:A *27115:A0 9.60875e-05 -4 *30470:A *27115:A1 0.000350124 -5 *30470:A *27115:S 5.52238e-05 -6 *30470:A *27483:A2 0 -7 *30470:A *2782:183 5.33433e-05 -8 *30470:A *5535:71 0.000137213 -*RES -1 *27115:X *30470:A 24.7964 -*END - -*D_NET *1014 0.000861737 -*CONN -*I *30303:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27116:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30303:A 0.000276846 -2 *27116:X 0.000276846 -3 *30303:A *27116:S 0.00013331 -4 *30303:A *5675:149 5.5056e-05 -5 *30303:A *5752:35 0.00011968 -*RES -1 *27116:X *30303:A 31.8321 -*END - -*D_NET *1015 0.00161047 -*CONN -*I *29459:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27118:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29459:A 0.000464412 -2 *27118:X 0.000464412 -3 *29459:A *27118:A0 2.59355e-05 -4 *29459:A *27118:A1 0.000117553 -5 *29459:A *27118:S 9.33907e-05 -6 *29459:A *27169:A0 6.05161e-06 -7 *29459:A *4509:13 5.74499e-06 -8 *29459:A *5716:215 7.02611e-05 -9 *29459:A *5725:10 4.75428e-05 -10 *29459:A *5770:30 0.000315172 -*RES -1 *27118:X *29459:A 35.9036 -*END - -*D_NET *1016 0.00119465 -*CONN -*I *30426:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27119:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30426:A 0.000437328 -2 *27119:X 0.000437328 -3 *30426:A *27119:S 0.000175892 -4 *30426:A *27339:A1 4.13496e-05 -5 *30426:A *28683:D 0.000102758 -*RES -1 *27119:X *30426:A 25.7786 -*END - -*D_NET *1017 0.000997739 -*CONN -*I *30389:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27120:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30389:A 0.000189688 -2 *27120:X 0.000189688 -3 *30389:A *27021:A0 2.84109e-05 -4 *30389:A *3821:19 0.000237247 -5 *30389:A *5582:89 0.00029775 -6 *30389:A *5702:64 5.49544e-05 -*RES -1 *27120:X *30389:A 32.8679 -*END - -*D_NET *1018 0.00702233 -*CONN -*I *29965:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27121:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29965:A 0.000349861 -2 *27121:X 0.00107303 -3 *1018:16 0.00142289 -4 *29965:A *26857:A1 6.58597e-05 -5 *29965:A *26861:A1 0.000197832 -6 *29965:A *28453:D 0.000646711 -7 *29965:A *28454:RESET_B 0.000268403 -8 *29965:A *5462:55 0.000749074 -9 *29965:A *5830:16 1.15058e-05 -10 *29965:A *5894:14 9.90431e-05 -11 *1018:16 *28645:D 0.000221634 -12 *1018:16 *28685:RESET_B 4.85567e-05 -13 *1018:16 *4854:17 9.97365e-05 -14 *1018:16 *5544:11 0.00055741 -15 *1018:16 *5683:32 3.11031e-05 -16 *1018:16 *5695:43 0.000172745 -17 *1018:16 *5695:240 6.05161e-06 -18 *1018:16 *5926:66 1.34009e-05 -19 *1018:16 *6268:23 0.000516274 -20 *30712:A *29965:A 0.000158278 -21 *783:17 *29965:A 0.000102859 -22 *970:16 *1018:16 0.000210068 -*RES -1 *27121:X *1018:16 46.3179 -2 *1018:16 *29965:A 31.4786 -*END - -*D_NET *1019 0.00151951 -*CONN -*I *29307:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27122:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29307:A 0.00051542 -2 *27122:X 0.00051542 -3 *29307:A *25243:C1 5.01876e-06 -4 *29307:A *28574:CLK 7.29646e-05 -5 *29307:A *28686:D 0.000315848 -6 *29307:A *2774:74 2.70189e-05 -7 *29307:A *3914:7 4.87854e-05 -8 *29307:A *5708:54 1.90303e-05 -*RES -1 *27122:X *29307:A 35.3679 -*END - -*D_NET *1020 0.00176794 -*CONN -*I *29068:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27123:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29068:A 0.000488231 -2 *27123:X 0.000488231 -3 *29068:A *1697:44 0.000548544 -4 *29068:A *3215:309 0.000122226 -5 *29068:A *3565:10 0.000120708 -*RES -1 *27123:X *29068:A 34.7071 -*END - -*D_NET *1021 0.00479894 -*CONN -*I *29162:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27124:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29162:A 0.00148911 -2 *27124:X 0.00148911 -3 *29162:A *27124:A1 0.000380514 -4 *29162:A *3609:5 0.000654909 -5 *29162:A *3609:9 0.000564328 -6 *29162:A *5701:61 2.89016e-05 -7 *29162:A *5906:15 0.000192078 -*RES -1 *27124:X *29162:A 40.1179 -*END - -*D_NET *1022 0.000522888 -*CONN -*I *30106:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27125:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30106:A 0.000107439 -2 *27125:X 0.000107439 -3 *30106:A *27793:A1 7.52248e-05 -4 *30106:A *27793:C1 4.86787e-05 -5 *30106:A *3785:25 5.95766e-05 -6 *30106:A *5631:47 0.000124529 -*RES -1 *27125:X *30106:A 30.7429 -*END - -*D_NET *1023 0.000728468 -*CONN -*I *29453:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27127:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29453:A 0.000341864 -2 *27127:X 0.000341864 -3 *29453:A *28690:D 4.47403e-05 -*RES -1 *27127:X *29453:A 24.2071 -*END - -*D_NET *1024 0.00592541 -*CONN -*I *30205:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27128:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30205:A 0 -2 *27128:X 0.000737279 -3 *1024:19 0.000737279 -4 *1024:19 *26974:A1 0.000340788 -5 *1024:19 *28691:D 0.000219349 -6 *1024:19 *29157:A 9.90367e-05 -7 *1024:19 *30249:A 0.000567284 -8 *1024:19 *2786:151 0.000185643 -9 *1024:19 *3924:18 3.62291e-05 -10 *1024:19 *4928:13 0.000656833 -11 *1024:19 *5544:11 0.000830868 -12 *1024:19 *5702:16 0.00138951 -13 *1024:19 *5769:135 6.26559e-05 -14 *1024:19 *5769:144 6.26559e-05 -*RES -1 *27128:X *1024:19 46.6214 -2 *1024:19 *30205:A 9.3 -*END - -*D_NET *1025 0.000525795 -*CONN -*I *29759:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27129:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29759:A 0.000126259 -2 *27129:X 0.000126259 -3 *29759:A *27695:B1 0.000126958 -4 *29759:A *28692:D 0.000125243 -5 *29759:A *1328:140 2.10756e-05 -*RES -1 *27129:X *29759:A 30.1893 -*END - -*D_NET *1026 0.00807936 -*CONN -*I *30010:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27130:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30010:A 0.000415794 -2 *27130:X 0.00137649 -3 *1026:19 0.00179229 -4 *30010:A *29920:A 0.000609034 -5 *30010:A *29964:A 6.09762e-05 -6 *30010:A *3609:30 1.01075e-05 -7 *30010:A *4254:17 0.0004622 -8 *30010:A *4802:10 8.6229e-06 -9 *30010:A *4817:18 0.00052072 -10 *30010:A *5364:13 0.000149831 -11 *1026:19 *27121:A1 4.85178e-05 -12 *1026:19 *27124:S 0.000313241 -13 *1026:19 *28693:CLK 0.000101169 -14 *1026:19 *28697:RESET_B 4.85567e-05 -15 *1026:19 *29955:A 0.000565933 -16 *1026:19 *2779:48 0.00020832 -17 *1026:19 *2786:172 9.71197e-05 -18 *1026:19 *3609:11 0.000249955 -19 *1026:19 *3887:97 0.000107222 -20 *1026:19 *5544:11 0.000472715 -21 *1026:19 *5695:240 9.31011e-05 -22 *1026:19 *5695:252 2.59355e-05 -23 *1026:19 *5829:42 0.000109066 -24 *1026:19 *5926:66 2.06178e-05 -25 *1026:19 *6284:17 0.000211829 -*RES -1 *27130:X *1026:19 49.7997 -2 *1026:19 *30010:A 26.8893 -*END - -*D_NET *1027 0.00033105 -*CONN -*I *30196:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27131:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30196:A 3.8086e-05 -2 *27131:X 3.8086e-05 -3 *30196:A *1235:159 0.000127439 -4 *30196:A *3771:22 0.000127439 -*RES -1 *27131:X *30196:A 29.2429 -*END - -*D_NET *1028 0.000997189 -*CONN -*I *30236:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27132:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30236:A 0.000168796 -2 *27132:X 0.000168796 -3 *30236:A *27117:B 0.000303372 -4 *30236:A *3939:94 5.52302e-05 -5 *30236:A *3991:38 0.000300995 -*RES -1 *27132:X *30236:A 32.2071 -*END - -*D_NET *1029 0.00219529 -*CONN -*I *30408:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27133:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30408:A 0.000403106 -2 *27133:X 0.000403106 -3 *30408:A *25017:A1 0.000110946 -4 *30408:A *1844:138 0.000479502 -5 *30408:A *1844:146 7.57649e-05 -6 *30408:A *2889:151 0.000587716 -7 *30408:A *2998:30 0.000109296 -8 *30408:A *3669:20 6.27272e-06 -9 *30408:A *3968:20 1.00689e-05 -10 *30408:A *5644:158 9.51343e-06 -*RES -1 *27133:X *30408:A 38.9204 -*END - -*D_NET *1030 0.00463683 -*CONN -*I *29920:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27134:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29920:A 0.000680428 -2 *27134:X 0.000680428 -3 *29920:A *26857:A1 0.000503295 -4 *29920:A *27134:A1 3.65796e-05 -5 *29920:A *28457:D 0.000224366 -6 *29920:A *4254:17 6.44345e-05 -7 *29920:A *5462:55 0.000139907 -8 *29920:A *6011:17 0.00011331 -9 *29920:A *6223:17 0.00123757 -10 *30010:A *29920:A 0.000609034 -11 *787:14 *29920:A 0.000347476 -*RES -1 *27134:X *29920:A 42.0643 -*END - -*D_NET *1031 0.00170593 -*CONN -*I *29136:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27136:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29136:A 0.000597179 -2 *27136:X 0.000597179 -3 *29136:A *25358:A1 3.05203e-05 -4 *29136:A *26827:A 2.59355e-05 -5 *29136:A *27136:A1 0.000215706 -6 *29136:A *1250:72 0.000119706 -7 *29136:A *5211:8 0.000119706 -*RES -1 *27136:X *29136:A 35.5286 -*END - -*D_NET *1032 0.000605798 -*CONN -*I *30374:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27137:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30374:A 9.10086e-05 -2 *27137:X 9.10086e-05 -3 *30374:A *27751:A2 9.41642e-05 -4 *30374:A *1260:91 9.41642e-05 -5 *30374:A *1361:30 0.000118488 -6 *30374:A *4115:28 0.000116964 -*RES -1 *27137:X *30374:A 30.1893 -*END - -*D_NET *1033 0.00105879 -*CONN -*I *29823:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27138:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29823:A 0.000215449 -2 *27138:X 0.000215449 -3 *29823:A *28700:D 0.000331486 -4 *29823:A *3178:29 0.000296407 -*RES -1 *27138:X *29823:A 24.7964 -*END - -*D_NET *1034 0.0013324 -*CONN -*I *29659:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27139:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29659:A 0.00018921 -2 *27139:X 0.00018921 -3 *29659:A *27139:S 0.000260152 -4 *29659:A *27714:A2 8.43535e-06 -5 *29659:A *1363:114 0.000551687 -6 *29659:A *5649:66 0.000133705 -*RES -1 *27139:X *29659:A 24.4929 -*END - -*D_NET *1035 0.0013327 -*CONN -*I *29540:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27140:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29540:A 0.00020929 -2 *27140:X 0.00020929 -3 *29540:A *27140:A1 0.000216755 -4 *29540:A *27140:S 0.000128321 -5 *29540:A *28702:D 0.00054662 -6 *29540:A *3552:22 2.24195e-05 -*RES -1 *27140:X *29540:A 24.4929 -*END - -*D_NET *1036 0.00121831 -*CONN -*I *30148:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27141:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30148:A 0.000312582 -2 *27141:X 0.000312582 -3 *30148:A *27141:S 0.000257619 -4 *30148:A *3839:39 9.60875e-05 -5 *30148:A *3930:79 0.000136682 -6 *30148:A *4149:72 4.58194e-05 -7 *30148:A *5683:223 5.69386e-05 -*RES -1 *27141:X *30148:A 24.4929 -*END - -*D_NET *1037 0.000742632 -*CONN -*I *30510:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27142:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30510:A 0.000116174 -2 *27142:X 0.000116174 -3 *30510:A *1264:116 0.000118437 -4 *30510:A *1277:98 0.000313487 -5 *30510:A *5675:281 7.83587e-05 -*RES -1 *27142:X *30510:A 31.6714 -*END - -*D_NET *1038 0.00301152 -*CONN -*I *30322:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27143:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30322:A 0.000424008 -2 *27143:X 0.000424008 -3 *30322:A *27061:A1 0.000146721 -4 *30322:A *27143:A1 9.55141e-05 -5 *30322:A *28705:D 3.63775e-05 -6 *30322:A *30522:A 0.000178847 -7 *30322:A *1277:98 0.000149911 -8 *30322:A *1366:13 6.05161e-06 -9 *30322:A *3943:9 0.000219711 -10 *30322:A *5675:191 0.000148189 -11 *30322:A *5713:208 0.00118218 -*RES -1 *27143:X *30322:A 41.1714 -*END - -*D_NET *1039 0.00129251 -*CONN -*I *29358:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27145:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29358:A 0.000157381 -2 *27145:X 0.000157381 -3 *29358:A *27661:A1 0.000175892 -4 *29358:A *1433:23 0.000313845 -5 *29358:A *2780:261 0.000312123 -6 *29358:A *5646:259 0.000175892 -*RES -1 *27145:X *29358:A 33.4393 -*END - -*D_NET *1040 0.000682306 -*CONN -*I *30439:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27146:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30439:A 0.000144523 -2 *27146:X 0.000144523 -3 *30439:A *28707:D 5.07157e-05 -4 *30439:A *3907:27 0 -5 *30439:A *5593:36 0.000314096 -6 *30439:A *5640:264 2.84494e-05 -*RES -1 *27146:X *30439:A 31.6714 -*END - -*D_NET *1041 0.00135591 -*CONN -*I *29719:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27147:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29719:A 0.000427767 -2 *27147:X 0.000427767 -3 *29719:A *27147:A1 1.21258e-05 -4 *29719:A *27147:S 0.000119303 -5 *29719:A *28708:D 0.000178847 -6 *29719:A *1252:146 0.000142703 -7 *29719:A *2788:25 6.05161e-06 -8 *29719:A *3153:164 4.13496e-05 -*RES -1 *27147:X *29719:A 25.225 -*END - -*D_NET *1042 0.00140979 -*CONN -*I *29970:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27148:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29970:A 0.000190578 -2 *27148:X 0.000190578 -3 *29970:A *27126:A 0.000265453 -4 *29970:A *1286:117 9.77956e-05 -5 *29970:A *3705:14 0.00010965 -6 *29970:A *4056:18 0.000307272 -7 *29970:A *5683:57 0.000154305 -8 *29970:A *5695:67 9.41642e-05 -*RES -1 *27148:X *29970:A 34.2607 -*END - -*D_NET *1043 0.000816999 -*CONN -*I *30176:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27149:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30176:A 0.000222258 -2 *27149:X 0.000222258 -3 *30176:A *25147:A2 4.25569e-05 -4 *30176:A *27756:A2 8.69375e-05 -5 *30176:A *4133:28 0.000136388 -6 *30176:A *5646:213 5.33005e-05 -7 *30176:A *5650:280 5.33005e-05 -*RES -1 *27149:X *30176:A 31.4393 -*END - -*D_NET *1044 0.00236183 -*CONN -*I *29948:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27150:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29948:A 0.000446386 -2 *27150:X 0.000446386 -3 *29948:A *27150:A1 2.44318e-05 -4 *29948:A *27150:S 0.000263932 -5 *29948:A *28711:D 0.000147716 -6 *29948:A *2791:13 0.000135028 -7 *29948:A *3538:75 0.000139412 -8 *29948:A *5683:96 7.45591e-05 -9 *29948:A *5921:8 0.000683983 -*RES -1 *27150:X *29948:A 30.2429 -*END - -*D_NET *1045 0.00134529 -*CONN -*I *30428:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27151:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30428:A 0.000188773 -2 *27151:X 0.000188773 -3 *30428:A *28712:CLK 0.00034188 -4 *30428:A *28712:D 0.0003209 -5 *30428:A *3798:15 0.000125724 -6 *30428:A *5627:162 5.52302e-05 -7 *30428:A *5633:41 0.00012401 -*RES -1 *27151:X *30428:A 33.1893 -*END - -*D_NET *1046 0.00419005 -*CONN -*I *29943:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27152:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29943:A 0.000622864 -2 *27152:X 0.000622864 -3 *29943:A *1853:64 0.000636189 -4 *29943:A *2767:142 0.000224685 -5 *29943:A *2776:231 0.000538266 -6 *29943:A *3153:300 9.60939e-05 -7 *29943:A *3206:293 0.000614769 -8 *29943:A *3215:309 0.00012294 -9 *29943:A *3582:8 0.000467426 -10 *29943:A *3582:121 0.000122591 -11 *29943:A *5668:50 0.000121358 -*RES -1 *27152:X *29943:A 46.6179 -*END - -*D_NET *1047 0.00078936 -*CONN -*I *29385:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27154:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29385:A 0.000314388 -2 *27154:X 0.000314388 -3 *29385:A *5063:15 0.000102676 -4 *29385:A *5063:17 2.90075e-05 -5 *29385:A *5685:205 2.89016e-05 -*RES -1 *27154:X *29385:A 23.6714 -*END - -*D_NET *1048 0.0046632 -*CONN -*I *30495:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27155:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30495:A 0.000947857 -2 *27155:X 0.000947857 -3 *30495:A *28138:RESET_B 9.54536e-05 -4 *30495:A *1247:25 0.000878597 -5 *30495:A *3984:5 5.33005e-05 -6 *30495:A *3984:10 0.000251423 -7 *30495:A *4113:86 5.33005e-05 -8 *30495:A *4113:98 0.00050829 -9 *30495:A *4142:26 0 -10 *30495:A *5076:19 7.48087e-05 -11 *30495:A *5741:68 4.29696e-05 -12 *30495:A *5838:31 0.000809342 -*RES -1 *27155:X *30495:A 46.225 -*END - -*D_NET *1049 0.00142056 -*CONN -*I *29216:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27156:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29216:A 0.00042673 -2 *27156:X 0.00042673 -3 *29216:A *2789:25 0 -4 *29216:A *3983:24 4.46186e-06 -5 *29216:A *3983:39 0.000158416 -6 *29216:A *3983:52 0.000108538 -7 *29216:A *5665:204 0.000295687 -*RES -1 *27156:X *29216:A 36.9929 -*END - -*D_NET *1050 0.00121792 -*CONN -*I *30360:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27157:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30360:A 0.000203334 -2 *27157:X 0.000203334 -3 *30360:A *27157:A1 0.000116285 -4 *30360:A *28717:D 8.25843e-06 -5 *30360:A *3212:99 0.000109263 -6 *30360:A *3935:32 0.000554221 -7 *374:18 *30360:A 2.32275e-05 -*RES -1 *27157:X *30360:A 24.4929 -*END - -*D_NET *1051 0.00294203 -*CONN -*I *30253:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27158:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30253:A 0.000695178 -2 *27158:X 0.000695178 -3 *30253:A *27158:A1 2.44318e-05 -4 *30253:A *3637:22 0.000348418 -5 *30253:A *3697:33 3.82063e-05 -6 *30253:A *4064:37 6.35864e-05 -7 *30253:A *4103:40 0.000487627 -8 *400:14 *30253:A 0.000589407 -*RES -1 *27158:X *30253:A 40.3857 -*END - -*D_NET *1052 0.00203285 -*CONN -*I *29264:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27160:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29264:A 0.000349944 -2 *27160:X 0.000349944 -3 *29264:A *27160:A0 0.000135028 -4 *29264:A *27332:C 0.000170491 -5 *29264:A *28719:D 0.000365989 -6 *29264:A *2894:21 2.79509e-06 -7 *29264:A *2894:26 0.000512887 -8 *29264:A *3985:19 0.000123126 -9 *29264:A *5750:217 2.26424e-05 -*RES -1 *27160:X *29264:A 36.6893 -*END - -*D_NET *1053 0.000821672 -*CONN -*I *29894:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27161:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29894:A 0.000206248 -2 *27161:X 0.000206248 -3 *29894:A *1290:34 0.000114854 -4 *29894:A *5667:312 0.000179469 -5 *29894:A *5707:103 0.000106231 -6 *29894:A *5707:113 8.6229e-06 -*RES -1 *27161:X *29894:A 31.0107 -*END - -*D_NET *1054 0.00165307 -*CONN -*I *29776:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27162:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29776:A 0.000389338 -2 *27162:X 0.000389338 -3 *29776:A *27162:A1 2.1768e-05 -4 *29776:A *27357:A2 0.000181036 -5 *29776:A *28721:D 0.000209205 -6 *29776:A *29775:A 2.83425e-05 -7 *29776:A *1844:138 9.58217e-05 -8 *29776:A *2880:137 8.99652e-05 -9 *29776:A *3538:24 0.0001275 -10 *29776:A *3990:10 0.000120755 -*RES -1 *27162:X *29776:A 36.85 -*END - -*D_NET *1055 0.00211176 -*CONN -*I *29712:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27163:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29712:A 0.000322367 -2 *27163:X 0.000322367 -3 *29712:A *24854:A 0.000180075 -4 *29712:A *25176:A1 0.000128409 -5 *29712:A *28473:CLK 1.46717e-05 -6 *29712:A *28721:CLK 5.49544e-05 -7 *29712:A *2786:112 0.00047567 -8 *29712:A *2894:181 0.00018077 -9 *29712:A *3991:11 2.84109e-05 -10 *29712:A *3991:29 0.000404065 -*RES -1 *27163:X *29712:A 38.0643 -*END - -*D_NET *1056 0.00132941 -*CONN -*I *29770:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27164:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29770:A 0.000229866 -2 *27164:X 0.000229866 -3 *29770:A *25263:B2 6.86792e-05 -4 *29770:A *28723:D 0.000114468 -5 *29770:A *2790:77 9.71197e-05 -6 *29770:A *3173:17 0.000589414 -*RES -1 *27164:X *29770:A 24.9036 -*END - -*D_NET *1057 0.00165481 -*CONN -*I *29772:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27165:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29772:A 0.000189184 -2 *27165:X 0.000189184 -3 *29772:A *27049:S 0.000107342 -4 *29772:A *27165:A0 0.000145646 -5 *29772:A *27165:A1 5.49544e-05 -6 *29772:A *27165:S 0.000172965 -7 *29772:A *1244:62 0 -8 *29772:A *3993:32 0.000220094 -9 *29772:A *5694:183 0.000481276 -10 *29772:A *5926:152 9.41642e-05 -*RES -1 *27165:X *29772:A 35.1 -*END - -*D_NET *1058 0.00164467 -*CONN -*I *30484:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27166:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30484:A 0.000310375 -2 *27166:X 0.000310375 -3 *30484:A *27166:S 9.99853e-05 -4 *30484:A *27807:C1 0.000310241 -5 *30484:A *3952:35 0.000310241 -6 *30484:A *3982:46 0.000303456 -7 *30484:A *5642:27 0 -*RES -1 *27166:X *30484:A 35.6179 -*END - -*D_NET *1059 0.000329159 -*CONN -*I *30292:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27167:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30292:A 0.000105913 -2 *27167:X 0.000105913 -3 *30292:A *1310:11 5.49544e-05 -4 *30292:A *3982:46 6.2379e-05 -5 *30292:A *5753:32 0 -*RES -1 *27167:X *30292:A 29.7786 -*END - -*D_NET *1060 0.00420658 -*CONN -*I *29141:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27169:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29141:A 0 -2 *27169:X 0.00134421 -3 *1060:17 0.00134421 -4 *1060:17 *27169:A1 2.34204e-05 -5 *1060:17 *28638:D 0.000144951 -6 *1060:17 *28638:RESET_B 3.90452e-05 -7 *1060:17 *28682:D 0.000478632 -8 *1060:17 *30266:A 0.00051495 -9 *1060:17 *1862:82 7.83659e-05 -10 *1060:17 *3836:7 0.000166416 -11 *1060:17 *4912:10 7.23744e-05 -*RES -1 *27169:X *1060:17 41.925 -2 *1060:17 *29141:A 9.3 -*END - -*D_NET *1061 0.000723185 -*CONN -*I *30459:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27170:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30459:A 0.000140283 -2 *27170:X 0.000140283 -3 *30459:A *28728:D 0.000125731 -4 *30459:A *3601:50 0 -5 *30459:A *5708:9 0 -6 *30459:A *5725:17 0.000316887 -*RES -1 *27170:X *30459:A 31.6714 -*END - -*D_NET *1062 0.00235942 -*CONN -*I *29227:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27171:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29227:A 0.000805159 -2 *27171:X 0.000805159 -3 *29227:A *2759:49 0 -4 *29227:A *2759:68 0 -5 *29227:A *2791:173 0.000105471 -6 *29227:A *3226:8 0.000112777 -7 *29227:A *3599:16 0.000110694 -8 *29227:A *4029:24 0.000210081 -9 *29227:A *5639:229 0 -10 *29227:A *5824:150 0 -11 *29227:A *5824:153 0.000210081 -12 *29227:A *5824:213 0 -*RES -1 *27171:X *29227:A 41.7789 -*END - -*D_NET *1063 0.00582632 -*CONN -*I *29967:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27172:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29967:A 0.00153313 -2 *27172:X 0.00153313 -3 *29967:A *26953:A1 0 -4 *29967:A *28987:A 5.71472e-05 -5 *29967:A *29966:A 0.000230792 -6 *29967:A *29975:A 3.93102e-05 -7 *29967:A *1083:15 5.43464e-05 -8 *29967:A *2766:57 5.91106e-05 -9 *29967:A *2769:209 8.88357e-06 -10 *29967:A *3609:77 5.52238e-05 -11 *29967:A *3926:29 0.000607754 -12 *29967:A *5702:202 3.47641e-06 -13 *29967:A *5775:171 0.000268189 -14 *29967:A *5926:66 7.07921e-05 -15 *29967:A *6218:16 0.000470164 -16 *29967:A *6277:9 4.05977e-05 -17 *866:20 *29967:A 0.000794266 -*RES -1 *27172:X *29967:A 42.8911 -*END - -*D_NET *1064 0.000678697 -*CONN -*I *30207:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27173:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30207:A 7.56081e-05 -2 *27173:X 7.56081e-05 -3 *30207:A *1269:98 0.000139202 -4 *30207:A *4070:48 0.000125724 -5 *30207:A *4122:43 0.000123352 -6 *30207:A *5590:79 0.000139202 -*RES -1 *27173:X *30207:A 30.6 -*END - -*D_NET *1065 0.00145185 -*CONN -*I *29952:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27174:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29952:A 0.000333006 -2 *27174:X 0.000333006 -3 *29952:A *27174:A0 2.14757e-05 -4 *29952:A *27174:A1 1.02821e-05 -5 *29952:A *27174:S 0.000175892 -6 *29952:A *28732:D 0.000212059 -7 *29952:A *3783:24 0.000345257 -8 *29952:A *5586:51 2.08721e-05 -*RES -1 *27174:X *29952:A 25.725 -*END - -*D_NET *1066 0.000977392 -*CONN -*I *30437:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27175:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30437:A 0.000261658 -2 *27175:X 0.000261658 -3 *30437:A *27175:S 5.20669e-05 -4 *30437:A *28733:D 9.86488e-05 -5 *30437:A *3982:56 0.000303361 -*RES -1 *27175:X *30437:A 33.1536 -*END - -*D_NET *1067 0.00225642 -*CONN -*I *30038:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27176:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30038:A 0.000364123 -2 *27176:X 0.000364123 -3 *30038:A *27176:A0 0.000347785 -4 *30038:A *27176:A1 0.000306921 -5 *30038:A *2791:102 9.94194e-05 -6 *30038:A *2874:190 4.43046e-05 -7 *30038:A *2886:191 4.58194e-05 -8 *30038:A *3153:165 0.000340059 -9 *30038:A *5601:23 9.60875e-05 -10 *30038:A *5756:29 0.000247778 -*RES -1 *27176:X *30038:A 38.4036 -*END - -*D_NET *1068 0.000390451 -*CONN -*I *29097:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27178:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29097:A 0.000130867 -2 *27178:X 0.000130867 -3 *29097:A *28735:SET_B 4.79842e-05 -4 *29097:A *1823:12 8.07313e-05 -5 *29097:A *4011:23 0 -*RES -1 *27178:X *29097:A 30.6 -*END - -*D_NET *1069 0.00228962 -*CONN -*I *29896:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27179:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29896:A 0.000534827 -2 *27179:X 0.000534827 -3 *29896:A *28612:RESET_B 0.000157722 -4 *29896:A *28736:D 5.33005e-05 -5 *29896:A *3196:42 0.00051403 -6 *29896:A *3569:5 5.33005e-05 -7 *29896:A *5486:20 0.000299666 -8 *29896:A *5750:63 0.000141944 -*RES -1 *27179:X *29896:A 37.2607 -*END - -*D_NET *1070 0.00227765 -*CONN -*I *29286:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27180:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29286:A 0.000669219 -2 *27180:X 0.000669219 -3 *29286:A *27180:A1 0.000604179 -4 *29286:A *28737:RESET_B 0.000135028 -5 *29286:A *2763:196 5.68913e-05 -6 *29286:A *2792:26 2.24079e-05 -7 *29286:A *4094:12 0.000120708 -*RES -1 *27180:X *29286:A 37.1714 -*END - -*D_NET *1071 0.00372831 -*CONN -*I *30120:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27181:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30120:A 0.0010507 -2 *27181:X 0.0010507 -3 *30120:A *28738:D 0.000409633 -4 *30120:A *29416:A 0.000338915 -5 *30120:A *4017:7 5.33005e-05 -6 *30120:A *5187:15 0.000480517 -7 *30120:A *5859:14 4.15914e-05 -8 *30120:A *5913:15 7.83587e-05 -9 *30120:A *6221:16 0.00022459 -*RES -1 *27181:X *30120:A 45.7607 -*END - -*D_NET *1072 0.00254712 -*CONN -*I *29765:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27182:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29765:A 0.000387737 -2 *27182:X 0.000387737 -3 *29765:A *28739:D 2.2628e-05 -4 *29765:A *2860:31 0.00024223 -5 *29765:A *3572:34 0.000104979 -6 *29765:A *3572:36 0.000193431 -7 *29765:A *5486:22 0.000532853 -8 *29765:A *5608:28 0.000475261 -9 *29765:A *6067:17 0.00018984 -10 *29765:A *6319:25 1.04232e-05 -*RES -1 *27182:X *29765:A 40.0821 -*END - -*D_NET *1073 0.00301493 -*CONN -*I *30584:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27183:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30584:A 0.000450256 -2 *27183:X 0.000450256 -3 *30584:A *24976:A1 6.09476e-05 -4 *30584:A *3582:72 0.000353086 -5 *30584:A *3610:7 6.42095e-05 -6 *30584:A *3965:134 6.32633e-05 -7 *30584:A *4136:60 0.000444941 -8 *30584:A *4827:14 6.82669e-05 -9 *30584:A *4829:13 0.000856291 -10 *30584:A *5881:13 0.000203411 -*RES -1 *27183:X *30584:A 42.9214 -*END - -*D_NET *1074 0.00135588 -*CONN -*I *30443:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27184:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30443:A 0.000236171 -2 *27184:X 0.000236171 -3 *30443:A *27184:A1 9.94194e-05 -4 *30443:A *27184:S 9.41642e-05 -5 *30443:A *2764:209 0.000597907 -6 *30443:A *5589:180 9.20484e-05 -*RES -1 *27184:X *30443:A 24.9036 -*END - -*D_NET *1075 0.00330256 -*CONN -*I *29963:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27185:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29963:A 0.000975701 -2 *27185:X 0.000975701 -3 *29963:A *30258:A 6.24558e-05 -4 *29963:A *2794:37 0 -5 *29963:A *3538:76 0.0012887 -*RES -1 *27185:X *29963:A 41.6893 -*END - -*D_NET *1076 0.00129306 -*CONN -*I *29101:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27187:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29101:A 0.000236208 -2 *27187:X 0.000236208 -3 *29101:A *28706:SET_B 9.96264e-05 -4 *29101:A *2780:261 0.000113369 -5 *29101:A *5716:50 5.52238e-05 -6 *29101:A *5778:149 5.55935e-05 -7 *29101:A *5833:191 0.000496829 -*RES -1 *27187:X *29101:A 34.6357 -*END - -*D_NET *1077 0.0037341 -*CONN -*I *30456:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27188:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30456:A 0.00082342 -2 *27188:X 0.00082342 -3 *30456:A *28744:D 0.000194853 -4 *30456:A *30341:A 0.000182179 -5 *30456:A *3836:10 0.000683044 -6 *30456:A *3907:108 0.000440032 -7 *30456:A *4076:8 0.000162202 -8 *30456:A *4083:10 0.000320428 -9 *30456:A *5896:17 0.000104523 -*RES -1 *27188:X *30456:A 48.6893 -*END - -*D_NET *1078 0.00173203 -*CONN -*I *29116:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27189:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29116:A 0.000249197 -2 *27189:X 0.000249197 -3 *29116:A *28745:D 0.000129607 -4 *29116:A *28745:RESET_B 0.000214528 -5 *29116:A *29702:A 0.000486447 -6 *29116:A *1863:14 0.000125724 -7 *29116:A *2794:95 0.000127439 -8 *29116:A *5896:17 0.000149895 -*RES -1 *27189:X *29116:A 36.7607 -*END - -*D_NET *1079 0.0041326 -*CONN -*I *29974:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27190:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29974:A 0.00065015 -2 *27190:X 0.00065015 -3 *29974:A *26986:A0 0.00022266 -4 *29974:A *26986:A1 0.000265447 -5 *29974:A *27190:A0 0.000357054 -6 *29974:A *27190:A1 0.000442628 -7 *29974:A *2786:136 0.000590934 -8 *29974:A *2786:172 0.0001065 -9 *29974:A *5829:42 0.000691865 -10 *29974:A *5912:54 4.41e-05 -11 *29974:A *5912:67 5.72869e-05 -12 *29981:A *29974:A 5.38242e-05 -*RES -1 *27190:X *29974:A 47.2429 -*END - -*D_NET *1080 0.000978451 -*CONN -*I *30209:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27191:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30209:A 0.000301439 -2 *27191:X 0.000301439 -3 *30209:A *27191:A0 8.95645e-05 -4 *30209:A *27191:A1 9.58689e-05 -5 *30209:A *5795:19 6.8445e-06 -6 *30209:A *5912:100 6.01582e-05 -7 *30209:A *5914:64 0.000123137 -*RES -1 *27191:X *30209:A 32.2429 -*END - -*D_NET *1081 0.00248332 -*CONN -*I *30577:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27192:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30577:A 0.000373242 -2 *27192:X 0.000373242 -3 *30577:A *27150:A1 3.22692e-05 -4 *30577:A *27192:A0 5.33005e-05 -5 *30577:A *27192:S 0.000598355 -6 *30577:A *28748:D 0.00053536 -7 *30577:A *5863:25 0.000517549 -*RES -1 *27192:X *30577:A 30.5821 -*END - -*D_NET *1082 0.00464225 -*CONN -*I *29240:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27193:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29240:A 0 -2 *27193:X 0.000870074 -3 *1082:20 0.000870074 -4 *1082:20 *27194:A1 0.000559334 -5 *1082:20 *28738:RESET_B 0.000137224 -6 *1082:20 *28740:CLK 6.68577e-05 -7 *1082:20 *28740:D 0.000250344 -8 *1082:20 *28740:RESET_B 0.000135983 -9 *1082:20 *28910:A 0.000730854 -10 *1082:20 *4818:9 3.58106e-05 -11 *1082:20 *5187:15 0.00058593 -12 *1082:20 *5776:142 0.000197984 -13 *29813:A *1082:20 0.000201783 -*RES -1 *27193:X *1082:20 43.6571 -2 *1082:20 *29240:A 9.3 -*END - -*D_NET *1083 0.00550222 -*CONN -*I *29918:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27194:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29918:A 1.13799e-05 -2 *27194:X 0.00181777 -3 *1083:15 0.00182915 -4 *29918:A *5181:22 5.71472e-05 -5 *29918:A *6222:14 2.89114e-05 -6 *1083:15 *24827:A 0 -7 *1083:15 *24832:A 0 -8 *1083:15 *27194:A0 0.00018372 -9 *1083:15 *27194:A1 0.000323805 -10 *1083:15 *28457:D 0 -11 *1083:15 *3609:64 0.000389947 -12 *1083:15 *3916:14 9.71482e-05 -13 *1083:15 *3916:18 9.19272e-05 -14 *1083:15 *3978:130 0 -15 *1083:15 *4034:42 0.000360171 -16 *1083:15 *5680:40 0 -17 *1083:15 *5701:58 7.69776e-06 -18 *1083:15 *5788:18 1.83954e-05 -19 *1083:15 *5912:54 7.49387e-06 -20 *1083:15 *6218:16 0 -21 *1083:15 *6222:14 7.02907e-05 -22 *1083:15 *6223:17 1.90936e-05 -23 *1083:15 *6286:16 8.6229e-06 -24 *29967:A *1083:15 5.43464e-05 -25 *787:14 *1083:15 6.2589e-06 -26 *869:15 *1083:15 0 -27 *972:21 *1083:15 3.5707e-05 -28 *974:20 *1083:15 8.3237e-05 -*RES -1 *27194:X *1083:15 49.6036 -2 *1083:15 *29918:A 9.83571 -*END - -*D_NET *1084 0.000331028 -*CONN -*I *29143:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27196:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29143:A 3.8068e-05 -2 *27196:X 3.8068e-05 -3 *29143:A *28751:SET_B 0.000127446 -4 *29143:A *1835:6 0.000127446 -*RES -1 *27196:X *29143:A 29.2429 -*END - -*D_NET *1085 0.0017605 -*CONN -*I *30476:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27197:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30476:A 0.000404843 -2 *27197:X 0.000404843 -3 *30476:A *28752:D 1.58163e-05 -4 *30476:A *28752:SET_B 5.33005e-05 -5 *30476:A *2794:141 0.000177815 -6 *30476:A *2794:163 4.08637e-05 -7 *30476:A *2917:16 0.000213004 -8 *30476:A *3730:28 0.000211282 -9 *30476:A *3739:128 9.41642e-05 -10 *30476:A *5609:165 0.000144571 -*RES -1 *27197:X *30476:A 36.0464 -*END - -*D_NET *1086 0.00150414 -*CONN -*I *29197:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27198:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29197:A 0.000420522 -2 *27198:X 0.000420522 -3 *29197:A *25314:A2 1.33343e-05 -4 *29197:A *27198:A0 8.46507e-06 -5 *29197:A *27198:A1 0.000136958 -6 *29197:A *2794:102 0.000124964 -7 *29197:A *3846:8 0.000311887 -8 *29197:A *5824:153 6.74867e-05 -*RES -1 *27198:X *29197:A 35.0821 -*END - -*D_NET *1087 0.00342537 -*CONN -*I *30249:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27199:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30249:A 0.000294249 -2 *27199:X 0.000294249 -3 *30249:A *28993:A 0.000699626 -4 *30249:A *3924:18 2.71936e-05 -5 *30249:A *4446:13 9.77884e-05 -6 *30249:A *5544:11 0.00129739 -7 *30249:A *5716:244 0.00014759 -8 *1024:19 *30249:A 0.000567284 -*RES -1 *27199:X *30249:A 41.9929 -*END - -*D_NET *1088 0.00115243 -*CONN -*I *29672:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27200:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29672:A 0.000196908 -2 *27200:X 0.000196908 -3 *29672:A *26978:S 5.33005e-05 -4 *29672:A *28755:RESET_B 0.000102784 -5 *29672:A *1519:26 5.33005e-05 -6 *29672:A *1633:16 0.000118971 -7 *29672:A *3162:18 0.000395117 -8 *29672:A *5708:46 3.51442e-05 -*RES -1 *27200:X *29672:A 33.4214 -*END - -*D_NET *1089 0.00313982 -*CONN -*I *29094:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27201:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29094:A 0.000862396 -2 *27201:X 0.000862396 -3 *29094:A *28756:D 0.000163605 -4 *29094:A *29421:A 4.72818e-05 -5 *29094:A *2794:37 0.000293388 -6 *29094:A *3582:72 0.000309024 -7 *29094:A *5191:15 0.000601725 -8 *29094:A *5881:13 0 -*RES -1 *27201:X *29094:A 42.4393 -*END - -*D_NET *1090 0.000827805 -*CONN -*I *30434:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27202:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30434:A 0.00015509 -2 *27202:X 0.00015509 -3 *30434:A *3009:9 0.000169442 -4 *30434:A *4150:31 4.00679e-05 -5 *30434:A *5647:41 0.000171157 -6 *30434:A *5756:60 0.000136958 -*RES -1 *27202:X *30434:A 31.9393 -*END - -*D_NET *1091 0.000627802 -*CONN -*I *29928:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27203:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29928:A 0.000128948 -2 *27203:X 0.000128948 -3 *29928:A *3965:130 0.000122091 -4 *29928:A *4033:8 0 -5 *29928:A *4085:16 0.000125724 -6 *29928:A *5863:25 0.000122091 -*RES -1 *27203:X *29928:A 31.4214 -*END - -*D_NET *1092 0.00131132 -*CONN -*I *29168:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27205:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29168:A 0.000204796 -2 *27205:X 0.000204796 -3 *29168:A *27205:S 9.71197e-05 -4 *29168:A *2764:43 0.000172725 -5 *29168:A *5716:68 9.60875e-05 -6 *29168:A *5716:77 9.90431e-05 -7 *29168:A *5716:121 0.000309273 -8 *29168:A *5863:77 0.000127476 -*RES -1 *27205:X *29168:A 24.7964 -*END - -*D_NET *1093 0.00176811 -*CONN -*I *29413:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27206:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29413:A 0.000231154 -2 *27206:X 0.000231154 -3 *29413:A *3603:95 0.000219366 -4 *29413:A *3846:8 0.00021962 -5 *29413:A *5709:272 0.000558329 -6 *29413:A *5795:44 8.88686e-05 -7 *29413:A *5824:153 0.00021962 -*RES -1 *27206:X *29413:A 35.9214 -*END - -*D_NET *1094 0.00114888 -*CONN -*I *29841:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27207:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29841:A 0.000232694 -2 *27207:X 0.000232694 -3 *29841:A *27376:B1 0.00019205 -4 *29841:A *28761:D 0.000219711 -5 *29841:A *3209:21 2.28598e-05 -6 *29841:A *3938:38 0.000193636 -7 *29841:A *4055:10 5.52302e-05 -*RES -1 *27207:X *29841:A 33.475 -*END - -*D_NET *1095 0.00411473 -*CONN -*I *29978:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27208:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29978:A 0.00138967 -2 *27208:X 0.00138967 -3 *29978:A *26954:A1 9.58632e-05 -4 *29978:A *26954:S 2.85321e-05 -5 *29978:A *3582:44 0.000240625 -6 *29978:A *3608:10 2.53112e-06 -7 *29978:A *3939:104 0.000118187 -8 *29978:A *5829:207 0.000551498 -9 *29978:A *5863:60 0.000243824 -10 *29978:A *5905:16 0 -11 *29978:A *6201:11 5.43182e-05 -*RES -1 *27208:X *29978:A 49.5107 -*END - -*D_NET *1096 0.0017313 -*CONN -*I *29665:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27209:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29665:A 0.000387791 -2 *27209:X 0.000387791 -3 *29665:A *27407:A2 0.00034188 -4 *29665:A *5593:33 0.000306919 -5 *29665:A *5795:70 0.000306919 -*RES -1 *27209:X *29665:A 35.0821 -*END - -*D_NET *1097 0.00232145 -*CONN -*I *29064:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27210:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29064:A 0.000548151 -2 *27210:X 0.000548151 -3 *29064:A *27210:A1 1.14338e-05 -4 *29064:A *27210:S 0.000100166 -5 *29064:A *27771:A1 9.27826e-05 -6 *29064:A *2759:31 3.32758e-05 -7 *29064:A *3378:8 2.12875e-05 -8 *29064:A *3796:173 5.49544e-05 -9 *29064:A *4058:15 0.00038527 -10 *29064:A *4222:21 5.15972e-05 -11 *29064:A *5774:185 0.00038527 -12 *29064:A *5863:117 6.09762e-05 -13 *29064:A *5863:132 1.93327e-05 -14 *29064:A *5925:26 8.79856e-06 -*RES -1 *27210:X *29064:A 41.5285 -*END - -*D_NET *1098 0.0021733 -*CONN -*I *30488:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27211:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30488:A 0.000446493 -2 *27211:X 0.000446493 -3 *30488:A *24980:B1 0.000137561 -4 *30488:A *27211:A1 2.59355e-05 -5 *30488:A *27211:S 0.00026432 -6 *30488:A *28765:D 0.000175892 -7 *30488:A *3995:32 0.000307622 -8 *30488:A *4007:6 0.000309336 -9 *30488:A *5606:55 5.96516e-05 -*RES -1 *27211:X *30488:A 37.2607 -*END - -*D_NET *1099 0.00301979 -*CONN -*I *30269:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27212:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30269:A 0.000787713 -2 *27212:X 0.000787713 -3 *30269:A *28766:CLK 0.000183726 -4 *30269:A *28766:D 0.000183726 -5 *30269:A *4060:11 7.79937e-05 -6 *30269:A *5709:327 0.000907929 -7 *30269:A *5709:332 2.69219e-05 -8 *30269:A *5825:8 3.91192e-05 -9 *30269:A *5847:8 2.49484e-05 -*RES -1 *27212:X *30269:A 40.5821 -*END - -*D_NET *1100 0.00268114 -*CONN -*I *28767:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *27214:X O *D sky130_fd_sc_hd__o311a_1 -*CAP -1 *28767:D 0.000453938 -2 *27214:X 0.000453938 -3 *28767:D *27214:A1 3.56764e-05 -4 *28767:D *27844:A0 2.89016e-05 -5 *28767:D *5713:203 0.000348435 -6 *28767:D *6311:43 0.000446697 -7 *28767:D *6320:71 0.000593899 -8 *28767:D *6387:54 1.33343e-05 -9 *29796:A *28767:D 0.000306317 -*RES -1 *27214:X *28767:D 41.2786 -*END - -*D_NET *1101 0.00224017 -*CONN -*I *28768:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *27223:X O *D sky130_fd_sc_hd__and3_1 -*CAP -1 *28768:D 0.000732211 -2 *27223:X 0.000732211 -3 *28768:D *5747:21 7.55769e-05 -4 *28768:D *6178:17 0.000443007 -5 *28768:D *6314:75 0.000181586 -6 *29876:A *28768:D 7.55769e-05 -*RES -1 *27223:X *28768:D 37.4437 -*END - -*D_NET *1102 0.00906315 -*CONN -*I *28769:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *27226:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *28769:D 0.000752456 -2 *27226:X 0.00197974 -3 *1102:18 0.0027322 -4 *28769:D *25046:B2 0.000122091 -5 *28769:D *25524:A 0 -6 *28769:D *27225:A2 0 -7 *28769:D *5437:9 0.000705512 -8 *28769:D *5523:93 8.51561e-05 -9 *28769:D *5537:14 0 -10 *28769:D *6179:19 8.90199e-05 -11 *1102:18 *27222:B 1.30694e-05 -12 *1102:18 *27223:C 0.000353086 -13 *1102:18 *27226:A2 5.17614e-05 -14 *1102:18 *27226:B1 1.40415e-05 -15 *1102:18 *27226:B2 0.000628259 -16 *1102:18 *29032:A 5.05056e-05 -17 *1102:18 *1624:16 9.80173e-05 -18 *1102:18 *2800:55 0.000416494 -19 *1102:18 *4463:19 1.0306e-05 -20 *1102:18 *6104:19 0.000315165 -21 *30591:A *1102:18 0.000184647 -22 *30602:A *1102:18 0 -23 *14:24 *28769:D 0 -24 *14:24 *1102:18 0.000397918 -25 *15:18 *28769:D 0 -26 *40:30 *28769:D 6.37081e-05 -*RES -1 *27226:X *1102:18 47.9607 -2 *1102:18 *28769:D 31.2464 -*END - -*D_NET *1103 0.0087869 -*CONN -*I *28770:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *27230:X O *D sky130_fd_sc_hd__and3_1 -*CAP -1 *28770:D 0.00086184 -2 *27230:X 0.00128364 -3 *1103:24 0.00214548 -4 *28770:D *27079:S 0.000139907 -5 *28770:D *27223:A 9.60875e-05 -6 *28770:D *27223:C 6.04072e-05 -7 *28770:D *28648:RESET_B 0 -8 *28770:D *1254:40 0.00011981 -9 *28770:D *1368:17 7.14469e-05 -10 *28770:D *2799:18 0.000476631 -11 *28770:D *4574:37 0.000134362 -12 *28770:D *6314:75 0.000102748 -13 *1103:24 *25519:B 2.70189e-05 -14 *1103:24 *27078:S 1.71705e-05 -15 *1103:24 *27079:A0 2.26312e-05 -16 *1103:24 *27227:A1 5.33005e-05 -17 *1103:24 *27230:A 0.000669194 -18 *1103:24 *27230:B 9.84757e-05 -19 *1103:24 *27272:D_N 5.27358e-05 -20 *1103:24 *2797:19 0.000173364 -21 *1103:24 *2800:80 2.59355e-05 -22 *1103:24 *2803:20 0.000554558 -23 *1103:24 *2805:40 7.62547e-05 -24 *1103:24 *2809:24 0.000116226 -25 *1103:24 *5129:18 6.53937e-05 -26 *1103:24 *5352:22 2.63902e-05 -27 *1103:24 *5539:33 7.29646e-05 -28 *1103:24 *6191:10 6.53937e-05 -29 *1103:24 *6380:46 0.000155212 -30 *1103:24 *6380:58 7.87779e-05 -31 *1103:24 *6380:89 0.000178117 -32 *1103:24 *6381:101 4.22135e-06 -33 *1103:24 *6381:120 5.41326e-05 -34 *1103:24 *6382:26 9.60337e-06 -35 *29876:A *28770:D 0.000697471 -*RES -1 *27230:X *1103:24 45.5892 -2 *1103:24 *28770:D 48.55 -*END - -*D_NET *1104 0.00769078 -*CONN -*I *28771:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *27232:X O *D sky130_fd_sc_hd__o21a_1 -*CAP -1 *28771:D 0.000730473 -2 *27232:X 0.00067497 -3 *1104:16 0.00140544 -4 *28771:D *24974:C1 9.60939e-05 -5 *28771:D *25524:A 5.33005e-05 -6 *28771:D *25524:B 5.33005e-05 -7 *28771:D *27269:B 0.000106943 -8 *28771:D *27270:A1 7.02611e-05 -9 *28771:D *27273:A1_N 6.12434e-05 -10 *28771:D *29620:A 0.000178847 -11 *28771:D *2805:40 0.000239281 -12 *28771:D *5539:33 1.69961e-05 -13 *28771:D *5609:45 0.000107648 -14 *28771:D *5609:65 0.00114048 -15 *28771:D *5751:55 0.000114577 -16 *28771:D *6380:46 5.33005e-05 -17 *28771:D *6380:58 5.33005e-05 -18 *28771:D *6383:21 0.000181796 -19 *28771:D *6383:25 7.88577e-05 -20 *28771:D *6383:41 0.000170217 -21 *1104:16 *24974:A1 2.59355e-05 -22 *1104:16 *1245:142 0.000156145 -23 *1104:16 *1798:19 2.61076e-05 -24 *1104:16 *2810:15 1.56e-05 -25 *1104:16 *3878:22 4.21517e-05 -26 *1104:16 *4907:10 0.000104976 -27 *1104:16 *5129:18 0.000146721 -28 *1104:16 *5534:49 2.74743e-05 -29 *1104:16 *5539:33 0.000542571 -30 *12:20 *1104:16 8.44271e-06 -31 *23:22 *1104:16 0.000198899 -32 *39:39 *28771:D 0.000684626 -33 *39:39 *1104:16 0.0001238 -*RES -1 *27232:X *1104:16 30.9999 -2 *1104:16 *28771:D 32.0143 -*END - -*D_NET *1105 0.00174899 -*CONN -*I *28772:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *27235:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28772:D 0.000381829 -2 *27235:X 0.000381829 -3 *28772:D *28791:CLK 5.33005e-05 -4 *28772:D *29525:A 6.51414e-05 -5 *28772:D *29607:A 0.000142856 -6 *28772:D *1178:33 0.000185726 -7 *28772:D *1805:11 2.48255e-05 -8 *28772:D *3573:34 0.000259159 -9 *28772:D *3575:83 7.48091e-05 -10 *28772:D *5746:196 9.83991e-05 -11 *28772:D *6318:30 5.99725e-05 -12 *28772:D *6329:6 2.11419e-05 -*RES -1 *27235:X *28772:D 45.475 -*END - -*D_NET *1106 0.00177886 -*CONN -*I *28773:D I *D sky130_fd_sc_hd__dfstp_1 -*I *27241:X O *D sky130_fd_sc_hd__o32a_1 -*CAP -1 *28773:D 0.000355094 -2 *27241:X 0.000355094 -3 *28773:D *28778:D 0.000196908 -4 *28773:D *2776:23 4.58194e-05 -5 *28773:D *2776:48 0.000175543 -6 *28773:D *2825:12 0 -7 *28773:D *5530:44 0.000335705 -8 *28773:D *5585:99 0.000314699 -*RES -1 *27241:X *28773:D 37.85 -*END - -*D_NET *1107 0.00252655 -*CONN -*I *28774:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *27244:X O *D sky130_fd_sc_hd__a31o_1 -*CAP -1 *28774:D 0.000446007 -2 *27244:X 0.000446007 -3 *28774:D *25124:A1 7.87696e-05 -4 *28774:D *27398:A1 0.000553597 -5 *28774:D *28774:CLK 0.000171006 -6 *28774:D *3569:73 0.000137983 -7 *28774:D *3796:60 0.000237495 -8 *28774:D *5700:174 0.000235971 -9 *28774:D *6225:102 0.000219711 -*RES -1 *27244:X *28774:D 39.158 -*END - -*D_NET *1108 0.00154697 -*CONN -*I *28775:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *27247:X O *D sky130_fd_sc_hd__a31o_1 -*CAP -1 *28775:D 0.000419558 -2 *27247:X 0.000419558 -3 *28775:D *27246:B 2.89016e-05 -4 *28775:D *27248:A 6.7033e-05 -5 *28775:D *28776:SET_B 0.000282974 -6 *28775:D *2817:52 5.8148e-05 -7 *28775:D *5581:25 5.03772e-05 -8 *28775:D *5625:15 0.000176592 -9 *28775:D *5749:55 1.1594e-05 -10 *28775:D *5749:61 3.22325e-05 -*RES -1 *27247:X *28775:D 37.1536 -*END - -*D_NET *1109 0.000426625 -*CONN -*I *28776:D I *D sky130_fd_sc_hd__dfstp_4 -*I *27249:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28776:D 0.000115243 -2 *27249:X 0.000115243 -3 *28776:D *1323:5 5.41494e-05 -4 *28776:D *2849:30 6.42242e-05 -5 *28776:D *5608:28 7.77652e-05 -6 *28776:D *5667:113 0 -*RES -1 *27249:X *28776:D 30.6 -*END - -*D_NET *1110 0.00117599 -*CONN -*I *28777:D I *D sky130_fd_sc_hd__dfstp_1 -*I *27250:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28777:D 0.000291314 -2 *27250:X 0.000291314 -3 *28777:D *27240:B 0.000143624 -4 *28777:D *1289:55 0.000304387 -5 *28777:D *2839:117 0.000145346 -*RES -1 *27250:X *28777:D 32.5464 -*END - -*D_NET *1111 0.000881632 -*CONN -*I *28778:D I *D sky130_fd_sc_hd__dfstp_1 -*I *27254:X O *D sky130_fd_sc_hd__o32a_1 -*CAP -1 *28778:D 0.00025232 -2 *27254:X 0.00025232 -3 *28778:D *27254:A1 3.14163e-05 -4 *28778:D *2825:12 0 -5 *28778:D *5530:44 5.16338e-05 -6 *28778:D *6303:10 9.70328e-05 -7 *28773:D *28778:D 0.000196908 -*RES -1 *27254:X *28778:D 32.6179 -*END - -*D_NET *1112 0.0032509 -*CONN -*I *28779:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *27256:Y O *D sky130_fd_sc_hd__a21oi_1 -*CAP -1 *28779:D 0.000926343 -2 *27256:Y 0.000926343 -3 *28779:D *27255:A1 0 -4 *28779:D *27256:B1 2.89624e-05 -5 *28779:D *27259:C 0.000136958 -6 *28779:D *27260:A1 7.715e-05 -7 *28779:D *27261:B 0.000186049 -8 *28779:D *27439:A1 8.19299e-05 -9 *28779:D *27439:A2 0.000201115 -10 *28779:D *27440:A0 1.90431e-05 -11 *28779:D *27440:A1 5.62788e-05 -12 *28779:D *28781:D 0 -13 *28779:D *1244:53 8.10546e-05 -14 *28779:D *1278:29 0.000221641 -15 *28779:D *1803:11 4.17747e-05 -16 *28779:D *2828:10 0 -17 *28779:D *2830:11 6.25007e-05 -18 *28779:D *3796:36 6.86792e-05 -19 *28779:D *5485:131 9.21554e-05 -20 *28779:D *5751:167 4.29232e-05 -*RES -1 *27256:Y *28779:D 47.1893 -*END - -*D_NET *1113 0.00228916 -*CONN -*I *28780:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *27260:X O *D sky130_fd_sc_hd__a31o_1 -*CAP -1 *28780:D 0.000615703 -2 *27260:X 0.000615703 -3 *28780:D *27260:A2 0 -4 *28780:D *27260:B1 5.38813e-05 -5 *28780:D *2998:32 0.000571518 -6 *28780:D *4685:23 0.000353073 -7 *28780:D *6307:35 6.62077e-05 -8 *28780:D *6308:48 1.30731e-05 -9 *28780:D *6311:62 0 -*RES -1 *27260:X *28780:D 38.725 -*END - -*D_NET *1114 0.00256926 -*CONN -*I *28781:D I *D sky130_fd_sc_hd__dfstp_2 -*I *27263:Y O *D sky130_fd_sc_hd__a21oi_1 -*CAP -1 *28781:D 0.000432787 -2 *27263:Y 0.000432787 -3 *28781:D *27255:A1 0.00016909 -4 *28781:D *27255:A2 1.85668e-05 -5 *28781:D *27261:B 9.71197e-05 -6 *28781:D *28781:SET_B 5.36e-05 -7 *28781:D *2824:28 4.08637e-05 -8 *28781:D *2830:11 0.000204279 -9 *28781:D *2831:17 0 -10 *28781:D *5530:32 0.00077939 -11 *28781:D *5751:167 7.53309e-05 -12 *28781:D *6311:11 0.000265447 -13 *28779:D *28781:D 0 -*RES -1 *27263:Y *28781:D 39.9214 -*END - -*D_NET *1115 0.00359482 -*CONN -*I *28782:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *27268:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *28782:D 0.000565125 -2 *27268:X 0.000565125 -3 *28782:D *27284:A_N 0.000432474 -4 *28782:D *27284:B 6.34436e-05 -5 *28782:D *28617:CLK 9.60939e-05 -6 *28782:D *28617:RESET_B 0.000376218 -7 *28782:D *28782:RESET_B 0.00054319 -8 *28782:D *1323:5 0.000197489 -9 *28782:D *2849:15 0.000449021 -10 *28782:D *3019:8 0.000220448 -11 *28782:D *3216:26 3.40128e-05 -12 *28782:D *6385:141 5.2177e-05 -*RES -1 *27268:X *28782:D 46.3321 -*END - -*D_NET *1116 0.00129577 -*CONN -*I *28785:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *27271:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28785:D 0.000489135 -2 *27271:X 0.000489135 -3 *28785:D *5512:50 0.000190692 -4 *28785:D *5513:53 2.3131e-05 -5 *28785:D *5515:32 7.29712e-05 -6 *28785:D *6314:51 3.07101e-05 -*RES -1 *27271:X *28785:D 34.158 -*END - -*D_NET *1117 0.00813963 -*CONN -*I *28786:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *27273:X O *D sky130_fd_sc_hd__a2bb2o_1 -*CAP -1 *28786:D 0.000658574 -2 *27273:X 0.00170023 -3 *1117:13 0.0023588 -4 *28786:D *28786:CLK 0.000229701 -5 *28786:D *5570:23 5.54432e-05 -6 *28786:D *5969:10 2.59355e-05 -7 *1117:13 *26840:A1 0.00011746 -8 *1117:13 *27225:B1 3.34248e-05 -9 *1117:13 *27225:C1 3.39599e-05 -10 *1117:13 *27273:B2 0.000178847 -11 *1117:13 *28187:RESET_B 0.00013324 -12 *1117:13 *28189:CLK 0.000139913 -13 *1117:13 *2797:38 3.99023e-05 -14 *1117:13 *3575:126 0.000128496 -15 *1117:13 *3575:137 0.000126572 -16 *1117:13 *5513:53 0.000514893 -17 *1117:13 *5535:71 5.5418e-05 -18 *1117:13 *5570:23 0.000724834 -19 *1117:13 *5609:45 0.000179353 -20 *1117:13 *5720:87 0.000572633 -21 *1117:13 *5720:97 8.43535e-06 -22 *1117:13 *5969:10 1.67149e-05 -23 *1117:13 *6385:32 6.34436e-05 -24 *1117:13 *6385:122 1.38323e-05 -25 *30727:A *1117:13 2.95726e-05 -*RES -1 *27273:X *1117:13 48.4429 -2 *1117:13 *28786:D 18.5143 -*END - -*D_NET *1118 0.00228133 -*CONN -*I *28787:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *27334:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *28787:D 0.000610971 -2 *27334:X 0.000610971 -3 *28787:D *27671:A1 0.000111922 -4 *28787:D *27671:B1 0.000221815 -5 *28787:D *29035:A 6.14099e-05 -6 *28787:D *1252:83 0.000189651 -7 *28787:D *2877:25 8.55871e-05 -8 *28787:D *2891:11 0 -9 *28787:D *3782:66 2.06178e-05 -10 *28787:D *3796:62 4.19624e-06 -11 *28787:D *3847:35 0.000172779 -12 *28787:D *5386:11 0.000111354 -13 *28787:D *5629:132 3.80349e-05 -14 *28787:D *5687:114 4.20209e-05 -*RES -1 *27334:X *28787:D 42.5636 -*END - -*D_NET *1119 0.00178213 -*CONN -*I *29498:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27355:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29498:A 0.000450543 -2 *27355:X 0.000450543 -3 *29498:A *27039:A1 0.00013781 -4 *29498:A *29833:A 1.39154e-05 -5 *29498:A *2782:71 1.12506e-05 -6 *29498:A *2958:56 6.2589e-06 -7 *29498:A *3156:41 9.58126e-05 -8 *29498:A *3206:13 0.00027621 -9 *29498:A *3214:21 9.41642e-05 -10 *29498:A *3796:62 7.69776e-06 -11 *29498:A *3886:47 4.00349e-05 -12 *29498:A *5599:247 4.91761e-05 -13 *29498:A *5720:215 4.15526e-05 -14 *29498:A *5757:94 0.000107157 -*RES -1 *27355:X *29498:A 38.3202 -*END - -*D_NET *1120 0.000961961 -*CONN -*I *28789:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *27377:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28789:D 0.000302719 -2 *27377:X 0.000302719 -3 *28789:D *25125:A1 0.000260331 -4 *28789:D *2776:48 1.98839e-05 -5 *28789:D *3820:69 3.02689e-05 -6 *28789:D *6319:25 4.60387e-05 -*RES -1 *27377:X *28789:D 31.9393 -*END - -*D_NET *1121 0.00203777 -*CONN -*I *29502:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27398:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29502:A 0.00037826 -2 *27398:X 0.00037826 -3 *29502:A *25124:A1 7.36856e-05 -4 *29502:A *28791:D 5.41227e-05 -5 *29502:A *29501:A 0.000356521 -6 *29502:A *1289:55 0.00038907 -7 *29502:A *2817:52 0.00038907 -8 *29502:A *4574:47 0 -9 *29502:A *6314:37 1.8783e-05 -*RES -1 *27398:X *29502:A 37.0107 -*END - -*D_NET *1122 0.00106982 -*CONN -*I *28791:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *27419:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28791:D 0.000339597 -2 *27419:X 0.000339597 -3 *28791:D *26838:A 1.8038e-05 -4 *28791:D *4574:47 0.000141999 -5 *28791:D *5694:133 0.000176471 -6 *28791:D *6314:37 0 -7 *29502:A *28791:D 5.41227e-05 -*RES -1 *27419:X *28791:D 33.7964 -*END - -*D_NET *1123 0.000724305 -*CONN -*I *29516:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27440:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29516:A 0.000212498 -2 *27440:X 0.000212498 -3 *29516:A *1176:13 0 -4 *29516:A *5485:131 0.000299309 -5 *29516:A *5751:122 0 -6 *29516:A *5751:128 0 -*RES -1 *27440:X *29516:A 31.6714 -*END - -*D_NET *1124 0.00122554 -*CONN -*I *29487:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27462:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29487:A 0.000333658 -2 *27462:X 0.000333658 -3 *29487:A *25015:A2 0.000120708 -4 *29487:A *27079:A0 3.93986e-05 -5 *29487:A *5667:230 0.000398116 -*RES -1 *27462:X *29487:A 33.0643 -*END - -*D_NET *1125 0.0013789 -*CONN -*I *28794:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *27484:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28794:D 0.000236438 -2 *27484:X 0.000236438 -3 *28794:D *25260:A2 0.000129913 -4 *28794:D *28794:RESET_B 0.000100951 -5 *28794:D *3569:78 1.39711e-05 -6 *28794:D *4796:20 0.000328693 -7 *28794:D *5687:166 0.000326446 -8 *28794:D *5745:83 6.05161e-06 -*RES -1 *27484:X *28794:D 34.5643 -*END - -*D_NET *1126 0.00167395 -*CONN -*I *28795:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *27506:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28795:D 0.000549488 -2 *27506:X 0.000549488 -3 *28795:D *27506:S 5.28345e-05 -4 *28795:D *28795:CLK 4.10274e-05 -5 *28795:D *1498:13 0.000165709 -6 *28795:D *3571:60 1.56e-05 -7 *28795:D *3791:126 2.06178e-05 -8 *28795:D *5342:19 8.5479e-05 -9 *28795:D *5510:34 0.000101549 -10 *28795:D *5926:235 9.21611e-05 -*RES -1 *27506:X *28795:D 37.5643 -*END - -*D_NET *1127 0.00179138 -*CONN -*I *28796:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *27528:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28796:D 0.0004283 -2 *27528:X 0.0004283 -3 *28796:D *27506:S 4.58194e-05 -4 *28796:D *28796:CLK 0.000101877 -5 *28796:D *29571:A 1.90936e-05 -6 *28796:D *1498:13 0 -7 *28796:D *3792:10 0.00012401 -8 *28796:D *5734:31 0.000162832 -9 *28796:D *5734:33 0.000114759 -10 *28796:D *5734:35 0.000356839 -11 *28796:D *5734:37 9.54798e-06 -*RES -1 *27528:X *28796:D 37.1714 -*END - -*D_NET *1128 0.00220452 -*CONN -*I *28797:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *27550:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28797:D 0.000731995 -2 *27550:X 0.000731995 -3 *28797:D *28797:RESET_B 2.33546e-05 -4 *28797:D *1498:13 0.00013906 -5 *28797:D *2745:75 0.000211093 -6 *28797:D *3571:89 7.27294e-05 -7 *28797:D *3792:10 0.000294293 -*RES -1 *27550:X *28797:D 39.3187 -*END - -*D_NET *1129 0.00197587 -*CONN -*I *28798:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *27572:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28798:D 0.000621921 -2 *27572:X 0.000621921 -3 *28798:D *25617:A0 7.69776e-06 -4 *28798:D *26822:A1 0.000347174 -5 *28798:D *27527:A2 2.14757e-05 -6 *28798:D *1327:116 8.25843e-06 -7 *28798:D *3571:53 0.00012709 -8 *28798:D *6323:10 0.000220328 -*RES -1 *27572:X *28798:D 38.0286 -*END - -*D_NET *1130 0.00121047 -*CONN -*I *28799:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *27594:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28799:D 0.000210229 -2 *27594:X 0.000210229 -3 *28799:D *28799:CLK 0.000271275 -4 *28799:D *1294:243 0.0001399 -5 *28799:D *3573:80 5.33005e-05 -6 *28799:D *3573:95 3.06511e-05 -7 *28799:D *5718:77 0.000294882 -*RES -1 *27594:X *28799:D 33.0286 -*END - -*D_NET *1131 0.000780223 -*CONN -*I *28800:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *27671:X O *D sky130_fd_sc_hd__a32o_1 -*CAP -1 *28800:D 0.000223281 -2 *27671:X 0.000223281 -3 *28800:D *27334:B1 0.00013833 -4 *28800:D *28800:CLK 7.44888e-05 -5 *28800:D *2780:25 4.93948e-05 -6 *28800:D *3820:79 7.14469e-05 -*RES -1 *27671:X *28800:D 30.8813 -*END - -*D_NET *1132 0.000357412 -*CONN -*I *28801:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *27696:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28801:D 5.87768e-05 -2 *27696:X 5.87768e-05 -3 *28801:D *28801:CLK 2.89016e-05 -4 *28801:D *2938:11 5.52238e-05 -5 *28801:D *3182:27 0.000100823 -6 *28801:D *3246:17 9.25014e-06 -7 *28801:D *6225:116 4.56594e-05 -*RES -1 *27696:X *28801:D 29.475 -*END - -*D_NET *1133 0.000870593 -*CONN -*I *29494:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27721:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29494:A 0.000317221 -2 *27721:X 0.000317221 -3 *29494:A *5599:295 0.00023615 -*RES -1 *27721:X *29494:A 23.6714 -*END - -*D_NET *1134 0.000702906 -*CONN -*I *28803:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *27746:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28803:D 0.000131669 -2 *27746:X 0.000131669 -3 *28803:D *28803:RESET_B 4.58194e-05 -4 *28803:D *1273:130 0.000137983 -5 *28803:D *1490:26 8.00505e-05 -6 *28803:D *3183:40 8.25843e-06 -7 *28803:D *5718:313 0.000167457 -*RES -1 *27746:X *28803:D 31.2071 -*END - -*D_NET *1135 0.000471672 -*CONN -*I *28804:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *27770:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28804:D 0.000124526 -2 *27770:X 0.000124526 -3 *28804:D *2856:270 7.32272e-05 -4 *28804:D *3174:153 0.000100478 -5 *28804:D *3183:40 4.89148e-05 -*RES -1 *27770:X *28804:D 30.2964 -*END - -*D_NET *1136 0.00129843 -*CONN -*I *29511:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27795:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29511:A 0.000201372 -2 *27795:X 0.000201372 -3 *29511:A *27795:A1 0.000149885 -4 *29511:A *27795:S 0.000264006 -5 *29511:A *27843:A1 0.000346765 -6 *29511:A *2856:279 0.000135028 -*RES -1 *27795:X *29511:A 24.4929 -*END - -*D_NET *1137 0.00167683 -*CONN -*I *28806:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *27820:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *28806:D 0.000402979 -2 *27820:X 0.000402979 -3 *28806:D *1291:39 0.000236188 -4 *28806:D *1291:46 9.71197e-05 -5 *28806:D *2871:160 6.28948e-05 -6 *28806:D *2894:181 0.000110966 -7 *28806:D *5640:16 0.000302359 -8 *28806:D *6344:7 6.1341e-05 -*RES -1 *27820:X *28806:D 37.1357 -*END - -*D_NET *1138 0.0016773 -*CONN -*I *28807:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *27844:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28807:D 0.000344431 -2 *27844:X 0.000344431 -3 *28807:D *1536:13 0.000347651 -4 *28807:D *3573:95 6.86693e-05 -5 *28807:D *5481:6 0.000347651 -6 *28807:D *6320:17 8.55871e-05 -7 *28807:D *6350:26 0.000138881 -*RES -1 *27844:X *28807:D 35.4036 -*END - -*D_NET *1139 0.00804339 -*CONN -*I *28808:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *27869:X O *D sky130_fd_sc_hd__o22a_1 -*CAP -1 *28808:D 0.000522126 -2 *27869:X 0.00185573 -3 *1139:12 0.00237785 -4 *28808:D *27968:A1 3.34295e-05 -5 *28808:D *28812:D 8.9099e-05 -6 *28808:D *28950:A 5.71307e-05 -7 *28808:D *1616:23 0.000191763 -8 *28808:D *3569:92 6.94952e-05 -9 *28808:D *5691:176 7.41148e-05 -10 *28808:D *6337:17 0.00026769 -11 *1139:12 *28390:D 2.09897e-05 -12 *1139:12 *29649:A 5.60646e-05 -13 *1139:12 *1616:23 5.24959e-05 -14 *1139:12 *1616:34 0.00207206 -15 *1139:12 *1675:10 6.75721e-05 -16 *1139:12 *3650:91 2.88484e-05 -17 *1139:12 *5520:48 0.000184929 -18 *1139:12 *5691:176 8.6229e-06 -19 *1139:12 *5698:165 1.33846e-05 -*RES -1 *27869:X *1139:12 49.675 -2 *1139:12 *28808:D 23.6571 -*END - -*D_NET *1140 0.00797793 -*CONN -*I *28809:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *27894:X O *D sky130_fd_sc_hd__o22a_1 -*CAP -1 *28809:D 0.000339669 -2 *27894:X 0.00133178 -3 *1140:10 0.00167145 -4 *28809:D *25400:C1 3.51393e-05 -5 *28809:D *25937:A1 0.000445419 -6 *28809:D *1715:18 6.25005e-05 -7 *28809:D *1898:17 0 -8 *28809:D *5421:10 7.40643e-05 -9 *28809:D *5485:102 5.49489e-05 -10 *28809:D *5485:104 3.56613e-05 -11 *1140:10 *25398:A2 0.000191715 -12 *1140:10 *25398:B1 2.68445e-05 -13 *1140:10 *25940:A0 0.000261485 -14 *1140:10 *27853:B1 0.000185899 -15 *1140:10 *27928:A2 7.13226e-06 -16 *1140:10 *27928:B1 5.33005e-05 -17 *1140:10 *27928:B2 1.50225e-05 -18 *1140:10 *27943:A1 3.92854e-05 -19 *1140:10 *29394:A 0.000417245 -20 *1140:10 *1624:55 9.42015e-05 -21 *1140:10 *1713:26 0.000216706 -22 *1140:10 *3183:105 2.09413e-05 -23 *1140:10 *3436:13 0.000260152 -24 *1140:10 *3482:38 4.038e-06 -25 *1140:10 *3844:25 3.07967e-05 -26 *1140:10 *5421:10 4.6025e-06 -27 *30021:A *1140:10 0.000903869 -28 *458:10 *1140:10 0.000315892 -29 *462:17 *28809:D 0.000212353 -30 *473:29 *1140:10 0.000648768 -31 *723:24 *1140:10 1.7044e-05 -*RES -1 *27894:X *1140:10 49.675 -2 *1140:10 *28809:D 26.5009 -*END - -*D_NET *1141 0.00903157 -*CONN -*I *28810:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *27919:X O *D sky130_fd_sc_hd__o22a_1 -*CAP -1 *28810:D 0.000637553 -2 *27919:X 0.00216668 -3 *1141:20 0.00280424 -4 *28810:D *28951:A 0.000312394 -5 *28810:D *6336:7 0.000135028 -6 *1141:20 *25400:B2 0.000276233 -7 *1141:20 *27968:A1 7.5779e-05 -8 *1141:20 *29581:A 2.11372e-05 -9 *1141:20 *1227:68 0 -10 *1141:20 *1328:23 8.15611e-05 -11 *1141:20 *3459:23 0.000569523 -12 *1141:20 *3568:136 3.73412e-05 -13 *1141:20 *3986:26 0 -14 *1141:20 *3996:8 0.000108516 -15 *1141:20 *3996:19 0.000691227 -16 *1141:20 *5036:25 8.94556e-05 -17 *1141:20 *5040:13 0 -18 *1141:20 *5624:63 0.000270621 -19 *1141:20 *5691:176 0.000285563 -20 *1141:20 *5718:77 5.7582e-05 -21 *1141:20 *5737:55 2.46455e-05 -22 *1141:20 *6332:39 0.00038649 -*RES -1 *27919:X *1141:20 47.9065 -2 *1141:20 *28810:D 16.8759 -*END - -*D_NET *1142 0.00167423 -*CONN -*I *28811:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *27943:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28811:D 0.000390395 -2 *27943:X 0.000390395 -3 *28811:D *28106:D 7.48409e-06 -4 *28811:D *28811:CLK 8.80625e-05 -5 *28811:D *28812:CLK 0.000302079 -6 *28811:D *29394:A 0.000286084 -7 *28811:D *1898:17 6.20558e-05 -8 *28811:D *3571:110 0.000144609 -9 *29378:A *28811:D 3.06878e-06 -*RES -1 *27943:X *28811:D 36.225 -*END - -*D_NET *1143 0.00217094 -*CONN -*I *28812:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *27968:X O *D sky130_fd_sc_hd__o22a_1 -*CAP -1 *28812:D 0.000484201 -2 *27968:X 0.000484201 -3 *28812:D *27968:A1 7.49166e-06 -4 *28812:D *27968:A2 0.000178847 -5 *28812:D *27968:B1 0.000282472 -6 *28812:D *4380:8 0.000137616 -7 *28812:D *5691:176 0.000507012 -8 *28808:D *28812:D 8.9099e-05 -*RES -1 *27968:X *28812:D 38.35 -*END - -*D_NET *1144 0.00186069 -*CONN -*I *28813:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *27971:X O *D sky130_fd_sc_hd__a31o_1 -*CAP -1 *28813:D 0.000335722 -2 *27971:X 0.000335722 -3 *28813:D *27971:A1 1.98839e-05 -4 *28813:D *27971:A2 0.000706186 -5 *28813:D *27971:A3 0.000183726 -6 *28813:D *28813:RESET_B 4.9661e-05 -7 *28813:D *1862:11 0.000229786 -*RES -1 *27971:X *28813:D 29.1357 -*END - -*D_NET *1145 0.00499251 -*CONN -*I *28814:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *27973:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28814:D 0 -2 *27973:X 0.00111756 -3 *1145:11 0.00111756 -4 *1145:11 *27973:A0 0.000139913 -5 *1145:11 *30978:A 0.000736661 -6 *1145:11 *1323:80 5.88811e-06 -7 *1145:11 *1323:96 0.000195321 -8 *1145:11 *1665:49 0.000590568 -9 *1145:11 *5564:8 5.30637e-06 -10 *1145:11 *6158:24 2.04866e-05 -11 *28252:D *1145:11 0.000886667 -12 *28268:D *1145:11 0.000159071 -13 *593:19 *1145:11 1.75012e-05 -*RES -1 *27973:X *1145:11 43.9473 -2 *1145:11 *28814:D 9.3 -*END - -*D_NET *1146 0.00171656 -*CONN -*I *28815:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *27974:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28815:D 0.000409342 -2 *27974:X 0.000409342 -3 *28815:D *29569:A 0.000475683 -4 *28815:D *1545:96 9.97669e-05 -5 *28815:D *5564:32 9.97669e-05 -6 *28815:D *5565:8 0.00022266 -*RES -1 *27974:X *28815:D 36.0866 -*END - -*D_NET *1147 0.00317772 -*CONN -*I *28816:D I *D sky130_fd_sc_hd__dfxtp_2 -*I *27975:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28816:D 0.000726792 -2 *27975:X 0.000726792 -3 *28816:D *28411:CLK_N 0.000135869 -4 *28816:D *28412:CLK_N 0.000363238 -5 *28816:D *1545:95 5.2064e-05 -6 *28816:D *1871:94 8.24047e-05 -7 *28816:D *5542:41 9.9784e-05 -8 *28816:D *5566:8 0.000516572 -9 *28412:RESET_B *28816:D 0.000474206 -*RES -1 *27975:X *28816:D 44.3187 -*END - -*D_NET *1148 0.000781423 -*CONN -*I *28817:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *27976:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28817:D 9.55993e-05 -2 *27976:X 9.55993e-05 -3 *28817:D *5541:8 0.000291844 -4 *28817:D *5567:12 2.51343e-06 -5 *28817:D *5568:10 0.000295867 -*RES -1 *27976:X *28817:D 31.533 -*END - -*D_NET *1149 0.00148053 -*CONN -*I *28818:D I *D sky130_fd_sc_hd__dfxtp_2 -*I *27977:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28818:D 0.000447344 -2 *27977:X 0.000447344 -3 *28818:D *24872:A 5.96994e-06 -4 *28818:D *27977:A0 9.83442e-05 -5 *28818:D *27977:A1 2.89114e-05 -6 *28818:D *28063:B 1.74503e-05 -7 *28818:D *28275:CLK 4.33438e-05 -8 *28818:D *28818:CLK 1.89507e-06 -9 *28818:D *30979:A 6.05592e-06 -10 *28818:D *3510:166 0.000342802 -11 *28818:D *3577:20 2.00756e-05 -12 *28818:D *3577:27 2.09897e-05 -*RES -1 *27977:X *28818:D 35.2652 -*END - -*D_NET *1150 0.000559534 -*CONN -*I *28819:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *27978:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28819:D 0.000138101 -2 *27978:X 0.000138101 -3 *28819:D *28819:CLK 8.03949e-05 -4 *28819:D *2240:146 0.000100635 -5 *28819:D *6114:17 0.000102302 -*RES -1 *27978:X *28819:D 30.283 -*END - -*D_NET *1151 0.000690059 -*CONN -*I *28820:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *27979:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28820:D 0.000186625 -2 *27979:X 0.000186625 -3 *28820:D *3510:65 1.24368e-05 -4 *28820:D *5541:8 0.000151333 -5 *28820:D *5567:14 0.00015304 -*RES -1 *27979:X *28820:D 31.0688 -*END - -*D_NET *1152 0.00197172 -*CONN -*I *28821:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *27980:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28821:D 0.000663126 -2 *27980:X 0.000663126 -3 *28821:D *26253:B 0.0001399 -4 *28821:D *27980:A0 0.000246495 -5 *28821:D *2149:10 0.000177941 -6 *28821:D *5573:8 8.11273e-05 -*RES -1 *27980:X *28821:D 39.5866 -*END - -*D_NET *1153 0.000456773 -*CONN -*I *28822:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *27989:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28822:D 0.000122276 -2 *27989:X 0.000122276 -3 *28822:D *28823:D 3.57163e-05 -4 *28822:D *6036:64 0.000103267 -5 *28822:D *6360:11 7.3237e-05 -*RES -1 *27989:X *28822:D 30.2964 -*END - -*D_NET *1154 0.00160075 -*CONN -*I *28823:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *27992:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28823:D 0.000669154 -2 *27992:X 0.000669154 -3 *28823:D *25593:A1 7.61932e-05 -4 *28823:D *27989:A0 0 -5 *28823:D *27992:A1 7.77751e-05 -6 *28823:D *27995:A1 0 -7 *28823:D *28822:RESET_B 0 -8 *28823:D *28824:D 0 -9 *28823:D *3583:160 7.2754e-05 -10 *28823:D *6036:64 0 -11 *28822:D *28823:D 3.57163e-05 -*RES -1 *27992:X *28823:D 38.9393 -*END - -*D_NET *1155 0.0012097 -*CONN -*I *28824:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *27995:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28824:D 0.000360683 -2 *27995:X 0.000360683 -3 *28824:D *27989:A0 6.05161e-06 -4 *28824:D *27989:S 2.58821e-05 -5 *28824:D *27995:A1 0.00023424 -6 *28824:D *28822:RESET_B 0.000222163 -7 *28823:D *28824:D 0 -*RES -1 *27995:X *28824:D 34.2607 -*END - -*D_NET *1156 0.00159429 -*CONN -*I *28825:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *27998:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28825:D 0.000518459 -2 *27998:X 0.000518459 -3 *28825:D *25593:A1 0 -4 *28825:D *27998:A1 0.000180777 -5 *28825:D *5672:8 0.000376596 -*RES -1 *27998:X *28825:D 38.2964 -*END - -*D_NET *1157 0.00070075 -*CONN -*I *28826:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *28001:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28826:D 0.000138483 -2 *28001:X 0.000138483 -3 *28826:D *28827:CLK 5.7599e-05 -4 *28826:D *28827:D 0 -5 *28826:D *3581:165 2.19684e-05 -6 *28826:D *5878:12 0.000344217 -*RES -1 *28001:X *28826:D 31.975 -*END - -*D_NET *1158 0.00142174 -*CONN -*I *28827:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *28004:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28827:D 0.000390836 -2 *28004:X 0.000390836 -3 *28827:D *28001:S 0.000150618 -4 *28827:D *28824:RESET_B 0.000142856 -5 *28827:D *28827:CLK 2.57897e-05 -6 *28827:D *3516:30 4.13001e-05 -7 *28827:D *3516:34 1.07719e-05 -8 *28827:D *5878:12 0 -9 *28827:D *6180:30 0.000150632 -10 *28827:D *6377:21 0.000118105 -11 *28826:D *28827:D 0 -*RES -1 *28004:X *28827:D 35.8143 -*END - -*D_NET *1159 0.000935424 -*CONN -*I *28828:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *28007:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28828:D 0.000285858 -2 *28007:X 0.000285858 -3 *28828:D *28004:A0 6.05161e-06 -4 *28828:D *3516:28 2.40107e-05 -5 *28828:D *3530:15 0.0001827 -6 *28828:D *5233:17 0.000100823 -7 *28828:D *6364:14 5.01213e-05 -*RES -1 *28007:X *28828:D 31.9393 -*END - -*D_NET *1160 0.000317205 -*CONN -*I *28829:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *28010:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *28829:D 0.000107333 -2 *28010:X 0.000107333 -3 *28829:D *25603:A0 5.01117e-05 -4 *28829:D *6374:61 5.24274e-05 -*RES -1 *28010:X *28829:D 29.475 -*END - -*D_NET *1161 0.00221179 -*CONN -*I *28830:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *28011:X O *D sky130_fd_sc_hd__o211a_2 -*CAP -1 *28830:D 0.000521763 -2 *28011:X 0.000521763 -3 *28830:D *1174:51 0.000726763 -4 *28830:D *1871:10 6.65302e-05 -5 *28830:D *1871:12 6.22238e-05 -6 *28830:D *1873:8 0.00031275 -*RES -1 *28011:X *28830:D 38.7786 -*END - -*D_NET *1162 0.000958416 -*CONN -*I *29542:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28015:X O *D sky130_fd_sc_hd__o31a_1 -*CAP -1 *29542:A 0.000326148 -2 *28015:X 0.000326148 -3 *29542:A *27656:A1 4.46186e-06 -4 *29542:A *28015:A2 0.00014285 -5 *29542:A *28015:A3 0 -6 *29542:A *3699:22 4.57352e-05 -7 *29542:A *5366:28 0.000113073 -*RES -1 *28015:X *29542:A 32.6536 -*END - -*D_NET *1163 0.00595949 -*CONN -*I *30558:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28017:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30558:A 0 -2 *28017:X 0.00124393 -3 *1163:17 0.00124393 -4 *1163:17 *29176:A 5.28827e-05 -5 *1163:17 *29355:A 0.000440711 -6 *1163:17 *3806:25 0.000905996 -7 *1163:17 *3983:24 0.000366111 -8 *1163:17 *4946:14 0.000226513 -9 *1163:17 *5490:39 0.000364463 -10 *1163:17 *5624:107 0.0010736 -11 *564:19 *1163:17 4.13496e-05 -*RES -1 *28017:X *1163:17 46.6929 -2 *1163:17 *30558:A 9.3 -*END - -*D_NET *1164 0.000722231 -*CONN -*I *30573:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28018:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30573:A 9.76419e-05 -2 *28018:X 9.76419e-05 -3 *30573:A *28833:D 8.00806e-05 -4 *30573:A *3804:58 6.65398e-05 -5 *30573:A *3973:41 0.000178847 -6 *30573:A *4129:35 2.26327e-05 -7 *30573:A *5665:96 0.000178847 -*RES -1 *28018:X *30573:A 31.0107 -*END - -*D_NET *1165 0.00302414 -*CONN -*I *30571:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28019:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30571:A 0.00112808 -2 *28019:X 0.00112808 -3 *30571:A *25829:A1 9.33978e-07 -4 *30571:A *28019:A0 8.3e-05 -5 *30571:A *28833:CLK 0.000245962 -6 *30571:A *28833:D 0.000124324 -7 *30571:A *28834:D 2.22798e-05 -8 *30571:A *1430:29 8.04009e-05 -9 *30571:A *3804:58 0.000158558 -10 *30571:A *5493:18 4.17745e-05 -11 *30571:A *5731:14 1.07401e-05 -*RES -1 *28019:X *30571:A 43.9929 -*END - -*D_NET *1166 0.000914611 -*CONN -*I *30062:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28020:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30062:A 0.000130248 -2 *28020:X 0.000130248 -3 *30062:A *28835:D 0.000100823 -4 *30062:A *1600:17 5.52238e-05 -5 *30062:A *3791:75 0.000129868 -6 *30062:A *3791:84 5.06044e-05 -7 *30062:A *3918:41 0.000317594 -*RES -1 *28020:X *30062:A 32.2071 -*END - -*D_NET *1167 0.0040752 -*CONN -*I *30008:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28021:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30008:A 0.000512054 -2 *28021:X 0.000512054 -3 *30008:A *1362:152 0.000349708 -4 *30008:A *3536:15 0.000135028 -5 *30008:A *3536:31 0.000307343 -6 *30008:A *5624:107 0.00151388 -7 *564:19 *30008:A 0.000745137 -*RES -1 *28021:X *30008:A 35.7071 -*END - -*D_NET *1168 0.00321347 -*CONN -*I *25493:A1 I *D sky130_fd_sc_hd__a31o_1 -*I *24808:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *25493:A1 0.000844932 -2 *24808:Y 0.000844932 -3 *25493:A1 *24808:A 3.69047e-06 -4 *25493:A1 *25422:B 0.000132933 -5 *25493:A1 *25493:A2 2.59355e-05 -6 *25493:A1 *25493:A3 1.21258e-05 -7 *25493:A1 *28054:A 1.32552e-05 -8 *25493:A1 *28054:B 4.72818e-05 -9 *25493:A1 *5007:10 8.25843e-06 -10 *25493:A1 *5467:8 0 -11 *25493:A1 *5728:101 9.80405e-05 -12 *28069:D *25493:A1 0.000135028 -13 *28079:RESET_B *25493:A1 0.000207843 -14 *407:10 *25493:A1 0.000839215 -*RES -1 *24808:Y *25493:A1 43.8857 -*END - -*D_NET *1169 0.00267998 -*CONN -*I *25456:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25454:A I *D sky130_fd_sc_hd__nor2_1 -*I *24809:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *25456:A0 0.000113677 -2 *25454:A 0 -3 *24809:Y 0.000312197 -4 *1169:10 0.000425874 -5 *25456:A0 *6461:DIODE 0.000155086 -6 *25456:A0 *25454:B 0.000349784 -7 *25456:A0 *1742:77 0.000140243 -8 *1169:10 *25073:A0 0.000192269 -9 *1169:10 *25790:A0 5.07108e-05 -10 *1169:10 *28090:CLK 5.52302e-05 -11 *1169:10 *1730:87 0.00016635 -12 *1169:10 *3564:22 0.000166361 -13 *1169:10 *3564:24 0.000329139 -14 *28410:RESET_B *1169:10 4.98055e-06 -15 *28411:D *25456:A0 0.000181107 -16 *29477:A *1169:10 3.69697e-05 -17 *414:22 *1169:10 0 -*RES -1 *24809:Y *1169:10 26.1571 -2 *1169:10 *25454:A 9.3 -3 *1169:10 *25456:A0 13.9429 -*END - -*D_NET *1170 0.003666 -*CONN -*I *25536:A2 I *D sky130_fd_sc_hd__a41o_1 -*I *25492:A I *D sky130_fd_sc_hd__nor2_1 -*I *24810:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *25536:A2 0.000217397 -2 *25492:A 0.00105162 -3 *24810:Y 3.33003e-05 -4 *1170:6 0.00130232 -5 *25492:A *25417:B 0.000113964 -6 *25492:A *4188:20 1.4598e-05 -7 *25536:A2 *28386:D 0.000198691 -8 *25536:A2 *1780:13 1.08359e-05 -9 *25536:A2 *4187:18 0 -10 *1170:6 *28386:D 9.25014e-06 -11 *1170:6 *1780:13 3.48139e-05 -12 *28080:RESET_B *25536:A2 0.000375745 -13 *28080:RESET_B *1170:6 7.83587e-05 -14 *28083:RESET_B *25492:A 8.79458e-05 -15 *28097:RESET_B *25492:A 3.06878e-06 -16 *28169:RESET_B *25536:A2 3.69697e-05 -17 *29522:A *25536:A2 9.71197e-05 -*RES -1 *24810:Y *1170:6 14.8357 -2 *1170:6 *25492:A 25.7464 -3 *1170:6 *25536:A2 19.7286 -*END - -*D_NET *1171 0.00649054 -*CONN -*I *26002:A I *D sky130_fd_sc_hd__or2_4 -*I *26001:A I *D sky130_fd_sc_hd__nor2_2 -*I *26027:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *26028:A I *D sky130_fd_sc_hd__nand3_2 -*I *26170:A I *D sky130_fd_sc_hd__xnor2_2 -*I *24811:Y O *D sky130_fd_sc_hd__clkinv_2 -*CAP -1 *26002:A 2.04425e-05 -2 *26001:A 8.27771e-05 -3 *26027:B1 2.3932e-05 -4 *26028:A 0 -5 *26170:A 0.00035956 -6 *24811:Y 0.000442215 -7 *1171:19 0.000529366 -8 *1171:12 0.000267938 -9 *1171:10 0.000399891 -10 *1171:8 0.000705572 -11 *26001:A *1902:41 0.000180066 -12 *26001:A *1902:59 8.87702e-05 -13 *26001:A *2190:134 0.000265628 -14 *26002:A *26002:B 2.59355e-05 -15 *26002:A *1944:23 5.33005e-05 -16 *26027:B1 *26027:A1 2.59355e-05 -17 *26027:B1 *1964:19 2.59355e-05 -18 *26170:A *1943:9 6.05161e-06 -19 *26170:A *2112:8 4.58194e-05 -20 *1171:8 *1902:36 0.000449448 -21 *1171:8 *1902:41 3.10885e-05 -22 *1171:8 *1906:20 0.000134784 -23 *1171:8 *1906:32 0.000191698 -24 *1171:8 *2144:95 0.000269428 -25 *1171:10 *1902:41 0.000545063 -26 *1171:10 *1906:32 0.000537047 -27 *1171:12 *26028:B 4.73656e-05 -28 *1171:12 *1187:41 2.04866e-05 -29 *1171:12 *1902:41 3.06466e-05 -30 *1171:12 *1906:32 0.000145406 -31 *1171:12 *1968:11 0.00012401 -32 *1171:19 *26028:B 0.00017241 -33 *1171:19 *1943:9 3.63775e-05 -34 *1171:19 *1964:28 0.000146474 -35 *1171:19 *1968:11 9.25014e-06 -36 *1171:19 *1968:20 1.40849e-05 -37 *1171:19 *1984:12 3.6337e-05 -*RES -1 *24811:Y *1171:8 22.8893 -2 *1171:8 *1171:10 7.41071 -3 *1171:10 *1171:12 2.55357 -4 *1171:12 *1171:19 8.83929 -5 *1171:19 *26170:A 14.3536 -6 *1171:19 *26028:A 9.3 -7 *1171:12 *26027:B1 14.3357 -8 *1171:10 *26001:A 16.8179 -9 *1171:8 *26002:A 14.3357 -*END - -*D_NET *1172 0.00828552 -*CONN -*I *27970:A I *D sky130_fd_sc_hd__nand2_1 -*I *25857:A1 I *D sky130_fd_sc_hd__a2111o_1 -*I *25535:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *27969:A1 I *D sky130_fd_sc_hd__o21a_1 -*I *24812:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *27970:A 0.000115629 -2 *25857:A1 0 -3 *25535:A1 0.000519588 -4 *27969:A1 0.000380796 -5 *24812:Y 0.000365277 -6 *1172:18 0.00117733 -7 *1172:15 0.000548925 -8 *1172:8 0.000752882 -9 *25535:A1 *25535:A2 9.60939e-05 -10 *25535:A1 *28315:D 1.76135e-05 -11 *25535:A1 *3583:126 0 -12 *25535:A1 *5729:92 0 -13 *25535:A1 *5779:175 0 -14 *27969:A1 *27969:A2 4.72917e-05 -15 *27969:A1 *27969:B1 0.000225616 -16 *27969:A1 *27971:A1 9.90431e-05 -17 *27969:A1 *27971:B1 0.000329693 -18 *27969:A1 *28015:A2 0.000199144 -19 *27969:A1 *29008:A 0.000563912 -20 *27969:A1 *29541:A 6.05161e-06 -21 *27969:A1 *1862:11 0.00113576 -22 *27970:A *27970:B 6.42338e-05 -23 *27970:A *1816:8 0.000202421 -24 *27970:A *1816:12 7.05143e-06 -25 *27970:A *5778:123 0 -26 *27970:A *6378:22 1.17968e-05 -27 *1172:8 *1816:12 1.41029e-05 -28 *1172:8 *1884:35 9.8369e-05 -29 *1172:8 *6378:22 5.33882e-05 -30 *1172:15 *25512:A 0.000111491 -31 *1172:15 *25586:B 1.24368e-05 -32 *1172:15 *25857:A2 1.97695e-05 -33 *1172:15 *25857:C1 0.00010096 -34 *1172:15 *25857:D1 0.000122101 -35 *1172:15 *27970:B 0.000254807 -36 *1172:18 *25513:A2 6.09233e-05 -37 *1172:18 *3583:115 0 -38 *1172:18 *5779:157 0 -39 *28304:D *25535:A1 0.000114759 -40 *28304:D *1172:18 0.000351965 -41 *28316:D *1172:8 7.83659e-05 -42 *28316:D *1172:15 2.59355e-05 -*RES -1 *24812:Y *1172:8 18.9607 -2 *1172:8 *1172:15 12.0536 -3 *1172:15 *1172:18 9.78571 -4 *1172:18 *27969:A1 29.1571 -5 *1172:18 *25535:A1 22.7107 -6 *1172:15 *25857:A1 9.3 -7 *1172:8 *27970:A 16.6571 -*END - -*D_NET *1173 0.0392319 -*CONN -*I *24876:B I *D sky130_fd_sc_hd__nand2_1 -*I *24872:B I *D sky130_fd_sc_hd__nand2_1 -*I *25453:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *24866:B I *D sky130_fd_sc_hd__and2_1 -*I *25438:A1 I *D sky130_fd_sc_hd__a31o_1 -*I *25538:B2 I *D sky130_fd_sc_hd__o32ai_1 -*I *24814:Y O *D sky130_fd_sc_hd__clkinv_2 -*CAP -1 *24876:B 0 -2 *24872:B 0.000323882 -3 *25453:A1 0.000355299 -4 *24866:B 9.49987e-05 -5 *25438:A1 0.000520104 -6 *25538:B2 0.000801204 -7 *24814:Y 0.000203222 -8 *1173:130 0.00372912 -9 *1173:96 0.0047792 -10 *1173:82 0.00256819 -11 *1173:22 0.00150292 -12 *1173:20 0.00111469 -13 *1173:11 0.00259083 -14 *24866:B *1192:25 3.47182e-05 -15 *24866:B *4546:20 6.17358e-05 -16 *24866:B *4759:8 0.00010629 -17 *24872:B *24872:A 0.000137054 -18 *24872:B *27975:S 0 -19 *24872:B *28849:A 0 -20 *24872:B *1545:96 0.000698547 -21 *24872:B *1743:54 0.000150632 -22 *25438:A1 *25438:A3 3.12768e-05 -23 *25438:A1 *25438:B1 2.2351e-05 -24 *25438:A1 *25476:A1 8.56815e-05 -25 *25438:A1 *25476:B1_N 0.000307715 -26 *25438:A1 *25477:B 0.000437819 -27 *25438:A1 *25483:B1 0.000304564 -28 *25438:A1 *25498:A1 0.000114596 -29 *25438:A1 *1738:54 0.000151596 -30 *25438:A1 *1745:38 1.59373e-05 -31 *25438:A1 *1762:16 5.33005e-05 -32 *25438:A1 *1772:29 5.49544e-05 -33 *25438:A1 *5256:23 3.51368e-05 -34 *25453:A1 *24875:A1 2.42516e-05 -35 *25453:A1 *25453:A2 0.000129901 -36 *25453:A1 *28134:D 2.60984e-05 -37 *25453:A1 *1759:11 3.14048e-05 -38 *25538:B2 *25538:A3 9.32015e-05 -39 *25538:B2 *28213:D 0.000128291 -40 *25538:B2 *29625:A 0.000307813 -41 *25538:B2 *1738:50 0.000306098 -42 *25538:B2 *4163:93 0.00038907 -43 *25538:B2 *5304:13 2.59355e-05 -44 *25538:B2 *5727:20 0.000510186 -45 *25538:B2 *5727:36 5.54204e-05 -46 *25538:B2 *5739:37 5.52238e-05 -47 *25538:B2 *5836:162 8.25512e-05 -48 *25538:B2 *5836:168 0.000176696 -49 *25538:B2 *5836:211 0.000681202 -50 *1173:11 *24814:A 2.51343e-06 -51 *1173:11 *25460:A 3.14048e-05 -52 *1173:11 *25540:A1 0.000128982 -53 *1173:11 *1763:22 0.000132862 -54 *1173:11 *4154:27 0 -55 *1173:11 *4189:40 6.37188e-06 -56 *1173:11 *5542:21 0.000132723 -57 *1173:20 *24998:A 0.000311338 -58 *1173:20 *25466:B1 0.000465332 -59 *1173:20 *25467:A1 0.00100959 -60 *1173:20 *28168:CLK 0.000107093 -61 *1173:20 *29625:A 0.000153514 -62 *1173:20 *1472:11 0.000201108 -63 *1173:20 *1795:26 0.000132862 -64 *1173:20 *1810:19 6.53009e-06 -65 *1173:20 *3561:59 0.000362773 -66 *1173:20 *5902:22 0.000164783 -67 *1173:22 *28168:CLK 8.6229e-06 -68 *1173:22 *29625:A 0.000191751 -69 *1173:22 *1738:50 9.67025e-05 -70 *1173:22 *4194:51 1.34355e-05 -71 *1173:82 *24814:A 0.000516428 -72 *1173:82 *24868:A 0.000303221 -73 *1173:82 *25439:A0 1.6959e-05 -74 *1173:82 *28148:CLK 2.68527e-05 -75 *1173:82 *1287:21 0.000204854 -76 *1173:82 *1730:41 0.000862489 -77 *1173:82 *1763:22 0.000415871 -78 *1173:82 *3570:86 6.30931e-05 -79 *1173:82 *3657:10 0.000608104 -80 *1173:82 *3857:21 0.000117886 -81 *1173:82 *4154:27 0 -82 *1173:82 *4194:111 1.90936e-05 -83 *1173:82 *4548:15 0.000733753 -84 *1173:82 *5545:50 7.1598e-05 -85 *1173:82 *5742:163 4.22135e-06 -86 *1173:82 *5865:29 0.000618022 -87 *1173:96 *24875:B1 9.25014e-06 -88 *1173:96 *24925:B 6.54117e-05 -89 *1173:96 *24933:B 0.000547183 -90 *1173:96 *24933:C_N 0.000240135 -91 *1173:96 *28239:D 4.53889e-05 -92 *1173:96 *30277:A 0.000304394 -93 *1173:96 *1197:15 0.000358401 -94 *1173:96 *1287:21 0.000110126 -95 *1173:96 *1875:36 0.000135742 -96 *1173:96 *3657:10 5.78002e-05 -97 *1173:96 *5742:332 2.07382e-05 -98 *1173:96 *5797:11 0 -99 *1173:96 *5805:38 0.000150886 -100 *1173:96 *5900:10 0.000548857 -101 *1173:96 *5901:36 0.000375102 -102 *1173:96 *5901:57 0.000125731 -103 *1173:130 *24875:B1 0.000161261 -104 *1173:130 *25072:A1 0 -105 *1173:130 *25440:B 0.000649094 -106 *1173:130 *28043:B 7.34822e-06 -107 *1173:130 *29568:A 5.52302e-05 -108 *1173:130 *29658:A 8.27532e-05 -109 *1173:130 *1427:168 0 -110 *1173:130 *1744:25 0 -111 *1173:130 *1750:15 0.000346697 -112 *1173:130 *1875:36 0.000318189 -113 *1173:130 *4156:22 9.72014e-05 -114 *1173:130 *5575:30 9.92246e-05 -115 *1173:130 *5797:11 0 -116 *28088:D *1173:130 1.01912e-05 -117 *28088:RESET_B *1173:130 5.7836e-06 -118 *28090:D *1173:130 9.78027e-05 -119 *28165:SET_B *1173:82 8.79752e-05 -120 *28412:RESET_B *24872:B 1.92646e-05 -121 *29535:A *25538:B2 0.000541548 -122 *29892:A *25453:A1 9.76689e-05 -123 *30278:A *1173:96 0.000106923 -124 *30526:A *25538:B2 0.000125731 -125 *402:13 *1173:82 0 -126 *418:8 *25538:B2 2.49484e-05 -127 *434:13 *1173:11 0.000135028 -128 *440:29 *1173:130 0.000413251 -129 *622:20 *1173:20 0 -*RES -1 *24814:Y *1173:11 20.589 -2 *1173:11 *1173:20 18.9329 -3 *1173:20 *1173:22 2.85714 -4 *1173:22 *25538:B2 49.0143 -5 *1173:22 *25438:A1 26.6929 -6 *1173:20 *24866:B 16.0143 -7 *1173:11 *1173:82 38.6904 -8 *1173:82 *1173:96 35.9286 -9 *1173:96 *25453:A1 19.6929 -10 *1173:96 *1173:130 45.1444 -11 *1173:130 *24872:B 23.1839 -12 *1173:82 *24876:B 13.8 -*END - -*D_NET *1174 0.0254551 -*CONN -*I *28014:A1 I *D sky130_fd_sc_hd__a32o_1 -*I *25535:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *28011:C1 I *D sky130_fd_sc_hd__o211a_2 -*I *24815:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *28014:A1 3.8616e-05 -2 *25535:B1 0 -3 *28011:C1 0 -4 *24815:Y 0.00067056 -5 *1174:68 0.00132676 -6 *1174:51 0.00120145 -7 *1174:37 0.00222457 -8 *1174:23 0.00221839 -9 *1174:15 0.00315397 -10 *28014:A1 *28014:A3 0.000142856 -11 *28014:A1 *5462:19 3.48705e-05 -12 *28014:A1 *6036:95 0.000227539 -13 *1174:15 *1862:11 0.000315165 -14 *1174:15 *3535:13 0 -15 *1174:15 *3699:22 0 -16 *1174:15 *4089:8 7.8327e-05 -17 *1174:15 *4089:10 8.11507e-05 -18 *1174:15 *5366:28 0.000149489 -19 *1174:15 *5644:251 0 -20 *1174:15 *5716:50 9.25014e-06 -21 *1174:15 *5763:67 0.000386507 -22 *1174:23 *3578:21 0.000308752 -23 *1174:23 *5763:67 0.000212467 -24 *1174:37 *25500:A2 0.000136958 -25 *1174:37 *25854:A 0.000123288 -26 *1174:37 *25854:B 0.000320031 -27 *1174:37 *3567:33 0.000514706 -28 *1174:37 *5366:8 0.000268514 -29 *1174:37 *5366:28 0.000313465 -30 *1174:37 *5729:83 9.99644e-06 -31 *1174:37 *5878:14 0.00139533 -32 *1174:37 *6370:49 0.000622983 -33 *1174:37 *6372:10 0.000360809 -34 *1174:51 *26449:B1 9.60939e-05 -35 *1174:51 *26553:B1 3.63775e-05 -36 *1174:51 *28011:A1 0.000411883 -37 *1174:51 *28011:A2 0.000685635 -38 *1174:51 *28353:D 5.68722e-05 -39 *1174:51 *29888:A 0.000217478 -40 *1174:51 *1677:26 0.00018798 -41 *1174:51 *1883:64 9.60939e-05 -42 *1174:51 *3567:33 0.000295832 -43 *1174:51 *4088:15 0.000711675 -44 *1174:51 *5729:92 7.75022e-05 -45 *1174:51 *5798:12 0.000559794 -46 *1174:68 *25733:A1 0 -47 *1174:68 *27178:A1 3.92854e-05 -48 *1174:68 *28981:A 4.11173e-05 -49 *1174:68 *30001:A 0.000208408 -50 *1174:68 *30368:A 6.27272e-06 -51 *1174:68 *1822:11 0.000437212 -52 *1174:68 *1883:10 0.00183785 -53 *1174:68 *3535:13 0.000136872 -54 *1174:68 *5716:6 2.49484e-05 -55 *1174:68 *5716:8 5.33882e-05 -56 *1174:68 *5763:163 5.7903e-06 -57 *1174:68 *6367:8 0.000701334 -58 *28830:D *1174:51 0.000726763 -59 *29524:A *1174:68 0.000709845 -60 *29687:A *1174:51 0.00024601 -*RES -1 *24815:Y *1174:15 31.3714 -2 *1174:15 *1174:23 16.6071 -3 *1174:23 *1174:37 47.25 -4 *1174:37 *1174:51 41.9286 -5 *1174:51 *28011:C1 9.3 -6 *1174:23 *25535:B1 9.3 -7 *1174:15 *1174:68 48.75 -8 *1174:68 *28014:A1 11.4786 -*END - -*D_NET *1175 0.0238846 -*CONN -*I *25461:A1 I *D sky130_fd_sc_hd__a31o_1 -*I *25540:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *25474:B I *D sky130_fd_sc_hd__or3_4 -*I *25539:A1_N I *D sky130_fd_sc_hd__a2bb2o_1 -*I *24816:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *25461:A1 0.00243012 -2 *25540:B1 4.47838e-05 -3 *25474:B 0.000402948 -4 *25539:A1_N 0 -5 *24816:Y 0 -6 *1175:56 0.00271139 -7 *1175:31 0.0016025 -8 *1175:21 0.00124885 -9 *1175:4 0.00221191 -10 *25461:A1 *25461:A2 4.58194e-05 -11 *25461:A1 *25461:A3 3.10885e-05 -12 *25461:A1 *25675:A0 6.30118e-05 -13 *25461:A1 *25823:S 8.60328e-05 -14 *25461:A1 *28022:A 6.53435e-05 -15 *25461:A1 *30219:A 0.000243378 -16 *25461:A1 *1603:36 0.00143284 -17 *25461:A1 *2874:85 0.00024346 -18 *25461:A1 *3156:124 1.42701e-05 -19 *25461:A1 *3697:57 8.07616e-06 -20 *25461:A1 *3749:46 9.3166e-05 -21 *25461:A1 *3857:21 0.000239684 -22 *25461:A1 *4126:39 0.000168996 -23 *25461:A1 *4130:77 2.63501e-05 -24 *25461:A1 *4154:27 0.000271134 -25 *25461:A1 *4185:30 0.00192704 -26 *25461:A1 *5614:100 1.46231e-05 -27 *25474:B *1603:47 0.000426978 -28 *25474:B *1738:92 4.89032e-05 -29 *25474:B *1740:22 0.000675116 -30 *25474:B *1795:26 0.0001361 -31 *25474:B *4189:41 6.19092e-05 -32 *25474:B *4195:19 0.000140192 -33 *25474:B *4195:44 6.8194e-05 -34 *25474:B *4195:45 7.34839e-05 -35 *25474:B *4195:67 9.41642e-05 -36 *25474:B *4525:11 5.17614e-05 -37 *25474:B *5545:59 2.30169e-05 -38 *25540:B1 *1739:26 2.59355e-05 -39 *25540:B1 *1749:21 6.60111e-05 -40 *25540:B1 *4759:8 6.7848e-05 -41 *1175:21 *25438:B1 0.000338733 -42 *1175:21 *25469:S 0.00037848 -43 *1175:21 *25498:A1 0.000275387 -44 *1175:21 *28048:A 4.65519e-05 -45 *1175:21 *1732:29 0.000142263 -46 *1175:21 *1749:21 0.000345705 -47 *1175:21 *1769:17 5.33005e-05 -48 *1175:21 *1772:29 0.000185703 -49 *1175:21 *1808:11 1.496e-05 -50 *1175:21 *4194:32 5.96489e-05 -51 *1175:21 *4195:196 2.89016e-05 -52 *1175:31 *24816:A 0.000552525 -53 *1175:31 *24866:A 0.000263524 -54 *1175:31 *1603:47 0.00010933 -55 *1175:31 *1738:92 0.000321322 -56 *1175:56 *1738:92 0.000199237 -57 *1175:56 *1749:21 6.09762e-05 -58 *1175:56 *4759:8 5.35702e-05 -59 *28080:RESET_B *1175:21 0.000793961 -60 *359:14 *1175:21 0.000464448 -61 *361:20 *1175:21 0.001009 -62 *408:20 *25461:A1 0.000198949 -63 *412:14 *25461:A1 0.000216824 -64 *419:9 *1175:21 4.15526e-05 -65 *495:15 *25540:B1 2.89114e-05 -66 *623:22 *1175:21 0.000114432 -*RES -1 *24816:Y *1175:4 9.3 -2 *1175:4 *1175:21 42.2406 -3 *1175:21 *25539:A1_N 9.3 -4 *1175:4 *1175:31 14.2321 -5 *1175:31 *25474:B 39.0857 -6 *1175:31 *1175:56 7.97321 -7 *1175:56 *25540:B1 15.2196 -8 *1175:56 *25461:A1 32.9715 -*END - -*D_NET *1176 0.00732074 -*CONN -*I *27239:A I *D sky130_fd_sc_hd__nor2_1 -*I *27214:A1 I *D sky130_fd_sc_hd__o311a_1 -*I *25521:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *24817:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *27239:A 0.000213339 -2 *27214:A1 0.000488252 -3 *25521:A1 0.000225863 -4 *24817:Y 0 -5 *1176:13 0.00148707 -6 *1176:4 0.000986292 -7 *25521:A1 *25521:B1 2.89114e-05 -8 *25521:A1 *28792:CLK 7.27579e-05 -9 *25521:A1 *2800:16 5.52238e-05 -10 *25521:A1 *6350:47 3.18676e-05 -11 *27214:A1 *25521:B1 0.000337297 -12 *27214:A1 *25534:A1_N 1.94945e-05 -13 *27214:A1 *27213:A2 1.94945e-05 -14 *27214:A1 *27214:A3 9.21901e-05 -15 *27214:A1 *27214:B1 2.74981e-06 -16 *27214:A1 *27233:A 0.000201965 -17 *27214:A1 *1797:11 0.000163987 -18 *27214:A1 *1826:46 0.00064949 -19 *27214:A1 *2831:17 3.06892e-05 -20 *27214:A1 *3572:64 0.000643479 -21 *27214:A1 *5678:247 5.33811e-05 -22 *27214:A1 *6311:43 1.83281e-05 -23 *27214:A1 *6320:71 6.54988e-05 -24 *27239:A *25534:A1_N 1.98839e-05 -25 *27239:A *27273:B2 0.00014469 -26 *27239:A *2816:12 0.000391307 -27 *1176:13 *25534:A1_N 7.24613e-05 -28 *1176:13 *5485:131 0 -29 *1176:13 *5713:203 3.99564e-05 -30 *1176:13 *5751:116 0.000122091 -31 *1176:13 *5751:122 0.000332941 -32 *28189:D *27239:A 5.33005e-05 -33 *28189:D *1176:13 0.000135028 -34 *28767:D *27214:A1 3.56764e-05 -35 *29516:A *1176:13 0 -36 *29800:A *27239:A 4.99991e-05 -37 *18:20 *27239:A 3.57844e-05 -*RES -1 *24817:Y *1176:4 9.3 -2 *1176:4 *1176:13 21.2143 -3 *1176:13 *25521:A1 13.1393 -4 *1176:13 *27214:A1 30.0382 -5 *1176:4 *27239:A 24.1214 -*END - -*D_NET *1177 0.0212043 -*CONN -*I *27272:C I *D sky130_fd_sc_hd__or4b_1 -*I *27213:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *25520:A I *D sky130_fd_sc_hd__or2_1 -*I *24818:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *27272:C 0.00119855 -2 *27213:A2 0.000868956 -3 *25520:A 0.000590371 -4 *24818:Y 0.000966029 -5 *1177:14 0.00194577 -6 *1177:11 0.00265103 -7 *25520:A *1796:25 0.000177821 -8 *25520:A *2784:26 0.00146292 -9 *25520:A *2839:117 0.000191097 -10 *25520:A *2840:12 3.54616e-05 -11 *25520:A *6345:45 0.000205456 -12 *27213:A2 *25534:A1_N 0.000151439 -13 *27213:A2 *27260:A2 4.64488e-06 -14 *27213:A2 *27270:A2 1.14483e-05 -15 *27213:A2 *27440:A1 0.00017091 -16 *27213:A2 *28792:D 4.55511e-05 -17 *27213:A2 *1244:53 0.000109469 -18 *27213:A2 *1799:51 5.89845e-05 -19 *27213:A2 *2800:16 0.000110928 -20 *27213:A2 *2998:32 1.89507e-06 -21 *27213:A2 *5667:201 0 -22 *27213:A2 *5970:47 0.000181448 -23 *27213:A2 *6311:11 0.00019387 -24 *27213:A2 *6386:8 9.71707e-05 -25 *27213:A2 *6386:12 0 -26 *27272:C *25049:B1 0.000412211 -27 *27272:C *27272:A 0.000104778 -28 *27272:C *1245:142 9.27738e-06 -29 *27272:C *1368:17 9.48205e-05 -30 *27272:C *2797:19 0.00153302 -31 *27272:C *2802:32 0.000826291 -32 *27272:C *2838:31 0 -33 *27272:C *5352:22 0.000335394 -34 *27272:C *5570:23 0.000357416 -35 *27272:C *6383:46 0.000104778 -36 *1177:11 *1290:89 0.000677995 -37 *1177:11 *2797:19 0.000643577 -38 *1177:11 *5352:22 0.000311125 -39 *1177:11 *5539:17 0.000692113 -40 *1177:11 *5718:11 0.000689415 -41 *1177:11 *5998:11 1.03557e-05 -42 *1177:11 *6063:27 5.33005e-05 -43 *1177:11 *6314:19 4.2921e-05 -44 *1177:14 *25046:B1 6.72021e-05 -45 *1177:14 *27270:A2 0 -46 *1177:14 *27273:B1 0.000198279 -47 *1177:14 *2800:16 0.000276082 -48 *1177:14 *6386:8 8.65922e-05 -49 *1177:14 *6386:12 1.34355e-05 -50 *1177:14 *6386:17 0.000336189 -51 *27214:A1 *27213:A2 1.94945e-05 -52 *12:20 *27272:C 0.000360894 -53 *770:24 *1177:14 3.57408e-05 -54 *977:15 *25520:A 0.00148036 -*RES -1 *24818:Y *1177:11 21.544 -2 *1177:11 *1177:14 13.8564 -3 *1177:14 *25520:A 27.4463 -4 *1177:14 *27213:A2 30.4696 -5 *1177:11 *27272:C 29.7197 -*END - -*D_NET *1178 0.129624 -*CONN -*I *29007:A I *D sky130_fd_sc_hd__buf_12 -*I *27417:C1 I *D sky130_fd_sc_hd__o221a_4 -*I *27744:C1 I *D sky130_fd_sc_hd__o221a_2 -*I *27353:C1 I *D sky130_fd_sc_hd__o221a_1 -*I *27375:C1 I *D sky130_fd_sc_hd__o221a_1 -*I *27966:C1 I *D sky130_fd_sc_hd__o221a_2 -*I *27719:C1 I *D sky130_fd_sc_hd__o221a_1 -*I *24819:Y O *D sky130_fd_sc_hd__inv_6 -*CAP -1 *29007:A 0 -2 *27417:C1 0.000393522 -3 *27744:C1 2.23854e-05 -4 *27353:C1 0.00120775 -5 *27375:C1 0 -6 *27966:C1 0.000955926 -7 *27719:C1 6.23636e-05 -8 *24819:Y 0.000645597 -9 *1178:174 0.00494389 -10 *1178:158 0.0064514 -11 *1178:124 0.00400939 -12 *1178:123 0.00189662 -13 *1178:105 0.00397469 -14 *1178:82 0.00318892 -15 *1178:69 0.00427975 -16 *1178:65 0.00237596 -17 *1178:59 0.00333896 -18 *1178:48 0.00101674 -19 *1178:36 0.00472418 -20 *1178:35 0.00377849 -21 *1178:33 0.00149958 -22 *1178:21 0.00153196 -23 *1178:18 0.0027786 -24 *1178:10 0.00339182 -25 *27353:C1 *26958:A0 8.25843e-06 -26 *27353:C1 *26958:S 0.000756428 -27 *27353:C1 *27353:A2 0.000824236 -28 *27353:C1 *2892:186 0.00172316 -29 *27353:C1 *2907:13 3.00177e-05 -30 *27353:C1 *5603:28 0.00145363 -31 *27353:C1 *5651:284 0.00140434 -32 *27417:C1 *25093:A1 0.000139594 -33 *27417:C1 *27417:A2 0.0001284 -34 *27417:C1 *27417:B1 2.09136e-05 -35 *27417:C1 *27680:A2 0.000260574 -36 *27417:C1 *27680:B1 0.000137983 -37 *27417:C1 *27754:A2 4.44369e-05 -38 *27417:C1 *2978:22 0.000136682 -39 *27417:C1 *3299:8 3.76274e-05 -40 *27417:C1 *5609:189 8.19176e-05 -41 *27417:C1 *5650:268 0.000684695 -42 *27719:C1 *27719:A2 0.000137983 -43 *27719:C1 *28468:RESET_B 0.000182309 -44 *27719:C1 *3205:210 9.41642e-05 -45 *27744:C1 *27744:A2 0 -46 *27966:C1 *25122:B2 8.23597e-06 -47 *27966:C1 *27966:A1 3.37545e-05 -48 *27966:C1 *27966:A2 9.41642e-05 -49 *27966:C1 *27966:B2 9.07742e-06 -50 *27966:C1 *1360:20 4.70305e-05 -51 *27966:C1 *1443:10 5.58875e-06 -52 *27966:C1 *3182:134 0.000433958 -53 *27966:C1 *3205:98 0.00139257 -54 *27966:C1 *3496:13 2.86379e-05 -55 *27966:C1 *3776:44 0.00145959 -56 *27966:C1 *3776:54 1.13346e-05 -57 *27966:C1 *5210:25 0.000529507 -58 *1178:10 *28662:D 0.000227532 -59 *1178:10 *4528:14 0 -60 *1178:10 *5486:22 0.000233654 -61 *1178:10 *5746:8 0 -62 *1178:10 *5746:21 0 -63 *1178:18 *25046:B2 2.358e-05 -64 *1178:18 *27225:C1 0.000180387 -65 *1178:18 *5437:9 0.00268851 -66 *1178:18 *5675:223 0 -67 *1178:18 *5720:97 5.7836e-06 -68 *1178:18 *5969:10 0.00013028 -69 *1178:18 *5969:19 0.000117604 -70 *1178:18 *6009:23 4.37684e-05 -71 *1178:21 *29760:A 0.000137639 -72 *1178:21 *6063:27 0.000139338 -73 *1178:33 *25525:B 2.50022e-05 -74 *1178:33 *27240:A 0.000178847 -75 *1178:33 *28791:CLK 3.97677e-05 -76 *1178:33 *29525:A 0.000118573 -77 *1178:33 *1800:14 0.000302743 -78 *1178:33 *5515:32 9.85424e-06 -79 *1178:33 *5515:42 0.000314394 -80 *1178:33 *5969:19 0.00159574 -81 *1178:33 *6318:30 0.000120221 -82 *1178:33 *6319:70 0.000136388 -83 *1178:33 *6320:17 1.34009e-05 -84 *1178:36 *25176:B2 0.000129067 -85 *1178:36 *27245:A 0.000385846 -86 *1178:36 *27246:B 0 -87 *1178:36 *27247:B1 9.15175e-05 -88 *1178:36 *27287:C 0.000194336 -89 *1178:36 *27327:B 0.000312416 -90 *1178:36 *27419:S 0.000211517 -91 *1178:36 *28776:SET_B 0.000749393 -92 *1178:36 *28960:A 1.8783e-05 -93 *1178:36 *1250:121 0.000198355 -94 *1178:36 *2754:14 1.79205e-05 -95 *1178:36 *2754:18 0.000971815 -96 *1178:36 *2820:26 0.000125731 -97 *1178:36 *2820:35 0.000410295 -98 *1178:36 *2820:46 0.000154608 -99 *1178:36 *2820:58 0.00218615 -100 *1178:36 *2821:8 0.000229731 -101 *1178:36 *2844:140 0.000802966 -102 *1178:36 *2852:11 7.34491e-05 -103 *1178:36 *2856:8 8.72869e-05 -104 *1178:36 *2877:25 7.5088e-05 -105 *1178:36 *2886:11 0 -106 *1178:36 *2892:8 3.43622e-05 -107 *1178:36 *3796:36 0.0001454 -108 *1178:36 *5581:8 0.000140736 -109 *1178:36 *5598:56 0.000922619 -110 *1178:36 *5599:8 0.000191695 -111 *1178:36 *5599:279 0.000706025 -112 *1178:36 *5643:32 5.8e-05 -113 *1178:36 *5643:39 0.00265115 -114 *1178:36 *5717:50 1.60946e-05 -115 *1178:36 *5749:55 2.11419e-05 -116 *1178:36 *5749:61 0.000286313 -117 *1178:36 *6305:6 0.000117669 -118 *1178:36 *6305:17 3.35685e-06 -119 *1178:36 *6306:33 6.17108e-05 -120 *1178:36 *6306:42 5.0564e-05 -121 *1178:36 *6318:32 0 -122 *1178:48 *25278:B2 0.000382744 -123 *1178:48 *27717:A2 0.000169797 -124 *1178:48 *27718:D 0.000908159 -125 *1178:48 *2852:17 2.28077e-05 -126 *1178:48 *3269:10 0.000907518 -127 *1178:48 *3939:21 0.000384443 -128 *1178:48 *4186:20 3.53026e-05 -129 *1178:48 *5598:56 0.000907518 -130 *1178:48 *5643:40 0.00111349 -131 *1178:48 *6351:32 1.91414e-05 -132 *1178:59 *27718:D 1.10632e-05 -133 *1178:59 *5643:40 1.90936e-05 -134 *1178:65 *2777:94 0.000572168 -135 *1178:65 *2850:179 2.04825e-05 -136 *1178:65 *2853:187 2.9826e-05 -137 *1178:65 *2894:210 0.000211793 -138 *1178:65 *5641:23 1.90936e-05 -139 *1178:69 *25221:A1 0.000177545 -140 *1178:69 *25221:A2 9.58126e-05 -141 *1178:69 *27030:A1 0.000257619 -142 *1178:69 *28468:RESET_B 0.00108231 -143 *1178:69 *1290:14 0.00224694 -144 *1178:69 *1700:37 4.11173e-05 -145 *1178:69 *2775:187 8.25897e-05 -146 *1178:69 *3667:53 0.000221634 -147 *1178:69 *3841:68 4.28249e-05 -148 *1178:69 *3900:60 0.00169526 -149 *1178:69 *3913:70 0.000168058 -150 *1178:69 *5936:33 5.52955e-05 -151 *1178:82 *25221:B2 0.00155681 -152 *1178:82 *25225:D 2.3968e-05 -153 *1178:82 *30788:A 0.000298491 -154 *1178:82 *1218:29 0.001548 -155 *1178:82 *1218:187 6.06053e-05 -156 *1178:82 *1449:84 1.13786e-05 -157 *1178:82 *1476:183 5.42853e-06 -158 *1178:82 *1538:37 1.45271e-05 -159 *1178:82 *3844:75 0.00171052 -160 *1178:82 *3867:80 1.14338e-05 -161 *1178:82 *3988:44 4.29471e-05 -162 *1178:82 *4133:92 4.11173e-05 -163 *1178:82 *5621:17 9.41642e-05 -164 *1178:82 *5621:34 0.000182459 -165 *1178:82 *5861:52 1.45385e-05 -166 *1178:105 *25333:B1 0.000137276 -167 *1178:105 *1358:19 0.000416889 -168 *1178:105 *2777:94 4.65519e-05 -169 *1178:105 *2782:116 0.000251371 -170 *1178:105 *2788:22 0.00117293 -171 *1178:105 *2853:224 0.000198909 -172 *1178:105 *2859:187 0.000309283 -173 *1178:105 *2864:156 7.46526e-05 -174 *1178:105 *2864:164 3.19942e-05 -175 *1178:105 *2894:210 1.12406e-05 -176 *1178:105 *3184:95 0 -177 *1178:105 *3259:25 0.000128485 -178 *1178:105 *3822:32 0.00117293 -179 *1178:105 *3848:40 0.000160692 -180 *1178:105 *3848:61 0.000142936 -181 *1178:105 *5600:120 0.000142043 -182 *1178:105 *5641:38 0 -183 *1178:105 *5643:40 0.000143652 -184 *1178:105 *5651:129 0.000636682 -185 *1178:105 *6225:116 0.000158398 -186 *1178:105 *6351:32 0.000171395 -187 *1178:123 *27482:C1 0.000382719 -188 *1178:123 *1490:24 0.000325906 -189 *1178:123 *1490:102 0.000362978 -190 *1178:123 *2760:91 0.000467426 -191 *1178:123 *2788:22 0.000223523 -192 *1178:123 *2867:193 0.000271389 -193 *1178:123 *2880:108 9.83442e-05 -194 *1178:123 *3822:32 0.000231349 -195 *1178:123 *5632:52 0.000145399 -196 *1178:123 *5663:50 4.22431e-05 -197 *1178:123 *5718:345 5.52302e-05 -198 *1178:124 *1512:11 0.00233721 -199 *1178:124 *2760:109 0 -200 *1178:124 *3293:14 0.000370852 -201 *1178:124 *3939:51 0.00259708 -202 *1178:158 *1512:11 0.000196329 -203 *1178:158 *1826:170 4.58764e-05 -204 *1178:158 *2760:113 5.65546e-05 -205 *1178:158 *2848:242 0.00116509 -206 *1178:158 *2867:249 0.000536746 -207 *1178:158 *2874:321 6.90381e-06 -208 *1178:158 *2880:11 0 -209 *1178:158 *3153:171 0.000375714 -210 *1178:158 *3177:85 0.00148986 -211 *1178:158 *3283:8 3.22304e-05 -212 *1178:158 *3887:60 0.000329544 -213 *1178:158 *3939:51 0.000203636 -214 *1178:158 *4082:76 0.000523545 -215 *1178:158 *4108:58 0.00224557 -216 *1178:158 *4108:98 0.000131366 -217 *1178:158 *4108:123 2.14879e-05 -218 *1178:158 *5654:88 5.58875e-06 -219 *1178:174 *27336:A2 7.40839e-05 -220 *1178:174 *27336:B2 0.000120634 -221 *1178:174 *27336:C1 0.000422935 -222 *1178:174 *27680:B2 3.50637e-05 -223 *1178:174 *28653:D 0.000145239 -224 *1178:174 *1276:102 0.000386568 -225 *1178:174 *2761:114 0.000161441 -226 *1178:174 *2784:67 2.06112e-05 -227 *1178:174 *3185:107 3.77315e-05 -228 *1178:174 *3756:13 0.000178425 -229 *1178:174 *3861:8 0.000109263 -230 *1178:174 *3911:17 6.9388e-05 -231 *1178:174 *3976:73 2.47907e-05 -232 *1178:174 *4054:26 1.90936e-05 -233 *1178:174 *5627:103 6.81283e-05 -234 *1178:174 *5644:218 0.000179156 -235 *28772:D *1178:33 0.000185726 -236 *30287:A *1178:10 0 -237 *30682:A *1178:10 3.68173e-05 -238 *30684:A *1178:10 0 -239 *30727:A *1178:18 0 -240 *12:20 *1178:18 0.000619363 -*RES -1 *24819:Y *1178:10 28.8 -2 *1178:10 *1178:18 46.9643 -3 *1178:18 *1178:21 5.85714 -4 *1178:21 *1178:33 47.9286 -5 *1178:33 *1178:35 4.5 -6 *1178:35 *1178:36 103.188 -7 *1178:36 *1178:48 46.6451 -8 *1178:48 *27719:C1 15.9786 -9 *1178:48 *1178:59 3.68679 -10 *1178:59 *1178:65 9.17065 -11 *1178:65 *1178:69 49.1518 -12 *1178:69 *1178:82 48.0711 -13 *1178:82 *27966:C1 47.8536 -14 *1178:59 *1178:105 31.8991 -15 *1178:105 *27375:C1 13.8 -16 *1178:105 *1178:123 39.7857 -17 *1178:123 *1178:124 33.8214 -18 *1178:124 *27353:C1 49.7464 -19 *1178:124 *1178:158 29.7196 -20 *1178:158 *27744:C1 9.72857 -21 *1178:158 *1178:174 49.1324 -22 *1178:174 *27417:C1 28.2904 -23 *1178:21 *29007:A 9.3 -*END - -*D_NET *1179 0.0106389 -*CONN -*I *27254:A1 I *D sky130_fd_sc_hd__o32a_1 -*I *27241:A1 I *D sky130_fd_sc_hd__o32a_1 -*I *27250:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27274:B I *D sky130_fd_sc_hd__nor2_4 -*I *27240:B I *D sky130_fd_sc_hd__nand2_1 -*I *24820:Y O *D sky130_fd_sc_hd__clkinv_2 -*CAP -1 *27254:A1 0.000357756 -2 *27241:A1 7.093e-05 -3 *27250:A1 0.000114527 -4 *27274:B 1.1624e-05 -5 *27240:B 0.00101933 -6 *24820:Y 0.000123372 -7 *1179:25 0.000126151 -8 *1179:10 0.00111459 -9 *1179:8 0.000244126 -10 *1179:7 0.000559065 -11 *27240:B *26838:A 0.000522348 -12 *27240:B *2817:11 0.00184131 -13 *27240:B *2839:117 0.0002136 -14 *27240:B *3569:78 2.06178e-05 -15 *27240:B *3575:78 0.000585842 -16 *27240:B *4796:30 4.88795e-05 -17 *27240:B *5599:29 1.29487e-05 -18 *27240:B *5599:39 1.21955e-05 -19 *27240:B *5667:182 0.000156843 -20 *27240:B *5694:119 3.76653e-05 -21 *27240:B *5694:133 9.80649e-05 -22 *27240:B *5694:171 9.62747e-05 -23 *27241:A1 *28780:CLK 0.000181796 -24 *27241:A1 *2813:11 0.000263524 -25 *27241:A1 *5707:244 3.97677e-05 -26 *27250:A1 *27244:B1 0.000476702 -27 *27250:A1 *1289:55 6.42095e-05 -28 *27250:A1 *5720:159 0.00033577 -29 *27254:A1 *27236:A 0.000263524 -30 *27254:A1 *27254:A3 6.05161e-06 -31 *27254:A1 *1282:77 1.21258e-05 -32 *27254:A1 *2813:7 5.33005e-05 -33 *27254:A1 *3575:76 0.000385764 -34 *27254:A1 *3575:78 3.10885e-05 -35 *27254:A1 *6302:19 0.00012284 -36 *27254:A1 *6302:33 9.54798e-06 -37 *27254:A1 *6303:22 0.000128496 -38 *27274:B *27244:B1 5.52238e-05 -39 *27274:B *5720:159 5.52238e-05 -40 *1179:7 *5749:97 0.000143865 -41 *1179:8 *3575:78 0.000169443 -42 *1179:8 *6302:19 5.14923e-05 -43 *1179:10 *27244:A1 2.06178e-05 -44 *1179:10 *2839:117 1.76569e-05 -45 *1179:10 *3575:78 0.000162118 -46 *1179:10 *6302:19 2.56027e-05 -47 *28777:D *27240:B 0.000143624 -48 *28778:D *27254:A1 3.14163e-05 -*RES -1 *24820:Y *1179:7 15.9786 -2 *1179:7 *1179:8 2.25 -3 *1179:8 *1179:10 2.25 -4 *1179:10 *27240:B 31.9087 -5 *1179:10 *1179:25 4.5 -6 *1179:25 *27274:B 9.83571 -7 *1179:25 *27250:A1 13.9429 -8 *1179:8 *27241:A1 16.3893 -9 *1179:7 *27254:A1 23.2107 -*END - -*D_NET *1180 0.0214922 -*CONN -*I *25544:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *24821:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *25544:A0 0 -2 *24821:Y 0.00112448 -3 *1180:25 0.00351949 -4 *1180:22 0.00524555 -5 *1180:16 0.00285054 -6 *1180:16 *24831:A 9.90367e-05 -7 *1180:16 *30851:A 0.000836053 -8 *1180:16 *30852:A 6.35864e-05 -9 *1180:16 *5408:21 0.00128519 -10 *1180:22 *26858:A1 0.000240239 -11 *1180:22 *30848:A 0 -12 *1180:22 *3848:95 0.00012401 -13 *1180:22 *5173:14 0.000101825 -14 *1180:22 *5449:28 0 -15 *1180:22 *5457:30 0.000814283 -16 *1180:22 *5458:67 0.000121926 -17 *1180:22 *5460:35 0.000111084 -18 *1180:22 *5463:14 0 -19 *1180:25 *25544:S 5.39166e-06 -20 *1180:25 *25687:A0 0.000211323 -21 *1180:25 *25687:A1 0.00134412 -22 *1180:25 *30424:A 1.02821e-05 -23 *1180:25 *1835:35 0.000243851 -24 *1180:25 *5446:21 0.00079608 -25 *1180:25 *5770:17 0.000238482 -26 *1180:25 *5770:27 0.00130814 -27 mgmt_gpio_oeb[26] *1180:16 5.33433e-05 -28 mgmt_gpio_oeb[28] *1180:16 0.000192499 -29 mgmt_gpio_out[27] *1180:16 2.74936e-05 -30 *29737:A *1180:22 0 -31 *30704:A *1180:25 0.000161585 -32 *59:21 *1180:22 0.000202636 -33 *62:10 *1180:16 4.31418e-05 -34 *63:17 *1180:16 3.47641e-06 -35 *522:10 *1180:22 0.00011307 -*RES -1 *24821:Y *1180:16 48.7904 -2 *1180:16 *1180:22 14.0495 -3 *1180:22 *1180:25 49.4286 -4 *1180:25 *25544:A0 9.3 -*END - -*D_NET *1181 0.000799932 -*CONN -*I *25545:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *24822:Y O *D sky130_fd_sc_hd__clkinv_2 -*CAP -1 *25545:A0 0.000177846 -2 *24822:Y 0.000177846 -3 *25545:A0 *25731:A0 0.000128161 -4 *25545:A0 *1862:82 4.57538e-05 -5 *25545:A0 *4043:47 0.000270326 -*RES -1 *24822:Y *25545:A0 31.8321 -*END - -*D_NET *1182 0.0467666 -*CONN -*I *25546:A0 I *D sky130_fd_sc_hd__mux2_8 -*I *24823:Y O *D sky130_fd_sc_hd__clkinv_2 -*CAP -1 *25546:A0 0.00131426 -2 *24823:Y 0.00155931 -3 *1182:43 0.00896916 -4 *1182:42 0.00905686 -5 *1182:32 0.00392858 -6 *1182:26 0.00304042 -7 *1182:15 0.00207311 -8 *25546:A0 *25546:S 2.51343e-06 -9 *25546:A0 *25871:A1 1.89507e-06 -10 *25546:A0 *25945:A0 0.000135028 -11 *25546:A0 *25945:A1 0.00013073 -12 *25546:A0 *29368:A 7.35857e-05 -13 *25546:A0 *1868:31 0.00014559 -14 *25546:A0 *3830:15 0 -15 *25546:A0 *3944:25 0.000415202 -16 *1182:15 *27671:B1 2.42516e-05 -17 *1182:15 *28469:D 5.49544e-05 -18 *1182:15 *2758:8 0.000548732 -19 *1182:15 *2758:23 0.000972761 -20 *1182:15 *2758:64 0.000136682 -21 *1182:15 *2841:17 0.00090951 -22 *1182:15 *2883:15 2.28499e-05 -23 *1182:15 *2958:54 0.000164547 -24 *1182:15 *5700:111 0.000164083 -25 *1182:26 *26875:A0 0.000219711 -26 *1182:26 *27332:C 9.80405e-05 -27 *1182:26 *27333:A1 0.000345257 -28 *1182:26 *27333:A2 5.51918e-05 -29 *1182:26 *27671:A3 0.000136951 -30 *1182:26 *2870:65 8.25843e-06 -31 *1182:26 *3206:84 9.67087e-05 -32 *1182:26 *3872:43 3.7863e-05 -33 *1182:26 *3989:66 9.96264e-05 -34 *1182:26 *5196:78 0.00070712 -35 *1182:26 *5629:137 8.6229e-06 -36 *1182:32 *28642:CLK 0.000490355 -37 *1182:32 *28642:D 0.000154306 -38 *1182:32 *28642:SET_B 8.79458e-05 -39 *1182:32 *1594:35 2.04825e-05 -40 *1182:32 *2780:43 0.000150494 -41 *1182:32 *3570:27 1.18321e-05 -42 *1182:32 *3842:7 7.62658e-05 -43 *1182:32 *5606:14 2.93959e-05 -44 *1182:32 *5621:69 7.6644e-05 -45 *1182:32 *5873:164 0.00136938 -46 *1182:42 *25330:A1 3.23542e-05 -47 *1182:42 *25330:A2 0.000125447 -48 *1182:42 *30787:A 2.59355e-05 -49 *1182:42 *1263:50 0 -50 *1182:42 *1416:64 9.58181e-05 -51 *1182:42 *1648:17 0.000127707 -52 *1182:42 *2883:39 2.64262e-06 -53 *1182:42 *2894:44 9.35114e-05 -54 *1182:42 *3875:71 0.00013941 -55 *1182:42 *5217:14 2.38651e-06 -56 *1182:42 *5621:45 0.000411868 -57 *1182:43 *25836:A0 0.000161937 -58 *1182:43 *25945:A1 2.22618e-05 -59 *1182:43 *3570:11 0.00146078 -60 *1182:43 *3570:27 0.00312397 -61 *1182:43 *3736:25 0.00165585 -62 *1182:43 *4153:48 0.000554956 -63 *1182:43 *4153:62 0.000516154 -64 *29367:A *25546:A0 8.85631e-05 -*RES -1 *24823:Y *1182:15 45.5857 -2 *1182:15 *1182:26 30.6607 -3 *1182:26 *1182:32 40.1429 -4 *1182:32 *1182:42 27.7321 -5 *1182:42 *1182:43 94.1786 -6 *1182:43 *25546:A0 39.0321 -*END - -*D_NET *1183 0.000557136 -*CONN -*I *25558:A0 I *D sky130_fd_sc_hd__mux2_8 -*I *24856:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *25558:A0 0.000120709 -2 *24856:Y 0.000120709 -3 *25558:A0 *25558:S 4.0342e-06 -4 *25558:A0 *1463:42 0.000202835 -5 *25558:A0 *2772:85 0.000108848 -*RES -1 *24856:Y *25558:A0 30.6893 -*END - -*D_NET *1184 0.00113321 -*CONN -*I *25559:A0 I *D sky130_fd_sc_hd__mux2_4 -*I *24857:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *25559:A0 0.000448389 -2 *24857:Y 0.000448389 -3 *25559:A0 *25559:A1 4.22908e-05 -4 *25559:A0 *25559:S 6.9276e-05 -5 *25559:A0 *3568:59 0.000124868 -6 *25559:A0 *5733:90 0 -*RES -1 *24857:Y *25559:A0 35.1357 -*END - -*D_NET *1185 0.117808 -*CONN -*I *27420:A2 I *D sky130_fd_sc_hd__o21a_1 -*I *27367:B I *D sky130_fd_sc_hd__or2_1 -*I *27315:A I *D sky130_fd_sc_hd__nand2_8 -*I *27474:B I *D sky130_fd_sc_hd__or2_1 -*I *27584:B I *D sky130_fd_sc_hd__or2_1 -*I *6499:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27452:B I *D sky130_fd_sc_hd__or2_1 -*I *29006:A I *D sky130_fd_sc_hd__buf_6 -*I *27249:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27248:A I *D sky130_fd_sc_hd__nand2_1 -*I *24859:Y O *D sky130_fd_sc_hd__clkinv_8 -*CAP -1 *27420:A2 0.00158485 -2 *27367:B 0 -3 *27315:A 0.000310066 -4 *27474:B 0.000138304 -5 *27584:B 0 -6 *6499:DIODE 6.16167e-05 -7 *27452:B 0 -8 *29006:A 0 -9 *27249:A1 2.2024e-05 -10 *27248:A 0.000290601 -11 *24859:Y 0 -12 *1185:184 0.00228949 -13 *1185:175 0.00857533 -14 *1185:144 0.00652656 -15 *1185:125 0.00246553 -16 *1185:106 0.00200274 -17 *1185:102 0.00322127 -18 *1185:88 0.00429478 -19 *1185:86 0.0034921 -20 *1185:78 0.00224971 -21 *1185:72 0.00253673 -22 *1185:54 0.00445165 -23 *1185:52 0.00487259 -24 *1185:35 0.00250233 -25 *1185:12 0.000763737 -26 *1185:5 0.000956299 -27 *6499:DIODE *27573:B1 6.57032e-05 -28 *6499:DIODE *2875:134 6.05161e-06 -29 *6499:DIODE *3480:23 5.96516e-05 -30 *27248:A *27246:B 0.000208828 -31 *27248:A *27247:A2 9.56446e-06 -32 *27248:A *27249:A0 2.77857e-05 -33 *27248:A *28773:CLK 5.52238e-05 -34 *27248:A *28776:SET_B 7.37422e-05 -35 *27248:A *2776:48 2.89016e-05 -36 *27248:A *2817:52 9.25014e-06 -37 *27248:A *5585:92 7.6644e-05 -38 *27249:A1 *2849:30 1.81774e-05 -39 *27249:A1 *6305:25 5.33005e-05 -40 *27315:A *1266:132 0.000177815 -41 *27315:A *2998:8 2.06112e-05 -42 *27315:A *5485:147 1.90936e-05 -43 *27315:A *5582:42 0.000175892 -44 *27420:A2 *27389:A2 0.00012831 -45 *27420:A2 *2769:31 0.00150589 -46 *27420:A2 *2848:369 7.18262e-06 -47 *27420:A2 *3887:41 5.39293e-05 -48 *27420:A2 *4134:45 0.000222038 -49 *27420:A2 *5628:185 0 -50 *27420:A2 *5656:313 6.38844e-06 -51 *27420:A2 *5656:321 9.54798e-06 -52 *27420:A2 *5819:24 1.90936e-05 -53 *27474:B *1275:101 5.33005e-05 -54 *27474:B *1826:117 2.04745e-05 -55 *27474:B *2787:128 1.90936e-05 -56 *27474:B *2844:275 1.90936e-05 -57 *1185:12 *27246:B 0.00013651 -58 *1185:12 *27300:C 0 -59 *1185:12 *27320:A 6.50354e-05 -60 *1185:12 *28776:SET_B 6.06399e-05 -61 *1185:12 *1323:5 9.05905e-05 -62 *1185:12 *2813:34 9.25014e-06 -63 *1185:12 *2813:41 0.000224577 -64 *1185:12 *2815:31 0.000192527 -65 *1185:12 *2885:8 0 -66 *1185:12 *3575:76 0 -67 *1185:12 *5608:28 0.000773116 -68 *1185:35 *27299:A 0.000208922 -69 *1185:35 *2815:31 0.000202305 -70 *1185:35 *2815:42 0.000392244 -71 *1185:35 *2815:46 4.58253e-05 -72 *1185:35 *2834:31 1.98839e-05 -73 *1185:35 *2885:8 0 -74 *1185:35 *2885:27 0 -75 *1185:35 *5635:15 9.41642e-05 -76 *1185:35 *5717:7 5.33005e-05 -77 *1185:35 *5717:23 2.15339e-05 -78 *1185:52 *27637:B 5.21415e-05 -79 *1185:52 *1802:51 1.17921e-05 -80 *1185:52 *2823:29 2.11419e-05 -81 *1185:52 *2823:38 0.000734533 -82 *1185:52 *2823:49 0.00013684 -83 *1185:52 *2825:27 1.94879e-05 -84 *1185:52 *2834:31 0.000132967 -85 *1185:52 *2864:12 1.05524e-05 -86 *1185:52 *2864:28 0 -87 *1185:52 *3019:8 0.000926211 -88 *1185:52 *3150:53 3.24891e-05 -89 *1185:52 *3194:19 0.000195445 -90 *1185:52 *3194:59 0.00103999 -91 *1185:52 *5635:15 0.000476709 -92 *1185:52 *5680:257 2.14879e-05 -93 *1185:52 *5714:13 7.92027e-05 -94 *1185:52 *5717:7 0.00016641 -95 *1185:54 *25017:B1 0.000933411 -96 *1185:54 *27613:A 0.000118545 -97 *1185:54 *27654:B 7.6644e-05 -98 *1185:54 *27657:A 0.00014576 -99 *1185:54 *27657:C 0.001121 -100 *1185:54 *27811:B2 0.0016909 -101 *1185:54 *1246:90 0.000301546 -102 *1185:54 *1331:15 0.000100663 -103 *1185:54 *1341:8 0.00015234 -104 *1185:54 *1368:37 0.00174495 -105 *1185:54 *1802:51 9.20274e-05 -106 *1185:54 *2832:95 0.000759021 -107 *1185:54 *2859:299 0.000803385 -108 *1185:54 *3019:8 0.00077135 -109 *1185:54 *3215:13 5.93972e-05 -110 *1185:54 *3670:14 0 -111 *1185:54 *5589:166 0.000160692 -112 *1185:54 *5589:174 0.000171711 -113 *1185:54 *5687:212 0.000121573 -114 *1185:54 *5714:29 0.000101391 -115 *1185:54 *6385:141 0.00118791 -116 *1185:72 *27151:A1 0.000262956 -117 *1185:72 *27811:B2 0.000184807 -118 *1185:72 *1246:91 2.04866e-05 -119 *1185:72 *1293:223 0.00214109 -120 *1185:72 *1368:37 0.000364678 -121 *1185:72 *2768:22 3.92772e-05 -122 *1185:72 *3176:234 0.00181173 -123 *1185:72 *3670:54 0 -124 *1185:72 *3916:34 2.68654e-05 -125 *1185:72 *5679:8 0.000150293 -126 *1185:72 *5679:19 0.000170654 -127 *1185:72 *5680:232 0.000358654 -128 *1185:78 *27080:A1 0.000634867 -129 *1185:78 *2882:188 1.90936e-05 -130 *1185:78 *3215:192 2.51343e-06 -131 *1185:78 *5589:166 9.47364e-06 -132 *1185:78 *5829:85 1.10868e-05 -133 *1185:78 *5829:96 4.82947e-05 -134 *1185:86 *2780:158 5.33005e-05 -135 *1185:86 *2780:308 0.000138859 -136 *1185:86 *5829:96 0.000133298 -137 *1185:88 *6504:DIODE 0.000341958 -138 *1185:88 *24966:A 5.49743e-05 -139 *1185:88 *25170:B 0.000673934 -140 *1185:88 *27019:S 0.000216755 -141 *1185:88 *27348:A1 7.04851e-05 -142 *1185:88 *27348:B1 5.33005e-05 -143 *1185:88 *27348:B2 0.000129147 -144 *1185:88 *27349:C1 5.49995e-05 -145 *1185:88 *27686:B2 4.41588e-05 -146 *1185:88 *27693:B 0.000218454 -147 *1185:88 *1292:8 5.33005e-05 -148 *1185:88 *1292:195 0.00297421 -149 *1185:88 *1490:17 0.000277564 -150 *1185:88 *1490:21 0.00302364 -151 *1185:88 *2763:82 0.0021602 -152 *1185:88 *2780:158 8.9372e-05 -153 *1185:88 *2844:246 1.98839e-05 -154 *1185:88 *2877:187 1.98839e-05 -155 *1185:88 *3963:93 0.000178503 -156 *1185:88 *5892:119 0.000136676 -157 *1185:102 *24896:A_N 0.000556303 -158 *1185:102 *24917:A 2.59355e-05 -159 *1185:102 *24931:B 1.98839e-05 -160 *1185:102 *24954:A 6.05161e-06 -161 *1185:102 *25002:B 3.97677e-05 -162 *1185:102 *1233:24 3.97677e-05 -163 *1185:102 *1238:13 1.02301e-05 -164 *1185:102 *1243:7 0.000709653 -165 *1185:102 *1257:7 5.33005e-05 -166 *1185:102 *1288:20 0.000125537 -167 *1185:102 *1429:18 4.58576e-05 -168 *1185:102 *1631:16 0.000122032 -169 *1185:102 *3888:12 0.000123618 -170 *1185:102 *4064:62 0.00174239 -171 *1185:102 *5587:31 0.000127197 -172 *1185:102 *5815:35 5.69386e-05 -173 *1185:106 *24931:B 1.24368e-05 -174 *1185:106 *27573:A2 0.000793992 -175 *1185:106 *27573:B1 0.00111783 -176 *1185:106 *27573:B2 9.41642e-05 -177 *1185:106 *1263:8 0.000468724 -178 *1185:106 *1360:18 8.80625e-05 -179 *1185:106 *3480:23 0.00240564 -180 *1185:125 *28649:RESET_B 0.000295144 -181 *1185:125 *1268:74 2.77452e-05 -182 *1185:125 *1826:117 0.00131271 -183 *1185:125 *2787:128 0.000855011 -184 *1185:125 *2844:265 0.00018489 -185 *1185:125 *2848:206 0.000159564 -186 *1185:125 *2874:192 0.000190873 -187 *1185:125 *2880:93 0.000179914 -188 *1185:125 *3718:107 0.000111326 -189 *1185:125 *4082:76 0.000143684 -190 *1185:125 *4108:58 0.000124532 -191 *1185:125 *5829:85 4.24765e-05 -192 *1185:144 *1826:117 0.000171028 -193 *1185:144 *2760:113 0.000234319 -194 *1185:144 *2848:206 4.55165e-05 -195 *1185:144 *2848:242 2.21505e-05 -196 *1185:144 *3991:38 0.000127181 -197 *1185:144 *5601:40 0.000114723 -198 *1185:144 *5829:85 0.000125774 -199 *1185:175 *25066:C1 0.000142052 -200 *1185:175 *27360:B1 1.4477e-06 -201 *1185:175 *27427:A2 0.000268083 -202 *1185:175 *27428:A2 0.000178498 -203 *1185:175 *1287:210 1.1984e-05 -204 *1185:175 *1506:18 0.00164698 -205 *1185:175 *2760:113 0.000298203 -206 *1185:175 *2760:157 0 -207 *1185:175 *2859:283 3.42107e-06 -208 *1185:175 *2871:393 0 -209 *1185:175 *2874:321 0.0017725 -210 *1185:175 *2874:336 0.000143652 -211 *1185:175 *3705:51 0.000126716 -212 *1185:175 *3718:89 0.000123605 -213 *1185:175 *3939:63 0.00182725 -214 *1185:175 *3939:94 0.0001326 -215 *1185:175 *3991:38 0.000359056 -216 *1185:175 *4134:45 0.00103793 -217 *1185:175 *5485:147 0.000107321 -218 *1185:175 *5647:82 0.000706601 -219 *1185:175 *5647:109 0.000286427 -220 *1185:175 *5650:329 0.000148082 -221 *1185:175 *5651:284 0.0001052 -222 *1185:175 *5660:175 0.000181142 -223 *1185:175 *5809:22 0.000106864 -224 *1185:175 *5829:76 0.00163985 -225 *1185:175 *5926:72 0.000417574 -226 *1185:184 *1258:49 0.0001465 -227 *1185:184 *2760:157 0.000765282 -228 *1185:184 *2848:369 2.01997e-05 -229 *1185:184 *3848:20 1.90303e-05 -230 *1185:184 *5584:263 9.55111e-05 -231 *1185:184 *5656:313 2.09826e-05 -232 *1185:184 *5692:41 0.000183144 -233 *1185:184 *5809:22 4.8817e-05 -234 *1185:184 *5819:20 1.94879e-05 -235 *28775:D *27248:A 6.7033e-05 -*RES -1 *24859:Y *1185:5 13.8 -2 *1185:5 *1185:12 21.0179 -3 *1185:12 *27248:A 20.1571 -4 *1185:12 *27249:A1 14.3357 -5 *1185:5 *1185:35 15.5893 -6 *1185:35 *29006:A 9.3 -7 *1185:35 *1185:52 32.4773 -8 *1185:52 *1185:54 90.1339 -9 *1185:54 *27452:B 13.8 -10 *1185:54 *1185:72 48.075 -11 *1185:72 *1185:78 19.4011 -12 *1185:78 *1185:86 7.46429 -13 *1185:86 *1185:88 73.2321 -14 *1185:88 *1185:102 47.0179 -15 *1185:102 *1185:106 41.625 -16 *1185:106 *6499:DIODE 10.6571 -17 *1185:106 *27584:B 9.3 -18 *1185:78 *1185:125 22.0515 -19 *1185:125 *27474:B 21.5876 -20 *1185:125 *1185:144 2.98607 -21 *1185:144 *27315:A 23.108 -22 *1185:144 *1185:175 47.7739 -23 *1185:175 *1185:184 43.8405 -24 *1185:184 *27367:B 9.3 -25 *1185:175 *27420:A2 36.5767 -*END - -*D_NET *1186 0.0337155 -*CONN -*I *26123:A1 I *D sky130_fd_sc_hd__o311a_1 -*I *26044:A I *D sky130_fd_sc_hd__or3_4 -*I *26023:A I *D sky130_fd_sc_hd__or2_2 -*I *26241:A1 I *D sky130_fd_sc_hd__a41o_1 -*I *26709:A1 I *D sky130_fd_sc_hd__a2111o_1 -*I *24860:Y O *D sky130_fd_sc_hd__clkinv_2 -*CAP -1 *26123:A1 7.1099e-05 -2 *26044:A 0 -3 *26023:A 0.000658006 -4 *26241:A1 0 -5 *26709:A1 0.000540835 -6 *24860:Y 0.000974036 -7 *1186:55 0.00175612 -8 *1186:47 0.00174197 -9 *1186:40 0.00298637 -10 *1186:19 0.00220808 -11 *1186:15 0.00145153 -12 *26023:A *26185:B 1.21258e-05 -13 *26023:A *1909:30 2.04582e-05 -14 *26023:A *2127:15 0.000256798 -15 *26023:A *2142:19 6.13757e-06 -16 *26123:A1 *1771:43 0.000181724 -17 *26123:A1 *6291:58 0.000190974 -18 *26709:A1 *26709:A2 3.69933e-05 -19 *26709:A1 *26709:B1 3.17562e-05 -20 *26709:A1 *26709:C1 3.69047e-06 -21 *26709:A1 *26710:D 9.25014e-06 -22 *26709:A1 *26780:B 2.81932e-06 -23 *26709:A1 *2142:26 0.000288872 -24 *26709:A1 *2183:11 0.00131814 -25 *26709:A1 *2638:14 3.57844e-05 -26 *26709:A1 *5673:40 0.00133421 -27 *1186:15 *26108:A 0.000180777 -28 *1186:15 *26481:D 0.00018452 -29 *1186:15 *26482:C1 0.000199656 -30 *1186:15 *2038:104 0.000219711 -31 *1186:15 *2131:7 0.000608306 -32 *1186:15 *5673:264 2.95726e-05 -33 *1186:19 *26044:B 1.5424e-05 -34 *1186:19 *1771:43 0.0011009 -35 *1186:19 *1944:23 9.60939e-05 -36 *1186:19 *2233:37 0.000137983 -37 *1186:19 *6291:58 0.000814134 -38 *1186:19 *6291:60 0.000286761 -39 *1186:40 *26022:A 0.000118195 -40 *1186:40 *26044:B 9.71197e-05 -41 *1186:40 *26044:C 5.33005e-05 -42 *1186:40 *26161:C 7.02297e-05 -43 *1186:40 *1771:43 7.23484e-05 -44 *1186:40 *1944:23 0 -45 *1186:40 *1945:47 0.000263108 -46 *1186:40 *1946:29 0.00010283 -47 *1186:40 *1955:77 0.000135127 -48 *1186:40 *2032:169 0.000112802 -49 *1186:40 *2047:113 1.38323e-05 -50 *1186:40 *2048:10 0.000655087 -51 *1186:40 *2161:11 0.000118226 -52 *1186:40 *2221:7 0.000137983 -53 *1186:40 *2221:8 0.00036122 -54 *1186:40 *2233:37 5.21062e-05 -55 *1186:40 *2470:11 0.000656278 -56 *1186:40 *4353:68 0.000349415 -57 *1186:40 *4353:70 0.000268528 -58 *1186:40 *4508:116 0.000130831 -59 *1186:40 *4541:58 0.000360408 -60 *1186:40 *4552:132 8.72997e-05 -61 *1186:40 *6291:80 4.98055e-06 -62 *1186:40 *6291:123 0 -63 *1186:47 *26453:A1 9.41642e-05 -64 *1186:47 *1187:48 0.000111636 -65 *1186:47 *1874:35 0.000108545 -66 *1186:47 *2048:10 0.0010741 -67 *1186:47 *2054:56 4.35597e-05 -68 *1186:47 *2470:11 0.00130945 -69 *1186:47 *2484:20 0.000105989 -70 *1186:55 *26241:B1 0.000220333 -71 *1186:55 *26549:C_N 0.00205912 -72 *1186:55 *26644:A2 0.000164865 -73 *1186:55 *1874:35 0.000232063 -74 *1186:55 *1946:81 0.000480198 -75 *1186:55 *1962:8 4.80534e-05 -76 *1186:55 *2132:30 0.000767716 -77 *1186:55 *2132:37 0.00035647 -78 *1186:55 *2142:25 0.001579 -79 *1186:55 *2145:87 0.000256143 -80 *1186:55 *2154:61 0.000260574 -81 *1186:55 *2161:39 2.84988e-05 -82 *1186:55 *2489:10 0.000202191 -*RES -1 *24860:Y *1186:15 30.2286 -2 *1186:15 *1186:19 20.25 -3 *1186:19 *1186:40 40.4381 -4 *1186:40 *1186:47 27.5893 -5 *1186:47 *1186:55 48.9643 -6 *1186:55 *26709:A1 38.3536 -7 *1186:55 *26241:A1 9.3 -8 *1186:40 *26023:A 21.7821 -9 *1186:19 *26044:A 9.3 -10 *1186:15 *26123:A1 16.3536 -*END - -*D_NET *1187 0.0439986 -*CONN -*I *26043:C I *D sky130_fd_sc_hd__and3b_4 -*I *26010:A I *D sky130_fd_sc_hd__nor2_8 -*I *26075:A I *D sky130_fd_sc_hd__nand2_2 -*I *26291:C I *D sky130_fd_sc_hd__and3b_1 -*I *26241:A2 I *D sky130_fd_sc_hd__a41o_1 -*I *26025:A I *D sky130_fd_sc_hd__nand2_2 -*I *24861:Y O *D sky130_fd_sc_hd__inv_4 -*CAP -1 *26043:C 0.000109591 -2 *26010:A 0 -3 *26075:A 1.64648e-05 -4 *26291:C 0.000379034 -5 *26241:A2 0.000614674 -6 *26025:A 0 -7 *24861:Y 0.00118387 -8 *1187:59 0.00120711 -9 *1187:48 0.00157791 -10 *1187:41 0.00403647 -11 *1187:25 0.00301376 -12 *1187:14 0.00125323 -13 *1187:12 0.00215357 -14 *1187:7 0.00255204 -15 *26043:C *26043:A_N 9.71197e-05 -16 *26043:C *26043:B 5.52302e-05 -17 *26043:C *26452:A2 7.2754e-05 -18 *26043:C *26579:A1 1.08359e-05 -19 *26043:C *4508:294 3.75052e-05 -20 *26075:A *2072:45 2.89114e-05 -21 *26075:A *2190:134 2.89114e-05 -22 *26241:A2 *1946:98 0.00100737 -23 *26241:A2 *2047:23 6.10018e-06 -24 *26241:A2 *2127:45 0.000409911 -25 *26241:A2 *2132:21 0.00012385 -26 *26241:A2 *2142:26 0.000122509 -27 *26241:A2 *2154:61 9.90367e-05 -28 *26241:A2 *2638:14 0.0014209 -29 *26241:A2 *5673:28 1.30327e-05 -30 *26241:A2 *5673:40 0.000136333 -31 *26291:C *26100:C 0.000218685 -32 *26291:C *1946:16 0.000504699 -33 *26291:C *1946:18 5.41794e-05 -34 *26291:C *2054:53 0.000551866 -35 *1187:7 *26050:A 0.00022266 -36 *1187:7 *26050:B 2.44318e-05 -37 *1187:7 *26086:A 5.33005e-05 -38 *1187:7 *26559:A 2.24079e-05 -39 *1187:7 *26559:C 9.71197e-05 -40 *1187:7 *26560:A 0.000223558 -41 *1187:7 *26561:A1 8.46829e-05 -42 *1187:7 *26561:A2 0.000324825 -43 *1187:7 *1926:13 7.80417e-05 -44 *1187:7 *2028:5 0.00106253 -45 *1187:7 *2028:17 0.00119141 -46 *1187:7 *2187:25 0.000174275 -47 *1187:7 *4264:8 0.00014183 -48 *1187:7 *4308:8 1.21289e-05 -49 *1187:12 *26452:A1 0.000178625 -50 *1187:12 *26452:A2 0.000311354 -51 *1187:12 *26470:A 0.000737299 -52 *1187:12 *26579:A1 0.00037114 -53 *1187:12 *1949:8 0.000148189 -54 *1187:12 *1950:22 0.000185944 -55 *1187:12 *2034:16 1.90936e-05 -56 *1187:12 *2501:11 5.59463e-05 -57 *1187:12 *4508:294 9.74546e-05 -58 *1187:12 *5782:232 9.87983e-06 -59 *1187:14 *26470:A 0.000562683 -60 *1187:14 *26470:D 9.91086e-05 -61 *1187:14 *26476:A3 0.000274909 -62 *1187:14 *26682:A2 0.000185712 -63 *1187:14 *1950:22 0.000425994 -64 *1187:14 *1952:22 3.54863e-05 -65 *1187:14 *1989:80 0.00108303 -66 *1187:25 *26025:B 1.3701e-05 -67 *1187:25 *26132:A1 9.58181e-05 -68 *1187:25 *26132:A2 0.000108535 -69 *1187:25 *26135:B1 0.000306234 -70 *1187:25 *1950:37 0.00017309 -71 *1187:25 *1967:8 0.000181803 -72 *1187:25 *1967:36 0.000429247 -73 *1187:25 *1989:80 8.68665e-05 -74 *1187:41 *25995:A 1.58163e-05 -75 *1187:41 *26025:B 4.63078e-05 -76 *1187:41 *26168:B 0.000118279 -77 *1187:41 *26245:A 1.21258e-05 -78 *1187:41 *1862:27 0.000895452 -79 *1187:41 *1902:41 0.000145618 -80 *1187:41 *1937:7 6.86693e-05 -81 *1187:41 *1968:11 8.38462e-05 -82 *1187:41 *1968:20 2.84026e-05 -83 *1187:41 *2001:17 3.80953e-06 -84 *1187:41 *2023:15 0 -85 *1187:41 *2111:13 0.000464893 -86 *1187:41 *2187:5 2.89114e-05 -87 *1187:41 *2187:109 6.12335e-05 -88 *1187:41 *6291:67 8.35738e-05 -89 *1187:48 *1874:35 0.000123605 -90 *1187:48 *1946:18 0.000517686 -91 *1187:48 *1946:29 2.09826e-05 -92 *1187:48 *2048:10 0.00128943 -93 *1187:48 *2054:53 0.00137273 -94 *1187:48 *2132:21 2.47517e-05 -95 *1187:48 *2142:25 0.00150724 -96 *1187:48 *2470:11 7.49162e-06 -97 *1187:48 *4353:95 0.000609061 -98 *1187:48 *6291:80 9.1144e-05 -99 *1187:48 *6291:88 1.94879e-05 -100 *1187:59 *2047:8 0.000148189 -101 *1187:59 *2132:21 0.00132591 -102 *1187:59 *2142:25 0.000317211 -103 *1187:59 *2161:39 0.000456863 -104 *1187:59 *2484:20 0.000223303 -105 *1187:59 *5664:40 7.32272e-05 -106 *30594:A *1187:7 0.000181796 -107 *30598:A *1187:7 0.000511661 -108 *1171:12 *1187:41 2.04866e-05 -109 *1186:47 *1187:48 0.000111636 -*RES -1 *24861:Y *1187:7 46.7821 -2 *1187:7 *1187:12 28.5179 -3 *1187:12 *1187:14 24.4107 -4 *1187:14 *1187:25 15.25 -5 *1187:25 *26025:A 9.3 -6 *1187:25 *1187:41 47.9286 -7 *1187:41 *1187:48 38.6607 -8 *1187:48 *1187:59 28.1429 -9 *1187:59 *26241:A2 36.7286 -10 *1187:41 *26291:C 23.3893 -11 *1187:14 *26075:A 14.3357 -12 *1187:12 *26010:A 13.8 -13 *1187:7 *26043:C 16.6929 -*END - -*D_NET *1188 0.0391769 -*CONN -*I *26089:A I *D sky130_fd_sc_hd__and2_4 -*I *26090:A I *D sky130_fd_sc_hd__nand2_8 -*I *26055:A I *D sky130_fd_sc_hd__nand2_4 -*I *26082:A I *D sky130_fd_sc_hd__nor2_4 -*I *26157:A I *D sky130_fd_sc_hd__nand2_8 -*I *26118:A I *D sky130_fd_sc_hd__nor2_4 -*I *26231:B I *D sky130_fd_sc_hd__and4b_1 -*I *26191:A I *D sky130_fd_sc_hd__or2_4 -*I *26470:C I *D sky130_fd_sc_hd__and4_1 -*I *26155:A1 I *D sky130_fd_sc_hd__o311a_1 -*I *24862:Y O *D sky130_fd_sc_hd__clkinv_8 -*CAP -1 *26089:A 0.000113262 -2 *26090:A 6.35045e-05 -3 *26055:A 2.76349e-05 -4 *26082:A 8.15332e-05 -5 *26157:A 0.000381562 -6 *26118:A 9.81406e-05 -7 *26231:B 0 -8 *26191:A 0.000595638 -9 *26470:C 7.0891e-05 -10 *26155:A1 8.11017e-05 -11 *24862:Y 0.000126925 -12 *1188:104 0.00095377 -13 *1188:102 0.000983622 -14 *1188:97 0.00159556 -15 *1188:85 0.00204156 -16 *1188:54 0.0025427 -17 *1188:40 0.00279562 -18 *1188:18 0.00230733 -19 *1188:12 0.00102301 -20 *1188:10 0.000532441 -21 *1188:8 0.000342778 -22 *26055:A *6180:11 2.89114e-05 -23 *26082:A *1950:181 5.33005e-05 -24 *26082:A *2006:75 0.000158407 -25 *26082:A *2024:7 5.33005e-05 -26 *26082:A *5782:183 0.000166973 -27 *26089:A *26089:B 5.52238e-05 -28 *26089:A *1935:182 8.86413e-05 -29 *26089:A *2031:7 7.61932e-05 -30 *26090:A *6192:13 5.41609e-05 -31 *26118:A *1943:18 2.70899e-05 -32 *26118:A *2250:64 0.000181279 -33 *26118:A *4189:67 0.000236243 -34 *26155:A1 *26154:D 3.54498e-05 -35 *26155:A1 *26155:A3 5.54817e-06 -36 *26155:A1 *1935:193 1.94275e-05 -37 *26155:A1 *2025:12 4.40724e-05 -38 *26157:A *2044:18 0.00102666 -39 *26157:A *2367:5 0.00119981 -40 *26191:A *26186:A 5.7661e-06 -41 *26191:A *26191:B 0 -42 *26191:A *26231:A_N 6.57032e-05 -43 *26191:A *26426:A 8.55871e-05 -44 *26191:A *26426:C 6.42095e-05 -45 *26191:A *26426:D 5.33005e-05 -46 *26191:A *1945:47 0.000177815 -47 *26191:A *2128:7 3.82357e-05 -48 *26191:A *2133:14 4.58194e-05 -49 *26191:A *2187:115 0.000135028 -50 *26191:A *2367:5 4.11223e-05 -51 *26470:C *26470:D 5.52302e-05 -52 *26470:C *2159:118 8.11174e-05 -53 *26470:C *2620:13 0.000219711 -54 *1188:8 *1935:182 4.73656e-05 -55 *1188:8 *5672:5 1.92905e-05 -56 *1188:8 *5782:210 6.30388e-05 -57 *1188:10 *1935:182 0.000190155 -58 *1188:12 *29519:A 0 -59 *1188:12 *29526:A 0 -60 *1188:12 *30107:A 0 -61 *1188:12 *1935:182 0.000772346 -62 *1188:18 *26154:A 5.26392e-05 -63 *1188:18 *26154:C 0.000536787 -64 *1188:18 *26154:D 0.000105837 -65 *1188:18 *29924:A 0 -66 *1188:18 *30107:A 0 -67 *1188:18 *1935:182 0.000536809 -68 *1188:18 *1935:193 5.62735e-05 -69 *1188:18 *2025:12 0.000132324 -70 *1188:18 *5782:203 0.000362221 -71 *1188:40 *26099:A 1.99271e-05 -72 *1188:40 *26099:B 7.10718e-05 -73 *1188:40 *26154:A 3.89621e-06 -74 *1188:40 *26690:B 0.00022197 -75 *1188:40 *1931:51 0.000931801 -76 *1188:40 *1950:181 9.21611e-05 -77 *1188:40 *2029:50 7.58841e-05 -78 *1188:40 *2037:17 8.10737e-06 -79 *1188:40 *2056:37 0.000175892 -80 *1188:40 *2517:6 7.74135e-05 -81 *1188:54 *26109:B 5.33005e-05 -82 *1188:54 *26139:A 0.000172498 -83 *1188:54 *26583:A 0.000175892 -84 *1188:54 *26659:A 3.10885e-05 -85 *1188:54 *26682:A2 9.0145e-05 -86 *1188:54 *1941:50 0.000194881 -87 *1188:54 *2006:75 0.000166296 -88 *1188:54 *2016:14 0.00039284 -89 *1188:54 *2159:118 0.000114587 -90 *1188:54 *2522:11 6.04072e-05 -91 *1188:54 *2522:21 0.000293987 -92 *1188:54 *2620:13 0.000212153 -93 *1188:54 *5782:183 0.000164766 -94 *1188:85 *26139:A 0.00040063 -95 *1188:85 *26475:A1 0.000292227 -96 *1188:85 *26476:A1 0.000146295 -97 *1188:85 *26476:B1 9.11365e-06 -98 *1188:85 *26486:D 3.71881e-05 -99 *1188:85 *1950:22 0.000362145 -100 *1188:85 *1950:37 0.000158279 -101 *1188:85 *1952:22 1.11654e-05 -102 *1188:85 *1952:26 0.000178158 -103 *1188:85 *1955:115 0.000902489 -104 *1188:85 *1989:80 0.000530533 -105 *1188:85 *2016:24 0 -106 *1188:85 *2072:45 0.000509226 -107 *1188:85 *2217:82 0.000184223 -108 *1188:85 *2501:11 0.000144038 -109 *1188:97 *26072:B 0.000123602 -110 *1188:97 *26076:B 7.57946e-05 -111 *1188:97 *26077:A 0.000260574 -112 *1188:97 *26445:C 0.000568721 -113 *1188:97 *1955:115 9.75155e-05 -114 *1188:97 *1976:11 0.000449697 -115 *1188:97 *1976:47 5.41794e-05 -116 *1188:97 *1982:24 6.05161e-06 -117 *1188:97 *1989:7 0.000137983 -118 *1188:97 *1997:69 2.8046e-05 -119 *1188:97 *2004:13 0.000203412 -120 *1188:97 *2057:8 0.000353105 -121 *1188:97 *2298:26 4.22132e-05 -122 *1188:97 *2310:11 0.000120505 -123 *1188:102 *25996:B 8.17274e-05 -124 *1188:102 *25997:A 4.10843e-05 -125 *1188:102 *25997:B 0.000136682 -126 *1188:102 *1938:13 0.000651815 -127 *1188:102 *2044:18 0.000890218 -128 *1188:102 *2367:5 0.00132301 -129 *1188:104 *25996:B 0.000137983 -130 *1188:104 *25997:A 0.000146701 -131 *1188:104 *26231:A_N 0.000262362 -132 *1188:104 *26231:C 0.000835622 -133 *1188:104 *26231:D 2.3541e-05 -134 *1188:104 *1937:36 1.98839e-05 -135 *1188:104 *1938:13 0.00016641 -136 *1188:104 *2367:5 5.87969e-05 -*RES -1 *24862:Y *1188:8 16.5857 -2 *1188:8 *1188:10 2.55357 -3 *1188:10 *1188:12 9.83929 -4 *1188:12 *1188:18 18.3929 -5 *1188:18 *26155:A1 15.7464 -6 *1188:18 *1188:40 40.7857 -7 *1188:40 *1188:54 34.5714 -8 *1188:54 *26470:C 11.4786 -9 *1188:54 *1188:85 45.535 -10 *1188:85 *1188:97 34.1786 -11 *1188:97 *1188:102 18.2321 -12 *1188:102 *1188:104 10.3929 -13 *1188:104 *26191:A 19.3 -14 *1188:104 *26231:B 9.3 -15 *1188:102 *26118:A 21.4607 -16 *1188:97 *26157:A 21.7643 -17 *1188:40 *26082:A 16.5857 -18 *1188:12 *26055:A 14.3357 -19 *1188:10 *26090:A 15.1571 -20 *1188:8 *26089:A 16.3893 -*END - -*D_NET *1189 0.0153986 -*CONN -*I *26245:A I *D sky130_fd_sc_hd__or3_4 -*I *26169:A I *D sky130_fd_sc_hd__or3_4 -*I *26104:C I *D sky130_fd_sc_hd__or4_4 -*I *26060:A I *D sky130_fd_sc_hd__nor2_1 -*I *26228:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *26417:A I *D sky130_fd_sc_hd__or2_1 -*I *24863:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *26245:A 0.000170853 -2 *26169:A 3.06286e-05 -3 *26104:C 9.60964e-05 -4 *26060:A 0.000688247 -5 *26228:B1 0.000397637 -6 *26417:A 0.000533819 -7 *24863:Y 0 -8 *1189:76 0.000285929 -9 *1189:67 0.000403008 -10 *1189:15 0.00114588 -11 *1189:14 0.00154326 -12 *1189:4 0.00086305 -13 *26060:A *26060:B 0.000174791 -14 *26060:A *26162:A 9.79355e-05 -15 *26060:A *26229:C 0.000136951 -16 *26060:A *26292:A 0.00014051 -17 *26060:A *26292:B 0.000268368 -18 *26060:A *26742:A1 0.000222666 -19 *26060:A *1964:66 9.41642e-05 -20 *26060:A *1973:22 0.000821499 -21 *26060:A *1973:35 7.23479e-05 -22 *26060:A *2104:34 0.000254042 -23 *26060:A *2136:51 0.00022266 -24 *26060:A *2172:8 1.57414e-05 -25 *26060:A *2210:17 6.87146e-05 -26 *26060:A *2213:7 0.000251916 -27 *26060:A *2234:16 4.96113e-05 -28 *26104:C *26104:B 6.17397e-05 -29 *26104:C *4519:70 6.17397e-05 -30 *26104:C *4541:47 1.38323e-05 -31 *26104:C *4541:58 9.41642e-05 -32 *26169:A *26229:B 9.41642e-05 -33 *26169:A *2111:13 5.33005e-05 -34 *26169:A *4353:88 2.14757e-05 -35 *26228:B1 *26174:B 5.33005e-05 -36 *26228:B1 *26228:A1 4.8765e-06 -37 *26228:B1 *26228:A2 1.76879e-07 -38 *26228:B1 *26230:C 1.38323e-05 -39 *26228:B1 *26230:D 9.95674e-05 -40 *26228:B1 *2116:8 0.000193278 -41 *26228:B1 *2116:10 7.29712e-05 -42 *26228:B1 *2170:14 0.000281452 -43 *26228:B1 *2171:11 1.33343e-05 -44 *26228:B1 *4519:91 2.59355e-05 -45 *26245:A *26229:B 3.17148e-05 -46 *26245:A *2111:13 0.000205508 -47 *26245:A *2157:8 2.49484e-05 -48 *26245:A *4530:56 9.65075e-05 -49 *26417:A *26230:B 9.41642e-05 -50 *26417:A *26230:C 1.98839e-05 -51 *26417:A *26230:D 3.96597e-05 -52 *26417:A *26417:B 9.41642e-05 -53 *26417:A *2141:106 0.000388146 -54 *26417:A *2157:81 0.000391568 -55 *26417:A *2171:11 3.63775e-05 -56 *26417:A *2172:8 1.24368e-05 -57 *26417:A *4508:132 0.000101444 -58 *1189:14 *26036:B1 8.36315e-05 -59 *1189:14 *26175:B1 7.16532e-05 -60 *1189:14 *26178:A1 5.51952e-05 -61 *1189:14 *1937:22 9.14167e-05 -62 *1189:14 *1963:8 0.000351101 -63 *1189:14 *2103:7 9.41642e-05 -64 *1189:14 *2106:17 0.000177501 -65 *1189:14 *2187:109 3.17264e-05 -66 *1189:14 *2221:8 0.000855416 -67 *1189:14 *2357:13 0.00074846 -68 *1189:14 *5782:51 0.000283493 -69 *1189:15 *2172:8 6.10786e-05 -70 *1189:67 *4541:58 0.000343808 -71 *1189:76 *26229:B 0.000216297 -72 *1189:76 *2046:66 0.000103974 -73 *1189:76 *2111:13 3.17148e-05 -74 *1189:76 *2157:8 3.98524e-05 -75 *1187:41 *26245:A 1.21258e-05 -*RES -1 *24863:Y *1189:4 9.3 -2 *1189:4 *1189:14 37.8571 -3 *1189:14 *1189:15 3 -4 *1189:15 *26417:A 29.9071 -5 *1189:15 *26228:B1 25.6393 -6 *1189:14 *26060:A 29.4339 -7 *1189:4 *1189:67 3.83929 -8 *1189:67 *26104:C 11.1527 -9 *1189:67 *1189:76 7.35714 -10 *1189:76 *26169:A 14.7464 -11 *1189:76 *26245:A 18.0143 -*END - -*D_NET *1190 0.00850281 -*CONN -*I *25983:A I *D sky130_fd_sc_hd__xnor2_2 -*I *26234:A I *D sky130_fd_sc_hd__or2_2 -*I *26229:B I *D sky130_fd_sc_hd__or3_1 -*I *26169:B I *D sky130_fd_sc_hd__or3_4 -*I *26199:B I *D sky130_fd_sc_hd__or3_4 -*I *24864:Y O *D sky130_fd_sc_hd__clkinv_2 -*CAP -1 *25983:A 0.000171271 -2 *26234:A 2.99504e-05 -3 *26229:B 0.000910007 -4 *26169:B 0 -5 *26199:B 0 -6 *24864:Y 7.91102e-05 -7 *1190:39 0.000291743 -8 *1190:16 0.00103445 -9 *1190:13 0.000232616 -10 *1190:5 9.67607e-05 -11 *25983:A *26100:C 0.000178847 -12 *25983:A *26405:A 4.08637e-05 -13 *25983:A *26562:A1 0.000181796 -14 *25983:A *1911:64 9.90367e-05 -15 *25983:A *2046:10 0.000163911 -16 *25983:A *2176:101 0.000156185 -17 *25983:A *2279:7 0.000105569 -18 *26229:B *26229:A 1.24368e-05 -19 *26229:B *26229:C 0.000193548 -20 *26229:B *2046:66 6.71069e-05 -21 *26229:B *2111:13 1.98839e-05 -22 *26229:B *2116:8 0 -23 *26229:B *2141:106 8.6229e-06 -24 *26229:B *2157:81 0.000871989 -25 *26229:B *2170:14 4.47876e-05 -26 *26229:B *2190:134 0.000216755 -27 *26229:B *4353:88 2.42516e-05 -28 *26229:B *4530:56 0.000185297 -29 *26229:B *4530:58 0.000211212 -30 *26229:B *4530:72 2.09826e-05 -31 *26229:B *5673:251 0.000666975 -32 *26234:A *26293:A3 9.41642e-05 -33 *26234:A *2047:113 9.41642e-05 -34 *1190:5 *26245:B 0.000175892 -35 *1190:5 *2043:143 0.000263524 -36 *1190:5 *2346:5 3.97677e-05 -37 *1190:13 *2043:143 5.33005e-05 -38 *1190:13 *2346:5 1.98839e-05 -39 *1190:16 *2046:10 0.000383281 -40 *1190:16 *4541:40 0.000382566 -41 *1190:39 *2046:10 0.000187446 -42 *1190:39 *2176:101 7.25338e-05 -43 *1190:39 *4541:40 7.81384e-05 -44 *26169:A *26229:B 9.41642e-05 -45 *26245:A *26229:B 3.17148e-05 -46 *1189:76 *26229:B 0.000216297 -*RES -1 *24864:Y *1190:5 11.8893 -2 *1190:5 *26199:B 9.3 -3 *1190:5 *1190:13 5.03571 -4 *1190:13 *1190:16 9.48214 -5 *1190:16 *26169:B 9.3 -6 *1190:16 *26229:B 41.8893 -7 *1190:13 *1190:39 2.55357 -8 *1190:39 *26234:A 14.7464 -9 *1190:39 *25983:A 19.4607 -*END - -*D_NET *1191 0.0223013 -*CONN -*I *24999:S I *D sky130_fd_sc_hd__mux2_1 -*I *25226:S I *D sky130_fd_sc_hd__mux2_1 -*I *25035:S I *D sky130_fd_sc_hd__mux2_1 -*I *25168:S I *D sky130_fd_sc_hd__mux2_1 -*I *25072:S I *D sky130_fd_sc_hd__mux2_1 -*I *25285:S I *D sky130_fd_sc_hd__mux2_1 -*I *25348:S I *D sky130_fd_sc_hd__mux2_1 -*I *25415:A I *D sky130_fd_sc_hd__nor2_1 -*I *24865:X O *D sky130_fd_sc_hd__or3_4 -*CAP -1 *24999:S 0 -2 *25226:S 0.000279151 -3 *25035:S 0 -4 *25168:S 9.01897e-05 -5 *25072:S 0 -6 *25285:S 0.000234723 -7 *25348:S 0 -8 *25415:A 0.000837608 -9 *24865:X 0.000940119 -10 *1191:107 0.000346996 -11 *1191:85 0.0003823 -12 *1191:76 0.00094332 -13 *1191:65 0.000851658 -14 *1191:52 0.000617516 -15 *1191:42 0.000417986 -16 *1191:16 0.00172161 -17 *1191:11 0.00165632 -18 *25168:S *24872:A 2.39876e-05 -19 *25168:S *25073:A0 5.49489e-05 -20 *25168:S *1755:10 0.000164823 -21 *25168:S *3564:71 5.49489e-05 -22 *25226:S *24999:A1 2.59355e-05 -23 *25226:S *25000:A0 0.000127822 -24 *25226:S *1323:57 8.43535e-06 -25 *25226:S *1323:59 9.76443e-05 -26 *25226:S *1323:80 2.67489e-05 -27 *25226:S *4171:11 0.000139202 -28 *25285:S *24867:A2 9.60939e-05 -29 *25285:S *28064:B 0.000153292 -30 *25415:A *25348:A0 0.000259304 -31 *25415:A *25415:B 1.04232e-05 -32 *25415:A *1251:21 0.000162282 -33 *25415:A *1324:74 0.000276572 -34 *25415:A *1393:165 0.000174441 -35 *25415:A *1731:19 5.49544e-05 -36 *25415:A *5237:29 4.65158e-06 -37 *25415:A *5334:28 4.01462e-06 -38 *1191:11 *24865:A 3.29284e-05 -39 *1191:11 *1730:114 2.23987e-05 -40 *1191:11 *1744:46 1.20192e-05 -41 *1191:11 *3561:28 2.19185e-05 -42 *1191:11 *4170:20 0.000874365 -43 *1191:11 *5236:20 0.000895739 -44 *1191:11 *5341:12 0.00117637 -45 *1191:11 *6169:126 0 -46 *1191:16 *4170:20 0.000720917 -47 *1191:42 *25821:A1 1.60028e-05 -48 *1191:42 *1251:21 0.000256969 -49 *1191:42 *4162:12 6.99087e-05 -50 *1191:42 *4170:20 4.18413e-05 -51 *1191:42 *5350:8 6.99087e-05 -52 *1191:52 *24867:A2 8.27532e-05 -53 *1191:52 *1323:57 8.90144e-05 -54 *1191:52 *4162:12 0.00015234 -55 *1191:52 *5238:13 7.66141e-05 -56 *1191:52 *5350:8 0.00052915 -57 *1191:52 *5899:20 0.000144684 -58 *1191:65 *3561:22 0.000363296 -59 *1191:65 *3561:24 5.42764e-05 -60 *1191:65 *5350:8 0.000403941 -61 *1191:76 *28088:CLK 9.71197e-05 -62 *1191:76 *28092:CLK 3.14008e-05 -63 *1191:76 *29470:A 9.58126e-05 -64 *1191:76 *1324:113 0.000224315 -65 *1191:76 *1730:76 0.000105993 -66 *1191:76 *1871:94 1.02821e-05 -67 *1191:76 *3564:28 0.000183364 -68 *1191:76 *4159:14 0.000383932 -69 *1191:76 *4171:11 3.69047e-06 -70 *1191:76 *4174:17 7.10319e-05 -71 *1191:85 *24872:A 1.27625e-05 -72 *1191:85 *25035:A0 4.81075e-06 -73 *1191:85 *1427:168 1.89799e-05 -74 *1191:85 *1755:10 0.00023108 -75 *1191:85 *1873:61 0.000155155 -76 *1191:85 *5899:20 9.75027e-06 -77 *1191:107 *3561:20 0.000171375 -78 *1191:107 *3561:22 2.26824e-05 -79 *1191:107 *5350:8 0.000195198 -80 *28411:RESET_B *1191:85 0.000155155 -81 *29477:A *1191:76 0.000541406 -82 *399:18 *1191:76 0.000710462 -83 *411:25 *1191:11 0.00121107 -84 *593:19 *25168:S 0.000107909 -85 *593:19 *1191:85 0.000199209 -*RES -1 *24865:X *1191:11 47.052 -2 *1191:11 *1191:16 8.35847 -3 *1191:16 *25415:A 24.4625 -4 *1191:16 *1191:42 4.7373 -5 *1191:42 *25348:S 13.8 -6 *1191:42 *1191:52 12.5536 -7 *1191:52 *25285:S 13.5679 -8 *1191:52 *1191:65 9.78571 -9 *1191:65 *1191:76 33.3929 -10 *1191:76 *1191:85 16.6903 -11 *1191:85 *25072:S 13.8 -12 *1191:85 *25168:S 16.5857 -13 *1191:76 *25035:S 9.3 -14 *1191:65 *1191:107 7.05357 -15 *1191:107 *25226:S 15.175 -16 *1191:107 *24999:S 9.3 -*END - -*D_NET *1192 0.0109185 -*CONN -*I *25435:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30502:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24866:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *25435:A0 0.000470141 -2 *30502:A 1.42796e-05 -3 *24866:X 0.000798248 -4 *1192:34 0.00167534 -5 *1192:25 0.00198917 -6 *25435:A0 *24877:A2 0.000383166 -7 *25435:A0 *4161:28 0.000373684 -8 *25435:A0 *4189:41 0.000972395 -9 *25435:A0 *4192:218 0.000348304 -10 *25435:A0 *4192:239 0.000624091 -11 *30502:A *24877:A2 5.33005e-05 -12 *30502:A *4161:28 5.52302e-05 -13 *1192:25 *25471:A1 0.000155613 -14 *1192:25 *25476:A1 5.21057e-05 -15 *1192:25 *28048:A 0.00035503 -16 *1192:25 *28168:CLK 6.09762e-05 -17 *1192:25 *1738:92 2.47753e-05 -18 *1192:25 *3561:59 0.000246462 -19 *1192:25 *4154:27 0.000100904 -20 *1192:25 *4192:20 0.000391578 -21 *1192:25 *4546:20 0.000120466 -22 *1192:25 *4759:8 3.02916e-05 -23 *1192:25 *5256:23 0.000384243 -24 *1192:25 *5412:27 1.24368e-05 -25 *1192:25 *5545:59 0.000180259 -26 *1192:25 *5836:150 9.49022e-05 -27 *1192:34 *1196:23 1.90936e-05 -28 *1192:34 *1730:41 0.000179578 -29 *1192:34 *1744:46 9.20104e-05 -30 *1192:34 *4160:38 0.000117447 -31 *1192:34 *4195:67 0.000307784 -32 *1192:34 *5902:22 0 -33 *24866:B *1192:25 3.47182e-05 -34 *418:8 *1192:25 0.000181409 -35 *501:10 *1192:34 1.90936e-05 -*RES -1 *24866:X *1192:25 48.0353 -2 *1192:25 *1192:34 13.9672 -3 *1192:34 *30502:A 9.83571 -4 *1192:34 *25435:A0 34.9964 -*END - -*D_NET *1193 0.0063993 -*CONN -*I *25435:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30513:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24867:Y O *D sky130_fd_sc_hd__a21oi_1 -*CAP -1 *25435:A1 0.000239242 -2 *30513:A 0.000300777 -3 *24867:Y 0.000735997 -4 *1193:9 0.00127602 -5 *25435:A1 *25435:S 6.595e-05 -6 *25435:A1 *25436:A1 0.000127427 -7 *25435:A1 *25436:A2 9.50364e-05 -8 *25435:A1 *1746:17 0.000137983 -9 *25435:A1 *5900:10 0.000229176 -10 *30513:A *1324:37 9.60875e-05 -11 *1193:9 *24867:A2 6.34436e-05 -12 *1193:9 *24867:B1 4.51309e-05 -13 *1193:9 *24877:A1 8.40933e-05 -14 *1193:9 *29107:A 5.33005e-05 -15 *1193:9 *4159:33 0.000421837 -16 *1193:9 *4159:64 0.000457945 -17 *1193:9 *4159:71 0.000180951 -18 *1193:9 *4159:80 0.000263863 -19 *1193:9 *5835:11 1.21258e-05 -20 *1193:9 *5900:10 5.75147e-05 -21 *373:12 *30513:A 0.000726846 -22 *418:8 *30513:A 0.000728554 -*RES -1 *24867:Y *1193:9 24.7643 -2 *1193:9 *30513:A 28.7821 -3 *1193:9 *25435:A1 15.7286 -*END - -*D_NET *1194 0.0157106 -*CONN -*I *25438:B1 I *D sky130_fd_sc_hd__a31o_1 -*I *24870:A3 I *D sky130_fd_sc_hd__o31a_1 -*I *24868:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *25438:B1 0.000913685 -2 *24870:A3 0 -3 *24868:X 0.00155457 -4 *1194:37 0.00191429 -5 *1194:14 0.00255518 -6 *25438:B1 *25438:A3 2.12005e-05 -7 *25438:B1 *25476:B1_N 0.000220935 -8 *25438:B1 *25515:B1 0.00150154 -9 *25438:B1 *1745:38 0.000308309 -10 *25438:B1 *1749:21 0.000526039 -11 *25438:B1 *4194:51 0.000170436 -12 *25438:B1 *5341:12 2.72782e-05 -13 *25438:B1 *6169:144 8.44271e-06 -14 *1194:14 *25434:B 0.000283569 -15 *1194:14 *25651:A0 0.000508712 -16 *1194:14 *28238:D 1.02821e-05 -17 *1194:14 *29173:A 4.72134e-05 -18 *1194:14 *1324:37 6.42095e-05 -19 *1194:14 *1545:51 0.000108471 -20 *1194:14 *1730:41 0.000134265 -21 *1194:14 *1745:38 0.000159421 -22 *1194:14 *1746:17 5.26533e-05 -23 *1194:14 *1771:29 1.27784e-05 -24 *1194:14 *3871:17 0.000128419 -25 *1194:14 *4159:33 0.000385089 -26 *1194:14 *4161:17 4.22135e-06 -27 *1194:14 *5902:8 0.000131546 -28 *1194:14 *5902:22 2.06178e-05 -29 *1194:37 *29485:A 0.000425397 -30 *1194:37 *30306:A 2.42516e-05 -31 *1194:37 *1738:92 4.87854e-05 -32 *1194:37 *1745:38 6.36841e-05 -33 *1194:37 *1771:29 3.14008e-05 -34 *1194:37 *3935:16 0.00122225 -35 *1194:37 *4160:38 0.000374091 -36 *1194:37 *4196:18 1.3185e-05 -37 *1194:37 *4525:11 2.59355e-05 -38 *1194:37 *4548:15 0.000135028 -39 *1194:37 *5291:29 4.04359e-05 -40 *1194:37 *5542:21 0.000799142 -41 *25438:A1 *25438:B1 2.2351e-05 -42 *30305:A *1194:37 0.000372567 -43 *1175:21 *25438:B1 0.000338733 -*RES -1 *24868:X *1194:14 40.7977 -2 *1194:14 *24870:A3 13.8 -3 *1194:14 *1194:37 48.3654 -4 *1194:37 *25438:B1 23.8597 -*END - -*D_NET *1195 0.0177062 -*CONN -*I *24870:B1 I *D sky130_fd_sc_hd__o31a_1 -*I *24869:Y O *D sky130_fd_sc_hd__nand2b_1 -*CAP -1 *24870:B1 0.00105389 -2 *24869:Y 0 -3 *1195:6 0.00361268 -4 *1195:5 0.00255879 -5 *24870:B1 *24870:A2 0.000869048 -6 *24870:B1 *25434:A 5.52302e-05 -7 *24870:B1 *25434:B 5.52302e-05 -8 *24870:B1 *25656:A1 6.42095e-05 -9 *24870:B1 *25656:S 9.71197e-05 -10 *24870:B1 *28143:CLK 6.86693e-05 -11 *24870:B1 *28143:D 0.000101658 -12 *24870:B1 *1287:25 9.76592e-05 -13 *24870:B1 *3616:11 0.000362605 -14 *1195:6 *24871:A1 0.000100831 -15 *1195:6 *24921:A 9.03762e-05 -16 *1195:6 *24961:A 0 -17 *1195:6 *25863:A1 0.000516401 -18 *1195:6 *28283:D 0.000100823 -19 *1195:6 *28305:D 0.000356333 -20 *1195:6 *28307:CLK 0 -21 *1195:6 *29899:A 0.000382431 -22 *1195:6 *1259:8 0.000685708 -23 *1195:6 *1759:11 0.00129728 -24 *1195:6 *1827:61 0.000337368 -25 *1195:6 *1829:25 0.00012401 -26 *1195:6 *1875:15 3.46899e-05 -27 *1195:6 *3570:97 0.00242581 -28 *1195:6 *3616:11 6.03343e-05 -29 *1195:6 *3616:50 5.81709e-05 -30 *1195:6 *3616:59 8.05625e-05 -31 *1195:6 *3616:88 7.81463e-05 -32 *1195:6 *3616:99 0.000318194 -33 *1195:6 *3626:21 0 -34 *1195:6 *3657:10 1.45616e-05 -35 *1195:6 *3702:26 0.000110948 -36 *1195:6 *4156:60 0.000173797 -37 *1195:6 *4157:45 0.000120505 -38 *1195:6 *4192:239 7.83659e-05 -39 *1195:6 *5267:17 0.000165407 -40 *1195:6 *5850:10 0 -41 *1195:6 *5889:28 7.83587e-05 -42 *28167:RESET_B *24870:B1 0.000490048 -43 *29887:A *1195:6 0.000429918 -*RES -1 *24869:Y *1195:5 13.8 -2 *1195:5 *1195:6 74.0982 -3 *1195:6 *24870:B1 36.2911 -*END - -*D_NET *1196 0.00469411 -*CONN -*I *30002:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24870:X O *D sky130_fd_sc_hd__o31a_1 -*CAP -1 *30002:A 0 -2 *24870:X 0.001022 -3 *1196:23 0.001022 -4 *1196:23 *25435:S 1.18358e-05 -5 *1196:23 *29173:A 9.34707e-05 -6 *1196:23 *1231:17 0.000134717 -7 *1196:23 *1744:38 9.34707e-05 -8 *1196:23 *1745:38 0.00014576 -9 *1196:23 *1752:19 2.88881e-05 -10 *1196:23 *3558:38 0.000371172 -11 *1196:23 *3558:54 0.000528744 -12 *1196:23 *4159:33 0.000131152 -13 *1196:23 *4160:38 0.000200806 -14 *28093:RESET_B *1196:23 0.000175892 -15 *28167:RESET_B *1196:23 2.40747e-05 -16 *28407:D *1196:23 0.000543665 -17 *30276:A *1196:23 9.60939e-05 -18 *501:10 *1196:23 5.1279e-05 -19 *1192:34 *1196:23 1.90936e-05 -*RES -1 *24870:X *1196:23 49.3893 -2 *1196:23 *30002:A 9.3 -*END - -*D_NET *1197 0.00531181 -*CONN -*I *30013:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24871:Y O *D sky130_fd_sc_hd__o21bai_1 -*CAP -1 *30013:A 0 -2 *24871:Y 0.000995343 -3 *1197:15 0.000995343 -4 *1197:15 *1875:36 6.58945e-05 -5 *1197:15 *3561:19 0.000643647 -6 *1197:15 *4159:9 9.90367e-05 -7 *1197:15 *5742:163 0.000195134 -8 *1197:15 *5838:11 0.00110737 -9 *1197:15 *5900:10 1.74932e-05 -10 *29306:A *1197:15 0.000453274 -11 *30278:A *1197:15 0.000380866 -12 *1173:96 *1197:15 0.000358401 -*RES -1 *24871:Y *1197:15 44.7821 -2 *1197:15 *30013:A 9.3 -*END - -*D_NET *1198 0.0122846 -*CONN -*I *25445:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *24873:B1_N I *D sky130_fd_sc_hd__a21bo_1 -*I *24872:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *25445:A1 0 -2 *24873:B1_N 0.000420462 -3 *24872:Y 0.00192913 -4 *1198:11 0.00113987 -5 *1198:7 0.00264854 -6 *24873:B1_N *24867:A2 9.90367e-05 -7 *24873:B1_N *25445:A0 0.000936462 -8 *24873:B1_N *29680:A 0.000974969 -9 *24873:B1_N *1199:8 0.000385443 -10 *24873:B1_N *1744:38 0.000175561 -11 *24873:B1_N *4192:239 2.82057e-05 -12 *1198:7 *3577:27 4.27935e-05 -13 *1198:7 *3577:33 0.000221815 -14 *1198:7 *5550:7 1.76714e-05 -15 *1198:11 *25440:A 4.53031e-05 -16 *1198:11 *25445:A0 1.08359e-05 -17 *1198:11 *1750:15 0.00139006 -18 *1198:11 *4189:41 0.00163046 -19 *1198:11 *5855:8 0 -20 *28267:D *1198:7 0.000188029 -*RES -1 *24872:Y *1198:7 35.2821 -2 *1198:7 *1198:11 27.2321 -3 *1198:11 *24873:B1_N 36.0679 -4 *1198:11 *25445:A1 9.3 -*END - -*D_NET *1199 0.00418366 -*CONN -*I *25445:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29669:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24873:X O *D sky130_fd_sc_hd__a21bo_1 -*CAP -1 *25445:A0 0.000289547 -2 *29669:A 5.37304e-05 -3 *24873:X 0.000432164 -4 *1199:8 0.000775441 -5 *25445:A0 *1744:25 0 -6 *25445:A0 *1750:15 9.25014e-06 -7 *25445:A0 *4189:41 0.000122786 -8 *25445:A0 *4192:239 0.00059153 -9 *29669:A *5742:170 9.41642e-05 -10 *1199:8 *24867:A2 7.47252e-05 -11 *1199:8 *24873:A1 2.89114e-05 -12 *1199:8 *4192:239 0.000338495 -13 *1199:8 *5865:56 4.01768e-05 -14 *24873:B1_N *25445:A0 0.000936462 -15 *24873:B1_N *1199:8 0.000385443 -16 *1198:11 *25445:A0 1.08359e-05 -*RES -1 *24873:X *1199:8 22.4071 -2 *1199:8 *29669:A 14.7464 -3 *1199:8 *25445:A0 26.0679 -*END - -*D_NET *1200 0.00247707 -*CONN -*I *24875:B1 I *D sky130_fd_sc_hd__o21ai_2 -*I *24874:Y O *D sky130_fd_sc_hd__nand2b_1 -*CAP -1 *24875:B1 0.00080974 -2 *24874:Y 0.00080974 -3 *24875:B1 *24875:A1 0.000424667 -4 *24875:B1 *24875:A2 0.000135028 -5 *24875:B1 *5719:98 3.95407e-06 -6 *24875:B1 *5719:105 0.000123429 -7 *24875:B1 *5797:11 0 -8 *1173:96 *24875:B1 9.25014e-06 -9 *1173:130 *24875:B1 0.000161261 -*RES -1 *24874:Y *24875:B1 39.9571 -*END - -*D_NET *1201 0.00359925 -*CONN -*I *29680:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24875:Y O *D sky130_fd_sc_hd__o21ai_2 -*CAP -1 *29680:A 0.0006271 -2 *24875:Y 0.0006271 -3 *29680:A *1744:38 0.000972547 -4 *29680:A *5742:170 5.52302e-05 -5 *29680:A *5805:5 0.000177821 -6 *29680:A *5805:17 0.000164481 -7 *24873:B1_N *29680:A 0.000974969 -*RES -1 *24875:Y *29680:A 46.0464 -*END - -*D_NET *1202 0.0150248 -*CONN -*I *24877:B1_N I *D sky130_fd_sc_hd__a21bo_1 -*I *25442:A I *D sky130_fd_sc_hd__xnor2_1 -*I *24876:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *24877:B1_N 0 -2 *25442:A 0 -3 *24876:Y 0.00182649 -4 *1202:43 0.00142051 -5 *1202:18 0.00167349 -6 *1202:17 0.00207946 -7 *1202:17 *25439:A1 3.80953e-06 -8 *1202:17 *25439:S 0.000137437 -9 *1202:17 *1231:17 0.00011062 -10 *1202:17 *1742:15 0.000185104 -11 *1202:17 *1862:36 0.000129185 -12 *1202:17 *3558:38 0.000600677 -13 *1202:17 *5542:21 9.41642e-05 -14 *1202:17 *5837:10 7.3237e-05 -15 *1202:17 *5901:57 0.000143362 -16 *1202:18 *24877:A1 0.000801503 -17 *1202:18 *31002:A 1.34195e-05 -18 *1202:18 *5575:30 5.7133e-05 -19 *1202:43 *24866:A 0.000449957 -20 *1202:43 *24877:A1 1.41706e-05 -21 *1202:43 *25814:A1 8.43535e-06 -22 *1202:43 *28078:CLK 0.000427378 -23 *1202:43 *28148:D 2.50463e-05 -24 *1202:43 *29481:A 0.000602194 -25 *1202:43 *1323:24 0.000641492 -26 *1202:43 *1730:56 1.44868e-05 -27 *1202:43 *1730:114 0.00101399 -28 *1202:43 *1752:15 0.000184288 -29 *1202:43 *3561:170 1.73294e-05 -30 *1202:43 *4161:28 0.000498816 -31 *1202:43 *5326:11 0.000154912 -32 *1202:43 *5836:150 0 -33 *28094:D *1202:17 0.000308868 -34 *28094:RESET_B *1202:17 9.24982e-05 -35 *28408:RESET_B *1202:18 0.000699363 -36 *28408:RESET_B *1202:43 5.54856e-05 -37 *30244:A *1202:17 1.24368e-05 -38 *30276:A *1202:17 3.80414e-05 -39 *385:39 *1202:43 3.36015e-05 -40 *419:9 *1202:43 0.000274473 -41 *434:13 *1202:43 0.000107946 -*RES -1 *24876:Y *1202:17 49.7643 -2 *1202:17 *1202:18 10.4464 -3 *1202:18 *1202:43 42.3571 -4 *1202:43 *25442:A 9.3 -5 *1202:18 *24877:B1_N 13.8 -*END - -*D_NET *1203 0.00274009 -*CONN -*I *29107:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24877:X O *D sky130_fd_sc_hd__a21bo_1 -*CAP -1 *29107:A 0.00048013 -2 *24877:X 0.00048013 -3 *29107:A *24867:B1 1.58163e-05 -4 *29107:A *24877:A1 8.19848e-05 -5 *29107:A *25000:A1 0.000677032 -6 *29107:A *1742:12 0.000132365 -7 *29107:A *4160:15 0.000355094 -8 *29107:A *4161:28 9.22103e-06 -9 *29107:A *5377:15 0.000267452 -10 *29107:A *5887:14 0.000187567 -11 *1193:9 *29107:A 5.33005e-05 -*RES -1 *24877:X *29107:A 41.2607 -*END - -*D_NET *1204 0.00405108 -*CONN -*I *29118:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24878:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29118:A 0.00128415 -2 *24878:X 0.00128415 -3 *29118:A *24867:A2 0.000139913 -4 *29118:A *25443:A1 0.000320038 -5 *29118:A *25446:A0 1.58029e-05 -6 *29118:A *25446:A1 6.05161e-06 -7 *29118:A *30069:A 9.05499e-05 -8 *29118:A *1742:44 6.87834e-06 -9 *29118:A *1742:55 0.000505342 -10 *29118:A *1742:65 1.21258e-05 -11 *29118:A *4159:9 0.000150625 -12 *29118:A *4192:239 5.32439e-05 -13 *29118:A *5542:41 0.000133003 -14 *29118:A *5719:115 2.89114e-05 -15 *29118:A *5742:170 2.03008e-05 -16 *373:12 *29118:A 0 -*RES -1 *24878:X *29118:A 49.8857 -*END - -*D_NET *1205 0.0109144 -*CONN -*I *31015:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *24879:X O *D sky130_fd_sc_hd__or3b_1 -*CAP -1 *31015:A 0.00125753 -2 *24879:X 0.00152385 -3 *1205:22 0.00278138 -4 *31015:A *24871:A2 0.000218685 -5 *31015:A *24879:C_N 0.000175743 -6 *31015:A *3165:314 0.000115206 -7 *31015:A *3196:145 0.000113377 -8 *31015:A *3461:26 0.00158299 -9 *31015:A *4113:86 0.000157364 -10 *31015:A *5889:28 0.000858208 -11 *1205:22 *1247:12 0.000516299 -12 *1205:22 *1456:64 2.59355e-05 -13 *1205:22 *2850:94 2.06112e-05 -14 *1205:22 *3461:26 0 -15 *1205:22 *3479:8 1.90936e-05 -16 *1205:22 *3558:17 0.000243698 -17 *1205:22 *3723:62 4.02038e-05 -18 *1205:22 *3736:63 1.90936e-05 -19 *1205:22 *3739:36 1.90936e-05 -20 *1205:22 *3741:27 0.000836577 -21 *1205:22 *3947:68 0.000298929 -22 *1205:22 *5623:23 3.16198e-05 -23 *1205:22 *5741:67 4.43256e-05 -24 *30490:A *1205:22 1.46148e-05 -*RES -1 *24879:X *1205:22 48.4195 -2 *1205:22 *31015:A 30.5032 -*END - -*D_NET *1206 0.00183224 -*CONN -*I *25409:A2 I *D sky130_fd_sc_hd__a32o_1 -*I *24880:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *25409:A2 0.000285079 -2 *24880:Y 0.000285079 -3 *25409:A2 *25409:A3 0.000346238 -4 *25409:A2 *27894:A1 7.80039e-05 -5 *25409:A2 *1600:19 0.000298483 -6 *25409:A2 *3999:17 0.000329744 -7 *25409:A2 *5279:29 7.97186e-05 -8 *25409:A2 *5491:42 0.000112458 -9 *25409:A2 *6225:79 1.74352e-05 -*RES -1 *24880:Y *25409:A2 45.0107 -*END - -*D_NET *1207 0.00591872 -*CONN -*I *30291:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24881:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30291:A 0 -2 *24881:X 0.00131939 -3 *1207:11 0.00131939 -4 *1207:11 *24883:S 5.52238e-05 -5 *1207:11 *25826:A0 0.000122269 -6 *1207:11 *25826:A1 3.05576e-05 -7 *1207:11 *25826:S 0.000257619 -8 *1207:11 *29906:A 0.000219289 -9 *1207:11 *29908:A 0.000122091 -10 *1207:11 *1263:181 0.000509949 -11 *1207:11 *1757:23 0.000142197 -12 *1207:11 *1759:11 0 -13 *1207:11 *3626:8 0.000129332 -14 *1207:11 *3626:68 4.37451e-05 -15 *1207:11 *3711:16 0.000122924 -16 *1207:11 *3871:73 0.000346189 -17 *1207:11 *4117:25 2.42516e-05 -18 *1207:11 *4155:35 0.000310292 -19 *1207:11 *4157:45 0.000265447 -20 *1207:11 *5666:55 4.19624e-06 -21 *1207:11 *5719:98 0.000235029 -22 *1207:11 *5865:85 8.17274e-05 -23 *29909:A *1207:11 0.000257619 -*RES -1 *24881:X *1207:11 48.8179 -2 *1207:11 *30291:A 9.3 -*END - -*D_NET *1208 0.00462685 -*CONN -*I *30302:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24882:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *30302:A 0.000940325 -2 *24882:X 0.000940325 -3 *30302:A *24882:S 5.52302e-05 -4 *30302:A *24884:S 0.000175892 -5 *30302:A *1210:19 0.00116549 -6 *30302:A *1488:24 7.48301e-06 -7 *30302:A *5301:27 0.000316953 -8 *30302:A *5844:17 0.000293777 -9 *30302:A *5856:19 0.000555487 -10 *30302:A *5866:17 0.000175892 -*RES -1 *24882:X *30302:A 39.6893 -*END - -*D_NET *1209 0.00693701 -*CONN -*I *30202:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24883:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30202:A 0 -2 *24883:X 0.00244673 -3 *1209:13 0.00244673 -4 *1209:13 *24883:A0 5.52302e-05 -5 *1209:13 *25880:A0 5.96516e-05 -6 *1209:13 *27939:A 0.000111451 -7 *1209:13 *28333:D 0.000189853 -8 *1209:13 *28333:RESET_B 0.000151012 -9 *1209:13 *1759:11 0.000647985 -10 *1209:13 *1760:13 5.95659e-05 -11 *1209:13 *3740:7 0.000175892 -12 *1209:13 *4158:46 0.000100809 -13 *1209:13 *5693:25 0.000397924 -14 *1209:13 *5693:32 9.41642e-05 -*RES -1 *24883:X *1209:13 44.4071 -2 *1209:13 *30202:A 9.3 -*END - -*D_NET *1210 0.0056742 -*CONN -*I *30213:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24884:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *30213:A 0 -2 *24884:X 0.00159616 -3 *1210:19 0.00159616 -4 *1210:19 *27574:C1 6.81786e-05 -5 *1210:19 *1215:9 6.57032e-05 -6 *1210:19 *1488:24 0.00012062 -7 *1210:19 *1563:26 1.18064e-05 -8 *1210:19 *3949:28 0.000340605 -9 *1210:19 *5301:27 7.83585e-05 -10 *1210:19 *5630:110 0.000195194 -11 *1210:19 *5836:89 0.000172007 -12 *1210:19 *5867:5 0.000263918 -13 *30302:A *1210:19 0.00116549 -*RES -1 *24884:X *1210:19 44.2821 -2 *1210:19 *30213:A 9.3 -*END - -*D_NET *1211 0.0115336 -*CONN -*I *29446:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24923:A I *D sky130_fd_sc_hd__nand2_8 -*I *24891:A I *D sky130_fd_sc_hd__nand2_8 -*I *24885:X O *D sky130_fd_sc_hd__and2b_4 -*CAP -1 *29446:A 9.18349e-05 -2 *24923:A 0.00128011 -3 *24891:A 6.9793e-05 -4 *24885:X 0.000811637 -5 *1211:16 0.00166168 -6 *1211:12 0.00121525 -7 *24891:A *1327:19 0.000171949 -8 *24891:A *5700:29 4.00679e-05 -9 *24923:A *24896:A_N 9.66977e-05 -10 *24923:A *24901:B 0.000421074 -11 *24923:A *24909:A 0.000259905 -12 *24923:A *24923:B 4.08637e-05 -13 *24923:A *25002:B 9.58181e-05 -14 *24923:A *25107:A1 0.000144859 -15 *24923:A *29791:A 1.98839e-05 -16 *24923:A *1327:19 0.000330469 -17 *24923:A *1327:78 0.000162863 -18 *24923:A *1429:18 0.000113045 -19 *24923:A *1693:18 1.42165e-05 -20 *24923:A *1693:22 0.000264325 -21 *24923:A *3875:93 7.26622e-05 -22 *24923:A *5216:29 7.96678e-05 -23 *24923:A *5251:15 0.000233373 -24 *24923:A *5700:29 0.000251627 -25 *24923:A *5868:27 3.88131e-05 -26 *29446:A *1223:15 9.66977e-05 -27 *29446:A *3220:28 0.000107313 -28 *29446:A *5605:114 4.20914e-05 -29 *29446:A *5653:145 4.96113e-05 -30 *1211:12 *24890:A_N 8.49861e-06 -31 *1211:12 *1647:46 9.59532e-06 -32 *1211:12 *4065:53 0.00108446 -33 *1211:12 *5605:114 0 -34 *1211:12 *5836:78 8.60787e-05 -35 *1211:12 *5857:33 0.000520566 -36 *1211:16 *3220:28 0.000827937 -37 *1211:16 *5605:114 0.000718305 -*RES -1 *24885:X *1211:12 23.3532 -2 *1211:12 *1211:16 15.4018 -3 *1211:16 *24891:A 11.0857 -4 *1211:16 *24923:A 45.4964 -5 *1211:12 *29446:A 16.2375 -*END - -*D_NET *1212 0.00832879 -*CONN -*I *30080:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24886:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30080:A 0.0005627 -2 *24886:X 0.0017757 -3 *1212:12 0.0023384 -4 *30080:A *25802:A0 6.73175e-05 -5 *30080:A *25802:S 0.000215632 -6 *30080:A *28283:RESET_B 0.000223957 -7 *30080:A *3196:179 1.39841e-05 -8 *30080:A *5741:177 0.000129991 -9 *1212:12 *25440:A 2.56694e-05 -10 *1212:12 *1214:11 0.00211955 -11 *1212:12 *1396:40 7.0603e-05 -12 *1212:12 *3711:16 3.4323e-06 -13 *1212:12 *4155:15 0.000126821 -14 *1212:12 *5209:30 0.000150618 -15 *1212:12 *5855:8 3.92854e-05 -16 *30251:A *30080:A 0.000465137 -*RES -1 *24886:X *1212:12 48.0857 -2 *1212:12 *30080:A 26.9429 -*END - -*D_NET *1213 0.00256718 -*CONN -*I *30091:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24887:X O *D sky130_fd_sc_hd__mux2_4 -*CAP -1 *30091:A 0.000300872 -2 *24887:X 0.000300872 -3 *30091:A *2859:128 0.000144553 -4 *30091:A *3753:26 0.000146275 -5 *30091:A *5653:104 0.000837304 -6 *30091:A *5836:89 0.000837304 -*RES -1 *24887:X *30091:A 37.8857 -*END - -*D_NET *1214 0.00799542 -*CONN -*I *29769:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24888:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29769:A 0 -2 *24888:X 0.00178497 -3 *1214:11 0.00178497 -4 *1214:11 *6460:DIODE 1.5424e-05 -5 *1214:11 *24888:S 0.000135028 -6 *1214:11 *25827:A0 1.54142e-05 -7 *1214:11 *25827:A1 0.000190917 -8 *1214:11 *27921:A2 2.6269e-05 -9 *1214:11 *27924:C1 9.41642e-05 -10 *1214:11 *29886:A 0.000560353 -11 *1214:11 *1743:30 5.95659e-05 -12 *1214:11 *1875:15 0.000175892 -13 *1214:11 *1875:29 0.000137983 -14 *1214:11 *4065:21 0.000131392 -15 *1214:11 *4065:53 0.000666415 -16 *1214:11 *4155:15 9.71197e-05 -17 *1212:12 *1214:11 0.00211955 -*RES -1 *24888:X *1214:11 46.0321 -2 *1214:11 *29769:A 9.3 -*END - -*D_NET *1215 0.00736764 -*CONN -*I *29780:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24889:X O *D sky130_fd_sc_hd__mux2_4 -*CAP -1 *29780:A 0 -2 *24889:X 0.00272307 -3 *1215:9 0.00272307 -4 *1215:9 *24889:S 0 -5 *1215:9 *27574:A2 6.73924e-05 -6 *1215:9 *27574:B1 0.000284426 -7 *1215:9 *27574:C1 7.57852e-05 -8 *1215:9 *3753:37 6.13757e-06 -9 *1215:9 *5836:89 0.00142205 -10 *1210:19 *1215:9 6.57032e-05 -*RES -1 *24889:X *1215:9 41.9964 -2 *1215:9 *29780:A 9.3 -*END - -*D_NET *1216 0.00218492 -*CONN -*I *30102:A I *D sky130_fd_sc_hd__buf_6 -*I *24890:X O *D sky130_fd_sc_hd__and2b_4 -*CAP -1 *30102:A 0.000339115 -2 *24890:X 0.000339115 -3 *30102:A *30313:A 5.36438e-05 -4 *30102:A *5458:40 0.000168224 -5 *30102:A *5629:108 0.000168224 -6 *30102:A *5836:89 0.000717246 -7 *30102:A *5857:9 0.000136676 -8 *30102:A *5857:18 0.000262679 -*RES -1 *24890:X *30102:A 37.3857 -*END - -*D_NET *1217 0.0178795 -*CONN -*I *24892:B I *D sky130_fd_sc_hd__nor2_8 -*I *30113:A I *D sky130_fd_sc_hd__clkbuf_8 -*I *24891:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *24892:B 0.00122285 -2 *30113:A 0.00101594 -3 *24891:Y 0 -4 *1217:24 0.00220532 -5 *1217:4 0.00199841 -6 *24892:B *24892:A 3.63587e-05 -7 *24892:B *25122:A2 5.41797e-06 -8 *24892:B *25122:B1 9.25292e-05 -9 *24892:B *25211:A1 8.5729e-05 -10 *24892:B *1218:18 0 -11 *24892:B *1400:30 0.00107904 -12 *24892:B *1401:109 0.000147706 -13 *24892:B *1401:117 0.00184881 -14 *24892:B *1430:76 0.000300412 -15 *24892:B *1439:37 0.000528587 -16 *24892:B *1615:14 0.000165754 -17 *24892:B *5848:27 5.68977e-06 -18 *30113:A *24891:B 2.79421e-05 -19 *30113:A *27304:A1 1.65025e-05 -20 *30113:A *27953:A2 0.000135028 -21 *30113:A *1327:19 6.77323e-05 -22 *30113:A *1443:12 0.00154087 -23 *30113:A *3182:134 0.000138779 -24 *30113:A *3197:85 4.00679e-05 -25 *30113:A *3738:58 0.00137138 -26 *1217:24 *25081:B2 0.000103253 -27 *1217:24 *25082:A1 0 -28 *1217:24 *25082:C1 4.11173e-05 -29 *1217:24 *25122:A2 0.000153593 -30 *1217:24 *1401:117 0.000137351 -31 *1217:24 *1403:16 5.63714e-05 -32 *1217:24 *1460:41 0.00075255 -33 *1217:24 *1615:14 0.000111243 -34 *1217:24 *2866:119 0.000166597 -35 *1217:24 *3506:19 8.55772e-05 -36 *1217:24 *3881:54 0.000634088 -37 *1217:24 *3920:83 0.000869613 -38 *1217:24 *3962:61 0.000118052 -39 *1217:24 *5713:37 2.04825e-05 -40 *1217:24 *5848:20 5.11316e-05 -41 *1217:24 *5848:27 0.000501634 -*RES -1 *24891:Y *1217:4 9.3 -2 *1217:4 *30113:A 43.9429 -3 *1217:4 *1217:24 33.9018 -4 *1217:24 *24892:B 47.2375 -*END - -*D_NET *1218 0.114642 -*CONN -*I *25031:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25049:A2 I *D sky130_fd_sc_hd__a22o_2 -*I *25306:A2 I *D sky130_fd_sc_hd__a22o_2 -*I *24971:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25271:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25219:A2 I *D sky130_fd_sc_hd__a221o_2 -*I *25099:A2 I *D sky130_fd_sc_hd__a221o_2 -*I *25404:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *24892:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25031:A2 0.000101272 -2 *25049:A2 8.89619e-06 -3 *25306:A2 0.000351023 -4 *24971:A2 0.00055889 -5 *25271:A2 0 -6 *25219:A2 0.000572966 -7 *25099:A2 0.000221115 -8 *25404:A2 0.000476831 -9 *24892:Y 0.0009162 -10 *1218:188 0.00660064 -11 *1218:187 0.00800226 -12 *1218:154 0.00196668 -13 *1218:152 0.00225123 -14 *1218:137 0.00234691 -15 *1218:136 0.00409871 -16 *1218:123 0.00321132 -17 *1218:109 0.00269845 -18 *1218:77 0.00243751 -19 *1218:62 0.0038119 -20 *1218:46 0.00512171 -21 *1218:38 0.00326163 -22 *1218:37 0.00331939 -23 *1218:29 0.00450969 -24 *1218:18 0.00242567 -25 *24971:A2 *27647:C 0 -26 *24971:A2 *1385:16 0 -27 *24971:A2 *3904:25 0.000121573 -28 *24971:A2 *3904:36 0.000220455 -29 *24971:A2 *4086:68 0 -30 *24971:A2 *5608:42 0.00011389 -31 *24971:A2 *5649:8 8.13563e-05 -32 *25031:A2 *25031:A1 3.51442e-05 -33 *25031:A2 *25031:B2 7.13226e-06 -34 *25031:A2 *3943:24 0.000105028 -35 *25031:A2 *4085:73 0.000241198 -36 *25049:A2 *25049:A1 3.046e-05 -37 *25099:A2 *25099:A1 9.59404e-05 -38 *25099:A2 *25099:B2 1.85443e-05 -39 *25099:A2 *2834:40 5.58927e-05 -40 *25099:A2 *3972:38 0.000265077 -41 *25099:A2 *5517:20 0.000123453 -42 *25219:A2 *25125:A1 0.000209766 -43 *25219:A2 *25219:A1 0.000204158 -44 *25219:A2 *25219:B2 0.000160825 -45 *25219:A2 *3796:60 0.00123873 -46 *25219:A2 *5535:14 0.00105238 -47 *25219:A2 *5749:47 1.17921e-05 -48 *25219:A2 *5757:31 2.12863e-05 -49 *25306:A2 *25049:B1 4.1331e-06 -50 *25306:A2 *27226:B1 0 -51 *25306:A2 *27226:B2 3.02036e-05 -52 *25306:A2 *1371:11 5.31101e-05 -53 *25306:A2 *4463:19 7.53816e-05 -54 *25306:A2 *5523:96 4.34627e-05 -55 *25404:A2 *25404:A1 0.000116526 -56 *25404:A2 *28448:RESET_B 8.68127e-05 -57 *25404:A2 *30833:A 4.90575e-05 -58 *25404:A2 *1471:121 0.000374398 -59 *25404:A2 *1659:18 0.000329136 -60 *25404:A2 *5430:12 8.85689e-05 -61 *1218:18 *24892:A 0.000273971 -62 *1218:18 *27932:C1 9.94037e-05 -63 *1218:18 *29447:A 1.34631e-05 -64 *1218:18 *1235:23 0 -65 *1218:18 *1279:61 0.000223469 -66 *1218:18 *3845:62 5.15952e-05 -67 *1218:18 *3875:73 8.47484e-05 -68 *1218:18 *5626:69 1.94945e-05 -69 *1218:18 *5626:99 4.17433e-05 -70 *1218:29 *25394:B2 0.000262992 -71 *1218:29 *1421:100 1.27323e-05 -72 *1218:29 *1449:84 0 -73 *1218:29 *1538:37 8.17613e-06 -74 *1218:29 *1834:84 5.7811e-07 -75 *1218:29 *3844:75 0.000967031 -76 *1218:29 *3907:76 0 -77 *1218:29 *3988:44 1.90936e-05 -78 *1218:29 *4133:92 1.90936e-05 -79 *1218:29 *5605:81 1.19751e-05 -80 *1218:37 *25107:C1 6.37848e-05 -81 *1218:37 *1243:58 0.000361372 -82 *1218:37 *1271:161 4.12021e-05 -83 *1218:37 *1700:37 0.000369988 -84 *1218:37 *3666:35 0.000948813 -85 *1218:37 *3867:80 0.000263228 -86 *1218:37 *4186:20 4.65519e-05 -87 *1218:37 *4952:14 0.000228889 -88 *1218:37 *5936:70 0.000900033 -89 *1218:38 *25411:B2 6.26879e-05 -90 *1218:38 *25411:C1 5.48198e-05 -91 *1218:38 *1242:206 6.5919e-05 -92 *1218:38 *1243:67 1.41805e-05 -93 *1218:38 *1585:19 2.06112e-05 -94 *1218:38 *1700:44 0.000102015 -95 *1218:38 *2848:44 5.24002e-05 -96 *1218:38 *3546:6 0.00102868 -97 *1218:38 *3546:10 0.0017775 -98 *1218:38 *3547:25 0.000303524 -99 *1218:38 *3641:28 2.06112e-05 -100 *1218:38 *3816:43 4.03514e-05 -101 *1218:38 *3816:55 0.00191651 -102 *1218:38 *3841:68 0.000361604 -103 *1218:38 *5483:151 6.12097e-05 -104 *1218:38 *5606:14 2.11419e-05 -105 *1218:38 *5646:34 0.000129778 -106 *1218:38 *5869:30 0.00121532 -107 *1218:46 *25107:C1 9.46556e-06 -108 *1218:46 *25374:B2 7.76075e-06 -109 *1218:46 *1277:53 0.000349349 -110 *1218:46 *1426:14 0 -111 *1218:46 *1653:35 4.73953e-05 -112 *1218:46 *1704:26 0.000145575 -113 *1218:46 *4952:14 0.000146589 -114 *1218:62 *25262:A1 0.000179656 -115 *1218:62 *25374:B2 0.000129246 -116 *1218:62 *25396:A1 0.00018424 -117 *1218:62 *1257:99 0.000405898 -118 *1218:62 *1281:30 2.6755e-05 -119 *1218:62 *1281:40 0.000198276 -120 *1218:62 *1401:52 0.000548681 -121 *1218:62 *1417:15 5.99247e-05 -122 *1218:62 *1455:28 2.95944e-05 -123 *1218:62 *1457:99 0.000277966 -124 *1218:62 *1481:78 7.95355e-05 -125 *1218:62 *1485:23 8.1524e-06 -126 *1218:62 *1581:11 0.00151213 -127 *1218:62 *1659:31 2.30116e-06 -128 *1218:62 *2850:37 0.000605252 -129 *1218:62 *3173:35 0 -130 *1218:62 *3212:44 5.98644e-05 -131 *1218:62 *3790:53 0.000153601 -132 *1218:62 *4129:61 0.000196542 -133 *1218:62 *5442:27 7.15905e-06 -134 *1218:62 *5622:48 0.000458845 -135 *1218:62 *5685:55 0.000130765 -136 *1218:77 *2850:37 0.000480655 -137 *1218:77 *3173:35 0 -138 *1218:109 *25125:A1 0.000126926 -139 *1218:109 *25271:B1 0.000139913 -140 *1218:109 *28660:D 0.000298341 -141 *1218:109 *28700:D 6.05161e-06 -142 *1218:109 *1282:68 5.49489e-05 -143 *1218:109 *1290:42 0.000103312 -144 *1218:109 *1590:7 3.5298e-05 -145 *1218:109 *2850:37 0.00272689 -146 *1218:109 *2864:28 0.00245548 -147 *1218:109 *2865:31 9.33304e-05 -148 *1218:109 *3173:35 0 -149 *1218:109 *3212:21 0.000962428 -150 *1218:109 *3794:85 0.000148087 -151 *1218:109 *3797:35 0.000394987 -152 *1218:109 *3847:25 0.000764574 -153 *1218:109 *4018:17 9.87321e-05 -154 *1218:109 *5750:47 0.000591519 -155 *1218:123 *25271:B1 0.00029336 -156 *1218:123 *29829:A 0.000177545 -157 *1218:123 *1590:7 0.000897798 -158 *1218:123 *4574:47 9.90431e-05 -159 *1218:136 *27236:A 0.000393943 -160 *1218:136 *27247:A1 3.67142e-05 -161 *1218:136 *27247:A2 0.000154345 -162 *1218:136 *27254:B2 1.57414e-05 -163 *1218:136 *27264:A_N 5.33005e-05 -164 *1218:136 *27264:B 0.000126639 -165 *1218:136 *27595:A 1.21258e-05 -166 *1218:136 *27639:B 0.00035074 -167 *1218:136 *1590:7 2.65105e-05 -168 *1218:136 *2813:7 1.21258e-05 -169 *1218:136 *2818:26 3.22692e-05 -170 *1218:136 *2827:37 0.00019384 -171 *1218:136 *2832:15 0.00024156 -172 *1218:136 *3147:15 0.000240293 -173 *1218:136 *3147:27 8.43535e-06 -174 *1218:136 *3163:20 9.60875e-05 -175 *1218:136 *5585:92 2.87555e-06 -176 *1218:136 *6303:22 0.000399848 -177 *1218:136 *6385:141 0.000195555 -178 *1218:137 *25009:A1 0.000363661 -179 *1218:137 *3942:31 7.77751e-05 -180 *1218:137 *5585:99 0.000769731 -181 *1218:152 *1385:16 0.000755104 -182 *1218:152 *3942:17 0 -183 *1218:152 *3942:31 9.47613e-05 -184 *1218:152 *4086:68 0 -185 *1218:154 *24991:A2 0.000313498 -186 *1218:154 *24991:B1 0.000184379 -187 *1218:154 *25018:A1 0.000775604 -188 *1218:154 *25049:A1 0.000152378 -189 *1218:154 *25049:B1 3.71049e-05 -190 *1218:154 *25063:A2 0.00023157 -191 *1218:154 *27142:A1 0.000341525 -192 *1218:154 *27217:A 0.000778026 -193 *1218:154 *27217:B 0.00023604 -194 *1218:154 *27461:A1 0.000974852 -195 *1218:154 *1273:265 1.41029e-05 -196 *1218:154 *1371:11 2.04825e-05 -197 *1218:154 *1385:15 3.92914e-05 -198 *1218:154 *1385:16 0 -199 *1218:154 *3019:21 0.000142352 -200 *1218:154 *3942:17 0 -201 *1218:154 *3943:17 6.77435e-05 -202 *1218:154 *5539:33 6.09762e-05 -203 *1218:154 *5678:181 0.000157023 -204 *1218:154 *5678:183 2.7729e-05 -205 *1218:187 *25224:A 6.59103e-06 -206 *1218:187 *25225:D 6.2862e-05 -207 *1218:187 *1700:37 3.44647e-06 -208 *1218:187 *2870:43 0.000707994 -209 *1218:187 *2877:179 0.000204699 -210 *1218:187 *3299:29 0 -211 *1218:187 *3867:80 4.75671e-06 -212 *1218:187 *5584:43 0.00108472 -213 *1218:187 *5609:107 0 -214 *1218:187 *5655:174 0.000202984 -215 *1218:188 *25224:B 9.02648e-05 -216 *1218:188 *28640:RESET_B 2.27812e-05 -217 *1218:188 *2860:363 3.63775e-05 -218 *1218:188 *2894:361 0.000228542 -219 *1218:188 *3913:57 0.00401336 -220 *1218:188 *3965:85 0.000364049 -221 *1218:188 *5936:15 0.000794336 -222 *24892:B *1218:18 0 -223 *29269:A *25404:A2 5.57613e-05 -224 *30670:A *25049:A2 3.046e-05 -225 *30670:A *25306:A2 1.78394e-05 -226 *30738:A *25306:A2 0.000712388 -227 *15:18 *25306:A2 0.000718885 -228 *22:18 *25306:A2 3.40014e-05 -229 *1178:82 *1218:29 0.001548 -230 *1178:82 *1218:187 6.06053e-05 -*RES -1 *24892:Y *1218:18 40.8 -2 *1218:18 *1218:29 20.2006 -3 *1218:29 *1218:37 15.0475 -4 *1218:37 *1218:38 54.1607 -5 *1218:38 *1218:46 13.343 -6 *1218:46 *1218:62 48.0058 -7 *1218:62 *25404:A2 31.0072 -8 *1218:46 *1218:77 0.8037 -9 *1218:77 *25099:A2 23.2725 -10 *1218:77 *1218:109 48.2906 -11 *1218:109 *25219:A2 37.3982 -12 *1218:109 *1218:123 9.17857 -13 *1218:123 *25271:A2 9.3 -14 *1218:123 *1218:136 48.5536 -15 *1218:136 *1218:137 15.3214 -16 *1218:137 *24971:A2 29.9607 -17 *1218:137 *1218:152 17.4196 -18 *1218:152 *1218:154 42.0625 -19 *1218:154 *25306:A2 25.0337 -20 *1218:154 *25049:A2 14.1036 -21 *1218:29 *1218:187 14.1419 -22 *1218:187 *1218:188 77.3393 -23 *1218:188 *25031:A2 21.608 -*END - -*D_NET *1219 0.00113041 -*CONN -*I *30524:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24893:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *30524:A 0.000187669 -2 *24893:Y 0.000187669 -3 *30524:A *24871:A2 2.59355e-05 -4 *30524:A *24893:A1 4.43256e-05 -5 *30524:A *5719:120 9.76491e-05 -6 *30524:A *5888:16 0.000522432 -7 *30524:A *5889:19 8.43535e-06 -8 *30524:A *5900:10 5.62934e-05 -*RES -1 *24893:Y *30524:A 24.4929 -*END - -*D_NET *1220 0.000453083 -*CONN -*I *29691:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24894:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *29691:A 0.000163864 -2 *24894:Y 0.000163864 -3 *28092:RESET_B *29691:A 0.000125355 -*RES -1 *24894:Y *29691:A 21.1893 -*END - -*D_NET *1221 0.0108183 -*CONN -*I *30535:A I *D sky130_fd_sc_hd__buf_8 -*I *24895:X O *D sky130_fd_sc_hd__or2_4 -*CAP -1 *30535:A 0.000921979 -2 *24895:X 0.00131673 -3 *1221:21 0.00223871 -4 *30535:A *27909:B1 2.36616e-05 -5 *30535:A *27935:A2 0.00011069 -6 *30535:A *27937:A2 2.06112e-05 -7 *30535:A *1287:109 2.83129e-05 -8 *30535:A *1488:24 3.36355e-06 -9 *30535:A *1665:28 1.58886e-05 -10 *30535:A *1665:29 0.00018077 -11 *30535:A *3197:99 0 -12 *30535:A *3413:25 3.01597e-05 -13 *30535:A *3452:6 2.06112e-05 -14 *30535:A *3541:38 1.28585e-05 -15 *30535:A *3675:19 0.000122875 -16 *30535:A *3688:17 0.000372348 -17 *30535:A *3698:19 2.33003e-05 -18 *30535:A *3871:94 0.000435452 -19 *30535:A *3882:47 2.11419e-05 -20 *30535:A *4118:26 7.30957e-06 -21 *30535:A *4142:34 2.42205e-05 -22 *30535:A *5657:125 0.000371864 -23 *30535:A *5836:104 2.64807e-05 -24 *1221:21 *27565:B2 9.00639e-05 -25 *1221:21 *27585:A2 0.000173055 -26 *1221:21 *28313:RESET_B 0.000538836 -27 *1221:21 *29002:A 2.60969e-05 -28 *1221:21 *3698:19 0.000475568 -29 *1221:21 *3882:47 0.000140228 -30 *1221:21 *4144:35 0.000136958 -31 *1221:21 *5651:189 0.000113877 -32 *1221:21 *5657:125 0.00135168 -33 *1221:21 *5694:19 0.00135379 -34 *1221:21 *5844:17 8.88417e-05 -*RES -1 *24895:X *1221:21 49.9971 -2 *1221:21 *30535:A 47.0745 -*END - -*D_NET *1222 0.00314338 -*CONN -*I *29791:A I *D sky130_fd_sc_hd__buf_6 -*I *24896:X O *D sky130_fd_sc_hd__and2b_4 -*CAP -1 *29791:A 0.000631147 -2 *24896:X 0.000631147 -3 *29791:A *24909:A 7.73206e-05 -4 *29791:A *24923:B 6.05161e-06 -5 *29791:A *25346:C 0 -6 *29791:A *1224:19 0.000156539 -7 *29791:A *1224:92 4.21517e-05 -8 *29791:A *1482:21 0.000689786 -9 *29791:A *1693:18 0 -10 *29791:A *4104:49 9.94194e-05 -11 *29791:A *5216:29 0.000152613 -12 *29791:A *5251:15 0.000242143 -13 *29791:A *5653:145 0.000301016 -14 *29791:A *5816:10 9.41642e-05 -15 *24923:A *29791:A 1.98839e-05 -*RES -1 *24896:X *29791:A 43.2607 -*END - -*D_NET *1223 0.00565894 -*CONN -*I *30224:A I *D sky130_fd_sc_hd__buf_6 -*I *24897:X O *D sky130_fd_sc_hd__and2b_4 -*CAP -1 *30224:A 0 -2 *24897:X 0.000927861 -3 *1223:15 0.000927861 -4 *1223:15 *1253:12 0.000884663 -5 *1223:15 *1395:133 0.00108829 -6 *1223:15 *3868:74 9.96929e-05 -7 *1223:15 *4104:38 0.000590215 -8 *1223:15 *5651:150 0.000422722 -9 *1223:15 *5653:145 0.00041605 -10 *1223:15 *5656:174 0.00020489 -11 *29446:A *1223:15 9.66977e-05 -*RES -1 *24897:X *1223:15 45.7107 -2 *1223:15 *30224:A 9.3 -*END - -*D_NET *1224 0.0360353 -*CONN -*I *24904:A I *D sky130_fd_sc_hd__nor2_2 -*I *24899:B I *D sky130_fd_sc_hd__nor2_8 -*I *24944:A I *D sky130_fd_sc_hd__nor2_8 -*I *25171:A I *D sky130_fd_sc_hd__nor2_1 -*I *24920:A I *D sky130_fd_sc_hd__nor2_8 -*I *26836:B I *D sky130_fd_sc_hd__or3_1 -*I *24951:A I *D sky130_fd_sc_hd__nor2_8 -*I *25351:B I *D sky130_fd_sc_hd__nor2_1 -*I *24898:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *24904:A 0.000116046 -2 *24899:B 0.00016909 -3 *24944:A 0.000164884 -4 *25171:A 9.30954e-05 -5 *24920:A 0 -6 *26836:B 0.000269474 -7 *24951:A 0.000107935 -8 *25351:B 0.000391116 -9 *24898:Y 5.17878e-05 -10 *1224:95 0.000764045 -11 *1224:92 0.0010303 -12 *1224:38 0.000576367 -13 *1224:36 0.00106536 -14 *1224:30 0.000937025 -15 *1224:26 0.00142523 -16 *1224:23 0.00244224 -17 *1224:19 0.0020203 -18 *1224:7 0.00122771 -19 *24899:B *24899:A 5.40608e-05 -20 *24899:B *25496:C 0.000145454 -21 *24904:A *1284:49 0.000180355 -22 *24904:A *3183:169 9.84714e-05 -23 *24904:A *4070:50 0.000188584 -24 *24904:A *5610:31 0.000180355 -25 *24944:A *1293:42 0.000577367 -26 *24944:A *5601:162 0.000577367 -27 *24951:A *3153:32 0.000339346 -28 *24951:A *3174:56 0.000339346 -29 *25171:A *1440:19 0.000259267 -30 *25171:A *1491:22 0.000127822 -31 *25351:B *25095:B 0.000224517 -32 *25351:B *26837:C1 1.90936e-05 -33 *25351:B *28436:RESET_B 1.51018e-05 -34 *25351:B *1601:6 0.000325067 -35 *25351:B *1705:13 0.000128164 -36 *25351:B *2848:51 1.03939e-06 -37 *25351:B *3170:51 0.000135028 -38 *25351:B *3183:77 0.000293822 -39 *25351:B *3777:10 6.05161e-06 -40 *25351:B *5626:137 8.6229e-06 -41 *26836:B *1227:30 6.71264e-05 -42 *26836:B *1277:16 8.61883e-05 -43 *26836:B *1453:17 0.000167762 -44 *26836:B *1482:8 6.52967e-05 -45 *26836:B *2867:39 0.000161619 -46 *1224:7 *3542:39 0.000135028 -47 *1224:19 *25346:C 0 -48 *1224:19 *1235:113 6.60633e-06 -49 *1224:19 *1288:20 2.63501e-05 -50 *1224:19 *1485:39 0.000705062 -51 *1224:19 *1693:18 0 -52 *1224:19 *3413:41 1.39702e-05 -53 *1224:19 *3542:42 0.000120169 -54 *1224:19 *4070:63 2.63501e-05 -55 *1224:19 *5656:173 0.000713107 -56 *1224:19 *5816:24 9.11825e-07 -57 *1224:19 *5836:78 4.28104e-05 -58 *1224:23 *1227:9 0.000310197 -59 *1224:23 *1235:6 4.35004e-05 -60 *1224:23 *1235:17 0.000133922 -61 *1224:23 *1268:37 6.54117e-05 -62 *1224:23 *1276:47 0.00294494 -63 *1224:23 *1439:23 0.000216755 -64 *1224:23 *1482:8 0.00139461 -65 *1224:23 *1485:39 0.000217105 -66 *1224:23 *3542:42 0.00040633 -67 *1224:23 *3849:69 0.000181169 -68 *1224:26 *1235:35 3.11713e-05 -69 *1224:26 *1326:95 3.45023e-05 -70 *1224:26 *1326:97 0.00184622 -71 *1224:26 *1485:39 0.000348521 -72 *1224:26 *1601:6 0.000849611 -73 *1224:26 *1705:17 0.000839458 -74 *1224:26 *3183:167 0.000231643 -75 *1224:26 *3314:26 0.000268316 -76 *1224:26 *3706:45 0.00126931 -77 *1224:30 *1601:6 0.00058454 -78 *1224:30 *1705:17 0.00058454 -79 *1224:36 *25095:B 0.000370388 -80 *1224:36 *1479:17 6.35864e-05 -81 *1224:36 *1479:101 0.000218438 -82 *1224:36 *1601:6 7.35655e-05 -83 *1224:36 *2895:61 0.00016198 -84 *1224:36 *3183:70 0.000135028 -85 *1224:36 *3194:108 4.25569e-05 -86 *1224:36 *3314:26 0.000857513 -87 *1224:36 *5620:18 4.40913e-05 -88 *1224:36 *5626:137 1.04707e-05 -89 *1224:36 *5892:145 0.000247239 -90 *1224:38 *25095:B 0.0001238 -91 *1224:38 *5626:137 0.000115177 -92 *1224:92 *25346:C 0 -93 *1224:92 *3183:169 0.00010039 -94 *1224:92 *4070:50 0.000296172 -95 *1224:92 *5816:10 8.95982e-05 -96 *1224:92 *5836:78 0.000228368 -97 *1224:95 *25496:C 0.000376103 -98 *28843:A *1224:7 6.57032e-05 -99 *29791:A *1224:19 0.000156539 -100 *29791:A *1224:92 4.21517e-05 -*RES -1 *24898:Y *1224:7 15.1571 -2 *1224:7 *1224:19 17.731 -3 *1224:19 *1224:23 45.5089 -4 *1224:23 *1224:26 42.5714 -5 *1224:26 *1224:30 12.2143 -6 *1224:30 *1224:36 23.8661 -7 *1224:36 *1224:38 1.79464 -8 *1224:38 *25351:B 31.5411 -9 *1224:38 *24951:A 17.2107 -10 *1224:36 *26836:B 22.1275 -11 *1224:30 *24920:A 9.3 -12 *1224:26 *25171:A 16.3893 -13 *1224:7 *1224:92 11.8809 -14 *1224:92 *1224:95 12.0179 -15 *1224:95 *24944:A 25.7107 -16 *1224:95 *24899:B 12.7286 -17 *1224:92 *24904:A 18.1214 -*END - -*D_NET *1225 0.115905 -*CONN -*I *24976:A2 I *D sky130_fd_sc_hd__a221o_4 -*I *25054:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *26856:A I *D sky130_fd_sc_hd__nand2_4 -*I *25356:A2 I *D sky130_fd_sc_hd__a22o_2 -*I *25676:B I *D sky130_fd_sc_hd__and2b_4 -*I *25203:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25250:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25318:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25031:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25118:A2 I *D sky130_fd_sc_hd__a221o_2 -*I *24899:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *24976:A2 5.74356e-05 -2 *25054:A2 0.000899361 -3 *26856:A 6.18126e-05 -4 *25356:A2 2.51192e-05 -5 *25676:B 0.00109951 -6 *25203:A2 6.46331e-05 -7 *25250:A2 0.000272168 -8 *25318:A2 0.00114121 -9 *25031:B1 0.00236282 -10 *25118:A2 2.70716e-05 -11 *24899:Y 7.70325e-05 -12 *1225:203 0.00364756 -13 *1225:184 0.00283 -14 *1225:181 0.00313726 -15 *1225:163 0.0034209 -16 *1225:149 0.00283781 -17 *1225:144 0.0023198 -18 *1225:130 0.00191013 -19 *1225:119 0.00249703 -20 *1225:100 0.00504516 -21 *1225:89 0.0040567 -22 *1225:46 0.00279398 -23 *1225:33 0.00602827 -24 *1225:23 0.00736118 -25 *1225:15 0.00306971 -26 *1225:7 0.00334949 -27 *24976:A2 *24976:A1 3.31924e-05 -28 *24976:A2 *2764:21 4.58194e-05 -29 *24976:A2 *2791:13 4.58194e-05 -30 *25031:B1 *25031:B2 2.19273e-05 -31 *25031:B1 *27070:A1 0.000110763 -32 *25031:B1 *27070:S 0.000406625 -33 *25031:B1 *30393:A 0.000292776 -34 *25031:B1 *1355:20 0 -35 *25054:A2 *25054:A1 1.57155e-05 -36 *25054:A2 *25055:C 0.000143523 -37 *25054:A2 *28505:RESET_B 0.000257061 -38 *25054:A2 *2776:231 7.13226e-06 -39 *25054:A2 *4033:8 2.14723e-05 -40 *25054:A2 *4085:16 0.000323878 -41 *25054:A2 *4085:18 3.23658e-05 -42 *25054:A2 *5676:80 0.00119037 -43 *25054:A2 *5676:264 0.000443564 -44 *25054:A2 *5774:185 0.000397846 -45 *25054:A2 *5774:207 0.000136149 -46 *25118:A2 *2768:25 2.48548e-05 -47 *25118:A2 *5926:81 7.43578e-06 -48 *25203:A2 *25203:A1 0.000157993 -49 *25203:A2 *1523:7 2.79421e-05 -50 *25250:A2 *1569:7 2.18792e-05 -51 *25250:A2 *5650:268 1.58163e-05 -52 *25318:A2 *25318:A1 0.000210842 -53 *25318:A2 *25325:D 0.000105594 -54 *25318:A2 *1261:41 3.92033e-05 -55 *25318:A2 *1269:231 3.87074e-05 -56 *25318:A2 *1277:176 0.000114258 -57 *25318:A2 *1285:37 9.26683e-05 -58 *25318:A2 *2891:165 0 -59 *25318:A2 *2958:34 7.69776e-06 -60 *25318:A2 *2958:38 0.000123605 -61 *25318:A2 *3730:42 7.41141e-05 -62 *25318:A2 *3835:58 0.000110498 -63 *25318:A2 *3912:58 0 -64 *25318:A2 *4107:40 0.000110498 -65 *25318:A2 *4146:27 0.00133351 -66 *25318:A2 *5589:31 5.00097e-05 -67 *25318:A2 *5609:152 0.000127059 -68 *25318:A2 *5639:124 2.04825e-05 -69 *25318:A2 *5764:156 0.000376504 -70 *25356:A2 *25356:A1 7.00702e-06 -71 *25676:B *29207:A 0.000674448 -72 *25676:B *3998:13 6.70597e-05 -73 *25676:B *5725:17 0.000689868 -74 *25676:B *5729:188 2.42516e-05 -75 *25676:B *5910:16 0.000160279 -76 *26856:A *5668:31 5.87803e-05 -77 *26856:A *5911:8 0.000120697 -78 *1225:7 *24899:A 9.41642e-05 -79 *1225:15 *24899:A 8.08603e-05 -80 *1225:15 *25293:A 0.000144561 -81 *1225:15 *25293:C 0.000516112 -82 *1225:15 *25293:D 2.17647e-05 -83 *1225:15 *25496:C 0.000354627 -84 *1225:15 *29873:A 3.97677e-05 -85 *1225:15 *1555:20 0.000755121 -86 *1225:15 *1576:8 8.07879e-05 -87 *1225:15 *1611:13 4.87753e-05 -88 *1225:15 *2882:189 0.00117289 -89 *1225:15 *3797:79 9.41642e-05 -90 *1225:15 *3950:25 0.000156545 -91 *1225:15 *6280:18 7.90803e-05 -92 *1225:23 *27692:A 4.19624e-06 -93 *1225:23 *3820:91 2.04825e-05 -94 *1225:23 *5657:232 0 -95 *1225:33 *25325:D 0.000214154 -96 *1225:33 *26930:S 0.000127359 -97 *1225:33 *27373:A2 1.70804e-05 -98 *1225:33 *27694:C1 0.000368909 -99 *1225:33 *27711:B2 0.000641858 -100 *1225:33 *28623:CLK 0.000242913 -101 *1225:33 *28708:RESET_B 0.000628505 -102 *1225:33 *30812:A 1.07995e-05 -103 *1225:33 *1252:134 1.50867e-05 -104 *1225:33 *1262:172 0 -105 *1225:33 *1277:161 0 -106 *1225:33 *1277:176 0.000228533 -107 *1225:33 *2768:25 0.000105675 -108 *1225:33 *2787:128 0.00019719 -109 *1225:33 *2791:102 1.60961e-05 -110 *1225:33 *2850:179 0.000123605 -111 *1225:33 *2852:28 2.63501e-05 -112 *1225:33 *2852:40 5.3268e-05 -113 *1225:33 *2860:209 0 -114 *1225:33 *2871:206 0 -115 *1225:33 *2875:207 1.66624e-05 -116 *1225:33 *2891:138 0 -117 *1225:33 *2958:38 0.000247229 -118 *1225:33 *2978:36 0.000372963 -119 *1225:33 *3184:96 2.75859e-05 -120 *1225:33 *3250:17 0.000253957 -121 *1225:33 *3250:23 0.000147837 -122 *1225:33 *3558:213 0 -123 *1225:33 *3730:42 0.000233871 -124 *1225:33 *3743:51 0.000274226 -125 *1225:33 *3835:58 0.000331509 -126 *1225:33 *4068:41 0.000108545 -127 *1225:33 *4146:27 0.000276434 -128 *1225:33 *4146:54 5.39327e-05 -129 *1225:33 *5589:31 0.00011629 -130 *1225:33 *5600:132 0.000124109 -131 *1225:33 *5609:144 0.00010856 -132 *1225:33 *5641:38 0.00157216 -133 *1225:33 *5656:68 0 -134 *1225:33 *5657:232 0 -135 *1225:33 *5926:81 0.000112229 -136 *1225:46 *25118:B1 1.3701e-05 -137 *1225:46 *3174:188 0.0011632 -138 *1225:46 *4082:80 0.00116392 -139 *1225:89 *25340:B1 5.00194e-05 -140 *1225:89 *27311:B2 2.01997e-05 -141 *1225:89 *1279:102 0.000113806 -142 *1225:89 *1576:8 0.00150256 -143 *1225:89 *2893:43 0.000428203 -144 *1225:89 *3216:203 9.60875e-05 -145 *1225:89 *5585:47 0.000215425 -146 *1225:89 *6280:18 0.00193462 -147 *1225:100 *1267:65 0 -148 *1225:100 *1269:98 2.35985e-05 -149 *1225:100 *2775:173 0 -150 *1225:100 *2778:132 0.000121165 -151 *1225:100 *2791:209 0 -152 *1225:100 *2871:354 0.000275152 -153 *1225:100 *2877:299 0.000295753 -154 *1225:100 *3185:118 0.000819674 -155 *1225:100 *3758:41 0.000702549 -156 *1225:100 *3758:43 0.000111977 -157 *1225:100 *4043:70 0.00011602 -158 *1225:100 *4133:46 0.00101016 -159 *1225:100 *4952:14 0 -160 *1225:100 *5585:23 0 -161 *1225:100 *5585:28 0.00150308 -162 *1225:100 *5650:280 7.81028e-05 -163 *1225:100 *5688:349 0.000385421 -164 *1225:100 *6258:12 9.97488e-05 -165 *1225:100 *6280:18 0.000365925 -166 *1225:119 *27415:B2 4.92881e-05 -167 *1225:119 *27415:C1 2.26973e-05 -168 *1225:119 *28899:A 0.000189314 -169 *1225:119 *1269:110 3.57844e-05 -170 *1225:119 *1406:8 5.7704e-05 -171 *1225:119 *2853:262 0.000128154 -172 *1225:119 *3177:121 6.6612e-05 -173 *1225:119 *3552:22 0.000175892 -174 *1225:119 *3576:76 4.7079e-05 -175 *1225:119 *3597:18 8.7098e-05 -176 *1225:119 *3940:15 0.000463591 -177 *1225:119 *5650:268 7.80759e-05 -178 *1225:119 *5777:46 0.00109979 -179 *1225:130 *25203:B2 0.00128699 -180 *1225:130 *28574:D 7.83659e-05 -181 *1225:130 *1562:19 0.000180355 -182 *1225:130 *3162:18 0 -183 *1225:130 *3712:22 9.33234e-05 -184 *1225:130 *3836:21 0.000148903 -185 *1225:130 *6247:18 0.00133126 -186 *1225:144 *25141:A1 9.82592e-05 -187 *1225:144 *28755:D 2.05938e-05 -188 *1225:144 *1256:154 0.000103201 -189 *1225:144 *1519:26 0 -190 *1225:144 *2774:74 0.000154651 -191 *1225:144 *2784:121 0.000638798 -192 *1225:144 *3162:18 0 -193 *1225:144 *3836:21 0.00150007 -194 *1225:149 *27610:A1 0.000330444 -195 *1225:149 *30260:A 0.000517226 -196 *1225:149 *1256:160 0.000865844 -197 *1225:149 *1659:34 0.000308508 -198 *1225:149 *2784:121 1.37292e-05 -199 *1225:149 *3712:14 0.00206323 -200 *1225:149 *3836:10 0.00030963 -201 *1225:149 *5639:229 0.000397534 -202 *1225:149 *5688:39 0.000207284 -203 *1225:163 *25356:A1 1.58163e-05 -204 *1225:163 *25356:B1 2.14658e-05 -205 *1225:163 *25356:B2 5.33005e-05 -206 *1225:163 *27610:A1 0.000604185 -207 *1225:163 *2763:219 0.000289576 -208 *1225:163 *3836:10 0.000216433 -209 *1225:163 *4037:18 9.25014e-06 -210 *1225:163 *5910:16 0.000185465 -211 *1225:181 *26871:A0 2.77143e-05 -212 *1225:181 *28548:CLK 0.000397908 -213 *1225:181 *28548:D 0.000190829 -214 *1225:181 *28548:RESET_B 7.57594e-05 -215 *1225:181 *28902:A 0.000309638 -216 *1225:181 *1286:101 5.08986e-05 -217 *1225:181 *1286:103 7.49387e-06 -218 *1225:181 *2763:207 0.0013343 -219 *1225:181 *2763:219 1.24368e-05 -220 *1225:181 *3601:8 0.000100121 -221 *1225:181 *5683:57 0.00128454 -222 *1225:181 *5771:91 0.000163656 -223 *1225:184 *4030:18 3.25078e-05 -224 *1225:184 *5668:31 2.17809e-05 -225 *1225:184 *5911:8 5.31158e-05 -226 *1225:203 *24975:B1 2.4175e-05 -227 *1225:203 *27150:A1 2.04825e-05 -228 *1225:203 *28709:D 0.000183419 -229 *1225:203 *28709:RESET_B 5.048e-05 -230 *1225:203 *28711:D 0.000137983 -231 *1225:203 *1286:103 0.000607115 -232 *1225:203 *1286:117 0.000462336 -233 *1225:203 *2793:14 3.23308e-05 -234 *1225:203 *3538:75 0.000523938 -235 *1225:203 *3965:130 0.000157727 -236 *1225:203 *4085:16 9.23167e-05 -237 *1225:203 *5584:194 0.000114398 -238 *1225:203 *5584:198 8.11751e-05 -239 *1225:203 *5676:264 0.000284708 -240 *1225:203 *5775:21 1.90936e-05 -241 *1225:203 *5775:32 0.000452137 -242 *1225:203 *5870:19 0.00100651 -243 *29678:A *1225:144 6.47559e-05 -*RES -1 *24899:Y *1225:7 14.7464 -2 *1225:7 *1225:15 46.875 -3 *1225:15 *1225:23 12.8329 -4 *1225:23 *1225:33 34.5822 -5 *1225:33 *25118:A2 9.81339 -6 *1225:33 *1225:46 24.7321 -7 *1225:46 *25031:B1 35.925 -8 *1225:23 *25318:A2 26.7394 -9 *1225:7 *1225:89 48.8055 -10 *1225:89 *1225:100 33.2223 -11 *1225:100 *25250:A2 12.9161 -12 *1225:100 *1225:119 44.5893 -13 *1225:119 *1225:130 34.4464 -14 *1225:130 *25203:A2 15.3625 -15 *1225:130 *1225:144 27.3926 -16 *1225:144 *1225:149 41.9196 -17 *1225:149 *25676:B 35.1929 -18 *1225:149 *1225:163 15.3393 -19 *1225:163 *25356:A2 9.88036 -20 *1225:163 *1225:181 49.0536 -21 *1225:181 *1225:184 6.14286 -22 *1225:184 *26856:A 15.4429 -23 *1225:184 *1225:203 45.999 -24 *1225:203 *25054:A2 39.3223 -25 *1225:203 *24976:A2 15.175 -*END - -*D_NET *1226 0.00395259 -*CONN -*I *30313:A I *D sky130_fd_sc_hd__buf_6 -*I *24900:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *30313:A 0.00125611 -2 *24900:Y 0.00125611 -3 *30313:A *1233:21 0.000215353 -4 *30313:A *1399:93 1.90936e-05 -5 *30313:A *1647:46 2.04825e-05 -6 *30313:A *1685:40 0.000312528 -7 *30313:A *3762:41 0.000275454 -8 *30313:A *3790:69 0.000113063 -9 *30313:A *4141:34 0.000113063 -10 *30313:A *5836:78 0.000213138 -11 *30313:A *5848:20 5.00194e-05 -12 *30313:A *5857:18 5.45307e-05 -13 *30102:A *30313:A 5.36438e-05 -*RES -1 *24900:Y *30313:A 41.2744 -*END - -*D_NET *1227 0.0445221 -*CONN -*I *24902:B I *D sky130_fd_sc_hd__nor2_8 -*I *24948:A I *D sky130_fd_sc_hd__nor2_8 -*I *24936:A I *D sky130_fd_sc_hd__nor2_8 -*I *25001:B I *D sky130_fd_sc_hd__nor2_8 -*I *25150:A I *D sky130_fd_sc_hd__nor2_8 -*I *24922:A I *D sky130_fd_sc_hd__nor2_8 -*I *24952:A I *D sky130_fd_sc_hd__nor2_4 -*I *24901:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *24902:B 0 -2 *24948:A 0 -3 *24936:A 0.000159641 -4 *25001:B 2.04426e-05 -5 *25150:A 0 -6 *24922:A 0.000799555 -7 *24952:A 3.39113e-05 -8 *24901:Y 0.000135357 -9 *1227:68 0.00155464 -10 *1227:52 0.000831462 -11 *1227:44 0.00202687 -12 *1227:42 0.00287853 -13 *1227:30 0.0018029 -14 *1227:26 0.00260899 -15 *1227:25 0.00232677 -16 *1227:18 0.000756225 -17 *1227:16 0.000262941 -18 *1227:9 0.000340001 -19 *24922:A *24922:B 5.52238e-05 -20 *24922:A *24952:B 4.60153e-05 -21 *24922:A *1240:49 0 -22 *24922:A *1264:50 0.000937204 -23 *24922:A *1264:51 0.000155877 -24 *24922:A *1278:5 9.41642e-05 -25 *24922:A *1504:16 7.76017e-05 -26 *24922:A *3568:110 5.33005e-05 -27 *24922:A *3568:136 2.84109e-05 -28 *24922:A *3986:26 0 -29 *24922:A *4311:12 0.00025364 -30 *24922:A *5010:18 0.000128741 -31 *24922:A *5040:13 0.00020211 -32 *24922:A *6332:39 1.10868e-05 -33 *24936:A *24936:B 5.33005e-05 -34 *24936:A *1262:19 4.87953e-05 -35 *24936:A *1262:128 6.26177e-05 -36 *24936:A *1268:37 9.60939e-05 -37 *24952:A *24952:B 3.41517e-06 -38 *24952:A *3568:136 2.84026e-05 -39 *24952:A *4311:12 5.65955e-05 -40 *25001:B *3170:51 2.59355e-05 -41 *25001:B *5626:151 5.33005e-05 -42 *1227:9 *24902:A 5.33005e-05 -43 *1227:9 *3542:42 0.000308482 -44 *1227:16 *24902:A 4.03736e-05 -45 *1227:16 *1326:97 0.000157901 -46 *1227:16 *3314:26 1.71589e-05 -47 *1227:16 *3706:45 0.000132899 -48 *1227:18 *1326:97 0.000135227 -49 *1227:18 *3706:45 0.000144477 -50 *1227:25 *1235:17 0.000300412 -51 *1227:25 *1326:97 0.00112402 -52 *1227:25 *1451:39 0.000298483 -53 *1227:25 *3706:45 0.00112402 -54 *1227:26 *25171:B 0.000153586 -55 *1227:26 *25414:C 0.000192997 -56 *1227:26 *27932:A2 6.90819e-05 -57 *1227:26 *1235:23 0.00271813 -58 *1227:26 *1276:43 0.000979911 -59 *1227:26 *1276:47 0.00103009 -60 *1227:26 *1455:93 0.000124005 -61 *1227:26 *1844:16 8.65588e-05 -62 *1227:26 *3220:44 0.000334691 -63 *1227:26 *3875:73 0 -64 *1227:26 *5583:22 3.77425e-05 -65 *1227:26 *5600:37 2.95864e-05 -66 *1227:26 *5622:22 7.54868e-05 -67 *1227:26 *5655:150 0.000841341 -68 *1227:30 *26836:C 0.000161919 -69 *1227:30 *1263:50 0.00181387 -70 *1227:30 *1276:34 0.000411187 -71 *1227:30 *1276:43 0.000796233 -72 *1227:30 *1482:8 8.42469e-05 -73 *1227:30 *1676:14 0.000233015 -74 *1227:42 *25269:A2 0.000150854 -75 *1227:42 *25410:B1 0.000115499 -76 *1227:42 *26836:C 7.50814e-05 -77 *1227:42 *27037:A1 0.000315871 -78 *1227:42 *1263:50 0.000558508 -79 *1227:42 *1416:21 6.35819e-05 -80 *1227:42 *1482:8 0.00146369 -81 *1227:42 *2834:52 5.41794e-05 -82 *1227:44 *25584:A 5.32956e-05 -83 *1227:44 *25957:A0 2.2628e-05 -84 *1227:44 *26855:A1 0.000322501 -85 *1227:44 *27857:A2 0.000121858 -86 *1227:44 *28397:D 6.7158e-05 -87 *1227:44 *1250:187 0.000177902 -88 *1227:44 *1263:50 0.00252542 -89 *1227:44 *1482:8 0.000229058 -90 *1227:44 *3173:35 2.04825e-05 -91 *1227:44 *3178:55 2.06178e-05 -92 *1227:44 *3460:21 9.59532e-06 -93 *1227:44 *3568:99 0.000903371 -94 *1227:44 *3790:53 0.000648147 -95 *1227:44 *5354:17 0.000223349 -96 *1227:44 *5618:6 2.32e-05 -97 *1227:44 *5618:10 3.15263e-05 -98 *1227:44 *5618:12 5.47891e-05 -99 *1227:44 *5620:30 2.28814e-05 -100 *1227:44 *5620:44 0.00107763 -101 *1227:52 *1327:141 5.05056e-05 -102 *1227:52 *3820:25 0.000305472 -103 *1227:52 *5039:11 0.000303542 -104 *1227:52 *5040:13 5.05056e-05 -105 *1227:68 *28392:D 0 -106 *1227:68 *1327:141 1.73197e-05 -107 *1227:68 *1328:23 0.000212681 -108 *1227:68 *3568:136 2.31833e-05 -109 *1227:68 *3820:25 5.33005e-05 -110 *1227:68 *3820:38 6.42095e-05 -111 *1227:68 *3986:26 0.000189131 -112 *1227:68 *3996:8 1.09732e-05 -113 *1227:68 *4260:22 0.000180313 -114 *1227:68 *5040:13 2.95746e-06 -115 *1227:68 *5624:132 0.000180501 -116 *1227:68 *6338:23 3.95723e-05 -117 *26836:B *1227:30 6.71264e-05 -118 *30240:A *1227:68 8.74043e-05 -119 *1141:20 *1227:68 0 -120 *1224:23 *1227:9 0.000310197 -*RES -1 *24901:Y *1227:9 22.9071 -2 *1227:9 *1227:16 8.83929 -3 *1227:16 *1227:18 1.94643 -4 *1227:18 *1227:25 27 -5 *1227:25 *1227:26 52.9464 -6 *1227:26 *1227:30 25.5536 -7 *1227:30 *1227:42 23.7321 -8 *1227:42 *1227:44 52.9464 -9 *1227:44 *1227:52 17.2321 -10 *1227:52 *1227:68 24.2672 -11 *1227:68 *24952:A 10.2643 -12 *1227:68 *24922:A 34.609 -13 *1227:52 *25150:A 9.3 -14 *1227:30 *25001:B 14.3357 -15 *1227:18 *24936:A 16.8179 -16 *1227:16 *24948:A 13.8 -17 *1227:9 *24902:B 9.3 -*END - -*D_NET *1228 0.0631912 -*CONN -*I *25332:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25021:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *6578:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25125:A2 I *D sky130_fd_sc_hd__a221o_2 -*I *30767:A I *D sky130_fd_sc_hd__buf_6 -*I *24902:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25332:A2 2.9238e-05 -2 *25021:A2 0.000384037 -3 *6578:DIODE 2.23854e-05 -4 *25125:A2 0.000137365 -5 *30767:A 2.37855e-05 -6 *24902:Y 0.000123375 -7 *1228:87 0.00295018 -8 *1228:85 0.00495736 -9 *1228:73 0.00290614 -10 *1228:61 0.00347383 -11 *1228:53 0.00400426 -12 *1228:47 0.00465869 -13 *1228:37 0.00427443 -14 *1228:30 0.00169587 -15 *1228:11 0.00131246 -16 *25021:A2 *25021:A1 0.000232732 -17 *25021:A2 *25021:B2 0.000135028 -18 *25021:A2 *1341:15 0.000200019 -19 *25021:A2 *5639:45 9.41642e-05 -20 *25125:A2 *25125:A1 1.57155e-05 -21 *25125:A2 *1245:68 0.000127972 -22 *25125:A2 *3572:36 0.000269302 -23 *25125:A2 *6314:37 1.46576e-05 -24 *25332:A2 *25332:A1 5.33005e-05 -25 *1228:11 *24902:A 5.49544e-05 -26 *1228:11 *1326:97 9.87648e-05 -27 *1228:11 *3706:35 9.71108e-05 -28 *1228:11 *5590:14 2.59355e-05 -29 *1228:30 *29802:A 0.000114596 -30 *1228:30 *1288:20 0.000150177 -31 *1228:30 *1288:229 0.00135399 -32 *1228:30 *1422:75 8.83293e-05 -33 *1228:30 *1607:8 0.000179717 -34 *1228:30 *3299:14 0.000188967 -35 *1228:30 *5590:14 6.57032e-05 -36 *1228:30 *5601:11 0.00111829 -37 *1228:30 *5641:55 0.000136541 -38 *1228:30 *5817:7 8.16945e-05 -39 *1228:37 *1607:8 0.000998969 -40 *1228:37 *2855:175 0.000175892 -41 *1228:37 *3299:14 0.00100225 -42 *1228:47 *25224:A 0.000381302 -43 *1228:47 *25225:D 0.000384183 -44 *1228:47 *1242:206 0.000444431 -45 *1228:47 *1250:20 6.53009e-06 -46 *1228:47 *1256:31 0.000526544 -47 *1228:47 *1419:24 0 -48 *1228:47 *1419:30 5.37071e-05 -49 *1228:47 *1446:20 0 -50 *1228:47 *1606:14 0.000424441 -51 *1228:47 *1654:20 0.000417281 -52 *1228:47 *2878:147 0.000111243 -53 *1228:47 *3413:57 0.00230409 -54 *1228:47 *3673:23 0.00092039 -55 *1228:47 *4185:59 0.000300109 -56 *1228:47 *4186:20 0 -57 *1228:47 *5713:84 1.1257e-05 -58 *1228:47 *6247:36 0.00215116 -59 *1228:47 *6269:12 0.000126716 -60 *1228:53 *1277:131 0.000149762 -61 *1228:53 *2784:65 0.000202379 -62 *1228:53 *2856:124 2.51744e-05 -63 *1228:53 *3194:93 0.00105368 -64 *1228:61 *27671:A2 9.27424e-05 -65 *1228:61 *1291:31 1.90936e-05 -66 *1228:61 *2894:21 9.41642e-05 -67 *1228:61 *2895:28 0.000109992 -68 *1228:61 *2895:210 0.00067156 -69 *1228:61 *3388:17 0.000103244 -70 *1228:61 *3820:79 0.00158376 -71 *1228:61 *5629:132 0.000580186 -72 *1228:61 *5687:114 0.000126716 -73 *1228:73 *27767:B 0 -74 *1228:73 *29031:A 0 -75 *1228:73 *30794:A 0 -76 *1228:73 *30871:A 3.04967e-05 -77 *1228:73 *1245:68 0.000976105 -78 *1228:73 *1536:21 0.000946162 -79 *1228:73 *2856:25 0.000330846 -80 *1228:73 *2874:20 9.71733e-05 -81 *1228:73 *3197:31 0.00120214 -82 *1228:73 *3206:13 0.000223336 -83 *1228:73 *3206:17 2.6847e-05 -84 *1228:73 *3388:17 0.000187488 -85 *1228:73 *3569:26 0.000163666 -86 *1228:73 *3572:20 3.59374e-05 -87 *1228:73 *3572:34 8.55586e-05 -88 *1228:73 *3820:77 0.000270202 -89 *1228:73 *3820:79 0.00179155 -90 *1228:73 *3872:28 0.000315216 -91 *1228:73 *3872:39 0.000131059 -92 *1228:73 *3872:43 0.000140362 -93 *1228:73 *5700:149 0.000406906 -94 *1228:85 *27769:A1 8.33968e-05 -95 *1228:85 *28802:D 0.00051292 -96 *1228:85 *28806:RESET_B 8.27184e-06 -97 *1228:85 *29528:A 6.09764e-05 -98 *1228:85 *3194:93 0.000900237 -99 *1228:85 *5754:43 2.17214e-05 -100 *1228:87 *24854:A 5.33005e-05 -101 *1228:87 *27745:A1 0.000178847 -102 *1228:87 *27745:A2 5.52302e-05 -103 *1228:87 *27746:A1 0.000180496 -104 *1228:87 *27769:A1 8.33274e-05 -105 *1228:87 *27769:S 2.12005e-05 -106 *1228:87 *27770:A1 2.15245e-05 -107 *1228:87 *27843:A1 5.73685e-05 -108 *1228:87 *1341:15 0.00113612 -109 *1228:87 *5423:8 0.000215661 -110 *1228:87 *5639:45 0.000177815 -111 *1228:87 *5667:67 0.000136951 -112 *1228:87 *5718:290 0.000390751 -113 *29834:A *1228:73 0.000130033 -*RES -1 *24902:Y *1228:11 20.7107 -2 *1228:11 *30767:A 9.72857 -3 *1228:11 *1228:30 31.2857 -4 *1228:30 *1228:37 23.9464 -5 *1228:37 *1228:47 46.8264 -6 *1228:47 *1228:53 21.0082 -7 *1228:53 *1228:61 35.5179 -8 *1228:61 *1228:73 48.6432 -9 *1228:73 *25125:A2 17.5634 -10 *1228:53 *1228:85 29.3036 -11 *1228:85 *1228:87 42.0179 -12 *1228:87 *6578:DIODE 9.72857 -13 *1228:87 *25021:A2 16.5857 -14 *1228:30 *25332:A2 14.3357 -*END - -*D_NET *1229 0.00144116 -*CONN -*I *29703:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24903:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *29703:A 0.000149836 -2 *24903:X 0.000149836 -3 *29703:A *24903:A 0.000744086 -4 *29703:A *24903:B 0.00022459 -5 *29703:A *28938:A 0.000172813 -*RES -1 *24903:X *29703:A 24.8857 -*END - -*D_NET *1230 0.0223545 -*CONN -*I *31008:A I *D sky130_fd_sc_hd__buf_8 -*I *25288:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25153:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *24904:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *31008:A 6.20641e-05 -2 *25288:A2 0.00165415 -3 *25153:A2 0.00103884 -4 *24904:Y 6.96753e-05 -5 *1230:51 0.00386473 -6 *1230:25 0.00256865 -7 *1230:5 0.00140453 -8 *25153:A2 *25153:B1 4.54368e-05 -9 *25153:A2 *1284:49 0.00139841 -10 *25153:A2 *1451:6 0.000498687 -11 *25153:A2 *3173:158 0.000114398 -12 *25153:A2 *3711:93 2.43682e-05 -13 *25153:A2 *4022:60 0.000498565 -14 *25153:A2 *5607:13 5.04841e-06 -15 *25153:A2 *5607:27 3.58774e-05 -16 *25288:A2 *25288:A1 2.58896e-05 -17 *25288:A2 *1249:17 0.000293483 -18 *25288:A2 *1408:65 0.00145576 -19 *25288:A2 *1449:74 0.00091661 -20 *25288:A2 *4063:59 0.000423198 -21 *1230:5 *1284:49 0.000137561 -22 *1230:5 *5610:54 9.41642e-05 -23 *1230:51 *24948:B 5.31122e-05 -24 *1230:51 *25098:B 2.47761e-06 -25 *1230:51 *25107:C1 3.21968e-05 -26 *1230:51 *27292:B2 0.000636067 -27 *1230:51 *1243:34 4.67197e-05 -28 *1230:51 *1253:23 1.8995e-06 -29 *1230:51 *1266:22 0.000141707 -30 *1230:51 *1279:102 0.000552918 -31 *1230:51 *1288:20 6.90187e-05 -32 *1230:51 *1292:24 0.000530247 -33 *1230:51 *1293:35 2.96543e-05 -34 *1230:51 *1358:19 0.000106759 -35 *1230:51 *2778:120 0.00010294 -36 *1230:51 *3305:19 6.09762e-05 -37 *1230:51 *3706:44 0 -38 *1230:51 *3739:122 0.000108551 -39 *1230:51 *3758:43 2.52223e-05 -40 *1230:51 *4076:87 0.000460179 -41 *1230:51 *4133:77 0.000135968 -42 *1230:51 *5587:31 0.000627879 -43 *1230:51 *5616:44 0.000129139 -44 *1230:51 *5640:53 1.87872e-05 -45 *1230:51 *5641:55 0 -46 *1230:51 *5641:70 0.000230639 -47 *1230:51 *5836:78 0.000130541 -48 *1230:51 *5869:85 2.05803e-05 -49 *1230:51 *5892:122 2.56046e-05 -50 *1230:51 *6258:12 0.00144466 -*RES -1 *24904:Y *1230:5 11.0679 -2 *1230:5 *25153:A2 41.2196 -3 *1230:5 *1230:25 3.82143 -4 *1230:25 *1230:51 49.6204 -5 *1230:51 *25288:A2 41.9696 -6 *1230:25 *31008:A 10.2464 -*END - -*D_NET *1231 0.00539401 -*CONN -*I *29129:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24905:X O *D sky130_fd_sc_hd__or3_4 -*CAP -1 *29129:A 0 -2 *24905:X 0.0012652 -3 *1231:17 0.0012652 -4 *1231:17 *24870:A2 0.000628526 -5 *1231:17 *24905:B 0.000174448 -6 *1231:17 *24905:C 6.59746e-05 -7 *1231:17 *25430:A_N 0.000467849 -8 *1231:17 *28144:D 3.2687e-05 -9 *1231:17 *28148:CLK 6.86792e-05 -10 *1231:17 *28149:CLK 6.57815e-05 -11 *1231:17 *1862:36 0 -12 *1231:17 *4525:11 5.33005e-05 -13 *1231:17 *5719:120 7.80714e-06 -14 *1231:17 *5742:163 1.09026e-05 -15 *1231:17 *5805:22 0.000150618 -16 *1231:17 *5900:10 6.71851e-05 -17 *1231:17 *5902:8 5.96516e-05 -18 *28093:RESET_B *1231:17 0.000242084 -19 *28094:RESET_B *1231:17 0.000272209 -20 *29306:A *1231:17 0.000124471 -21 *30276:A *1231:17 0.000126094 -22 *1196:23 *1231:17 0.000134717 -23 *1202:17 *1231:17 0.00011062 -*RES -1 *24905:X *1231:17 45.1393 -2 *1231:17 *29129:A 9.3 -*END - -*D_NET *1232 0.00105124 -*CONN -*I *24907:A I *D sky130_fd_sc_hd__inv_6 -*I *24906:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *24907:A 0.000216396 -2 *24906:Y 0.000216396 -3 *24907:A *24906:B 0.000105471 -4 *24907:A *3176:202 4.85033e-05 -5 *24907:A *5630:63 0.000464471 -*RES -1 *24906:Y *24907:A 23.6714 -*END - -*D_NET *1233 0.0111849 -*CONN -*I *24913:A I *D sky130_fd_sc_hd__nand2_8 -*I *24917:B I *D sky130_fd_sc_hd__nand2_8 -*I *24909:A I *D sky130_fd_sc_hd__nand2_8 -*I *24937:B I *D sky130_fd_sc_hd__nand2_8 -*I *24907:Y O *D sky130_fd_sc_hd__inv_6 -*CAP -1 *24913:A 0.000413517 -2 *24917:B 0 -3 *24909:A 0.00042097 -4 *24937:B 5.93145e-05 -5 *24907:Y 0.000401044 -6 *1233:24 0.000538882 -7 *1233:21 0.00226089 -8 *1233:8 0.00218982 -9 *24909:A *24896:A_N 4.09925e-05 -10 *24909:A *1482:21 9.96458e-06 -11 *24909:A *3542:42 0.000122681 -12 *24909:A *4070:50 0.000124892 -13 *24909:A *5216:29 0.000143967 -14 *24909:A *5251:20 2.51981e-05 -15 *24913:A *24954:A 0.000461937 -16 *24913:A *1234:10 6.93855e-05 -17 *24913:A *1448:127 6.57032e-05 -18 *24913:A *3875:100 2.07414e-05 -19 *24913:A *5216:29 0.00024928 -20 *24937:B *1443:12 0.000184692 -21 *24937:B *1689:8 0.000182749 -22 *1233:8 *1443:12 0.000688628 -23 *1233:8 *1689:8 0.000694575 -24 *1233:8 *5630:63 0.000135028 -25 *1233:21 *1257:13 2.67405e-05 -26 *1233:21 *1262:19 2.16696e-06 -27 *1233:21 *1269:40 0.000136706 -28 *1233:21 *1494:38 1.86424e-05 -29 *1233:21 *1685:40 0.000155961 -30 *1233:21 *1693:18 0 -31 *1233:21 *2867:149 0.000136571 -32 *1233:21 *3875:100 1.9416e-05 -33 *1233:21 *5832:25 1.09611e-05 -34 *1233:21 *5836:78 0 -35 *1233:24 *24896:A_N 0.000306068 -36 *1233:24 *1234:10 5.65955e-05 -37 *1233:24 *1652:18 0.000136676 -38 *1233:24 *5216:29 8.12305e-05 -39 *24923:A *24909:A 0.000259905 -40 *29791:A *24909:A 7.73206e-05 -41 *30313:A *1233:21 0.000215353 -42 *1185:102 *1233:24 3.97677e-05 -*RES -1 *24907:Y *1233:8 24.5411 -2 *1233:8 *24937:B 16.2018 -3 *1233:8 *1233:21 10.8345 -4 *1233:21 *1233:24 8.32143 -5 *1233:24 *24909:A 34.9964 -6 *1233:24 *24917:B 9.3 -7 *1233:21 *24913:A 22.7464 -*END - -*D_NET *1234 0.00154855 -*CONN -*I *24954:B I *D sky130_fd_sc_hd__nand2_8 -*I *29480:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24908:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *24954:B 0.000237045 -2 *29480:A 0 -3 *24908:Y 8.01483e-05 -4 *1234:10 0.000317194 -5 *24954:B *24954:A 0.000558829 -6 *24954:B *25346:C 3.04394e-05 -7 *1234:10 *1652:18 0.000143967 -8 *1234:10 *5216:29 5.49489e-05 -9 *24913:A *1234:10 6.93855e-05 -10 *1233:24 *1234:10 5.65955e-05 -*RES -1 *24908:Y *1234:10 20.7821 -2 *1234:10 *29480:A 9.3 -3 *1234:10 *24954:B 14.7643 -*END - -*D_NET *1235 0.0945921 -*CONN -*I *24941:B I *D sky130_fd_sc_hd__nor2_8 -*I *25711:A1 I *D sky130_fd_sc_hd__o221a_4 -*I *26865:B I *D sky130_fd_sc_hd__or4_4 -*I *24957:B I *D sky130_fd_sc_hd__nor2_2 -*I *24946:A I *D sky130_fd_sc_hd__nor2_8 -*I *24950:A I *D sky130_fd_sc_hd__nor2_8 -*I *24911:B I *D sky130_fd_sc_hd__nor2_4 -*I *24910:A I *D sky130_fd_sc_hd__inv_2 -*I *25338:A I *D sky130_fd_sc_hd__nor2_1 -*I *24909:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *24941:B 1.50572e-05 -2 *25711:A1 4.2828e-05 -3 *26865:B 0.000339461 -4 *24957:B 0 -5 *24946:A 1.69531e-05 -6 *24950:A 0 -7 *24911:B 0.000230543 -8 *24910:A 0.00115109 -9 *25338:A 0.000163525 -10 *24909:Y 3.02835e-05 -11 *1235:181 0.00215381 -12 *1235:170 0.00238954 -13 *1235:165 0.00267991 -14 *1235:163 0.00251547 -15 *1235:159 0.00177144 -16 *1235:148 0.00193335 -17 *1235:124 0.00190085 -18 *1235:116 0.00249327 -19 *1235:113 0.00190616 -20 *1235:54 0.00147075 -21 *1235:52 0.00390534 -22 *1235:35 0.00464598 -23 *1235:23 0.00289407 -24 *1235:17 0.00302458 -25 *1235:6 0.00198447 -26 *24910:A *1257:99 2.72521e-05 -27 *24910:A *1263:62 3.74262e-05 -28 *24910:A *1281:30 0.000889279 -29 *24910:A *1600:41 2.90724e-05 -30 *24910:A *5581:46 2.04825e-05 -31 *24910:A *5581:49 0.000510213 -32 *24910:A *5599:115 0.000911506 -33 *24910:A *5618:139 1.94945e-05 -34 *24910:A *5711:153 4.88511e-06 -35 *24910:A *5738:176 0.000165829 -36 *24910:A *6317:85 3.35788e-05 -37 *24911:B *1590:15 0.000468909 -38 *24911:B *1701:11 0.00042895 -39 *24941:B *3742:36 1.98839e-05 -40 *24941:B *4022:47 5.49995e-05 -41 *24946:A *1272:9 5.33005e-05 -42 *24946:A *5617:75 5.33005e-05 -43 *25338:A *28398:CLK 3.63775e-05 -44 *25338:A *5599:91 6.05161e-06 -45 *25338:A *5738:223 0 -46 *25711:A1 *25711:A2 2.59355e-05 -47 *25711:A1 *1361:68 5.33005e-05 -48 *26865:B *26865:A 0.000614473 -49 *26865:B *26865:C 9.90143e-06 -50 *26865:B *1286:72 0.000114833 -51 *26865:B *1361:68 3.34366e-05 -52 *26865:B *2767:175 8.85712e-05 -53 *26865:B *4133:12 2.35985e-05 -54 *26865:B *6280:6 0.000192983 -55 *1235:6 *1276:47 7.05143e-06 -56 *1235:6 *3542:42 6.25005e-05 -57 *1235:17 *24967:A 0.000144553 -58 *1235:17 *1266:24 0.000217281 -59 *1235:17 *1280:6 0.00198555 -60 *1235:17 *1451:39 0.000107386 -61 *1235:17 *3184:124 0.000328142 -62 *1235:17 *3542:42 0.000130377 -63 *1235:17 *3545:8 0.000170661 -64 *1235:17 *5610:54 0.00038211 -65 *1235:17 *5610:56 0.000373959 -66 *1235:17 *5610:58 6.73939e-05 -67 *1235:17 *5626:49 0.000507686 -68 *1235:17 *5651:150 0.000415114 -69 *1235:23 *25159:B1 1.01912e-05 -70 *1235:23 *1533:9 0.000259267 -71 *1235:23 *3875:73 0 -72 *1235:23 *5655:150 0.000276033 -73 *1235:35 *24950:B 0.000149985 -74 *1235:35 *25184:B2 0.000230639 -75 *1235:35 *1326:95 0.00177736 -76 *1235:35 *1455:93 0.000241997 -77 *1235:35 *1482:8 0.000570709 -78 *1235:35 *1705:17 0.00190892 -79 *1235:35 *2882:46 0.000100823 -80 *1235:35 *5386:11 8.47936e-06 -81 *1235:52 *25184:B2 4.21517e-05 -82 *1235:52 *25282:D 0.000569028 -83 *1235:52 *25330:A1 0 -84 *1235:52 *31014:A 0.000154184 -85 *1235:52 *1263:50 3.79922e-05 -86 *1235:52 *1273:47 6.46107e-05 -87 *1235:52 *1416:31 0.000781143 -88 *1235:52 *1455:28 0.000612451 -89 *1235:52 *1455:55 0.00110926 -90 *1235:52 *1455:93 0.000213514 -91 *1235:52 *1482:8 0.0001326 -92 *1235:52 *1485:39 0.00304732 -93 *1235:52 *1504:16 0.000112932 -94 *1235:52 *1721:14 0.00038767 -95 *1235:52 *2871:36 0.000145284 -96 *1235:52 *3425:20 0.000196093 -97 *1235:52 *3647:8 0.00120854 -98 *1235:52 *5591:53 2.18231e-05 -99 *1235:52 *5618:6 0.00014833 -100 *1235:52 *5622:74 4.32198e-05 -101 *1235:52 *5622:79 2.43739e-05 -102 *1235:52 *5706:242 7.83659e-05 -103 *1235:52 *5892:145 6.00085e-05 -104 *1235:54 *1721:14 0.000321768 -105 *1235:54 *5430:12 0.000153815 -106 *1235:54 *5622:79 8.00747e-05 -107 *1235:113 *30235:A 4.88232e-05 -108 *1235:113 *1268:37 2.63501e-05 -109 *1235:113 *1326:116 2.31957e-05 -110 *1235:113 *1485:39 7.06634e-05 -111 *1235:113 *3413:41 4.18305e-05 -112 *1235:113 *3413:51 3.18493e-05 -113 *1235:113 *3849:69 0.000297756 -114 *1235:113 *5610:54 0.000456518 -115 *1235:113 *5816:24 1.27073e-05 -116 *1235:116 *6457:DIODE 9.91086e-05 -117 *1235:116 *24943:A 0.000104327 -118 *1235:116 *25235:A2 6.77187e-05 -119 *1235:116 *30235:A 8.77729e-05 -120 *1235:116 *1248:120 2.23987e-05 -121 *1235:116 *1248:131 0.00278941 -122 *1235:116 *1274:59 0.000107807 -123 *1235:116 *1280:6 0.000254756 -124 *1235:116 *1326:116 0.00114691 -125 *1235:116 *3314:26 0.000329148 -126 *1235:116 *3678:89 0.000306163 -127 *1235:116 *4063:43 9.27657e-05 -128 *1235:116 *5643:221 0.000331721 -129 *1235:116 *5778:190 0.000144038 -130 *1235:124 *6603:DIODE 0.000181777 -131 *1235:124 *24943:A 1.53191e-05 -132 *1235:124 *1248:137 0.000897309 -133 *1235:124 *1464:135 0.000109914 -134 *1235:124 *1633:49 0.000596304 -135 *1235:124 *3927:20 0.000145618 -136 *1235:124 *4022:59 6.05161e-06 -137 *1235:124 *4063:43 0.000945751 -138 *1235:124 *4109:56 0.00015234 -139 *1235:124 *5587:44 0.000154943 -140 *1235:148 *25256:A 0.000174261 -141 *1235:148 *1262:53 0.000662361 -142 *1235:148 *1287:132 4.65519e-05 -143 *1235:148 *1405:49 2.80175e-05 -144 *1235:148 *3784:79 0.000651881 -145 *1235:148 *3927:20 0.000153041 -146 *1235:148 *4109:53 0.00013514 -147 *1235:148 *4109:56 0.000144506 -148 *1235:148 *5832:8 0.00013364 -149 *1235:159 *26847:B 5.46911e-05 -150 *1235:159 *28694:D 0.000344917 -151 *1235:159 *1267:49 0.000780247 -152 *1235:159 *1447:51 0.000402868 -153 *1235:159 *1447:60 6.1684e-05 -154 *1235:159 *1447:78 0.00012798 -155 *1235:159 *1469:33 0.000165283 -156 *1235:159 *3215:248 0.00114107 -157 *1235:159 *3389:20 0.000114779 -158 *1235:159 *3576:39 0.000307973 -159 *1235:159 *3742:36 0.000258726 -160 *1235:159 *3771:22 0.000335505 -161 *1235:159 *4022:47 1.32293e-05 -162 *1235:159 *4088:54 0.000753951 -163 *1235:159 *5605:138 0.000101708 -164 *1235:163 *27281:A2 6.54117e-05 -165 *1235:163 *27286:B1 9.59532e-06 -166 *1235:163 *1469:33 0.000765136 -167 *1235:163 *3389:20 0.000116151 -168 *1235:163 *3771:22 0.00032475 -169 *1235:163 *3790:102 1.34809e-05 -170 *1235:163 *5600:61 4.70013e-05 -171 *1235:165 *25403:A2 2.09897e-05 -172 *1235:165 *27655:B2 0.00188298 -173 *1235:165 *28474:D 6.74815e-05 -174 *1235:165 *28478:CLK 9.90115e-06 -175 *1235:165 *28606:D 0.000262956 -176 *1235:165 *28614:D 0.000102545 -177 *1235:165 *28670:RESET_B 4.25716e-05 -178 *1235:165 *29146:A 0.000245079 -179 *1235:165 *1719:11 0.000345841 -180 *1235:165 *3413:16 0.00039078 -181 *1235:165 *3591:6 9.4736e-05 -182 *1235:165 *3591:8 0.000194448 -183 *1235:165 *3591:10 7.57869e-05 -184 *1235:165 *3591:51 0.000166921 -185 *1235:165 *3790:102 0.00066515 -186 *1235:165 *3790:106 0.00091553 -187 *1235:165 *4102:34 0 -188 *1235:165 *5600:61 0 -189 *1235:165 *5641:123 0.000921522 -190 *1235:165 *5709:38 0 -191 *1235:170 *24957:A 5.49544e-05 -192 *1235:181 *28550:D 0.000366867 -193 *1235:181 *30763:A 4.00679e-05 -194 *1235:181 *1361:68 0.000287309 -195 *1235:181 *3680:7 3.06878e-06 -196 *1235:181 *6280:6 0.000293429 -197 *29152:A *24910:A 0.000221365 -198 *29326:A *24910:A 0.000242162 -199 *30189:A *1235:165 1.46624e-05 -200 *30196:A *1235:159 0.000127439 -201 *1218:18 *1235:23 0 -202 *1224:19 *1235:113 6.60633e-06 -203 *1224:23 *1235:6 4.35004e-05 -204 *1224:23 *1235:17 0.000133922 -205 *1224:26 *1235:35 3.11713e-05 -206 *1227:25 *1235:17 0.000300412 -207 *1227:26 *1235:23 0.00271813 -*RES -1 *24909:Y *1235:6 14.6839 -2 *1235:6 *1235:17 49.9911 -3 *1235:17 *1235:23 47.8393 -4 *1235:23 *1235:35 44.0048 -5 *1235:35 *1235:52 47.9575 -6 *1235:52 *1235:54 4.375 -7 *1235:54 *25338:A 15.8893 -8 *1235:54 *24910:A 29.1309 -9 *1235:52 *24911:B 20.6571 -10 *1235:35 *24950:A 13.8 -11 *1235:6 *1235:113 15.3163 -12 *1235:113 *1235:116 41.3393 -13 *1235:116 *1235:124 35.5089 -14 *1235:124 *24946:A 14.3357 -15 *1235:124 *1235:148 24.2756 -16 *1235:148 *1235:159 48.6071 -17 *1235:159 *1235:163 12.1518 -18 *1235:163 *1235:165 61.9018 -19 *1235:165 *1235:170 12.8571 -20 *1235:170 *24957:B 9.3 -21 *1235:170 *1235:181 27.5 -22 *1235:181 *26865:B 22.8536 -23 *1235:181 *25711:A1 14.7643 -24 *1235:148 *24941:B 9.83571 -*END - -*D_NET *1236 0.00169396 -*CONN -*I *25409:A3 I *D sky130_fd_sc_hd__a32o_1 -*I *24910:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *25409:A3 0.000382259 -2 *24910:Y 0.000382259 -3 *25409:A3 *25409:A1 5.70082e-05 -4 *25409:A3 *25409:B1 2.39852e-05 -5 *25409:A3 *1401:37 4.28077e-05 -6 *25409:A3 *1600:19 1.21258e-05 -7 *25409:A3 *3999:17 1.08359e-05 -8 *25409:A3 *5491:42 0.000121573 -9 *25409:A3 *5738:176 0.000263108 -10 *25409:A3 *6225:79 5.17614e-05 -11 *25409:A2 *25409:A3 0.000346238 -*RES -1 *24910:Y *25409:A3 35.3857 -*END - -*D_NET *1237 0.0336307 -*CONN -*I *30779:A I *D sky130_fd_sc_hd__buf_12 -*I *25007:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25625:A I *D sky130_fd_sc_hd__nand2_8 -*I *24911:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *30779:A 2.10327e-05 -2 *25007:A2 0.000419073 -3 *25625:A 0 -4 *24911:Y 4.34471e-05 -5 *1237:43 0.000811574 -6 *1237:39 0.00157662 -7 *1237:27 0.00262778 -8 *1237:17 0.00393069 -9 *1237:5 0.00255151 -10 *25007:A2 *25007:A1 1.92905e-05 -11 *25007:A2 *25007:B1 2.11368e-05 -12 *25007:A2 *1331:15 5.7661e-06 -13 *25007:A2 *2883:129 0.000543225 -14 *25007:A2 *5535:37 5.51805e-05 -15 *25007:A2 *5598:17 1.33343e-05 -16 *25007:A2 *5631:20 0.000191403 -17 *25007:A2 *5714:29 0.000188967 -18 *30779:A *1257:120 3.43527e-05 -19 *30779:A *1590:15 9.60939e-05 -20 *1237:5 *1257:120 2.67489e-05 -21 *1237:5 *1590:15 0.00014051 -22 *1237:5 *1701:11 2.59355e-05 -23 *1237:17 *25374:B2 1.84338e-05 -24 *1237:17 *25375:A2 7.06369e-05 -25 *1237:17 *28340:D 2.18021e-05 -26 *1237:17 *1254:144 8.96293e-05 -27 *1237:17 *1277:53 0.000258363 -28 *1237:17 *2871:36 0.00100363 -29 *1237:17 *3156:49 0.000505745 -30 *1237:17 *3160:33 9.83805e-05 -31 *1237:17 *3206:27 0.000425687 -32 *1237:17 *3790:53 0.000814627 -33 *1237:17 *5211:8 0.000360094 -34 *1237:17 *5585:70 0.000360094 -35 *1237:17 *5655:29 0.000211835 -36 *1237:17 *5711:186 0.000743032 -37 *1237:17 *5861:69 0.00187049 -38 *1237:27 *27091:S 0.00123393 -39 *1237:27 *27759:B1 1.80461e-05 -40 *1237:27 *27767:B 9.24867e-05 -41 *1237:27 *1256:40 1.98839e-05 -42 *1237:27 *1294:163 5.33005e-05 -43 *1237:27 *2776:65 7.82365e-05 -44 *1237:27 *2856:25 0.000389807 -45 *1237:27 *2856:38 0.000378665 -46 *1237:27 *2859:22 0.000227309 -47 *1237:27 *2888:14 0.000652822 -48 *1237:27 *3160:26 0.000314692 -49 *1237:27 *3206:84 4.038e-06 -50 *1237:27 *5591:16 0.000162092 -51 *1237:27 *5591:17 5.33005e-05 -52 *1237:27 *5687:129 0.00128273 -53 *1237:39 *27296:B 0.000181796 -54 *1237:39 *1294:163 5.36e-05 -55 *1237:39 *1294:170 0.00237734 -56 *1237:39 *2848:33 0.00200682 -57 *1237:39 *2861:10 5.52238e-05 -58 *1237:39 *2874:146 0.000765691 -59 *1237:39 *3182:9 2.59355e-05 -60 *1237:39 *3182:16 0.000394934 -61 *1237:39 *5591:16 0.00101366 -62 *1237:39 *5700:250 3.88748e-05 -63 *1237:43 *2883:129 0.000221641 -64 *1237:43 *2892:115 0.000286864 -65 *1237:43 *3952:27 0.000711685 -66 *1237:43 *5598:17 0.000221641 -67 *1237:43 *5640:13 0.000117477 -*RES -1 *24911:Y *1237:5 10.6571 -2 *1237:5 *1237:17 49.046 -3 *1237:17 *1237:27 32.0233 -4 *1237:27 *1237:39 43.5179 -5 *1237:39 *1237:43 16.2143 -6 *1237:43 *25625:A 9.3 -7 *1237:43 *25007:A2 27.6929 -8 *1237:5 *30779:A 10.2464 -*END - -*D_NET *1238 0.00346441 -*CONN -*I *24927:B I *D sky130_fd_sc_hd__nand2_8 -*I *24913:B I *D sky130_fd_sc_hd__nand2_8 -*I *25002:B I *D sky130_fd_sc_hd__nand2_8 -*I *24931:B I *D sky130_fd_sc_hd__nand2_8 -*I *24912:X O *D sky130_fd_sc_hd__and2_4 -*CAP -1 *24927:B 4.3528e-05 -2 *24913:B 0 -3 *25002:B 0.000230052 -4 *24931:B 3.30887e-05 -5 *24912:X 0.000120757 -6 *1238:13 0.000409442 -7 *1238:8 0.000220903 -8 *1238:6 0.000238886 -9 *24927:B *24927:A 0 -10 *24927:B *3542:39 5.33005e-05 -11 *25002:B *1257:7 2.45626e-05 -12 *25002:B *1448:127 0.000109701 -13 *25002:B *5600:50 9.22222e-06 -14 *25002:B *5700:29 2.12087e-05 -15 *25002:B *5868:27 0.000149958 -16 *1238:6 *4141:34 0.000246888 -17 *1238:6 *5600:50 0.000255431 -18 *1238:8 *4141:34 0.000183991 -19 *1238:8 *5600:50 0.00019252 -20 *1238:13 *4141:34 0.000357263 -21 *1238:13 *5600:50 0.000359643 -22 *24923:A *25002:B 9.58181e-05 -23 *28843:A *24927:B 2.59355e-05 -24 *1185:102 *24931:B 1.98839e-05 -25 *1185:102 *25002:B 3.97677e-05 -26 *1185:102 *1238:13 1.02301e-05 -27 *1185:106 *24931:B 1.24368e-05 -*RES -1 *24912:X *1238:6 17.2643 -2 *1238:6 *1238:8 2.55357 -3 *1238:8 *1238:13 9.60714 -4 *1238:13 *24931:B 9.83571 -5 *1238:13 *25002:B 23.1036 -6 *1238:8 *24913:B 13.8 -7 *1238:6 *24927:B 14.7643 -*END - -*D_NET *1239 0.0537827 -*CONN -*I *25350:B I *D sky130_fd_sc_hd__nor2_1 -*I *25154:A I *D sky130_fd_sc_hd__nor2_2 -*I *25090:B I *D sky130_fd_sc_hd__nor2_4 -*I *25131:A I *D sky130_fd_sc_hd__nor2_4 -*I *25160:B I *D sky130_fd_sc_hd__nor2_2 -*I *24914:B I *D sky130_fd_sc_hd__nor2_8 -*I *25136:A I *D sky130_fd_sc_hd__nor2_4 -*I *24913:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *25350:B 0 -2 *25154:A 0.000392955 -3 *25090:B 0.000150514 -4 *25131:A 0 -5 *25160:B 0.000215532 -6 *24914:B 0.000111454 -7 *25136:A 8.34945e-05 -8 *24913:Y 0 -9 *1239:90 0.00167701 -10 *1239:65 0.00217922 -11 *1239:25 0.000413771 -12 *1239:19 0.00100277 -13 *1239:14 0.00542747 -14 *1239:13 0.00523065 -15 *1239:4 0.00111624 -16 *24914:B *25272:C1 0.00015432 -17 *24914:B *25585:A 3.42426e-05 -18 *24914:B *5624:31 0.000126771 -19 *24914:B *5624:36 5.33005e-05 -20 *25090:B *30780:A 4.25176e-05 -21 *25090:B *1411:7 0.00038674 -22 *25090:B *1507:15 4.98323e-05 -23 *25090:B *5808:67 0.000175892 -24 *25136:A *1327:98 0.000188267 -25 *25136:A *5666:231 0.000188267 -26 *25154:A *25154:B 9.41642e-05 -27 *25154:A *25350:A 7.56942e-05 -28 *25154:A *1475:8 1.98839e-05 -29 *25154:A *1476:238 0.00104877 -30 *25154:A *5891:33 0.000128537 -31 *25160:B *25954:B 3.20696e-05 -32 *25160:B *1323:17 6.28166e-05 -33 *25160:B *4088:65 0 -34 *25160:B *4088:79 1.24368e-05 -35 *25160:B *5666:231 9.41642e-05 -36 *1239:13 *25038:B 9.41642e-05 -37 *1239:13 *1430:90 0.000264386 -38 *1239:13 *3699:24 0.000267808 -39 *1239:13 *5815:29 0.000149797 -40 *1239:14 *25122:A1 4.11173e-05 -41 *1239:14 *25177:A2 2.06178e-05 -42 *1239:14 *25210:C1 1.90936e-05 -43 *1239:14 *25212:C 1.94879e-05 -44 *1239:14 *25213:C1 0.000267374 -45 *1239:14 *25305:C1 0.000120506 -46 *1239:14 *25331:B1 0.000111339 -47 *1239:14 *25357:B2 2.49484e-05 -48 *1239:14 *25393:A2 0.000165904 -49 *1239:14 *25408:B1 0.0013105 -50 *1239:14 *27304:C1 2.79832e-05 -51 *1239:14 *27858:A2 4.44551e-05 -52 *1239:14 *27883:B1 0.000217737 -53 *1239:14 *28666:D 0.000304617 -54 *1239:14 *28666:SET_B 1.37643e-05 -55 *1239:14 *1252:21 0.000434557 -56 *1239:14 *1252:26 0 -57 *1239:14 *1273:68 1.76241e-05 -58 *1239:14 *1273:81 1.80444e-05 -59 *1239:14 *1395:8 0.000120755 -60 *1239:14 *1395:18 0.000256511 -61 *1239:14 *1395:35 0.000403893 -62 *1239:14 *1395:126 6.13772e-05 -63 *1239:14 *1407:108 0.00145249 -64 *1239:14 *1427:94 0.0011438 -65 *1239:14 *1427:104 0.00029686 -66 *1239:14 *1430:88 0.000646388 -67 *1239:14 *1430:90 0.00173286 -68 *1239:14 *1452:103 0.000249023 -69 *1239:14 *1644:36 0.000895453 -70 *1239:14 *2851:24 0.000228516 -71 *1239:14 *2867:49 2.31704e-05 -72 *1239:14 *2867:99 0.0001455 -73 *1239:14 *3156:59 2.04825e-05 -74 *1239:14 *3206:48 0.000225529 -75 *1239:14 *3220:44 0.000110498 -76 *1239:14 *3699:40 1.93061e-05 -77 *1239:14 *3699:52 0.000617303 -78 *1239:14 *3790:63 0.00708208 -79 *1239:14 *3881:30 3.15795e-05 -80 *1239:14 *3921:54 4.16877e-05 -81 *1239:14 *5491:59 0.000581754 -82 *1239:14 *5498:54 3.77584e-05 -83 *1239:14 *5619:17 9.39552e-05 -84 *1239:14 *5629:44 0.000329806 -85 *1239:14 *5665:6 2.73539e-05 -86 *1239:14 *5665:14 0 -87 *1239:19 *1252:21 1.10866e-05 -88 *1239:19 *1395:35 0.000261949 -89 *1239:19 *3820:38 0.000208065 -90 *1239:19 *3999:17 0.000745975 -91 *1239:19 *4088:79 0.000301438 -92 *1239:25 *25272:C1 8.80543e-05 -93 *1239:25 *1407:89 0.000352375 -94 *1239:25 *5488:86 0.000350667 -95 *1239:25 *5624:36 9.41642e-05 -96 *1239:65 *1257:142 0.00182556 -97 *1239:65 *1448:127 3.69047e-06 -98 *1239:65 *1456:38 0.00031705 -99 *1239:65 *4069:132 0.000140349 -100 *1239:65 *4088:56 4.99704e-05 -101 *1239:65 *5600:50 0.00140604 -102 *1239:65 *5653:145 9.58126e-05 -103 *1239:90 *25196:B2 0.000659791 -104 *1239:90 *25256:A 0.000289285 -105 *1239:90 *1257:142 0.000155877 -106 *1239:90 *1269:86 0.00141848 -107 *1239:90 *1287:132 5.14757e-05 -108 *1239:90 *1405:49 1.95027e-05 -109 *1239:90 *1415:27 2.57039e-05 -110 *1239:90 *1627:12 0.000132129 -111 *1239:90 *1719:12 0.00230233 -112 *1239:90 *3389:20 4.8608e-05 -113 *1239:90 *3700:72 2.996e-05 -114 *1239:90 *3888:12 2.06178e-05 -115 *1239:90 *4022:59 2.06178e-05 -116 *1239:90 *5600:50 0.000149171 -117 *1239:90 *5891:28 8.92267e-05 -118 *29328:A *25154:A 0.000191765 -119 *29376:A *1239:14 0.000124662 -*RES -1 *24913:Y *1239:4 9.3 -2 *1239:4 *1239:13 21.3214 -3 *1239:13 *1239:14 149.786 -4 *1239:14 *1239:19 17.9464 -5 *1239:19 *1239:25 15.4464 -6 *1239:25 *25136:A 20.8536 -7 *1239:25 *24914:B 12.7286 -8 *1239:19 *25160:B 13.0321 -9 *1239:14 *25131:A 13.8 -10 *1239:4 *1239:65 38.9107 -11 *1239:65 *25090:B 18.05 -12 *1239:65 *1239:90 26.2427 -13 *1239:90 *25154:A 20.55 -14 *1239:90 *25350:B 9.3 -*END - -*D_NET *1240 0.0549631 -*CONN -*I *25105:A2 I *D sky130_fd_sc_hd__a22o_2 -*I *25006:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *24985:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25044:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25590:A I *D sky130_fd_sc_hd__and2_2 -*I *6589:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25215:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25341:A2 I *D sky130_fd_sc_hd__a22o_4 -*I *25406:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25270:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *24914:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25105:A2 0 -2 *25006:A2 0.000236619 -3 *24985:A2 0.000957616 -4 *25044:A2 1.7254e-05 -5 *25590:A 0 -6 *6589:DIODE 0 -7 *25215:A2 6.97437e-06 -8 *25341:A2 0.000128512 -9 *25406:A2 0.0010879 -10 *25270:A2 0.00015222 -11 *24914:Y 0.000976552 -12 *1240:144 0.00129961 -13 *1240:137 0.000495367 -14 *1240:134 0.00369688 -15 *1240:130 0.00505284 -16 *1240:102 0.00175375 -17 *1240:96 0.00186832 -18 *1240:76 0.00187795 -19 *1240:49 0.00153692 -20 *1240:47 0.00104051 -21 *1240:41 0.00227054 -22 *1240:11 0.00270702 -23 *24985:A2 *6522:DIODE 7.13226e-06 -24 *24985:A2 *24969:A2 2.05938e-05 -25 *24985:A2 *24985:A1 0.000100831 -26 *24985:A2 *24985:B1 1.41029e-05 -27 *24985:A2 *25009:A2 0 -28 *24985:A2 *25018:A2 0.000145905 -29 *24985:A2 *27143:S 0.000574458 -30 *24985:A2 *28704:RESET_B 6.21582e-05 -31 *24985:A2 *1264:116 5.17922e-05 -32 *24985:A2 *1310:11 1.46576e-05 -33 *24985:A2 *1624:16 2.04825e-05 -34 *24985:A2 *1624:26 0.000135655 -35 *24985:A2 *3796:23 9.91086e-05 -36 *24985:A2 *3943:20 0.000535934 -37 *24985:A2 *5129:30 0.00113684 -38 *24985:A2 *5523:96 0.000124992 -39 *24985:A2 *5707:251 0 -40 *25006:A2 *25006:B1 6.71327e-05 -41 *25006:A2 *25018:C1 0.00013347 -42 *25006:A2 *1624:16 0.000342273 -43 *25006:A2 *2784:26 7.31843e-05 -44 *25006:A2 *5538:28 5.59239e-05 -45 *25044:A2 *2782:170 1.75642e-05 -46 *25044:A2 *2784:26 4.55333e-05 -47 *25215:A2 *5485:104 1.46576e-05 -48 *25270:A2 *24911:A 4.04292e-05 -49 *25270:A2 *25270:B2 0.00015546 -50 *25270:A2 *1250:187 8.28647e-05 -51 *25270:A2 *1479:59 3.21547e-05 -52 *25270:A2 *1901:41 1.52774e-05 -53 *25270:A2 *3853:19 0.000150318 -54 *25270:A2 *5499:59 4.22135e-06 -55 *25341:A2 *25215:B2 2.44318e-05 -56 *25341:A2 *29268:A 7.37829e-05 -57 *25341:A2 *4310:10 2.09826e-05 -58 *25341:A2 *5485:104 9.41642e-05 -59 *25406:A2 *25341:B2 0.000800526 -60 *25406:A2 *25406:A1 0.000136896 -61 *25406:A2 *1294:39 0.000563243 -62 *25406:A2 *5010:18 0.000441858 -63 *25406:A2 *5527:13 0.000342068 -64 *25406:A2 *5698:179 0.000126742 -65 *25406:A2 *5711:94 0.000135028 -66 *1240:11 *25310:A2 0.000306881 -67 *1240:11 *25385:A1 0.000141734 -68 *1240:11 *25385:B2 3.47641e-06 -69 *1240:11 *25585:A 6.05161e-06 -70 *1240:11 *1273:23 0.00012456 -71 *1240:11 *1441:21 0.00110111 -72 *1240:11 *3853:45 0.000195765 -73 *1240:11 *4201:10 5.81642e-06 -74 *1240:11 *4201:21 6.7224e-05 -75 *1240:11 *5499:59 0.000109428 -76 *1240:41 *24945:B 7.95487e-05 -77 *1240:41 *25105:A1 0.00018372 -78 *1240:41 *25400:A1 0.000181796 -79 *1240:41 *25953:A0 8.09303e-05 -80 *1240:41 *29984:A 9.60939e-05 -81 *1240:41 *30833:A 4.73953e-05 -82 *1240:41 *1243:69 0 -83 *1240:41 *1248:14 0.00092542 -84 *1240:41 *1273:14 0.000282113 -85 *1240:41 *1273:23 5.81482e-06 -86 *1240:41 *1328:22 2.15363e-05 -87 *1240:41 *1417:10 0 -88 *1240:41 *1426:14 0.000515907 -89 *1240:41 *1900:17 5.49544e-05 -90 *1240:41 *3988:8 7.69776e-06 -91 *1240:41 *3988:33 0.000111243 -92 *1240:41 *5041:8 0 -93 *1240:41 *5499:59 6.10028e-05 -94 *1240:41 *5609:10 0 -95 *1240:41 *5861:75 0.000108882 -96 *1240:47 *24947:A 0.000102233 -97 *1240:47 *27594:A1 9.72834e-05 -98 *1240:47 *1243:86 5.39456e-05 -99 *1240:47 *1248:14 1.81805e-05 -100 *1240:47 *1264:56 0.000401794 -101 *1240:47 *1273:14 0.000329486 -102 *1240:47 *1328:22 5.41794e-05 -103 *1240:47 *1535:13 0 -104 *1240:47 *3875:35 2.47186e-05 -105 *1240:47 *5665:285 0.00012401 -106 *1240:47 *6071:10 0 -107 *1240:49 *1264:50 0 -108 *1240:49 *1264:56 0.000118028 -109 *1240:49 *5010:18 1.18141e-05 -110 *1240:49 *5698:179 2.02078e-05 -111 *1240:76 *30067:A 4.15526e-05 -112 *1240:76 *5508:44 6.90348e-06 -113 *1240:96 *25216:C1 0.000441008 -114 *1240:96 *25260:B2 0.000774073 -115 *1240:96 *25261:B2 0.00160966 -116 *1240:96 *25590:B 0.000439316 -117 *1240:96 *30067:A 1.11775e-05 -118 *1240:96 *1254:14 0.00166203 -119 *1240:96 *1815:18 7.35637e-05 -120 *1240:96 *3412:62 5.73686e-05 -121 *1240:96 *4881:17 0.000207703 -122 *1240:96 *5509:10 0.000131168 -123 *1240:96 *5526:16 6.47034e-05 -124 *1240:96 *6070:13 1.76304e-05 -125 *1240:96 *6142:14 8.86292e-05 -126 *1240:96 *6385:32 0.000480086 -127 *1240:102 *25216:C1 0.000127806 -128 *1240:102 *25590:B 0.000140243 -129 *1240:130 *25216:C1 0.000167764 -130 *1240:130 *25590:B 9.41642e-05 -131 *1240:130 *25604:A0 7.30149e-05 -132 *1240:130 *26839:A0 2.83425e-05 -133 *1240:130 *27095:S 6.0149e-05 -134 *1240:130 *28440:CLK 2.12647e-05 -135 *1240:130 *1245:92 0.000496979 -136 *1240:130 *3412:25 3.26209e-05 -137 *1240:130 *3412:41 6.5512e-05 -138 *1240:130 *4952:13 4.19624e-06 -139 *1240:130 *5352:22 0.000554407 -140 *1240:130 *5448:16 0.000140865 -141 *1240:130 *5539:17 2.18087e-05 -142 *1240:130 *5548:16 1.27485e-05 -143 *1240:130 *5675:223 7.03632e-05 -144 *1240:130 *5746:21 9.47364e-06 -145 *1240:130 *5746:124 2.44267e-05 -146 *1240:130 *5747:37 1.41236e-05 -147 *1240:130 *6064:19 3.49456e-06 -148 *1240:130 *6142:14 0.00047759 -149 *1240:130 *6385:32 7.8826e-05 -150 *1240:134 *27229:A 8.71583e-06 -151 *1240:134 *27273:B2 0.000183634 -152 *1240:134 *28647:D 0.000347664 -153 *1240:134 *28647:RESET_B 7.49551e-05 -154 *1240:134 *29760:A 4.04415e-05 -155 *1240:134 *3850:8 1.98839e-05 -156 *1240:134 *5539:17 0.00158085 -157 *1240:134 *5539:33 2.57552e-06 -158 *1240:134 *6064:19 0.00112525 -159 *1240:134 *6380:89 0.000219289 -160 *1240:134 *6380:99 2.37302e-05 -161 *1240:137 *27215:B 6.16087e-05 -162 *1240:137 *27230:B 6.09762e-05 -163 *1240:137 *1277:98 2.96514e-05 -164 *1240:137 *2782:170 2.5206e-05 -165 *1240:137 *3850:8 0 -166 *1240:137 *3850:17 0 -167 *1240:137 *5523:96 7.6545e-05 -168 *1240:137 *5675:213 1.94054e-05 -169 *1240:137 *5720:31 7.69776e-06 -170 *1240:144 *25006:B1 6.05161e-06 -171 *1240:144 *2782:170 2.95726e-05 -172 *1240:144 *2784:26 0.000263108 -173 *24922:A *1240:49 0 -174 *29805:A *1240:137 0.000116371 -175 *30004:A *1240:96 0.000291293 -176 *30068:A *25406:A2 0.000105192 -177 *30613:A *1240:137 4.00349e-05 -178 *30686:A *1240:130 0.000648608 -179 *450:10 *25406:A2 0.000347859 -*RES -1 *24914:Y *1240:11 21.4133 -2 *1240:11 *25270:A2 20.8707 -3 *1240:11 *1240:41 47.6202 -4 *1240:41 *1240:47 17.9895 -5 *1240:47 *1240:49 0.6956 -6 *1240:49 *25406:A2 26.4955 -7 *1240:49 *25341:A2 18.5867 -8 *1240:47 *1240:76 3.99036 -9 *1240:76 *25215:A2 13.9473 -10 *1240:76 *1240:96 28.7873 -11 *1240:96 *6589:DIODE 9.3 -12 *1240:96 *1240:102 1.35714 -13 *1240:102 *25590:A 9.3 -14 *1240:102 *1240:130 43.9212 -15 *1240:130 *1240:134 48.1607 -16 *1240:134 *1240:137 11.3036 -17 *1240:137 *25044:A2 9.83571 -18 *1240:137 *1240:144 2.58929 -19 *1240:144 *24985:A2 44.983 -20 *1240:144 *25006:A2 24.4786 -21 *1240:41 *25105:A2 9.3 -*END - -*D_NET *1241 0.0198592 -*CONN -*I *29802:A I *D sky130_fd_sc_hd__buf_6 -*I *24919:B I *D sky130_fd_sc_hd__nor2_8 -*I *24915:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *29802:A 4.07058e-05 -2 *24919:B 1.14032e-05 -3 *24915:Y 0.000256648 -4 *1241:10 0.00265751 -5 *1241:8 0.00294346 -6 *24919:B *25302:A2 3.08664e-05 -7 *24919:B *5606:14 5.49544e-05 -8 *29802:A *1422:75 1.21258e-05 -9 *29802:A *5817:7 7.37323e-05 -10 *1241:8 *24959:B 0.000404908 -11 *1241:8 *4133:85 0.000525319 -12 *1241:8 *5587:17 1.98839e-05 -13 *1241:8 *5700:50 0.000135028 -14 *1241:8 *5817:8 3.23658e-05 -15 *1241:8 *5817:43 0.000185428 -16 *1241:10 *25138:B1 0.000580255 -17 *1241:10 *25183:B1 0.00030162 -18 *1241:10 *30774:A 0.000319859 -19 *1241:10 *1271:131 0.00023699 -20 *1241:10 *1279:44 0.000110948 -21 *1241:10 *1484:23 0.000173055 -22 *1241:10 *1529:10 0.000919888 -23 *1241:10 *1535:55 0.000121425 -24 *1241:10 *3907:76 0.000941889 -25 *1241:10 *4063:59 0.000132112 -26 *1241:10 *4133:85 0.00105456 -27 *1241:10 *5626:105 0.00160957 -28 *1241:10 *5817:8 0.000519356 -29 *1241:10 *5817:15 0.00104681 -30 *1241:10 *5892:122 1.27625e-05 -31 *1241:10 *5892:124 0.00427915 -32 *1228:30 *29802:A 0.000114596 -*RES -1 *24915:Y *1241:8 22.2643 -2 *1241:8 *1241:10 84.8214 -3 *1241:10 *24919:B 14.3357 -4 *1241:8 *29802:A 14.9518 -*END - -*D_NET *1242 0.123988 -*CONN -*I *25017:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25259:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25107:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25317:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *24977:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27126:A I *D sky130_fd_sc_hd__nand2_8 -*I *25062:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25174:A2 I *D sky130_fd_sc_hd__a22o_2 -*I *25397:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *24916:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25017:A2 0.00031943 -2 *25259:A2 0 -3 *25107:A2 4.23401e-06 -4 *25317:A2 2.48448e-05 -5 *24977:A2 9.8034e-06 -6 *27126:A 0.00133511 -7 *25062:B1 0 -8 *25174:A2 1.57216e-05 -9 *25397:A2 0.000400363 -10 *24916:Y 2.30826e-05 -11 *1242:230 0.00352113 -12 *1242:206 0.00531662 -13 *1242:159 0.00334194 -14 *1242:148 0.00357517 -15 *1242:135 0.00285612 -16 *1242:134 0.00164953 -17 *1242:128 0.00288133 -18 *1242:104 0.00505693 -19 *1242:88 0.00534747 -20 *1242:76 0.00400324 -21 *1242:61 0.00295434 -22 *1242:41 0.00230466 -23 *1242:37 0.00261557 -24 *1242:9 0.00502441 -25 *1242:5 0.00465492 -26 *24977:A2 *3978:130 1.01912e-05 -27 *24977:A2 *4137:16 1.01912e-05 -28 *25017:A2 *25017:A1 1.00731e-05 -29 *25017:A2 *25017:B2 9.30324e-05 -30 *25107:A2 *25107:A1 1.46576e-05 -31 *25174:A2 *2844:275 7.13226e-06 -32 *25317:A2 *3667:55 7.15449e-05 -33 *25317:A2 *3768:22 4.25523e-05 -34 *25397:A2 *25397:A1 0.000306324 -35 *25397:A2 *1327:83 5.37709e-05 -36 *25397:A2 *1395:29 2.70758e-05 -37 *25397:A2 *2852:191 0.000927717 -38 *25397:A2 *3945:57 6.12335e-05 -39 *27126:A *26851:A0 0.000686212 -40 *27126:A *29414:A 0.000135028 -41 *27126:A *1256:183 0.00149815 -42 *27126:A *2786:136 0.00018372 -43 *27126:A *3608:12 6.94941e-05 -44 *27126:A *3608:14 4.86298e-05 -45 *27126:A *3939:104 0.000166552 -46 *27126:A *3965:130 8.64475e-05 -47 *27126:A *5584:194 3.55008e-05 -48 *27126:A *5590:191 0.0013082 -49 *27126:A *5683:57 0.000157409 -50 *27126:A *5683:83 0.000177204 -51 *27126:A *5695:67 0.000166761 -52 *27126:A *5775:32 1.0562e-05 -53 *27126:A *5800:43 0.000175207 -54 *27126:A *5911:43 9.4677e-05 -55 *1242:9 *24916:A 0.000282932 -56 *1242:9 *24916:B 0.00034188 -57 *1242:9 *1263:49 0.000184048 -58 *1242:9 *2852:191 0.000544771 -59 *1242:37 *25305:A2 9.67479e-05 -60 *1242:37 *25331:C1 5.58624e-06 -61 *1242:37 *25376:A1 0.000308587 -62 *1242:37 *25376:B1 0.000330709 -63 *1242:37 *1251:29 4.65519e-05 -64 *1242:37 *1252:37 0.000121573 -65 *1242:37 *1273:203 0.000297608 -66 *1242:37 *1282:158 0.000983038 -67 *1242:37 *1327:83 0.000427921 -68 *1242:37 *1395:29 0.000164551 -69 *1242:37 *1420:22 0.000164529 -70 *1242:37 *1440:19 5.00194e-05 -71 *1242:37 *1448:141 6.66295e-05 -72 *1242:37 *1456:38 0.000372063 -73 *1242:37 *1627:12 0.000367461 -74 *1242:37 *2867:99 1.06297e-05 -75 *1242:37 *3389:20 0.0025872 -76 *1242:37 *3845:59 7.96047e-05 -77 *1242:37 *4088:56 0.000123605 -78 *1242:37 *5600:47 0.00122227 -79 *1242:41 *25117:A2 2.79398e-05 -80 *1242:41 *1448:127 0.000317124 -81 *1242:41 *1456:38 1.10978e-05 -82 *1242:41 *1627:12 0.00127224 -83 *1242:41 *1645:16 0.000854313 -84 *1242:61 *25104:A1 4.18305e-05 -85 *1242:61 *25107:A1 3.03071e-05 -86 *1242:61 *25107:B1 8.25843e-06 -87 *1242:61 *25107:B2 0 -88 *1242:61 *25107:C1 6.05161e-06 -89 *1242:61 *25196:B2 0.00138834 -90 *1242:61 *25247:B1 8.94556e-05 -91 *1242:61 *1269:40 4.19032e-05 -92 *1242:61 *1411:50 0 -93 *1242:61 *1411:60 0.000833514 -94 *1242:61 *1415:38 0.000135968 -95 *1242:61 *1451:26 0 -96 *1242:61 *1456:38 0.000699181 -97 *1242:61 *1627:12 0.000152955 -98 *1242:61 *1719:12 0.00216901 -99 *1242:61 *1781:16 0.000182763 -100 *1242:61 *4088:56 0.00110527 -101 *1242:61 *5832:8 0.000181041 -102 *1242:61 *5860:26 0.000196615 -103 *1242:61 *5890:68 2.3968e-05 -104 *1242:76 *25317:A1 6.37237e-05 -105 *1242:76 *25317:B2 0.000148215 -106 *1242:76 *1360:101 0.00301631 -107 *1242:76 *1410:89 0.000359263 -108 *1242:76 *1657:76 0.000119484 -109 *1242:76 *5778:181 0.00134579 -110 *1242:88 *27351:A2 0.000229332 -111 *1242:88 *28752:CLK 6.05161e-06 -112 *1242:88 *2913:8 0.000258797 -113 *1242:88 *3233:26 0.000260519 -114 *1242:88 *3667:55 7.83587e-05 -115 *1242:88 *3768:22 3.69697e-05 -116 *1242:88 *5778:190 0.000548115 -117 *1242:88 *5892:81 0.000142461 -118 *1242:104 *25174:B2 0.000221212 -119 *1242:104 *25318:C1 0.000384819 -120 *1242:104 *1281:145 3.46775e-05 -121 *1242:104 *1494:5 0.000132437 -122 *1242:104 *3899:40 0.000107968 -123 *1242:104 *3912:58 0.000315187 -124 *1242:104 *5643:148 0.000218257 -125 *1242:104 *5663:81 0.00107487 -126 *1242:104 *5663:87 0.000434391 -127 *1242:128 *25005:A1 0.000325028 -128 *1242:128 *28600:D 0.000168089 -129 *1242:128 *28600:RESET_B 9.51514e-06 -130 *1242:128 *1494:5 9.41642e-05 -131 *1242:128 *2774:162 0.000554461 -132 *1242:128 *2844:275 6.00287e-05 -133 *1242:128 *3917:22 0.000352215 -134 *1242:128 *4085:62 0.000222666 -135 *1242:128 *5633:63 0.00035293 -136 *1242:128 *5658:69 4.88132e-05 -137 *1242:134 *1273:161 0.000592778 -138 *1242:134 *3185:182 0.000594493 -139 *1242:134 *5601:75 0.000111727 -140 *1242:135 *25050:B2 0.000462135 -141 *1242:135 *27125:A0 7.6644e-05 -142 *1242:135 *1266:186 0.000254652 -143 *1242:135 *1266:194 0.000154205 -144 *1242:135 *3170:213 4.51736e-05 -145 *1242:135 *3205:270 0.000134168 -146 *1242:135 *3339:22 0.000102503 -147 *1242:135 *3340:6 0.00086555 -148 *1242:135 *3370:11 0.00139062 -149 *1242:135 *3839:45 0.000557147 -150 *1242:135 *3930:86 0.000951447 -151 *1242:135 *4134:96 2.18087e-05 -152 *1242:135 *4137:20 0.00137301 -153 *1242:135 *4137:24 8.41555e-06 -154 *1242:135 *4149:72 2.59795e-05 -155 *1242:148 *25057:B1 0.000387948 -156 *1242:148 *25057:B2 3.48645e-05 -157 *1242:148 *25062:A1 0.00041993 -158 *1242:148 *27420:A1 0.000719391 -159 *1242:148 *1266:194 3.38055e-05 -160 *1242:148 *1266:205 0.000171886 -161 *1242:148 *1266:222 3.51292e-05 -162 *1242:148 *1378:36 0.000123605 -163 *1242:148 *3759:16 0.000151688 -164 *1242:148 *3839:30 2.07144e-05 -165 *1242:148 *4137:16 9.3246e-06 -166 *1242:148 *4137:20 0.00254355 -167 *1242:148 *5600:206 0.00213587 -168 *1242:159 *24975:B1 0.000155852 -169 *1242:159 *25048:A1 0.000267067 -170 *1242:159 *27033:S 0.000175554 -171 *1242:159 *27431:A1 0.000254261 -172 *1242:159 *1256:183 9.50843e-05 -173 *1242:159 *1265:149 0.00108897 -174 *1242:159 *1390:15 0.00263194 -175 *1242:159 *1697:32 0.000214408 -176 *1242:159 *2775:99 0.000295022 -177 *1242:159 *3339:22 0.000654894 -178 *1242:159 *3759:16 2.65539e-05 -179 *1242:159 *3839:24 0.000138983 -180 *1242:159 *3839:30 0.000546488 -181 *1242:159 *5639:199 0.000211677 -182 *1242:159 *5911:43 3.20034e-05 -183 *1242:206 *25259:A1 3.28686e-05 -184 *1242:206 *27629:A2 4.65519e-05 -185 *1242:206 *1536:27 6.69937e-05 -186 *1242:206 *1700:44 6.39829e-05 -187 *1242:206 *2781:87 9.26679e-06 -188 *1242:206 *2852:191 3.2687e-05 -189 *1242:206 *3783:121 0.000664154 -190 *1242:206 *3816:55 6.05161e-06 -191 *1242:206 *3829:29 0.000107157 -192 *1242:206 *5467:14 0.00169067 -193 *1242:206 *5629:139 6.53397e-05 -194 *1242:206 *5687:114 0.000645408 -195 *1242:230 *25017:A1 4.28211e-05 -196 *1242:230 *25259:A1 0.000162665 -197 *1242:230 *27721:A0 0.000477372 -198 *1242:230 *27745:A2 0.000120885 -199 *1242:230 *28473:D 0.00034188 -200 *1242:230 *28692:D 6.81459e-05 -201 *1242:230 *2787:113 6.35864e-05 -202 *1242:230 *2852:17 0.000124916 -203 *1242:230 *2852:28 2.02147e-05 -204 *1242:230 *2889:22 2.85524e-05 -205 *1242:230 *3183:40 2.86824e-05 -206 *1242:230 *3293:34 0.000611362 -207 *1242:230 *3643:13 0.000113934 -208 *1242:230 *3643:26 7.38616e-05 -209 *1242:230 *3938:40 1.31511e-05 -210 *1242:230 *5641:23 4.58835e-05 -211 *1242:230 *5718:310 0.000265642 -212 *1242:230 *5720:237 0.000560488 -213 *1242:230 *5720:252 0.000632348 -214 *29329:A *27126:A 0.00013956 -215 *29970:A *27126:A 0.000265453 -216 *1218:38 *1242:206 6.5919e-05 -217 *1228:47 *1242:206 0.000444431 -*RES -1 *24916:Y *1242:5 9.72857 -2 *1242:5 *1242:9 34.1964 -3 *1242:9 *25397:A2 23.6929 -4 *1242:9 *1242:37 40.1728 -5 *1242:37 *1242:41 21.4732 -6 *1242:41 *1242:61 46.5985 -7 *1242:61 *1242:76 37.9107 -8 *1242:76 *1242:88 47.625 -9 *1242:88 *1242:104 46.7679 -10 *1242:104 *25174:A2 9.87589 -11 *1242:104 *1242:128 47.75 -12 *1242:128 *1242:134 18.8929 -13 *1242:134 *1242:135 48.0893 -14 *1242:135 *25062:B1 13.8 -15 *1242:135 *1242:148 49.2411 -16 *1242:148 *1242:159 32.499 -17 *1242:159 *27126:A 42.412 -18 *1242:159 *24977:A2 17.4868 -19 *1242:76 *25317:A2 14.8357 -20 *1242:41 *25107:A2 9.44732 -21 *1242:5 *1242:206 25.0877 -22 *1242:206 *25259:A2 9.3 -23 *1242:206 *1242:230 47.4015 -24 *1242:230 *25017:A2 13.5634 -*END - -*D_NET *1243 0.0522064 -*CONN -*I *24940:A I *D sky130_fd_sc_hd__nor2_8 -*I *24926:A I *D sky130_fd_sc_hd__nor2_8 -*I *24918:B I *D sky130_fd_sc_hd__nor2_8 -*I *24947:A I *D sky130_fd_sc_hd__nor2_8 -*I *24968:B I *D sky130_fd_sc_hd__nor2_8 -*I *24967:B I *D sky130_fd_sc_hd__nor2_8 -*I *25170:B I *D sky130_fd_sc_hd__nor2_8 -*I *24917:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *24940:A 0.000433661 -2 *24926:A 9.27769e-05 -3 *24918:B 0 -4 *24947:A 0.000151832 -5 *24968:B 0.000412366 -6 *24967:B 8.19398e-05 -7 *25170:B 0.000349401 -8 *24917:Y 0.00060677 -9 *1243:86 0.000574085 -10 *1243:69 0.00207604 -11 *1243:67 0.0039415 -12 *1243:66 0.00288868 -13 *1243:58 0.00206041 -14 *1243:34 0.00313019 -15 *1243:8 0.00162723 -16 *1243:7 0.00104905 -17 *24926:A *1252:12 0.000178847 -18 *24926:A *3178:43 0.000301438 -19 *24926:A *3854:37 6.12434e-05 -20 *24940:A *1266:22 7.27549e-05 -21 *24940:A *2893:43 0.000150262 -22 *24940:A *5616:30 4.58194e-05 -23 *24940:A *6280:18 0.000142082 -24 *24947:A *24947:B 9.90431e-05 -25 *24947:A *25105:A1 0 -26 *24947:A *1253:112 9.71197e-05 -27 *24947:A *6071:10 8.05641e-05 -28 *24967:B *1651:15 0.000257619 -29 *24967:B *5713:37 0.000257619 -30 *24968:B *25105:A1 0.000490161 -31 *24968:B *25260:B2 5.33005e-05 -32 *24968:B *1278:18 5.14182e-05 -33 *24968:B *3568:110 0.000268396 -34 *24968:B *3569:92 7.80417e-05 -35 *24968:B *3875:35 5.52238e-05 -36 *25170:B *1490:17 0 -37 *25170:B *2893:43 0.000155052 -38 *25170:B *4129:90 6.82998e-05 -39 *25170:B *5612:27 0.000227802 -40 *25170:B *6280:18 0.000158412 -41 *1243:7 *30235:A 0.000298483 -42 *1243:7 *2780:161 0.000216755 -43 *1243:8 *2893:43 1.90936e-05 -44 *1243:8 *6280:18 1.12323e-05 -45 *1243:34 *1266:22 0.000191046 -46 *1243:34 *1279:102 0.00126859 -47 *1243:34 *1292:24 1.89597e-05 -48 *1243:34 *1421:100 0.000225332 -49 *1243:34 *1421:106 6.90381e-06 -50 *1243:34 *1651:15 8.33813e-05 -51 *1243:34 *2778:120 8.23977e-05 -52 *1243:34 *4129:90 0.000500058 -53 *1243:34 *5601:162 6.90381e-06 -54 *1243:34 *5713:37 9.41642e-05 -55 *1243:34 *6280:18 0.000504067 -56 *1243:58 *25107:C1 3.45481e-05 -57 *1243:58 *25283:C 8.55871e-05 -58 *1243:58 *1271:161 2.16297e-05 -59 *1243:58 *1358:19 0.000339022 -60 *1243:58 *1538:37 0.000135624 -61 *1243:58 *2893:64 0.000197779 -62 *1243:58 *3544:9 0.000142043 -63 *1243:58 *3739:122 9.0835e-05 -64 *1243:58 *3867:80 0.00116996 -65 *1243:58 *5583:37 0.000177591 -66 *1243:58 *5585:56 0.00110368 -67 *1243:58 *5861:52 0.00240852 -68 *1243:58 *5861:53 0.00110742 -69 *1243:58 *5869:85 0.000334476 -70 *1243:58 *6280:18 0.000332424 -71 *1243:66 *1246:36 9.58181e-05 -72 *1243:66 *3546:6 0.000519808 -73 *1243:66 *3841:68 0.000522132 -74 *1243:66 *3934:62 9.41642e-05 -75 *1243:67 *28340:RESET_B 9.49496e-05 -76 *1243:67 *1594:23 1.90936e-05 -77 *1243:67 *3158:36 1.90936e-05 -78 *1243:67 *3214:36 2.04825e-05 -79 *1243:67 *3546:6 0.00107708 -80 *1243:67 *3546:10 0.00177772 -81 *1243:67 *4061:10 9.8966e-05 -82 *1243:67 *4129:61 0.00131335 -83 *1243:67 *4129:63 0.000141353 -84 *1243:67 *5585:56 0.00386397 -85 *1243:67 *5691:149 0.00074721 -86 *1243:67 *5750:15 0.00013362 -87 *1243:67 *5869:30 0.00125159 -88 *1243:67 *5869:32 0.000472076 -89 *1243:69 *24955:B 0.000271342 -90 *1243:69 *25105:A1 2.24646e-05 -91 *1243:69 *1278:18 0.000144531 -92 *1243:69 *2860:56 4.11218e-05 -93 *1243:69 *5041:8 8.99084e-05 -94 *1243:69 *5609:10 0.0018019 -95 *1243:69 *5609:89 4.53834e-05 -96 *1243:69 *5618:61 0 -97 *1243:69 *5691:149 0.000473571 -98 *1243:69 *5750:6 7.30895e-05 -99 *1243:69 *5750:15 1.76135e-05 -100 *1243:69 *5869:32 0.00049699 -101 *1243:86 *25105:A1 0.000447622 -102 *1243:86 *25400:A1 1.21258e-05 -103 *1243:86 *1328:22 9.25014e-06 -104 *29767:A *24968:B 0 -105 *1185:88 *25170:B 0.000673934 -106 *1185:102 *1243:7 0.000709653 -107 *1218:37 *1243:58 0.000361372 -108 *1218:38 *1243:67 1.41805e-05 -109 *1230:51 *1243:34 4.67197e-05 -110 *1240:41 *1243:69 0 -111 *1240:47 *24947:A 0.000102233 -112 *1240:47 *1243:86 5.39456e-05 -*RES -1 *24917:Y *1243:7 25.425 -2 *1243:7 *1243:8 0.276786 -3 *1243:8 *25170:B 23.0232 -4 *1243:8 *1243:34 28.6146 -5 *1243:34 *24967:B 11.8893 -6 *1243:34 *1243:58 49.8647 -7 *1243:58 *1243:66 21.5536 -8 *1243:66 *1243:67 80.2679 -9 *1243:67 *1243:69 34.7321 -10 *1243:69 *24968:B 23.425 -11 *1243:69 *1243:86 15.2857 -12 *1243:86 *24947:A 17.6036 -13 *1243:86 *24918:B 13.8 -14 *1243:67 *24926:A 16.8 -15 *1243:7 *24940:A 20.3 -*END - -*D_NET *1244 0.105613 -*CONN -*I *25229:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25176:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25133:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25295:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25390:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25045:A2 I *D sky130_fd_sc_hd__a221o_2 -*I *24984:A2 I *D sky130_fd_sc_hd__a211o_1 -*I *27159:A I *D sky130_fd_sc_hd__and2_4 -*I *25023:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *24918:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25229:A2 0.00045982 -2 *25176:A2 0.000515457 -3 *25133:A2 0.000197307 -4 *25295:A2 0.00157419 -5 *25390:A2 2.59012e-05 -6 *25045:A2 0.000401555 -7 *24984:A2 0.00021233 -8 *27159:A 2.37855e-05 -9 *25023:A2 0.000391786 -10 *24918:Y 0.00109874 -11 *1244:198 0.00357188 -12 *1244:174 0.00511937 -13 *1244:141 0.00479896 -14 *1244:137 0.00340615 -15 *1244:129 0.00450013 -16 *1244:128 0.00349674 -17 *1244:122 0.00221904 -18 *1244:71 0.00143353 -19 *1244:63 0.00110875 -20 *1244:62 0.00125708 -21 *1244:53 0.00741759 -22 *1244:28 0.00708806 -23 *1244:23 0.00148259 -24 *24984:A2 *30833:A 0 -25 *24984:A2 *30871:A 4.28571e-05 -26 *24984:A2 *1317:29 1.90936e-05 -27 *24984:A2 *3995:14 9.54798e-06 -28 *24984:A2 *5459:12 4.99283e-06 -29 *24984:A2 *5602:41 2.06112e-05 -30 *24984:A2 *5625:15 0.000226867 -31 *24984:A2 *5667:271 0.000216077 -32 *25023:A2 *25023:A1 3.28686e-05 -33 *25023:A2 *1304:40 1.30241e-05 -34 *25023:A2 *1347:19 4.65827e-05 -35 *25023:A2 *3214:180 0 -36 *25023:A2 *3982:46 0 -37 *25023:A2 *3994:27 0.000349509 -38 *25023:A2 *5642:27 0.000119895 -39 *25023:A2 *5663:22 0.000332261 -40 *25045:A2 *25045:A1 1.55638e-05 -41 *25045:A2 *3889:79 1.46576e-05 -42 *25045:A2 *5536:11 0.000248705 -43 *25045:A2 *5926:152 0 -44 *25133:A2 *25133:A1 5.95533e-05 -45 *25133:A2 *25133:B1 1.84689e-05 -46 *25133:A2 *1539:22 0.000206702 -47 *25133:A2 *1642:14 0.000249062 -48 *25133:A2 *3306:6 2.05517e-05 -49 *25133:A2 *5584:76 4.35597e-05 -50 *25176:A2 *25176:A1 5.33005e-05 -51 *25176:A2 *25176:B1 1.04232e-05 -52 *25176:A2 *25176:B2 0.000168308 -53 *25176:A2 *27716:B2 0.00035041 -54 *25176:A2 *1328:142 0.000162755 -55 *25176:A2 *1328:156 1.98839e-05 -56 *25176:A2 *2782:106 0.000495323 -57 *25176:A2 *2786:112 2.95726e-05 -58 *25176:A2 *5643:40 0.00100919 -59 *25229:A2 *25229:A1 9.41642e-05 -60 *25229:A2 *25278:B2 0.000290509 -61 *25229:A2 *25278:C1 5.04841e-06 -62 *25229:A2 *3269:10 0.000120547 -63 *25229:A2 *3822:49 0.000280458 -64 *25229:A2 *5598:56 0.000122262 -65 *25295:A2 *25269:B2 0.000160345 -66 *25295:A2 *25295:A1 0.000158415 -67 *25295:A2 *1420:22 0.00049452 -68 *25295:A2 *1437:69 0.000151688 -69 *25295:A2 *1442:14 0.000779417 -70 *25295:A2 *2895:79 4.75671e-06 -71 *25295:A2 *3506:25 0.000781266 -72 *25295:A2 *3845:59 0.000940501 -73 *25295:A2 *5646:34 0.000861034 -74 *25295:A2 *5738:130 0.000162276 -75 *25295:A2 *5892:145 0.000372051 -76 *25295:A2 *5936:70 0.000127725 -77 *25295:A2 *5947:74 1.46617e-05 -78 *25390:A2 *25390:C1 1.46576e-05 -79 *25390:A2 *1471:140 4.19535e-05 -80 *25390:A2 *1729:10 4.04359e-05 -81 *25390:A2 *3985:33 1.55638e-05 -82 *1244:23 *28443:D 6.36238e-05 -83 *1244:23 *28674:CLK 5.33005e-05 -84 *1244:23 *30555:A 0.000516125 -85 *1244:23 *1408:103 0.000139907 -86 *1244:23 *2780:90 3.72009e-05 -87 *1244:23 *3639:17 0.00038907 -88 *1244:23 *5718:77 0.000118634 -89 *1244:23 *6332:29 0.0016305 -90 *1244:23 *6338:41 6.35412e-05 -91 *1244:28 *3894:10 0.000334965 -92 *1244:28 *5504:56 0.000427574 -93 *1244:28 *5526:16 0 -94 *1244:28 *6332:29 0.000399841 -95 *1244:53 *25534:A1_N 0.000591863 -96 *1244:53 *27109:S 0.000182103 -97 *1244:53 *27233:A 0.000100003 -98 *1244:53 *27440:A1 0.000311749 -99 *1244:53 *1264:116 0 -100 *1244:53 *1278:29 4.47762e-05 -101 *1244:53 *1799:51 0.00018372 -102 *1244:53 *1805:11 0.00111849 -103 *1244:53 *2778:35 0.000362937 -104 *1244:53 *2798:60 0.000870142 -105 *1244:53 *2811:15 4.29637e-05 -106 *1244:53 *2831:17 2.04825e-05 -107 *1244:53 *3388:17 5.00695e-06 -108 *1244:53 *4352:19 0 -109 *1244:53 *5536:11 0.00622023 -110 *1244:53 *5678:247 0.000402028 -111 *1244:53 *5713:203 3.27892e-05 -112 *1244:62 *25045:A1 0 -113 *1244:62 *27165:A0 0 -114 *1244:62 *27165:S 0 -115 *1244:62 *28726:D 0 -116 *1244:62 *1317:29 0 -117 *1244:62 *2790:136 0 -118 *1244:62 *3982:44 1.1995e-05 -119 *1244:62 *3982:46 0.000543675 -120 *1244:62 *3993:13 0 -121 *1244:62 *3993:32 0 -122 *1244:62 *5926:136 0.000123295 -123 *1244:62 *5926:152 0.000593103 -124 *1244:63 *1317:13 1.10868e-05 -125 *1244:63 *5625:15 0.000180073 -126 *1244:63 *5667:271 0.000137561 -127 *1244:71 *29621:A 1.58163e-05 -128 *1244:71 *1304:40 0.00170983 -129 *1244:71 *1317:13 1.59373e-05 -130 *1244:71 *1826:85 0.000116009 -131 *1244:71 *3877:26 0.0015719 -132 *1244:71 *3903:26 0.000576163 -133 *1244:71 *3904:21 0.000785143 -134 *1244:71 *3994:13 1.83136e-05 -135 *1244:71 *5625:15 0.000264333 -136 *1244:71 *5753:32 9.53126e-05 -137 *1244:122 *29816:A 0.000111242 -138 *1244:122 *1539:14 0.0006329 -139 *1244:122 *3894:10 0.000101091 -140 *1244:122 *5504:56 0.00140527 -141 *1244:122 *5526:16 0 -142 *1244:122 *5581:39 9.41642e-05 -143 *1244:128 *28736:CLK 0.000555479 -144 *1244:128 *29436:A 0.00011178 -145 *1244:128 *1294:147 0.00166726 -146 *1244:128 *1582:25 5.18322e-05 -147 *1244:128 *2776:65 0.000608392 -148 *1244:128 *3205:43 0.000117599 -149 *1244:128 *3560:18 2.33652e-05 -150 *1244:128 *3794:33 8.64057e-06 -151 *1244:128 *3992:11 1.10856e-05 -152 *1244:128 *5504:56 0.00107969 -153 *1244:128 *5504:66 5.2512e-05 -154 *1244:128 *5592:64 0.00109335 -155 *1244:128 *5629:20 0.000604393 -156 *1244:128 *5707:113 0.000153803 -157 *1244:128 *5750:35 0.000107894 -158 *1244:128 *5750:110 0.000280417 -159 *1244:129 *1256:31 0 -160 *1244:129 *1484:23 0 -161 *1244:129 *2856:124 0 -162 *1244:129 *3164:56 0 -163 *1244:129 *3168:31 0.00168079 -164 *1244:129 *5634:26 0.000174838 -165 *1244:129 *5661:187 5.13156e-05 -166 *1244:129 *5713:130 0.000405071 -167 *1244:137 *27617:C1 8.6229e-06 -168 *1244:137 *29035:A 0.000526601 -169 *1244:137 *1277:131 0.000529251 -170 *1244:137 *4062:27 4.1879e-05 -171 *1244:137 *5655:113 1.26641e-05 -172 *1244:141 *25390:C1 0.000213983 -173 *1244:141 *27614:B2 0.000135028 -174 *1244:141 *1271:122 0.000537013 -175 *1244:141 *1401:77 0.00144354 -176 *1244:141 *1471:140 3.59911e-05 -177 *1244:141 *1729:10 4.33002e-05 -178 *1244:141 *3985:33 0.000109854 -179 *1244:141 *5691:108 0.0008352 -180 *1244:174 *27332:A 0 -181 *1244:174 *1246:62 0 -182 *1244:174 *2877:32 0.000111243 -183 *1244:174 *2877:179 2.07552e-05 -184 *1244:174 *3168:31 0.00070273 -185 *1244:174 *3176:49 4.73891e-05 -186 *1244:174 *3299:29 4.83821e-05 -187 *1244:174 *3686:135 0.00231877 -188 *1244:174 *3797:65 0.000118322 -189 *1244:174 *3987:29 0.000217088 -190 *1244:174 *4185:59 0.000113754 -191 *1244:174 *5655:174 0.000366401 -192 *1244:174 *5661:187 9.336e-05 -193 *1244:198 *25273:A1 0.000185006 -194 *1244:198 *25278:B2 0.000426985 -195 *1244:198 *1246:62 0 -196 *1244:198 *2870:43 0.000137345 -197 *1244:198 *3939:21 0.000462548 -198 *1244:198 *4015:31 0.000139059 -199 *1244:198 *4185:59 0.000337435 -200 *27213:A2 *1244:53 0.000109469 -201 *28779:D *1244:53 8.10546e-05 -202 *29461:A *1244:23 4.06299e-05 -203 *29689:A *1244:122 0 -204 *29772:A *1244:62 0 -*RES -1 *24918:Y *1244:23 48.6504 -2 *1244:23 *1244:28 14.0625 -3 *1244:28 *1244:53 44.3127 -4 *1244:53 *1244:62 28.8832 -5 *1244:62 *1244:63 1.76786 -6 *1244:63 *1244:71 47.375 -7 *1244:71 *25023:A2 27.8625 -8 *1244:63 *27159:A 9.72857 -9 *1244:62 *24984:A2 28.1874 -10 *1244:53 *25045:A2 20.1664 -11 *1244:28 *1244:122 28.4375 -12 *1244:122 *1244:128 46.6703 -13 *1244:128 *1244:129 4.94753 -14 *1244:129 *1244:137 12.7761 -15 *1244:137 *1244:141 44.6339 -16 *1244:141 *25390:A2 14.5277 -17 *1244:141 *25295:A2 43.7837 -18 *1244:129 *1244:174 10.1514 -19 *1244:174 *25133:A2 22.8707 -20 *1244:174 *1244:198 34.1018 -21 *1244:198 *25176:A2 34.925 -22 *1244:198 *25229:A2 26.925 -*END - -*D_NET *1245 0.0787411 -*CONN -*I *25124:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25261:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *24974:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25063:A2 I *D sky130_fd_sc_hd__a22o_2 -*I *25015:A2 I *D sky130_fd_sc_hd__a221o_2 -*I *25401:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25216:A2 I *D sky130_fd_sc_hd__a221o_2 -*I *25302:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *24919:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25124:A2 0 -2 *25261:A2 0.000456758 -3 *24974:A2 0.000243586 -4 *25063:A2 0.000153039 -5 *25015:A2 0.00131164 -6 *25401:A2 0.00015876 -7 *25216:A2 9.52871e-05 -8 *25302:A2 0.00157085 -9 *24919:Y 2.23854e-05 -10 *1245:142 0.00195004 -11 *1245:116 0.00574774 -12 *1245:92 0.00366003 -13 *1245:76 0.00096565 -14 *1245:72 0.00218399 -15 *1245:68 0.00420096 -16 *1245:51 0.00516865 -17 *1245:5 0.00403638 -18 *24974:A2 *24974:A1 0.00015546 -19 *24974:A2 *27217:A 5.33005e-05 -20 *24974:A2 *27219:A 0.000139453 -21 *24974:A2 *27232:A1 7.56452e-05 -22 *24974:A2 *2810:15 0.000298483 -23 *24974:A2 *5470:10 7.43854e-05 -24 *25015:A2 *25015:B2 1.57155e-05 -25 *25015:A2 *27044:A0 0 -26 *25015:A2 *27079:A0 0 -27 *25015:A2 *27252:A 0.000222773 -28 *25015:A2 *27252:B 0.000170484 -29 *25015:A2 *27267:A 1.34436e-05 -30 *25015:A2 *27267:B 0.000120504 -31 *25015:A2 *28633:D 3.92854e-05 -32 *25015:A2 *1271:49 2.06178e-05 -33 *25015:A2 *1278:41 0 -34 *25015:A2 *1624:26 8.98352e-05 -35 *25015:A2 *1799:19 0.000123277 -36 *25015:A2 *1799:51 4.21078e-05 -37 *25015:A2 *3020:15 0.00012359 -38 *25015:A2 *3825:14 0.000983001 -39 *25015:A2 *5675:281 0 -40 *25015:A2 *5678:216 0.000289908 -41 *25015:A2 *5678:247 0 -42 *25015:A2 *5751:83 4.17564e-05 -43 *25015:A2 *6191:17 8.7636e-06 -44 *25015:A2 *6386:35 0 -45 *25063:A2 *25063:A1 5.74447e-05 -46 *25063:A2 *25063:B1 5.52238e-05 -47 *25063:A2 *27217:B 1.19191e-05 -48 *25063:A2 *2805:40 0 -49 *25063:A2 *5470:10 0.000166169 -50 *25216:A2 *5858:23 0.00032413 -51 *25261:A2 *25261:A1 1.96517e-05 -52 *25261:A2 *25261:B1 6.88458e-06 -53 *25261:A2 *25952:A0 2.04825e-05 -54 *25261:A2 *25952:A1 0.00165752 -55 *25261:A2 *1580:11 2.06112e-05 -56 *25261:A2 *5526:16 1.21384e-05 -57 *25261:A2 *5926:186 0.00165752 -58 *25302:A2 *25302:B1 1.21859e-05 -59 *25302:A2 *27850:A1 6.87975e-06 -60 *25302:A2 *28666:CLK 8.7636e-06 -61 *25302:A2 *30776:A 2.59355e-05 -62 *25302:A2 *1294:95 4.43126e-05 -63 *25302:A2 *2882:46 1.85621e-05 -64 *25302:A2 *2883:53 7.13226e-06 -65 *25302:A2 *2894:54 0.000754986 -66 *25302:A2 *5386:11 0.000769595 -67 *25302:A2 *5606:14 0.000118558 -68 *25302:A2 *5622:26 0.000107648 -69 *25302:A2 *5626:109 0.000155286 -70 *25302:A2 *5691:93 0.00158354 -71 *25302:A2 *5873:175 0.00155753 -72 *25401:A2 *25401:A1 8.04228e-05 -73 *25401:A2 *1254:40 9.20464e-06 -74 *25401:A2 *1717:14 0.00010219 -75 *25401:A2 *5609:33 9.50292e-05 -76 *25401:A2 *5680:278 1.39726e-05 -77 *1245:51 *28800:CLK 0.000443828 -78 *1245:51 *30771:A 2.04825e-05 -79 *1245:51 *1282:12 1.90936e-05 -80 *1245:51 *1291:31 0.00200052 -81 *1245:51 *2882:46 1.40034e-05 -82 *1245:51 *2891:24 9.28516e-05 -83 *1245:51 *2895:43 1.94945e-05 -84 *1245:51 *3168:31 8.92999e-05 -85 *1245:51 *3413:57 3.42107e-06 -86 *1245:51 *3569:26 1.31781e-06 -87 *1245:51 *3820:79 0.000597891 -88 *1245:51 *3844:41 5.68451e-05 -89 *1245:51 *3872:43 9.00679e-05 -90 *1245:51 *5386:11 0.00267716 -91 *1245:51 *5505:42 0.000142162 -92 *1245:51 *5600:14 9.58126e-05 -93 *1245:51 *5606:14 1.58163e-05 -94 *1245:51 *5621:42 6.57927e-05 -95 *1245:51 *5687:114 0 -96 *1245:51 *5754:18 0.00178919 -97 *1245:51 *5873:175 0.000415358 -98 *1245:51 *5936:70 0.000476144 -99 *1245:68 *28660:CLK 4.43299e-05 -100 *1245:68 *28739:D 0.000301001 -101 *1245:68 *2792:145 6.14836e-06 -102 *1245:68 *2860:26 0.000110117 -103 *1245:68 *3196:30 0 -104 *1245:68 *3206:84 0.000762603 -105 *1245:68 *3388:17 0.000524833 -106 *1245:68 *3569:26 0.000872159 -107 *1245:68 *3572:34 2.0587e-05 -108 *1245:68 *3572:36 0.000188388 -109 *1245:68 *3820:69 0.000462489 -110 *1245:68 *3872:39 0.0026084 -111 *1245:68 *3873:34 4.33002e-05 -112 *1245:68 *5442:51 2.04745e-05 -113 *1245:68 *5486:22 0 -114 *1245:68 *5635:15 1.43761e-05 -115 *1245:68 *5700:149 0.000115174 -116 *1245:68 *5700:159 0.00034138 -117 *1245:68 *5750:47 0.00021127 -118 *1245:68 *5750:63 0.000261543 -119 *1245:68 *6067:17 1.17968e-05 -120 *1245:72 *25124:B1 6.47173e-05 -121 *1245:72 *25219:C1 0 -122 *1245:72 *27844:A1 1.21859e-05 -123 *1245:72 *28440:CLK 6.09762e-05 -124 *1245:72 *28799:CLK 1.64634e-05 -125 *1245:72 *28939:A 0.000871813 -126 *1245:72 *2778:35 2.4461e-05 -127 *1245:72 *3572:36 0.0013401 -128 *1245:72 *3573:95 8.68991e-05 -129 *1245:72 *3638:60 0 -130 *1245:72 *3820:8 0 -131 *1245:72 *3820:69 0 -132 *1245:72 *3872:17 4.75152e-06 -133 *1245:72 *5005:14 0 -134 *1245:72 *5485:121 5.09385e-05 -135 *1245:72 *5486:22 1.83092e-05 -136 *1245:72 *5718:77 0 -137 *1245:72 *6319:25 0 -138 *1245:72 *6338:41 9.60337e-06 -139 *1245:76 *28440:CLK 0.000269956 -140 *1245:76 *5486:22 1.37495e-05 -141 *1245:76 *5707:222 0.000102564 -142 *1245:76 *5746:21 0 -143 *1245:92 *26839:A0 2.02794e-05 -144 *1245:92 *27075:A0 1.90936e-05 -145 *1245:92 *1254:40 6.54182e-05 -146 *1245:92 *1290:89 3.10194e-06 -147 *1245:92 *5352:22 9.5649e-06 -148 *1245:92 *5609:33 4.15592e-05 -149 *1245:92 *5675:223 0.00103975 -150 *1245:92 *5858:23 0.000561922 -151 *1245:116 *25037:S 2.94676e-06 -152 *1245:116 *25046:B1 0.00252887 -153 *1245:116 *1264:92 4.19107e-05 -154 *1245:116 *2782:13 0.000127538 -155 *1245:116 *2802:16 0.00269012 -156 *1245:116 *4574:37 0.000453535 -157 *1245:116 *5485:127 4.49626e-05 -158 *1245:116 *5513:53 0 -159 *1245:116 *5675:213 0.00047551 -160 *1245:116 *5675:223 0.000303241 -161 *1245:116 *5720:77 0 -162 *1245:116 *5751:83 4.61754e-05 -163 *1245:116 *5926:152 0 -164 *1245:116 *6385:32 0.000163186 -165 *1245:142 *25049:B1 0 -166 *1245:142 *27217:B 0.000277384 -167 *1245:142 *1264:116 0 -168 *1245:142 *2799:18 4.58976e-05 -169 *1245:142 *2803:20 0.000818761 -170 *1245:142 *2805:40 8.49085e-06 -171 *1245:142 *2810:15 0.000137983 -172 *1245:142 *2838:31 0.000228351 -173 *1245:142 *3040:41 3.64034e-05 -174 *1245:142 *3851:31 1.69025e-05 -175 *1245:142 *5609:65 0.000476194 -176 *1245:142 *5675:213 0.000366729 -177 *1245:142 *5751:83 0.000116163 -178 *24919:B *25302:A2 3.08664e-05 -179 *25125:A2 *1245:68 0.000127972 -180 *27272:C *1245:142 9.27738e-06 -181 *29487:A *25015:A2 0.000120708 -182 *29562:A *25216:A2 5.50052e-05 -183 *29562:A *1245:76 0.000149989 -184 *29562:A *1245:92 4.34677e-05 -185 *30662:A *25216:A2 0.000138578 -186 *30662:A *1245:92 0.000266415 -187 *30686:A *1245:76 4.65158e-06 -188 *11:17 *25063:A2 1.5424e-05 -189 *12:20 *1245:142 0.000306165 -190 *15:18 *24974:A2 0.000139453 -191 *23:22 *1245:142 0.000183157 -192 *74:11 *1245:51 0.000127201 -193 *244:57 *25063:A2 0.000140204 -194 *770:24 *25401:A2 4.15526e-05 -195 *770:24 *1245:116 0.000308859 -196 *1104:16 *1245:142 0.000156145 -197 *1218:154 *25063:A2 0.00023157 -198 *1228:73 *1245:68 0.000976105 -199 *1240:130 *1245:92 0.000496979 -*RES -1 *24919:Y *1245:5 9.72857 -2 *1245:5 *25302:A2 48.1343 -3 *1245:5 *1245:51 46.6007 -4 *1245:51 *1245:68 36.8178 -5 *1245:68 *1245:72 31.5804 -6 *1245:72 *1245:76 10.2411 -7 *1245:76 *25216:A2 12.5054 -8 *1245:76 *1245:92 20.1049 -9 *1245:92 *25401:A2 17.3446 -10 *1245:92 *1245:116 18.8498 -11 *1245:116 *25015:A2 45.4912 -12 *1245:116 *1245:142 24.4958 -13 *1245:142 *25063:A2 22.8402 -14 *1245:142 *24974:A2 24.8089 -15 *1245:72 *25261:A2 24.4757 -16 *1245:68 *25124:A2 13.8 -*END - -*D_NET *1246 0.12268 -*CONN -*I *25278:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *6600:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25017:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25058:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27144:A I *D sky130_fd_sc_hd__nand2_8 -*I *25146:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25403:A2 I *D sky130_fd_sc_hd__a22o_2 -*I *24987:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25223:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25305:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *24920:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25278:A2 1.98772e-05 -2 *6600:DIODE 0.000289533 -3 *25017:B1 0.000581122 -4 *25058:A2 0.000216946 -5 *27144:A 9.0967e-05 -6 *25146:A2 0.00118337 -7 *25403:A2 0.00213422 -8 *24987:A2 1.04544e-05 -9 *25223:A2 0.000500246 -10 *25305:A2 0.00156633 -11 *24920:Y 0.000251057 -12 *1246:230 0.000470451 -13 *1246:151 0.00561228 -14 *1246:146 0.00439325 -15 *1246:126 0.00356045 -16 *1246:119 0.00231065 -17 *1246:111 0.00212066 -18 *1246:104 0.00276541 -19 *1246:102 0.00141455 -20 *1246:91 0.00150018 -21 *1246:90 0.00315503 -22 *1246:76 0.00398264 -23 *1246:64 0.00185024 -24 *1246:62 0.00379348 -25 *1246:36 0.00622919 -26 *1246:6 0.00375285 -27 *6600:DIODE *6484:DIODE 1.55885e-05 -28 *6600:DIODE *25278:B2 0.000189914 -29 *6600:DIODE *3964:48 3.65794e-05 -30 *6600:DIODE *4185:60 1.21088e-05 -31 *24987:A2 *24987:A1 3.28686e-05 -32 *24987:A2 *24987:B2 3.28686e-05 -33 *25017:B1 *27813:A2 0.000178847 -34 *25017:B1 *2859:299 0.000175505 -35 *25017:B1 *3670:14 0.000174805 -36 *25017:B1 *3929:46 4.58194e-05 -37 *25017:B1 *5589:174 0.000938019 -38 *25017:B1 *5714:29 0.000101391 -39 *25058:A2 *2891:311 0.000465884 -40 *25058:A2 *3967:29 0.000549698 -41 *25146:A2 *25145:A2 3.5925e-05 -42 *25146:A2 *25146:A1 9.85469e-06 -43 *25146:A2 *25146:B1 1.65009e-05 -44 *25146:A2 *27400:B2 0.000243809 -45 *25146:A2 *28710:CLK 0.000170815 -46 *25146:A2 *28710:D 5.69378e-05 -47 *25146:A2 *1292:81 2.71935e-05 -48 *25146:A2 *1293:149 0.00101819 -49 *25146:A2 *1467:27 8.98348e-05 -50 *25146:A2 *2892:292 6.0756e-05 -51 *25146:A2 *2970:19 0.000217952 -52 *25146:A2 *3177:121 1.30891e-05 -53 *25146:A2 *3177:136 2.30969e-05 -54 *25146:A2 *3758:25 0.000829952 -55 *25146:A2 *3758:41 0.00115451 -56 *25146:A2 *5650:280 9.97669e-05 -57 *25146:A2 *5688:97 2.05803e-05 -58 *25146:A2 *6258:12 0.000121098 -59 *25146:A2 *6280:17 0.000134578 -60 *25223:A2 *6398:DIODE 8.43535e-06 -61 *25223:A2 *25223:A1 1.00733e-05 -62 *25223:A2 *25223:B1 4.58194e-05 -63 *25223:A2 *25223:B2 2.84269e-05 -64 *25223:A2 *25223:C1 0.000135028 -65 *25223:A2 *3673:23 0.000634685 -66 *25223:A2 *3965:95 4.46148e-05 -67 *25223:A2 *6247:36 0.000633099 -68 *25278:A2 *25278:B2 0 -69 *25278:A2 *3964:48 7.34187e-06 -70 *25305:A2 *25394:A2 0.000194005 -71 *25305:A2 *25394:B2 8.40551e-05 -72 *25305:A2 *31014:A 1.53282e-05 -73 *25305:A2 *1251:29 4.85605e-05 -74 *25305:A2 *1273:96 0.000233361 -75 *25305:A2 *1327:83 1.10978e-05 -76 *25305:A2 *1395:29 0.000154759 -77 *25305:A2 *1440:19 0.0010347 -78 *25305:A2 *1457:99 0.00021084 -79 *25305:A2 *3867:60 2.89317e-05 -80 *25305:A2 *3919:82 3.58774e-05 -81 *25305:A2 *3963:129 0.000103297 -82 *25403:A2 *25403:A1 7.29971e-05 -83 *25403:A2 *27665:A2 0 -84 *25403:A2 *3312:19 0.000534816 -85 *25403:A2 *3314:25 4.96237e-06 -86 *25403:A2 *3790:106 1.94945e-05 -87 *25403:A2 *5630:292 4.37712e-06 -88 *25403:A2 *5650:297 0.000337438 -89 *27144:A *25206:B 4.91065e-05 -90 *27144:A *27144:B 0.000112967 -91 *27144:A *2788:9 3.08382e-06 -92 *27144:A *5584:263 5.37332e-06 -93 *1246:6 *24920:B 0.000194479 -94 *1246:6 *30324:A 0.000564843 -95 *1246:6 *3314:26 3.43988e-06 -96 *1246:6 *5623:89 0.00021055 -97 *1246:6 *5623:91 0.000167816 -98 *1246:36 *25217:D 5.00194e-05 -99 *1246:36 *25258:B1 2.01997e-05 -100 *1246:36 *30324:A 0.000364509 -101 *1246:36 *1654:17 0.000117583 -102 *1246:36 *2855:139 0.000207658 -103 *1246:36 *3314:26 9.13871e-05 -104 *1246:36 *3834:24 8.68039e-05 -105 *1246:36 *3934:62 0.00082188 -106 *1246:36 *3963:125 0.0018225 -107 *1246:36 *4185:59 5.21154e-05 -108 *1246:36 *4952:14 4.88912e-05 -109 *1246:36 *5517:30 0.000198263 -110 *1246:36 *5583:58 0.000162298 -111 *1246:36 *5600:86 0.000196352 -112 *1246:36 *5609:89 5.29789e-05 -113 *1246:36 *5609:107 7.11855e-05 -114 *1246:62 *28620:CLK 4.68462e-05 -115 *1246:62 *1250:43 1.20504e-05 -116 *1246:62 *2853:164 5.34258e-05 -117 *1246:62 *2877:179 1.74604e-05 -118 *1246:62 *2895:210 0.000195175 -119 *1246:62 *3176:49 8.2236e-06 -120 *1246:62 *3176:62 3.39033e-05 -121 *1246:62 *3215:44 0.0021607 -122 *1246:62 *3686:135 8.65987e-06 -123 *1246:62 *3782:75 0.000175892 -124 *1246:62 *3820:79 0.000293639 -125 *1246:62 *3834:24 0.000369081 -126 *1246:62 *3939:21 0.00283027 -127 *1246:62 *4115:47 5.51202e-05 -128 *1246:62 *4185:59 0.000106778 -129 *1246:62 *5605:56 3.91734e-05 -130 *1246:76 *1290:132 0.000222635 -131 *1246:76 *1290:179 0.000620738 -132 *1246:76 *2780:6 3.89984e-05 -133 *1246:76 *2871:194 0.000107058 -134 *1246:76 *2885:58 9.41642e-05 -135 *1246:76 *3170:167 0.000110417 -136 *1246:76 *3559:21 0.000189264 -137 *1246:76 *3929:34 0.000177754 -138 *1246:76 *3929:46 0.000129068 -139 *1246:90 *27811:B2 0.000158398 -140 *1246:90 *1368:23 0.000115775 -141 *1246:90 *1368:37 0.000192321 -142 *1246:90 *2845:392 6.14836e-06 -143 *1246:90 *2859:299 0.000247832 -144 *1246:90 *3176:24 8.36265e-05 -145 *1246:90 *3176:230 0.00265878 -146 *1246:90 *3537:73 0 -147 *1246:90 *3670:47 0.000116031 -148 *1246:90 *3916:39 0.000250281 -149 *1246:90 *5467:18 1.52888e-05 -150 *1246:90 *5589:166 0.000938452 -151 *1246:90 *5589:174 0.000165754 -152 *1246:90 *5714:29 1.18941e-06 -153 *1246:91 *1293:223 0.00370112 -154 *1246:91 *3760:10 5.41797e-06 -155 *1246:91 *3760:12 0.00418607 -156 *1246:91 *5589:166 0.000105594 -157 *1246:91 *5679:8 0.000459992 -158 *1246:102 *1293:223 3.44114e-05 -159 *1246:102 *3760:10 2.36459e-05 -160 *1246:104 *24986:A2 0.000145618 -161 *1246:104 *25047:B1 3.14219e-05 -162 *1246:104 *25047:B2 0.000887654 -163 *1246:104 *25047:C1 0.000122935 -164 *1246:104 *26880:A1 0.000873194 -165 *1246:104 *27025:A0 1.41029e-05 -166 *1246:104 *27831:A1 0.000127163 -167 *1246:104 *1293:223 3.34639e-05 -168 *1246:104 *2848:233 3.95183e-05 -169 *1246:104 *3176:254 0.000154703 -170 *1246:104 *3668:34 1.3409e-05 -171 *1246:104 *3760:10 0.00104396 -172 *1246:104 *3760:30 0.000412164 -173 *1246:104 *3930:94 0.0020942 -174 *1246:104 *5631:36 6.34731e-05 -175 *1246:104 *5631:47 0.000142159 -176 *1246:104 *5718:387 0.000768148 -177 *1246:111 *25047:B2 0.000141522 -178 *1246:111 *25062:B2 0.000636373 -179 *1246:111 *27087:A1 7.05143e-06 -180 *1246:111 *27125:S 0.000848169 -181 *1246:111 *27421:B1 4.15592e-05 -182 *1246:111 *27775:A2 0.000315621 -183 *1246:111 *3170:245 2.11419e-05 -184 *1246:111 *3174:261 8.55871e-05 -185 *1246:111 *3668:34 0.000368981 -186 *1246:111 *3694:77 0.000510188 -187 *1246:111 *3746:19 5.52238e-05 -188 *1246:111 *3863:37 0.00125257 -189 *1246:111 *3967:29 0.000168397 -190 *1246:111 *5631:47 5.0363e-05 -191 *1246:111 *5658:124 0.00152346 -192 *1246:111 *5777:247 1.50087e-05 -193 *1246:119 *2785:10 2.53643e-05 -194 *1246:119 *2785:12 0.00105103 -195 *1246:119 *2997:20 0.000329172 -196 *1246:119 *3746:19 0.00145992 -197 *1246:119 *5587:139 0.000301438 -198 *1246:119 *5703:45 0.000301438 -199 *1246:126 *27420:A1 0.000303368 -200 *1246:126 *27420:B1 5.52302e-05 -201 *1246:126 *2769:31 2.77143e-05 -202 *1246:126 *2770:98 0.00212815 -203 *1246:126 *2891:311 0.000163475 -204 *1246:126 *2980:7 5.51819e-05 -205 *1246:126 *3809:32 0.000216755 -206 *1246:126 *3822:18 0.000140517 -207 *1246:126 *3967:29 0.000163475 -208 *1246:126 *5584:263 0.000143885 -209 *1246:126 *5658:133 0.00279251 -210 *1246:146 *27200:A1 0.000346759 -211 *1246:146 *27690:B1 0.000200138 -212 *1246:146 *29671:A 5.33005e-05 -213 *1246:146 *1261:227 0.000679768 -214 *1246:146 *1272:101 4.7931e-05 -215 *1246:146 *1393:23 0.000589129 -216 *1246:146 *2784:112 0.00019862 -217 *1246:146 *2788:76 4.65519e-05 -218 *1246:146 *3251:12 9.01213e-05 -219 *1246:146 *3822:18 4.21517e-05 -220 *1246:146 *3898:11 8.17274e-05 -221 *1246:146 *3898:32 0.000384667 -222 *1246:146 *5584:263 3.42512e-05 -223 *1246:146 *5587:130 0.000860207 -224 *1246:146 *5873:289 0.00017799 -225 *1246:151 *27200:S 0.000166546 -226 *1246:151 *27415:A1 4.65519e-05 -227 *1246:151 *28558:D 0.000187973 -228 *1246:151 *2894:319 0 -229 *1246:151 *2970:19 0.000136649 -230 *1246:151 *3693:21 0 -231 *1246:151 *5587:87 0.000531444 -232 *1246:151 *5589:73 0 -233 *1246:151 *5607:71 0 -234 *1246:230 *1597:10 5.33005e-05 -235 *1246:230 *2871:194 0.000213742 -236 *1246:230 *3559:21 0.000204584 -237 *1246:230 *3964:48 8.0203e-05 -238 *30163:A *1246:104 0.00012465 -239 *1185:54 *25017:B1 0.000933411 -240 *1185:54 *1246:90 0.000301546 -241 *1185:72 *1246:91 2.04866e-05 -242 *1235:165 *25403:A2 2.09897e-05 -243 *1242:37 *25305:A2 9.67479e-05 -244 *1243:66 *1246:36 9.58181e-05 -245 *1244:174 *1246:62 0 -246 *1244:198 *1246:62 0 -*RES -1 *24920:Y *1246:6 21.3625 -2 *1246:6 *25305:A2 26.7493 -3 *1246:6 *1246:36 46.7019 -4 *1246:36 *25223:A2 29.6698 -5 *1246:36 *1246:62 45.5285 -6 *1246:62 *1246:64 4.5 -7 *1246:64 *1246:76 27.5893 -8 *1246:76 *1246:90 25.9036 -9 *1246:90 *1246:91 54.9196 -10 *1246:91 *24987:A2 14.1304 -11 *1246:91 *1246:102 1.11607 -12 *1246:102 *1246:104 49.9107 -13 *1246:104 *1246:111 49.2768 -14 *1246:111 *1246:119 36.0536 -15 *1246:119 *1246:126 46.1786 -16 *1246:126 *1246:146 49.3312 -17 *1246:146 *1246:151 5.11203 -18 *1246:151 *25403:A2 22.536 -19 *1246:151 *25146:A2 39.4903 -20 *1246:126 *27144:A 16.2643 -21 *1246:119 *25058:A2 21.2107 -22 *1246:76 *25017:B1 44.5321 -23 *1246:64 *1246:230 8.91964 -24 *1246:230 *6600:DIODE 13.4696 -25 *1246:230 *25278:A2 9.72857 -*END - -*D_NET *1247 0.0368207 -*CONN -*I *24924:A I *D sky130_fd_sc_hd__nor2_8 -*I *30788:A I *D sky130_fd_sc_hd__buf_12 -*I *29439:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24921:X O *D sky130_fd_sc_hd__or3b_4 -*CAP -1 *24924:A 0.00169714 -2 *30788:A 0.00132049 -3 *29439:A 0 -4 *24921:X 0.00262377 -5 *1247:43 0.00607872 -6 *1247:25 0.00493885 -7 *1247:12 0.00450152 -8 *24924:A *24953:A 0.000883642 -9 *24924:A *1249:17 5.33005e-05 -10 *24924:A *1249:34 0.000339346 -11 *24924:A *1279:11 0.000464893 -12 *24924:A *1281:75 0.000113877 -13 *24924:A *1282:158 5.46915e-05 -14 *24924:A *1719:12 9.68667e-05 -15 *24924:A *3461:26 0.000128196 -16 *24924:A *3686:83 0.000167426 -17 *24924:A *3875:73 0.000201297 -18 *24924:A *4070:63 0 -19 *24924:A *4132:65 8.56097e-05 -20 *24924:A *5626:69 1.39112e-05 -21 *30788:A *1273:203 3.90782e-06 -22 *30788:A *1281:75 0.000980772 -23 *30788:A *1420:40 0.000333855 -24 *30788:A *1545:28 0 -25 *30788:A *1648:24 0.000108511 -26 *30788:A *1719:12 0.00158194 -27 *30788:A *5621:17 0.000110341 -28 *1247:12 *24921:A 5.42547e-05 -29 *1247:12 *28258:RESET_B 7.70892e-05 -30 *1247:12 *30790:A 0.00038021 -31 *1247:12 *3637:40 3.82242e-05 -32 *1247:12 *4113:8 9.60939e-05 -33 *1247:12 *4142:26 0 -34 *1247:12 *5623:13 0.000813286 -35 *1247:12 *5741:53 6.72353e-05 -36 *1247:12 *5741:67 0.000760693 -37 *1247:12 *5741:68 5.69618e-05 -38 *1247:12 *5901:27 4.43256e-05 -39 *1247:25 *28329:D 0.000136676 -40 *1247:25 *28715:D 0.00121352 -41 *1247:25 *3984:10 1.98839e-05 -42 *1247:25 *4113:98 0.000396062 -43 *1247:25 *5623:23 0.000197839 -44 *1247:25 *5623:37 0.000114663 -45 *1247:25 *5626:19 1.42208e-05 -46 *1247:25 *5686:185 4.58194e-05 -47 *1247:43 *25088:A2 0.000503687 -48 *1247:43 *25122:A1 0.000461976 -49 *1247:43 *25122:B2 0.000142272 -50 *1247:43 *25137:B1 0.000183373 -51 *1247:43 *27960:A2 2.04825e-05 -52 *1247:43 *1282:158 0.000211594 -53 *1247:43 *1439:37 2.9988e-05 -54 *1247:43 *3182:134 0.000128345 -55 *1247:43 *3209:117 1.90936e-05 -56 *1247:43 *3461:26 0.00181824 -57 *1247:43 *5623:37 0.000139215 -58 *30344:A *1247:25 5.90666e-05 -59 *30495:A *1247:25 0.000878597 -60 *1178:82 *30788:A 0.000298491 -61 *1205:22 *1247:12 0.000516299 -*RES -1 *24921:X *1247:12 49.8 -2 *1247:12 *1247:25 36.8571 -3 *1247:25 *29439:A 9.3 -4 *1247:25 *1247:43 20.0086 -5 *1247:43 *30788:A 32.2228 -6 *1247:43 *24924:A 39.8222 -*END - -*D_NET *1248 0.0972766 -*CONN -*I *25047:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25287:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25104:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25184:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25410:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *30778:A I *D sky130_fd_sc_hd__buf_6 -*I *24922:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25047:A2 0.00226289 -2 *25287:A2 0 -3 *25104:A2 2.0182e-05 -4 *25184:A2 0.000152593 -5 *25410:A2 0.00166644 -6 *30778:A 0 -7 *24922:Y 0.00294018 -8 *1248:150 0.00560693 -9 *1248:140 0.00338056 -10 *1248:137 0.00243391 -11 *1248:131 0.00385175 -12 *1248:120 0.00247241 -13 *1248:98 0.00239255 -14 *1248:81 0.00459136 -15 *1248:56 0.00459579 -16 *1248:33 0.00331915 -17 *1248:22 0.00109741 -18 *1248:14 0.00359104 -19 *25047:A2 *25047:A1 5.55213e-05 -20 *25047:A2 *25047:B1 5.43514e-05 -21 *25047:A2 *25047:B2 1.38323e-05 -22 *25047:A2 *25065:A1 0.000203024 -23 *25047:A2 *25065:B1 1.92789e-05 -24 *25047:A2 *25065:B2 2.11419e-05 -25 *25047:A2 *25066:C1 5.74499e-06 -26 *25047:A2 *1258:75 0.000421983 -27 *25047:A2 *1526:8 4.58764e-05 -28 *25047:A2 *2864:266 4.38243e-05 -29 *25047:A2 *2864:292 0 -30 *25047:A2 *3177:85 0 -31 *25047:A2 *5630:176 7.53147e-05 -32 *25047:A2 *5650:329 1.94879e-05 -33 *25047:A2 *5718:387 0.000259273 -34 *25047:A2 *5759:18 0.00226354 -35 *25104:A2 *25104:B1 1.59935e-05 -36 *25184:A2 *25184:A1 1.21859e-05 -37 *25184:A2 *1504:7 2.51845e-05 -38 *25184:A2 *1535:55 2.94676e-06 -39 *25184:A2 *3570:27 3.96694e-05 -40 *25184:A2 *5386:11 2.8491e-05 -41 *25410:A2 *25269:B1 7.15643e-05 -42 *25410:A2 *25282:C 9.86332e-05 -43 *25410:A2 *25391:A2 0.000210276 -44 *25410:A2 *25410:A1 7.37323e-05 -45 *25410:A2 *1252:24 0.000396295 -46 *25410:A2 *1257:69 0.000142023 -47 *25410:A2 *1455:93 0.000522386 -48 *25410:A2 *1470:102 0.000194633 -49 *25410:A2 *1547:36 7.37323e-05 -50 *25410:A2 *1588:11 1.49579e-05 -51 *25410:A2 *2776:65 6.81431e-05 -52 *25410:A2 *2886:41 0.000403233 -53 *25410:A2 *3209:59 0.000647148 -54 *25410:A2 *3389:28 0.000394284 -55 *25410:A2 *3989:20 0.0014154 -56 *1248:14 *30833:A 3.87474e-05 -57 *1248:14 *1257:69 2.17335e-06 -58 *1248:14 *1273:14 0.00109444 -59 *1248:14 *1417:10 0.000125271 -60 *1248:14 *1426:14 0.000107149 -61 *1248:14 *1441:14 9.7597e-05 -62 *1248:14 *1441:99 7.14229e-05 -63 *1248:14 *1479:34 0 -64 *1248:14 *1535:39 0 -65 *1248:14 *1594:23 3.29117e-05 -66 *1248:14 *3875:35 7.83587e-05 -67 *1248:14 *3988:8 6.39829e-05 -68 *1248:14 *5052:19 0.000175519 -69 *1248:14 *5499:34 0.0001839 -70 *1248:14 *5499:59 0.000337933 -71 *1248:14 *5622:90 0.000387425 -72 *1248:14 *5665:285 0.000125724 -73 *1248:22 *1257:69 0 -74 *1248:22 *1417:15 6.47358e-05 -75 *1248:22 *1535:39 0.000505571 -76 *1248:22 *1588:18 1.92451e-05 -77 *1248:22 *1594:23 0.00102797 -78 *1248:22 *2871:36 4.8817e-05 -79 *1248:22 *5591:42 2.06178e-05 -80 *1248:33 *27037:S 5.31531e-05 -81 *1248:33 *1257:69 1.93327e-05 -82 *1248:33 *1417:15 0.000565956 -83 *1248:33 *1441:99 0 -84 *1248:33 *1535:39 0.000147803 -85 *1248:33 *1588:18 0.000433468 -86 *1248:33 *2776:65 0.000290851 -87 *1248:33 *5591:42 4.69543e-05 -88 *1248:56 *25097:B1 6.51531e-05 -89 *1248:56 *25353:A 0.000184008 -90 *1248:56 *26837:A2 0 -91 *1248:56 *26837:C1 5.62065e-05 -92 *1248:56 *27037:S 0.00016735 -93 *1248:56 *30549:A 0 -94 *1248:56 *1253:98 0 -95 *1248:56 *1257:46 2.69491e-05 -96 *1248:56 *1271:131 0.000210081 -97 *1248:56 *1400:95 6.572e-05 -98 *1248:56 *1535:55 4.31261e-05 -99 *1248:56 *2888:59 0.000336456 -100 *1248:56 *3170:41 3.15873e-05 -101 *1248:56 *3921:77 1.90936e-05 -102 *1248:56 *3988:44 0 -103 *1248:56 *3989:20 1.90936e-05 -104 *1248:56 *5606:14 0.000875632 -105 *1248:56 *5646:34 0.0001718 -106 *1248:56 *5947:56 2.05484e-05 -107 *1248:81 *25133:C1 0.00200986 -108 *1248:81 *25217:D 0.00025226 -109 *1248:81 *25313:B 0.000384008 -110 *1248:81 *25414:A 0.000121592 -111 *1248:81 *1257:46 0.000324398 -112 *1248:81 *1279:75 0.000666359 -113 *1248:81 *1281:64 0.000842616 -114 *1248:81 *1421:93 9.20564e-06 -115 *1248:81 *1449:101 0.000216354 -116 *1248:81 *1471:140 0.00025203 -117 *1248:81 *1494:48 0.000735333 -118 *1248:81 *1648:24 0.000125359 -119 *1248:81 *2867:39 0 -120 *1248:81 *3844:75 0.000301016 -121 *1248:81 *4186:20 0.00205884 -122 *1248:81 *5386:11 2.04745e-05 -123 *1248:81 *5605:34 0.00131586 -124 *1248:81 *5655:150 0 -125 *1248:81 *5691:93 0.000216092 -126 *1248:98 *25122:A1 0.00125247 -127 *1248:98 *1282:158 0.000789718 -128 *1248:98 *1327:78 0.000656103 -129 *1248:98 *1327:81 0.000784594 -130 *1248:98 *1456:38 1.47779e-05 -131 *1248:98 *3206:125 2.1479e-05 -132 *1248:98 *3389:20 0.0020693 -133 *1248:98 *4088:56 0.00168373 -134 *1248:98 *5600:47 4.15471e-05 -135 *1248:98 *5605:99 5.11566e-05 -136 *1248:120 *24929:A 0.000743176 -137 *1248:120 *24929:B 5.33005e-05 -138 *1248:120 *25104:B1 0.000234447 -139 *1248:120 *25108:C 0.000300412 -140 *1248:120 *30235:A 0.000727045 -141 *1248:120 *1266:22 0.00011044 -142 *1248:120 *1269:40 0.000150492 -143 *1248:120 *1280:6 2.97777e-05 -144 *1248:120 *1284:43 0.000309642 -145 *1248:120 *1415:38 2.04825e-05 -146 *1248:120 *1449:62 0.000102637 -147 *1248:120 *1631:16 7.85244e-05 -148 *1248:120 *4063:48 4.34427e-05 -149 *1248:120 *5651:150 9.36129e-05 -150 *1248:120 *5656:174 0.000803139 -151 *1248:120 *5667:25 0.000374359 -152 *1248:120 *5832:25 7.25338e-05 -153 *1248:131 *24943:A 6.94402e-05 -154 *1248:131 *25111:A1 0.000276342 -155 *1248:131 *25340:B1 4.05676e-05 -156 *1248:131 *1284:43 0.000341942 -157 *1248:131 *4063:43 0.00161268 -158 *1248:131 *4063:48 9.88642e-05 -159 *1248:131 *4104:49 0.00016787 -160 *1248:131 *5587:44 7.95805e-05 -161 *1248:131 *5610:54 4.21517e-05 -162 *1248:137 *24943:A 6.57648e-05 -163 *1248:137 *25253:B1 1.00073e-05 -164 *1248:137 *27344:B1 0.00034127 -165 *1248:137 *2761:121 0.000576555 -166 *1248:137 *2761:134 0.00167635 -167 *1248:137 *3314:26 0.000475343 -168 *1248:137 *4063:43 3.25078e-05 -169 *1248:137 *5587:44 0.000136345 -170 *1248:140 *1660:42 7.53309e-05 -171 *1248:140 *2779:96 7.53309e-05 -172 *1248:150 *27396:C1 3.69047e-06 -173 *1248:150 *1282:210 0.0026662 -174 *1248:150 *1660:42 0.000231084 -175 *1248:150 *2779:96 0.00023962 -176 *1248:150 *2882:210 0.000595592 -177 *1248:150 *2882:260 4.13595e-05 -178 *28842:A *1248:131 0 -179 *1235:116 *1248:120 2.23987e-05 -180 *1235:116 *1248:131 0.00278941 -181 *1235:124 *1248:137 0.000897309 -182 *1240:41 *1248:14 0.00092542 -183 *1240:47 *1248:14 1.81805e-05 -*RES -1 *24922:Y *1248:14 48.025 -2 *1248:14 *1248:22 10.0273 -3 *1248:22 *30778:A 13.8 -4 *1248:22 *1248:33 12.7768 -5 *1248:33 *25410:A2 30.8813 -6 *1248:33 *1248:56 29.6243 -7 *1248:56 *25184:A2 18.419 -8 *1248:56 *1248:81 49.0839 -9 *1248:81 *1248:98 47.2031 -10 *1248:98 *25104:A2 9.63036 -11 *1248:98 *1248:120 44 -12 *1248:120 *1248:131 48.0414 -13 *1248:131 *1248:137 46.7404 -14 *1248:137 *1248:140 5.53571 -15 *1248:140 *25287:A2 13.8 -16 *1248:140 *1248:150 47.625 -17 *1248:150 *25047:A2 42.3481 -*END - -*D_NET *1249 0.0268723 -*CONN -*I *24935:A I *D sky130_fd_sc_hd__nor2_8 -*I *24943:B I *D sky130_fd_sc_hd__nor2_8 -*I *24960:B I *D sky130_fd_sc_hd__nor2_8 -*I *24964:B I *D sky130_fd_sc_hd__nor2_8 -*I *24924:B I *D sky130_fd_sc_hd__nor2_8 -*I *24953:B I *D sky130_fd_sc_hd__nor2_4 -*I *24923:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *24935:A 0.000101906 -2 *24943:B 0 -3 *24960:B 0.00094557 -4 *24964:B 0.000249023 -5 *24924:B 6.66096e-05 -6 *24953:B 0 -7 *24923:Y 0.0002083 -8 *1249:78 0.000120117 -9 *1249:67 0.00334341 -10 *1249:34 0.000959738 -11 *1249:17 0.00149487 -12 *1249:7 0.00343869 -13 *24924:B *1250:11 5.33005e-05 -14 *24924:B *4132:65 6.81704e-05 -15 *24935:A *1274:221 0.000101444 -16 *24935:A *1566:20 0.000381318 -17 *24935:A *2780:196 0.000391568 -18 *24960:B *25196:A1 0.000876063 -19 *24960:B *3952:68 8.28901e-05 -20 *24964:B *27292:C1 0.000373816 -21 *24964:B *1250:11 0.000301016 -22 *24964:B *4132:65 0.000143745 -23 *24964:B *5936:111 0.000373816 -24 *1249:7 *1284:43 6.57032e-05 -25 *1249:7 *5216:29 8.02717e-05 -26 *1249:17 *24915:A 0.000124749 -27 *1249:17 *1449:74 2.35985e-05 -28 *1249:17 *3305:8 0.0015305 -29 *1249:17 *4063:59 0.000391858 -30 *1249:17 *4132:65 5.33005e-05 -31 *1249:17 *4133:85 0.000209318 -32 *1249:17 *5587:17 0.00113701 -33 *1249:17 *5587:31 2.70133e-05 -34 *1249:17 *5601:162 5.79047e-06 -35 *1249:34 *1279:44 8.58784e-05 -36 *1249:34 *1700:37 8.40344e-05 -37 *1249:34 *3686:83 0.00069848 -38 *1249:34 *3758:43 8.40344e-05 -39 *1249:34 *3841:68 8.40344e-05 -40 *1249:34 *4132:65 0.000201031 -41 *1249:34 *5604:9 2.01997e-05 -42 *1249:34 *5604:57 0.000314438 -43 *1249:67 *24915:A 3.72685e-05 -44 *1249:67 *25248:B2 0.00022871 -45 *1249:67 *1274:59 0.00066213 -46 *1249:67 *1288:20 0 -47 *1249:67 *1293:35 0.000170034 -48 *1249:67 *1293:42 5.26224e-05 -49 *1249:67 *1410:89 1.90936e-05 -50 *1249:67 *1449:62 0.000110498 -51 *1249:67 *1484:23 0.000103347 -52 *1249:67 *1566:20 0.000245907 -53 *1249:67 *1834:68 0.000132532 -54 *1249:67 *2780:196 0.000119828 -55 *1249:67 *3305:8 0.000251698 -56 *1249:67 *4063:43 0.000113219 -57 *1249:67 *4076:72 0.000975078 -58 *1249:67 *4133:77 0.000110498 -59 *1249:67 *5587:31 8.74483e-05 -60 *1249:67 *5587:44 0.000872808 -61 *1249:67 *5601:162 0.000650278 -62 *1249:67 *5836:78 1.81922e-05 -63 *1249:67 *6258:12 0.000121549 -64 *1249:78 *1566:20 4.68689e-05 -65 *1249:78 *2780:196 5.48376e-05 -66 *24924:A *1249:17 5.33005e-05 -67 *24924:A *1249:34 0.000339346 -68 *25288:A2 *1249:17 0.000293483 -69 *28842:A *1249:67 0.00170512 -*RES -1 *24923:Y *1249:7 17.2107 -2 *1249:7 *1249:17 32.7679 -3 *1249:17 *24953:B 9.3 -4 *1249:17 *1249:34 22.8058 -5 *1249:34 *24924:B 10.6571 -6 *1249:34 *24964:B 26.2821 -7 *1249:7 *1249:67 42.2156 -8 *1249:67 *24960:B 25.7464 -9 *1249:67 *1249:78 0.732143 -10 *1249:78 *24943:B 13.8 -11 *1249:78 *24935:A 18.7821 -*END - -*D_NET *1250 0.12342 -*CONN -*I *25064:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25277:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25386:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25311:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *24991:A2 I *D sky130_fd_sc_hd__a221o_2 -*I *25022:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25219:B1 I *D sky130_fd_sc_hd__a221o_2 -*I *25124:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *29441:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *6611:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *24924:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25064:A2 0.000622393 -2 *25277:A2 0.0011989 -3 *25386:A2 0 -4 *25311:A2 0 -5 *24991:A2 0.000838043 -6 *25022:A2 5.49504e-05 -7 *25219:B1 0.000204097 -8 *25124:B1 0.000182229 -9 *29441:A 0 -10 *6611:DIODE 5.0616e-05 -11 *24924:Y 0.000935416 -12 *1250:245 0.00203078 -13 *1250:243 0.00223002 -14 *1250:234 0.00573004 -15 *1250:232 0.00795479 -16 *1250:187 0.00173331 -17 *1250:163 0.00286773 -18 *1250:122 0.00420371 -19 *1250:121 0.00450716 -20 *1250:96 0.00154347 -21 *1250:79 0.00151939 -22 *1250:74 0.00381238 -23 *1250:72 0.00241255 -24 *1250:47 6.3197e-05 -25 *1250:46 0.00150517 -26 *1250:43 0.00175518 -27 *1250:20 0.00409466 -28 *1250:11 0.00568614 -29 *6611:DIODE *2780:43 0.000177815 -30 *6611:DIODE *5873:164 0.000177815 -31 *24991:A2 *24991:A1 0.000136724 -32 *24991:A2 *24991:B1 0.000306324 -33 *24991:A2 *24991:B2 1.54142e-05 -34 *24991:A2 *3635:81 5.59013e-05 -35 *24991:A2 *3826:26 4.30382e-05 -36 *24991:A2 *3943:17 8.33968e-05 -37 *24991:A2 *5678:181 0.00015851 -38 *24991:A2 *5694:183 1.59935e-05 -39 *25022:A2 *24969:A2 0.000120708 -40 *25022:A2 *5667:244 0.000120708 -41 *25064:A2 *25064:A1 0.000146311 -42 *25064:A2 *27436:B1 0.000249457 -43 *25064:A2 *27464:A1 9.41642e-05 -44 *25064:A2 *1267:202 0.00153332 -45 *25064:A2 *2877:253 0.000830784 -46 *25064:A2 *3786:25 0.000223599 -47 *25064:A2 *3800:64 2.49484e-05 -48 *25064:A2 *3993:14 0.00029945 -49 *25064:A2 *4123:33 0.00015546 -50 *25124:B1 *25124:A1 5.52238e-05 -51 *25124:B1 *1277:70 4.97207e-05 -52 *25124:B1 *3820:69 6.47173e-05 -53 *25219:B1 *25219:B2 4.28106e-05 -54 *25219:B1 *3820:69 0.00038574 -55 *25219:B1 *5602:21 0.000217042 -56 *25219:B1 *6319:25 5.44167e-05 -57 *25277:A2 *25183:B1 7.46146e-05 -58 *25277:A2 *25183:B2 2.01668e-05 -59 *25277:A2 *25277:A1 9.43808e-05 -60 *25277:A2 *25277:B1 0.000370716 -61 *25277:A2 *1277:161 0.000596178 -62 *25277:A2 *1416:89 4.45314e-05 -63 *25277:A2 *3848:61 7.14892e-05 -64 *25277:A2 *3912:82 1.94879e-05 -65 *25277:A2 *3987:58 8.01687e-05 -66 *25277:A2 *5583:112 0.00132691 -67 *25277:A2 *5609:107 0 -68 *25277:A2 *5609:137 0 -69 *25277:A2 *5629:139 1.94879e-05 -70 *1250:11 *1654:20 0.000155419 -71 *1250:11 *2855:151 5.49489e-05 -72 *1250:11 *3667:53 7.67767e-05 -73 *1250:11 *3686:83 0.000374453 -74 *1250:11 *4132:65 8.04075e-05 -75 *1250:11 *5604:57 0.000832264 -76 *1250:20 *25183:B1 0.000113068 -77 *1250:20 *25222:B1 2.04825e-05 -78 *1250:20 *25224:A 4.65519e-05 -79 *1250:20 *25225:D 4.15526e-05 -80 *1250:20 *1262:135 0.000317022 -81 *1250:20 *1275:26 1.60696e-05 -82 *1250:20 *1419:24 0.000288276 -83 *1250:20 *2860:164 2.85219e-05 -84 *1250:20 *2877:179 0.00158014 -85 *1250:20 *2879:61 0.000314912 -86 *1250:20 *3413:57 0 -87 *1250:20 *3751:30 0.000332209 -88 *1250:20 *3751:44 0.000280877 -89 *1250:20 *3830:95 1.95625e-05 -90 *1250:20 *5609:107 5.00194e-05 -91 *1250:43 *27629:A2 0.000417193 -92 *1250:43 *27669:B 0.00015724 -93 *1250:43 *1363:98 0.000124861 -94 *1250:43 *1419:30 0.000522111 -95 *1250:43 *2860:158 6.71947e-05 -96 *1250:43 *2879:61 0.000507119 -97 *1250:43 *2881:14 0.000529099 -98 *1250:43 *3162:40 1.57821e-05 -99 *1250:43 *3176:62 2.27993e-05 -100 *1250:43 *3184:71 2.04745e-05 -101 *1250:43 *3215:44 4.1879e-05 -102 *1250:43 *3830:95 0.000308421 -103 *1250:43 *3963:122 8.2059e-05 -104 *1250:43 *4115:47 5.21882e-05 -105 *1250:43 *4133:108 4.43126e-05 -106 *1250:43 *5635:63 0.000140147 -107 *1250:43 *5653:31 6.05161e-06 -108 *1250:43 *5655:150 2.63501e-05 -109 *1250:43 *5655:163 8.69554e-05 -110 *1250:43 *5687:87 0.000138232 -111 *1250:46 *27617:A1 0.000393102 -112 *1250:46 *2860:158 0.00128033 -113 *1250:46 *5635:50 9.90115e-06 -114 *1250:46 *5661:188 0.000861246 -115 *1250:47 *2780:43 4.25176e-05 -116 *1250:47 *5873:164 5.33005e-05 -117 *1250:72 *27082:A1 5.58383e-05 -118 *1250:72 *27136:S 0.000363478 -119 *1250:72 *27322:B1 3.13796e-05 -120 *1250:72 *27614:B2 0.000162573 -121 *1250:72 *28650:SET_B 0.000209746 -122 *1250:72 *28719:CLK 1.50087e-05 -123 *1250:72 *2780:43 0.000205198 -124 *1250:72 *3933:17 1.09232e-05 -125 *1250:72 *4051:49 0.000246432 -126 *1250:72 *5211:8 0.000678314 -127 *1250:72 *5661:21 0.000273318 -128 *1250:72 *5750:196 0.000449304 -129 *1250:72 *5873:164 0.000216755 -130 *1250:74 *27091:A1 7.05143e-06 -131 *1250:74 *27136:S 0.000474548 -132 *1250:74 *27322:B1 0.000209286 -133 *1250:74 *28723:D 0.000117644 -134 *1250:74 *1294:147 2.69945e-05 -135 *1250:74 *2845:22 1.90936e-05 -136 *1250:74 *2860:150 0 -137 *1250:74 *2860:154 0 -138 *1250:74 *2878:16 0.000138262 -139 *1250:74 *2886:26 0.00099371 -140 *1250:74 *3640:8 5.9684e-05 -141 *1250:74 *3640:28 5.32046e-05 -142 *1250:74 *3992:25 0.00028713 -143 *1250:74 *5211:8 8.92415e-06 -144 *1250:74 *5585:70 0.000490151 -145 *1250:74 *5585:76 0.00108107 -146 *1250:79 *6463:DIODE 0.00011966 -147 *1250:79 *28619:CLK 9.92896e-05 -148 *1250:79 *1289:55 0.00185535 -149 *1250:79 *1294:128 6.59565e-05 -150 *1250:79 *2778:55 0.000391509 -151 *1250:79 *2839:19 0.000731595 -152 *1250:79 *2839:33 5.33334e-05 -153 *1250:79 *3640:8 0.000412158 -154 *1250:79 *5522:61 0.000281587 -155 *1250:79 *5585:76 0.000211586 -156 *1250:79 *5585:92 3.25078e-05 -157 *1250:96 *1289:55 0.000169816 -158 *1250:96 *2839:19 0.000181381 -159 *1250:96 *3820:69 0.000144834 -160 *1250:96 *6319:25 8.41923e-05 -161 *1250:121 *27244:A2 0.000144381 -162 *1250:121 *27398:A1 0.000127061 -163 *1250:121 *27418:A1 0.000180348 -164 *1250:121 *27418:A2 8.56654e-05 -165 *1250:121 *27419:A1 5.01338e-05 -166 *1250:121 *2978:36 0.000733659 -167 *1250:121 *5581:8 0.00019664 -168 *1250:121 *5667:113 0.00012891 -169 *1250:121 *5667:129 0.000511661 -170 *1250:121 *6314:37 0.000469686 -171 *1250:122 *24969:B1 0.000348207 -172 *1250:122 *27062:A1 8.11273e-05 -173 *1250:122 *1271:49 0.000519677 -174 *1250:122 *3943:17 1.21258e-05 -175 *1250:122 *4685:23 2.22618e-05 -176 *1250:122 *5694:171 0.00328601 -177 *1250:163 *25270:B2 0.000641235 -178 *1250:163 *25274:A1 0.00014351 -179 *1250:163 *25289:B1 0.000319802 -180 *1250:163 *25387:C1 0.000155855 -181 *1250:163 *1271:88 5.51406e-05 -182 *1250:163 *1282:68 0.00106671 -183 *1250:163 *1485:23 3.92939e-05 -184 *1250:163 *1653:35 6.91215e-06 -185 *1250:163 *2860:56 0.000184202 -186 *1250:163 *3178:43 7.31147e-05 -187 *1250:163 *3640:7 9.20581e-06 -188 *1250:163 *3816:27 1.94879e-05 -189 *1250:163 *3844:40 0.000512513 -190 *1250:187 *25270:B2 0.000164622 -191 *1250:187 *25275:B 0.000384374 -192 *1250:187 *25289:B1 5.33433e-05 -193 *1250:187 *25311:A1 0.000502543 -194 *1250:187 *25386:B1 1.04232e-05 -195 *1250:187 *25386:B2 0.000263102 -196 *1250:187 *25954:A 0.000153003 -197 *1250:187 *25957:A0 0.000122606 -198 *1250:187 *28397:D 2.59355e-05 -199 *1250:187 *1427:71 3.90079e-06 -200 *1250:187 *1441:99 0.000312171 -201 *1250:187 *1455:28 2.1131e-05 -202 *1250:187 *1479:59 0.000785147 -203 *1250:187 *1588:18 0.000115555 -204 *1250:187 *1629:7 7.37323e-05 -205 *1250:187 *3158:36 0.00090988 -206 *1250:187 *3178:55 2.82126e-05 -207 *1250:187 *3853:45 1.55667e-05 -208 *1250:187 *3986:40 0.000139208 -209 *1250:187 *3988:33 6.81425e-06 -210 *1250:187 *5618:6 2.24164e-05 -211 *1250:232 *26876:S 0.00079547 -212 *1250:232 *27365:B2 0.000162735 -213 *1250:232 *1358:19 0 -214 *1250:232 *1416:89 9.23226e-05 -215 *1250:232 *2850:179 0.000242307 -216 *1250:232 *2856:157 5.99568e-05 -217 *1250:232 *2860:184 0.000328379 -218 *1250:232 *2877:179 1.2101e-05 -219 *1250:232 *3664:48 9.0841e-05 -220 *1250:232 *3686:83 2.35215e-05 -221 *1250:232 *3820:87 0.000161013 -222 *1250:232 *4068:41 0.000240592 -223 *1250:232 *5604:57 0.000435545 -224 *1250:232 *5700:353 4.75671e-06 -225 *1250:232 *5707:91 0.000157557 -226 *1250:234 *27372:A1 0.00012226 -227 *1250:234 *27372:A2 4.58194e-05 -228 *1250:234 *27372:B2 8.55871e-05 -229 *1250:234 *27373:C1 2.54304e-06 -230 *1250:234 *28485:D 0.000128426 -231 *1250:234 *28485:RESET_B 0.000373105 -232 *1250:234 *2864:156 0.000872284 -233 *1250:234 *3627:33 0.000177815 -234 *1250:234 *3952:7 0.000137561 -235 *1250:234 *5643:303 0.000892241 -236 *1250:234 *5660:123 1.02936e-05 -237 *1250:243 *27480:B1 0.000157707 -238 *1250:243 *27481:C 0.00083936 -239 *1250:243 *27481:D 0.00018771 -240 *1250:243 *1308:19 0.000220195 -241 *1250:243 *2872:186 0.00085224 -242 *1250:243 *2872:187 2.14378e-05 -243 *1250:243 *2885:91 0.00089795 -244 *1250:243 *3800:29 0.00104343 -245 *1250:243 *3956:25 9.99931e-06 -246 *1250:245 *27466:A2 1.21637e-05 -247 *1250:245 *27471:B 0.00112914 -248 *1250:245 *27480:A2 0.00016834 -249 *1250:245 *27480:B1 3.4323e-06 -250 *1250:245 *1368:59 0.00120729 -251 *1250:245 *2866:294 0.00239227 -252 *1250:245 *2866:296 0.000243599 -253 *1250:245 *2872:187 0 -254 *1250:245 *2877:253 0.00172469 -255 *1250:245 *3165:33 0.000212885 -256 *1250:245 *3165:55 0.000173055 -257 *1250:245 *3956:25 0.00137162 -258 *24924:B *1250:11 5.33005e-05 -259 *24964:B *1250:11 0.000301016 -260 *25270:A2 *1250:187 8.28647e-05 -261 *29136:A *1250:72 0.000119706 -262 *282:21 *1250:163 0.00034065 -263 *1178:36 *1250:121 0.000198355 -264 *1218:154 *24991:A2 0.000313498 -265 *1227:44 *1250:187 0.000177902 -266 *1228:47 *1250:20 6.53009e-06 -267 *1245:72 *25124:B1 6.47173e-05 -268 *1246:62 *1250:43 1.20504e-05 -*RES -1 *24924:Y *1250:11 26.6355 -2 *1250:11 *1250:20 19.2094 -3 *1250:20 *1250:43 44.1238 -4 *1250:43 *1250:46 21.625 -5 *1250:46 *1250:47 0.535714 -6 *1250:47 *6611:DIODE 11.0679 -7 *1250:47 *29441:A 9.3 -8 *1250:46 *1250:72 28.6964 -9 *1250:72 *1250:74 36.8571 -10 *1250:74 *1250:79 39.2143 -11 *1250:79 *25124:B1 21.6214 -12 *1250:79 *1250:96 9.42857 -13 *1250:96 *25219:B1 19.7464 -14 *1250:96 *1250:121 36.0022 -15 *1250:121 *1250:122 43.6607 -16 *1250:122 *25022:A2 19.9429 -17 *1250:122 *24991:A2 40.8982 -18 *1250:74 *1250:163 37.418 -19 *1250:163 *1250:187 44.1393 -20 *1250:187 *25311:A2 9.3 -21 *1250:163 *25386:A2 9.3 -22 *1250:20 *25277:A2 26.4119 -23 *1250:11 *1250:232 40.6738 -24 *1250:232 *1250:234 57.0089 -25 *1250:234 *1250:243 34.3125 -26 *1250:243 *1250:245 55.5268 -27 *1250:245 *25064:A2 45.4696 -*END - -*D_NET *1251 0.0605453 -*CONN -*I *31014:A I *D sky130_fd_sc_hd__buf_12 -*I *25171:B I *D sky130_fd_sc_hd__nor2_1 -*I *24925:X O *D sky130_fd_sc_hd__or3_4 -*CAP -1 *31014:A 0.00183629 -2 *25171:B 9.75198e-05 -3 *24925:X 0.00366257 -4 *1251:29 0.0130488 -5 *1251:24 0.0122172 -6 *1251:21 0.00476485 -7 *25171:B *3875:73 0 -8 *25171:B *5600:37 4.04359e-05 -9 *31014:A *25330:A1 0 -10 *31014:A *25394:B2 8.77988e-05 -11 *31014:A *1263:50 4.15526e-05 -12 *31014:A *1455:93 0.00182826 -13 *31014:A *1485:39 3.634e-05 -14 *31014:A *5892:145 9.33133e-05 -15 *1251:21 *25416:B2 0.00013964 -16 *1251:21 *25430:B 0 -17 *1251:21 *25441:A1 0 -18 *1251:21 *25821:A1 0 -19 *1251:21 *1324:37 4.49626e-05 -20 *1251:21 *1730:56 7.59402e-06 -21 *1251:21 *1742:12 0.000113241 -22 *1251:21 *1744:46 0 -23 *1251:21 *1827:61 0.000313663 -24 *1251:21 *3616:67 0.00114159 -25 *1251:21 *3710:18 0 -26 *1251:21 *4159:33 0.000282565 -27 *1251:21 *4160:27 0.00017313 -28 *1251:21 *4160:63 0.00192138 -29 *1251:21 *4160:77 0.000130239 -30 *1251:21 *5237:29 7.59802e-06 -31 *1251:21 *5334:28 6.70814e-05 -32 *1251:21 *5549:34 2.04745e-05 -33 *1251:21 *5838:31 0.000136951 -34 *1251:21 *5901:57 4.31721e-05 -35 *1251:21 *6169:126 0.000154066 -36 *1251:24 *1472:12 0.00208564 -37 *1251:24 *1740:16 5.79472e-05 -38 *1251:24 *1745:11 0.000512807 -39 *1251:24 *4159:100 0.00123166 -40 *1251:29 *25323:B1 0.00113422 -41 *1251:29 *25394:B2 0.000868293 -42 *1251:29 *25433:D 0.000324467 -43 *1251:29 *25493:A2 1.41203e-05 -44 *1251:29 *27523:B2 8.82991e-05 -45 *1251:29 *27524:A2 0.000527822 -46 *1251:29 *27880:B2 0.00136283 -47 *1251:29 *1273:96 0 -48 *1251:29 *1420:40 5.27822e-05 -49 *1251:29 *1430:59 0.00440392 -50 *1251:29 *1440:19 0.000533296 -51 *1251:29 *1470:64 4.54329e-06 -52 *1251:29 *1810:19 1.60253e-05 -53 *1251:29 *1887:31 0.00119405 -54 *1251:29 *2880:211 5.44532e-05 -55 *1251:29 *2882:114 9.09539e-05 -56 *1251:29 *3089:13 4.22137e-05 -57 *1251:29 *3216:141 7.3025e-06 -58 *1251:29 *3779:59 4.31921e-05 -59 *1251:29 *4163:76 2.45058e-05 -60 *1251:29 *4164:153 0 -61 *1251:29 *4194:65 0.000413442 -62 *1251:29 *5467:14 0 -63 *1251:29 *5491:59 2.23117e-05 -64 *1251:29 *5666:124 2.22731e-06 -65 *1251:29 *5706:176 0.000792119 -66 *1251:29 *5728:72 1.84097e-05 -67 *1251:29 *5836:176 0.000171444 -68 wb_dat_o[5] *1251:21 0.000513825 -69 *25305:A2 *31014:A 1.53282e-05 -70 *25305:A2 *1251:29 4.85605e-05 -71 *25415:A *1251:21 0.000162282 -72 *28078:RESET_B *1251:24 0.000363622 -73 *30244:A *1251:21 0.000136951 -74 *30667:A *1251:29 7.79335e-05 -75 *361:20 *1251:29 2.04745e-05 -76 *399:17 *1251:29 4.73891e-05 -77 *1191:42 *1251:21 0.000256969 -78 *1227:26 *25171:B 0.000153586 -79 *1235:52 *31014:A 0.000154184 -80 *1242:37 *1251:29 4.65519e-05 -*RES -1 *24925:X *1251:21 35.5847 -2 *1251:21 *1251:24 45.4279 -3 *1251:24 *1251:29 27.8459 -4 *1251:29 *25171:B 19.3082 -5 *1251:29 *31014:A 29.1224 -*END - -*D_NET *1252 0.145753 -*CONN -*I *24971:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *6524:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25093:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25050:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25026:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25229:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *26874:A I *D sky130_fd_sc_hd__and2_4 -*I *25407:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25179:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25327:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *24926:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *24971:B1 0.000229941 -2 *6524:DIODE 0 -3 *25093:A2 0.00221443 -4 *25050:A2 0.000126803 -5 *25026:A2 0 -6 *25229:B1 0 -7 *26874:A 0.000105181 -8 *25407:A2 0.000139037 -9 *25179:A2 4.28474e-05 -10 *25327:A2 0 -11 *24926:Y 0.000210666 -12 *1252:233 0.00333378 -13 *1252:232 0.00447721 -14 *1252:207 0.00601026 -15 *1252:206 0.00381358 -16 *1252:195 0.00184512 -17 *1252:184 0.00276014 -18 *1252:178 0.00166357 -19 *1252:166 0.0022437 -20 *1252:146 0.0022695 -21 *1252:134 0.00226208 -22 *1252:110 0.00354163 -23 *1252:83 0.00335601 -24 *1252:61 0.0033655 -25 *1252:52 0.00549765 -26 *1252:37 0.00116652 -27 *1252:26 0.00287661 -28 *1252:24 0.00238011 -29 *1252:21 0.00358506 -30 *1252:12 0.00532214 -31 *24971:B1 *24971:A1 3.57366e-05 -32 *24971:B1 *24972:C1 6.05161e-06 -33 *25050:A2 *25050:A1 1.24368e-05 -34 *25093:A2 *25093:A1 8.80543e-05 -35 *25093:A2 *26904:A0 5.47065e-05 -36 *25093:A2 *28702:CLK 4.58194e-05 -37 *25093:A2 *28702:D 6.57032e-05 -38 *25093:A2 *2761:168 0.000383166 -39 *25093:A2 *2853:251 3.40243e-05 -40 *25093:A2 *2853:262 3.82357e-05 -41 *25093:A2 *2970:25 0.000220937 -42 *25093:A2 *3911:17 9.60939e-05 -43 *25093:A2 *3940:11 0.000342318 -44 *25093:A2 *5632:131 0.000749913 -45 *25093:A2 *5702:72 9.30682e-05 -46 *25093:A2 *5708:80 0.000105471 -47 *25179:A2 *25327:C1 4.10725e-06 -48 *25179:A2 *1644:36 5.7616e-05 -49 *25407:A2 *25407:B1 0.000556137 -50 *25407:A2 *25407:B2 2.28499e-05 -51 *25407:A2 *2875:46 0.00051335 -52 *26874:A *2758:8 6.87146e-05 -53 *26874:A *2782:106 2.06178e-05 -54 *26874:A *2841:17 1.33343e-05 -55 *26874:A *2879:27 2.06178e-05 -56 *1252:12 *2778:66 9.43346e-05 -57 *1252:12 *3854:37 5.52238e-05 -58 *1252:12 *5869:32 0.000201413 -59 *1252:21 *27883:B1 0.000217737 -60 *1252:21 *1273:68 0.000753158 -61 *1252:21 *1407:58 0.000189509 -62 *1252:21 *1455:28 7.78257e-05 -63 *1252:21 *1591:11 9.43861e-05 -64 *1252:21 *2845:31 0.000308159 -65 *1252:21 *2874:35 0.000306505 -66 *1252:21 *3158:36 0.00143378 -67 *1252:21 *3178:55 0.0005185 -68 *1252:21 *3206:48 0.00021781 -69 *1252:21 *3212:44 0.00360434 -70 *1252:21 *3444:13 0.000165653 -71 *1252:21 *3999:17 0.000379646 -72 *1252:21 *5498:54 0.000135968 -73 *1252:24 *25097:A1 4.75152e-06 -74 *1252:24 *25412:C 0.00030273 -75 *1252:24 *1452:103 0.000165911 -76 *1252:24 *2853:48 0.000304143 -77 *1252:24 *2888:71 1.26641e-05 -78 *1252:24 *3389:28 0.000188571 -79 *1252:24 *3832:21 1.69153e-05 -80 *1252:24 *5661:64 0.00016619 -81 *1252:24 *5665:13 3.69021e-05 -82 *1252:24 *5665:14 0.000892505 -83 *1252:26 *27100:A0 2.05484e-05 -84 *1252:26 *28666:D 1.54193e-05 -85 *1252:26 *28666:SET_B 0.000191161 -86 *1252:26 *1294:95 4.38243e-05 -87 *1252:26 *1395:18 7.10106e-05 -88 *1252:26 *1395:29 0.00250622 -89 *1252:26 *1395:108 0.000621163 -90 *1252:26 *1420:22 0.000111243 -91 *1252:26 *1427:105 0.000233339 -92 *1252:26 *1440:19 0.000129377 -93 *1252:26 *1533:9 0.000145429 -94 *1252:26 *1644:36 0.000298966 -95 *1252:26 *1723:27 1.94879e-05 -96 *1252:26 *1844:16 2.02794e-05 -97 *1252:26 *2867:49 6.57603e-05 -98 *1252:26 *2867:99 0.0004633 -99 *1252:26 *3699:52 0.00035489 -100 *1252:26 *3790:63 1.09026e-05 -101 *1252:26 *3832:21 0.000162824 -102 *1252:26 *3881:30 0.000312735 -103 *1252:26 *5661:64 2.03074e-05 -104 *1252:26 *5665:6 5.09464e-05 -105 *1252:26 *5665:13 1.68854e-05 -106 *1252:37 *25138:C1 0.000195054 -107 *1252:37 *25327:A1 0.000114596 -108 *1252:37 *25327:B1 0.000114596 -109 *1252:37 *25327:C1 0.00207157 -110 *1252:37 *1257:14 0.0013354 -111 *1252:37 *1395:108 0.000765777 -112 *1252:37 *1427:105 2.22494e-05 -113 *1252:37 *1627:12 0.000121573 -114 *1252:37 *1644:36 1.53472e-05 -115 *1252:37 *3389:20 0.000123605 -116 *1252:52 *27138:A0 5.5525e-06 -117 *1252:52 *1294:147 0.000445276 -118 *1252:52 *1550:34 1.4477e-06 -119 *1252:52 *3158:36 0.00176811 -120 *1252:52 *3178:43 0.000101137 -121 *1252:52 *3212:44 0.000332087 -122 *1252:52 *3572:34 0.000110496 -123 *1252:52 *5442:51 0.000196536 -124 *1252:52 *5750:18 4.60645e-05 -125 *1252:52 *5750:32 0.000424678 -126 *1252:61 *1550:34 0.00143914 -127 *1252:61 *2776:48 0.00188224 -128 *1252:61 *2777:58 0.000318066 -129 *1252:61 *2886:26 0.000146615 -130 *1252:61 *2886:164 0.000177012 -131 *1252:61 *2891:24 1.25092e-05 -132 *1252:61 *3388:17 0.000558242 -133 *1252:61 *3847:35 0.000137113 -134 *1252:61 *3860:38 2.89453e-06 -135 *1252:61 *5629:132 6.54117e-05 -136 *1252:61 *5657:197 0.000826818 -137 *1252:61 *5687:129 8.16211e-05 -138 *1252:83 *27354:B1 2.1479e-05 -139 *1252:83 *28466:D 9.41642e-05 -140 *1252:83 *2777:59 1.90431e-05 -141 *1252:83 *2777:71 4.65519e-05 -142 *1252:83 *2790:46 0.000146927 -143 *1252:83 *2883:15 0.000260152 -144 *1252:83 *2886:164 0.000134135 -145 *1252:83 *2891:11 0 -146 *1252:83 *2894:21 9.72368e-05 -147 *1252:83 *3184:53 0.000353911 -148 *1252:83 *3782:66 8.60466e-05 -149 *1252:83 *3796:62 1.10105e-05 -150 *1252:83 *3847:35 4.12839e-05 -151 *1252:83 *5386:11 0.00047129 -152 *1252:83 *5629:132 0.00054966 -153 *1252:83 *5757:109 4.37712e-06 -154 *1252:83 *5757:127 1.74795e-05 -155 *1252:110 *25229:A1 4.50149e-05 -156 *1252:110 *27811:B1 0.000348426 -157 *1252:110 *1363:114 4.50149e-05 -158 *1252:110 *2875:196 0.00102181 -159 *1252:110 *2894:21 2.66642e-05 -160 *1252:110 *3153:140 0.000405474 -161 *1252:110 *3293:34 3.8053e-05 -162 *1252:110 *5386:11 0.00017184 -163 *1252:110 *5467:14 9.4907e-06 -164 *1252:110 *5584:76 7.76752e-05 -165 *1252:110 *5651:85 0.00176321 -166 *1252:110 *5651:98 0.000969607 -167 *1252:110 *5651:129 7.07984e-05 -168 *1252:134 *25229:A1 2.31791e-05 -169 *1252:134 *25229:B2 1.27529e-05 -170 *1252:134 *1262:172 0.000134865 -171 *1252:134 *1363:114 0.000163865 -172 *1252:134 *1527:6 0.000202774 -173 *1252:134 *2978:36 0.000119584 -174 *1252:134 *3293:34 0.00125479 -175 *1252:134 *3538:22 0.000686227 -176 *1252:134 *3665:16 1.45742e-05 -177 *1252:134 *3665:38 4.12572e-05 -178 *1252:134 *3666:122 0.000686227 -179 *1252:134 *4030:48 0.000775778 -180 *1252:134 *5583:126 0.00096265 -181 *1252:146 *25026:A1 5.55213e-05 -182 *1252:146 *25026:B2 1.28809e-05 -183 *1252:146 *25026:C1 5.36493e-05 -184 *1252:146 *26896:A0 0.000172734 -185 *1252:146 *27147:A0 0.000219711 -186 *1252:146 *27147:A1 9.41642e-05 -187 *1252:146 *27147:S 1.38323e-05 -188 *1252:146 *28708:RESET_B 0.000357741 -189 *1252:146 *2780:6 0.000174448 -190 *1252:146 *2788:25 5.96516e-05 -191 *1252:146 *3153:164 0.000635057 -192 *1252:146 *5632:44 0.000910479 -193 *1252:146 *5643:298 6.09764e-05 -194 *1252:166 *25025:B2 1.54746e-05 -195 *1252:166 *25026:A1 0.000248872 -196 *1252:166 *27826:A2 0.000179777 -197 *1252:166 *1321:11 0.000191774 -198 *1252:166 *1328:183 0.000604005 -199 *1252:166 *1350:13 0.000249422 -200 *1252:166 *1358:19 0.000725439 -201 *1252:166 *2866:241 0.000160239 -202 *1252:166 *3176:233 0.000260629 -203 *1252:166 *3176:234 4.38265e-05 -204 *1252:166 *3670:54 4.58835e-05 -205 *1252:166 *5604:72 0.00060572 -206 *1252:166 *5632:44 0.00033524 -207 *1252:178 *1302:16 0.000996339 -208 *1252:178 *2856:294 0.000996339 -209 *1252:178 *3721:37 0.000207439 -210 *1252:178 *4085:71 2.72619e-05 -211 *1252:178 *4086:64 2.78991e-05 -212 *1252:178 *4137:32 2.75143e-05 -213 *1252:178 *5650:214 0.000366667 -214 *1252:184 *25010:C1 1.90936e-05 -215 *1252:184 *1266:148 0.000524005 -216 *1252:184 *1266:160 0.00019467 -217 *1252:184 *1266:182 4.32397e-05 -218 *1252:184 *1302:16 0.000271964 -219 *1252:184 *2856:302 0.00179658 -220 *1252:184 *3170:201 0.00309788 -221 *1252:184 *3721:37 0.000247229 -222 *1252:184 *5680:209 1.39702e-05 -223 *1252:195 *27799:A2 1.90936e-05 -224 *1252:195 *1270:75 0 -225 *1252:195 *1302:6 0.000197779 -226 *1252:195 *2872:224 0 -227 *1252:195 *2883:192 1.94879e-05 -228 *1252:195 *2883:209 0.00142395 -229 *1252:195 *2895:266 4.22135e-06 -230 *1252:195 *2895:281 0.000184623 -231 *1252:195 *3170:213 0.00197045 -232 *1252:195 *3345:6 0.000859307 -233 *1252:195 *4085:27 0.000805775 -234 *1252:195 *4085:34 0.000136501 -235 *1252:195 *4086:28 7.93428e-05 -236 *1252:195 *4134:96 0.00108343 -237 *1252:195 *4134:107 6.49067e-05 -238 *1252:195 *5630:182 7.38975e-05 -239 *1252:206 *2883:209 2.49484e-05 -240 *1252:206 *4085:27 5.66157e-05 -241 *1252:207 *6559:DIODE 5.33005e-05 -242 *1252:207 *25050:A1 1.78708e-05 -243 *1252:207 *25050:B1 0.000121261 -244 *1252:207 *25050:B2 2.59355e-05 -245 *1252:207 *26904:A0 1.54142e-05 -246 *1252:207 *26904:A1 9.94194e-05 -247 *1252:207 *27094:A0 7.22517e-05 -248 *1252:207 *27094:A1 0.000303402 -249 *1252:207 *27094:S 0.000122269 -250 *1252:207 *27735:A1 2.18902e-05 -251 *1252:207 *27735:B1 0.000361764 -252 *1252:207 *27735:B2 2.84109e-05 -253 *1252:207 *1372:10 0.000178847 -254 *1252:207 *2761:168 0.00042866 -255 *1252:207 *3822:30 1.92789e-05 -256 *1252:207 *3874:9 9.41642e-05 -257 *1252:207 *3874:16 5.33005e-05 -258 *1252:207 *4068:15 8.32156e-05 -259 *1252:207 *5649:290 0.00290582 -260 *1252:232 *27138:A0 8.95281e-05 -261 *1252:232 *27138:S 0.000733504 -262 *1252:232 *1550:34 7.75431e-05 -263 *1252:232 *2858:27 4.00349e-05 -264 *1252:232 *2860:26 0.0001167 -265 *1252:232 *3196:30 0.000396917 -266 *1252:232 *5442:51 2.52508e-06 -267 *1252:232 *5643:32 1.90936e-05 -268 *1252:232 *6304:24 1.11775e-05 -269 *1252:233 *3212:11 0.000141012 -270 *1252:233 *5643:9 0.00344357 -271 *24926:A *1252:12 0.000178847 -272 *25410:A2 *1252:24 0.000396295 -273 *28787:D *1252:83 0.000189651 -274 *29719:A *1252:146 0.000142703 -275 *29789:A *1252:207 0.000175892 -276 *30383:A *1252:207 5.49489e-05 -277 *1225:33 *1252:134 1.50867e-05 -278 *1239:14 *1252:21 0.000434557 -279 *1239:14 *1252:26 0 -280 *1239:19 *1252:21 1.10866e-05 -281 *1242:37 *1252:37 0.000121573 -*RES -1 *24926:Y *1252:12 22.1118 -2 *1252:12 *1252:21 44.2517 -3 *1252:21 *1252:24 19.7857 -4 *1252:24 *1252:26 47.7857 -5 *1252:26 *1252:37 48.4732 -6 *1252:37 *25327:A2 9.3 -7 *1252:26 *25179:A2 15.0679 -8 *1252:12 *1252:52 6.49697 -9 *1252:52 *1252:61 19.234 -10 *1252:61 *25407:A2 19.2643 -11 *1252:61 *1252:83 25.935 -12 *1252:83 *26874:A 19.4154 -13 *1252:83 *1252:110 17.71 -14 *1252:110 *25229:B1 13.8 -15 *1252:110 *1252:134 49.3392 -16 *1252:134 *1252:146 28.5045 -17 *1252:146 *25026:A2 9.3 -18 *1252:146 *1252:166 46.212 -19 *1252:166 *1252:178 27.5567 -20 *1252:178 *1252:184 47.0995 -21 *1252:184 *1252:195 36.2974 -22 *1252:195 *25050:A2 16.3893 -23 *1252:195 *1252:206 5.23214 -24 *1252:206 *1252:207 62.9643 -25 *1252:207 *25093:A2 45.8893 -26 *1252:52 *1252:232 11.8164 -27 *1252:232 *1252:233 41.1964 -28 *1252:233 *6524:DIODE 9.3 -29 *1252:233 *24971:B1 13.05 -*END - -*D_NET *1253 0.0659329 -*CONN -*I *25152:B I *D sky130_fd_sc_hd__nor2_2 -*I *25078:A I *D sky130_fd_sc_hd__nor2_4 -*I *25098:B I *D sky130_fd_sc_hd__nor2_4 -*I *24928:B I *D sky130_fd_sc_hd__nor2_4 -*I *25119:B I *D sky130_fd_sc_hd__nor2_4 -*I *29447:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24927:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *25152:B 0.000907122 -2 *25078:A 0 -3 *25098:B 0.000620215 -4 *24928:B 0 -5 *25119:B 0.000203111 -6 *29447:A 0.000517913 -7 *24927:Y 3.45737e-05 -8 *1253:112 0.00113962 -9 *1253:105 0.00211985 -10 *1253:98 0.00399252 -11 *1253:50 0.00426502 -12 *1253:34 0.00355244 -13 *1253:23 0.00496662 -14 *1253:12 0.00296889 -15 *1253:5 0.00154911 -16 *25098:B *24902:A 9.54225e-05 -17 *25098:B *25098:A 5.27977e-05 -18 *25098:B *25107:C1 0 -19 *25098:B *1279:102 2.2506e-05 -20 *25098:B *1288:10 6.05161e-06 -21 *25098:B *1576:8 4.11218e-05 -22 *25098:B *2778:120 4.65519e-05 -23 *25098:B *3685:89 0.000725562 -24 *25098:B *3758:43 1.11775e-05 -25 *25098:B *5616:44 0.000107157 -26 *25098:B *5640:53 4.12921e-05 -27 *25119:B *25394:B2 1.58918e-05 -28 *25119:B *1440:19 9.58126e-05 -29 *25119:B *1476:151 1.14338e-05 -30 *25119:B *1479:101 3.70939e-05 -31 *25119:B *1491:22 4.58194e-05 -32 *25119:B *3182:55 0.000150054 -33 *25119:B *3305:33 1.94945e-05 -34 *25119:B *3867:60 7.71495e-06 -35 *25119:B *3988:44 2.11419e-05 -36 *25152:B *25152:A 3.29905e-05 -37 *25152:B *25153:B1 9.41642e-05 -38 *25152:B *1430:90 0.00131706 -39 *25152:B *1473:14 0.000178425 -40 *25152:B *3699:24 0.00131877 -41 *25152:B *4142:62 0.000166794 -42 *29447:A *25212:C 0.000756137 -43 *29447:A *27932:A2 0.000456077 -44 *29447:A *27932:C1 0.000353626 -45 *29447:A *3473:19 0.000143984 -46 *29447:A *3875:73 0.000112857 -47 *29447:A *3987:58 8.6229e-06 -48 *29447:A *5217:7 0.000140954 -49 *29447:A *5655:150 2.84736e-05 -50 *1253:5 *3542:39 5.33005e-05 -51 *1253:12 *1395:126 0 -52 *1253:12 *1395:133 0.00018241 -53 *1253:12 *3542:39 0.000135028 -54 *1253:12 *3868:74 0.00125714 -55 *1253:23 *25107:C1 0 -56 *1253:23 *1274:14 0.00063983 -57 *1253:23 *1293:35 0.000379819 -58 *1253:23 *1395:133 0.000218077 -59 *1253:23 *1652:18 0 -60 *1253:23 *3685:80 0.000177815 -61 *1253:23 *3706:44 2.01997e-05 -62 *1253:23 *3849:69 4.91209e-05 -63 *1253:23 *3868:74 5.08844e-05 -64 *1253:23 *3881:54 0.00011262 -65 *1253:23 *4070:63 0.000133667 -66 *1253:23 *4076:87 1.75318e-05 -67 *1253:23 *5641:70 1.20849e-05 -68 *1253:34 *25394:B2 0.000152438 -69 *1253:34 *27932:A2 5.13009e-05 -70 *1253:34 *1279:44 2.5714e-05 -71 *1253:34 *1280:6 0.00191112 -72 *1253:34 *1485:39 0.000108426 -73 *1253:34 *1545:28 2.06178e-05 -74 *1253:34 *2778:120 0.000495301 -75 *1253:34 *3545:8 0.00162924 -76 *1253:34 *3686:83 0 -77 *1253:34 *3907:76 8.05323e-05 -78 *1253:34 *3987:58 4.50033e-05 -79 *1253:34 *5604:20 0.000318644 -80 *1253:34 *5626:49 0.000129245 -81 *1253:34 *5869:85 0.000278369 -82 *1253:34 *6258:12 0.0015263 -83 *1253:50 *25212:C 0.000148569 -84 *1253:50 *25394:B2 0.00162483 -85 *1253:50 *27932:A2 7.4607e-05 -86 *1253:50 *1479:101 0.000872896 -87 *1253:50 *1505:16 0.000119924 -88 *1253:50 *1834:84 0.000119924 -89 *1253:50 *3907:76 0.000173055 -90 *1253:50 *5626:99 0.000143421 -91 *1253:98 *25097:A1 0.000135336 -92 *1253:98 *26837:A2 0.000110427 -93 *1253:98 *26837:C1 1.68105e-06 -94 *1253:98 *1257:46 8.02705e-05 -95 *1253:98 *1257:69 1.78765e-05 -96 *1253:98 *1420:22 3.96976e-05 -97 *1253:98 *1441:115 0.000371289 -98 *1253:98 *1479:17 0.00216504 -99 *1253:98 *1479:101 0.000351772 -100 *1253:98 *1485:23 3.71663e-05 -101 *1253:98 *1535:39 0.00218871 -102 *1253:98 *1535:55 0.000759089 -103 *1253:98 *1588:18 0.00013035 -104 *1253:98 *1727:19 0.000123804 -105 *1253:98 *3314:41 0.000135336 -106 *1253:98 *3988:44 0.00113806 -107 *1253:98 *5386:11 7.76075e-06 -108 *1253:98 *5605:33 0.000420457 -109 *1253:98 *5691:93 0 -110 *1253:105 *27963:C1 9.47441e-05 -111 *1253:105 *1441:99 9.90115e-06 -112 *1253:105 *1535:14 0.000822391 -113 *1253:105 *1535:39 0.000127238 -114 *1253:105 *3988:33 0.000336405 -115 *1253:105 *5605:10 7.39203e-06 -116 *1253:105 *5605:17 0.00107182 -117 *1253:112 *24928:A 5.7661e-06 -118 *1253:112 *24947:B 3.82641e-05 -119 *1253:112 *24968:A 0.000181752 -120 *1253:112 *30067:A 9.42798e-05 -121 *1253:112 *1273:14 0.00035206 -122 *1253:112 *1273:232 0.000598377 -123 *1253:112 *1294:19 9.25014e-06 -124 *1253:112 *1535:14 0.00206216 -125 *1253:112 *1824:8 0.000149885 -126 *1253:112 *5605:10 0.00181491 -127 *1253:112 *5605:17 2.14378e-05 -128 *1253:112 *5624:136 5.12608e-05 -129 *1253:112 *5665:285 0.000306311 -130 *24947:A *1253:112 9.71197e-05 -131 *28842:A *1253:50 7.13983e-05 -132 *28842:A *1253:98 0.00112438 -133 *30450:A *1253:105 0.00037868 -134 *1218:18 *29447:A 1.34631e-05 -135 *1223:15 *1253:12 0.000884663 -136 *1230:51 *25098:B 2.47761e-06 -137 *1230:51 *1253:23 1.8995e-06 -138 *1248:56 *1253:98 0 -*RES -1 *24927:Y *1253:5 9.83571 -2 *1253:5 *1253:12 22.9107 -3 *1253:12 *1253:23 36.9484 -4 *1253:23 *1253:34 43.0649 -5 *1253:34 *29447:A 23.7946 -6 *1253:34 *1253:50 7.59143 -7 *1253:50 *25119:B 22.296 -8 *1253:50 *1253:98 46.0424 -9 *1253:98 *1253:105 24.2411 -10 *1253:105 *1253:112 44.1071 -11 *1253:112 *24928:B 9.3 -12 *1253:23 *25098:B 25.8973 -13 *1253:12 *25078:A 13.8 -14 *1253:5 *25152:B 42.8536 -*END - -*D_NET *1254 0.0642637 -*CONN -*I *25321:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25265:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25387:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25164:A2 I *D sky130_fd_sc_hd__a221o_2 -*I *25216:B1 I *D sky130_fd_sc_hd__a221o_2 -*I *25018:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *24973:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25046:A2 I *D sky130_fd_sc_hd__a22o_2 -*I *24928:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *25321:A2 0.00193517 -2 *25265:A2 0.000266771 -3 *25387:A2 1.51924e-05 -4 *25164:A2 0.000411918 -5 *25216:B1 0 -6 *25018:A2 0.00107145 -7 *24973:B1 2.68562e-05 -8 *25046:A2 0.000163093 -9 *24928:Y 3.28375e-05 -10 *1254:144 0.00450854 -11 *1254:127 0.00235943 -12 *1254:116 0.00159126 -13 *1254:67 0.00225514 -14 *1254:40 0.00365874 -15 *1254:14 0.00393889 -16 *1254:7 0.00277461 -17 *24973:B1 *24973:A1 9.35228e-06 -18 *24973:B1 *5609:65 1.51177e-05 -19 *24973:B1 *5858:17 1.80624e-05 -20 *25018:A2 *25006:B1 0.000146461 -21 *25018:A2 *25018:B2 7.26363e-05 -22 *25018:A2 *25018:C1 0.000178847 -23 *25018:A2 *27230:B 0.000139202 -24 *25018:A2 *1273:265 0.000216521 -25 *25018:A2 *1624:16 0.000115005 -26 *25018:A2 *2800:55 7.75186e-05 -27 *25018:A2 *2809:24 0.000175339 -28 *25018:A2 *3041:15 0.000109108 -29 *25018:A2 *5129:30 0.000216755 -30 *25018:A2 *5523:96 0.000808103 -31 *25018:A2 *5609:65 9.54798e-06 -32 *25046:A2 *28648:RESET_B 6.55285e-05 -33 *25046:A2 *2800:18 0.000140848 -34 *25046:A2 *5753:28 1.46576e-05 -35 *25164:A2 *25164:A1 2.60135e-05 -36 *25164:A2 *25164:B2 7.70134e-06 -37 *25164:A2 *28398:RESET_B 0.000130681 -38 *25164:A2 *1485:23 1.46576e-05 -39 *25265:A2 *25265:A1 0.000209624 -40 *25265:A2 *25411:A2 6.09762e-05 -41 *25265:A2 *2875:63 8.00403e-05 -42 *25265:A2 *3153:17 5.43811e-05 -43 *25265:A2 *3972:44 6.66527e-05 -44 *25265:A2 *5634:52 0.000337031 -45 *25321:A2 *25321:A1 3.28977e-05 -46 *25321:A2 *27082:A1 0.000488547 -47 *25321:A2 *1256:31 0.000231196 -48 *25321:A2 *1536:21 0 -49 *25321:A2 *2848:33 0 -50 *25321:A2 *2875:63 0.00129473 -51 *25321:A2 *3153:17 6.47684e-05 -52 *25321:A2 *3170:19 0.000333338 -53 *25321:A2 *3170:21 3.41409e-05 -54 *25321:A2 *3820:79 6.77303e-05 -55 *25321:A2 *5629:132 3.19493e-05 -56 *25321:A2 *5634:29 0.000148258 -57 *25321:A2 *5634:52 0.000631008 -58 *25321:A2 *5687:129 0.000231825 -59 *25387:A2 *28398:RESET_B 1.66856e-05 -60 *1254:7 *1273:232 3.14048e-05 -61 *1254:7 *3568:110 9.60875e-05 -62 *1254:14 *25388:A1 0.000132821 -63 *1254:14 *1290:53 8.3775e-05 -64 *1254:14 *4881:17 0.000426941 -65 *1254:14 *5481:6 8.92067e-05 -66 *1254:14 *5485:121 6.35819e-05 -67 *1254:14 *5516:20 0.000170199 -68 *1254:14 *5517:18 0.000666558 -69 *1254:14 *6142:14 0.00044813 -70 *1254:14 *6385:32 9.56074e-05 -71 *1254:40 *25044:A1 0.00127783 -72 *1254:40 *25216:B2 8.19176e-05 -73 *1254:40 *25401:B1 2.03618e-05 -74 *1254:40 *25563:A0 1.01912e-05 -75 *1254:40 *28783:CLK 6.35082e-05 -76 *1254:40 *1290:53 0.00187436 -77 *1254:40 *1290:89 0.00272996 -78 *1254:40 *2799:18 3.37708e-05 -79 *1254:40 *2800:18 0.000566924 -80 *1254:40 *5481:6 2.15258e-05 -81 *1254:40 *5609:33 0.00199088 -82 *1254:40 *5675:223 0.00142323 -83 *1254:40 *5858:23 4.68148e-05 -84 *1254:40 *6314:75 5.95765e-05 -85 *1254:67 *25524:A 0.000597086 -86 *1254:67 *1624:16 0.00020256 -87 *1254:67 *2780:100 0.000928008 -88 *1254:67 *2800:55 0.000117425 -89 *1254:67 *3851:12 0.000108415 -90 *1254:67 *3851:31 4.39044e-05 -91 *1254:67 *4574:20 0.000469079 -92 *1254:67 *5609:65 2.06178e-05 -93 *1254:67 *5753:28 0.000453036 -94 *1254:116 *25387:A1 0.000227868 -95 *1254:116 *25388:A1 1.17396e-05 -96 *1254:116 *25388:C1 0.000309905 -97 *1254:116 *25590:B 0.000386633 -98 *1254:116 *26846:B1 6.44542e-05 -99 *1254:116 *28443:D 0 -100 *1254:116 *1273:232 0.000258131 -101 *1254:116 *1328:66 0.000402602 -102 *1254:116 *1328:78 0.000206065 -103 *1254:116 *1455:12 1.41029e-05 -104 *1254:116 *1455:19 0.000104297 -105 *1254:116 *2780:72 0 -106 *1254:116 *3639:17 0.000160482 -107 *1254:116 *4952:13 0.00026714 -108 *1254:116 *5517:18 1.94945e-05 -109 *1254:116 *5667:136 0.00109564 -110 *1254:116 *5718:77 5.01942e-05 -111 *1254:116 *6338:41 0 -112 *1254:127 *25387:B2 2.83284e-06 -113 *1254:127 *1455:19 1.81412e-05 -114 *1254:127 *5618:85 0 -115 *1254:144 *25099:B1 1.8748e-05 -116 *1254:144 *25231:A 7.69776e-06 -117 *1254:144 *25289:B2 0 -118 *1254:144 *25387:B2 4.41259e-05 -119 *1254:144 *27882:B2 0.00104499 -120 *1254:144 *1257:120 4.00349e-05 -121 *1254:144 *1277:53 9.09539e-05 -122 *1254:144 *1455:19 8.31798e-05 -123 *1254:144 *1607:8 0.00114852 -124 *1254:144 *2780:61 0.000483379 -125 *1254:144 *2780:72 0 -126 *1254:144 *2855:139 0.0013407 -127 *1254:144 *3816:27 2.11068e-05 -128 *1254:144 *3844:41 0.000226291 -129 *1254:144 *3997:19 0.000102403 -130 *1254:144 *4873:22 1.60865e-05 -131 *1254:144 *5499:59 0.00011387 -132 *1254:144 *5609:89 0.00224204 -133 *24985:A2 *25018:A2 0.000145905 -134 *25401:A2 *1254:40 9.20464e-06 -135 *28770:D *1254:40 0.00011981 -136 *29103:A *1254:144 0.000208836 -137 *29562:A *1254:14 0.00188497 -138 *11:17 *1254:67 0.00158167 -139 *19:25 *1254:67 0.000167024 -140 *40:40 *25046:A2 6.25394e-06 -141 *762:14 *1254:14 0.000116964 -142 *770:24 *1254:40 3.47641e-06 -143 *1237:17 *1254:144 8.96293e-05 -144 *1240:96 *1254:14 0.00166203 -145 *1245:92 *1254:40 6.54182e-05 -*RES -1 *24928:Y *1254:7 14.7464 -2 *1254:7 *1254:14 23.1929 -3 *1254:14 *1254:40 45.6492 -4 *1254:40 *25046:A2 16.7062 -5 *1254:40 *1254:67 19.2101 -6 *1254:67 *24973:B1 14.5321 -7 *1254:67 *25018:A2 46.8089 -8 *1254:14 *25216:B1 13.8 -9 *1254:7 *1254:116 35.2127 -10 *1254:116 *25164:A2 20.4295 -11 *1254:116 *1254:127 0.732143 -12 *1254:127 *25387:A2 14.1304 -13 *1254:127 *1254:144 44.7523 -14 *1254:144 *25265:A2 19.793 -15 *1254:144 *25321:A2 27.8103 -*END - -*D_NET *1255 0.00360225 -*CONN -*I *30235:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *24929:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *30235:A 0.000769263 -2 *24929:Y 0.000769263 -3 *30235:A *1266:22 6.20855e-06 -4 *30235:A *1280:6 0.000389337 -5 *30235:A *1449:62 7.9749e-05 -6 *30235:A *5651:150 0.000422728 -7 *30235:A *5816:24 3.5808e-06 -8 *1235:113 *30235:A 4.88232e-05 -9 *1235:116 *30235:A 8.77729e-05 -10 *1243:7 *30235:A 0.000298483 -11 *1248:120 *30235:A 0.000727045 -*RES -1 *24929:Y *30235:A 48.7753 -*END - -*D_NET *1256 0.142788 -*CONN -*I *25292:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25141:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25356:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *24978:A2 I *D sky130_fd_sc_hd__a221o_4 -*I *25054:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25193:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *30246:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *6622:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25023:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25228:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *24930:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25292:A2 0.000335248 -2 *25141:A2 7.34168e-05 -3 *25356:B1 0.000280019 -4 *24978:A2 0.00109075 -5 *25054:B1 0.00239341 -6 *25193:A2 0 -7 *30246:A 0.000175424 -8 *6622:DIODE 0 -9 *25023:B1 0.000990231 -10 *25228:A2 4.43397e-05 -11 *24930:Y 0 -12 *1256:183 0.00485427 -13 *1256:173 0.00550729 -14 *1256:163 0.0044483 -15 *1256:160 0.00144374 -16 *1256:154 0.00259693 -17 *1256:135 0.00303336 -18 *1256:115 0.00188174 -19 *1256:113 0.00412601 -20 *1256:96 0.00516658 -21 *1256:95 0.00235232 -22 *1256:89 0.00248114 -23 *1256:76 0.00207487 -24 *1256:61 0.0033323 -25 *1256:54 0.00313368 -26 *1256:40 0.00154576 -27 *1256:31 0.0049088 -28 *1256:6 0.00585766 -29 *1256:5 0.00229822 -30 *24978:A2 *24978:A1 3.50166e-05 -31 *24978:A2 *27168:A 0.000146432 -32 *24978:A2 *27833:A1 0.000157997 -33 *24978:A2 *2794:37 0.000224949 -34 *24978:A2 *3991:72 0.000156276 -35 *24978:A2 *5584:198 0.000162006 -36 *24978:A2 *5862:44 0.000219711 -37 *24978:A2 *5870:19 0 -38 *24978:A2 *5911:43 0.000232778 -39 *25023:B1 *25023:B2 1.28809e-05 -40 *25023:B1 *27646:B 0.000123577 -41 *25023:B1 *3190:15 6.05161e-06 -42 *25023:B1 *3193:47 9.60939e-05 -43 *25023:B1 *3348:17 0.000261939 -44 *25054:B1 *24975:B1 4.03587e-05 -45 *25054:B1 *25055:C 4.37992e-05 -46 *25054:B1 *1265:149 0.000185729 -47 *25054:B1 *2767:142 6.98512e-06 -48 *25054:B1 *2772:45 3.05874e-05 -49 *25054:B1 *3206:293 4.65519e-05 -50 *25054:B1 *4033:8 4.50033e-05 -51 *25054:B1 *5676:80 0 -52 *25141:A2 *25141:A1 7.13226e-06 -53 *25141:A2 *25141:B1 1.46576e-05 -54 *25141:A2 *3998:25 0.000164505 -55 *25141:A2 *5584:163 0.000160914 -56 *25228:A2 *25228:B1 7.37323e-05 -57 *25228:A2 *5530:58 5.41794e-05 -58 *25228:A2 *5606:44 7.56621e-05 -59 *25228:A2 *5694:94 5.41794e-05 -60 *25292:A2 *25290:A2 0.00026014 -61 *25292:A2 *1281:125 0.000231022 -62 *25292:A2 *4054:47 5.90357e-05 -63 *25292:A2 *4054:66 5.63586e-05 -64 *25292:A2 *5584:103 5.74562e-05 -65 *25292:A2 *5643:80 8.51168e-05 -66 *25292:A2 *6247:36 0.000202298 -67 *25356:B1 *25356:A1 1.97695e-05 -68 *25356:B1 *25356:B2 0.000331148 -69 *25356:B1 *27609:B2 0.000180818 -70 *25356:B1 *3836:10 0.000611364 -71 *25356:B1 *4050:17 0.000115822 -72 *1256:6 *25223:B1 9.13908e-05 -73 *1256:6 *25292:C1 1.62539e-05 -74 *1256:6 *25362:B1 0.000173055 -75 *1256:6 *27292:B2 0.000188633 -76 *1256:6 *27292:C1 0.00229264 -77 *1256:6 *1260:20 0.000215249 -78 *1256:6 *1290:8 0.00145306 -79 *1256:6 *1555:11 0.000879815 -80 *1256:6 *3667:41 5.17015e-05 -81 *1256:6 *3667:53 0.000336785 -82 *1256:6 *3667:55 0.00197844 -83 *1256:6 *3768:42 0.0001326 -84 *1256:6 *4054:66 0.000560611 -85 *1256:6 *5936:111 5.90443e-06 -86 *1256:31 *25133:C1 3.67552e-05 -87 *1256:31 *25183:B1 7.43548e-05 -88 *1256:31 *25209:B1 3.1343e-05 -89 *1256:31 *25223:B1 8.89618e-05 -90 *1256:31 *25224:A 8.69554e-05 -91 *1256:31 *25273:B1 0.000179173 -92 *1256:31 *27082:A1 0.000975123 -93 *1256:31 *27932:A2 5.72944e-05 -94 *1256:31 *1265:35 0.00010294 -95 *1256:31 *1265:228 0.00228425 -96 *1256:31 *1419:30 0.000520407 -97 *1256:31 *1419:43 0.000780466 -98 *1256:31 *1484:23 0.00158973 -99 *1256:31 *1491:39 0.000153776 -100 *1256:31 *2854:16 0.000932387 -101 *1256:31 *2855:139 0.000117635 -102 *1256:31 *3164:56 0.000164885 -103 *1256:31 *3184:71 0.000628826 -104 *1256:31 *3413:57 0.000135801 -105 *1256:31 *3667:41 5.00841e-06 -106 *1256:31 *3768:42 0.000627997 -107 *1256:31 *3987:58 8.47885e-05 -108 *1256:31 *4052:30 0.000111243 -109 *1256:31 *5583:58 6.58294e-06 -110 *1256:31 *5609:107 1.62261e-05 -111 *1256:31 *5634:29 8.40136e-05 -112 *1256:31 *5634:52 6.18371e-05 -113 *1256:31 *5634:95 3.97253e-05 -114 *1256:31 *5655:130 0.000405477 -115 *1256:31 *5655:150 0.000126019 -116 *1256:31 *5661:187 6.68431e-05 -117 *1256:31 *5687:129 0.000280067 -118 *1256:31 *6269:12 7.04401e-05 -119 *1256:40 *1294:163 0.00145363 -120 *1256:40 *2848:33 2.14658e-05 -121 *1256:40 *2859:22 0.00150459 -122 *1256:40 *3197:31 1.27529e-05 -123 *1256:40 *3206:84 0.000235005 -124 *1256:40 *3872:43 0.000417436 -125 *1256:40 *5694:94 8.60466e-05 -126 *1256:40 *6225:114 4.94195e-05 -127 *1256:40 *6306:116 1.437e-05 -128 *1256:54 *25228:B1 0.000178847 -129 *1256:54 *27301:B 4.16984e-05 -130 *1256:54 *27301:C 0.000391 -131 *1256:54 *27622:B 0.000421464 -132 *1256:54 *1490:35 0.000178425 -133 *1256:54 *2859:16 0.000111463 -134 *1256:54 *2866:17 0.0003482 -135 *1256:54 *5461:7 0.00246939 -136 *1256:54 *5606:44 0.00011464 -137 *1256:61 *27646:A 9.91086e-05 -138 *1256:61 *27657:B 0.000204318 -139 *1256:61 *27663:B 0.000345251 -140 *1256:61 *27663:C 6.34436e-05 -141 *1256:61 *2781:39 5.87933e-05 -142 *1256:61 *2827:77 8.68595e-05 -143 *1256:61 *2866:34 0.000203846 -144 *1256:61 *3164:20 0.000191403 -145 *1256:61 *3193:51 9.20361e-05 -146 *1256:61 *3209:11 0.000137983 -147 *1256:61 *3215:7 3.2687e-05 -148 *1256:61 *3347:12 0.000213307 -149 *1256:61 *4086:68 0.000469988 -150 *1256:61 *5461:7 2.13481e-06 -151 *1256:76 *1260:35 7.07895e-05 -152 *1256:76 *1260:43 8.69554e-05 -153 *1256:76 *1260:58 0.00138112 -154 *1256:76 *3667:55 2.06112e-05 -155 *1256:76 *4054:66 1.19468e-05 -156 *1256:76 *5700:50 0 -157 *1256:76 *6269:12 0.00142252 -158 *1256:89 *25290:A2 8.16504e-05 -159 *1256:89 *25343:A1 3.21968e-05 -160 *1256:89 *27311:B2 0.000101149 -161 *1256:89 *1260:73 0.00168771 -162 *1256:89 *1279:102 2.72228e-05 -163 *1256:89 *1281:125 6.14836e-06 -164 *1256:89 *1700:20 0.00147495 -165 *1256:89 *3998:46 1.93327e-05 -166 *1256:89 *4952:14 0.000159968 -167 *1256:89 *5688:349 0 -168 *1256:95 *26903:A0 0.000859416 -169 *1256:95 *28634:CLK 0.000463268 -170 *1256:95 *1267:65 0.000136845 -171 *1256:95 *1271:162 0.000384696 -172 *1256:95 *1562:45 0.000781949 -173 *1256:95 *1700:16 0.00185746 -174 *1256:95 *1700:20 0.000759896 -175 *1256:95 *2775:173 0.00010283 -176 *1256:95 *2844:304 9.63678e-05 -177 *1256:95 *3596:18 0.000146316 -178 *1256:95 *5644:237 0 -179 *1256:96 *1271:174 0.000144939 -180 *1256:96 *1271:191 0.000105594 -181 *1256:96 *1562:45 0.000123605 -182 *1256:96 *1700:6 0.000114258 -183 *1256:96 *2771:111 0.000123605 -184 *1256:96 *2791:209 0.00138715 -185 *1256:96 *3940:28 0.000110498 -186 *1256:96 *3998:44 0.000110498 -187 *1256:96 *5688:97 0 -188 *1256:96 *6280:17 0 -189 *1256:113 *25308:A1 0.00034188 -190 *1256:113 *27021:S 0 -191 *1256:113 *27120:A0 9.41642e-05 -192 *1256:113 *27120:A1 0.000285036 -193 *1256:113 *27416:C 0 -194 *1256:113 *1262:80 0 -195 *1256:113 *1267:76 0.000136676 -196 *1256:113 *1271:191 0.00193841 -197 *1256:113 *1271:211 0.000489452 -198 *1256:113 *2768:90 0.000116533 -199 *1256:113 *2786:55 9.41642e-05 -200 *1256:113 *3846:34 2.11419e-05 -201 *1256:113 *3912:27 6.05161e-06 -202 *1256:113 *5630:264 0.000259645 -203 *1256:113 *5645:119 0.000178425 -204 *1256:113 *5649:217 1.94879e-05 -205 *1256:115 *27120:A1 1.38323e-05 -206 *1256:115 *3153:204 0.000136676 -207 *1256:115 *3153:221 3.98782e-05 -208 *1256:115 *3874:76 2.42516e-05 -209 *1256:115 *3912:7 0.000262686 -210 *1256:135 *27415:A1 3.93289e-05 -211 *1256:135 *28574:CLK 5.98111e-06 -212 *1256:135 *1261:199 9.08889e-06 -213 *1256:135 *1286:51 0.000560291 -214 *1256:135 *1700:6 2.23987e-05 -215 *1256:135 *2791:209 0.00227407 -216 *1256:135 *3998:25 0.000256531 -217 *1256:135 *3998:41 9.08128e-05 -218 *1256:135 *5584:163 0.00021088 -219 *1256:135 *5777:53 6.82769e-05 -220 *1256:135 *6280:17 0 -221 *1256:154 *25203:C1 0.000129009 -222 *1256:154 *27748:A2 5.07227e-05 -223 *1256:154 *28558:D 8.75702e-05 -224 *1256:154 *28755:CLK 0.00039015 -225 *1256:154 *1393:25 0.000636364 -226 *1256:154 *1519:13 5.56469e-05 -227 *1256:154 *2879:156 4.84514e-05 -228 *1256:154 *3693:11 0.000136682 -229 *1256:154 *3712:22 0.000758199 -230 *1256:154 *3979:19 9.25014e-06 -231 *1256:154 *4083:31 0.000135028 -232 *1256:154 *5688:53 0.00076086 -233 *1256:154 *6247:18 0.00051124 -234 *1256:160 *27113:S 0.000308295 -235 *1256:160 *27610:A1 0.000101576 -236 *1256:160 *30260:A 0.000517226 -237 *1256:160 *2764:43 0.00026353 -238 *1256:160 *2784:121 0.00115476 -239 *1256:160 *4076:21 0.000952519 -240 *1256:160 *5588:8 0.000130803 -241 *1256:160 *5639:229 0.000399371 -242 *1256:160 *6247:6 0 -243 *1256:163 *27609:B2 6.30931e-05 -244 *1256:163 *3836:10 6.5254e-05 -245 *1256:173 *25193:A1 4.11218e-05 -246 *1256:173 *27741:A1 1.74156e-05 -247 *1256:173 *1292:133 0.000290364 -248 *1256:173 *2770:127 4.88232e-05 -249 *1256:173 *2792:26 0.00047174 -250 *1256:173 *3705:14 0.000107585 -251 *1256:173 *3900:99 0 -252 *1256:173 *4056:18 1.31516e-05 -253 *1256:173 *5590:191 0.000422526 -254 *1256:173 *5628:212 0.000117158 -255 *1256:173 *5647:159 0.00247845 -256 *1256:173 *5736:24 0 -257 *1256:173 *5911:28 0.00109758 -258 *1256:183 *3537:35 9.3166e-05 -259 *1256:183 *3705:14 3.44114e-05 -260 *1256:183 *4004:16 0.000277915 -261 *1256:183 *4056:18 2.01359e-05 -262 *1256:183 *5584:194 0.000105998 -263 *1256:183 *5584:198 0.00023808 -264 *1256:183 *5590:191 0.000497855 -265 *1256:183 *5736:24 0.00133695 -266 *1256:183 *5911:43 0.00017305 -267 *25321:A2 *1256:31 0.000231196 -268 *27126:A *1256:183 0.00149815 -269 *29843:A *1256:95 0.000314465 -270 *29854:A *1256:95 0.000143381 -271 *1225:144 *1256:154 0.000103201 -272 *1225:149 *1256:160 0.000865844 -273 *1225:163 *25356:B1 2.14658e-05 -274 *1228:47 *1256:31 0.000526544 -275 *1237:27 *1256:40 1.98839e-05 -276 *1242:159 *1256:183 9.50843e-05 -277 *1244:129 *1256:31 0 -*RES -1 *24930:Y *1256:5 13.8 -2 *1256:5 *1256:6 57.6518 -3 *1256:6 *1256:31 49.6509 -4 *1256:31 *1256:40 35.3118 -5 *1256:40 *25228:A2 15.2732 -6 *1256:40 *1256:54 30.1964 -7 *1256:54 *1256:61 40.7857 -8 *1256:61 *25023:B1 23.8 -9 *1256:5 *1256:76 13.6918 -10 *1256:76 *1256:89 30.9413 -11 *1256:89 *1256:95 49.6722 -12 *1256:95 *1256:96 2.9234 -13 *1256:96 *1256:113 41.7506 -14 *1256:113 *1256:115 18.1964 -15 *1256:115 *6622:DIODE 9.3 -16 *1256:115 *30246:A 11.8 -17 *1256:96 *1256:135 16.2708 -18 *1256:135 *1256:154 46.7903 -19 *1256:154 *1256:160 49.3296 -20 *1256:160 *1256:163 5.38393 -21 *1256:163 *1256:173 19.3279 -22 *1256:173 *25193:A2 13.8 -23 *1256:173 *1256:183 8.97392 -24 *1256:183 *25054:B1 29.0017 -25 *1256:183 *24978:A2 25.0539 -26 *1256:163 *25356:B1 22.9339 -27 *1256:135 *25141:A2 16.1973 -28 *1256:76 *25292:A2 22.4257 -*END - -*D_NET *1257 0.116019 -*CONN -*I *25127:B I *D sky130_fd_sc_hd__nor2_4 -*I *25677:A1 I *D sky130_fd_sc_hd__o221a_4 -*I *25735:B I *D sky130_fd_sc_hd__or4_4 -*I *24932:B I *D sky130_fd_sc_hd__nor2_8 -*I *25135:A I *D sky130_fd_sc_hd__nor2_8 -*I *25074:B I *D sky130_fd_sc_hd__nor2_4 -*I *25100:B I *D sky130_fd_sc_hd__nor2_4 -*I *25231:B I *D sky130_fd_sc_hd__nor2_2 -*I *25355:B I *D sky130_fd_sc_hd__nor2_1 -*I *24931:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *25127:B 0.000518441 -2 *25677:A1 0.000217322 -3 *25735:B 0 -4 *24932:B 0.000123994 -5 *25135:A 0 -6 *25074:B 7.15463e-05 -7 *25100:B 5.33461e-05 -8 *25231:B 0 -9 *25355:B 0 -10 *24931:Y 2.12098e-05 -11 *1257:186 0.000299263 -12 *1257:173 0.00292194 -13 *1257:169 0.00388396 -14 *1257:162 0.0022612 -15 *1257:153 0.00255321 -16 *1257:146 0.00213344 -17 *1257:142 0.00223158 -18 *1257:120 0.00225734 -19 *1257:99 0.00298424 -20 *1257:69 0.00818416 -21 *1257:46 0.00680132 -22 *1257:26 0.00468002 -23 *1257:14 0.00238634 -24 *1257:13 0.00169289 -25 *1257:7 0.00117051 -26 *24932:B *24932:A 2.14658e-05 -27 *24932:B *26969:S 0.000350143 -28 *24932:B *5688:39 8.6871e-05 -29 *24932:B *5891:92 2.89114e-05 -30 *25074:B *25313:A 2.55806e-05 -31 *25074:B *25694:A2 8.93791e-05 -32 *25074:B *1395:8 5.33005e-05 -33 *25074:B *1844:26 1.98839e-05 -34 *25100:B *25269:A1 0.000137561 -35 *25100:B *3209:52 4.00679e-05 -36 *25127:B *25127:A 0.000270726 -37 *25127:B *25163:B2 0.000177545 -38 *25127:B *27947:A2 3.97677e-05 -39 *25127:B *1410:71 0.000464471 -40 *25127:B *1781:16 0.000120724 -41 *25127:B *5832:8 0.000120724 -42 *25677:A1 *25677:B2 5.33005e-05 -43 *25677:A1 *2764:43 5.33005e-05 -44 *25677:A1 *3998:17 0.0003335 -45 *25677:A1 *5589:74 0.000335222 -46 *25677:A1 *5729:193 6.86792e-05 -47 *1257:13 *1448:127 4.36671e-05 -48 *1257:13 *2867:149 0.000151862 -49 *1257:13 *5868:27 4.13589e-05 -50 *1257:14 *25327:C1 5.74562e-05 -51 *1257:14 *1427:105 0.000510634 -52 *1257:14 *1427:119 0.00217124 -53 *1257:14 *2867:120 0.000132048 -54 *1257:14 *2867:149 7.35862e-05 -55 *1257:14 *3389:20 0.00010283 -56 *1257:14 *3790:63 1.53472e-05 -57 *1257:14 *4141:34 0.00130441 -58 *1257:14 *4141:49 4.00349e-05 -59 *1257:14 *5600:47 5.41591e-05 -60 *1257:14 *5600:50 0.00215505 -61 *1257:26 *1263:36 0.000170436 -62 *1257:26 *1327:83 1.94945e-05 -63 *1257:26 *1545:28 4.65519e-05 -64 *1257:26 *1644:36 1.08716e-05 -65 *1257:26 *1844:16 0.000107157 -66 *1257:26 *2867:99 0.00128396 -67 *1257:26 *2867:120 0.000117447 -68 *1257:26 *3220:44 1.57219e-05 -69 *1257:26 *3389:20 0.00138027 -70 *1257:46 *25133:C1 0.000417644 -71 *1257:46 *25184:B2 2.18959e-05 -72 *1257:46 *27037:S 0.000331802 -73 *1257:46 *1326:95 0.000815497 -74 *1257:46 *1327:83 0.000222735 -75 *1257:46 *1441:99 7.27711e-05 -76 *1257:46 *1441:115 2.72364e-05 -77 *1257:46 *1455:93 0.000268771 -78 *1257:46 *1479:17 0.000738515 -79 *1257:46 *1479:101 0.000784363 -80 *1257:46 *1485:39 0.00232199 -81 *1257:46 *1535:39 0.000356841 -82 *1257:46 *1535:55 4.73953e-05 -83 *1257:46 *1644:36 0.00022486 -84 *1257:46 *1727:19 2.231e-05 -85 *1257:46 *2867:39 9.53406e-06 -86 *1257:46 *2875:63 4.73891e-05 -87 *1257:46 *3220:44 0.00133009 -88 *1257:46 *3777:51 6.45033e-05 -89 *1257:46 *3988:44 0 -90 *1257:46 *4186:20 4.1129e-05 -91 *1257:46 *5386:11 0 -92 *1257:46 *5600:37 2.04745e-05 -93 *1257:46 *5605:33 0.000109207 -94 *1257:46 *5619:17 4.70761e-05 -95 *1257:46 *5646:34 0.000373067 -96 *1257:46 *5655:150 8.44368e-05 -97 *1257:46 *5892:145 0.000135286 -98 *1257:46 *5947:56 9.44553e-05 -99 *1257:69 *25282:A 2.55386e-05 -100 *1257:69 *27037:S 8.81866e-05 -101 *1257:69 *1441:21 0.000292379 -102 *1257:69 *1441:99 6.06909e-05 -103 *1257:69 *1453:17 0.000132151 -104 *1257:69 *1479:17 0.000596798 -105 *1257:69 *1479:34 0.000554804 -106 *1257:69 *1504:8 0.000143652 -107 *1257:69 *1535:39 4.89544e-06 -108 *1257:69 *1560:16 0.000160692 -109 *1257:69 *1588:11 0.000138041 -110 *1257:69 *1600:41 0.000140025 -111 *1257:69 *3173:35 0.000435915 -112 *1257:69 *3212:44 0.000439087 -113 *1257:69 *3647:39 0.000153984 -114 *1257:69 *3875:51 0.000944506 -115 *1257:69 *3988:44 0.00014854 -116 *1257:69 *5605:33 0.000355291 -117 *1257:69 *6317:85 0.00093524 -118 *1257:99 *25385:B2 1.42319e-05 -119 *1257:99 *26855:A0 5.14757e-05 -120 *1257:99 *27918:B1_N 0.000136958 -121 *1257:99 *1263:88 3.59825e-05 -122 *1257:99 *1264:17 5.33005e-05 -123 *1257:99 *1281:30 8.40352e-05 -124 *1257:99 *1328:46 0.000517335 -125 *1257:99 *1407:89 9.83048e-05 -126 *1257:99 *1471:37 0.000309056 -127 *1257:99 *1600:41 0.000398946 -128 *1257:99 *1671:24 7.00591e-05 -129 *1257:99 *1714:23 0.000465379 -130 *1257:99 *1719:12 0.00013875 -131 *1257:99 *1719:20 9.02925e-05 -132 *1257:99 *1898:8 5.36521e-05 -133 *1257:99 *1898:49 9.41642e-05 -134 *1257:99 *3460:21 0.00029747 -135 *1257:99 *3568:99 3.92089e-05 -136 *1257:99 *3663:10 9.39125e-05 -137 *1257:99 *3663:42 2.04825e-05 -138 *1257:99 *4000:8 0.00022857 -139 *1257:99 *4000:17 7.58667e-05 -140 *1257:99 *4009:31 0.000278845 -141 *1257:99 *4010:21 8.32938e-05 -142 *1257:99 *4381:19 0.000208166 -143 *1257:99 *5488:79 0.00049588 -144 *1257:99 *5599:115 0.00051297 -145 *1257:99 *5665:24 0.000511553 -146 *1257:99 *5691:229 0.000937897 -147 *1257:99 *6225:48 0.000171177 -148 *1257:120 *24926:B 2.42205e-05 -149 *1257:120 *25272:C1 1.24368e-05 -150 *1257:120 *25387:B2 1.11775e-05 -151 *1257:120 *28626:CLK 0.000347174 -152 *1257:120 *30871:A 0.000519587 -153 *1257:120 *1590:15 0.000112962 -154 *1257:120 *1653:35 3.15517e-05 -155 *1257:120 *1701:11 0.00133776 -156 *1257:120 *2778:66 0.000116021 -157 *1257:120 *3816:7 7.41875e-05 -158 *1257:120 *3816:27 2.83437e-05 -159 *1257:120 *4873:22 0.000158552 -160 *1257:120 *5609:10 4.87953e-05 -161 *1257:120 *5609:89 0.000111183 -162 *1257:120 *5749:47 7.52006e-05 -163 *1257:142 *24912:B 0.000302557 -164 *1257:142 *1448:100 0.000118982 -165 *1257:142 *1448:127 0.000308332 -166 *1257:142 *1456:18 0.000996635 -167 *1257:142 *1456:38 0.000126531 -168 *1257:142 *1472:25 0.000181907 -169 *1257:142 *3389:20 0.000142026 -170 *1257:142 *4069:132 0.000486116 -171 *1257:142 *4088:56 2.50463e-05 -172 *1257:142 *5600:50 8.34693e-05 -173 *1257:142 *5600:57 8.42824e-05 -174 *1257:142 *5815:35 0.000222524 -175 *1257:142 *5868:27 0.00016001 -176 *1257:146 *25076:A2 0.000173804 -177 *1257:146 *25077:A2 0.000199691 -178 *1257:146 *25077:B1 0.000125731 -179 *1257:146 *25195:A1 0.000325106 -180 *1257:146 *1396:168 0.000108516 -181 *1257:146 *1410:71 0.000301016 -182 *1257:146 *3699:24 8.51085e-05 -183 *1257:146 *3790:71 2.82057e-05 -184 *1257:146 *4069:132 9.96242e-05 -185 *1257:146 *5605:127 0.00114146 -186 *1257:146 *5649:176 0.000180722 -187 *1257:153 *25077:A2 0.00104415 -188 *1257:153 *28444:CLK 0.000902905 -189 *1257:153 *1405:49 4.43081e-05 -190 *1257:153 *1463:23 0.000203774 -191 *1257:153 *1682:12 0.000983099 -192 *1257:153 *3576:39 0.000159625 -193 *1257:153 *3699:22 8.21706e-05 -194 *1257:153 *3699:24 3.35181e-05 -195 *1257:153 *3790:71 0.000717671 -196 *1257:153 *3790:81 0.00140629 -197 *1257:153 *4022:27 2.8046e-05 -198 *1257:153 *4035:90 0.00209559 -199 *1257:162 *1682:12 0.000677706 -200 *1257:162 *2848:300 0.000363896 -201 *1257:162 *3699:22 0.00262026 -202 *1257:162 *4039:39 0.000181803 -203 *1257:162 *4141:34 0 -204 *1257:162 *5644:251 0.000524957 -205 *1257:169 *27845:A1 7.75022e-05 -206 *1257:169 *28614:RESET_B 0.000293885 -207 *1257:169 *4048:22 0.000260249 -208 *1257:169 *4141:30 0.00167712 -209 *1257:169 *5644:251 0.00284524 -210 *1257:169 *5762:73 0.000105696 -211 *1257:173 *26969:S 0.00100944 -212 *1257:173 *5584:163 5.59013e-05 -213 *1257:173 *5589:74 2.61337e-05 -214 *1257:173 *5671:7 0.000253232 -215 *1257:173 *5891:92 0.00149715 -216 *1257:186 *25735:A 0.000170661 -217 *1257:186 *5584:163 0.000234377 -218 *1257:186 *5589:74 3.67452e-05 -219 *24910:A *1257:99 2.72521e-05 -220 *25002:B *1257:7 2.45626e-05 -221 *25410:A2 *1257:69 0.000142023 -222 *30192:A *1257:162 0.000127446 -223 *30779:A *1257:120 3.43527e-05 -224 *1185:102 *1257:7 5.33005e-05 -225 *1218:62 *1257:99 0.000405898 -226 *1233:21 *1257:13 2.67405e-05 -227 *1237:5 *1257:120 2.67489e-05 -228 *1239:65 *1257:142 0.00182556 -229 *1239:90 *1257:142 0.000155877 -230 *1248:14 *1257:69 2.17335e-06 -231 *1248:22 *1257:69 0 -232 *1248:33 *1257:69 1.93327e-05 -233 *1248:56 *1257:46 2.69491e-05 -234 *1248:81 *1257:46 0.000324398 -235 *1252:37 *1257:14 0.0013354 -236 *1253:98 *1257:46 8.02705e-05 -237 *1253:98 *1257:69 1.78765e-05 -238 *1254:144 *1257:120 4.00349e-05 -*RES -1 *24931:Y *1257:7 14.3357 -2 *1257:7 *1257:13 7.66356 -3 *1257:13 *1257:14 52.9464 -4 *1257:14 *1257:26 17.329 -5 *1257:26 *1257:46 46.1541 -6 *1257:46 *1257:69 31.0969 -7 *1257:69 *1257:99 48.8144 -8 *1257:99 *25355:B 9.3 -9 *1257:69 *1257:120 49.4812 -10 *1257:120 *25231:B 9.3 -11 *1257:46 *25100:B 15.1571 -12 *1257:26 *25074:B 15.5679 -13 *1257:7 *1257:142 40.1429 -14 *1257:142 *1257:146 23.1071 -15 *1257:146 *25135:A 13.8 -16 *1257:146 *1257:153 55.375 -17 *1257:153 *1257:162 45.125 -18 *1257:162 *1257:169 46.5714 -19 *1257:169 *1257:173 39.8571 -20 *1257:173 *24932:B 17.6393 -21 *1257:173 *1257:186 7.66071 -22 *1257:186 *25735:B 9.3 -23 *1257:186 *25677:A1 24.5679 -24 *1257:142 *25127:B 27.6036 -*END - -*D_NET *1258 0.0935061 -*CONN -*I *25677:B2 I *D sky130_fd_sc_hd__o221a_4 -*I *30766:A I *D sky130_fd_sc_hd__buf_8 -*I *25190:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *24982:A2 I *D sky130_fd_sc_hd__a22o_2 -*I *25320:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25026:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25243:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25085:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *24932:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25677:B2 0.000380946 -2 *30766:A 0 -3 *25190:A2 0.000457393 -4 *24982:A2 0.000209258 -5 *25320:A2 0.000566717 -6 *25026:B1 0 -7 *25243:A2 2.37855e-05 -8 *25085:A2 0.000848589 -9 *24932:Y 0 -10 *1258:158 0.000429222 -11 *1258:123 0.00202577 -12 *1258:106 0.00286405 -13 *1258:100 0.00467759 -14 *1258:90 0.00472816 -15 *1258:79 0.00286747 -16 *1258:75 0.00509512 -17 *1258:56 0.00556054 -18 *1258:49 0.00424687 -19 *1258:33 0.00322041 -20 *1258:14 0.00350404 -21 *1258:5 0.0025433 -22 *24982:A2 *1267:202 0.00015134 -23 *24982:A2 *1308:13 0.000232235 -24 *24982:A2 *3774:17 1.80583e-05 -25 *25085:A2 *25085:A1 0.000531303 -26 *25085:A2 *25242:B1 1.55638e-05 -27 *25085:A2 *26996:A1 0.000110948 -28 *25085:A2 *27406:B1 7.13226e-06 -29 *25085:A2 *28574:CLK 0.000106961 -30 *25085:A2 *1261:177 0.000106398 -31 *25085:A2 *1562:19 0.000128031 -32 *25085:A2 *2771:104 9.22222e-06 -33 *25085:A2 *5584:162 0.000257618 -34 *25085:A2 *5589:73 0.000106824 -35 *25190:A2 *25190:A1 7.56621e-05 -36 *25190:A2 *31010:A 8.22793e-06 -37 *25190:A2 *1510:8 2.89016e-05 -38 *25190:A2 *1514:18 8.22793e-06 -39 *25190:A2 *2760:157 0.000183754 -40 *25190:A2 *2786:55 0 -41 *25190:A2 *2845:286 4.96415e-05 -42 *25190:A2 *3170:245 9.27084e-05 -43 *25190:A2 *5879:98 2.14658e-05 -44 *25320:A2 *25014:B1 8.91822e-05 -45 *25320:A2 *25320:A1 4.25675e-05 -46 *25320:A2 *30870:A 0.00022152 -47 *25320:A2 *5586:165 3.33174e-05 -48 *25320:A2 *5625:8 0 -49 *25677:B2 *25677:B1 1.97695e-05 -50 *25677:B2 *1696:10 0.000347422 -51 *25677:B2 *2764:43 0.000166595 -52 *25677:B2 *4031:18 0.000357672 -53 *1258:14 *27023:A1 1.90936e-05 -54 *1258:14 *27748:A2 0.000568242 -55 *1258:14 *1267:100 6.29376e-05 -56 *1258:14 *1361:45 0 -57 *1258:14 *1659:34 0.00109144 -58 *1258:14 *1696:10 0.00150637 -59 *1258:14 *2773:139 0.000562676 -60 *1258:14 *2774:74 0.000807096 -61 *1258:14 *4031:18 0.00230724 -62 *1258:14 *5585:8 0.000397046 -63 *1258:14 *6269:12 0.000375731 -64 *1258:33 *6537:DIODE 1.02936e-05 -65 *1258:33 *25243:B1 8.10506e-05 -66 *1258:33 *25243:C1 5.49544e-05 -67 *1258:33 *28574:CLK 8.09868e-06 -68 *1258:33 *1261:177 1.07585e-05 -69 *1258:33 *1562:19 0.000268278 -70 *1258:33 *4120:47 1.28809e-05 -71 *1258:33 *5692:47 2.99822e-05 -72 *1258:33 *5879:66 4.19624e-06 -73 *1258:49 *27110:A0 9.60875e-05 -74 *1258:49 *28675:D 1.05952e-05 -75 *1258:49 *1562:19 9.38731e-05 -76 *1258:49 *2760:157 6.69444e-05 -77 *1258:49 *2852:161 0.000224774 -78 *1258:49 *4003:20 5.41161e-05 -79 *1258:49 *4080:41 0.000262343 -80 *1258:49 *4120:47 2.14815e-05 -81 *1258:49 *5584:263 0.000219711 -82 *1258:49 *5692:47 3.42273e-05 -83 *1258:49 *5795:78 0.000260635 -84 *1258:56 *25190:B1 0 -85 *1258:56 *27379:B1 1.94945e-05 -86 *1258:56 *27732:B1 0.000150099 -87 *1258:56 *1265:142 0.00102587 -88 *1258:56 *1272:101 0.000979511 -89 *1258:56 *2760:157 0 -90 *1258:56 *2778:194 1.8995e-06 -91 *1258:56 *2848:282 0.000341381 -92 *1258:56 *2852:108 7.16877e-05 -93 *1258:56 *3251:12 6.88242e-05 -94 *1258:56 *3770:48 0.00081642 -95 *1258:56 *5633:72 0.000274812 -96 *1258:56 *5633:76 0.000132862 -97 *1258:75 *27396:B2 0.000512894 -98 *1258:75 *27732:B1 0.00170636 -99 *1258:75 *27736:B2 0.000247276 -100 *1258:75 *1272:139 0.00117163 -101 *1258:75 *1520:19 0.000123605 -102 *1258:75 *2845:250 2.89643e-06 -103 *1258:75 *2845:286 0.000110844 -104 *1258:75 *2852:108 1.61322e-05 -105 *1258:75 *2864:292 0.00191936 -106 *1258:75 *2867:226 0.000165008 -107 *1258:75 *2880:45 0.00154678 -108 *1258:75 *3259:25 0.000161876 -109 *1258:75 *3538:16 2.07728e-05 -110 *1258:75 *3796:108 0.000266652 -111 *1258:75 *3835:45 0.000110498 -112 *1258:75 *3926:48 0.000163294 -113 *1258:75 *5600:154 1.38323e-05 -114 *1258:75 *5632:71 4.21517e-05 -115 *1258:79 *1272:143 0.000123577 -116 *1258:79 *1368:59 0.00220561 -117 *1258:79 *5600:154 0.00278196 -118 *1258:90 *27834:B2 1.94879e-05 -119 *1258:90 *27835:B2 1.88578e-05 -120 *1258:90 *1267:202 0.000581419 -121 *1258:90 *1267:221 3.63489e-05 -122 *1258:90 *1308:13 0.000671389 -123 *1258:90 *1367:46 0.00033995 -124 *1258:90 *1367:53 4.78449e-05 -125 *1258:90 *2877:253 1.721e-05 -126 *1258:90 *2892:219 1.09611e-05 -127 *1258:90 *3164:287 4.038e-06 -128 *1258:90 *4125:42 0.000554315 -129 *1258:90 *4151:32 1.8995e-06 -130 *1258:90 *5645:198 1.17766e-05 -131 *1258:90 *5647:49 0.000252438 -132 *1258:90 *5662:76 1.14338e-05 -133 *1258:90 *5680:176 0.000424466 -134 *1258:90 *5680:196 0.000761078 -135 *1258:100 *24970:B2 0.000208342 -136 *1258:100 *27478:B2 2.04825e-05 -137 *1258:100 *27478:C1 0.000578192 -138 *1258:100 *27479:B2 2.97136e-05 -139 *1258:100 *2872:186 0.000248873 -140 *1258:100 *2885:91 0.00102656 -141 *1258:100 *3206:219 0.000263533 -142 *1258:100 *3206:229 0.000397395 -143 *1258:100 *3748:60 9.47942e-06 -144 *1258:100 *3800:46 0.000281306 -145 *1258:100 *3864:86 0.000223599 -146 *1258:100 *3878:49 0.000219331 -147 *1258:100 *3993:14 0.00109347 -148 *1258:100 *4073:39 3.24074e-05 -149 *1258:100 *4125:42 0.000192918 -150 *1258:100 *4151:45 0.000197779 -151 *1258:100 *5645:80 0.00220005 -152 *1258:100 *5654:52 0.000103244 -153 *1258:100 *5656:240 0.000199833 -154 *1258:100 *5675:34 0.000342225 -155 *1258:100 *5680:196 0.000815848 -156 *1258:106 *2871:224 5.01065e-05 -157 *1258:106 *2998:16 0.000331215 -158 *1258:106 *3174:224 0.000586182 -159 *1258:106 *4121:87 0.00041239 -160 *1258:106 *5635:101 0.000329493 -161 *1258:123 *25320:A1 6.54117e-05 -162 *1258:123 *27469:B2 0 -163 *1258:123 *2767:34 9.36643e-05 -164 *1258:123 *2769:98 0.000123716 -165 *1258:123 *2774:162 0.000506751 -166 *1258:123 *3164:281 7.74718e-05 -167 *1258:123 *3386:22 9.60337e-06 -168 *1258:123 *3558:227 0.000307343 -169 *1258:123 *4072:32 0.000335201 -170 *1258:123 *5708:211 0.00221571 -171 *1258:158 *1696:10 0.00019187 -172 *1258:158 *4031:18 0.00020212 -173 *25047:A2 *1258:75 0.000421983 -174 *25677:A1 *25677:B2 5.33005e-05 -175 *1185:184 *1258:49 0.0001465 -*RES -1 *24932:Y *1258:5 13.8 -2 *1258:5 *1258:14 45.726 -3 *1258:14 *25085:A2 26.228 -4 *1258:14 *1258:33 10.9535 -5 *1258:33 *25243:A2 9.72857 -6 *1258:33 *1258:49 49.6384 -7 *1258:49 *1258:56 22.2736 -8 *1258:56 *1258:75 49.7564 -9 *1258:75 *1258:79 35.8393 -10 *1258:79 *1258:90 23.9832 -11 *1258:90 *1258:100 31.9307 -12 *1258:100 *1258:106 43.8929 -13 *1258:106 *25026:B1 9.3 -14 *1258:90 *1258:123 47.7404 -15 *1258:123 *25320:A2 27.5768 -16 *1258:79 *24982:A2 18.5143 -17 *1258:56 *25190:A2 23.0685 -18 *1258:5 *1258:158 2.55357 -19 *1258:158 *30766:A 13.8 -20 *1258:158 *25677:B2 22.7286 -*END - -*D_NET *1259 0.0113549 -*CONN -*I *30024:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24933:X O *D sky130_fd_sc_hd__or3b_4 -*CAP -1 *30024:A 0.00168086 -2 *24933:X 0 -3 *1259:8 0.00274933 -4 *1259:5 0.00106847 -5 *30024:A *25861:A0 0 -6 *30024:A *28306:D 0.000134887 -7 *30024:A *3700:22 0.000526414 -8 *30024:A *3701:19 7.51693e-05 -9 *30024:A *3711:79 5.96516e-05 -10 *30024:A *5545:30 5.20882e-05 -11 *1259:8 *24874:A_N 0.000130992 -12 *1259:8 *28283:D 0.000100823 -13 *1259:8 *28305:D 0.000172607 -14 *1259:8 *29899:A 0.00038486 -15 *1259:8 *1829:25 0.000125724 -16 *1259:8 *3570:97 0.000428361 -17 *1259:8 *3657:10 0.00129161 -18 *1259:8 *3702:26 0.000103527 -19 *1259:8 *4156:60 0.000175519 -20 *1259:8 *4157:45 0.00012222 -21 *1259:8 *5267:17 0.00128605 -22 *1195:6 *1259:8 0.000685708 -*RES -1 *24933:X *1259:5 13.8 -2 *1259:5 *1259:8 42.875 -3 *1259:8 *30024:A 38.9607 -*END - -*D_NET *1260 0.113894 -*CONN -*I *25290:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25083:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *24989:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25010:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *26991:A I *D sky130_fd_sc_hd__nand2_8 -*I *25042:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25237:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25192:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25378:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *24934:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25290:A2 0.000676565 -2 *25083:A2 0.000236642 -3 *24989:A2 0.000851944 -4 *25010:A2 0.000731019 -5 *26991:A 7.20682e-05 -6 *25042:A2 0.000556297 -7 *25237:A2 0 -8 *25192:A2 5.6217e-06 -9 *25378:A2 2.56054e-05 -10 *24934:Y 0.000127281 -11 *1260:202 0.0020314 -12 *1260:188 0.00226583 -13 *1260:184 0.00148017 -14 *1260:161 0.00230798 -15 *1260:135 0.00403645 -16 *1260:118 0.00518194 -17 *1260:102 0.00286568 -18 *1260:91 0.00222728 -19 *1260:86 0.00319476 -20 *1260:81 0.00234371 -21 *1260:73 0.00238819 -22 *1260:58 0.00253239 -23 *1260:47 0.00320087 -24 *1260:43 0.00510748 -25 *1260:35 0.00356471 -26 *1260:20 0.00103303 -27 *1260:7 0.00200132 -28 *24989:A2 *27035:S 9.60939e-05 -29 *24989:A2 *1315:7 8.35041e-05 -30 *24989:A2 *2775:33 0.000175892 -31 *24989:A2 *3618:74 1.94945e-05 -32 *24989:A2 *5528:55 1.94945e-05 -33 *25010:A2 *25010:A1 0.000196323 -34 *25010:A2 *1334:11 0.00076243 -35 *25010:A2 *3177:69 7.50658e-05 -36 *25010:A2 *3721:17 0.000216755 -37 *25010:A2 *3956:37 0.00138101 -38 *25010:A2 *5651:289 1.81887e-05 -39 *25042:A2 *25042:B2 0.000308595 -40 *25042:A2 *26945:A0 0.000105302 -41 *25042:A2 *27786:B2 2.30116e-06 -42 *25042:A2 *29699:A 0.000178425 -43 *25042:A2 *1364:19 4.58194e-05 -44 *25042:A2 *3333:17 0.000178425 -45 *25042:A2 *3891:43 0.00016502 -46 *25042:A2 *4097:13 4.85033e-05 -47 *25042:A2 *5587:169 0.000211505 -48 *25042:A2 *5652:184 0.000163312 -49 *25042:A2 *5810:47 0.000167421 -50 *25042:A2 *6005:32 0.000355847 -51 *25083:A2 *1562:33 0.000562346 -52 *25083:A2 *5589:73 0.000577211 -53 *25192:A2 *25192:B2 1.46576e-05 -54 *25192:A2 *1490:128 7.13226e-06 -55 *25290:A2 *25290:A1 3.67724e-05 -56 *25290:A2 *25290:B1 3.59437e-05 -57 *25290:A2 *25290:B2 6.26774e-06 -58 *25290:A2 *25293:C 8.43535e-06 -59 *25290:A2 *1270:22 0.000133749 -60 *25290:A2 *1279:102 0.000347059 -61 *25290:A2 *1281:125 0.000274205 -62 *25290:A2 *2879:83 8.49829e-05 -63 *25290:A2 *3540:23 8.65622e-05 -64 *25290:A2 *5650:250 0.000288012 -65 *25378:A2 *25378:A1 7.56621e-05 -66 *25378:A2 *25378:C1 3.58774e-05 -67 *26991:A *26934:A0 6.57032e-05 -68 *26991:A *27786:A2 4.39649e-05 -69 *26991:A *2771:11 0.000135028 -70 *26991:A *5892:39 4.04292e-05 -71 *1260:7 *24934:B 1.24368e-05 -72 *1260:7 *3857:91 4.85033e-05 -73 *1260:20 *25362:B1 5.41797e-06 -74 *1260:20 *25378:A1 0.000112777 -75 *1260:20 *27292:B2 0.000189832 -76 *1260:20 *27292:C1 8.6229e-06 -77 *1260:20 *1290:8 0.00012401 -78 *1260:20 *1555:11 0.00017309 -79 *1260:20 *2775:187 0.00117459 -80 *1260:20 *2856:163 5.52302e-05 -81 *1260:20 *2856:176 5.33005e-05 -82 *1260:20 *3206:115 0.000257619 -83 *1260:20 *5707:29 2.79421e-05 -84 *1260:20 *5936:111 0.00196696 -85 *1260:35 *25362:B1 0.000156678 -86 *1260:35 *1555:11 0.000500549 -87 *1260:35 *4952:14 0.000496406 -88 *1260:35 *5936:111 0.000496371 -89 *1260:35 *5936:125 0.000251972 -90 *1260:35 *6269:12 0.000426373 -91 *1260:43 *26894:A0 1.19751e-05 -92 *1260:43 *27019:S 1.34824e-05 -93 *1260:43 *27348:B1 0 -94 *1260:43 *28483:SET_B 5.96243e-05 -95 *1260:43 *1265:69 0 -96 *1260:43 *2779:121 6.0914e-05 -97 *1260:43 *2784:67 0.000138017 -98 *1260:43 *2876:29 0.00010937 -99 *1260:43 *2879:83 6.09015e-05 -100 *1260:43 *3992:54 0.000139739 -101 *1260:43 *5644:205 5.00194e-05 -102 *1260:43 *5700:50 0 -103 *1260:47 *27482:C1 4.87953e-05 -104 *1260:47 *1268:53 0.00336628 -105 *1260:47 *2860:209 7.07155e-06 -106 *1260:47 *2867:193 0.00011069 -107 *1260:47 *3965:70 0.000143188 -108 *1260:47 *5718:345 9.47028e-05 -109 *1260:47 *5718:354 1.85696e-05 -110 *1260:58 *4952:14 0.000760995 -111 *1260:58 *6269:12 2.33124e-05 -112 *1260:73 *24960:A 0.000241346 -113 *1260:73 *27311:B2 3.50637e-05 -114 *1260:73 *28654:D 8.34114e-06 -115 *1260:73 *1279:102 0.000262314 -116 *1260:73 *1700:20 2.04702e-05 -117 *1260:73 *2874:249 0.000184972 -118 *1260:73 *3998:46 0.00146479 -119 *1260:73 *4952:14 0.000173055 -120 *1260:73 *5777:32 0.000221129 -121 *1260:81 *28571:CLK 0.000532984 -122 *1260:81 *28651:SET_B 8.9591e-05 -123 *1260:81 *28897:A 0.000240612 -124 *1260:81 *1265:112 0 -125 *1260:81 *3596:13 0.000331309 -126 *1260:81 *3596:40 0.000449825 -127 *1260:81 *3596:49 0.000377715 -128 *1260:81 *3667:65 0.000605336 -129 *1260:81 *3768:19 0.000913108 -130 *1260:81 *3768:58 0.000567285 -131 *1260:81 *3862:8 2.05484e-05 -132 *1260:81 *3862:21 1.32023e-05 -133 *1260:81 *4146:79 0.000141552 -134 *1260:81 *5590:79 0.0001153 -135 *1260:81 *5688:349 2.30116e-06 -136 *1260:81 *5764:22 0.000252364 -137 *1260:81 *5777:32 0.0001326 -138 *1260:86 *25093:A1 0.000538073 -139 *1260:86 *27417:B1 3.21293e-05 -140 *1260:86 *27417:B2 0.00160439 -141 *1260:86 *28651:SET_B 5.7903e-06 -142 *1260:86 *1275:234 8.36367e-05 -143 *1260:86 *1276:102 0.000128349 -144 *1260:86 *1406:8 0.000123646 -145 *1260:86 *1406:24 1.59908e-05 -146 *1260:86 *1562:33 9.77956e-05 -147 *1260:86 *2771:104 3.93252e-05 -148 *1260:86 *3552:22 9.04754e-05 -149 *1260:86 *5585:23 0.000392757 -150 *1260:86 *5589:73 0.000543275 -151 *1260:86 *5590:87 2.59166e-05 -152 *1260:91 *27137:A1 0.000135028 -153 *1260:91 *27359:A1 0.000183513 -154 *1260:91 *27751:A1 0.00034474 -155 *1260:91 *27751:A2 0.000742341 -156 *1260:91 *27751:B1 1.44983e-05 -157 *1260:91 *28699:D 0.000257619 -158 *1260:91 *30373:A 0.000139907 -159 *1260:91 *1265:128 0.000199834 -160 *1260:91 *2845:300 0.00109346 -161 *1260:91 *2855:198 0.000300406 -162 *1260:91 *2855:219 0.000270846 -163 *1260:91 *3743:28 0.000837726 -164 *1260:91 *3937:37 0.000287072 -165 *1260:102 *25238:B2 3.90197e-05 -166 *1260:102 *27701:B2 4.80729e-05 -167 *1260:102 *27701:C1 0.000172607 -168 *1260:102 *1272:101 0.000154065 -169 *1260:102 *2778:194 2.37836e-05 -170 *1260:102 *2848:282 0.000195525 -171 *1260:102 *3770:48 0.000153114 -172 *1260:102 *3978:65 2.06178e-05 -173 *1260:102 *4107:32 0.000124655 -174 *1260:102 *6225:139 2.22043e-05 -175 *1260:118 *25237:A1 3.45371e-05 -176 *1260:118 *2997:20 0.000251416 -177 *1260:118 *3915:37 8.3828e-05 -178 *1260:118 *5658:124 0.000249568 -179 *1260:118 *5702:135 0.00349212 -180 *1260:135 *27433:A1 1.32879e-05 -181 *1260:135 *27433:B1 2.1502e-05 -182 *1260:135 *27434:A1 3.03009e-05 -183 *1260:135 *30875:A 0.000160057 -184 *1260:135 *1265:142 0.000113441 -185 *1260:135 *1365:16 0.000498716 -186 *1260:135 *2760:135 0.00231004 -187 *1260:135 *2791:66 5.00194e-05 -188 *1260:135 *2892:242 0.0006111 -189 *1260:135 *3170:245 0.000191851 -190 *1260:135 *3367:43 4.79414e-05 -191 *1260:135 *4149:57 0.000612944 -192 *1260:135 *5628:121 0.000620058 -193 *1260:135 *5633:72 0.000187659 -194 *1260:135 *5645:220 0.000224951 -195 *1260:135 *5824:286 9.09604e-05 -196 *1260:135 *6005:32 0.000177135 -197 *1260:161 *30875:A 0.000112725 -198 *1260:161 *3889:31 0.00107941 -199 *1260:161 *3995:58 0.000119061 -200 *1260:161 *5589:123 1.44355e-05 -201 *1260:161 *5628:98 0.00141809 -202 *1260:161 *5628:106 0.000213696 -203 *1260:161 *5892:39 0.000291352 -204 *1260:161 *5911:115 4.65519e-05 -205 *1260:184 *25061:A2 0.000300257 -206 *1260:184 *26932:A1 9.66977e-05 -207 *1260:184 *28516:CLK 0.000153231 -208 *1260:184 *1275:142 0.000226048 -209 *1260:184 *2771:171 0.00131632 -210 *1260:184 *2775:18 0.0010843 -211 *1260:184 *2775:28 0.000693117 -212 *1260:184 *4217:15 3.17684e-05 -213 *1260:184 *5589:123 5.73517e-05 -214 *1260:184 *5800:119 0.000199486 -215 *1260:184 *5911:115 0.000660018 -216 *1260:184 *6001:17 0.000133417 -217 *1260:188 *1274:157 0.000113647 -218 *1260:188 *1275:142 1.24231e-05 -219 *1260:188 *1371:51 0.000241124 -220 *1260:188 *2775:28 9.86618e-05 -221 *1260:188 *3722:8 4.44281e-05 -222 *1260:202 *1270:88 0.000305801 -223 *1260:202 *1275:142 0.00136472 -224 *1260:202 *1315:10 0.00132566 -225 *1260:202 *1371:51 2.48947e-05 -226 *1260:202 *2776:177 2.11543e-05 -227 *1260:202 *4151:32 0.000331777 -228 *1260:202 *5863:163 0 -229 *1260:202 *5892:40 7.33405e-05 -230 *25292:A2 *25290:A2 0.00026014 -231 *29861:A *1260:81 1.90936e-05 -232 *30051:A *24989:A2 4.87854e-05 -233 *30374:A *1260:91 9.41642e-05 -234 *794:23 *25042:A2 0.000144001 -235 *844:19 *25042:A2 8.92708e-06 -236 *1256:6 *1260:20 0.000215249 -237 *1256:76 *1260:35 7.07895e-05 -238 *1256:76 *1260:43 8.69554e-05 -239 *1256:76 *1260:58 0.00138112 -240 *1256:89 *25290:A2 8.16504e-05 -241 *1256:89 *1260:73 0.00168771 -*RES -1 *24934:Y *1260:7 15.5679 -2 *1260:7 *1260:20 48.9286 -3 *1260:20 *25378:A2 14.5411 -4 *1260:7 *1260:35 15.698 -5 *1260:35 *1260:43 16.8731 -6 *1260:43 *1260:47 45.5893 -7 *1260:47 *25192:A2 13.9473 -8 *1260:35 *1260:58 6.43993 -9 *1260:58 *1260:73 38.1185 -10 *1260:73 *1260:81 41.3962 -11 *1260:81 *1260:86 31.5982 -12 *1260:86 *1260:91 46.125 -13 *1260:91 *1260:102 20.9588 -14 *1260:102 *25237:A2 9.3 -15 *1260:102 *1260:118 49.2939 -16 *1260:118 *1260:135 21.5401 -17 *1260:135 *25042:A2 41.7904 -18 *1260:135 *1260:161 10.674 -19 *1260:161 *26991:A 19.1475 -20 *1260:161 *1260:184 47.2969 -21 *1260:184 *1260:188 7.32964 -22 *1260:188 *1260:202 43.622 -23 *1260:202 *25010:A2 28.5232 -24 *1260:188 *24989:A2 24.3641 -25 *1260:86 *25083:A2 21.5143 -26 *1260:58 *25290:A2 22.8192 -*END - -*D_NET *1261 0.123599 -*CONN -*I *25172:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25058:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *26973:A I *D sky130_fd_sc_hd__nand2_8 -*I *25141:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25365:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25239:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25319:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25020:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *24970:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *24935:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25172:A2 7.92262e-05 -2 *25058:B1 2.70324e-05 -3 *26973:A 0.00269562 -4 *25141:B1 0.000294696 -5 *25365:A2 0.000486523 -6 *25239:A2 0.000114737 -7 *25319:A2 0.000526639 -8 *25020:A2 0 -9 *24970:A2 0.000374343 -10 *24935:Y 0.00229128 -11 *1261:238 0.00381324 -12 *1261:227 0.00312625 -13 *1261:199 0.00390434 -14 *1261:177 0.00593072 -15 *1261:168 0.00578769 -16 *1261:163 0.00236472 -17 *1261:139 0.00158441 -18 *1261:119 0.00115293 -19 *1261:101 0.00285074 -20 *1261:64 0.00413143 -21 *1261:53 0.00393345 -22 *1261:41 0.00554743 -23 *1261:25 0.00560013 -24 *1261:11 0.00297352 -25 *24970:A2 *24970:B1 0.000127359 -26 *24970:A2 *24970:B2 5.33005e-05 -27 *24970:A2 *1367:43 7.34977e-05 -28 *24970:A2 *3696:5 1.58163e-05 -29 *24970:A2 *3696:12 5.83015e-05 -30 *24970:A2 *5656:240 0.000122429 -31 *24970:A2 *5863:163 2.21972e-05 -32 *24970:A2 *5910:122 2.10729e-05 -33 *24970:A2 *5910:123 0.000180079 -34 *25058:B1 *1826:188 6.9058e-05 -35 *25058:B1 *3967:29 7.82164e-05 -36 *25141:B1 *25085:A1 0.000337932 -37 *25141:B1 *25141:A1 4.78443e-05 -38 *25141:B1 *1462:10 8.25843e-06 -39 *25141:B1 *5777:53 0.000335503 -40 *25172:A2 *25172:A1 3.28686e-05 -41 *25172:A2 *25186:C1 1.24368e-05 -42 *25172:A2 *3692:58 8.05478e-05 -43 *25172:A2 *5631:71 8.85276e-05 -44 *25239:A2 *27360:A1 1.49949e-05 -45 *25239:A2 *2875:302 0.000128752 -46 *25239:A2 *2882:260 0.000111694 -47 *25239:A2 *2888:213 6.25005e-05 -48 *25319:A2 *25319:B2 5.33005e-05 -49 *25319:A2 *27465:B2 0.000531228 -50 *25319:A2 *1269:247 0.000688506 -51 *25319:A2 *1638:18 0.000853991 -52 *25319:A2 *3690:23 3.63587e-05 -53 *25319:A2 *3690:46 0.000160897 -54 *25365:A2 *25366:B2 1.19096e-05 -55 *25365:A2 *25366:C1 0.000104781 -56 *25365:A2 *1288:66 1.58163e-05 -57 *25365:A2 *1288:77 3.83904e-05 -58 *26973:A *25059:B2 0.000597749 -59 *26973:A *25060:B1 5.34843e-05 -60 *26973:A *26901:B 4.66075e-05 -61 *26973:A *26907:A1 4.84073e-05 -62 *26973:A *1275:195 0.000207375 -63 *26973:A *1826:188 0.000111369 -64 *26973:A *2761:19 0.000238329 -65 *26973:A *2761:38 0.000253724 -66 *26973:A *2770:97 0 -67 *26973:A *3164:319 0.00183445 -68 *26973:A *3165:85 0 -69 *26973:A *3378:8 2.11419e-05 -70 *26973:A *3967:29 0.000109869 -71 *26973:A *4071:11 0.000519854 -72 *26973:A *4071:15 0.000352753 -73 *26973:A *4124:19 0.000139254 -74 *26973:A *5176:11 0.000844104 -75 *26973:A *5645:235 0 -76 *26973:A *5651:357 7.25746e-05 -77 *26973:A *5703:42 9.00864e-05 -78 *26973:A *5910:69 0.00031032 -79 *26973:A *5910:79 0.000129147 -80 *1261:11 *27101:S 0.000103851 -81 *1261:11 *1456:125 0.000843638 -82 *1261:11 *1700:20 7.05867e-05 -83 *1261:11 *3596:18 0.000178425 -84 *1261:11 *3998:46 0.000143883 -85 *1261:11 *4146:84 0.000109132 -86 *1261:11 *5708:117 2.42516e-05 -87 *1261:11 *5748:15 0.000152264 -88 *1261:25 *6654:DIODE 4.48128e-05 -89 *1261:25 *25249:A1 0.000177545 -90 *1261:25 *25249:B2 0.000213988 -91 *1261:25 *28539:CLK 9.62476e-05 -92 *1261:25 *1286:26 1.46617e-05 -93 *1261:25 *1456:134 0.000128437 -94 *1261:25 *3846:34 0.000170498 -95 *1261:25 *3899:40 4.11218e-05 -96 *1261:25 *3992:54 0.000171213 -97 *1261:25 *5660:166 0.0014178 -98 *1261:25 *5708:141 3.99173e-05 -99 *1261:41 *25066:B1 0.000269915 -100 *1261:41 *25325:A 1.90936e-05 -101 *1261:41 *27467:A2 0.000168934 -102 *1261:41 *28752:CLK 1.07167e-05 -103 *1261:41 *1281:145 0 -104 *1261:41 *1286:26 1.37742e-05 -105 *1261:41 *1638:36 0.000344615 -106 *1261:41 *2760:109 0 -107 *1261:41 *2848:233 0.000531304 -108 *1261:41 *2859:214 6.18371e-05 -109 *1261:41 *2894:237 0.000219695 -110 *1261:41 *2894:280 0.000929159 -111 *1261:41 *2894:303 9.62755e-06 -112 *1261:41 *2922:27 0.0006874 -113 *1261:41 *2958:34 0.000744284 -114 *1261:41 *3677:38 0 -115 *1261:41 *3678:76 0.000478708 -116 *1261:41 *3730:28 0.000175236 -117 *1261:41 *3912:58 5.13156e-05 -118 *1261:41 *4107:40 1.74932e-05 -119 *1261:41 *4121:77 0.0002682 -120 *1261:41 *4146:27 4.8817e-05 -121 *1261:41 *5596:47 2.13778e-05 -122 *1261:41 *5603:43 0.000109651 -123 *1261:41 *5645:91 0.000933012 -124 *1261:41 *5645:104 0.000386161 -125 *1261:41 *5663:69 4.52328e-05 -126 *1261:41 *5764:156 0.000136399 -127 *1261:41 *5781:42 0 -128 *1261:53 *27465:B2 0.000763162 -129 *1261:53 *1269:247 0.000762815 -130 *1261:53 *1638:36 0.0023587 -131 *1261:53 *2848:233 0.00131055 -132 *1261:53 *2855:311 4.04292e-05 -133 *1261:53 *2877:237 1.92903e-05 -134 *1261:53 *3177:61 4.19535e-05 -135 *1261:53 *3690:46 0.000671198 -136 *1261:53 *3917:22 0.000132707 -137 *1261:53 *4125:42 1.4477e-06 -138 *1261:53 *5633:63 0.000130577 -139 *1261:53 *5645:190 9.49246e-06 -140 *1261:64 *27840:D 0.000241489 -141 *1261:64 *2774:180 0.000241489 -142 *1261:64 *5642:82 0.000222554 -143 *1261:64 *5656:261 2.01997e-05 -144 *1261:64 *5863:163 0 -145 *1261:64 *5872:25 0.00123923 -146 *1261:64 *5910:114 0.000638668 -147 *1261:101 *24970:B1 2.97812e-05 -148 *1261:101 *26981:S 5.33005e-05 -149 *1261:101 *27026:A1 6.71327e-05 -150 *1261:101 *1274:172 0.000278349 -151 *1261:101 *2763:108 0.000381864 -152 *1261:101 *2776:139 0.00071864 -153 *1261:101 *3812:14 4.5539e-05 -154 *1261:101 *5602:100 0.000133441 -155 *1261:101 *5642:82 4.30573e-05 -156 *1261:101 *5675:338 0.000289244 -157 *1261:101 *5872:19 0 -158 *1261:101 *5910:122 1.60335e-05 -159 *1261:119 *25020:B1 5.30167e-05 -160 *1261:119 *25020:B2 5.49589e-06 -161 *1261:119 *2772:189 0.000327426 -162 *1261:119 *2775:50 0.000924396 -163 *1261:119 *5528:47 0.00161073 -164 *1261:119 *5529:35 8.32242e-05 -165 *1261:119 *5529:46 4.25652e-05 -166 *1261:119 *5608:78 3.69047e-06 -167 *1261:139 *1286:26 0 -168 *1261:139 *2877:349 0.00137538 -169 *1261:139 *3678:76 0.001628 -170 *1261:163 *27335:B1 0.000240314 -171 *1261:163 *2875:302 1.19751e-05 -172 *1261:163 *2877:349 0.000271371 -173 *1261:163 *2889:92 5.85763e-05 -174 *1261:163 *2922:20 4.95962e-05 -175 *1261:163 *3176:267 0.000218409 -176 *1261:163 *3678:76 0.000105899 -177 *1261:163 *3796:79 0.000301775 -178 *1261:163 *3899:40 0.000963634 -179 *1261:163 *5633:164 0.000216755 -180 *1261:163 *5663:126 0.00021869 -181 *1261:163 *5759:18 0.000108716 -182 *1261:168 *25230:B2 1.721e-05 -183 *1261:168 *25237:A1 3.68776e-05 -184 *1261:168 *27021:A0 0.000100474 -185 *1261:168 *27120:A1 0.000142372 -186 *1261:168 *27358:A2 9.99778e-05 -187 *1261:168 *27359:A2 0.000351482 -188 *1261:168 *30388:A 6.37408e-06 -189 *1261:168 *1556:23 0.000189676 -190 *1261:168 *2760:163 0.000382581 -191 *1261:168 *2888:232 0.00110512 -192 *1261:168 *2933:12 0.00011537 -193 *1261:168 *3678:60 0.000532359 -194 *1261:168 *3691:34 0.00161406 -195 *1261:168 *3912:11 5.31337e-05 -196 *1261:168 *5633:103 0.000773349 -197 *1261:168 *5663:138 0.000831623 -198 *1261:168 *5764:230 0.000110498 -199 *1261:177 *25206:A 0.00121437 -200 *1261:177 *25206:C 0.00121602 -201 *1261:177 *25243:B1 0 -202 *1261:177 *26978:S 6.35819e-05 -203 *1261:177 *28675:D 8.21056e-05 -204 *1261:177 *1267:83 0 -205 *1261:177 *1293:149 0.00285973 -206 *1261:177 *2773:118 2.22327e-05 -207 *1261:177 *5638:130 8.21056e-05 -208 *1261:177 *5646:232 6.35819e-05 -209 *1261:177 *5879:66 3.73917e-05 -210 *1261:199 *6485:DIODE 7.15905e-06 -211 *1261:199 *25366:A2 0.000233683 -212 *1261:199 *27032:A0 1.39841e-05 -213 *1261:199 *27405:A1 1.21258e-05 -214 *1261:199 *27405:A2 0.000126032 -215 *1261:199 *27405:B2 5.33005e-05 -216 *1261:199 *27763:A1 8.20109e-05 -217 *1261:199 *27763:C1 0.000224786 -218 *1261:199 *28574:CLK 0 -219 *1261:199 *1286:51 2.0044e-05 -220 *1261:199 *1288:66 1.04232e-05 -221 *1261:199 *1293:149 0.000725546 -222 *1261:199 *1682:12 0.000301438 -223 *1261:199 *2775:129 0.000180219 -224 *1261:199 *2852:161 0.000231043 -225 *1261:199 *2872:299 5.48896e-05 -226 *1261:199 *3953:6 0.000110948 -227 *1261:199 *3979:32 0.000219015 -228 *1261:199 *4070:39 0.000135028 -229 *1261:199 *4096:48 0.000127758 -230 *1261:199 *5650:297 8.87109e-05 -231 *1261:199 *5652:61 0.000177545 -232 *1261:199 *5704:18 0.000153024 -233 *1261:199 *5836:298 0.000182757 -234 *1261:227 *27057:S 0.000217694 -235 *1261:227 *27144:B 0.00133219 -236 *1261:227 *1269:128 0.000313699 -237 *1261:227 *1293:149 0.000285091 -238 *1261:227 *2760:163 0.000248164 -239 *1261:227 *3692:58 0.000412822 -240 *1261:227 *3887:41 4.37712e-06 -241 *1261:227 *4147:46 0 -242 *1261:227 *5587:130 0.000417944 -243 *1261:227 *5628:185 1.70804e-05 -244 *1261:227 *5631:71 0.000411263 -245 *1261:227 *5633:103 0.000240751 -246 *1261:227 *5654:94 4.37712e-06 -247 *1261:227 *5873:289 0.000123388 -248 *1261:238 *25172:B1 0.000403713 -249 *1261:238 *1275:195 8.73161e-05 -250 *1261:238 *1506:17 5.33005e-05 -251 *1261:238 *2761:53 0.000913108 -252 *1261:238 *3991:38 2.06178e-05 -253 *1261:238 *5607:92 5.33005e-05 -254 *1261:238 *5607:102 1.90936e-05 -255 *25085:A2 *1261:177 0.000106398 -256 *25141:A2 *25141:B1 1.46576e-05 -257 *25318:A2 *1261:41 3.92033e-05 -258 *30042:A *1261:101 0.000153047 -259 *30419:A *1261:11 0.000551265 -260 *30512:A *1261:25 0.00018372 -261 *1246:146 *1261:227 0.000679768 -262 *1256:135 *1261:199 9.08889e-06 -263 *1258:33 *1261:177 1.07585e-05 -*RES -1 *24935:Y *1261:11 48.425 -2 *1261:11 *1261:25 37.4668 -3 *1261:25 *1261:41 49.7002 -4 *1261:41 *1261:53 29.7235 -5 *1261:53 *1261:64 23.0202 -6 *1261:64 *24970:A2 21.0201 -7 *1261:64 *1261:101 41.6908 -8 *1261:101 *1261:119 42.9062 -9 *1261:119 *25020:A2 9.3 -10 *1261:53 *25319:A2 24.3714 -11 *1261:25 *1261:139 3.6472 -12 *1261:139 *25239:A2 21.7311 -13 *1261:139 *1261:163 31.3316 -14 *1261:163 *1261:168 46.625 -15 *1261:168 *1261:177 30.133 -16 *1261:177 *1261:199 46.3065 -17 *1261:199 *25365:A2 15.5143 -18 *1261:177 *25141:B1 23.9154 -19 *1261:168 *1261:227 20.8135 -20 *1261:227 *1261:238 27.596 -21 *1261:238 *26973:A 47.4808 -22 *1261:238 *25058:B1 14.8357 -23 *1261:227 *25172:A2 15.7286 -*END - -*D_NET *1262 0.121622 -*CONN -*I *25337:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25021:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *24990:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *26892:A I *D sky130_fd_sc_hd__nand2_8 -*I *6633:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25362:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25043:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25230:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25130:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25196:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *24936:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25337:A2 0.00142302 -2 *25021:B1 0 -3 *24990:A2 0.00115647 -4 *26892:A 9.29238e-05 -5 *6633:DIODE 5.41192e-05 -6 *25362:A2 3.21303e-05 -7 *25043:A2 8.59496e-05 -8 *25230:B1 0 -9 *25130:A2 2.23367e-05 -10 *25196:A2 0.000283834 -11 *24936:Y 0 -12 *1262:224 0.00105576 -13 *1262:220 0.00162389 -14 *1262:191 0.00568958 -15 *1262:181 0.00125416 -16 *1262:172 0.00685844 -17 *1262:160 0.00357157 -18 *1262:139 0.000165337 -19 *1262:135 0.00292168 -20 *1262:128 0.00455708 -21 *1262:100 0.00389894 -22 *1262:97 0.00534814 -23 *1262:80 0.0036045 -24 *1262:66 0.00407766 -25 *1262:53 0.0037846 -26 *1262:28 0.00207378 -27 *1262:25 0.00161431 -28 *1262:19 0.00320065 -29 *1262:4 0.00375313 -30 *6633:DIODE *3673:15 8.4644e-05 -31 *6633:DIODE *3946:30 0.000178425 -32 *24990:A2 *6476:DIODE 3.3254e-05 -33 *24990:A2 *24990:B1 1.84293e-05 -34 *24990:A2 *24990:C1 0.000364705 -35 *24990:A2 *1317:30 1.94879e-05 -36 *24990:A2 *2768:22 0 -37 *24990:A2 *3826:60 0.000238126 -38 *24990:A2 *3865:44 0.000240183 -39 *24990:A2 *5675:34 0 -40 *25043:A2 *25043:B2 2.15824e-05 -41 *25043:A2 *1365:16 5.33005e-05 -42 *25043:A2 *3165:74 9.91086e-05 -43 *25043:A2 *4123:33 9.91086e-05 -44 *25130:A2 *25130:A1 7.41177e-05 -45 *25130:A2 *3552:27 7.41177e-05 -46 *25196:A2 *25076:A1 8.85712e-05 -47 *25196:A2 *25196:A1 1.64753e-05 -48 *25196:A2 *25196:B1 1.58163e-05 -49 *25196:A2 *25196:B2 4.90004e-05 -50 *25196:A2 *2874:290 7.48301e-06 -51 *25196:A2 *3740:41 0.000637725 -52 *25337:A2 *25220:A2 0.000100908 -53 *25337:A2 *25337:A1 0.000239721 -54 *25337:A2 *26894:A0 0.0002925 -55 *25337:A2 *28926:A 6.99877e-05 -56 *25337:A2 *1268:53 0.000122997 -57 *25337:A2 *1275:16 5.4961e-05 -58 *25337:A2 *2855:175 0.000616361 -59 *25337:A2 *2879:67 0.0001289 -60 *25337:A2 *3185:92 0.000665946 -61 *25337:A2 *5627:69 0.000202536 -62 *25337:A2 *5661:210 0.00060947 -63 *25362:A2 *3673:15 2.84109e-05 -64 *25362:A2 *3946:30 5.33005e-05 -65 *25362:A2 *3946:32 1.04232e-05 -66 *25362:A2 *3946:45 3.57366e-05 -67 *26892:A *26892:B 0.000146474 -68 *26892:A *2880:108 4.66299e-05 -69 *26892:A *3939:51 0.000240484 -70 *1262:19 *25104:A1 0.000214487 -71 *1262:19 *25107:A1 5.43441e-05 -72 *1262:19 *1268:37 9.64511e-05 -73 *1262:19 *1420:40 3.77992e-05 -74 *1262:19 *1494:38 2.6847e-05 -75 *1262:19 *1657:70 2.63501e-05 -76 *1262:19 *3173:158 0.000307952 -77 *1262:19 *3875:93 6.17251e-05 -78 *1262:19 *5587:17 0.000603271 -79 *1262:19 *5641:70 1.97609e-05 -80 *1262:19 *5713:37 0 -81 *1262:19 *5861:34 0.000238077 -82 *1262:25 *25196:B2 5.4528e-05 -83 *1262:25 *25196:C1 1.34223e-05 -84 *1262:25 *1282:159 0.00314997 -85 *1262:25 *1282:174 2.04745e-05 -86 *1262:25 *1494:38 0.000121549 -87 *1262:25 *1781:25 2.35126e-05 -88 *1262:25 *3875:93 6.03167e-05 -89 *1262:25 *3875:100 0.00306877 -90 *1262:25 *3927:20 6.62339e-05 -91 *1262:28 *1781:25 6.99087e-05 -92 *1262:28 *3888:12 2.49484e-05 -93 *1262:28 *5832:8 0.00011435 -94 *1262:53 *1269:21 1.8995e-06 -95 *1262:53 *1719:12 0.0037373 -96 *1262:53 *1781:25 0.000221984 -97 *1262:53 *2874:305 0.00154844 -98 *1262:53 *3784:79 0.000813981 -99 *1262:53 *4088:39 4.11218e-05 -100 *1262:53 *5832:8 8.22391e-05 -101 *1262:66 *25130:A1 0.000208506 -102 *1262:66 *25370:A2 0.00144015 -103 *1262:66 *27757:A1 0.000346906 -104 *1262:66 *1672:32 0.000222885 -105 *1262:66 *2853:262 0.00022093 -106 *1262:66 *2885:178 4.19235e-05 -107 *1262:66 *3552:27 0.000219289 -108 *1262:66 *3597:18 0.000177545 -109 *1262:66 *3810:47 0.00022117 -110 *1262:80 *28492:CLK 0.000135405 -111 *1262:80 *1269:110 0.000534243 -112 *1262:80 *1271:191 0 -113 *1262:80 *1549:14 0.000962007 -114 *1262:80 *1557:16 0.000146266 -115 *1262:80 *2779:90 0.000396836 -116 *1262:80 *2784:88 6.06399e-05 -117 *1262:80 *2855:189 9.16574e-05 -118 *1262:80 *2885:175 0.000104587 -119 *1262:80 *5589:57 0.001045 -120 *1262:80 *5629:166 0.000133683 -121 *1262:80 *5661:245 0.000181022 -122 *1262:80 *6247:18 0.000183109 -123 *1262:97 *25230:A1 0.000244658 -124 *1262:97 *27388:A2 8.48827e-06 -125 *1262:97 *27388:B1 0.000339346 -126 *1262:97 *31010:A 0.000112985 -127 *1262:97 *1514:18 7.83587e-05 -128 *1262:97 *1549:14 0.000187103 -129 *1262:97 *2787:161 0.000478028 -130 *1262:97 *3679:39 7.93145e-05 -131 *1262:97 *3679:55 1.21258e-05 -132 *1262:97 *3913:33 7.6644e-05 -133 *1262:97 *4030:36 0.000300412 -134 *1262:97 *5589:57 8.43535e-06 -135 *1262:100 *25062:A2 7.13226e-06 -136 *1262:100 *25062:B2 5.97367e-05 -137 *1262:100 *25062:C1 0.000244658 -138 *1262:100 *28881:A 0.000546198 -139 *1262:100 *2853:292 0.000134753 -140 *1262:100 *2883:220 0.000301382 -141 *1262:100 *3679:29 0.000142531 -142 *1262:100 *3705:61 0.000998232 -143 *1262:100 *5589:96 3.54382e-05 -144 *1262:100 *5589:123 8.45157e-05 -145 *1262:128 *24936:B 0.000257619 -146 *1262:128 *24963:A 0.000204318 -147 *1262:128 *25220:A2 5.79562e-05 -148 *1262:128 *25236:A2 0.000194005 -149 *1262:128 *27674:A1 9.12147e-06 -150 *1262:128 *30760:A 6.44554e-05 -151 *1262:128 *1265:40 1.94879e-05 -152 *1262:128 *1289:7 0.000105471 -153 *1262:128 *1419:11 0.000187982 -154 *1262:128 *1555:11 0.000502016 -155 *1262:128 *3751:30 2.09826e-05 -156 *1262:128 *5582:10 8.46325e-05 -157 *1262:128 *5584:13 0.000300137 -158 *1262:128 *5587:17 0.000695709 -159 *1262:135 *25224:C 0.000490699 -160 *1262:135 *1275:16 3.35973e-05 -161 *1262:135 *1275:26 0.000194375 -162 *1262:135 *1419:24 0.00024516 -163 *1262:135 *2877:179 0.000107151 -164 *1262:135 *3184:118 3.77315e-05 -165 *1262:135 *3664:16 1.79144e-05 -166 *1262:135 *3751:30 0.000868082 -167 *1262:135 *3797:68 0.000482164 -168 *1262:135 *5627:69 0 -169 *1262:139 *25224:C 0.000215455 -170 *1262:139 *3797:68 0.00021717 -171 *1262:160 *3821:19 0.00010326 -172 *1262:160 *3847:64 0.000101545 -173 *1262:160 *5650:51 0.00112363 -174 *1262:172 *27373:A1 0.000713031 -175 *1262:172 *27373:B2 0.00075685 -176 *1262:172 *1490:26 6.35864e-05 -177 *1262:172 *2867:193 6.35864e-05 -178 *1262:172 *2871:206 0.000221679 -179 *1262:172 *2978:36 3.93105e-05 -180 *1262:172 *3574:75 2.18902e-05 -181 *1262:172 *3874:26 8.64454e-05 -182 *1262:172 *3900:48 8.83765e-05 -183 *1262:172 *5505:64 0.000924908 -184 *1262:172 *5651:129 9.11825e-07 -185 *1262:172 *5656:68 0.000316431 -186 *1262:181 *27373:A1 0 -187 *1262:181 *2760:12 0.0010764 -188 *1262:181 *2760:26 0.000883024 -189 *1262:181 *2791:117 0.000437251 -190 *1262:181 *2844:220 0.000554643 -191 *1262:181 *2845:211 0.000228162 -192 *1262:181 *3574:75 4.26001e-05 -193 *1262:181 *3627:12 1.27625e-05 -194 *1262:181 *5654:55 0.000554643 -195 *1262:181 *5718:315 0.00225299 -196 *1262:181 *5718:345 0.000176157 -197 *1262:191 *6617:DIODE 0.000103211 -198 *1262:191 *2788:39 0.0008657 -199 *1262:191 *3574:75 5.89085e-05 -200 *1262:191 *3721:50 0.000303087 -201 *1262:191 *3916:34 2.55806e-05 -202 *1262:191 *5627:162 0.000153885 -203 *1262:220 *27202:A0 9.41642e-05 -204 *1262:220 *2859:331 0.000148903 -205 *1262:220 *3009:9 0.000438644 -206 *1262:220 *3177:59 0.000157364 -207 *1262:220 *3177:61 0.000171319 -208 *1262:220 *5647:39 1.77769e-05 -209 *1262:220 *5647:41 0.000104793 -210 *1262:220 *5926:105 9.41642e-05 -211 *1262:224 *26899:A1 0.000195198 -212 *1262:224 *3009:9 0.00010161 -213 *1262:224 *3177:36 0.00261717 -214 *1262:224 *3177:59 0.000117189 -215 *1262:224 *3708:68 2.93959e-05 -216 *1262:224 *3993:14 0.000452462 -217 *1262:224 *5647:39 0.00277789 -218 *1262:224 *5678:71 2.04825e-05 -219 *1262:224 *5755:192 2.04825e-05 -220 *24936:A *1262:19 4.87953e-05 -221 *24936:A *1262:128 6.26177e-05 -222 *29698:A *1262:100 0.000107946 -223 *1225:33 *1262:172 0 -224 *1233:21 *1262:19 2.16696e-06 -225 *1235:148 *1262:53 0.000662361 -226 *1250:20 *1262:135 0.000317022 -227 *1252:134 *1262:172 0.000134865 -228 *1256:113 *1262:80 0 -*RES -1 *24936:Y *1262:4 9.3 -2 *1262:4 *1262:19 29.869 -3 *1262:19 *1262:25 47.3471 -4 *1262:25 *1262:28 4.90107 -5 *1262:28 *25196:A2 20.8402 -6 *1262:28 *1262:53 27.6855 -7 *1262:53 *25130:A2 10.0411 -8 *1262:53 *1262:66 46.1964 -9 *1262:66 *1262:80 34.8485 -10 *1262:80 *25230:B1 9.3 -11 *1262:80 *1262:97 33.1071 -12 *1262:97 *1262:100 49.3929 -13 *1262:100 *25043:A2 16.1036 -14 *1262:4 *1262:128 41.5937 -15 *1262:128 *1262:135 16.0336 -16 *1262:135 *1262:139 7.35714 -17 *1262:139 *25362:A2 10.2643 -18 *1262:139 *6633:DIODE 11.0679 -19 *1262:135 *1262:160 36.7321 -20 *1262:160 *1262:172 37.1872 -21 *1262:172 *1262:181 48.3571 -22 *1262:181 *26892:A 21.4607 -23 *1262:172 *1262:191 44.4821 -24 *1262:191 *24990:A2 30.7289 -25 *1262:191 *1262:220 25.8661 -26 *1262:220 *1262:224 44.2411 -27 *1262:224 *25021:B1 9.3 -28 *1262:128 *25337:A2 32.3154 -*END - -*D_NET *1263 0.11536 -*CONN -*I *25744:B I *D sky130_fd_sc_hd__or4_4 -*I *25113:B I *D sky130_fd_sc_hd__nor2_4 -*I *24961:B I *D sky130_fd_sc_hd__nor2_8 -*I *25155:B I *D sky130_fd_sc_hd__nor2_8 -*I *6644:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25694:A1 I *D sky130_fd_sc_hd__o221a_4 -*I *25120:B I *D sky130_fd_sc_hd__nor2_4 -*I *24938:B I *D sky130_fd_sc_hd__nor2_8 -*I *25106:B I *D sky130_fd_sc_hd__nor2_8 -*I *25134:B I *D sky130_fd_sc_hd__nor2_4 -*I *24937:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *25744:B 0.000766558 -2 *25113:B 0.000689816 -3 *24961:B 0.000560997 -4 *25155:B 0.000382009 -5 *6644:DIODE 0 -6 *25694:A1 0.000319471 -7 *25120:B 3.04135e-05 -8 *24938:B 0 -9 *25106:B 0.00032012 -10 *25134:B 0 -11 *24937:Y 0.000288447 -12 *1263:218 0.00145637 -13 *1263:216 0.00414272 -14 *1263:181 0.00160975 -15 *1263:175 0.00400918 -16 *1263:163 0.00915448 -17 *1263:122 0.00375353 -18 *1263:88 0.00575385 -19 *1263:64 0.00237694 -20 *1263:62 0.000885014 -21 *1263:50 0.00400735 -22 *1263:49 0.00397682 -23 *1263:41 0.00120619 -24 *1263:36 0.00327539 -25 *1263:28 0.00562538 -26 *1263:8 0.00442691 -27 *24961:B *25863:A1 0 -28 *24961:B *3626:34 9.69403e-05 -29 *24961:B *3710:18 0.000993098 -30 *24961:B *5719:98 0.00074942 -31 *24961:B *5778:49 0.000184978 -32 *24961:B *5850:10 7.73022e-05 -33 *25106:B *25760:A0 0.000354565 -34 *25106:B *28019:A1 9.41642e-05 -35 *25106:B *28284:D 0 -36 *25106:B *3653:22 1.59145e-05 -37 *25106:B *5705:44 0.000164253 -38 *25113:B *25156:A1 2.59355e-05 -39 *25113:B *25156:A2 6.05161e-06 -40 *25113:B *25156:B1 8.43535e-06 -41 *25120:B *25405:D 5.33005e-05 -42 *25155:B *1829:25 8.85631e-05 -43 *25155:B *3710:18 0.000696259 -44 *25155:B *4156:46 0.000431286 -45 *25155:B *5719:98 0.000242806 -46 *25694:A1 *25694:A2 5.75764e-05 -47 *25694:A1 *5583:37 0.000266299 -48 *25694:A1 *5666:262 4.82947e-05 -49 *25744:B *25084:A 0.00046908 -50 *25744:B *25156:A2 0.000241502 -51 *25744:B *25744:C 6.33936e-05 -52 *25744:B *1476:238 0.000140675 -53 *1263:8 *1399:81 4.53813e-05 -54 *1263:8 *3762:42 4.21517e-05 -55 *1263:28 *25104:B2 0.00036978 -56 *1263:28 *27304:A1 0.000512494 -57 *1263:28 *1360:72 8.76591e-06 -58 *1263:28 *1395:126 5.16732e-06 -59 *1263:28 *1443:12 0.00139185 -60 *1263:28 *1615:14 0.000889055 -61 *1263:28 *1685:40 1.57693e-05 -62 *1263:28 *2867:149 0.000261308 -63 *1263:28 *3173:158 0.000511444 -64 *1263:28 *3182:134 0.00139628 -65 *1263:28 *3413:41 0.000167028 -66 *1263:28 *3868:73 9.12718e-05 -67 *1263:28 *4012:39 0.000398889 -68 *1263:28 *5605:99 0.0013858 -69 *1263:28 *5729:249 0.00017655 -70 *1263:28 *5909:44 0.000396797 -71 *1263:36 *1273:203 0.000142096 -72 *1263:36 *1277:33 8.89112e-05 -73 *1263:36 *1395:126 0 -74 *1263:36 *1401:95 0.000827085 -75 *1263:36 *1442:14 0.000158398 -76 *1263:36 *1480:17 3.47641e-06 -77 *1263:36 *1615:14 0.00226739 -78 *1263:36 *1644:36 0 -79 *1263:36 *1844:16 0.000418985 -80 *1263:36 *3220:44 1.91949e-05 -81 *1263:36 *3875:73 9.63301e-06 -82 *1263:36 *4186:20 0 -83 *1263:36 *5217:14 0 -84 *1263:36 *5687:58 7.59802e-06 -85 *1263:41 *3875:73 1.99815e-05 -86 *1263:41 *5217:14 0 -87 *1263:41 *5666:262 4.96113e-05 -88 *1263:49 *1440:19 8.70568e-05 -89 *1263:49 *2852:191 0.00035364 -90 *1263:49 *3875:73 0.00104903 -91 *1263:49 *5217:14 0 -92 *1263:50 *25184:B2 8.40344e-05 -93 *1263:50 *25269:A2 0.000150854 -94 *1263:50 *25330:A1 0 -95 *1263:50 *25410:B1 0.000117214 -96 *1263:50 *27037:A1 0.000316953 -97 *1263:50 *28436:RESET_B 0.000115718 -98 *1263:50 *28610:CLK 0.000107425 -99 *1263:50 *30786:A 0.000185447 -100 *1263:50 *30791:A 0.000140022 -101 *1263:50 *1416:21 5.6876e-05 -102 *1263:50 *1416:31 6.30931e-05 -103 *1263:50 *1416:53 0.000187195 -104 *1263:50 *2834:52 5.41794e-05 -105 *1263:50 *2875:63 1.03816e-05 -106 *1263:50 *2894:44 5.07851e-05 -107 *1263:50 *3568:99 0.000726921 -108 *1263:50 *3568:168 0.00140298 -109 *1263:50 *3568:178 0.00108169 -110 *1263:50 *3875:73 0 -111 *1263:50 *5622:22 4.16231e-05 -112 *1263:50 *5947:56 1.90936e-05 -113 *1263:62 *25953:A1 0 -114 *1263:62 *1453:17 0.000582281 -115 *1263:62 *1600:41 0.000127359 -116 *1263:62 *3460:21 1.2012e-05 -117 *1263:62 *3648:91 7.37499e-05 -118 *1263:62 *3875:51 0.00129673 -119 *1263:62 *5620:46 8.3e-05 -120 *1263:62 *6317:85 2.05803e-05 -121 *1263:64 *3648:91 0.000172607 -122 *1263:64 *5620:46 0.000172607 -123 *1263:88 *25150:B 5.62602e-05 -124 *1263:88 *25939:A0 0.00037262 -125 *1263:88 *25939:A1 5.33005e-05 -126 *1263:88 *25941:S 8.66165e-05 -127 *1263:88 *30020:A 9.41642e-05 -128 *1263:88 *1264:17 4.96113e-05 -129 *1263:88 *1453:17 0.00122106 -130 *1263:88 *1471:12 3.22265e-05 -131 *1263:88 *1471:37 3.22121e-05 -132 *1263:88 *1714:23 0 -133 *1263:88 *1898:49 0.000351291 -134 *1263:88 *3875:51 1.64276e-05 -135 *1263:88 *5041:23 0.000252798 -136 *1263:88 *5154:10 8.6229e-06 -137 *1263:88 *5624:81 0.000793914 -138 *1263:122 *24938:A 1.00733e-05 -139 *1263:122 *25398:A1 0.000392575 -140 *1263:122 *25760:A0 4.51726e-05 -141 *1263:122 *27869:B1 1.94879e-05 -142 *1263:122 *28019:A1 1.90936e-05 -143 *1263:122 *28834:D 5.33334e-05 -144 *1263:122 *29180:A 0.000226671 -145 *1263:122 *30570:A 0.000478108 -146 *1263:122 *1264:17 3.08382e-06 -147 *1263:122 *1430:29 0.000162571 -148 *1263:122 *1471:43 9.4688e-06 -149 *1263:122 *1471:56 0.000279744 -150 *1263:122 *3082:13 0.00055361 -151 *1263:122 *3661:31 8.96255e-06 -152 *1263:122 *3671:28 0.00220075 -153 *1263:122 *3805:47 9.26187e-05 -154 *1263:122 *4257:15 0.000112076 -155 *1263:122 *4336:11 0.00326496 -156 *1263:122 *4947:16 2.11419e-05 -157 *1263:122 *5052:46 0 -158 *1263:122 *5125:19 9.77021e-06 -159 *1263:122 *5488:79 0.000187964 -160 *1263:122 *5493:14 0.000134954 -161 *1263:122 *5624:81 1.38323e-05 -162 *1263:122 *5624:94 0.000197045 -163 *1263:122 *5665:31 0.000230035 -164 *1263:122 *5665:48 2.28222e-05 -165 *1263:122 *5699:156 6.42122e-06 -166 *1263:122 *6055:13 6.81786e-05 -167 *1263:122 *6324:17 8.23598e-05 -168 *1263:163 *25040:B 5.83386e-05 -169 *1263:163 *1362:5 4.08637e-05 -170 *1263:163 *1362:15 0.000343803 -171 *1263:163 *1399:81 5.59925e-05 -172 *1263:163 *1422:52 4.78573e-05 -173 *1263:163 *3209:117 6.81459e-05 -174 *1263:163 *3762:42 6.39808e-05 -175 *1263:163 *5630:110 8.35944e-05 -176 *1263:175 *3480:11 0.000426209 -177 *1263:175 *3480:23 0.000918365 -178 *1263:175 *3752:22 7.62718e-05 -179 *1263:175 *4087:22 7.79937e-05 -180 *1263:175 *5866:17 0.00186141 -181 *1263:181 *29906:A 0.000220935 -182 *1263:181 *3165:343 0.000423607 -183 *1263:181 *4117:25 0.000135028 -184 *1263:216 *25233:A2 0.00011373 -185 *1263:216 *1284:55 0.000187274 -186 *1263:216 *2856:210 0.000208079 -187 *1263:216 *3687:40 0 -188 *1263:216 *3689:57 6.60857e-05 -189 *1263:216 *3752:22 4.38265e-05 -190 *1263:216 *3754:8 0.00168589 -191 *1263:216 *3754:16 0.00103475 -192 *1263:216 *3910:73 0 -193 *1263:216 *4065:53 0 -194 *1263:216 *4077:29 0.00115796 -195 *1263:216 *4087:22 3.73407e-05 -196 *1263:216 *5301:27 0.000380383 -197 *1263:216 *5634:170 0.00022129 -198 *1263:216 *5651:176 9.93737e-06 -199 *24910:A *1263:62 3.74262e-05 -200 *29382:A *1263:88 0.000174228 -201 *31014:A *1263:50 4.15526e-05 -202 *451:12 *1263:88 8.58829e-05 -203 *568:21 *25106:B 0.000729713 -204 *1182:42 *1263:50 0 -205 *1185:106 *1263:8 0.000468724 -206 *1207:11 *1263:181 0.000509949 -207 *1227:30 *1263:50 0.00181387 -208 *1227:42 *1263:50 0.000558508 -209 *1227:44 *1263:50 0.00252542 -210 *1235:52 *1263:50 3.79922e-05 -211 *1242:9 *1263:49 0.000184048 -212 *1257:26 *1263:36 0.000170436 -213 *1257:99 *1263:88 3.59825e-05 -*RES -1 *24937:Y *1263:8 19.0232 -2 *1263:8 *1263:28 45.431 -3 *1263:28 *1263:36 15.2791 -4 *1263:36 *1263:41 6.48214 -5 *1263:41 *1263:49 31 -6 *1263:49 *1263:50 80.7232 -7 *1263:50 *1263:62 17.7446 -8 *1263:62 *1263:64 2.25 -9 *1263:64 *25134:B 13.8 -10 *1263:64 *1263:88 39.9295 -11 *1263:88 *1263:122 49.7962 -12 *1263:122 *25106:B 28.0679 -13 *1263:88 *24938:B 9.3 -14 *1263:62 *25120:B 14.3357 -15 *1263:41 *25694:A1 15.0857 -16 *1263:36 *6644:DIODE 13.8 -17 *1263:8 *1263:163 28.0804 -18 *1263:163 *1263:175 49.8929 -19 *1263:175 *1263:181 18.2857 -20 *1263:181 *25155:B 25.2286 -21 *1263:181 *24961:B 31.5321 -22 *1263:163 *1263:216 46.6645 -23 *1263:216 *1263:218 4.5 -24 *1263:218 *25113:B 18.3714 -25 *1263:218 *25744:B 22.5679 -*END - -*D_NET *1264 0.0912107 -*CONN -*I *25326:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25393:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25105:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *25215:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *24969:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25022:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25049:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *25261:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25616:A I *D sky130_fd_sc_hd__and2_2 -*I *24938:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25326:A2 0.000935474 -2 *25393:A2 0.000527345 -3 *25105:B1 0.000297406 -4 *25215:B1 0 -5 *24969:A2 0.000419268 -6 *25022:B1 5.79327e-05 -7 *25049:B1 0.00162963 -8 *25261:B1 8.3307e-05 -9 *25616:A 0 -10 *24938:Y 0.000262205 -11 *1264:163 0.00293627 -12 *1264:162 0.00202284 -13 *1264:116 0.00205952 -14 *1264:92 0.00367602 -15 *1264:83 0.00264464 -16 *1264:56 0.00373268 -17 *1264:51 0.00166819 -18 *1264:50 0.00281927 -19 *1264:41 0.00285665 -20 *1264:22 0.00590255 -21 *1264:17 0.00153501 -22 *24969:A2 *24969:A1 1.02504e-05 -23 *24969:A2 *24972:B1 0.000239878 -24 *24969:A2 *25009:A2 8.2958e-06 -25 *24969:A2 *25022:B2 7.83659e-05 -26 *24969:A2 *2777:105 0.000125443 -27 *24969:A2 *3796:23 0.000112418 -28 *24969:A2 *5522:85 1.02504e-05 -29 *24969:A2 *5667:244 7.00474e-05 -30 *25022:B1 *25022:B2 0.000140933 -31 *25022:B1 *2777:105 3.97677e-05 -32 *25022:B1 *5585:105 0.00022266 -33 *25049:B1 *25523:A_N 0.000261935 -34 *25049:B1 *27226:B1 0 -35 *25049:B1 *2805:40 0 -36 *25049:B1 *4574:20 0.000428341 -37 *25049:B1 *5470:10 0.000130623 -38 *25049:B1 *5747:21 0.00054946 -39 *25105:B1 *25105:B2 0.000341276 -40 *25105:B1 *25400:A1 4.58976e-05 -41 *25105:B1 *30067:A 0.00013976 -42 *25105:B1 *1328:22 0.000426978 -43 *25105:B1 *5622:106 0.000144038 -44 *25105:B1 *5665:268 0.000257073 -45 *25261:B1 *25261:C1 3.78797e-05 -46 *25261:B1 *25952:A0 5.15685e-05 -47 *25326:A2 *25326:B1 7.06457e-05 -48 *25326:A2 *27847:B1 0 -49 *25326:A2 *27855:A2 4.04359e-05 -50 *25326:A2 *27855:B1 2.04825e-05 -51 *25326:A2 *27866:C 0.000140344 -52 *25326:A2 *27900:A2 0.000233565 -53 *25326:A2 *2853:61 0.000146474 -54 *25326:A2 *3182:97 6.81909e-05 -55 *25326:A2 *3762:64 3.90484e-05 -56 *25326:A2 *3762:74 5.7154e-05 -57 *25326:A2 *3840:46 6.57032e-05 -58 *25326:A2 *3970:40 3.08382e-06 -59 *25326:A2 *5510:116 0 -60 *25326:A2 *5629:71 6.98512e-06 -61 *25326:A2 *5629:89 0.000241293 -62 *25326:A2 *5661:64 0.000130033 -63 *25326:A2 *5706:196 0.00118991 -64 *25326:A2 *5947:74 0.000150564 -65 *25393:A2 *25391:B2 1.46576e-05 -66 *25393:A2 *1273:68 1.41029e-05 -67 *25393:A2 *1273:81 5.80706e-06 -68 *25393:A2 *1427:59 0.00147432 -69 *25393:A2 *1449:121 0.0017195 -70 *25393:A2 *2834:59 3.53079e-05 -71 *25393:A2 *3868:41 0.000177821 -72 *25393:A2 *4074:59 7.13226e-06 -73 *25393:A2 *5498:54 0.000142725 -74 *1264:17 *25940:A0 6.43445e-05 -75 *1264:17 *3661:31 6.2641e-05 -76 *1264:17 *3663:10 0.000167919 -77 *1264:17 *4009:31 9.72023e-05 -78 *1264:17 *5691:229 8.69554e-05 -79 *1264:22 *3460:21 2.31723e-05 -80 *1264:22 *3661:31 0.000448064 -81 *1264:22 *4618:21 0.00111782 -82 *1264:22 *5522:32 0.00113473 -83 *1264:22 *5691:229 0.000300495 -84 *1264:41 *25616:B 5.33005e-05 -85 *1264:41 *27571:A2 3.43988e-06 -86 *1264:41 *27571:B1 5.18862e-05 -87 *1264:41 *28119:D 0.000448285 -88 *1264:41 *28425:D 0.000140546 -89 *1264:41 *29430:A 0 -90 *1264:41 *1825:34 1.94945e-05 -91 *1264:41 *4371:19 6.0378e-06 -92 *1264:41 *4618:21 0.000409176 -93 *1264:41 *5487:63 2.09897e-05 -94 *1264:41 *5491:18 8.79701e-05 -95 *1264:41 *5494:46 0.000334052 -96 *1264:41 *5518:62 0 -97 *1264:41 *5519:19 0.00329522 -98 *1264:41 *5519:49 0.000119848 -99 *1264:41 *5522:32 0.000117089 -100 *1264:41 *5524:40 0 -101 *1264:41 *5680:343 3.77315e-05 -102 *1264:41 *5691:201 0.0014019 -103 *1264:41 *5698:143 0.000264428 -104 *1264:41 *6055:23 0.000837707 -105 *1264:50 *1715:18 0.000985073 -106 *1264:50 *1722:21 5.19509e-05 -107 *1264:50 *5010:18 0.000140943 -108 *1264:50 *5520:48 0.0022631 -109 *1264:50 *5599:158 6.79658e-05 -110 *1264:50 *5718:77 0.000752254 -111 *1264:50 *5718:88 3.30902e-06 -112 *1264:50 *5737:55 0.000711928 -113 *1264:50 *6346:15 0 -114 *1264:51 *30067:A 0.000403232 -115 *1264:51 *1504:16 5.99103e-05 -116 *1264:51 *5665:268 1.66787e-05 -117 *1264:56 *25215:B2 1.05524e-05 -118 *1264:56 *25952:A1 0.000517433 -119 *1264:56 *27594:A1 0.000385798 -120 *1264:56 *29182:A 6.9914e-05 -121 *1264:56 *30067:A 2.11419e-05 -122 *1264:56 *5485:121 0.000886143 -123 *1264:56 *5926:186 7.81497e-05 -124 *1264:56 *5926:194 0.000644134 -125 *1264:56 *6385:32 6.15168e-05 -126 *1264:83 *25037:A1 8.30045e-05 -127 *1264:83 *25952:A1 0.00129142 -128 *1264:83 *28438:CLK 0.00043289 -129 *1264:83 *28659:D 9.25014e-06 -130 *1264:83 *28939:A 5.33005e-05 -131 *1264:83 *29738:A 0.00156371 -132 *1264:83 *29879:A 0.000518686 -133 *1264:83 *1290:53 1.66744e-05 -134 *1264:83 *2782:27 0.000568669 -135 *1264:83 *2800:18 2.41521e-05 -136 *1264:83 *3638:7 0.000435839 -137 *1264:83 *3638:11 1.39841e-05 -138 *1264:83 *3638:15 0.000139068 -139 *1264:83 *5485:121 0.000837254 -140 *1264:83 *5485:127 4.04207e-05 -141 *1264:83 *5513:53 0.000496299 -142 *1264:83 *5707:177 4.38243e-05 -143 *1264:83 *5718:70 0.000780452 -144 *1264:83 *5746:31 0.000466816 -145 *1264:83 *5746:132 8.48485e-05 -146 *1264:83 *5751:107 1.61405e-05 -147 *1264:83 *5751:116 1.16899e-05 -148 *1264:83 *5926:171 8.16748e-05 -149 *1264:83 *6385:32 0.00277373 -150 *1264:92 *25523:A_N 4.79414e-05 -151 *1264:92 *27217:A 8.27897e-05 -152 *1264:92 *27273:A1_N 1.42689e-05 -153 *1264:92 *27273:B2 8.12055e-05 -154 *1264:92 *28187:RESET_B 2.14757e-05 -155 *1264:92 *1796:8 4.11218e-05 -156 *1264:92 *2838:31 9.90367e-05 -157 *1264:92 *3636:16 0 -158 *1264:92 *5513:53 0.00011194 -159 *1264:92 *5535:71 0.000152095 -160 *1264:92 *5675:213 0.000209539 -161 *1264:92 *6385:32 0.000178425 -162 *1264:92 *6385:122 0.000178847 -163 *1264:116 *25009:A2 5.6197e-05 -164 *1264:116 *27233:A 0 -165 *1264:116 *28704:D 0.000102196 -166 *1264:116 *1277:98 0.000100527 -167 *1264:116 *3040:41 0.000244392 -168 *1264:116 *4685:19 7.69776e-06 -169 *1264:116 *5523:96 0.0004534 -170 *1264:116 *5675:191 0.000426091 -171 *1264:116 *5675:213 0.000135846 -172 *1264:116 *5675:281 0.00024732 -173 *1264:116 *5926:152 0.000674705 -174 *1264:162 *3661:31 0.000265669 -175 *1264:162 *4371:19 0.000262741 -176 *1264:162 *4617:23 0.000117095 -177 *1264:162 *5074:15 0.000176772 -178 *1264:162 *5430:12 3.63654e-05 -179 *1264:162 *5522:32 1.81243e-05 -180 *1264:162 *5933:17 0 -181 *1264:163 *25294:B2 0.000369919 -182 *1264:163 *27866:C 0.000201056 -183 *1264:163 *27878:A1 0.000148196 -184 *1264:163 *27891:A 0.000118504 -185 *1264:163 *27891:B 0.000349275 -186 *1264:163 *2852:228 0 -187 *1264:163 *2856:56 7.6644e-05 -188 *1264:163 *4010:26 0.00114627 -189 *1264:163 *5706:196 0.00205175 -190 *1264:163 *5706:200 6.47249e-05 -191 *1264:163 *5706:219 0.000984514 -192 *24922:A *1264:50 0.000937204 -193 *24922:A *1264:51 0.000155877 -194 *24985:A2 *24969:A2 2.05938e-05 -195 *24985:A2 *1264:116 5.17922e-05 -196 *25022:A2 *24969:A2 0.000120708 -197 *25261:A2 *25261:B1 6.88458e-06 -198 *25306:A2 *25049:B1 4.1331e-06 -199 *27272:C *25049:B1 0.000412211 -200 *29175:A *1264:41 0.000248565 -201 *30510:A *1264:116 0.000118437 -202 *30591:A *25049:B1 0.000405214 -203 *18:20 *1264:92 0.0003482 -204 *19:25 *25049:B1 0.000900086 -205 *460:11 *1264:41 0.000353382 -206 *473:29 *1264:41 0 -207 *1218:154 *25049:B1 3.71049e-05 -208 *1239:14 *25393:A2 0.000165904 -209 *1240:47 *1264:56 0.000401794 -210 *1240:49 *1264:50 0 -211 *1240:49 *1264:56 0.000118028 -212 *1244:53 *1264:116 0 -213 *1245:116 *1264:92 4.19107e-05 -214 *1245:142 *25049:B1 0 -215 *1245:142 *1264:116 0 -216 *1257:99 *1264:17 5.33005e-05 -217 *1263:88 *1264:17 4.96113e-05 -218 *1263:122 *1264:17 3.08382e-06 -*RES -1 *24938:Y *1264:17 22.1062 -2 *1264:17 *1264:22 6.67493 -3 *1264:22 *1264:41 40.9021 -4 *1264:41 *25616:A 9.3 -5 *1264:22 *1264:50 14.3259 -6 *1264:50 *1264:51 5.4375 -7 *1264:51 *1264:56 8.85052 -8 *1264:56 *25261:B1 19.0046 -9 *1264:56 *1264:83 49.5957 -10 *1264:83 *1264:92 19.0618 -11 *1264:92 *25049:B1 28.9968 -12 *1264:92 *1264:116 28.2832 -13 *1264:116 *25022:B1 15.9786 -14 *1264:116 *24969:A2 30.7107 -15 *1264:51 *25215:B1 13.8 -16 *1264:50 *25105:B1 22.9161 -17 *1264:17 *1264:162 11.8546 -18 *1264:162 *1264:163 44.2946 -19 *1264:163 *25393:A2 42.1616 -20 *1264:163 *25326:A2 42.0439 -*END - -*D_NET *1265 0.12692 -*CONN -*I *25264:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25007:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25083:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25052:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *24975:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27063:A I *D sky130_fd_sc_hd__nand2_8 -*I *25343:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25220:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25411:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *24939:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25264:A2 0.000192533 -2 *25007:B1 0.00114498 -3 *25083:B1 0.000224231 -4 *25052:A2 0.000370453 -5 *24975:B1 0.000634466 -6 *27063:A 3.76966e-05 -7 *25343:A2 0 -8 *25220:A2 0.000238252 -9 *25411:A2 0.000200578 -10 *24939:Y 0 -11 *1265:228 0.00316449 -12 *1265:161 0.00316175 -13 *1265:149 0.00762169 -14 *1265:142 0.00793212 -15 *1265:128 0.00620046 -16 *1265:119 0.00438411 -17 *1265:112 0.00347771 -18 *1265:95 0.00315649 -19 *1265:76 0.00174735 -20 *1265:69 0.00153896 -21 *1265:40 0.00180108 -22 *1265:36 0.0023774 -23 *1265:35 0.00287613 -24 *1265:27 0.00343214 -25 *1265:11 0.000991257 -26 *1265:5 0.000503841 -27 *24975:B1 *25053:A2 4.5539e-05 -28 *24975:B1 *3839:24 4.38243e-05 -29 *24975:B1 *5584:198 9.10435e-05 -30 *25007:B1 *25007:A1 5.7661e-06 -31 *25007:B1 *27283:A 9.60939e-05 -32 *25007:B1 *27283:C 5.52238e-05 -33 *25007:B1 *2843:79 2.77258e-05 -34 *25007:B1 *2848:19 8.25897e-05 -35 *25007:B1 *2848:384 0.0017136 -36 *25007:B1 *3164:35 0.000501515 -37 *25007:B1 *3538:39 0.000980596 -38 *25007:B1 *5535:37 0.00139108 -39 *25052:A2 *25052:A1 9.53821e-06 -40 *25052:A2 *25052:B1 1.1914e-05 -41 *25052:A2 *25071:D 1.88175e-05 -42 *25052:A2 *27433:B1 0.000121868 -43 *25052:A2 *1365:16 0.000238006 -44 *25052:A2 *4033:16 4.21517e-05 -45 *25052:A2 *4107:26 6.81509e-05 -46 *25083:B1 *25083:A1 5.33005e-05 -47 *25083:B1 *25083:B2 5.96516e-05 -48 *25083:B1 *5585:8 0.000393942 -49 *25083:B1 *5589:73 0.000384692 -50 *25083:B1 *5642:158 0.000175892 -51 *25220:A2 *25236:A2 4.14363e-05 -52 *25220:A2 *27674:A1 0.000416437 -53 *25220:A2 *1540:14 6.05161e-06 -54 *25220:A2 *2855:175 2.11419e-05 -55 *25220:A2 *3574:86 1.94879e-05 -56 *25220:A2 *3835:73 3.28686e-05 -57 *25264:A2 *3816:55 0.000354901 -58 *25264:A2 *5483:151 0.000347593 -59 *25411:A2 *25411:A1 0.000239721 -60 *25411:A2 *3972:44 7.42747e-05 -61 *25411:A2 *5517:20 0.000212882 -62 *25411:A2 *5947:56 0.000241367 -63 *27063:A *2764:30 2.59355e-05 -64 *27063:A *2779:10 9.41642e-05 -65 *27063:A *5870:21 1.21258e-05 -66 *1265:11 *1670:20 3.77315e-05 -67 *1265:11 *1723:27 0.000105387 -68 *1265:11 *5634:52 0.00044068 -69 *1265:27 *1723:27 0.000424042 -70 *1265:27 *5634:52 0.00048336 -71 *1265:27 *5635:34 2.83129e-05 -72 *1265:35 *27636:C 4.65519e-05 -73 *1265:35 *1271:104 0.000136549 -74 *1265:35 *1484:23 0.00154229 -75 *1265:35 *2853:164 4.8817e-05 -76 *1265:35 *3413:57 0.000622393 -77 *1265:35 *5609:89 0.00029425 -78 *1265:36 *25378:C1 0.000122946 -79 *1265:36 *27305:C 3.34366e-05 -80 *1265:36 *1275:26 0.000993465 -81 *1265:36 *1659:34 0.000112427 -82 *1265:36 *2866:70 7.25293e-05 -83 *1265:36 *2870:21 0.00146154 -84 *1265:36 *2878:147 0.000664683 -85 *1265:36 *3413:51 8.41284e-06 -86 *1265:36 *3751:44 0.0001384 -87 *1265:36 *3829:8 0.000612307 -88 *1265:36 *3844:59 0.000773239 -89 *1265:36 *3946:45 6.66424e-05 -90 *1265:36 *4052:30 4.97121e-06 -91 *1265:36 *5505:42 0.00315348 -92 *1265:40 *27305:C 3.65544e-05 -93 *1265:40 *1654:27 6.82091e-06 -94 *1265:40 *2851:36 1.85844e-05 -95 *1265:40 *3413:51 0.000842833 -96 *1265:40 *3751:30 0.000300306 -97 *1265:40 *5707:41 0.000141944 -98 *1265:69 *1606:14 0.000286052 -99 *1265:69 *1654:27 0.000543012 -100 *1265:69 *2877:194 0.000157951 -101 *1265:69 *3413:51 0.00070439 -102 *1265:69 *3540:8 1.55559e-05 -103 *1265:69 *3751:30 0.000891921 -104 *1265:69 *3829:8 6.8447e-05 -105 *1265:69 *4104:50 0.000342302 -106 *1265:69 *5700:50 3.68027e-05 -107 *1265:69 *5713:336 0.000268374 -108 *1265:69 *6247:36 0.000284472 -109 *1265:76 *25343:A1 5.33005e-05 -110 *1265:76 *1396:127 6.81704e-05 -111 *1265:76 *1416:97 0.000188621 -112 *1265:76 *1511:22 0.000135028 -113 *1265:76 *1661:19 1.92905e-05 -114 *1265:76 *3768:27 0.000331381 -115 *1265:76 *5584:103 0.000329666 -116 *1265:95 *25317:B2 0.000360109 -117 *1265:95 *25343:A1 1.21258e-05 -118 *1265:95 *27311:B2 1.94945e-05 -119 *1265:95 *1269:203 0.000148199 -120 *1265:95 *1269:213 0.000214977 -121 *1265:95 *1271:162 0.0013891 -122 *1265:95 *1416:97 8.25843e-06 -123 *1265:95 *1494:22 0.000205314 -124 *1265:95 *1611:13 0.000226021 -125 *1265:95 *1700:20 6.8172e-05 -126 *1265:95 *2775:174 9.49992e-05 -127 *1265:95 *2874:249 1.32704e-05 -128 *1265:95 *3667:55 1.43455e-05 -129 *1265:95 *3768:19 3.0285e-06 -130 *1265:95 *3998:46 0 -131 *1265:95 *4133:133 0.000202404 -132 *1265:95 *5612:12 0.000272467 -133 *1265:95 *5764:22 0.000611975 -134 *1265:112 *25257:A 0.000193361 -135 *1265:112 *28603:D 0.000293564 -136 *1265:112 *28667:D 2.3704e-05 -137 *1265:112 *28897:A 0.000922023 -138 *1265:112 *1272:47 0 -139 *1265:112 *1287:184 0.000350728 -140 *1265:112 *1516:10 9.91086e-05 -141 *1265:112 *2889:65 9.15948e-05 -142 *1265:112 *3596:13 9.8778e-05 -143 *1265:112 *3596:40 1.27784e-05 -144 *1265:112 *3768:19 0.000876356 -145 *1265:112 *3862:21 1.7606e-05 -146 *1265:112 *3998:46 0.000376101 -147 *1265:112 *4952:14 0.00014833 -148 *1265:112 *5688:349 6.60111e-05 -149 *1265:112 *5764:22 5.93572e-05 -150 *1265:112 *5777:32 0.000182622 -151 *1265:119 *27417:B2 0.000123605 -152 *1265:119 *2791:209 0.00107833 -153 *1265:119 *3153:221 2.01997e-05 -154 *1265:119 *5585:8 0.00028743 -155 *1265:119 *5585:23 0.000987966 -156 *1265:119 *5589:73 0.00028743 -157 *1265:119 *6269:12 0.00155877 -158 *1265:128 *27359:A1 0.000385095 -159 *1265:128 *27400:B1 0.00096834 -160 *1265:128 *27751:A2 0.000303362 -161 *1265:128 *27751:B1 0.000135028 -162 *1265:128 *30373:A 2.14658e-05 -163 *1265:128 *2892:280 9.01312e-06 -164 *1265:128 *3907:32 0.000103253 -165 *1265:128 *3937:37 0.000145239 -166 *1265:128 *4119:24 5.41797e-06 -167 *1265:128 *4119:26 9.03127e-05 -168 *1265:128 *5638:119 8.25843e-06 -169 *1265:128 *5662:124 0.000253724 -170 *1265:128 *5809:13 5.33005e-05 -171 *1265:142 *25190:B1 0 -172 *1265:142 *25238:B2 0.000117732 -173 *1265:142 *27433:B1 0.0010846 -174 *1265:142 *1365:16 0.000294123 -175 *1265:142 *1574:6 2.04825e-05 -176 *1265:142 *2768:90 1.90936e-05 -177 *1265:142 *2848:282 8.40779e-05 -178 *1265:142 *2848:333 0.000308521 -179 *1265:142 *2855:198 3.05874e-05 -180 *1265:142 *2855:219 0.000241744 -181 *1265:142 *2888:232 1.09611e-05 -182 *1265:142 *2922:20 2.23047e-05 -183 *1265:142 *5633:72 0.00292578 -184 *1265:142 *5660:198 0.00105865 -185 *1265:149 *1378:36 0.000865837 -186 *1265:149 *3339:22 0.00242094 -187 *1265:149 *3839:30 0.000123605 -188 *1265:149 *3930:70 0.0030857 -189 *1265:149 *4006:51 0.000196424 -190 *1265:149 *5600:206 0.000110498 -191 *1265:149 *5637:43 0.000764072 -192 *1265:149 *5676:80 0 -193 *1265:149 *5683:134 0.000653282 -194 *1265:161 *27723:A1 9.60939e-05 -195 *1265:161 *27723:A2 8.25083e-05 -196 *1265:161 *27723:B1 1.91061e-05 -197 *1265:161 *27723:B2 0.000266923 -198 *1265:161 *27723:C1 1.21258e-05 -199 *1265:161 *27724:C1 1.09208e-05 -200 *1265:161 *1292:153 0.000929523 -201 *1265:161 *1390:15 4.98422e-05 -202 *1265:161 *2764:30 0.000305314 -203 *1265:161 *2792:62 1.14338e-05 -204 *1265:161 *3900:99 0.00059043 -205 *1265:161 *4017:33 0.000234475 -206 *1265:161 *4138:18 2.04825e-05 -207 *1265:161 *5590:191 2.29253e-06 -208 *1265:161 *5676:26 1.90936e-05 -209 *1265:161 *5716:132 9.9471e-06 -210 *1265:161 *5771:107 0.000328353 -211 *1265:161 *5795:181 3.25078e-05 -212 *1265:161 *5800:19 2.48919e-05 -213 *1265:161 *5820:73 7.31147e-05 -214 *1265:161 *5820:85 0.000229369 -215 *1265:161 *5903:28 0.00023792 -216 *1265:228 *25407:B1 0.000551687 -217 *1265:228 *1723:27 0.000983613 -218 *1265:228 *2850:177 7.6644e-05 -219 *1265:228 *2875:46 0.000339346 -220 *1265:228 *2891:24 4.96794e-05 -221 *1265:228 *3164:35 0.00038021 -222 *1265:228 *3184:53 3.92854e-05 -223 *1265:228 *3206:84 9.60337e-06 -224 *1265:228 *3538:39 0.000580334 -225 *1265:228 *3872:43 1.90936e-05 -226 *1265:228 *5591:16 5.49544e-05 -227 *1265:228 *5634:52 1.10879e-05 -228 *1265:228 *5635:34 0.000105316 -229 *1265:228 *5661:21 0.000753423 -230 *25007:A2 *25007:B1 2.11368e-05 -231 *25054:B1 *24975:B1 4.03587e-05 -232 *25054:B1 *1265:149 0.000185729 -233 *25265:A2 *25411:A2 6.09762e-05 -234 *25337:A2 *25220:A2 0.000100908 -235 *29335:A *1265:69 0.000129887 -236 *29861:A *1265:112 3.28681e-06 -237 *29989:A *1265:161 0.000102593 -238 *30238:A *1265:95 5.67043e-05 -239 *1225:203 *24975:B1 2.4175e-05 -240 *1242:159 *24975:B1 0.000155852 -241 *1242:159 *1265:149 0.00108897 -242 *1256:31 *1265:35 0.00010294 -243 *1256:31 *1265:228 0.00228425 -244 *1258:56 *1265:142 0.00102587 -245 *1260:43 *1265:69 0 -246 *1260:81 *1265:112 0 -247 *1260:91 *1265:128 0.000199834 -248 *1260:135 *1265:142 0.000113441 -249 *1262:128 *25220:A2 5.79562e-05 -250 *1262:128 *1265:40 1.94879e-05 -*RES -1 *24939:Y *1265:5 13.8 -2 *1265:5 *1265:11 4.17767 -3 *1265:11 *25411:A2 22.6029 -4 *1265:11 *1265:27 1.39903 -5 *1265:27 *1265:35 14.0887 -6 *1265:35 *1265:36 60.6875 -7 *1265:36 *1265:40 12.1518 -8 *1265:40 *25220:A2 21.9227 -9 *1265:40 *1265:69 49.3415 -10 *1265:69 *1265:76 18.9643 -11 *1265:76 *25343:A2 9.3 -12 *1265:76 *1265:95 42.2567 -13 *1265:95 *1265:112 44.8195 -14 *1265:112 *1265:119 16.9421 -15 *1265:119 *1265:128 48.7679 -16 *1265:128 *1265:142 19.083 -17 *1265:142 *1265:149 17.7575 -18 *1265:149 *1265:161 49.6888 -19 *1265:161 *27063:A 14.7464 -20 *1265:149 *24975:B1 22.7059 -21 *1265:142 *25052:A2 21.64 -22 *1265:119 *25083:B1 20.8536 -23 *1265:27 *1265:228 38.3591 -24 *1265:228 *25007:B1 41.4964 -25 *1265:5 *25264:A2 18.6304 -*END - -*D_NET *1266 0.0971272 -*CONN -*I *25194:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27018:A I *D sky130_fd_sc_hd__and2_4 -*I *25059:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25005:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *24994:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25287:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25249:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25138:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25357:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *24940:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25194:A2 0.00192793 -2 *27018:A 3.97594e-05 -3 *25059:A2 7.54781e-05 -4 *25005:A2 0.000184158 -5 *24994:A2 0.000906217 -6 *25287:B1 0 -7 *25249:A2 4.49807e-05 -8 *25138:A2 0.000500535 -9 *25357:A2 0.000196776 -10 *24940:Y 0 -11 *1266:222 0.00236455 -12 *1266:205 0.001672 -13 *1266:194 0.0019292 -14 *1266:186 0.001922 -15 *1266:182 0.00167848 -16 *1266:160 0.000744057 -17 *1266:148 0.00221364 -18 *1266:132 0.00299876 -19 *1266:119 0.00332204 -20 *1266:104 0.00179792 -21 *1266:76 0.00358633 -22 *1266:64 0.00586982 -23 *1266:24 0.00160344 -24 *1266:22 0.00235226 -25 *1266:4 0.00718713 -26 *24994:A2 *24994:A1 4.5705e-05 -27 *24994:A2 *24994:B1 0.000143463 -28 *24994:A2 *24994:B2 0.000133064 -29 *24994:A2 *27824:A2 0.000387796 -30 *24994:A2 *27840:B 0.000269199 -31 *24994:A2 *27840:C 0.000260152 -32 *24994:A2 *1268:123 9.67465e-05 -33 *24994:A2 *1320:10 1.28773e-05 -34 *24994:A2 *2774:180 0.000136708 -35 *24994:A2 *3385:7 5.33005e-05 -36 *25005:A2 *25010:C1 5.21937e-05 -37 *25059:A2 *25059:A1 7.37323e-05 -38 *25059:A2 *25071:C 6.94952e-05 -39 *25059:A2 *27790:B2 6.34436e-05 -40 *25059:A2 *5652:200 1.81887e-05 -41 *25138:A2 *6390:DIODE 4.23626e-06 -42 *25138:A2 *25138:A1 1.04232e-05 -43 *25138:A2 *25138:B1 6.46593e-05 -44 *25138:A2 *25138:B2 1.47031e-05 -45 *25138:A2 *25138:C1 0.000173472 -46 *25138:A2 *3758:54 2.67834e-05 -47 *25194:A2 *25194:A1 7.37323e-05 -48 *25194:A2 *27015:A1 0.000117463 -49 *25194:A2 *27431:B1 0.000469485 -50 *25194:A2 *27431:B2 0.000135028 -51 *25194:A2 *28525:D 2.22043e-05 -52 *25194:A2 *28591:CLK 0.000131824 -53 *25194:A2 *28591:D 0.000291703 -54 *25194:A2 *28591:RESET_B 4.73514e-05 -55 *25194:A2 *1302:6 0.000135172 -56 *25194:A2 *1514:13 3.83528e-05 -57 *25194:A2 *2856:344 0.000435181 -58 *25194:A2 *2891:311 0.000235689 -59 *25194:A2 *3694:69 5.44661e-05 -60 *25194:A2 *3746:5 9.41642e-05 -61 *25194:A2 *3839:30 0.000127203 -62 *25194:A2 *5582:183 5.73446e-05 -63 *25194:A2 *5696:77 0.000359465 -64 *25194:A2 *5905:79 3.46362e-05 -65 *25249:A2 *25249:B1 2.54877e-05 -66 *25249:A2 *5708:141 2.79509e-06 -67 *25357:A2 *25357:A1 0.000383788 -68 *25357:A2 *25357:B1 1.32056e-05 -69 *25357:A2 *1279:44 0.000270958 -70 *25357:A2 *3545:8 0.000272672 -71 *25357:A2 *5650:67 0.000291635 -72 *27018:A *5654:157 2.79421e-05 -73 *27018:A *5911:173 9.41642e-05 -74 *1266:22 *1268:37 0.000335895 -75 *1266:22 *1292:24 0.000148067 -76 *1266:22 *1449:62 4.65519e-05 -77 *1266:22 *4063:48 0.00014699 -78 *1266:22 *4076:87 0.000286334 -79 *1266:22 *4133:77 2.64034e-05 -80 *1266:22 *5601:162 6.27344e-05 -81 *1266:22 *5616:25 9.77414e-05 -82 *1266:22 *5616:30 9.60939e-05 -83 *1266:22 *5892:119 0.000282536 -84 *1266:24 *24967:A 0.000142974 -85 *1266:24 *1279:44 0.000329493 -86 *1266:24 *1280:6 3.4323e-06 -87 *1266:24 *1284:19 0.00190921 -88 *1266:24 *3184:124 0.000326427 -89 *1266:24 *3545:8 0.000463047 -90 *1266:24 *4063:48 1.44954e-05 -91 *1266:24 *4133:92 1.81709e-05 -92 *1266:24 *5610:54 0.000389656 -93 *1266:24 *5610:56 0.000381494 -94 *1266:24 *5610:58 7.6644e-05 -95 *1266:24 *5651:150 9.82592e-05 -96 *1266:64 *25249:A1 8.47192e-05 -97 *1266:64 *25249:B1 1.23876e-05 -98 *1266:64 *25343:A1 0 -99 *1266:64 *27351:A2 0 -100 *1266:64 *27683:A1 0.00113324 -101 *1266:64 *27689:A1 0.000126169 -102 *1266:64 *28841:A 0.000184989 -103 *1266:64 *1268:39 0.000105559 -104 *1266:64 *1286:26 5.0027e-06 -105 *1266:64 *2768:69 8.30045e-05 -106 *1266:64 *2794:141 0 -107 *1266:64 *2876:29 0 -108 *1266:64 *2877:313 0.000378092 -109 *1266:64 *2882:206 0 -110 *1266:64 *2889:36 0.000394745 -111 *1266:64 *3242:6 0.000121503 -112 *1266:64 *3704:14 0.000386766 -113 *1266:64 *3807:16 0.000206706 -114 *1266:64 *3841:68 0.000571933 -115 *1266:64 *3847:87 0.000272844 -116 *1266:64 *3886:67 0 -117 *1266:64 *3977:22 0.000307701 -118 *1266:64 *5582:20 0.000481603 -119 *1266:64 *5582:56 0.000211532 -120 *1266:64 *5589:14 0 -121 *1266:64 *5612:18 0.000570103 -122 *1266:64 *5616:25 8.2607e-05 -123 *1266:64 *5629:166 0.000377227 -124 *1266:64 *5652:12 0.000121503 -125 *1266:64 *5702:84 0.000741908 -126 *1266:64 *5708:141 9.41642e-05 -127 *1266:76 *25249:B1 4.16984e-05 -128 *1266:76 *5708:141 8.17274e-05 -129 *1266:104 *25249:B1 0.000113393 -130 *1266:104 *25287:A1 4.00679e-05 -131 *1266:104 *27344:A1 0.000146511 -132 *1266:104 *27344:A2 0.000132869 -133 *1266:104 *27344:B1 0.000177545 -134 *1266:104 *27347:A2 0.00012502 -135 *1266:104 *27383:B1 3.51368e-05 -136 *1266:104 *28547:CLK 0.000424029 -137 *1266:104 *1272:47 0.000772094 -138 *1266:104 *1568:15 0.000100823 -139 *1266:104 *2768:89 0.000166352 -140 *1266:104 *2779:96 9.16711e-05 -141 *1266:104 *2871:298 4.10702e-05 -142 *1266:104 *2871:305 6.98971e-05 -143 *1266:104 *2875:275 0.000200736 -144 *1266:104 *2882:206 8.94556e-05 -145 *1266:104 *3624:8 6.81895e-05 -146 *1266:104 *3677:11 8.55871e-05 -147 *1266:104 *3807:49 2.28499e-05 -148 *1266:104 *3912:35 1.73201e-05 -149 *1266:104 *4069:89 0.000303128 -150 *1266:104 *5661:232 0.000300495 -151 *1266:104 *5795:96 8.98342e-05 -152 *1266:119 *27383:B1 0.000701302 -153 *1266:119 *28539:D 0.000216755 -154 *1266:119 *2788:22 0.00108059 -155 *1266:119 *3822:32 0.00107901 -156 *1266:119 *3952:57 0.000175197 -157 *1266:119 *5873:232 0.000300406 -158 *1266:132 *27025:A0 0.000347181 -159 *1266:132 *27025:A1 1.54142e-05 -160 *1266:132 *27315:B 0.000135028 -161 *1266:132 *28600:D 8.48807e-05 -162 *1266:132 *2774:146 0.00260247 -163 *1266:132 *2892:177 0.000284514 -164 *1266:132 *3205:270 0 -165 *1266:132 *3916:20 4.38243e-05 -166 *1266:132 *4085:62 4.58764e-05 -167 *1266:132 *5582:42 0.00132937 -168 *1266:132 *5603:24 0.000289189 -169 *1266:132 *5680:209 3.13644e-05 -170 *1266:148 *27468:A1 0.000237467 -171 *1266:148 *27471:C 0.000300412 -172 *1266:148 *27824:A2 9.46843e-05 -173 *1266:148 *1302:16 0.000522291 -174 *1266:148 *1367:46 0.000300627 -175 *1266:148 *3164:281 6.05161e-06 -176 *1266:148 *3206:229 0.000298912 -177 *1266:148 *4086:35 8.55871e-05 -178 *1266:148 *4134:107 0.000109321 -179 *1266:148 *5652:142 0.000135028 -180 *1266:148 *5708:211 0.000719935 -181 *1266:160 *1302:16 0.000204218 -182 *1266:182 *1274:137 0.000220689 -183 *1266:182 *1302:15 6.96033e-05 -184 *1266:182 *1302:16 4.38265e-05 -185 *1266:182 *2856:314 0.000100116 -186 *1266:182 *2856:316 3.57503e-05 -187 *1266:182 *3193:60 0.000180671 -188 *1266:182 *3205:270 0 -189 *1266:182 *5680:209 9.5559e-05 -190 *1266:186 *25010:C1 0.000123295 -191 *1266:186 *1302:6 0.000766368 -192 *1266:186 *1378:21 0 -193 *1266:186 *3170:213 0.00019636 -194 *1266:186 *3370:11 0.00176222 -195 *1266:186 *3930:86 0.000951447 -196 *1266:186 *4085:34 0.00115552 -197 *1266:186 *4134:96 2.06178e-05 -198 *1266:186 *4149:72 0.000464535 -199 *1266:186 *5630:182 2.09826e-05 -200 *1266:194 *25050:B2 0.000460413 -201 *1266:194 *25062:A1 0.000418093 -202 *1266:194 *1302:6 3.32442e-05 -203 *1266:194 *3339:22 0.000120376 -204 *1266:194 *3839:30 0.000261971 -205 *1266:194 *3941:9 8.55871e-05 -206 *1266:194 *4149:72 0.00129789 -207 *1266:194 *5600:206 0.000376846 -208 *1266:205 *25057:B2 0.00017754 -209 *1266:205 *1302:6 0.000564964 -210 *1266:205 *2856:316 0.00165816 -211 *1266:205 *3839:30 0.000365683 -212 *1266:205 *4033:16 0.00201141 -213 *1266:205 *5637:43 0.000135968 -214 *1266:205 *5910:63 5.21937e-05 -215 *1266:222 *1302:6 0.000199711 -216 *1266:222 *3839:30 0.000287119 -217 *1266:222 *5435:16 2.52313e-05 -218 *1266:222 *5637:43 0.000109428 -219 *24940:A *1266:22 7.27549e-05 -220 *27315:A *1266:132 0.000177815 -221 *29066:A *25194:A2 9.65217e-05 -222 *29828:A *1266:132 0.000128419 -223 *30235:A *1266:22 6.20855e-06 -224 *1230:51 *1266:22 0.000141707 -225 *1235:17 *1266:24 0.000217281 -226 *1242:135 *1266:186 0.000254652 -227 *1242:135 *1266:194 0.000154205 -228 *1242:148 *1266:194 3.38055e-05 -229 *1242:148 *1266:205 0.000171886 -230 *1242:148 *1266:222 3.51292e-05 -231 *1243:34 *1266:22 0.000191046 -232 *1248:120 *1266:22 0.00011044 -233 *1252:184 *1266:148 0.000524005 -234 *1252:184 *1266:160 0.00019467 -235 *1252:184 *1266:182 4.32397e-05 -*RES -1 *24940:Y *1266:4 9.3 -2 *1266:4 *1266:22 30.905 -3 *1266:22 *1266:24 30.6339 -4 *1266:24 *25357:A2 21.0857 -5 *1266:24 *25138:A2 20.1437 -6 *1266:4 *1266:64 48.2458 -7 *1266:64 *25249:A2 10.4875 -8 *1266:64 *1266:76 1.76786 -9 *1266:76 *1266:104 46.7847 -10 *1266:104 *25287:B1 9.3 -11 *1266:76 *1266:119 42.6607 -12 *1266:119 *1266:132 47.3134 -13 *1266:132 *1266:148 41.7768 -14 *1266:148 *24994:A2 26.3223 -15 *1266:132 *1266:160 2.70536 -16 *1266:160 *25005:A2 16.3893 -17 *1266:160 *1266:182 21.4695 -18 *1266:182 *1266:186 47.8571 -19 *1266:186 *1266:194 36.3393 -20 *1266:194 *1266:205 46.2857 -21 *1266:205 *25059:A2 15.7732 -22 *1266:205 *1266:222 11.1641 -23 *1266:222 *27018:A 14.7464 -24 *1266:222 *25194:A2 41.8392 -*END - -*D_NET *1267 0.104748 -*CONN -*I *24987:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25014:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25064:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *6389:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *29725:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25186:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25315:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25364:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25243:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25077:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *24941:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *24987:B1 0.00152522 -2 *25014:A2 0.00147489 -3 *25064:B1 1.11927e-05 -4 *6389:DIODE 0 -5 *29725:A 0.000239351 -6 *25186:A2 0.00338532 -7 *25315:A2 0.000239887 -8 *25364:A2 5.15669e-05 -9 *25243:B1 0.000306287 -10 *25077:A2 0.00125631 -11 *24941:Y 6.13439e-05 -12 *1267:221 0.00407567 -13 *1267:202 0.00254894 -14 *1267:178 0.00170143 -15 *1267:172 0.00278076 -16 *1267:163 0.00496234 -17 *1267:100 0.00194697 -18 *1267:83 0.0030524 -19 *1267:80 0.00546576 -20 *1267:76 0.00200828 -21 *1267:65 0.0063835 -22 *1267:49 0.00494618 -23 *1267:5 0.00305785 -24 *24987:B1 *24986:A2 0.000298483 -25 *24987:B1 *24987:B2 0.00033655 -26 *24987:B1 *2794:172 0.000154997 -27 *24987:B1 *4125:53 0.00203797 -28 *25014:A2 *25014:A1 1.46316e-05 -29 *25014:A2 *25014:B1 4.20519e-05 -30 *25014:A2 *25014:B2 3.03567e-05 -31 *25014:A2 *1269:264 0.000219711 -32 *25014:A2 *1368:46 0.000223592 -33 *25014:A2 *2770:53 0.00146957 -34 *25014:A2 *2866:294 0.000223592 -35 *25014:A2 *5680:196 0.000902175 -36 *25077:A2 *25077:A1 5.55213e-05 -37 *25077:A2 *25077:B2 5.7661e-06 -38 *25077:A2 *1398:11 1.98839e-05 -39 *25077:A2 *1463:23 9.21129e-05 -40 *25077:A2 *1565:20 2.01997e-05 -41 *25077:A2 *3699:24 0.00108998 -42 *25077:A2 *3742:36 0.000257619 -43 *25077:A2 *3790:71 0.00015666 -44 *25077:A2 *4146:84 3.20638e-05 -45 *25077:A2 *5649:176 0.000363124 -46 *25186:A2 *25172:B1 0.000583926 -47 *25186:A2 *25186:A1 0.000114596 -48 *25186:A2 *27057:S 4.14281e-05 -49 *25186:A2 *27058:S 6.42122e-06 -50 *25186:A2 *1275:195 0.000116526 -51 *25186:A2 *2761:53 0.000601236 -52 *25186:A2 *2761:67 0.000299831 -53 *25186:A2 *2761:98 0.000703186 -54 *25186:A2 *2770:118 3.77315e-05 -55 *25186:A2 *2773:118 0.00061604 -56 *25186:A2 *2794:107 0.000170769 -57 *25186:A2 *2894:319 0.000581476 -58 *25186:A2 *2894:331 0.000200688 -59 *25186:A2 *2933:12 0.00014341 -60 *25186:A2 *3164:319 0 -61 *25186:A2 *3565:10 1.90936e-05 -62 *25186:A2 *3846:33 1.34859e-05 -63 *25186:A2 *3951:20 0.000283695 -64 *25186:A2 *3963:60 8.92267e-05 -65 *25186:A2 *5587:87 0 -66 *25186:A2 *5650:335 7.69776e-06 -67 *25186:A2 *5655:232 0.00162835 -68 *25186:A2 *5702:38 0.00030017 -69 *25186:A2 *5803:27 0.000118028 -70 *25186:A2 *5824:213 8.16924e-05 -71 *25243:B1 *6537:DIODE 0.000180066 -72 *25243:B1 *25243:C1 6.71403e-05 -73 *25243:B1 *28574:CLK 3.16367e-05 -74 *25243:B1 *4120:47 2.05787e-05 -75 *25243:B1 *5645:156 0 -76 *25243:B1 *5879:66 0.000178813 -77 *25315:A2 *25315:A1 3.28686e-05 -78 *25315:A2 *2761:80 2.28147e-05 -79 *25315:A2 *2791:199 0.000474126 -80 *25315:A2 *4080:29 1.59935e-05 -81 *25315:A2 *5638:136 0.000150318 -82 *25315:A2 *5640:176 0.000213676 -83 *25315:A2 *5641:243 9.38524e-05 -84 *25364:A2 *25202:A1 5.33005e-05 -85 *25364:A2 *25364:A1 5.49544e-05 -86 *25364:A2 *2791:199 4.98422e-05 -87 *25364:A2 *3162:8 2.04825e-05 -88 *25364:A2 *4115:10 1.90936e-05 -89 *25364:A2 *5640:176 4.65519e-05 -90 *29725:A *2778:152 6.77629e-05 -91 *29725:A *3821:19 0.000289766 -92 *29725:A *3924:62 2.83129e-05 -93 *29725:A *3963:60 0.000213273 -94 *29725:A *5582:89 0.000144337 -95 *1267:5 *3742:36 9.67754e-05 -96 *1267:49 *25382:A2 0.000728449 -97 *1267:49 *25382:B2 6.8445e-06 -98 *1267:49 *27754:A2 0.000449106 -99 *1267:49 *27754:B2 1.28809e-05 -100 *1267:49 *27757:C1 0.000389457 -101 *1267:49 *1447:51 0.000158698 -102 *1267:49 *2775:156 0 -103 *1267:49 *4022:27 2.72887e-05 -104 *1267:49 *4088:54 4.02688e-05 -105 *1267:49 *4128:29 0.000501078 -106 *1267:49 *4128:52 1.56869e-05 -107 *1267:49 *5590:79 0.000123884 -108 *1267:49 *5605:138 0.000696484 -109 *1267:49 *5649:176 2.10272e-05 -110 *1267:65 *27682:B 0.000172031 -111 *1267:65 *27752:A1 8.94556e-05 -112 *1267:65 *1271:174 5.00194e-05 -113 *1267:65 *1274:221 0.000181395 -114 *1267:65 *1276:102 7.75217e-05 -115 *1267:65 *1626:27 0.000468633 -116 *1267:65 *1633:29 2.35126e-05 -117 *1267:65 *1660:31 0.000195884 -118 *1267:65 *1834:64 2.16719e-05 -119 *1267:65 *2761:168 0 -120 *1267:65 *2859:242 3.97483e-05 -121 *1267:65 *2871:354 1.04894e-05 -122 *1267:65 *2879:118 9.3166e-05 -123 *1267:65 *2882:246 4.65519e-05 -124 *1267:65 *2904:11 6.35864e-05 -125 *1267:65 *3215:242 1.28224e-05 -126 *1267:65 *3712:27 3.80537e-05 -127 *1267:65 *4003:30 6.53083e-05 -128 *1267:65 *5585:23 4.8817e-05 -129 *1267:65 *5590:79 0 -130 *1267:65 *5609:189 1.60961e-05 -131 *1267:65 *5633:164 0.00196603 -132 *1267:65 *5644:237 0 -133 *1267:65 *5649:137 0.000339346 -134 *1267:65 *5688:97 0.000554107 -135 *1267:65 *5708:80 3.76491e-05 -136 *1267:65 *6247:31 5.79681e-05 -137 *1267:76 *2761:168 8.07951e-05 -138 *1267:76 *3704:14 0.000382746 -139 *1267:76 *3820:105 0.000103974 -140 *1267:76 *3821:19 7.06441e-05 -141 *1267:76 *3847:87 0.00122678 -142 *1267:76 *3977:16 0.00038446 -143 *1267:76 *5582:70 0.000298366 -144 *1267:76 *5582:89 0.000215441 -145 *1267:80 *25190:C1 0.000122321 -146 *1267:80 *27697:A2 0 -147 *1267:80 *2759:119 0.000370343 -148 *1267:80 *2761:98 0.00172013 -149 *1267:80 *2784:88 0.00022933 -150 *1267:80 *2784:94 0.000120169 -151 *1267:80 *3924:62 0.000511591 -152 *1267:80 *3963:60 6.14836e-06 -153 *1267:80 *5645:136 0.000143289 -154 *1267:80 *5702:58 0.000309014 -155 *1267:80 *5824:213 0.00031457 -156 *1267:83 *28675:D 4.26902e-05 -157 *1267:83 *28675:SET_B 0.000415246 -158 *1267:83 *2779:80 0.000134453 -159 *1267:83 *5593:15 0 -160 *1267:83 *5638:130 3.56825e-05 -161 *1267:83 *5879:66 9.23367e-05 -162 *1267:100 *1361:45 0.000168467 -163 *1267:100 *1660:19 0 -164 *1267:100 *2774:74 0.000290254 -165 *1267:163 *25065:B2 9.91086e-05 -166 *1267:163 *27428:A2 0.000401742 -167 *1267:163 *27736:B2 0.00105489 -168 *1267:163 *1826:170 0.00108038 -169 *1267:163 *2853:251 9.84797e-05 -170 *1267:163 *3153:180 5.38442e-05 -171 *1267:163 *3153:204 0.00014524 -172 *1267:163 *3622:7 0.000596644 -173 *1267:163 *3913:33 0.000219289 -174 *1267:163 *3991:38 0.000100823 -175 *1267:163 *5649:137 0.00133105 -176 *1267:172 *25064:C1 5.33005e-05 -177 *1267:172 *3176:257 0.0011316 -178 *1267:172 *5600:195 5.74499e-06 -179 *1267:172 *5600:205 0.000279606 -180 *1267:178 *25064:C1 6.42095e-05 -181 *1267:178 *25070:C 3.16426e-06 -182 *1267:178 *4123:33 3.39139e-05 -183 *1267:202 *25064:A1 0.000177821 -184 *1267:202 *27834:B2 8.92205e-05 -185 *1267:202 *27835:B2 0.00094371 -186 *1267:202 *1308:13 7.15003e-05 -187 *1267:202 *2877:253 0.000110666 -188 *1267:202 *3164:287 1.40136e-05 -189 *1267:202 *3800:64 0.0015834 -190 *1267:202 *3993:14 0.000738083 -191 *1267:202 *4123:33 3.20097e-05 -192 *1267:221 *27471:B 0.000154703 -193 *1267:221 *27834:B2 2.26973e-05 -194 *1267:221 *1308:19 0.00132057 -195 *1267:221 *1367:46 0.000181177 -196 *1267:221 *1368:46 0.000312037 -197 *1267:221 *2767:62 4.82865e-05 -198 *1267:221 *2866:294 0.000318383 -199 *1267:221 *2872:224 3.97221e-05 -200 *1267:221 *2877:253 0.00056686 -201 *1267:221 *2885:123 0.000131364 -202 *1267:221 *3165:55 0.00129403 -203 *1267:221 *3956:25 0.000127359 -204 *1267:221 *5647:49 0.000489776 -205 *24982:A2 *1267:202 0.00015134 -206 *25064:A2 *1267:202 0.00153332 -207 *1225:100 *1267:65 0 -208 *1235:159 *1267:49 0.000780247 -209 *1256:95 *1267:65 0.000136845 -210 *1256:113 *1267:76 0.000136676 -211 *1257:146 *25077:A2 0.000199691 -212 *1257:153 *25077:A2 0.00104415 -213 *1258:14 *1267:100 6.29376e-05 -214 *1258:33 *25243:B1 8.10506e-05 -215 *1258:90 *1267:202 0.000581419 -216 *1258:90 *1267:221 3.63489e-05 -217 *1261:177 *25243:B1 0 -218 *1261:177 *1267:83 0 -*RES -1 *24941:Y *1267:5 10.2464 -2 *1267:5 *25077:A2 46.1572 -3 *1267:5 *1267:49 48.2653 -4 *1267:49 *1267:65 30.5057 -5 *1267:65 *1267:76 39.6154 -6 *1267:76 *1267:80 7.9549 -7 *1267:80 *1267:83 5.90727 -8 *1267:83 *25243:B1 19.7701 -9 *1267:83 *1267:100 9.95647 -10 *1267:100 *25364:A2 18.1055 -11 *1267:100 *25315:A2 20.2981 -12 *1267:80 *25186:A2 42.9153 -13 *1267:76 *29725:A 21.537 -14 *1267:65 *1267:163 46.7679 -15 *1267:163 *1267:172 34.8571 -16 *1267:172 *6389:DIODE 9.3 -17 *1267:172 *1267:178 2.58929 -18 *1267:178 *25064:B1 9.72857 -19 *1267:178 *1267:202 48.72 -20 *1267:202 *1267:221 38.6886 -21 *1267:221 *25014:A2 48.2152 -22 *1267:221 *24987:B1 41.7821 -*END - -*D_NET *1268 0.0643824 -*CONN -*I *6400:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *24993:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25027:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25337:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *30765:A I *D sky130_fd_sc_hd__buf_6 -*I *24942:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *6400:DIODE 5.12953e-05 -2 *24993:A2 0 -3 *25027:A2 0.000119952 -4 *25337:B1 2.06858e-05 -5 *30765:A 0 -6 *24942:Y 0.00032206 -7 *1268:123 0.000905127 -8 *1268:96 0.00141902 -9 *1268:95 0.00231295 -10 *1268:79 0.00292528 -11 *1268:74 0.00538831 -12 *1268:53 0.00631625 -13 *1268:43 0.00217932 -14 *1268:39 0.00103959 -15 *1268:37 0.00350152 -16 *1268:11 0.00305972 -17 *6400:DIODE *1654:27 5.33005e-05 -18 *25027:A2 *25027:A1 5.49489e-05 -19 *25027:A2 *1328:198 5.7661e-06 -20 *25337:B1 *25337:B2 1.04232e-05 -21 *1268:11 *24942:A 2.89114e-05 -22 *1268:11 *24942:B 4.58194e-05 -23 *1268:11 *25107:A1 5.33005e-05 -24 *1268:11 *1451:26 6.05161e-06 -25 *1268:11 *1494:38 0.000255874 -26 *1268:11 *2880:180 1.57414e-05 -27 *1268:11 *4022:75 0.000255874 -28 *1268:37 *24942:A 2.13481e-06 -29 *1268:37 *25107:A1 4.85033e-05 -30 *1268:37 *25107:C1 0 -31 *1268:37 *1274:14 0.000584297 -32 *1268:37 *1274:59 1.65282e-05 -33 *1268:37 *1279:102 0.000158304 -34 *1268:37 *1449:62 6.32409e-06 -35 *1268:37 *1451:26 3.37729e-05 -36 *1268:37 *1485:39 0.000126965 -37 *1268:37 *2893:43 6.07037e-07 -38 *1268:37 *3413:51 0.000601877 -39 *1268:37 *3542:42 6.35819e-05 -40 *1268:37 *3849:69 0.000675442 -41 *1268:37 *4043:70 0.000671206 -42 *1268:37 *4129:96 0.00033484 -43 *1268:37 *5601:162 1.37861e-05 -44 *1268:37 *5610:54 0.000220447 -45 *1268:37 *5612:38 0.000100478 -46 *1268:37 *5612:42 0.000205942 -47 *1268:37 *5861:34 0.000156603 -48 *1268:39 *29334:A 2.2351e-05 -49 *1268:39 *3712:38 0.000259273 -50 *1268:39 *5584:103 0.000114296 -51 *1268:39 *5616:25 1.33343e-05 -52 *1268:43 *25337:B2 4.05527e-05 -53 *1268:43 *1654:27 0.000181374 -54 *1268:53 *25337:A1 3.87499e-05 -55 *1268:53 *25337:B2 5.74499e-06 -56 *1268:53 *27374:B 7.03934e-05 -57 *1268:53 *5589:20 0.00303388 -58 *1268:53 *5651:248 9.41642e-05 -59 *1268:74 *27474:A 4.65519e-05 -60 *1268:74 *27830:B2 0.000110024 -61 *1268:74 *27839:C1 1.54493e-05 -62 *1268:74 *1313:8 0.000275402 -63 *1268:74 *2848:206 0.000266103 -64 *1268:74 *2866:241 0.0002147 -65 *1268:74 *2867:199 8.16452e-05 -66 *1268:74 *2888:194 0 -67 *1268:74 *2892:161 0.000129836 -68 *1268:74 *3029:20 0.000753466 -69 *1268:74 *3039:13 0.000442743 -70 *1268:74 *3165:33 0 -71 *1268:74 *3179:67 2.94059e-05 -72 *1268:74 *3185:164 8.00991e-05 -73 *1268:74 *3205:270 5.52634e-05 -74 *1268:74 *3537:50 1.90936e-05 -75 *1268:74 *3538:16 1.94879e-05 -76 *1268:74 *3822:32 2.09826e-05 -77 *1268:74 *4085:62 8.15914e-05 -78 *1268:74 *5601:37 9.08889e-06 -79 *1268:74 *5627:181 6.41086e-06 -80 *1268:74 *5630:144 9.5908e-05 -81 *1268:74 *5631:36 0.000273466 -82 *1268:74 *5639:114 0.000522763 -83 *1268:74 *5650:214 0 -84 *1268:74 *5652:128 0.000364641 -85 *1268:74 *5814:12 2.06178e-05 -86 *1268:79 *1490:146 0.000132428 -87 *1268:79 *2763:62 9.66977e-05 -88 *1268:79 *2774:180 0.000282022 -89 *1268:95 *24851:A 4.87854e-05 -90 *1268:95 *25013:A1 0.000257549 -91 *1268:95 *30395:A 1.62539e-05 -92 *1268:95 *1270:93 2.63501e-05 -93 *1268:95 *1270:94 0.00144762 -94 *1268:95 *1320:15 1.18927e-05 -95 *1268:95 *1334:22 0.000101034 -96 *1268:95 *2763:62 0.000366015 -97 *1268:95 *2763:69 3.02545e-05 -98 *1268:95 *2763:108 0.000399974 -99 *1268:95 *3682:15 0.000252988 -100 *1268:95 *3682:63 0.000119618 -101 *1268:95 *5386:12 0.000262154 -102 *1268:95 *5756:119 6.98512e-06 -103 *1268:95 *5829:126 0.00102083 -104 *1268:96 *1270:94 0.000200055 -105 *1268:96 *1350:25 0.00043309 -106 *1268:96 *2763:124 0.000616477 -107 *1268:96 *3748:34 0.0013139 -108 *1268:96 *4098:13 0.000665016 -109 *1268:96 *5386:12 0.000849266 -110 *1268:96 *5829:126 0.000347558 -111 *1268:123 *25013:A1 0.000148903 -112 *1268:123 *27026:A0 0.000125731 -113 *1268:123 *28601:D 0.000104173 -114 *1268:123 *28601:RESET_B 3.02581e-06 -115 *1268:123 *1274:170 8.75982e-05 -116 *1268:123 *1274:172 0.000209855 -117 *1268:123 *1320:10 2.902e-06 -118 *1268:123 *1320:15 4.97825e-05 -119 *1268:123 *2763:58 0.00069649 -120 *1268:123 *2776:144 0.00105187 -121 *1268:123 *3761:5 0.000163629 -122 *1268:123 *3761:18 6.05161e-06 -123 *1268:123 *4124:93 0.000775167 -124 *1268:123 *5602:100 0.000322148 -125 *24936:A *1268:37 9.60939e-05 -126 *24994:A2 *1268:123 9.67465e-05 -127 *25337:A2 *1268:53 0.000122997 -128 *244:65 *1268:96 0.00411491 -129 *244:65 *1268:123 0.000698205 -130 *1185:125 *1268:74 2.77452e-05 -131 *1224:23 *1268:37 6.54117e-05 -132 *1235:113 *1268:37 2.63501e-05 -133 *1260:47 *1268:53 0.00336628 -134 *1262:19 *1268:37 9.64511e-05 -135 *1266:22 *1268:37 0.000335895 -136 *1266:64 *1268:39 0.000105559 -*RES -1 *24942:Y *1268:11 24.4786 -2 *1268:11 *30765:A 9.3 -3 *1268:11 *1268:37 46.8644 -4 *1268:37 *1268:39 11.2143 -5 *1268:39 *1268:43 4.14286 -6 *1268:43 *25337:B1 9.72857 -7 *1268:43 *1268:53 46.1429 -8 *1268:53 *1268:74 48.3814 -9 *1268:74 *1268:79 21.0357 -10 *1268:79 *1268:95 45.9783 -11 *1268:95 *1268:96 52.9464 -12 *1268:96 *25027:A2 15.4786 -13 *1268:79 *1268:123 49.6071 -14 *1268:123 *24993:A2 13.8 -15 *1268:39 *6400:DIODE 10.2464 -*END - -*D_NET *1269 0.142804 -*CONN -*I *25344:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *24994:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25020:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *6411:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25195:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25238:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25059:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *26901:A I *D sky130_fd_sc_hd__nand2_8 -*I *25352:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25117:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *24943:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25344:A2 9.46615e-05 -2 *24994:B1 8.66423e-05 -3 *25020:B1 0.00119076 -4 *6411:DIODE 0.000128094 -5 *25195:A2 0 -6 *25238:A2 5.16472e-05 -7 *25059:B1 7.64621e-05 -8 *26901:A 0 -9 *25352:A2 0 -10 *25117:A2 0.00104687 -11 *24943:Y 0.000108717 -12 *1269:267 0.00210351 -13 *1269:264 0.00165016 -14 *1269:247 0.00186541 -15 *1269:238 0.00524356 -16 *1269:231 0.00626441 -17 *1269:213 0.00404421 -18 *1269:203 0.00228428 -19 *1269:186 0.000689374 -20 *1269:154 0.00191218 -21 *1269:145 0.00249884 -22 *1269:137 0.00107599 -23 *1269:134 0.00149801 -24 *1269:128 0.00329377 -25 *1269:117 0.00337232 -26 *1269:110 0.00323266 -27 *1269:98 0.0033744 -28 *1269:86 0.00259868 -29 *1269:40 0.00324848 -30 *1269:21 0.00383791 -31 *1269:15 0.00137 -32 *1269:10 0.00154098 -33 *6411:DIODE *25253:B2 4.33438e-05 -34 *6411:DIODE *25344:B1 1.92905e-05 -35 *6411:DIODE *2893:26 0.000100823 -36 *6411:DIODE *2893:43 1.31653e-05 -37 *6411:DIODE *4133:51 9.25014e-06 -38 *24994:B1 *24994:A1 4.81734e-05 -39 *24994:B1 *24994:B2 9.21773e-05 -40 *24994:B1 *1320:10 6.1604e-05 -41 *25020:B1 *25020:B2 6.26774e-06 -42 *25020:B1 *3682:21 1.65169e-05 -43 *25020:B1 *3812:24 0.000423607 -44 *25020:B1 *5529:46 0.000135028 -45 *25059:B1 *25059:B2 3.75081e-05 -46 *25059:B1 *27790:B2 0.000221212 -47 *25059:B1 *5435:16 2.42516e-05 -48 *25059:B1 *5652:200 1.07719e-05 -49 *25117:A2 *24942:A 0.000143766 -50 *25117:A2 *25117:B1 5.33005e-05 -51 *25117:A2 *25331:B1 0.000168779 -52 *25117:A2 *1448:127 0.000433578 -53 *25117:A2 *1451:26 5.84987e-05 -54 *25117:A2 *1451:39 0.00013833 -55 *25117:A2 *1460:25 0.000555433 -56 *25117:A2 *1631:16 0.000347738 -57 *25117:A2 *1645:16 0.000492255 -58 *25117:A2 *1657:56 0 -59 *25117:A2 *4022:75 9.58126e-05 -60 *25117:A2 *4070:63 5.52302e-05 -61 *25117:A2 *5623:137 9.56815e-06 -62 *25117:A2 *5626:30 0.000166093 -63 *25238:A2 *25238:A1 5.33005e-05 -64 *25238:A2 *2867:260 6.80387e-06 -65 *25238:A2 *2877:349 8.76591e-06 -66 *25238:A2 *2885:175 5.52302e-05 -67 *25238:A2 *3678:60 1.90936e-05 -68 *25238:A2 *3691:34 1.90936e-05 -69 *25238:A2 *5589:44 2.63501e-05 -70 *25344:A2 *25344:A1 6.39606e-05 -71 *25344:A2 *25344:B1 6.05161e-06 -72 *25344:A2 *25344:B2 6.04503e-05 -73 *25344:A2 *1663:16 9.99635e-05 -74 *1269:10 *25196:A1 0.000136676 -75 *1269:10 *1516:10 6.81704e-05 -76 *1269:10 *2778:121 0.000144038 -77 *1269:10 *3758:43 0.000144038 -78 *1269:15 *1274:92 0.000136676 -79 *1269:15 *1406:45 6.81786e-05 -80 *1269:15 *1449:21 0.000556747 -81 *1269:15 *1449:44 0.000375366 -82 *1269:15 *2780:195 0.000105471 -83 *1269:15 *5614:37 0.000872975 -84 *1269:21 *25196:B2 2.22109e-05 -85 *1269:21 *1781:16 4.65519e-05 -86 *1269:21 *1781:25 6.20441e-06 -87 *1269:21 *3888:12 2.06112e-05 -88 *1269:21 *5832:8 7.69776e-06 -89 *1269:40 *25196:B2 0.00253759 -90 *1269:40 *1287:132 5.21969e-05 -91 *1269:40 *1415:38 0.000799075 -92 *1269:40 *1420:40 0.000219655 -93 *1269:40 *1448:127 0.000537559 -94 *1269:40 *1456:18 0.000278936 -95 *1269:40 *1456:38 0.000391121 -96 *1269:40 *1473:14 0.000125449 -97 *1269:40 *1483:68 8.80596e-06 -98 *1269:40 *1627:12 1.55479e-05 -99 *1269:40 *1631:16 7.92343e-06 -100 *1269:40 *3389:20 0.00290285 -101 *1269:40 *3888:12 0.000558923 -102 *1269:40 *5600:57 0.000138988 -103 *1269:40 *5832:25 2.1698e-05 -104 *1269:40 *5836:78 4.54918e-05 -105 *1269:86 *26847:B 4.29537e-05 -106 *1269:86 *29059:A 2.10787e-05 -107 *1269:86 *1287:132 0.002014 -108 *1269:86 *1415:27 0.000452851 -109 *1269:86 *1451:6 0.000148431 -110 *1269:86 *1627:10 0.000276554 -111 *1269:86 *1719:12 1.65282e-05 -112 *1269:86 *2775:156 0.000146777 -113 *1269:86 *3389:20 0.000169659 -114 *1269:86 *4199:12 0.000353599 -115 *1269:86 *5709:182 0.000341 -116 *1269:86 *5891:18 0.00031703 -117 *1269:86 *5891:28 0.000613421 -118 *1269:98 *25352:A1 0.0012687 -119 *1269:98 *28710:CLK 0.00130362 -120 *1269:98 *29632:A 0.000548732 -121 *1269:98 *30206:A 0.000223282 -122 *1269:98 *2877:299 0.000125449 -123 *1269:98 *3595:6 0.000217305 -124 *1269:98 *3758:41 0.000974061 -125 *1269:98 *3823:40 9.10285e-05 -126 *1269:98 *5590:79 0.000462305 -127 *1269:98 *5650:280 0.000495492 -128 *1269:110 *1557:16 0.00081697 -129 *1269:110 *2779:90 0.000399257 -130 *1269:110 *2853:262 7.02772e-05 -131 *1269:110 *3576:76 0.000140446 -132 *1269:110 *3924:64 0.000153243 -133 *1269:110 *5655:203 0.00015495 -134 *1269:110 *5661:245 0.00133358 -135 *1269:117 *28492:D 0.000260152 -136 *1269:117 *2860:271 1.90269e-05 -137 *1269:117 *2867:260 0.000130242 -138 *1269:117 *2877:349 8.00576e-05 -139 *1269:117 *2885:178 0.000504724 -140 *1269:117 *3899:38 0.00024379 -141 *1269:117 *5589:44 6.14836e-06 -142 *1269:117 *5661:267 0.001472 -143 *1269:117 *5663:126 0.000241961 -144 *1269:128 *27057:S 0.000196423 -145 *1269:128 *27058:S 2.33124e-05 -146 *1269:128 *27335:B1 0.000571025 -147 *1269:128 *1293:149 0.000642101 -148 *1269:128 *2760:163 0.000142026 -149 *1269:128 *2761:53 7.88739e-05 -150 *1269:128 *2778:155 0.00105293 -151 *1269:128 *2779:67 0.000751634 -152 *1269:128 *2888:232 0.00113968 -153 *1269:128 *2888:252 0.000213219 -154 *1269:128 *2922:20 0.00118713 -155 *1269:128 *2933:12 0.000168164 -156 *1269:128 *3259:13 0.000726393 -157 *1269:128 *3730:8 1.03483e-05 -158 *1269:128 *5633:103 0.000140349 -159 *1269:128 *5759:18 4.64531e-05 -160 *1269:134 *1680:29 0.00309038 -161 *1269:134 *4017:56 0.000151194 -162 *1269:134 *4121:24 9.99989e-05 -163 *1269:134 *5631:71 0.000221212 -164 *1269:134 *5645:235 0.00112554 -165 *1269:134 *5647:116 7.95355e-05 -166 *1269:134 *5810:17 0.000822691 -167 *1269:137 *25059:B2 1.54153e-06 -168 *1269:137 *27790:B2 0.000425831 -169 *1269:137 *1826:188 0.000516118 -170 *1269:137 *5435:16 0.000269188 -171 *1269:145 *25381:C1 7.93583e-05 -172 *1269:145 *26943:A1 1.24368e-05 -173 *1269:145 *1680:29 0.00138875 -174 *1269:145 *3837:33 0.000215228 -175 *1269:145 *3916:20 0.00021371 -176 *1269:145 *5810:34 0.00103154 -177 *1269:154 *25381:C1 0.00135036 -178 *1269:154 *26943:A1 0.000178277 -179 *1269:154 *27000:A 0.00072297 -180 *1269:154 *27099:A 2.59355e-05 -181 *1269:154 *27099:B 2.89114e-05 -182 *1269:154 *28495:RESET_B 0.000260574 -183 *1269:154 *28557:CLK 0.000178847 -184 *1269:154 *28557:D 2.6709e-05 -185 *1269:154 *1855:11 0.000527345 -186 *1269:154 *2769:146 0.000527345 -187 *1269:154 *2772:14 0.000137561 -188 *1269:154 *2772:152 0.000342302 -189 *1269:154 *2776:207 7.53109e-06 -190 *1269:154 *4123:9 4.27935e-05 -191 *1269:154 *4123:14 0.000219711 -192 *1269:154 *5773:46 0.000219711 -193 *1269:154 *5773:100 2.10324e-05 -194 *1269:154 *5873:26 0.000391978 -195 *1269:186 *25253:B2 3.25952e-05 -196 *1269:186 *1274:92 0.000298483 -197 *1269:186 *1406:45 6.09682e-05 -198 *1269:186 *2893:26 0.000629432 -199 *1269:186 *4133:46 9.85571e-05 -200 *1269:186 *4133:51 5.94701e-05 -201 *1269:186 *5603:52 0.000399318 -202 *1269:186 *5614:37 4.98323e-05 -203 *1269:203 *1271:162 1.62539e-05 -204 *1269:203 *1700:20 0.00018777 -205 *1269:203 *5634:150 0.000298483 -206 *1269:203 *5663:87 6.53301e-05 -207 *1269:213 *27351:C1 0.000165123 -208 *1269:213 *1507:20 0.00047423 -209 *1269:213 *1700:20 0.000213269 -210 *1269:213 *2886:244 7.83587e-05 -211 *1269:213 *3797:85 0.000300412 -212 *1269:213 *5655:201 7.6644e-05 -213 *1269:231 *25318:A1 3.77147e-05 -214 *1269:231 *25322:B2 0.00136246 -215 *1269:231 *25325:A 0.000135028 -216 *1269:231 *1285:37 4.11218e-05 -217 *1269:231 *2877:221 6.5429e-05 -218 *1269:231 *2888:212 0.00035908 -219 *1269:231 *2922:27 0.000259267 -220 *1269:231 *2958:34 4.11218e-05 -221 *1269:231 *3250:26 9.9974e-05 -222 *1269:231 *3912:58 6.54117e-05 -223 *1269:231 *4041:12 2.45832e-05 -224 *1269:231 *4068:31 9.82592e-05 -225 *1269:231 *5600:139 6.05161e-06 -226 *1269:238 *27467:A2 5.43041e-05 -227 *1269:238 *27468:B1 0.000415914 -228 *1269:238 *1638:36 0.00158247 -229 *1269:238 *2877:221 3.87634e-05 -230 *1269:238 *2877:234 4.54213e-05 -231 *1269:238 *3205:270 9.54373e-05 -232 *1269:238 *3721:37 0.000561002 -233 *1269:238 *3852:51 0.0011295 -234 *1269:238 *3976:5 6.35776e-05 -235 *1269:238 *3976:9 0.000348534 -236 *1269:238 *5604:117 1.90936e-05 -237 *1269:238 *5631:36 2.04825e-05 -238 *1269:247 *24994:C1 0.000218741 -239 *1269:247 *27465:A1 0.000262228 -240 *1269:247 *27465:B2 0.000498057 -241 *1269:247 *1275:119 0.000210205 -242 *1269:247 *1385:34 1.61405e-05 -243 *1269:247 *2848:233 6.05161e-06 -244 *1269:247 *3345:6 4.00349e-05 -245 *1269:247 *3690:46 0.00187668 -246 *1269:264 *24994:C1 3.34295e-05 -247 *1269:264 *25013:B1 0.000359846 -248 *1269:264 *1270:88 0.00018139 -249 *1269:264 *1272:158 0.000681725 -250 *1269:264 *1275:119 0.000247771 -251 *1269:264 *1315:12 0.000687702 -252 *1269:264 *1334:22 9.10895e-05 -253 *1269:264 *2770:53 0.000221365 -254 *1269:264 *5680:196 2.04825e-05 -255 *1269:267 *1270:88 0.000176569 -256 *1269:267 *1272:160 0.00335027 -257 *1269:267 *1320:15 0.00212141 -258 *1269:267 *3748:37 0.00106941 -259 *1269:267 *5386:12 0.000206042 -260 *1269:267 *5756:95 8.44766e-05 -261 *24994:A2 *24994:B1 0.000143463 -262 *25014:A2 *1269:264 0.000219711 -263 *25318:A2 *1269:231 3.87074e-05 -264 *25319:A2 *1269:247 0.000688506 -265 *30207:A *1269:98 0.000139202 -266 *30398:A *25020:B1 4.85033e-05 -267 *244:65 *1269:264 7.49166e-06 -268 *791:11 *1269:154 0.000287549 -269 *1225:100 *1269:98 2.35985e-05 -270 *1225:119 *1269:110 3.57844e-05 -271 *1233:21 *1269:40 0.000136706 -272 *1239:90 *1269:86 0.00141848 -273 *1242:41 *25117:A2 2.79398e-05 -274 *1242:61 *1269:40 4.19032e-05 -275 *1248:120 *1269:40 0.000150492 -276 *1261:53 *1269:247 0.000762815 -277 *1261:119 *25020:B1 5.30167e-05 -278 *1261:227 *1269:128 0.000313699 -279 *1262:53 *1269:21 1.8995e-06 -280 *1262:80 *1269:110 0.000534243 -281 *1265:95 *1269:203 0.000148199 -282 *1265:95 *1269:213 0.000214977 -*RES -1 *24943:Y *1269:10 21.6036 -2 *1269:10 *1269:15 22.4464 -3 *1269:15 *1269:21 8.70222 -4 *1269:21 *1269:40 45.782 -5 *1269:40 *25117:A2 49.1125 -6 *1269:21 *1269:86 37.9844 -7 *1269:86 *25352:A2 9.3 -8 *1269:86 *1269:98 48.3036 -9 *1269:98 *1269:110 35.8383 -10 *1269:110 *1269:117 28.6302 -11 *1269:117 *1269:128 32.7878 -12 *1269:128 *1269:134 43.7143 -13 *1269:134 *1269:137 10.8214 -14 *1269:137 *1269:145 27.6071 -15 *1269:145 *1269:154 47.7143 -16 *1269:154 *26901:A 13.8 -17 *1269:137 *25059:B1 11.4786 -18 *1269:117 *25238:A2 18.1055 -19 *1269:15 *25195:A2 9.3 -20 *1269:10 *1269:186 15.8214 -21 *1269:186 *6411:DIODE 16.2107 -22 *1269:186 *1269:203 14.5536 -23 *1269:203 *1269:213 38.875 -24 *1269:213 *1269:231 49.6597 -25 *1269:231 *1269:238 49.971 -26 *1269:238 *1269:247 41.4368 -27 *1269:247 *1269:264 34.9158 -28 *1269:264 *1269:267 47.2768 -29 *1269:267 *25020:B1 22.9964 -30 *1269:247 *24994:B1 16.425 -31 *1269:203 *25344:A2 16.6214 -*END - -*D_NET *1270 0.0873462 -*CONN -*I *25061:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *24981:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25028:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25239:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *30777:A I *D sky130_fd_sc_hd__buf_8 -*I *24944:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25061:A2 0.000692252 -2 *24981:A2 0.000382762 -3 *25028:A2 3.53867e-05 -4 *25239:B1 0 -5 *30777:A 0.00017129 -6 *24944:Y 0 -7 *1270:114 0.00160859 -8 *1270:97 0.00176594 -9 *1270:94 0.00218357 -10 *1270:93 0.00170821 -11 *1270:88 0.00391558 -12 *1270:75 0.00804609 -13 *1270:66 0.00657118 -14 *1270:41 0.00387515 -15 *1270:33 0.0019223 -16 *1270:22 0.00313619 -17 *1270:4 0.00215678 -18 *24981:A2 *24981:A1 5.7661e-06 -19 *24981:A2 *29713:A 3.61698e-05 -20 *24981:A2 *1307:11 9.41642e-05 -21 *24981:A2 *5678:106 0.000135028 -22 *24981:A2 *6017:11 0.000151179 -23 *25028:A2 *3734:56 5.03943e-05 -24 *25061:A2 *25061:B2 0.000114596 -25 *25061:A2 *1371:51 0.000565683 -26 *25061:A2 *2771:171 0.000234749 -27 *25061:A2 *2775:28 0.000224322 -28 *25061:A2 *5386:12 0.00153914 -29 *30777:A *25347:A 1.24368e-05 -30 *30777:A *1576:8 0.000190689 -31 *30777:A *1611:13 1.51571e-05 -32 *30777:A *5607:13 0.000136676 -33 *30777:A *6280:18 0.000188967 -34 *1270:22 *25290:A1 7.83934e-05 -35 *1270:22 *25290:B1 7.49387e-06 -36 *1270:22 *25290:C1 0.000386121 -37 *1270:22 *1275:94 9.60939e-05 -38 *1270:22 *2784:67 0.00100988 -39 *1270:22 *2882:206 0.000197779 -40 *1270:22 *3197:74 4.66596e-05 -41 *1270:22 *3216:203 0.00158721 -42 *1270:22 *3703:35 0.000327248 -43 *1270:22 *3992:54 0.00100918 -44 *1270:22 *5643:80 0.0010884 -45 *1270:33 *28925:A 5.33005e-05 -46 *1270:33 *1549:38 0.000119303 -47 *1270:33 *2794:141 0.00135049 -48 *1270:33 *3233:16 0.00185633 -49 *1270:33 *3963:87 0.000664655 -50 *1270:33 *5663:87 0.000216755 -51 *1270:41 *25239:A1 0 -52 *1270:41 *25239:B2 5.33005e-05 -53 *1270:41 *1549:24 0.00069928 -54 *1270:41 *1558:14 0.00056488 -55 *1270:41 *2871:305 0.000372951 -56 *1270:41 *2886:335 0.000801038 -57 *1270:41 *2904:11 3.00053e-05 -58 *1270:41 *3242:6 1.721e-05 -59 *1270:41 *3624:8 9.25014e-06 -60 *1270:41 *3886:79 0.000394014 -61 *1270:41 *5645:108 2.04866e-05 -62 *1270:41 *5652:20 0.000114258 -63 *1270:66 *25065:A1 1.90936e-05 -64 *1270:66 *25239:A1 2.59355e-05 -65 *1270:66 *27360:A1 6.75952e-05 -66 *1270:66 *1558:14 0.000167764 -67 *1270:66 *2844:285 0.000915152 -68 *1270:66 *2844:386 0.000834215 -69 *1270:66 *2886:335 9.76872e-05 -70 *1270:66 *3215:199 1.90936e-05 -71 *1270:66 *4095:79 0.000481949 -72 *1270:66 *5596:51 0.000343526 -73 *1270:75 *28655:D 0.000455716 -74 *1270:75 *1371:51 7.53204e-05 -75 *1270:75 *2872:336 0 -76 *1270:75 *3179:238 3.07415e-05 -77 *1270:75 *3930:86 0.000926782 -78 *1270:75 *4108:36 0.000595157 -79 *1270:75 *4134:96 2.64464e-05 -80 *1270:75 *5777:259 0.000156145 -81 *1270:88 *24994:C1 0.000718955 -82 *1270:88 *25013:A1 0.000169437 -83 *1270:88 *1274:141 0.000348169 -84 *1270:88 *1275:119 6.20091e-06 -85 *1270:88 *1275:139 2.30116e-06 -86 *1270:88 *1275:142 0.000292241 -87 *1270:88 *1320:10 0.000149257 -88 *1270:88 *1320:15 0.000159985 -89 *1270:88 *1334:19 0 -90 *1270:88 *1371:40 4.33438e-05 -91 *1270:88 *1371:51 3.99614e-05 -92 *1270:88 *2763:62 0.000175618 -93 *1270:88 *3722:23 0.000316149 -94 *1270:88 *3813:19 0.000379682 -95 *1270:88 *5386:12 0.00433573 -96 *1270:88 *5639:104 0 -97 *1270:88 *5639:147 0 -98 *1270:88 *5656:275 0 -99 *1270:88 *5680:196 1.57178e-05 -100 *1270:88 *5872:25 0 -101 *1270:88 *5873:60 0 -102 *1270:93 *5756:119 2.59024e-05 -103 *1270:94 *30395:A 6.54117e-05 -104 *1270:94 *1272:168 0.00010588 -105 *1270:94 *1320:15 1.53472e-05 -106 *1270:94 *2773:16 0.000573972 -107 *1270:94 *3748:34 0.000355497 -108 *1270:94 *3748:37 0.000121052 -109 *1270:94 *3812:24 0.000966604 -110 *1270:94 *4098:13 0.000250397 -111 *1270:94 *4098:39 0.00169127 -112 *1270:94 *5386:12 0.00110505 -113 *1270:94 *5756:86 0.000417035 -114 *1270:94 *5756:95 0.00114738 -115 *1270:94 *5756:107 0.000773601 -116 *1270:94 *5756:119 6.81196e-05 -117 *1270:94 *5829:126 0.00168313 -118 *1270:97 *27812:A1 0.000586458 -119 *1270:97 *3734:56 1.02821e-05 -120 *1270:114 *27007:A1 0.000457255 -121 *1270:114 *27017:S 0.000148911 -122 *1270:114 *30865:A 0.000171368 -123 *1270:114 *1339:34 0.000176372 -124 *1270:114 *1347:31 2.96805e-05 -125 *1270:114 *1844:160 2.51343e-06 -126 *1270:114 *3734:56 0.000936926 -127 *1270:114 *3747:11 4.89264e-05 -128 *1270:114 *5529:31 0.000320259 -129 *1270:114 *5529:35 1.50087e-05 -130 *1270:114 *5606:81 0.00169095 -131 *1270:114 *5675:97 0.00105632 -132 *1270:114 *5678:106 0.000138358 -133 *25290:A2 *1270:22 0.000133749 -134 *244:65 *1270:88 0.00198972 -135 *1252:195 *1270:75 0 -136 *1260:184 *25061:A2 0.000300257 -137 *1260:202 *1270:88 0.000305801 -138 *1268:95 *1270:93 2.63501e-05 -139 *1268:95 *1270:94 0.00144762 -140 *1268:96 *1270:94 0.000200055 -141 *1269:264 *1270:88 0.00018139 -142 *1269:267 *1270:88 0.000176569 -*RES -1 *24944:Y *1270:4 9.3 -2 *1270:4 *30777:A 22.6214 -3 *1270:4 *1270:22 47.8214 -4 *1270:22 *1270:33 47.5893 -5 *1270:33 *1270:41 26.5 -6 *1270:41 *25239:B1 9.3 -7 *1270:41 *1270:66 48.5982 -8 *1270:66 *1270:75 14.8868 -9 *1270:75 *1270:88 47.9099 -10 *1270:88 *1270:93 6.90303 -11 *1270:93 *1270:94 70.4018 -12 *1270:94 *1270:97 10.7857 -13 *1270:97 *25028:A2 10.1795 -14 *1270:97 *1270:114 46.0179 -15 *1270:114 *24981:A2 20.6616 -16 *1270:75 *25061:A2 25.9817 -*END - -*D_NET *1271 0.118 -*CONN -*I *25274:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25052:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25308:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25093:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25214:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25360:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *24969:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25009:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27135:A I *D sky130_fd_sc_hd__nand2_8 -*I *24945:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25274:A2 0 -2 *25052:B1 9.98162e-05 -3 *25308:A2 2.01318e-05 -4 *25093:B1 6.06591e-05 -5 *25214:A2 9.09693e-05 -6 *25360:A2 4.08348e-05 -7 *24969:B1 0.000114229 -8 *25009:A2 0.000615035 -9 *27135:A 5.18441e-05 -10 *24945:Y 0.000755365 -11 *1271:221 0.00135159 -12 *1271:211 0.00392467 -13 *1271:191 0.00455577 -14 *1271:174 0.00226243 -15 *1271:162 0.00386525 -16 *1271:161 0.00532975 -17 *1271:131 0.00327825 -18 *1271:122 0.00203133 -19 *1271:104 0.00249256 -20 *1271:88 0.00265108 -21 *1271:70 0.00148357 -22 *1271:49 0.00249115 -23 *1271:29 0.00210027 -24 *1271:23 0.00284457 -25 *1271:16 0.00395003 -26 *24969:B1 *24969:A1 4.30382e-05 -27 *24969:B1 *3943:17 4.87854e-05 -28 *25009:A2 *24983:A2 0.000107869 -29 *25009:A2 *24985:B2 4.89264e-05 -30 *25009:A2 *25009:A1 0.000515689 -31 *25009:A2 *25022:B2 8.00806e-05 -32 *25009:A2 *1277:98 2.39017e-05 -33 *25009:A2 *2776:23 0.000305459 -34 *25009:A2 *3943:20 0 -35 *25009:A2 *5522:85 6.81083e-05 -36 *25009:A2 *5585:105 0.000408203 -37 *25009:A2 *5707:251 0.000239144 -38 *25052:B1 *25052:A1 2.24609e-05 -39 *25052:B1 *27774:A1 4.53378e-05 -40 *25052:B1 *3941:21 6.26774e-06 -41 *25052:B1 *4107:26 0.000135028 -42 *25093:B1 *25093:B2 5.49544e-05 -43 *25093:B1 *1414:10 0.000178425 -44 *25093:B1 *2970:25 3.77065e-05 -45 *25214:A2 *3939:33 0.000115413 -46 *25214:A2 *5687:73 0.000237187 -47 *25308:A2 *25308:A1 2.59355e-05 -48 *25308:A2 *5605:170 2.14757e-05 -49 *25360:A2 *25360:B2 0.000135028 -50 *25360:A2 *3933:24 0.000136432 -51 *27135:A *5720:159 6.24939e-05 -52 *1271:16 *25950:A1 0.000265385 -53 *1271:16 *28391:RESET_B 8.71192e-05 -54 *1271:16 *28626:CLK 5.05082e-05 -55 *1271:16 *30335:A 9.41642e-05 -56 *1271:16 *1281:12 0.0001399 -57 *1271:16 *1455:11 0.000559304 -58 *1271:16 *1500:11 0.000801211 -59 *1271:16 *5602:13 0.000245443 -60 *1271:16 *5636:10 0.000241198 -61 *1271:23 *25387:A1 5.33005e-05 -62 *1271:23 *27050:S 0.000139019 -63 *1271:23 *27243:A 0.000344835 -64 *1271:23 *27418:A2 1.51489e-05 -65 *1271:23 *27419:A0 6.5374e-05 -66 *1271:23 *27419:A1 0.00089145 -67 *1271:23 *27419:S 0.000178847 -68 *1271:23 *29525:A 5.03772e-05 -69 *1271:23 *1290:48 0.000135028 -70 *1271:23 *2777:11 0.000149356 -71 *1271:23 *3573:25 1.24368e-05 -72 *1271:23 *3573:30 0.000143745 -73 *1271:23 *5667:129 0.000367062 -74 *1271:23 *5667:131 0.000145593 -75 *1271:23 *5720:169 0.0010183 -76 *1271:23 *5757:31 0.000181796 -77 *1271:29 *1805:11 5.41797e-06 -78 *1271:29 *2777:105 0.000357055 -79 *1271:29 *5515:42 0.000143457 -80 *1271:29 *5667:182 0.000432389 -81 *1271:29 *5667:201 0.000248873 -82 *1271:29 *5969:19 6.35864e-05 -83 *1271:29 *6302:19 3.71862e-05 -84 *1271:49 *27044:A0 4.19624e-06 -85 *1271:49 *27062:A1 0.000479704 -86 *1271:49 *27252:C 1.47818e-06 -87 *1271:49 *27255:A2 0 -88 *1271:49 *27260:A1 0 -89 *1271:49 *30833:A 0 -90 *1271:49 *1826:46 5.11566e-05 -91 *1271:49 *2777:105 0.000891295 -92 *1271:49 *2817:11 0 -93 *1271:49 *5602:21 0 -94 *1271:49 *5667:201 0.000712322 -95 *1271:49 *5680:257 1.70865e-05 -96 *1271:49 *5970:43 0.000559747 -97 *1271:70 *25274:B1 0.000132807 -98 *1271:70 *25950:A1 0.000665676 -99 *1271:70 *1294:128 0.000563005 -100 *1271:70 *1485:23 3.36355e-06 -101 *1271:70 *1593:12 5.31101e-05 -102 *1271:70 *2860:56 8.73679e-05 -103 *1271:70 *3972:24 0.000240972 -104 *1271:70 *5608:19 0.00012037 -105 *1271:88 *25266:C 9.25014e-06 -106 *1271:88 *25274:A1 1.02504e-05 -107 *1271:88 *25274:B1 1.46576e-05 -108 *1271:88 *28341:D 0.000125521 -109 *1271:88 *1420:22 0.000210098 -110 *1271:88 *1485:23 0.0010146 -111 *1271:88 *2780:61 5.33433e-05 -112 *1271:88 *2834:40 0.000682491 -113 *1271:88 *2860:154 2.07441e-05 -114 *1271:88 *5608:19 1.46576e-05 -115 *1271:104 *25099:A1 8.6229e-06 -116 *1271:104 *25099:B1 0.000275989 -117 *1271:104 *25360:B2 5.52302e-05 -118 *1271:104 *27882:B2 2.78756e-05 -119 *1271:104 *27905:A1 2.09826e-05 -120 *1271:104 *28342:CLK 5.71459e-05 -121 *1271:104 *30121:A 0.000131822 -122 *1271:104 *1484:23 9.48465e-06 -123 *1271:104 *2780:61 1.78394e-05 -124 *1271:104 *2780:72 2.42516e-05 -125 *1271:104 *2781:87 4.00349e-05 -126 *1271:104 *2845:30 0.000133811 -127 *1271:104 *2855:15 0.000247772 -128 *1271:104 *2855:32 5.00194e-05 -129 *1271:104 *2878:20 3.61778e-05 -130 *1271:104 *2878:138 0 -131 *1271:104 *2891:24 2.35215e-05 -132 *1271:104 *3205:43 0.000332393 -133 *1271:104 *3844:41 4.1879e-05 -134 *1271:104 *3868:32 0.000303917 -135 *1271:104 *3933:24 0.000248896 -136 *1271:104 *5517:20 7.85721e-05 -137 *1271:104 *5583:71 0.000330602 -138 *1271:104 *5609:89 0.00181934 -139 *1271:104 *5635:34 0.00152765 -140 *1271:104 *5661:42 4.65519e-05 -141 *1271:122 *25158:A 5.33005e-05 -142 *1271:122 *25360:B2 0.000190983 -143 *1271:122 *29035:A 2.59355e-05 -144 *1271:122 *1401:77 0.000135028 -145 *1271:122 *2894:26 8.44271e-06 -146 *1271:122 *3829:36 0.000214518 -147 *1271:122 *3985:33 0.000523799 -148 *1271:122 *5691:108 0.000837123 -149 *1271:131 *24956:A 0.000219374 -150 *1271:131 *25184:A1 0 -151 *1271:131 *25225:C 1.32293e-05 -152 *1271:131 *25283:D 1.90303e-05 -153 *1271:131 *1535:55 0 -154 *1271:131 *1594:35 0.000257495 -155 *1271:131 *2893:64 0.00014272 -156 *1271:131 *5606:14 0.00057786 -157 *1271:131 *5817:16 0.00141133 -158 *1271:131 *5869:74 1.0945e-05 -159 *1271:131 *5892:124 0.00151095 -160 *1271:161 *25183:B1 0.000343549 -161 *1271:161 *25221:A1 0.000109443 -162 *1271:161 *25224:A 1.1392e-05 -163 *1271:161 *25357:A1 2.46784e-05 -164 *1271:161 *1289:27 0.000312905 -165 *1271:161 *1421:100 0.000310744 -166 *1271:161 *1538:37 0 -167 *1271:161 *1576:27 3.77365e-05 -168 *1271:161 *1700:37 0.000459077 -169 *1271:161 *3215:50 0.000301016 -170 *1271:161 *3664:16 6.05863e-05 -171 *1271:161 *3867:80 0.00129304 -172 *1271:161 *3987:58 8.76591e-06 -173 *1271:161 *4952:14 0.00173185 -174 *1271:161 *5604:20 0.000262941 -175 *1271:161 *5817:16 0.000301064 -176 *1271:161 *5869:74 2.26424e-05 -177 *1271:162 *26903:A0 0.000863485 -178 *1271:162 *27311:B1 4.33002e-05 -179 *1271:162 *28634:CLK 0.000976398 -180 *1271:162 *1279:109 0.00318634 -181 *1271:162 *1285:11 0.000171368 -182 *1271:162 *1562:45 0.000786086 -183 *1271:162 *1611:13 2.15469e-05 -184 *1271:162 *1700:20 1.40893e-05 -185 *1271:162 *2775:173 0.00011602 -186 *1271:162 *2844:304 9.7956e-05 -187 *1271:162 *2876:19 1.90936e-05 -188 *1271:162 *2876:29 0.00209094 -189 *1271:162 *3596:18 0.000139415 -190 *1271:162 *3841:68 0.00384297 -191 *1271:162 *4043:70 0.000611952 -192 *1271:162 *4043:81 0.000653168 -193 *1271:162 *5585:47 0.000137113 -194 *1271:162 *5700:50 6.81895e-05 -195 *1271:162 *5861:14 0.000691787 -196 *1271:162 *5861:16 0.000204618 -197 *1271:162 *5861:34 0.000108511 -198 *1271:174 *1626:8 4.15592e-05 -199 *1271:174 *1626:27 2.7399e-05 -200 *1271:174 *1700:6 4.00349e-05 -201 *1271:174 *2871:354 0 -202 *1271:174 *5644:237 4.65519e-05 -203 *1271:174 *5688:97 0 -204 *1271:191 *25190:C1 0 -205 *1271:191 *1279:109 1.7897e-05 -206 *1271:191 *1626:8 0.000908695 -207 *1271:191 *1700:6 0.000948462 -208 *1271:191 *2855:189 0.000131205 -209 *1271:191 *5638:119 4.21517e-05 -210 *1271:191 *5748:10 4.00349e-05 -211 *1271:211 *25238:B2 0.000869409 -212 *1271:211 *25308:A1 0.000119426 -213 *1271:211 *25308:B1 1.05731e-05 -214 *1271:211 *27120:A0 9.41642e-05 -215 *1271:211 *27120:A1 7.69776e-06 -216 *1271:211 *27738:C1 0.000230928 -217 *1271:211 *1556:26 8.9249e-05 -218 *1271:211 *2786:55 0.000120892 -219 *1271:211 *3179:154 0.001908 -220 *1271:211 *3783:66 0.00122305 -221 *1271:211 *4082:74 4.50033e-05 -222 *1271:211 *4095:57 0.000182644 -223 *1271:211 *5605:170 0.00110653 -224 *1271:211 *5643:181 4.32957e-05 -225 *1271:211 *5645:119 0.000178425 -226 *1271:211 *5905:110 0.000498086 -227 *1271:221 *30145:A 5.49544e-05 -228 *1271:221 *1273:167 0.000141857 -229 *1271:221 *2986:18 0.000548047 -230 *1271:221 *3327:15 0.000546462 -231 *1271:221 *3679:33 2.44318e-05 -232 *1271:221 *3941:21 0.000140142 -233 *1271:221 *5643:192 0.00207865 -234 *1271:221 *5862:23 0.00141994 -235 *1271:221 *5879:98 0.00038021 -236 *24969:A2 *25009:A2 8.2958e-06 -237 *24985:A2 *25009:A2 0 -238 *25015:A2 *1271:49 2.06178e-05 -239 *25052:A2 *25052:B1 1.1914e-05 -240 *29689:A *1271:23 0.000114813 -241 *29854:A *1271:162 0.000145048 -242 *29932:A *1271:88 5.33005e-05 -243 *282:21 *1271:88 0.000212825 -244 *1218:37 *1271:161 4.12021e-05 -245 *1241:10 *1271:131 0.00023699 -246 *1243:58 *1271:161 2.16297e-05 -247 *1244:141 *1271:122 0.000537013 -248 *1248:56 *1271:131 0.000210081 -249 *1250:122 *24969:B1 0.000348207 -250 *1250:122 *1271:49 0.000519677 -251 *1250:163 *1271:88 5.51406e-05 -252 *1256:95 *1271:162 0.000384696 -253 *1256:96 *1271:174 0.000144939 -254 *1256:96 *1271:191 0.000105594 -255 *1256:113 *1271:191 0.00193841 -256 *1256:113 *1271:211 0.000489452 -257 *1262:80 *1271:191 0 -258 *1264:116 *25009:A2 5.6197e-05 -259 *1265:35 *1271:104 0.000136549 -260 *1265:95 *1271:162 0.0013891 -261 *1267:65 *1271:174 5.00194e-05 -262 *1269:203 *1271:162 1.62539e-05 -*RES -1 *24945:Y *1271:16 36.8714 -2 *1271:16 *1271:23 48.7143 -3 *1271:23 *1271:29 11.0641 -4 *1271:29 *27135:A 18.0939 -5 *1271:29 *1271:49 17.6678 -6 *1271:49 *25009:A2 35.0143 -7 *1271:49 *24969:B1 12.7107 -8 *1271:16 *1271:70 22.3527 -9 *1271:70 *1271:88 36.6652 -10 *1271:88 *1271:104 42.2629 -11 *1271:104 *25360:A2 10.6571 -12 *1271:104 *1271:122 31.4107 -13 *1271:122 *1271:131 46.75 -14 *1271:131 *25214:A2 16.1839 -15 *1271:131 *1271:161 39.1955 -16 *1271:161 *1271:162 119.732 -17 *1271:162 *1271:174 14.9661 -18 *1271:174 *25093:B1 15.5679 -19 *1271:174 *1271:191 27.8983 -20 *1271:191 *25308:A2 9.83571 -21 *1271:191 *1271:211 40.3823 -22 *1271:211 *1271:221 47.4464 -23 *1271:221 *25052:B1 16.1929 -24 *1271:70 *25274:A2 9.3 -*END - -*D_NET *1272 0.108927 -*CONN -*I *25065:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27009:A I *D sky130_fd_sc_hd__nand2_8 -*I *25019:A2 I *D sky130_fd_sc_hd__a211o_1 -*I *24981:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25205:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25140:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *6422:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25237:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25371:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25296:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *24946:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25065:A2 6.28476e-05 -2 *27009:A 0.000155538 -3 *25019:A2 0.000271446 -4 *24981:B1 0.000746108 -5 *25205:A2 0.000170248 -6 *25140:B1 0.00116728 -7 *6422:DIODE 0.000107472 -8 *25237:B1 0 -9 *25371:A2 0.00023622 -10 *25296:A2 0.000172162 -11 *24946:Y 0 -12 *1272:168 0.00214115 -13 *1272:160 0.00229632 -14 *1272:158 0.00200743 -15 *1272:155 0.00156017 -16 *1272:143 0.00404816 -17 *1272:142 0.00319219 -18 *1272:139 0.00187129 -19 *1272:113 0.00289923 -20 *1272:101 0.00354652 -21 *1272:74 0.000107472 -22 *1272:72 0.00244607 -23 *1272:63 0.00183848 -24 *1272:47 0.00611972 -25 *1272:40 0.00556422 -26 *1272:9 0.00112596 -27 *1272:4 0.00298222 -28 *6422:DIODE *25237:A1 0.000251292 -29 *6422:DIODE *5702:135 6.43196e-05 -30 *24981:B1 *24981:A1 4.22256e-05 -31 *24981:B1 *24981:B2 3.03567e-05 -32 *24981:B1 *25027:B2 9.98998e-06 -33 *24981:B1 *29713:A 1.65169e-05 -34 *24981:B1 *1307:11 0.000221634 -35 *24981:B1 *3734:23 0.000215692 -36 *24981:B1 *4046:26 0.000656426 -37 *24981:B1 *4098:42 0.00209934 -38 *24981:B1 *5386:12 0.000116155 -39 *24981:B1 *5536:31 0.000327002 -40 *25019:A2 *25019:A1 2.62395e-05 -41 *25019:A2 *25019:C1 2.59355e-05 -42 *25019:A2 *26935:S 2.89016e-05 -43 *25019:A2 *1341:15 2.15245e-05 -44 *25019:A2 *1343:13 0.000135028 -45 *25065:A2 *25066:A1 0.000133721 -46 *25065:A2 *5644:71 0.000142971 -47 *25140:B1 *1680:17 0.00138031 -48 *25140:B1 *2894:319 0.00183914 -49 *25140:B1 *3732:11 0.000114577 -50 *25140:B1 *3732:13 2.59355e-05 -51 *25140:B1 *5590:107 0.000165787 -52 *25140:B1 *5590:121 0.00192128 -53 *25205:A2 *25205:A1 5.01576e-05 -54 *25205:A2 *25205:B1 3.16801e-05 -55 *25205:A2 *2773:94 4.45768e-06 -56 *25296:A2 *1477:9 0.000178847 -57 *25296:A2 *1781:25 0.00018077 -58 *25296:A2 *4014:24 0.000354637 -59 *25296:A2 *5848:82 0.000356352 -60 *25371:A2 *1422:115 0.000103275 -61 *25371:A2 *1435:76 9.56345e-05 -62 *25371:A2 *3776:44 0.000620945 -63 *25371:A2 *4014:24 7.85298e-05 -64 *27009:A *1315:10 0.000226021 -65 *27009:A *2773:8 0.000227736 -66 *27009:A *5910:91 5.33005e-05 -67 *1272:9 *24946:B 1.02132e-05 -68 *1272:9 *25253:B1 5.65955e-05 -69 *1272:9 *1477:9 0.00239016 -70 *1272:9 *1781:25 0.00173442 -71 *1272:9 *5617:75 0.000270059 -72 *1272:9 *5617:92 0.000120695 -73 *1272:40 *25157:B2 3.97677e-05 -74 *1272:40 *25157:C1 0.000125731 -75 *1272:40 *25253:B1 0.000312769 -76 *1272:40 *28491:CLK 0.000212474 -77 *1272:40 *1282:198 0.00037226 -78 *1272:40 *1478:7 0.000175892 -79 *1272:40 *1549:38 3.43295e-05 -80 *1272:40 *2781:119 4.38243e-05 -81 *1272:40 *3667:65 4.58764e-05 -82 *1272:40 *4070:50 0.000241205 -83 *1272:40 *4122:43 5.92442e-05 -84 *1272:40 *5617:92 0.000128523 -85 *1272:47 *25249:C1 0.00015587 -86 *1272:47 *28547:SET_B 0.000175162 -87 *1272:47 *30507:A 1.69175e-05 -88 *1272:47 *1275:225 0 -89 *1272:47 *1633:45 0 -90 *1272:47 *2871:298 2.79339e-05 -91 *1272:47 *2875:275 4.70519e-05 -92 *1272:47 *2882:246 7.14091e-05 -93 *1272:47 *2958:13 1.98839e-05 -94 *1272:47 *3677:11 2.10145e-05 -95 *1272:47 *3977:22 0.000157584 -96 *1272:47 *5627:90 0 -97 *1272:63 *27360:A1 0.000192522 -98 *1272:63 *27360:B2 0 -99 *1272:63 *2882:260 0.000237103 -100 *1272:63 *2889:92 0.000243774 -101 *1272:63 *2932:6 0.000480757 -102 *1272:63 *2958:13 7.6644e-05 -103 *1272:63 *3678:76 0.00120661 -104 *1272:63 *3730:21 0.00113106 -105 *1272:63 *3978:65 0.000140363 -106 *1272:63 *4107:40 0.000471509 -107 *1272:72 *25238:A1 0.000241513 -108 *1272:72 *27701:C1 0.000176795 -109 *1272:72 *2877:349 0.000289718 -110 *1272:72 *3743:40 0.000107422 -111 *1272:72 *3743:42 0.000563333 -112 *1272:72 *3978:65 0.000127571 -113 *1272:72 *4107:40 6.33287e-05 -114 *1272:72 *6225:139 0.00123649 -115 *1272:101 *27058:S 7.20566e-05 -116 *1272:101 *27701:C1 0.000172013 -117 *1272:101 *1525:14 0.00013273 -118 *1272:101 *1680:28 8.65688e-05 -119 *1272:101 *2761:53 0.00046283 -120 *1272:101 *2894:308 4.99283e-06 -121 *1272:101 *2894:319 0.000102492 -122 *1272:101 *3251:12 0.000299802 -123 *1272:101 *3770:35 0.000980182 -124 *1272:101 *3770:48 3.69448e-05 -125 *1272:101 *3861:40 0.000755815 -126 *1272:101 *3900:28 0.000173733 -127 *1272:101 *3978:65 0.000246882 -128 *1272:101 *5593:15 0.000834974 -129 *1272:101 *6351:12 2.17146e-05 -130 *1272:113 *6599:DIODE 9.41642e-05 -131 *1272:113 *25205:A1 0 -132 *1272:113 *25205:B2 6.05161e-06 -133 *1272:113 *25205:C1 0.000201973 -134 *1272:113 *4003:20 0.000327552 -135 *1272:113 *4054:17 0.000326709 -136 *1272:113 *5635:187 0.000635226 -137 *1272:139 *30507:A 5.5671e-05 -138 *1272:139 *3835:45 3.05203e-05 -139 *1272:139 *5600:154 0.00285532 -140 *1272:142 *25066:A1 8.07879e-05 -141 *1272:142 *5644:71 7.90803e-05 -142 *1272:143 *5600:154 0.00139764 -143 *1272:143 *5718:387 0.000587484 -144 *1272:155 *2773:8 0.000936426 -145 *1272:155 *5656:275 0.000221155 -146 *1272:155 *5847:44 0.000936049 -147 *1272:158 *1315:10 0.00171489 -148 *1272:158 *1315:12 0.000134926 -149 *1272:158 *1320:10 0.000325516 -150 *1272:158 *1320:15 0.000288458 -151 *1272:158 *1334:19 0.00175467 -152 *1272:158 *5386:12 0.000169178 -153 *1272:160 *1315:12 0.00322786 -154 *1272:160 *1320:15 1.74899e-05 -155 *1272:160 *2768:22 0.000151972 -156 *1272:160 *2773:8 0.000203548 -157 *1272:160 *3682:25 0.000153054 -158 *1272:160 *3695:26 2.09897e-05 -159 *1272:160 *3748:37 0.000187555 -160 *1272:160 *5639:104 0.000111777 -161 *1272:160 *5756:65 4.21517e-05 -162 *1272:160 *5756:86 0.000445299 -163 *1272:160 *5872:25 0.000106316 -164 *1272:168 *25029:A2 0.000417184 -165 *1272:168 *26935:S 0.000218734 -166 *1272:168 *2773:8 6.01475e-05 -167 *1272:168 *2773:16 4.31289e-05 -168 *1272:168 *3748:37 0.0010361 -169 *1272:168 *4046:18 0.000859344 -170 *1272:168 *4046:26 0.00148989 -171 *1272:168 *4098:39 0.000506969 -172 *1272:168 *4098:42 0.00083645 -173 *1272:168 *5386:12 0.000344045 -174 *24946:A *1272:9 5.33005e-05 -175 *1246:146 *1272:101 4.7931e-05 -176 *1258:56 *1272:101 0.000979511 -177 *1258:75 *1272:139 0.00117163 -178 *1258:79 *1272:143 0.000123577 -179 *1260:102 *1272:101 0.000154065 -180 *1265:112 *1272:47 0 -181 *1266:104 *1272:47 0.000772094 -182 *1269:264 *1272:158 0.000681725 -183 *1269:267 *1272:160 0.00335027 -184 *1270:94 *1272:168 0.00010588 -*RES -1 *24946:Y *1272:4 9.3 -2 *1272:4 *1272:9 24.9107 -3 *1272:9 *25296:A2 24.7464 -4 *1272:9 *25371:A2 26.3179 -5 *1272:4 *1272:40 46.7761 -6 *1272:40 *1272:47 25.8818 -7 *1272:47 *1272:63 40.647 -8 *1272:63 *1272:72 21.9375 -9 *1272:72 *1272:74 4.5 -10 *1272:74 *25237:B1 9.3 -11 *1272:74 *6422:DIODE 11.9071 -12 *1272:72 *1272:101 49.5341 -13 *1272:101 *1272:113 41.5536 -14 *1272:113 *25140:B1 42.3 -15 *1272:101 *25205:A2 16.4473 -16 *1272:47 *1272:139 38.0357 -17 *1272:139 *1272:142 5.53571 -18 *1272:142 *1272:143 47.3571 -19 *1272:143 *1272:155 24.1849 -20 *1272:155 *1272:158 34.6607 -21 *1272:158 *1272:160 52.3929 -22 *1272:160 *1272:168 42.5089 -23 *1272:168 *24981:B1 42.8179 -24 *1272:168 *25019:A2 18.3536 -25 *1272:143 *27009:A 22.6393 -26 *1272:139 *25065:A2 15.7464 -*END - -*D_NET *1273 0.157832 -*CONN -*I *24991:B1 I *D sky130_fd_sc_hd__a221o_2 -*I *25018:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27090:A I *D sky130_fd_sc_hd__and2_4 -*I *25270:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *6444:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25175:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *6433:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25067:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25324:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *6455:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25393:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25161:A2 I *D sky130_fd_sc_hd__a221o_2 -*I *24947:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *24991:B1 0.000513366 -2 *25018:B1 0 -3 *27090:A 7.78246e-05 -4 *25270:B1 5.09008e-05 -5 *6444:DIODE 0 -6 *25175:A2 6.89425e-05 -7 *6433:DIODE 7.71513e-05 -8 *25067:A2 0 -9 *25324:A2 3.60394e-05 -10 *6455:DIODE 0 -11 *25393:B1 0 -12 *25161:A2 0.000191049 -13 *24947:Y 0 -14 *1273:265 0.00292374 -15 *1273:251 0.00287444 -16 *1273:246 0.00382633 -17 *1273:232 0.00403918 -18 *1273:203 0.00316896 -19 *1273:181 0.000690315 -20 *1273:167 0.00223378 -21 *1273:161 0.00427504 -22 *1273:159 0.00343903 -23 *1273:156 0.00174415 -24 *1273:144 0.00219556 -25 *1273:130 0.00405015 -26 *1273:122 0.00485132 -27 *1273:102 0.00239978 -28 *1273:96 0.00454442 -29 *1273:81 0.00925647 -30 *1273:68 0.0042353 -31 *1273:47 0.00346143 -32 *1273:23 0.00159142 -33 *1273:14 0.00185439 -34 *1273:4 0.00188665 -35 *6433:DIODE *1288:157 0.000259273 -36 *6433:DIODE *3876:62 0.000259273 -37 *24991:B1 *24991:B2 7.12204e-05 -38 *24991:B1 *27142:A1 0.000341525 -39 *24991:B1 *3019:21 0.000142352 -40 *24991:B1 *3020:15 6.5752e-05 -41 *24991:B1 *3943:17 0.000725831 -42 *24991:B1 *5694:183 0.00012035 -43 *25161:A2 *1482:7 0.000134078 -44 *25161:A2 *2850:50 0.000230743 -45 *25161:A2 *3782:26 6.05161e-06 -46 *25175:A2 *25185:B2 0.000216755 -47 *25175:A2 *3874:41 0.000216755 -48 *25270:B1 *25270:A1 9.41642e-05 -49 *25270:B1 *25270:B2 3.39139e-05 -50 *25270:B1 *3191:37 2.70743e-05 -51 *25324:A2 *25324:A1 7.34187e-06 -52 *25324:A2 *25324:B2 0 -53 *27090:A *27090:B 7.44888e-05 -54 *27090:A *5718:233 0.000127836 -55 *1273:14 *25263:A2 8.6421e-05 -56 *1273:14 *28398:D 0.000145753 -57 *1273:14 *1281:12 0.000711047 -58 *1273:14 *1289:49 3.74484e-05 -59 *1273:14 *1328:22 9.42015e-05 -60 *1273:14 *1417:10 0.000241198 -61 *1273:14 *1426:14 1.02969e-05 -62 *1273:14 *1824:8 0.00026353 -63 *1273:14 *5041:8 0 -64 *1273:14 *5691:149 0.000110011 -65 *1273:14 *5861:75 0.000158935 -66 *1273:14 *5970:53 0.000165651 -67 *1273:23 *25270:A1 0.000241068 -68 *1273:23 *30871:A 0.000804121 -69 *1273:23 *1441:21 2.66789e-06 -70 *1273:23 *1504:16 0.000242893 -71 *1273:23 *5499:59 8.12643e-05 -72 *1273:47 *25310:A2 0.000183754 -73 *1273:47 *27962:A1 0 -74 *1273:47 *27963:C1 4.88232e-05 -75 *1273:47 *1441:99 0.000126025 -76 *1273:47 *1453:17 0.00114163 -77 *1273:47 *1479:34 0.000785385 -78 *1273:47 *1504:16 1.53411e-05 -79 *1273:47 *1535:39 0.000157383 -80 *1273:47 *1721:14 0.000196834 -81 *1273:47 *2850:50 0.000134025 -82 *1273:47 *3191:37 2.67489e-05 -83 *1273:47 *3782:26 6.12434e-05 -84 *1273:47 *3790:53 1.09611e-05 -85 *1273:47 *3843:17 0.000198276 -86 *1273:47 *3853:45 0.000176282 -87 *1273:47 *5622:74 8.28647e-05 -88 *1273:68 *27963:C1 2.33863e-05 -89 *1273:68 *1407:58 5.04048e-05 -90 *1273:68 *1420:22 0.00157611 -91 *1273:68 *1455:55 5.99199e-06 -92 *1273:68 *1485:23 2.04745e-05 -93 *1273:68 *1485:39 0.000216092 -94 *1273:68 *3183:86 1.94945e-05 -95 *1273:68 *3205:55 6.64593e-05 -96 *1273:68 *3425:20 0.000340947 -97 *1273:68 *3790:57 0.00097227 -98 *1273:68 *5498:54 0.000324954 -99 *1273:68 *5591:53 0 -100 *1273:68 *5620:30 1.94945e-05 -101 *1273:81 *27875:A1 1.81709e-05 -102 *1273:81 *27905:C1 0.000173803 -103 *1273:81 *1427:94 0.000438802 -104 *1273:81 *1427:104 2.24329e-05 -105 *1273:81 *1470:64 3.90782e-06 -106 *1273:81 *1644:36 0.00139044 -107 *1273:81 *3192:60 0.000109757 -108 *1273:81 *3506:19 0 -109 *1273:81 *3790:57 0.00255912 -110 *1273:81 *3841:55 0 -111 *1273:81 *3868:62 0.000246136 -112 *1273:81 *3921:54 0.000275097 -113 *1273:81 *5936:70 4.58835e-05 -114 *1273:96 *25324:B2 5.33005e-05 -115 *1273:96 *25394:A2 4.83243e-05 -116 *1273:96 *1440:19 1.9414e-05 -117 *1273:96 *1457:99 5.49868e-05 -118 *1273:96 *1470:64 8.76591e-06 -119 *1273:96 *2860:158 7.83659e-05 -120 *1273:96 *3182:55 0.000140432 -121 *1273:96 *3183:46 0.00100833 -122 *1273:96 *3783:121 0.00168825 -123 *1273:96 *3867:60 0.00112688 -124 *1273:96 *5467:14 0.000476325 -125 *1273:96 *5491:59 0.000187964 -126 *1273:96 *5517:30 0.000229605 -127 *1273:96 *5661:188 7.6644e-05 -128 *1273:102 *25324:A1 2.18792e-05 -129 *1273:102 *25324:B1 2.54074e-05 -130 *1273:102 *25324:B2 0.0002212 -131 *1273:102 *3221:15 5.74499e-06 -132 *1273:122 *25324:A1 4.61308e-05 -133 *1273:122 *1446:12 7.83587e-05 -134 *1273:122 *3183:46 0.0026467 -135 *1273:122 *3643:7 0.000385277 -136 *1273:122 *3992:28 7.6644e-05 -137 *1273:130 *26882:A0 0.000723587 -138 *1273:130 *27720:A1 0.000139202 -139 *1273:130 *27813:A2 0.000109132 -140 *1273:130 *28803:CLK 7.34668e-05 -141 *1273:130 *28803:RESET_B 0.00012891 -142 *1273:130 *29505:A 8.85098e-05 -143 *1273:130 *2758:74 6.34436e-05 -144 *1273:130 *3153:140 0.00015502 -145 *1273:130 *3183:40 0.000186435 -146 *1273:130 *5277:8 1.05936e-05 -147 *1273:130 *5650:14 0.000129913 -148 *1273:130 *5675:67 0.00166552 -149 *1273:144 *27454:B2 4.37712e-06 -150 *1273:144 *27815:B2 8.80737e-05 -151 *1273:144 *3185:24 0.000967286 -152 *1273:144 *3206:180 0.000888766 -153 *1273:144 *3340:19 0.000102177 -154 *1273:144 *3360:11 0.000716343 -155 *1273:144 *5649:82 0.000339417 -156 *1273:144 *5656:34 0.000899878 -157 *1273:156 *27457:B2 1.90936e-05 -158 *1273:156 *1358:19 6.10624e-05 -159 *1273:156 *1368:37 0.000403281 -160 *1273:156 *2853:202 4.49959e-05 -161 *1273:156 *3360:11 0.000817361 -162 *1273:156 *3735:63 0.00164563 -163 *1273:156 *5627:181 0.000919795 -164 *1273:156 *5644:37 6.82091e-06 -165 *1273:156 *5644:45 0.000155024 -166 *1273:156 *5656:34 0.000560143 -167 *1273:156 *5679:19 0.00033899 -168 *1273:159 *3174:224 0.00123513 -169 *1273:159 *3205:235 5.41794e-05 -170 *1273:159 *3205:270 0.000666767 -171 *1273:159 *3340:19 7.3979e-05 -172 *1273:159 *3721:44 3.48566e-05 -173 *1273:159 *3735:71 0.00224132 -174 *1273:159 *4137:24 1.17921e-05 -175 *1273:159 *5627:181 0.00014833 -176 *1273:159 *5660:123 1.90936e-05 -177 *1273:161 *25069:D 0.000438861 -178 *1273:161 *27141:A1 0.000328052 -179 *1273:161 *27465:A1 0.00072829 -180 *1273:161 *27793:A2 2.11419e-05 -181 *1273:161 *30105:A 0.000425105 -182 *1273:161 *1378:36 1.91414e-05 -183 *1273:161 *1390:15 0.000546452 -184 *1273:161 *3174:225 0 -185 *1273:161 *3185:180 0.000619836 -186 *1273:161 *3185:182 0.000543064 -187 *1273:161 *3205:270 0.000929588 -188 *1273:161 *3339:22 9.87983e-06 -189 *1273:161 *3735:71 0.000401465 -190 *1273:161 *3917:16 0.000821764 -191 *1273:161 *3930:70 1.41029e-05 -192 *1273:161 *3930:79 0.00182334 -193 *1273:161 *4137:20 0.000126431 -194 *1273:161 *4137:24 0.00541604 -195 *1273:161 *5600:205 0.0014662 -196 *1273:167 *25059:B2 0.00026434 -197 *1273:167 *27431:B2 3.72472e-05 -198 *1273:167 *27779:A2 4.86542e-06 -199 *1273:167 *1365:16 2.21972e-05 -200 *1273:167 *2856:344 7.69776e-06 -201 *1273:167 *3185:182 0.00162732 -202 *1273:167 *3326:11 0.00283288 -203 *1273:167 *3759:16 5.70973e-05 -204 *1273:167 *3772:26 7.06226e-05 -205 *1273:167 *3837:36 0.000170463 -206 *1273:167 *3916:20 0 -207 *1273:167 *3930:70 1.17855e-05 -208 *1273:167 *3941:21 3.32346e-05 -209 *1273:167 *5627:216 0.000333604 -210 *1273:181 *25631:S 4.38058e-05 -211 *1273:181 *27114:S 0.000109651 -212 *1273:181 *27431:A1 0.000103239 -213 *1273:181 *27779:A2 4.32309e-05 -214 *1273:181 *28607:D 0.000310895 -215 *1273:181 *29076:A 5.41864e-05 -216 *1273:181 *2763:169 0.000109651 -217 *1273:181 *2775:109 7.63537e-05 -218 *1273:181 *3326:11 1.03483e-05 -219 *1273:181 *3694:63 7.14469e-05 -220 *1273:181 *3733:31 0.000154531 -221 *1273:181 *3772:14 5.31113e-05 -222 *1273:181 *3772:26 7.46506e-05 -223 *1273:181 *4137:16 8.20716e-05 -224 *1273:181 *5682:120 6.74324e-05 -225 *1273:203 *25185:B2 0.000298483 -226 *1273:203 *25331:C1 1.08601e-05 -227 *1273:203 *1395:126 0.000588945 -228 *1273:203 *1401:95 0.000208899 -229 *1273:203 *1407:29 0.00010283 -230 *1273:203 *1430:76 0.00012378 -231 *1273:203 *1470:64 0.000366531 -232 *1273:203 *1644:36 0.000612701 -233 *1273:203 *1730:13 0.00014014 -234 *1273:203 *2867:99 7.0932e-05 -235 *1273:203 *3220:44 0.000803179 -236 *1273:203 *3506:19 0 -237 *1273:203 *3699:40 0.000121805 -238 *1273:203 *3868:62 0.000121549 -239 *1273:203 *3874:41 0.000286046 -240 *1273:203 *3881:33 0.000256137 -241 *1273:203 *3920:88 0.000133937 -242 *1273:203 *3961:64 0.000121549 -243 *1273:232 *25260:B1 4.38243e-05 -244 *1273:232 *28443:D 9.25014e-06 -245 *1273:232 *2780:90 1.94879e-05 -246 *1273:232 *3568:110 3.66315e-05 -247 *1273:232 *5517:18 2.09826e-05 -248 *1273:232 *5624:136 1.34548e-05 -249 *1273:232 *5718:77 9.14055e-05 -250 *1273:246 *25952:A1 0.000248873 -251 *1273:246 *27075:A0 7.77751e-05 -252 *1273:246 *28443:RESET_B 9.51514e-06 -253 *1273:246 *28794:CLK 0.000140481 -254 *1273:246 *2784:26 0.00194704 -255 *1273:246 *3568:110 2.34174e-05 -256 *1273:246 *3573:95 0.000386527 -257 *1273:246 *3639:17 2.22891e-05 -258 *1273:246 *5535:14 0 -259 *1273:246 *5694:133 0.00020546 -260 *1273:246 *5745:70 2.32625e-05 -261 *1273:246 *5757:31 1.94879e-05 -262 *1273:246 *6350:5 0.000267377 -263 *1273:246 *6350:26 0.00127104 -264 *1273:251 *2784:26 0.00112286 -265 *1273:251 *2831:17 0.000242072 -266 *1273:251 *2840:17 0.00022355 -267 *1273:251 *5720:77 0.000424808 -268 *1273:265 *25018:A1 8.08022e-05 -269 *1273:265 *27143:A0 1.21258e-05 -270 *1273:265 *28793:D 0.000955292 -271 *1273:265 *1799:51 0.000372847 -272 *1273:265 *2800:16 0.000425946 -273 *1273:265 *3020:15 5.33978e-05 -274 *1273:265 *3041:15 7.20293e-05 -275 *1273:265 *5129:30 0.000218679 -276 *1273:265 *5675:191 3.14048e-05 -277 *1273:265 *5718:233 1.1594e-05 -278 *1273:265 *6320:71 2.14757e-05 -279 *24991:A2 *24991:B1 0.000306324 -280 *25018:A2 *1273:265 0.000216521 -281 *25305:A2 *1273:96 0.000233361 -282 *25393:A2 *1273:68 1.41029e-05 -283 *25393:A2 *1273:81 5.80706e-06 -284 *28803:D *1273:130 0.000137983 -285 *30788:A *1273:203 3.90782e-06 -286 *369:17 *27090:A 1.21554e-05 -287 *369:17 *1273:265 4.19624e-06 -288 *940:17 *1273:181 0.000230559 -289 *977:15 *1273:246 0.00131688 -290 *1218:154 *24991:B1 0.000184379 -291 *1218:154 *1273:265 1.41029e-05 -292 *1235:52 *1273:47 6.46107e-05 -293 *1239:14 *1273:68 1.76241e-05 -294 *1239:14 *1273:81 1.80444e-05 -295 *1240:11 *1273:23 0.00012456 -296 *1240:41 *1273:14 0.000282113 -297 *1240:41 *1273:23 5.81482e-06 -298 *1240:47 *1273:14 0.000329486 -299 *1242:37 *1273:203 0.000297608 -300 *1242:134 *1273:161 0.000592778 -301 *1248:14 *1273:14 0.00109444 -302 *1251:29 *1273:96 0 -303 *1252:21 *1273:68 0.000753158 -304 *1253:112 *1273:14 0.00035206 -305 *1253:112 *1273:232 0.000598377 -306 *1254:7 *1273:232 3.14048e-05 -307 *1254:116 *1273:232 0.000258131 -308 *1263:36 *1273:203 0.000142096 -309 *1271:221 *1273:167 0.000141857 -*RES -1 *24947:Y *1273:4 9.3 -2 *1273:4 *1273:14 49.7589 -3 *1273:14 *1273:23 16.4053 -4 *1273:23 *1273:47 35.2517 -5 *1273:47 *25161:A2 13.7375 -6 *1273:47 *1273:68 36.6842 -7 *1273:68 *25393:B1 13.8 -8 *1273:68 *1273:81 46.4548 -9 *1273:81 *1273:96 42.2443 -10 *1273:96 *6455:DIODE 9.3 -11 *1273:96 *1273:102 4.02679 -12 *1273:102 *25324:A2 10.0321 -13 *1273:102 *1273:122 40.8393 -14 *1273:122 *1273:130 49.3304 -15 *1273:130 *1273:144 31.7717 -16 *1273:144 *1273:156 43.5471 -17 *1273:156 *1273:159 29.6518 -18 *1273:159 *1273:161 99.6964 -19 *1273:161 *1273:167 47.0357 -20 *1273:167 *1273:181 25.1718 -21 *1273:181 *25067:A2 9.3 -22 *1273:181 *6433:DIODE 11.8893 -23 *1273:81 *1273:203 22.8042 -24 *1273:203 *25175:A2 11.4786 -25 *1273:203 *6444:DIODE 9.3 -26 *1273:23 *25270:B1 10.6571 -27 *1273:4 *1273:232 25.8345 -28 *1273:232 *1273:246 49.8066 -29 *1273:246 *1273:251 8.63263 -30 *1273:251 *27090:A 15.5946 -31 *1273:251 *1273:265 41.1339 -32 *1273:265 *25018:B1 13.8 -33 *1273:265 *24991:B1 29.3893 -*END - -*D_NET *1274 0.110236 -*CONN -*I *25094:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25013:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *26964:A I *D sky130_fd_sc_hd__nand2_8 -*I *25043:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *24989:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25182:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *6466:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25235:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25362:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25291:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *24948:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25094:A2 0 -2 *25013:A2 0.000291076 -3 *26964:A 0.00027783 -4 *25043:B1 0 -5 *24989:B1 6.68096e-05 -6 *25182:A2 2.23854e-05 -7 *6466:DIODE 0 -8 *25235:A2 0.000181899 -9 *25362:B1 0.00178579 -10 *25291:A2 5.49414e-05 -11 *24948:Y 0.00106126 -12 *1274:221 0.00208547 -13 *1274:172 0.00163504 -14 *1274:170 0.00238961 -15 *1274:161 0.00108603 -16 *1274:157 0.00332148 -17 *1274:141 0.00293923 -18 *1274:138 0.0020776 -19 *1274:137 0.00284542 -20 *1274:126 0.00397297 -21 *1274:106 0.00211804 -22 *1274:99 0.00256203 -23 *1274:92 0.00482555 -24 *1274:77 0.0053315 -25 *1274:59 0.00255082 -26 *1274:17 0.00302988 -27 *1274:14 0.00390563 -28 *24989:B1 *1315:7 5.49489e-05 -29 *25013:A2 *1490:146 0.000190281 -30 *25013:A2 *2770:53 0 -31 *25013:A2 *3558:224 2.11419e-05 -32 *25013:A2 *5528:47 1.0562e-05 -33 *25013:A2 *5680:196 0 -34 *25235:A2 *25248:C1 1.04232e-05 -35 *25235:A2 *1484:13 1.92905e-05 -36 *25235:A2 *3314:26 6.95556e-05 -37 *25235:A2 *3678:89 5.33005e-05 -38 *25235:A2 *3700:72 0 -39 *25235:A2 *5643:221 0.000104587 -40 *25291:A2 *25291:A1 2.59355e-05 -41 *25291:A2 *1275:15 2.84026e-05 -42 *25291:A2 *1609:17 0 -43 *25291:A2 *2775:174 1.94879e-05 -44 *25291:A2 *3998:50 2.11419e-05 -45 *25362:B1 *25378:A1 8.81622e-05 -46 *25362:B1 *25378:C1 0.000424029 -47 *25362:B1 *1290:8 3.24366e-05 -48 *25362:B1 *1439:23 2.67407e-05 -49 *25362:B1 *1606:11 2.47761e-06 -50 *25362:B1 *1654:20 0.000554787 -51 *25362:B1 *2779:132 0.000165754 -52 *25362:B1 *3413:51 0.000143375 -53 *25362:B1 *3664:16 0.000134119 -54 *25362:B1 *3667:53 0.000179506 -55 *25362:B1 *3667:55 0.000157807 -56 *25362:B1 *3673:15 1.38323e-05 -57 *25362:B1 *3673:19 0.000505335 -58 *25362:B1 *3768:27 0.000152348 -59 *25362:B1 *3768:42 0.00083349 -60 *25362:B1 *3946:45 5.33005e-05 -61 *25362:B1 *4952:14 0.000500988 -62 *25362:B1 *6269:12 0.00225836 -63 *26964:A *25032:A 0.000246117 -64 *26964:A *2776:139 0.000100356 -65 *26964:A *3630:25 6.54117e-05 -66 *26964:A *3708:37 1.721e-05 -67 *26964:A *4150:65 9.25014e-06 -68 *26964:A *5926:105 0.000135028 -69 *1274:14 *25107:C1 0 -70 *1274:14 *1293:35 0.000285778 -71 *1274:14 *1652:18 0 -72 *1274:14 *3857:91 0.000298483 -73 *1274:14 *4070:63 4.00349e-05 -74 *1274:17 *1421:106 3.40275e-05 -75 *1274:17 *1449:74 6.18371e-05 -76 *1274:17 *2778:120 2.35215e-05 -77 *1274:17 *3413:51 0.000379217 -78 *1274:17 *4076:87 7.61169e-05 -79 *1274:17 *5610:54 6.14836e-06 -80 *1274:17 *5640:53 6.75012e-05 -81 *1274:59 *28841:A 0 -82 *1274:59 *1288:20 0 -83 *1274:59 *1293:35 0.000477254 -84 *1274:59 *1449:62 0.000322999 -85 *1274:59 *1485:39 3.39684e-05 -86 *1274:59 *1834:68 0.00114912 -87 *1274:59 *1834:70 4.75152e-06 -88 *1274:59 *4063:43 0.00115999 -89 *1274:59 *5610:54 0.0017108 -90 *1274:59 *5890:68 2.75989e-05 -91 *1274:77 *1484:13 4.72781e-05 -92 *1274:77 *5643:221 0.000193352 -93 *1274:77 *6258:12 0.000665066 -94 *1274:92 *25340:A2 0.000756006 -95 *1274:92 *1279:108 0.000804026 -96 *1274:92 *1292:24 0.00019096 -97 *1274:92 *3541:11 6.35819e-05 -98 *1274:92 *4069:112 0.000368917 -99 *1274:92 *4076:72 6.24939e-05 -100 *1274:92 *5614:37 3.22692e-05 -101 *1274:99 *27352:D 0.000135028 -102 *1274:99 *2932:20 7.6644e-05 -103 *1274:99 *2932:34 0.00011146 -104 *1274:99 *3820:98 0.000301016 -105 *1274:99 *4068:24 7.83659e-05 -106 *1274:99 *4069:89 0.000273647 -107 *1274:99 *4069:112 0.000352187 -108 *1274:99 *5614:35 6.77997e-05 -109 *1274:106 *25182:B1 0.000216755 -110 *1274:106 *3679:59 1.98839e-05 -111 *1274:106 *3952:68 8.43535e-06 -112 *1274:126 *25188:A2 6.96405e-05 -113 *1274:126 *25188:C1 1.51029e-05 -114 *1274:126 *25197:C 5.03772e-05 -115 *1274:126 *25207:A 0.000257619 -116 *1274:126 *25207:B 0.000169503 -117 *1274:126 *25207:C 0.000630881 -118 *1274:126 *26880:A1 0 -119 *1274:126 *1826:154 1.62539e-05 -120 *1274:126 *3887:60 6.54117e-05 -121 *1274:126 *3913:43 1.437e-05 -122 *1274:126 *3952:68 0.000252192 -123 *1274:126 *5601:67 0.000104772 -124 *1274:126 *5649:120 6.52967e-05 -125 *1274:126 *5718:360 0.000423607 -126 *1274:137 *25056:A2 0.000263524 -127 *1274:137 *25056:B1 5.20359e-06 -128 *1274:137 *26880:A1 0.000194271 -129 *1274:137 *1378:8 1.00073e-05 -130 *1274:137 *2767:62 0.00122736 -131 *1274:137 *2866:296 7.90803e-05 -132 *1274:137 *3956:37 8.07879e-05 -133 *1274:137 *5604:120 0.000750939 -134 *1274:138 *2767:62 0.00158912 -135 *1274:138 *5614:18 0.00219918 -136 *1274:141 *28513:CLK 0.000170661 -137 *1274:141 *28545:CLK 0.000377573 -138 *1274:141 *1371:40 6.6891e-05 -139 *1274:141 *1371:51 0.00035587 -140 *1274:141 *2764:129 0.000287842 -141 *1274:141 *2776:153 4.15161e-05 -142 *1274:141 *2776:177 0.000368096 -143 *1274:141 *3722:23 0.000168939 -144 *1274:141 *5676:137 0.000271809 -145 *1274:157 *1371:51 2.38697e-05 -146 *1274:157 *3722:8 0.00016357 -147 *1274:157 *3722:23 9.25014e-06 -148 *1274:157 *3774:17 0.00112739 -149 *1274:161 *25043:A1 5.52238e-05 -150 *1274:161 *25043:B2 2.14757e-05 -151 *1274:161 *1365:16 0.000257619 -152 *1274:161 *1385:34 0.00137778 -153 *1274:161 *2861:51 0.000569529 -154 *1274:161 *2895:281 0.0003033 -155 *1274:161 *4086:28 0.00248967 -156 *1274:161 *5594:27 7.74706e-05 -157 *1274:170 *24993:A1 0.000530221 -158 *1274:170 *25319:B2 0.00109122 -159 *1274:170 *2767:34 0.00087074 -160 *1274:170 *2767:62 0.000165123 -161 *1274:170 *3786:60 0.00011563 -162 *1274:170 *4124:93 0.00146505 -163 *1274:170 *5602:100 0.000343851 -164 *1274:170 *5614:18 0.000327789 -165 *1274:172 *25012:C1 0.000908658 -166 *1274:172 *25319:B2 0.000137556 -167 *1274:172 *26962:A1 0.000316238 -168 *1274:172 *26962:S 0.000340424 -169 *1274:172 *26981:A1 0.000101545 -170 *1274:172 *27026:A0 1.43864e-05 -171 *1274:172 *27026:A1 4.91832e-05 -172 *1274:172 *28544:D 7.65202e-05 -173 *1274:172 *28544:RESET_B 5.83304e-05 -174 *1274:172 *28601:D 7.83587e-05 -175 *1274:172 *1490:146 0.000233267 -176 *1274:172 *2776:139 1.16258e-05 -177 *1274:172 *2776:144 6.8888e-05 -178 *1274:172 *3630:25 7.77919e-05 -179 *1274:172 *3708:32 0.000466904 -180 *1274:172 *3708:37 0.000866261 -181 *1274:172 *3786:60 0.000299125 -182 *1274:172 *3786:68 0.000224053 -183 *1274:172 *3812:14 7.81028e-05 -184 *1274:172 *3812:24 0.00148619 -185 *1274:172 *5602:53 0.000166024 -186 *1274:172 *5602:100 0.000281402 -187 *1274:172 *5756:119 0.000152835 -188 *1274:221 *25094:A1 1.59935e-05 -189 *1274:221 *25094:B1 0.000150973 -190 *1274:221 *25251:D 2.28541e-05 -191 *1274:221 *27754:A2 9.58181e-05 -192 *1274:221 *1287:149 0.00014177 -193 *1274:221 *1292:65 0.000889246 -194 *1274:221 *1626:27 4.18505e-05 -195 *1274:221 *1834:64 0.00121712 -196 *1274:221 *2775:160 0.000336774 -197 *1274:221 *2877:299 0.000111243 -198 *1274:221 *2886:295 4.96679e-06 -199 *1274:221 *3862:42 0.00182371 -200 *1274:221 *5587:44 0.00011994 -201 *1274:221 *6258:12 0.00217714 -202 *24935:A *1274:221 0.000101444 -203 *28842:A *1274:14 3.09819e-05 -204 *28842:A *1274:59 1.12348e-05 -205 *28842:A *1274:77 0.000360202 -206 *28842:A *1274:221 0.000189721 -207 *30042:A *1274:172 0.000247771 -208 *30172:A *1274:126 5.21937e-05 -209 *30172:A *1274:137 7.49387e-06 -210 *30398:A *1274:172 0.000126439 -211 *244:65 *1274:141 0.000316255 -212 *1235:116 *25235:A2 6.77187e-05 -213 *1235:116 *1274:59 0.000107807 -214 *1249:67 *1274:59 0.00066213 -215 *1253:23 *1274:14 0.00063983 -216 *1256:6 *25362:B1 0.000173055 -217 *1260:20 *25362:B1 5.41797e-06 -218 *1260:35 *25362:B1 0.000156678 -219 *1260:188 *1274:157 0.000113647 -220 *1261:101 *1274:172 0.000278349 -221 *1266:182 *1274:137 0.000220689 -222 *1267:65 *1274:221 0.000181395 -223 *1268:37 *1274:14 0.000584297 -224 *1268:37 *1274:59 1.65282e-05 -225 *1268:123 *1274:170 8.75982e-05 -226 *1268:123 *1274:172 0.000209855 -227 *1269:15 *1274:92 0.000136676 -228 *1269:186 *1274:92 0.000298483 -229 *1270:88 *1274:141 0.000348169 -*RES -1 *24948:Y *1274:14 26.6177 -2 *1274:14 *1274:17 6.01537 -3 *1274:17 *25291:A2 18.4511 -4 *1274:17 *25362:B1 43.616 -5 *1274:14 *1274:59 30.5297 -6 *1274:59 *25235:A2 19.3935 -7 *1274:59 *1274:77 5.5015 -8 *1274:77 *1274:92 42.0217 -9 *1274:92 *1274:99 36.0357 -10 *1274:99 *6466:DIODE 9.3 -11 *1274:99 *1274:106 3.42857 -12 *1274:106 *25182:A2 9.72857 -13 *1274:106 *1274:126 37.4464 -14 *1274:126 *1274:137 39.4643 -15 *1274:137 *1274:138 21.8929 -16 *1274:138 *1274:141 26.4821 -17 *1274:141 *24989:B1 14.8714 -18 *1274:141 *1274:157 36.8393 -19 *1274:157 *1274:161 41.1429 -20 *1274:161 *25043:B1 9.3 -21 *1274:138 *1274:170 35.8125 -22 *1274:170 *1274:172 52.9464 -23 *1274:172 *26964:A 20.0411 -24 *1274:170 *25013:A2 21.6488 -25 *1274:77 *1274:221 48.0711 -26 *1274:221 *25094:A2 9.3 -*END - -*D_NET *1275 0.12354 -*CONN -*I *25370:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25060:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25172:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *26982:A I *D sky130_fd_sc_hd__nand2_8 -*I *24993:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25013:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25290:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *6477:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25236:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25159:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *24949:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25370:A2 0.00191167 -2 *25060:A2 0 -3 *25172:B1 0.000277729 -4 *26982:A 0 -5 *24993:B1 5.75199e-05 -6 *25013:B1 0.000510471 -7 *25290:B1 0.00015478 -8 *6477:DIODE 0 -9 *25236:A2 0.00046603 -10 *25159:A2 0.00226168 -11 *24949:Y 0.00129382 -12 *1275:234 0.00255504 -13 *1275:225 0.00365619 -14 *1275:195 0.00342743 -15 *1275:178 0.00359525 -16 *1275:164 0.00311139 -17 *1275:142 0.00392468 -18 *1275:139 0.00189303 -19 *1275:119 0.00102579 -20 *1275:105 0.00355548 -21 *1275:101 0.00384961 -22 *1275:94 0.00423131 -23 *1275:62 0.00021942 -24 *1275:56 0.00315727 -25 *1275:54 0.00465536 -26 *1275:26 0.00396763 -27 *1275:16 0.00274637 -28 *1275:15 0.00351074 -29 *24993:B1 *24993:B2 5.52302e-05 -30 *24993:B1 *24994:C1 5.33005e-05 -31 *25013:B1 *24994:A1 8.55871e-05 -32 *25013:B1 *25013:A1 0.000549884 -33 *25013:B1 *25013:B2 8.46179e-05 -34 *25013:B1 *28568:CLK 0.000102973 -35 *25013:B1 *1320:10 0.000694076 -36 *25013:B1 *1334:22 0.000103248 -37 *25013:B1 *3708:11 5.33005e-05 -38 *25159:A2 *25159:A1 0.000382744 -39 *25159:A2 *25212:C 1.58163e-05 -40 *25159:A2 *1528:9 0.000976849 -41 *25159:A2 *1603:13 0.000391294 -42 *25172:B1 *25172:B2 8.6229e-06 -43 *25172:B1 *2761:53 2.91613e-05 -44 *25172:B1 *3887:41 4.43299e-05 -45 *25172:B1 *5819:24 1.94895e-05 -46 *25236:A2 *25236:B1 1.78031e-05 -47 *25236:A2 *27674:A1 2.81779e-05 -48 *25236:A2 *1419:24 0.000238224 -49 *25236:A2 *3704:45 3.60088e-05 -50 *25236:A2 *3739:122 0 -51 *25236:A2 *4044:14 2.06112e-05 -52 *25236:A2 *5627:69 2.63501e-05 -53 *25236:A2 *5661:210 2.06112e-05 -54 *25290:B1 *25290:B2 1.38106e-05 -55 *25290:B1 *25290:C1 0.000136951 -56 *25290:B1 *25293:C 9.41642e-05 -57 *25370:A2 *25370:B1 0.000526188 -58 *25370:A2 *25373:C 2.18792e-05 -59 *25370:A2 *2885:178 0.00110862 -60 *25370:A2 *3790:81 4.00679e-05 -61 *1275:15 *25104:B1 1.07719e-05 -62 *1275:15 *25291:A1 1.40034e-05 -63 *1275:15 *25291:B1 6.60674e-05 -64 *1275:15 *27674:A1 0 -65 *1275:15 *1607:8 2.04825e-05 -66 *1275:15 *1609:17 0.000231374 -67 *1275:15 *3299:14 1.90936e-05 -68 *1275:15 *3413:51 5.17293e-05 -69 *1275:15 *5651:132 2.54558e-06 -70 *1275:16 *2978:22 0.000420391 -71 *1275:16 *3540:8 0.000120169 -72 *1275:16 *3751:30 0.000111243 -73 *1275:16 *5707:44 0.000111082 -74 *1275:26 *2775:187 0 -75 *1275:26 *2870:21 0.000120211 -76 *1275:26 *2978:22 0.000603753 -77 *1275:26 *2978:36 0.000372916 -78 *1275:26 *3299:29 9.34324e-05 -79 *1275:26 *3413:57 0.000503963 -80 *1275:26 *3751:30 0.00145094 -81 *1275:26 *3751:44 0.000525217 -82 *1275:26 *3946:45 0.000993649 -83 *1275:54 *26894:A0 4.96451e-05 -84 *1275:54 *27019:S 0.000812063 -85 *1275:54 *1659:34 0.000689962 -86 *1275:54 *2855:175 0 -87 *1275:54 *2978:22 0.000156542 -88 *1275:54 *3540:8 0.000140349 -89 *1275:62 *25343:A1 4.55392e-05 -90 *1275:62 *1281:125 4.18305e-05 -91 *1275:62 *3885:26 2.06178e-05 -92 *1275:62 *4054:44 2.22043e-05 -93 *1275:94 *25343:A1 0.000217677 -94 *1275:94 *27351:A2 2.85351e-05 -95 *1275:94 *27351:B1 0.000685222 -96 *1275:94 *27688:A1 0.00084891 -97 *1275:94 *1281:125 0.00154541 -98 *1275:94 *2845:247 5.52634e-05 -99 *1275:94 *2852:77 0.000554647 -100 *1275:94 *2853:224 0.000144083 -101 *1275:94 *2860:242 0 -102 *1275:94 *2874:227 0.000101829 -103 *1275:94 *2882:206 6.60633e-06 -104 *1275:94 *2917:24 2.04825e-05 -105 *1275:94 *3703:35 0.00207954 -106 *1275:94 *3730:42 2.35126e-05 -107 *1275:94 *3899:40 1.90936e-05 -108 *1275:94 *3992:54 0.000107222 -109 *1275:94 *5630:144 0.000155712 -110 *1275:94 *5718:354 0.000157236 -111 *1275:94 *5748:16 5.00087e-06 -112 *1275:101 *24986:A1 7.43578e-06 -113 *1275:101 *24986:B1 1.74352e-05 -114 *1275:101 *24986:B2 0.000187731 -115 *1275:101 *27474:A 0.000843507 -116 *1275:101 *3153:170 0.000136958 -117 *1275:101 *3703:13 0.000219711 -118 *1275:105 *24986:A1 0.000450042 -119 *1275:105 *24986:A2 9.5529e-05 -120 *1275:105 *24987:C1 0.000135028 -121 *1275:105 *27466:B2 0.000303355 -122 *1275:105 *27823:A1 0.0010892 -123 *1275:105 *27823:A2 2.84109e-05 -124 *1275:105 *27840:A 0.000119331 -125 *1275:105 *3185:180 9.46929e-05 -126 *1275:105 *4112:21 4.29806e-05 -127 *1275:105 *4125:53 0.000591151 -128 *1275:105 *5628:73 9.41642e-05 -129 *1275:119 *24994:C1 0.000251733 -130 *1275:119 *1320:10 0.000166976 -131 *1275:119 *1334:19 0.000411136 -132 *1275:119 *5386:12 0.000114275 -133 *1275:139 *24993:A1 2.89114e-05 -134 *1275:139 *24993:B2 1.92905e-05 -135 *1275:139 *24994:C1 2.13481e-06 -136 *1275:139 *28545:CLK 2.89016e-05 -137 *1275:139 *1334:19 4.98747e-05 -138 *1275:139 *2763:58 0.000666589 -139 *1275:139 *3813:19 4.60522e-05 -140 *1275:139 *4099:24 5.12994e-05 -141 *1275:139 *5602:100 0.00013415 -142 *1275:142 *24989:B2 0.000150625 -143 *1275:142 *25061:B1 0.000107861 -144 *1275:142 *1315:10 8.79584e-05 -145 *1275:142 *1371:51 0.000298512 -146 *1275:142 *2771:171 0.00108947 -147 *1275:142 *2776:177 0.000924396 -148 *1275:142 *3722:23 0.00135712 -149 *1275:142 *3733:59 2.21972e-05 -150 *1275:142 *3889:61 9.82517e-05 -151 *1275:142 *4688:15 1.99644e-05 -152 *1275:164 *25381:B2 4.28365e-05 -153 *1275:164 *25721:A1 1.90936e-05 -154 *1275:164 *26868:S 0.000495611 -155 *1275:164 *28516:CLK 3.08858e-05 -156 *1275:164 *28519:D 0.000911978 -157 *1275:164 *30875:A 0.000249979 -158 *1275:164 *1490:166 0.000135968 -159 *1275:164 *1853:77 0.000211639 -160 *1275:164 *2764:94 0.000121549 -161 *1275:164 *2767:118 0.000308154 -162 *1275:164 *2770:97 0.000169156 -163 *1275:164 *2771:171 0.00102212 -164 *1275:164 *2772:152 0 -165 *1275:164 *3720:19 0.000184412 -166 *1275:164 *3733:59 0.000432517 -167 *1275:164 *4239:17 3.95014e-05 -168 *1275:164 *4360:11 0.000492754 -169 *1275:164 *5386:12 0.000137276 -170 *1275:164 *5602:100 0.000411001 -171 *1275:164 *5680:106 0.000287 -172 *1275:164 *5680:129 0.000108889 -173 *1275:164 *5800:119 0.000130976 -174 *1275:164 *5892:25 0 -175 *1275:164 *5910:80 4.28365e-05 -176 *1275:164 *5911:94 9.21127e-05 -177 *1275:178 *25060:A1 1.24368e-05 -178 *1275:178 *25381:B2 0.000354632 -179 *1275:178 *1382:16 3.68462e-05 -180 *1275:178 *1490:166 0.000103609 -181 *1275:178 *4069:17 0.000119138 -182 *1275:178 *5910:80 0.000134754 -183 *1275:195 *25059:B2 0.00127527 -184 *1275:195 *25059:C1 1.21258e-05 -185 *1275:195 *25060:A1 5.33005e-05 -186 *1275:195 *25060:B1 0.00304596 -187 *1275:195 *25186:A1 0.000222444 -188 *1275:195 *25186:B1 1.90936e-05 -189 *1275:195 *27031:S 0 -190 *1275:195 *1382:16 4.25569e-05 -191 *1275:195 *1506:17 5.33005e-05 -192 *1275:195 *2761:53 0.00154673 -193 *1275:195 *2763:25 4.38243e-05 -194 *1275:195 *2770:97 0 -195 *1275:195 *2771:22 3.3472e-05 -196 *1275:195 *3367:31 0.00054662 -197 *1275:195 *3694:69 2.79421e-05 -198 *1275:195 *3733:51 4.38243e-05 -199 *1275:195 *3916:20 2.04825e-05 -200 *1275:195 *3991:38 2.06178e-05 -201 *1275:195 *4239:17 1.1904e-05 -202 *1275:195 *5589:134 8.5931e-05 -203 *1275:195 *5607:92 5.52238e-05 -204 *1275:195 *5627:216 1.90936e-05 -205 *1275:195 *5651:357 0.000151862 -206 *1275:195 *5703:42 3.77315e-05 -207 *1275:195 *5911:153 0 -208 *1275:225 *27019:S 0.000697454 -209 *1275:225 *27337:B2 0.000420148 -210 *1275:225 *27681:B2 0.00035571 -211 *1275:225 *1287:184 0 -212 *1275:225 *1633:45 8.94491e-05 -213 *1275:225 *1659:34 0.00100433 -214 *1275:225 *2875:275 0.0003076 -215 *1275:225 *3162:34 0.000218811 -216 *1275:225 *3215:218 8.55871e-05 -217 *1275:225 *3299:8 0.000218149 -218 *1275:225 *3712:28 0.00148605 -219 *1275:225 *3885:26 0.000165754 -220 *1275:225 *5609:183 0.000494572 -221 *1275:225 *5634:140 0.000176806 -222 *1275:225 *5644:205 8.1275e-05 -223 *1275:225 *5644:218 0.00179814 -224 *1275:225 *5644:237 0.000362717 -225 *1275:225 *5649:151 8.93791e-05 -226 *1275:225 *5764:65 6.77303e-05 -227 *1275:225 *5765:25 0.00019252 -228 *1275:225 *6247:34 1.68546e-05 -229 *1275:234 *1406:8 0.000252777 -230 *1275:234 *1557:16 0 -231 *1275:234 *2778:152 0.000393712 -232 *1275:234 *2859:242 0.000299674 -233 *1275:234 *2875:275 4.8817e-05 -234 *1275:234 *2879:127 0.00025303 -235 *1275:234 *2891:200 0.000132642 -236 *1275:234 *3552:22 8.87536e-05 -237 *1275:234 *5584:139 2.74627e-05 -238 *1275:234 *5609:189 2.21972e-05 -239 *1275:234 *5627:103 1.17921e-05 -240 *1275:234 *5644:237 3.01051e-05 -241 *1275:234 *5765:37 9.09604e-05 -242 *25186:A2 *25172:B1 0.000583926 -243 *25186:A2 *1275:195 0.000116526 -244 *25220:A2 *25236:A2 4.14363e-05 -245 *25290:A2 *25290:B1 3.59437e-05 -246 *25291:A2 *1275:15 2.84026e-05 -247 *25337:A2 *1275:16 5.4961e-05 -248 *26973:A *1275:195 0.000207375 -249 *27474:B *1275:101 5.33005e-05 -250 *29903:A *1275:164 2.98554e-05 -251 *244:65 *1275:139 0.000666589 -252 *282:34 *1275:16 0.000102658 -253 *1250:20 *1275:26 1.60696e-05 -254 *1260:86 *1275:234 8.36367e-05 -255 *1260:184 *1275:142 0.000226048 -256 *1260:188 *1275:142 1.24231e-05 -257 *1260:202 *1275:142 0.00136472 -258 *1261:238 *25172:B1 0.000403713 -259 *1261:238 *1275:195 8.73161e-05 -260 *1262:66 *25370:A2 0.00144015 -261 *1262:128 *25236:A2 0.000194005 -262 *1262:135 *1275:16 3.35973e-05 -263 *1262:135 *1275:26 0.000194375 -264 *1265:36 *1275:26 0.000993465 -265 *1269:247 *1275:119 0.000210205 -266 *1269:264 *25013:B1 0.000359846 -267 *1269:264 *1275:119 0.000247771 -268 *1270:22 *25290:B1 7.49387e-06 -269 *1270:22 *1275:94 9.60939e-05 -270 *1270:88 *1275:119 6.20091e-06 -271 *1270:88 *1275:139 2.30116e-06 -272 *1270:88 *1275:142 0.000292241 -273 *1272:47 *1275:225 0 -*RES -1 *24949:Y *1275:15 32.9479 -2 *1275:15 *1275:16 1.645 -3 *1275:16 *1275:26 29.8595 -4 *1275:26 *25159:A2 39.0321 -5 *1275:16 *25236:A2 23.3095 -6 *1275:15 *1275:54 4.73447 -7 *1275:54 *1275:56 3.41 -8 *1275:56 *1275:62 8.34189 -9 *1275:62 *6477:DIODE 9.3 -10 *1275:62 *25290:B1 12.3179 -11 *1275:56 *1275:94 49.3484 -12 *1275:94 *1275:101 19.4821 -13 *1275:101 *1275:105 48.9821 -14 *1275:105 *25013:B1 29.5679 -15 *1275:105 *1275:119 12.2679 -16 *1275:119 *24993:B1 15.1571 -17 *1275:119 *1275:139 33.1429 -18 *1275:139 *1275:142 44.5268 -19 *1275:142 *1275:164 47.6531 -20 *1275:164 *26982:A 13.8 -21 *1275:164 *1275:178 14.375 -22 *1275:178 *1275:195 47.1802 -23 *1275:195 *25172:B1 22.1842 -24 *1275:178 *25060:A2 9.3 -25 *1275:54 *1275:225 48.9183 -26 *1275:225 *1275:234 19.6801 -27 *1275:234 *25370:A2 48.6482 -*END - -*D_NET *1276 0.0846741 -*CONN -*I *25047:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25181:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25309:A2 I *D sky130_fd_sc_hd__a221o_2 -*I *25076:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *30776:A I *D sky130_fd_sc_hd__buf_6 -*I *25269:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *24950:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25047:B1 0.00152192 -2 *25181:A2 0 -3 *25309:A2 0.000472731 -4 *25076:A2 0.00131684 -5 *30776:A 3.39029e-05 -6 *25269:A2 0.00013121 -7 *24950:Y 1.91064e-05 -8 *1276:120 0.00335278 -9 *1276:107 0.00269487 -10 *1276:102 0.0037853 -11 *1276:76 0.00492268 -12 *1276:47 0.00548301 -13 *1276:45 0.00268697 -14 *1276:43 0.0016162 -15 *1276:34 0.001784 -16 *1276:21 0.00199438 -17 *1276:5 0.00206564 -18 *25047:B1 *25047:B2 3.03567e-05 -19 *25047:B1 *25047:C1 3.10885e-05 -20 *25047:B1 *3179:108 0.00170474 -21 *25047:B1 *3930:94 0.000170171 -22 *25047:B1 *5718:387 7.6644e-05 -23 *25076:A2 *25076:A1 0.000832947 -24 *25076:A2 *25077:B1 3.69047e-06 -25 *25076:A2 *25077:C1 4.87854e-05 -26 *25076:A2 *3699:24 0.00017309 -27 *25076:A2 *3740:41 1.21258e-05 -28 *25269:A2 *25269:A1 0.000201761 -29 *25269:A2 *3209:52 0.000106643 -30 *25309:A2 *1626:27 0.00061018 -31 *25309:A2 *3859:29 0.00123726 -32 *1276:5 *25095:B 4.18895e-05 -33 *1276:5 *3570:27 1.58163e-05 -34 *1276:21 *25095:B 0.000646224 -35 *1276:21 *25184:B2 0.00060388 -36 *1276:21 *25269:B1 0.000115277 -37 *1276:21 *25330:A2 0.00134441 -38 *1276:21 *25410:A1 7.85273e-05 -39 *1276:21 *25413:A 0.000473918 -40 *1276:21 *28610:D 0.000303961 -41 *1276:21 *28610:SET_B 0 -42 *1276:21 *1470:102 9.06096e-05 -43 *1276:21 *1547:36 0.000136682 -44 *1276:21 *1657:40 5.77488e-05 -45 *1276:21 *1657:54 0.000170312 -46 *1276:21 *2888:59 0.000668554 -47 *1276:21 *2888:71 2.03622e-05 -48 *1276:21 *3570:27 3.77065e-05 -49 *1276:21 *3875:63 0 -50 *1276:21 *3989:20 7.67676e-05 -51 *1276:21 *5622:48 0.000217083 -52 *1276:21 *5624:18 6.25596e-05 -53 *1276:21 *5661:42 2.62758e-05 -54 *1276:34 *1482:8 0.000411187 -55 *1276:43 *25414:C 0.000191475 -56 *1276:43 *27932:A2 6.69937e-05 -57 *1276:43 *1455:93 0.000198909 -58 *1276:43 *1482:8 0.0037794 -59 *1276:43 *1485:39 0.000169059 -60 *1276:43 *1844:16 8.49641e-05 -61 *1276:43 *5655:150 0.00060289 -62 *1276:47 *24943:A 8.64475e-05 -63 *1276:47 *25163:A1 0.000508645 -64 *1276:47 *25344:B1 1.28569e-05 -65 *1276:47 *1288:20 0.000160692 -66 *1276:47 *1410:71 0.000190065 -67 *1276:47 *1438:10 0.000146474 -68 *1276:47 *1482:8 9.92702e-05 -69 *1276:47 *1482:21 0.00307829 -70 *1276:47 *1485:39 0.000200642 -71 *1276:47 *3542:42 8.41555e-06 -72 *1276:47 *4070:50 0.00368287 -73 *1276:47 *4070:63 0.000190239 -74 *1276:47 *5610:22 0.000559505 -75 *1276:47 *5610:31 0.000609529 -76 *1276:47 *5656:173 0.0001326 -77 *1276:76 *25111:A1 0.000807843 -78 *1276:76 *25163:A1 0 -79 *1276:76 *27754:B2 0.00020324 -80 *1276:76 *29059:A 1.39112e-05 -81 *1276:76 *1634:16 0 -82 *1276:76 *2872:295 0.00055552 -83 *1276:76 *2886:295 0.000173607 -84 *1276:76 *3183:169 0.00034256 -85 *1276:76 *3706:35 0.000160573 -86 *1276:76 *3849:50 0.000311567 -87 *1276:76 *3875:118 0.00173084 -88 *1276:76 *4070:48 0.000201786 -89 *1276:76 *4070:50 0.000161136 -90 *1276:76 *4128:52 1.07374e-05 -91 *1276:76 *5643:227 0.000279788 -92 *1276:76 *5652:45 0.000323094 -93 *1276:102 *27680:B2 0.000309395 -94 *1276:102 *28651:D 0.000220943 -95 *1276:102 *1406:24 5.99815e-05 -96 *1276:102 *2761:114 2.0091e-05 -97 *1276:102 *2859:242 0.0026325 -98 *1276:102 *2879:118 1.68822e-05 -99 *1276:102 *2889:65 0.000136676 -100 *1276:102 *2978:22 0.00012963 -101 *1276:102 *3185:118 0.000884307 -102 *1276:102 *3712:27 9.43254e-05 -103 *1276:102 *3756:13 0.000133011 -104 *1276:102 *3821:19 0.000134726 -105 *1276:102 *3859:29 0.00140567 -106 *1276:102 *3911:17 0.000172194 -107 *1276:102 *5590:79 8.07514e-05 -108 *1276:102 *5644:218 3.77445e-05 -109 *1276:107 *25181:A1 5.33005e-05 -110 *1276:107 *25181:B1 8.32577e-05 -111 *1276:107 *3176:267 0.000755806 -112 *1276:120 *25181:A1 0.000413704 -113 *1276:120 *27744:A1 0.00135825 -114 *1276:120 *1501:20 1.98839e-05 -115 *1276:120 *3176:257 8.32156e-05 -116 *1276:120 *3176:267 0.000592747 -117 *1276:120 *3179:123 3.38861e-06 -118 *1276:120 *3292:11 0.000168226 -119 *1276:120 *3293:14 0.000115606 -120 *1276:120 *3939:51 0.00169563 -121 *1276:120 *5600:195 0.000756006 -122 *25047:A2 *25047:B1 5.43514e-05 -123 *25302:A2 *30776:A 2.59355e-05 -124 *1178:174 *1276:102 0.000386568 -125 *1224:23 *1276:47 0.00294494 -126 *1227:26 *1276:43 0.000979911 -127 *1227:26 *1276:47 0.00103009 -128 *1227:30 *1276:34 0.000411187 -129 *1227:30 *1276:43 0.000796233 -130 *1227:42 *25269:A2 0.000150854 -131 *1235:6 *1276:47 7.05143e-06 -132 *1246:104 *25047:B1 3.14219e-05 -133 *1257:146 *25076:A2 0.000173804 -134 *1260:86 *1276:102 0.000128349 -135 *1263:50 *25269:A2 0.000150854 -136 *1267:65 *1276:102 7.75217e-05 -*RES -1 *24950:Y *1276:5 9.83571 -2 *1276:5 *1276:21 48.2923 -3 *1276:21 *25269:A2 21.2814 -4 *1276:5 *1276:34 10.0893 -5 *1276:34 *30776:A 14.3357 -6 *1276:34 *1276:43 50.0089 -7 *1276:43 *1276:45 0.535714 -8 *1276:45 *1276:47 90.4911 -9 *1276:47 *25076:A2 43.7821 -10 *1276:47 *1276:76 28.4175 -11 *1276:76 *25309:A2 21.9875 -12 *1276:76 *1276:102 45.528 -13 *1276:102 *1276:107 14.2321 -14 *1276:107 *25181:A2 9.3 -15 *1276:107 *1276:120 45.5357 -16 *1276:120 *25047:B1 43.7821 -*END - -*D_NET *1277 0.124543 -*CONN -*I *25259:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27072:A I *D sky130_fd_sc_hd__nand2_8 -*I *24988:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25322:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25208:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25360:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25044:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25015:B1 I *D sky130_fd_sc_hd__a221o_2 -*I *25081:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *24951:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25259:B1 0.000137654 -2 *27072:A 0 -3 *24988:A2 0.000848676 -4 *25322:B1 0 -5 *25208:A2 2.82789e-05 -6 *25360:B1 0 -7 *25044:B1 0 -8 *25015:B1 8.5268e-05 -9 *25081:A2 0.000806692 -10 *24951:Y 0.000226666 -11 *1277:188 0.00218153 -12 *1277:176 0.00167495 -13 *1277:161 0.00655226 -14 *1277:138 0.0043476 -15 *1277:131 0.00297933 -16 *1277:107 0.00232672 -17 *1277:98 0.00131046 -18 *1277:76 0.00231628 -19 *1277:70 0.00462339 -20 *1277:53 0.00683746 -21 *1277:44 0.00441056 -22 *1277:33 0.00217937 -23 *1277:16 0.00386035 -24 *1277:8 0.00373037 -25 *24988:A2 *24988:A1 0.000745215 -26 *24988:A2 *27176:A1 0.000385699 -27 *24988:A2 *28734:D 0.000150618 -28 *24988:A2 *2886:191 0.00149897 -29 *24988:A2 *2891:147 1.38323e-05 -30 *24988:A2 *5601:23 6.86693e-05 -31 *24988:A2 *5601:119 0.000317814 -32 *24988:A2 *5649:116 0.000426563 -33 *24988:A2 *5658:44 0.000192996 -34 *24988:A2 *5660:134 0.000519513 -35 *25015:B1 *25015:B2 2.78554e-05 -36 *25015:B1 *25015:C1 0.000125724 -37 *25015:B1 *3825:14 0.00012401 -38 *25015:B1 *5707:251 5.33005e-05 -39 *25015:B1 *6191:17 6.10501e-05 -40 *25081:A2 *25122:A2 0 -41 *25081:A2 *25122:B1 1.81709e-05 -42 *25081:A2 *25122:C1 0.000451533 -43 *25081:A2 *1430:76 4.98055e-06 -44 *25081:A2 *1439:37 0.000263573 -45 *25081:A2 *1442:14 2.31814e-05 -46 *25081:A2 *1460:25 0.00031869 -47 *25081:A2 *3920:83 0.000141638 -48 *25081:A2 *3962:61 2.49484e-05 -49 *25081:A2 *5605:114 0.000754429 -50 *25081:A2 *5623:66 7.15101e-05 -51 *25081:A2 *5848:27 0.00198486 -52 *25208:A2 *1290:23 3.58774e-05 -53 *25208:A2 *3848:61 7.37323e-05 -54 *25259:B1 *25259:A1 3.36177e-05 -55 *25259:B1 *25259:B2 2.84269e-05 -56 *25259:B1 *25259:C1 0.000177821 -57 *25259:B1 *1578:10 0.000344232 -58 *1277:8 *25351:A 8.19176e-05 -59 *1277:8 *3153:32 0.000216755 -60 *1277:8 *5620:18 8.34352e-05 -61 *1277:16 *25390:B2 0.000207735 -62 *1277:16 *1294:95 0.00208085 -63 *1277:16 *1453:17 0.000288973 -64 *1277:16 *1613:6 0.000714911 -65 *1277:16 *1723:27 0.00205531 -66 *1277:16 *2867:39 0.000127691 -67 *1277:16 *3174:61 0.00147172 -68 *1277:16 *3182:61 0.000193118 -69 *1277:16 *3457:28 1.88266e-05 -70 *1277:16 *3778:77 0.000156484 -71 *1277:16 *5634:52 5.25751e-05 -72 *1277:16 *5666:202 6.58722e-05 -73 *1277:16 *5666:250 0.000106674 -74 *1277:16 *5744:76 0.000324252 -75 *1277:33 *25122:B1 3.148e-05 -76 *1277:33 *25303:B 0.000138157 -77 *1277:33 *1294:95 0.000304644 -78 *1277:33 *1401:95 2.01257e-06 -79 *1277:33 *1407:13 0.000974981 -80 *1277:33 *1442:14 0.000291074 -81 *1277:33 *1448:160 0.000303777 -82 *1277:33 *1613:6 0.000648237 -83 *1277:33 *1730:13 5.00194e-05 -84 *1277:33 *3206:125 4.54329e-06 -85 *1277:33 *3662:79 2.63501e-05 -86 *1277:33 *5623:66 0.00203387 -87 *1277:33 *5687:58 1.28049e-05 -88 *1277:44 *25265:C1 4.65519e-05 -89 *1277:44 *25351:A 0.000105469 -90 *1277:44 *1453:17 0.000195881 -91 *1277:44 *1653:43 0.000283593 -92 *1277:44 *1700:65 8.41485e-05 -93 *1277:44 *1723:27 1.06307e-05 -94 *1277:44 *2867:39 0.000821915 -95 *1277:44 *3777:51 0.000415365 -96 *1277:44 *5620:18 0.00013105 -97 *1277:44 *5626:109 3.22681e-05 -98 *1277:53 *25164:C1 8.1877e-05 -99 *1277:53 *28341:CLK 0.00039867 -100 *1277:53 *28626:CLK 0.000422886 -101 *1277:53 *1592:20 0.000162369 -102 *1277:53 *1653:43 0.000538979 -103 *1277:53 *1670:20 0.000504924 -104 *1277:53 *2856:38 5.41797e-06 -105 *1277:53 *2871:36 0.000347693 -106 *1277:53 *3160:33 0.000948677 -107 *1277:53 *3790:32 0.000210419 -108 *1277:53 *4952:14 0.002718 -109 *1277:53 *5442:51 9.21418e-06 -110 *1277:53 *5505:42 0.00238182 -111 *1277:53 *5625:52 0 -112 *1277:53 *5635:34 0.000456216 -113 *1277:70 *24820:A 0.000385186 -114 *1277:70 *25124:A1 0.000147955 -115 *1277:70 *25124:B2 0.000194122 -116 *1277:70 *25125:C1 0.000120504 -117 *1277:70 *27244:A1 0.000119631 -118 *1277:70 *28619:D 0.000170233 -119 *1277:70 *30833:A 4.83272e-05 -120 *1277:70 *30871:A 0 -121 *1277:70 *1900:17 9.60875e-05 -122 *1277:70 *2839:19 0.00207843 -123 *1277:70 *3820:69 6.92148e-06 -124 *1277:70 *5522:85 0.000316752 -125 *1277:70 *5581:25 0.00011864 -126 *1277:70 *5707:244 0.000380023 -127 *1277:70 *5749:54 6.45433e-05 -128 *1277:70 *6225:92 0.000812543 -129 *1277:70 *6225:102 8.6229e-06 -130 *1277:70 *6319:25 4.75956e-06 -131 *1277:76 *27241:B1 0.000132341 -132 *1277:76 *1826:46 0.000132341 -133 *1277:76 *1826:85 0.000904125 -134 *1277:76 *2778:14 5.52238e-05 -135 *1277:76 *2815:11 4.93132e-05 -136 *1277:76 *5625:15 9.22103e-06 -137 *1277:76 *6303:31 0.000345257 -138 *1277:76 *6303:39 0.000512957 -139 *1277:76 *6308:5 7.37323e-05 -140 *1277:76 *6308:48 0.000307349 -141 *1277:98 *25044:A1 2.93523e-05 -142 *1277:98 *1826:85 0.000516715 -143 *1277:98 *2782:170 5.68722e-05 -144 *1277:98 *3851:31 0.0019685 -145 *1277:98 *3851:54 0.000196269 -146 *1277:98 *4685:19 2.04825e-05 -147 *1277:98 *5585:105 0.000595067 -148 *1277:98 *5667:230 2.21972e-05 -149 *1277:98 *5675:191 0.000135519 -150 *1277:98 *5675:213 0 -151 *1277:98 *5675:281 7.89868e-05 -152 *1277:98 *5720:31 3.52572e-05 -153 *1277:107 *25265:C1 6.06053e-05 -154 *1277:107 *27331:B2 1.32511e-05 -155 *1277:107 *27619:B2 1.90936e-05 -156 *1277:107 *1653:43 0.000131842 -157 *1277:107 *3777:51 0.0001172 -158 *1277:107 *5517:30 4.15592e-05 -159 *1277:107 *5583:58 6.92539e-05 -160 *1277:131 *27331:B2 1.24437e-06 -161 *1277:131 *27332:C 6.38844e-06 -162 *1277:131 *28466:SET_B 9.87665e-05 -163 *1277:131 *29035:A 0.000794434 -164 *1277:131 *1578:10 0.00038021 -165 *1277:131 *2780:43 0.000348211 -166 *1277:131 *2784:59 0.000551281 -167 *1277:131 *2784:65 0.000123483 -168 *1277:131 *2856:124 0.000832464 -169 *1277:131 *2877:32 0.000606803 -170 *1277:131 *3165:201 0.00164555 -171 *1277:131 *3165:216 0.000387555 -172 *1277:131 *5517:30 0.000111734 -173 *1277:131 *5583:58 0.000135066 -174 *1277:138 *25259:C1 0.000355792 -175 *1277:138 *27768:B2 1.721e-05 -176 *1277:138 *2870:43 0.00104299 -177 *1277:138 *4015:26 7.12413e-05 -178 *1277:138 *4015:31 1.18589e-05 -179 *1277:138 *5707:100 0.00142819 -180 *1277:138 *5707:103 0.000295931 -181 *1277:161 *25277:B2 0.000637112 -182 *1277:161 *27364:A 0.000292742 -183 *1277:161 *27366:B2 0.000355935 -184 *1277:161 *2852:28 0 -185 *1277:161 *2853:187 0 -186 *1277:161 *2870:43 7.75724e-05 -187 *1277:161 *2875:196 0.00010283 -188 *1277:161 *2875:207 0.00111952 -189 *1277:161 *2880:178 0.000236304 -190 *1277:161 *2891:111 0.00251278 -191 *1277:161 *2891:138 0.000614639 -192 *1277:161 *2917:26 0.000390682 -193 *1277:161 *3782:76 0.000118715 -194 *1277:161 *3848:40 0.000243832 -195 *1277:161 *5583:112 0.000201943 -196 *1277:161 *5609:137 1.31821e-05 -197 *1277:161 *5641:38 0.000265093 -198 *1277:161 *5644:158 0.00017977 -199 *1277:161 *5651:129 0.000700869 -200 *1277:161 *5651:248 6.09762e-05 -201 *1277:161 *5707:100 8.40344e-05 -202 *1277:176 *25322:A1 8.84373e-05 -203 *1277:176 *25325:D 0.00171725 -204 *1277:176 *27694:C1 2.996e-06 -205 *1277:176 *1639:35 2.1842e-05 -206 *1277:176 *2894:237 5.21882e-05 -207 *1277:176 *2922:36 2.996e-06 -208 *1277:176 *2958:38 0.00171575 -209 *1277:176 *3250:17 9.00614e-05 -210 *1277:176 *3259:25 0 -211 *1277:176 *3730:42 9.11102e-06 -212 *1277:176 *4146:54 1.49122e-05 -213 *1277:176 *5589:31 0 -214 *1277:176 *5596:47 9.17988e-05 -215 *1277:176 *5600:132 0.000263757 -216 *1277:176 *5609:165 9.81603e-05 -217 *1277:176 *5630:36 0.000162221 -218 *1277:176 *5651:248 0.000224487 -219 *1277:176 *5667:40 9.34324e-05 -220 *1277:188 *2845:211 1.04954e-05 -221 *1277:188 *2860:209 0 -222 *1277:188 *2880:108 5.59298e-05 -223 *1277:188 *2891:147 0.000135028 -224 *1277:188 *3939:51 0.000110948 -225 *1277:188 *5596:47 0 -226 *1277:188 *5632:44 3.72602e-05 -227 *1277:188 *5649:116 6.26177e-05 -228 *1277:188 *5651:248 1.1257e-05 -229 *1277:188 *5667:40 0.00154261 -230 *25009:A2 *1277:98 2.39017e-05 -231 *25124:B1 *1277:70 4.97207e-05 -232 *25277:A2 *1277:161 0.000596178 -233 *25318:A2 *1277:176 0.000114258 -234 *26836:B *1277:16 8.61883e-05 -235 *29655:A *1277:138 0.000300073 -236 *30322:A *1277:98 0.000149911 -237 *30510:A *1277:98 0.000313487 -238 *1218:46 *1277:53 0.000349349 -239 *1225:33 *1277:161 0 -240 *1225:33 *1277:176 0.000228533 -241 *1228:53 *1277:131 0.000149762 -242 *1237:17 *1277:53 0.000258363 -243 *1240:137 *1277:98 2.96514e-05 -244 *1244:137 *1277:131 0.000529251 -245 *1254:144 *1277:53 9.09539e-05 -246 *1263:36 *1277:33 8.89112e-05 -247 *1264:116 *1277:98 0.000100527 -*RES -1 *24951:Y *1277:8 17.1661 -2 *1277:8 *1277:16 40.2127 -3 *1277:16 *1277:33 49.7216 -4 *1277:33 *25081:A2 41.3982 -5 *1277:8 *1277:44 7.81766 -6 *1277:44 *1277:53 49.6875 -7 *1277:53 *1277:70 46.7466 -8 *1277:70 *1277:76 28.3118 -9 *1277:76 *25015:B1 21.2107 -10 *1277:76 *1277:98 44.5893 -11 *1277:98 *25044:B1 9.3 -12 *1277:44 *1277:107 4.57786 -13 *1277:107 *25360:B1 13.8 -14 *1277:107 *1277:131 40.5803 -15 *1277:131 *1277:138 29.2679 -16 *1277:138 *25208:A2 14.5411 -17 *1277:138 *1277:161 39.3161 -18 *1277:161 *1277:176 41.1365 -19 *1277:176 *25322:B1 9.3 -20 *1277:161 *1277:188 13.832 -21 *1277:188 *24988:A2 45.5545 -22 *1277:188 *27072:A 9.3 -23 *1277:131 *25259:B1 13.1393 -*END - -*D_NET *1278 0.0386821 -*CONN -*I *30775:A I *D sky130_fd_sc_hd__buf_6 -*I *25402:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27108:A I *D sky130_fd_sc_hd__nand2_8 -*I *25006:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *24972:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *24952:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *30775:A 0 -2 *25402:B1 0 -3 *27108:A 3.27348e-05 -4 *25006:B1 0.000444449 -5 *24972:B1 0.000876282 -6 *24952:Y 5.61883e-05 -7 *1278:58 0.000970148 -8 *1278:41 0.00288595 -9 *1278:29 0.00626354 -10 *1278:28 0.00480392 -11 *1278:23 0.000532127 -12 *1278:18 0.00136694 -13 *1278:5 0.00094808 -14 *24972:B1 *24969:A1 1.91414e-05 -15 *24972:B1 *24972:B2 1.28809e-05 -16 *24972:B1 *24997:B 0.000844628 -17 *24972:B1 *1302:29 0.000151063 -18 *24972:B1 *1322:12 1.41029e-05 -19 *24972:B1 *3787:18 0.000306324 -20 *24972:B1 *3826:26 0.000145625 -21 *24972:B1 *3942:17 3.78609e-05 -22 *24972:B1 *4086:68 0.000937623 -23 *24972:B1 *5129:32 1.5942e-05 -24 *24972:B1 *5642:13 0.000121573 -25 *24972:B1 *5667:244 0.000308456 -26 *24972:B1 *6191:17 0.00112806 -27 *25006:B1 *1624:16 0.00031763 -28 *25006:B1 *2784:26 7.62437e-05 -29 *27108:A *2784:26 9.90431e-05 -30 *1278:5 *24952:B 0.000269378 -31 *1278:5 *4311:12 8.61499e-05 -32 *1278:18 *24918:A 0.000249164 -33 *1278:18 *24952:B 0.00064675 -34 *1278:18 *30555:A 0.000129868 -35 *1278:18 *1408:103 0.000582592 -36 *1278:18 *4311:12 0.000218404 -37 *1278:18 *5483:135 0.000130662 -38 *1278:18 *5618:61 0.000168217 -39 *1278:18 *6071:10 0.000487467 -40 *1278:18 *6332:29 0.000531273 -41 *1278:18 *6332:39 0.000111126 -42 *1278:23 *25402:B2 5.58186e-05 -43 *1278:23 *1718:12 0.00154313 -44 *1278:23 *3820:25 0.000807614 -45 *1278:23 *3972:13 0 -46 *1278:28 *25402:A2 4.78056e-05 -47 *1278:28 *5609:16 9.25014e-06 -48 *1278:28 *5636:10 4.33438e-05 -49 *1278:29 *25533:A1 0.000219711 -50 *1278:29 *25533:B1 2.39852e-05 -51 *1278:29 *25533:B2 2.37409e-05 -52 *1278:29 *27440:A1 6.09804e-05 -53 *1278:29 *28441:CLK 1.02821e-05 -54 *1278:29 *28441:D 0.000137198 -55 *1278:29 *1799:51 5.4766e-05 -56 *1278:29 *2839:71 0.000881378 -57 *1278:29 *3572:55 0.00223707 -58 *1278:29 *5005:14 0.000625066 -59 *1278:29 *6319:19 0.000219711 -60 *1278:29 *6350:47 5.74499e-06 -61 *1278:41 *24817:A 7.40571e-05 -62 *1278:41 *27142:A1 0.000151259 -63 *1278:41 *27267:A 7.40571e-05 -64 *1278:41 *28632:D 0.000345257 -65 *1278:41 *28632:RESET_B 0.000100034 -66 *1278:41 *30522:A 0.000149381 -67 *1278:41 *1624:26 1.14483e-05 -68 *1278:41 *1624:33 1.56051e-05 -69 *1278:41 *2787:17 0.000572026 -70 *1278:41 *5678:216 0.000110316 -71 *1278:41 *5751:148 6.34577e-05 -72 *1278:58 *25018:A1 0 -73 *1278:58 *27142:A1 4.13496e-05 -74 *1278:58 *28705:RESET_B 2.30314e-05 -75 *1278:58 *3020:15 0.000449967 -76 *1278:58 *3943:17 0.000128557 -77 *1278:58 *6191:17 0.000947578 -78 *24922:A *1278:5 9.41642e-05 -79 *24968:B *1278:18 5.14182e-05 -80 *24969:A2 *24972:B1 0.000239878 -81 *25006:A2 *25006:B1 6.71327e-05 -82 *25015:A2 *1278:41 0 -83 *25018:A2 *25006:B1 0.000146461 -84 *28779:D *1278:29 0.000221641 -85 *29767:A *1278:18 2.06178e-05 -86 *30523:A *1278:41 5.38242e-05 -87 *1240:144 *25006:B1 6.05161e-06 -88 *1243:69 *1278:18 0.000144531 -89 *1244:53 *1278:29 4.47762e-05 -*RES -1 *24952:Y *1278:5 11.8893 -2 *1278:5 *1278:18 36 -3 *1278:18 *1278:23 16.6964 -4 *1278:23 *1278:28 10.3393 -5 *1278:28 *1278:29 61.7321 -6 *1278:29 *1278:41 40.3393 -7 *1278:41 *24972:B1 49.6571 -8 *1278:41 *1278:58 22.5179 -9 *1278:58 *25006:B1 26.8179 -10 *1278:58 *27108:A 10.2464 -11 *1278:23 *25402:B1 9.3 -12 *1278:5 *30775:A 9.3 -*END - -*D_NET *1279 0.058407 -*CONN -*I *25340:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25146:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25218:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25395:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *29140:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24953:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *25340:A2 0.000355027 -2 *25146:B1 0.000623482 -3 *25218:A2 0 -4 *25395:A2 0.000789317 -5 *29140:A 0.000139732 -6 *24953:Y 0.000710535 -7 *1279:109 0.00241332 -8 *1279:108 0.00202314 -9 *1279:105 0.00115768 -10 *1279:102 0.00454481 -11 *1279:75 0.00124878 -12 *1279:61 0.00134297 -13 *1279:44 0.00211533 -14 *1279:19 0.00520727 -15 *1279:11 0.000850267 -16 *25146:B1 *25145:A2 4.75671e-06 -17 *25146:B1 *25147:A2 6.37188e-06 -18 *25146:B1 *25190:C1 0.0001094 -19 *25146:B1 *27400:B2 0 -20 *25146:B1 *27415:A1 3.30361e-05 -21 *25146:B1 *1467:27 3.60305e-05 -22 *25146:B1 *2780:196 1.94945e-05 -23 *25146:B1 *3177:136 2.59355e-05 -24 *25146:B1 *4076:52 2.11419e-05 -25 *25146:B1 *5601:175 2.01997e-05 -26 *25340:A2 *25293:A 5.41797e-06 -27 *25340:A2 *25340:A1 4.37992e-05 -28 *25340:A2 *25345:B 1.32327e-06 -29 *25340:A2 *1605:13 0.000168788 -30 *25340:A2 *2879:88 0.000164001 -31 *25340:A2 *4069:112 0.000373843 -32 *25340:A2 *5627:75 4.7091e-05 -33 *25340:A2 *5644:218 7.48409e-06 -34 *25395:A2 *25395:A1 1.46576e-05 -35 *25395:A2 *1281:75 7.90803e-05 -36 *25395:A2 *1363:88 8.48827e-06 -37 *25395:A2 *1471:140 1.5942e-05 -38 *25395:A2 *1729:10 0.00182699 -39 *25395:A2 *1730:13 3.25078e-05 -40 *25395:A2 *4022:76 0.00201636 -41 *29140:A *30774:A 0.00012783 -42 *29140:A *4133:85 1.32056e-05 -43 *29140:A *5707:29 9.0036e-05 -44 *1279:11 *4132:65 3.50858e-05 -45 *1279:11 *5707:29 6.43196e-05 -46 *1279:11 *5869:85 0.000123589 -47 *1279:11 *6280:18 0.000123589 -48 *1279:44 *1451:39 0.000301016 -49 *1279:44 *1834:70 6.42562e-05 -50 *1279:44 *1834:84 4.28249e-05 -51 *1279:44 *3305:19 0.000322759 -52 *1279:44 *3545:8 0.000240591 -53 *1279:44 *3686:83 3.13926e-05 -54 *1279:44 *3758:43 0.000170787 -55 *1279:44 *3907:76 6.95597e-05 -56 *1279:44 *3988:44 1.08524e-05 -57 *1279:44 *4063:59 0.000112777 -58 *1279:44 *4133:92 0.00108451 -59 *1279:44 *5604:9 5.46795e-05 -60 *1279:44 *5626:49 0.00034012 -61 *1279:61 *24892:A 0.000361201 -62 *1279:61 *1505:16 0.000353528 -63 *1279:61 *1538:37 0.000873513 -64 *1279:61 *1545:28 0.000177545 -65 *1279:61 *1834:84 8.74335e-05 -66 *1279:61 *3913:73 5.98871e-05 -67 *1279:61 *3988:44 0.00053377 -68 *1279:61 *5626:99 0.00049 -69 *1279:75 *6396:DIODE 9.33978e-07 -70 *1279:75 *25218:A1 9.53821e-06 -71 *1279:75 *1648:24 0.000669796 -72 *1279:75 *3666:40 0.00013238 -73 *1279:75 *3913:73 1.1396e-05 -74 *1279:75 *5694:43 0.000260574 -75 *1279:102 *1281:103 0 -76 *1279:102 *1292:24 5.32088e-05 -77 *1279:102 *1421:106 0 -78 *1279:102 *1555:20 0.00011994 -79 *1279:102 *2778:120 2.01997e-05 -80 *1279:102 *2893:43 0.000408975 -81 *1279:102 *3305:19 5.90915e-05 -82 *1279:102 *3758:43 0.000680176 -83 *1279:102 *4043:70 0.000116155 -84 *1279:102 *4952:14 0 -85 *1279:102 *5585:47 0.000637862 -86 *1279:102 *5795:96 8.92786e-05 -87 *1279:102 *5869:85 3.95014e-05 -88 *1279:105 *25344:A1 2.33412e-05 -89 *1279:105 *1361:29 0.000132513 -90 *1279:105 *3768:22 1.27784e-05 -91 *1279:105 *5584:115 0.000556325 -92 *1279:105 *5643:119 0.000713881 -93 *1279:105 *5764:11 0.000178672 -94 *1279:105 *6247:34 6.05538e-06 -95 *1279:105 *6247:36 0.00152929 -96 *1279:108 *3768:19 0.000349466 -97 *1279:108 *4069:112 5.96516e-05 -98 *1279:108 *5614:37 0.000112936 -99 *1279:109 *1562:33 0.000268644 -100 *1279:109 *1562:45 1.53191e-05 -101 *1279:109 *1626:8 0.00114392 -102 *1279:109 *1700:6 1.09232e-05 -103 *1279:109 *2775:173 0.00011602 -104 *1279:109 *3966:11 6.81895e-05 -105 *1279:109 *4043:70 0.00501596 -106 *24924:A *1279:11 0.000464893 -107 *25098:B *1279:102 2.2506e-05 -108 *25146:A2 *25146:B1 1.65009e-05 -109 *25290:A2 *1279:102 0.000347059 -110 *25357:A2 *1279:44 0.000270958 -111 *28842:A *1279:44 0.000108426 -112 *1218:18 *1279:61 0.000223469 -113 *1225:89 *1279:102 0.000113806 -114 *1230:51 *1279:102 0.000552918 -115 *1241:10 *1279:44 0.000110948 -116 *1243:34 *1279:102 0.00126859 -117 *1248:81 *1279:75 0.000666359 -118 *1249:34 *1279:44 8.58784e-05 -119 *1253:34 *1279:44 2.5714e-05 -120 *1256:89 *1279:102 2.72228e-05 -121 *1260:73 *1279:102 0.000262314 -122 *1266:24 *1279:44 0.000329493 -123 *1268:37 *1279:102 0.000158304 -124 *1271:162 *1279:109 0.00318634 -125 *1271:191 *1279:109 1.7897e-05 -126 *1274:92 *25340:A2 0.000756006 -127 *1274:92 *1279:108 0.000804026 -*RES -1 *24953:Y *1279:11 28.4071 -2 *1279:11 *29140:A 12.3 -3 *1279:11 *1279:19 4.5 -4 *1279:19 *1279:44 43.5422 -5 *1279:44 *1279:61 29.6161 -6 *1279:61 *1279:75 26.6161 -7 *1279:75 *25395:A2 40.7866 -8 *1279:61 *25218:A2 9.3 -9 *1279:19 *1279:102 31.713 -10 *1279:102 *1279:105 24.8125 -11 *1279:105 *1279:108 12.4286 -12 *1279:108 *1279:109 65.5446 -13 *1279:109 *25146:B1 23.1332 -14 *1279:105 *25340:A2 29.1259 -*END - -*D_NET *1280 0.0203441 -*CONN -*I *30324:A I *D sky130_fd_sc_hd__buf_8 -*I *24954:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *30324:A 0.000605613 -2 *24954:Y 0 -3 *1280:6 0.00286538 -4 *1280:5 0.00225976 -5 *30324:A *24920:B 1.41029e-05 -6 *30324:A *25214:B1 6.8646e-06 -7 *30324:A *25395:A1 0.00110874 -8 *30324:A *1363:88 0.000201696 -9 *30324:A *3168:54 0.000172785 -10 *30324:A *3314:26 0 -11 *30324:A *3934:62 0.00014051 -12 *30324:A *3963:125 4.60153e-05 -13 *30324:A *5892:145 0.000145169 -14 *1280:6 *25217:D 0.0001363 -15 *1280:6 *25395:A1 0 -16 *1280:6 *27932:A2 0.000231052 -17 *1280:6 *1485:39 0.000270368 -18 *1280:6 *1844:104 0.000125149 -19 *1280:6 *3176:62 0.000384139 -20 *1280:6 *3314:26 0.00609177 -21 *1280:6 *3545:8 2.52223e-05 -22 *1280:6 *5651:150 1.01487e-05 -23 *30235:A *1280:6 0.000389337 -24 *1235:17 *1280:6 0.00198555 -25 *1235:116 *1280:6 0.000254756 -26 *1246:6 *30324:A 0.000564843 -27 *1246:36 *30324:A 0.000364509 -28 *1248:120 *1280:6 2.97777e-05 -29 *1253:34 *1280:6 0.00191112 -30 *1266:24 *1280:6 3.4323e-06 -*RES -1 *24954:Y *1280:5 13.8 -2 *1280:5 *1280:6 80.2679 -3 *1280:6 *30324:A 42.3714 -*END - -*D_NET *1281 0.0918348 -*CONN -*I *30335:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25263:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25174:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *25292:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25122:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25408:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *24955:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *30335:A 2.79726e-05 -2 *25263:A2 0.0029108 -3 *25174:B1 0 -4 *25292:B1 0 -5 *25122:A2 0.00099356 -6 *25408:A2 6.56827e-05 -7 *24955:Y 0.000105825 -8 *1281:145 0.00136693 -9 *1281:125 0.0048865 -10 *1281:103 0.00730973 -11 *1281:75 0.00600952 -12 *1281:64 0.00274019 -13 *1281:60 0.00169338 -14 *1281:40 0.00190786 -15 *1281:30 0.00416959 -16 *1281:12 0.00575008 -17 *1281:8 0.000466692 -18 *25122:A2 *25122:A1 0.000198253 -19 *25122:A2 *1327:78 0.000177689 -20 *25122:A2 *1358:19 0.000164 -21 *25122:A2 *1358:25 1.46617e-05 -22 *25122:A2 *1430:88 5.52302e-05 -23 *25122:A2 *1443:10 3.58774e-05 -24 *25122:A2 *1460:25 0.000174413 -25 *25122:A2 *3220:44 0.000224237 -26 *25122:A2 *3686:83 0.00104184 -27 *25122:A2 *3739:92 3.40243e-05 -28 *25122:A2 *3828:77 0.000108353 -29 *25122:A2 *3920:83 0.000141079 -30 *25122:A2 *3962:61 4.96113e-05 -31 *25122:A2 *5626:29 3.75081e-05 -32 *25122:A2 *5848:27 0.000163301 -33 *25263:A2 *25263:A1 0.000171488 -34 *25263:A2 *25263:B1 7.32286e-05 -35 *25263:A2 *30833:A 0 -36 *25263:A2 *30871:A 0.000153032 -37 *25263:A2 *1289:49 4.9343e-05 -38 *25263:A2 *1704:26 0 -39 *25263:A2 *3797:35 0.00142835 -40 *25263:A2 *5599:91 0.00158849 -41 *25263:A2 *5687:142 0.000222584 -42 *25263:A2 *5687:144 0.000454741 -43 *25263:A2 *5687:153 0.000753141 -44 *25263:A2 *5707:162 0.000161433 -45 *25263:A2 *5707:244 2.83129e-05 -46 *25408:A2 *25408:A1 0.000240959 -47 *30335:A *1455:11 8.17274e-05 -48 *1281:8 *5041:8 0.00033763 -49 *1281:8 *5609:10 0.00033763 -50 *1281:12 *1289:49 4.46186e-06 -51 *1281:12 *1455:11 0.000183713 -52 *1281:12 *1500:11 1.39841e-05 -53 *1281:12 *5041:8 0.000239551 -54 *1281:30 *25955:A0 0.000218193 -55 *1281:30 *25955:A1 3.63775e-05 -56 *1281:30 *25957:A0 0.000340995 -57 *1281:30 *28395:RESET_B 1.10422e-05 -58 *1281:30 *28398:CLK 0.000101191 -59 *1281:30 *1457:99 0.00109372 -60 *1281:30 *1471:121 2.47508e-05 -61 *1281:30 *1719:12 0.00134033 -62 *1281:30 *3212:44 4.51205e-05 -63 *1281:30 *3568:99 1.61405e-05 -64 *1281:30 *3648:114 0.000344413 -65 *1281:30 *5599:91 1.86479e-05 -66 *1281:30 *5599:115 0.000233347 -67 *1281:30 *5685:55 8.83488e-05 -68 *1281:30 *5711:153 0.000150877 -69 *1281:40 *25396:A1 0.000240224 -70 *1281:40 *1449:115 9.87983e-06 -71 *1281:40 *1471:127 0.00171203 -72 *1281:40 *1471:140 0.00110363 -73 *1281:40 *1729:10 0.000116964 -74 *1281:40 *2856:48 4.43299e-05 -75 *1281:40 *3153:32 1.67662e-05 -76 *1281:40 *3183:98 0.000232634 -77 *1281:40 *3503:11 9.80394e-05 -78 *1281:40 *3557:6 0.000696264 -79 *1281:40 *3557:15 0.000325918 -80 *1281:40 *5622:48 4.58318e-05 -81 *1281:40 *5624:18 0.00104386 -82 *1281:60 *25408:A1 0.00017754 -83 *1281:60 *1471:140 6.49408e-05 -84 *1281:60 *1729:10 7.35637e-05 -85 *1281:64 *25312:D 8.51194e-05 -86 *1281:64 *25313:B 8.67147e-05 -87 *1281:64 *25323:B1 6.47405e-05 -88 *1281:64 *25395:C1 0.000681076 -89 *1281:64 *25408:A1 0.000709302 -90 *1281:64 *1471:140 7.22589e-05 -91 *1281:64 *1648:24 0.00227293 -92 *1281:64 *1711:8 0.000142266 -93 *1281:64 *3666:40 0.000219711 -94 *1281:64 *4022:94 0.000881608 -95 *1281:75 *24892:A 0.000216092 -96 *1281:75 *25211:A2 2.09897e-05 -97 *1281:75 *25331:C1 2.00842e-05 -98 *1281:75 *27932:C1 2.28235e-05 -99 *1281:75 *1420:40 0.00127287 -100 *1281:75 *1494:48 0.000528348 -101 *1281:75 *1719:12 0.000106106 -102 *1281:75 *1730:13 0.00152504 -103 *1281:75 *3215:50 1.94945e-05 -104 *1281:75 *3461:26 0.000143486 -105 *1281:75 *4022:76 0.000366482 -106 *1281:75 *4130:109 0.000100823 -107 *1281:75 *5605:99 8.69554e-05 -108 *1281:103 *25343:A1 0.000317487 -109 *1281:103 *28841:A 6.84495e-05 -110 *1281:103 *1358:19 0.000781468 -111 *1281:103 *1421:100 0 -112 *1281:103 *3667:55 6.69937e-05 -113 *1281:103 *3686:83 0.00288183 -114 *1281:103 *3768:27 6.69937e-05 -115 *1281:103 *3867:80 0.000876289 -116 *1281:103 *3998:50 0.00011994 -117 *1281:103 *4952:14 0.00360255 -118 *1281:103 *5584:103 0.000134168 -119 *1281:103 *5585:47 0.00167502 -120 *1281:125 *25343:A1 0.000115804 -121 *1281:125 *2794:163 0.000166104 -122 *1281:125 *2882:206 8.94556e-05 -123 *1281:125 *2913:8 4.11218e-05 -124 *1281:125 *3185:92 0.000179156 -125 *1281:125 *3233:26 4.28365e-05 -126 *1281:125 *3667:55 0.000171139 -127 *1281:125 *3703:35 0.00132522 -128 *1281:125 *3768:27 0.000178857 -129 *1281:125 *5650:250 0.000421878 -130 *1281:145 *26984:A0 0.000100688 -131 *1281:145 *28123:SET_B 0.000240307 -132 *1281:145 *28563:D 0.000218685 -133 *1281:145 *28563:SET_B 0.000207374 -134 *1281:145 *1826:154 0.00027669 -135 *1281:145 *2844:275 1.02504e-05 -136 *1281:145 *2859:199 0.000124875 -137 *1281:145 *2871:259 0.000256319 -138 *1281:145 *2880:11 0 -139 *1281:145 *3703:13 0.000475522 -140 *1281:145 *3965:70 2.22043e-05 -141 *1281:145 *5645:104 6.38844e-06 -142 *1281:145 *5649:120 6.85608e-05 -143 *1281:145 *5651:275 0.00012316 -144 *1281:145 *5654:68 1.73191e-05 -145 *1281:145 *5708:180 5.43318e-05 -146 *1281:145 *5708:209 0.000375721 -147 *1281:145 *5781:42 0.000135624 -148 *24892:B *25122:A2 5.41797e-06 -149 *24910:A *1281:30 0.000889279 -150 *24924:A *1281:75 0.000113877 -151 *25081:A2 *25122:A2 0 -152 *25290:A2 *1281:125 0.000274205 -153 *25292:A2 *1281:125 0.000231022 -154 *25395:A2 *1281:75 7.90803e-05 -155 *30788:A *1281:75 0.000980772 -156 *1217:24 *25122:A2 0.000153593 -157 *1218:62 *1281:30 2.6755e-05 -158 *1218:62 *1281:40 0.000198276 -159 *1242:104 *1281:145 3.46775e-05 -160 *1248:81 *1281:64 0.000842616 -161 *1256:89 *1281:125 6.14836e-06 -162 *1257:99 *1281:30 8.40352e-05 -163 *1261:41 *1281:145 0 -164 *1271:16 *30335:A 9.41642e-05 -165 *1271:16 *1281:12 0.0001399 -166 *1273:14 *25263:A2 8.6421e-05 -167 *1273:14 *1281:12 0.000711047 -168 *1275:62 *1281:125 4.18305e-05 -169 *1275:94 *1281:125 0.00154541 -170 *1279:102 *1281:103 0 -*RES -1 *24955:Y *1281:8 22.675 -2 *1281:8 *1281:12 15.5 -3 *1281:12 *1281:30 43.6804 -4 *1281:30 *1281:40 45.9196 -5 *1281:40 *25408:A2 15.7911 -6 *1281:40 *1281:60 11.8036 -7 *1281:60 *1281:64 49 -8 *1281:64 *1281:75 40.0329 -9 *1281:75 *25122:A2 37.8441 -10 *1281:75 *1281:103 24.3319 -11 *1281:103 *25292:B1 13.8 -12 *1281:103 *1281:125 48.545 -13 *1281:125 *1281:145 44.6857 -14 *1281:145 *25174:B1 9.3 -15 *1281:12 *25263:A2 48.7952 -16 *1281:8 *30335:A 10.2464 -*END - -*D_NET *1282 0.12695 -*CONN -*I *25277:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25065:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *6488:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25157:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *6500:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25177:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25009:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *24983:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27054:A I *D sky130_fd_sc_hd__nand2_8 -*I *25387:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25310:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *24956:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25277:B1 0.00199706 -2 *25065:B1 0.000176538 -3 *6488:DIODE 0 -4 *25157:A2 0 -5 *6500:DIODE 0 -6 *25177:A2 0.00032024 -7 *25009:B1 0 -8 *24983:A2 0.00168001 -9 *27054:A 0 -10 *25387:B1 0.000533887 -11 *25310:A2 0.00217362 -12 *24956:Y 5.56301e-05 -13 *1282:210 0.00225417 -14 *1282:205 0.00335945 -15 *1282:198 0.00220154 -16 *1282:184 0.00116709 -17 *1282:174 0.00117221 -18 *1282:159 0.00288537 -19 *1282:158 0.00347964 -20 *1282:136 0.00320415 -21 *1282:119 0.00461209 -22 *1282:84 0.00176379 -23 *1282:77 0.00176895 -24 *1282:68 0.00524182 -25 *1282:37 0.00437661 -26 *1282:20 0.00350999 -27 *1282:12 0.00255661 -28 *1282:8 0.00281219 -29 *24983:A2 *24983:A1 1.00733e-05 -30 *24983:A2 *24983:B1 3.34609e-05 -31 *24983:A2 *25009:A1 0.000212283 -32 *24983:A2 *1826:85 0.000520568 -33 *24983:A2 *3826:29 1.21258e-05 -34 *24983:A2 *3826:49 2.60091e-05 -35 *24983:A2 *3851:54 0.00014778 -36 *24983:A2 *3942:31 0.000144475 -37 *24983:A2 *5585:105 0.000146066 -38 *24983:A2 *5625:15 0.000265884 -39 *24983:A2 *5678:176 3.06878e-06 -40 *24983:A2 *5970:27 4.33899e-05 -41 *24983:A2 *5970:43 0.000393667 -42 *25065:B1 *25066:C1 5.33005e-05 -43 *25177:A2 *25210:C1 2.63501e-05 -44 *25177:A2 *25212:C 0.000450587 -45 *25177:A2 *25213:C1 2.22043e-05 -46 *25177:A2 *3215:57 3.89322e-05 -47 *25177:A2 *5600:47 6.46743e-05 -48 *25277:B1 *25183:B1 0.000733422 -49 *25277:B1 *25223:B1 6.14836e-06 -50 *25277:B1 *25277:B2 5.13963e-05 -51 *25277:B1 *3768:42 9.34324e-05 -52 *25277:B1 *3848:61 8.25843e-06 -53 *25277:B1 *3872:72 2.05107e-05 -54 *25277:B1 *4133:108 0.00169126 -55 *25277:B1 *5583:112 0.000968999 -56 *25277:B1 *5605:56 0.000989129 -57 *25277:B1 *5634:122 2.06178e-05 -58 *25310:A2 *1327:98 0.000382074 -59 *25310:A2 *1407:89 2.14658e-05 -60 *25310:A2 *1452:13 5.33005e-05 -61 *25310:A2 *1455:28 0 -62 *25310:A2 *3568:93 0.000219711 -63 *25310:A2 *3853:45 0.000870284 -64 *25310:A2 *5488:86 0.000384157 -65 *25310:A2 *5499:59 0.000384855 -66 *25310:A2 *5625:60 0.001083 -67 *25310:A2 *5706:242 0.000101137 -68 *25387:B1 *25387:A1 5.96288e-05 -69 *25387:B1 *25387:B2 1.68082e-05 -70 *25387:B1 *25387:C1 2.84026e-05 -71 *25387:B1 *28398:RESET_B 0.000134034 -72 *25387:B1 *1289:49 0.00039015 -73 *25387:B1 *2778:66 0.000130885 -74 *25387:B1 *3844:7 4.87953e-05 -75 *25387:B1 *3844:20 0.00028843 -76 *25387:B1 *5483:135 0.000200159 -77 *25387:B1 *5599:91 1.20244e-05 -78 *25387:B1 *5869:32 0.000113241 -79 *1282:8 *1449:84 1.94879e-05 -80 *1282:8 *1449:101 2.84109e-05 -81 *1282:8 *3194:106 4.58194e-05 -82 *1282:8 *5621:34 9.54798e-06 -83 *1282:8 *5621:42 1.1594e-05 -84 *1282:12 *25353:B 0.000363925 -85 *1282:12 *30771:A 2.98892e-05 -86 *1282:12 *1289:44 0 -87 *1282:12 *1291:8 2.96315e-05 -88 *1282:12 *1360:44 0.000145753 -89 *1282:12 *1421:26 0.000281694 -90 *1282:12 *1421:93 0.000556003 -91 *1282:12 *1449:84 2.06112e-05 -92 *1282:12 *1476:160 0.00317491 -93 *1282:12 *2778:79 0.000108542 -94 *1282:12 *2778:83 0 -95 *1282:12 *2895:61 4.11173e-05 -96 *1282:12 *5600:14 3.35685e-06 -97 *1282:12 *5621:42 0.000494048 -98 *1282:12 *5861:53 9.96717e-06 -99 *1282:12 *5861:65 0.000492275 -100 *1282:12 *5861:69 8.85664e-07 -101 *1282:12 *5869:27 0.000181723 -102 *1282:20 *25386:A1 0.000168217 -103 *1282:20 *1289:44 4.1879e-05 -104 *1282:20 *1289:49 0.00011939 -105 *1282:20 *1476:160 6.24472e-05 -106 *1282:20 *1593:12 0.000274517 -107 *1282:20 *2778:79 0.00145351 -108 *1282:20 *3790:32 8.34917e-05 -109 *1282:20 *5608:8 0.000331934 -110 *1282:20 *5608:15 0.00116062 -111 *1282:20 *5861:69 0.000478879 -112 *1282:37 *1455:28 0 -113 *1282:37 *2860:56 5.75919e-05 -114 *1282:37 *3790:32 0 -115 *1282:37 *5499:59 0.000492123 -116 *1282:68 *25125:A1 1.90936e-05 -117 *1282:68 *25271:B1 9.12207e-05 -118 *1282:68 *25271:B2 0.00187115 -119 *1282:68 *29031:A 0.000258531 -120 *1282:68 *30871:A 0.000641593 -121 *1282:68 *1328:98 0.000136242 -122 *1282:68 *1484:23 4.73891e-05 -123 *1282:68 *1536:13 8.75887e-07 -124 *1282:68 *1550:11 0.000189899 -125 *1282:68 *1590:7 0.000859026 -126 *1282:68 *2815:31 0.000648915 -127 *1282:68 *2825:12 3.10885e-05 -128 *1282:68 *2825:27 1.1594e-05 -129 *1282:68 *2860:56 0.000108053 -130 *1282:68 *3847:25 9.87983e-06 -131 *1282:68 *4873:22 1.65852e-05 -132 *1282:68 *4907:28 0.000428652 -133 *1282:68 *5499:59 0.000645815 -134 *1282:68 *5585:92 0.000422952 -135 *1282:68 *5599:247 0.000132862 -136 *1282:68 *5609:89 8.24287e-05 -137 *1282:68 *5687:153 0.00013795 -138 *1282:68 *6303:10 1.94135e-05 -139 *1282:77 *25009:A1 3.51368e-05 -140 *1282:77 *27254:A3 9.90431e-05 -141 *1282:77 *27254:B2 0.000592363 -142 *1282:77 *2776:23 0.000204681 -143 *1282:77 *3019:8 0.000120504 -144 *1282:77 *3147:15 0.000637128 -145 *1282:77 *3147:27 1.98839e-05 -146 *1282:77 *3163:10 3.25078e-05 -147 *1282:77 *3191:10 9.25014e-06 -148 *1282:77 *5585:99 0.000435845 -149 *1282:77 *6303:22 9.22103e-06 -150 *1282:77 *6385:141 9.886e-06 -151 *1282:84 *25009:B2 1.02504e-05 -152 *1282:84 *25015:C1 0.000122812 -153 *1282:84 *2776:23 5.33005e-05 -154 *1282:84 *2835:15 1.27784e-05 -155 *1282:84 *3825:14 0.000166094 -156 *1282:119 *25107:C1 1.65282e-05 -157 *1282:119 *1421:93 0.00056799 -158 *1282:119 *1476:157 0.000983311 -159 *1282:119 *1476:183 0.00157043 -160 *1282:119 *1585:19 0.000109422 -161 *1282:119 *5467:14 9.82958e-06 -162 *1282:119 *5621:34 0.0017088 -163 *1282:136 *25177:A1 3.01211e-05 -164 *1282:136 *25211:A2 3.77315e-05 -165 *1282:136 *25212:C 2.69757e-05 -166 *1282:136 *25212:D 0.000643671 -167 *1282:136 *25223:B1 2.62977e-05 -168 *1282:136 *2778:120 6.30931e-05 -169 *1282:136 *3215:50 7.09928e-05 -170 *1282:136 *3215:57 0.000111542 -171 *1282:136 *3822:57 0.00159011 -172 *1282:136 *3845:59 0.000673932 -173 *1282:136 *4133:108 0.000422722 -174 *1282:136 *5217:14 5.30365e-05 -175 *1282:136 *5600:47 2.63501e-05 -176 *1282:136 *5605:56 8.69554e-05 -177 *1282:136 *5869:74 6.5254e-05 -178 *1282:158 *25122:A1 2.63501e-05 -179 *1282:158 *1411:62 0.000243098 -180 *1282:158 *1456:38 0.000200962 -181 *1282:158 *1631:8 0.000271935 -182 *1282:158 *1719:12 0.00114535 -183 *1282:158 *3389:20 0.000280463 -184 *1282:158 *3461:26 4.38296e-05 -185 *1282:158 *3845:59 2.05803e-05 -186 *1282:159 *25104:A1 0.000135968 -187 *1282:159 *1494:38 1.91414e-05 -188 *1282:159 *1657:56 0.00221079 -189 *1282:159 *1693:22 0.00210256 -190 *1282:159 *3875:93 8.54768e-06 -191 *1282:159 *3927:20 0.00325185 -192 *1282:174 *25196:B2 3.00664e-05 -193 *1282:174 *25256:A 6.50477e-05 -194 *1282:174 *1476:238 0.000224341 -195 *1282:174 *1693:14 7.99801e-05 -196 *1282:174 *2874:290 0.000187235 -197 *1282:174 *3173:158 0.00121811 -198 *1282:174 *3784:79 0.00129166 -199 *1282:174 *3927:20 0.000224912 -200 *1282:174 *5656:137 0 -201 *1282:184 *25157:B1 3.16319e-05 -202 *1282:184 *25157:B2 0.000132738 -203 *1282:184 *1478:7 2.22811e-05 -204 *1282:184 *3823:17 5.71697e-05 -205 *1282:198 *25256:B 0.000135028 -206 *1282:198 *28491:D 5.33005e-05 -207 *1282:198 *1406:38 0.000171368 -208 *1282:198 *1478:7 4.47387e-05 -209 *1282:198 *1549:38 6.86792e-05 -210 *1282:198 *3823:17 0.000743874 -211 *1282:198 *5652:27 0.000198662 -212 *1282:198 *5688:349 0.00017309 -213 *1282:205 *28491:D 0.000126887 -214 *1282:205 *2784:67 0.00014699 -215 *1282:205 *2872:260 9.0145e-05 -216 *1282:205 *4054:26 0.000145411 -217 *1282:205 *5652:27 0.00244788 -218 *1282:210 *25188:A1 0.000111738 -219 *1282:210 *27396:A1 0.000137561 -220 *1282:210 *27396:B1 1.22576e-05 -221 *1282:210 *27396:B2 5.96516e-05 -222 *1282:210 *27396:C1 0.000301438 -223 *1282:210 *27744:A1 0.000707308 -224 *1282:210 *28925:A 0.000136052 -225 *1282:210 *2882:260 0.00162156 -226 *1282:210 *2958:13 0.000795582 -227 *24924:A *1282:158 5.46915e-05 -228 *25009:A2 *24983:A2 0.000107869 -229 *25047:A2 *25065:B1 1.92789e-05 -230 *25277:A2 *25277:B1 0.000370716 -231 *27254:A1 *1282:77 1.21258e-05 -232 *29830:A *1282:68 5.52302e-05 -233 *29843:A *1282:198 5.49544e-05 -234 *1218:109 *1282:68 5.49489e-05 -235 *1239:14 *25177:A2 2.06178e-05 -236 *1240:11 *25310:A2 0.000306881 -237 *1242:37 *1282:158 0.000983038 -238 *1245:51 *1282:12 1.90936e-05 -239 *1247:43 *1282:158 0.000211594 -240 *1248:98 *1282:158 0.000789718 -241 *1248:150 *1282:210 0.0026662 -242 *1250:163 *1282:68 0.00106671 -243 *1262:25 *1282:159 0.00314997 -244 *1262:25 *1282:174 2.04745e-05 -245 *1272:40 *1282:198 0.00037226 -246 *1273:47 *25310:A2 0.000183754 -*RES -1 *24956:Y *1282:8 15.0232 -2 *1282:8 *1282:12 43.8571 -3 *1282:12 *1282:20 34.4636 -4 *1282:20 *25310:A2 29.3102 -5 *1282:20 *1282:37 0.839733 -6 *1282:37 *25387:B1 31.1832 -7 *1282:37 *1282:68 44.472 -8 *1282:68 *1282:77 34.7321 -9 *1282:77 *1282:84 11.7857 -10 *1282:84 *27054:A 9.3 -11 *1282:84 *24983:A2 44.9295 -12 *1282:77 *25009:B1 9.3 -13 *1282:8 *1282:119 15.6554 -14 *1282:119 *1282:136 36.9296 -15 *1282:136 *25177:A2 18.2905 -16 *1282:136 *1282:158 16.2914 -17 *1282:158 *1282:159 71.1607 -18 *1282:159 *1282:174 27.2613 -19 *1282:174 *6500:DIODE 9.3 -20 *1282:174 *1282:184 4.39732 -21 *1282:184 *25157:A2 9.3 -22 *1282:184 *1282:198 29.1562 -23 *1282:198 *1282:205 35.3036 -24 *1282:205 *1282:210 48.1071 -25 *1282:210 *6488:DIODE 9.3 -26 *1282:210 *25065:B1 11.8893 -27 *1282:119 *25277:B1 25.5533 -*END - -*D_NET *1283 0.0055933 -*CONN -*I *30764:A I *D sky130_fd_sc_hd__buf_8 -*I *30763:A I *D sky130_fd_sc_hd__buf_8 -*I *25711:B2 I *D sky130_fd_sc_hd__o221a_4 -*I *24957:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *30764:A 7.73355e-05 -2 *30763:A 0.000379843 -3 *25711:B2 2.23854e-05 -4 *24957:Y 0.000566797 -5 *1283:20 0.000532329 -6 *1283:9 0.000664333 -7 *25711:B2 *5669:248 0 -8 *30763:A *5586:11 9.0145e-05 -9 *30764:A *3578:118 0.000110601 -10 *30764:A *4133:12 0.000220448 -11 *1283:9 *25711:B1 4.58194e-05 -12 *1283:9 *27761:B1 9.77095e-05 -13 *1283:9 *27761:B2 1.28809e-05 -14 *1283:9 *3179:205 0.000199279 -15 *1283:9 *3578:8 4.63699e-05 -16 *1283:9 *5630:307 0.000399099 -17 *1283:9 *5669:248 0.000158963 -18 *1283:9 *5799:7 5.49544e-05 -19 *1283:9 *5799:27 0.00159148 -20 *1283:20 *3578:118 7.8327e-05 -21 *1283:20 *4133:12 0.000148903 -22 *1283:20 *5799:27 5.52238e-05 -23 *1235:181 *30763:A 4.00679e-05 -*RES -1 *24957:Y *1283:9 35.7821 -2 *1283:9 *25711:B2 9.72857 -3 *1283:9 *1283:20 6.98214 -4 *1283:20 *30763:A 19.2643 -5 *1283:20 *30764:A 16.6571 -*END - -*D_NET *1284 0.0331315 -*CONN -*I *30772:A I *D sky130_fd_sc_hd__buf_8 -*I *25233:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25357:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *24958:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *30772:A 0.000155135 -2 *25233:A2 0.000520959 -3 *25357:B1 0.000252317 -4 *24958:Y 0 -5 *1284:55 0.00178592 -6 *1284:49 0.00274939 -7 *1284:43 0.00216402 -8 *1284:19 0.0014809 -9 *1284:7 0.0019342 -10 *1284:4 0.000181166 -11 *25233:A2 *25233:A1 0.000424029 -12 *25233:A2 *27551:B2 0.000150625 -13 *25233:A2 *1476:216 0.000100566 -14 *25233:A2 *2856:210 0.000520505 -15 *25233:A2 *3684:72 0.000424029 -16 *25233:A2 *4077:29 0.000124713 -17 *25233:A2 *4077:34 0.000648429 -18 *25357:B1 *25357:A1 2.75938e-05 -19 *25357:B1 *25376:B1 1.98839e-05 -20 *25357:B1 *1482:8 0.000596208 -21 *25357:B1 *1485:39 0.000140417 -22 *25357:B1 *3183:169 0.000594486 -23 *25357:B1 *5650:67 8.74106e-05 -24 *30772:A *24958:A 0.000339346 -25 *30772:A *24958:B 0.000145239 -26 *1284:7 *24958:A 5.33005e-05 -27 *1284:19 *24958:A 8.25843e-06 -28 *1284:19 *1451:39 0.000423003 -29 *1284:19 *1834:70 0.000182486 -30 *1284:19 *3305:8 7.93635e-05 -31 *1284:19 *4063:48 0.0012009 -32 *1284:19 *4133:92 0.000510044 -33 *1284:19 *5667:25 6.13757e-06 -34 *1284:43 *1834:70 0.000296293 -35 *1284:43 *3305:8 0.000304567 -36 *1284:43 *4063:48 0.00119566 -37 *1284:43 *4104:49 0.000166352 -38 *1284:43 *5610:54 4.21517e-05 -39 *1284:49 *25167:B 0.000209448 -40 *1284:49 *1430:90 0.0011203 -41 *1284:49 *3790:71 0.00111859 -42 *1284:49 *5610:31 0.000140769 -43 *1284:49 *5610:54 1.98839e-05 -44 *1284:49 *5649:176 0.000136517 -45 *1284:55 *27949:B2 0.000297232 -46 *1284:55 *1287:113 0.000811317 -47 *1284:55 *1396:13 0.000802586 -48 *1284:55 *1483:48 0.000385095 -49 *1284:55 *1507:11 9.94976e-05 -50 *1284:55 *1507:15 0.00244366 -51 *1284:55 *3689:57 8.84802e-05 -52 *1284:55 *3698:78 1.90936e-05 -53 *1284:55 *4077:34 7.54119e-05 -54 *1284:55 *5301:27 8.30386e-05 -55 *1284:55 *5808:67 0.000587484 -56 *24904:A *1284:49 0.000180355 -57 *25153:A2 *1284:49 0.00139841 -58 *25357:A2 *25357:B1 1.32056e-05 -59 *1230:5 *1284:49 0.000137561 -60 *1248:120 *1284:43 0.000309642 -61 *1248:131 *1284:43 0.000341942 -62 *1249:7 *1284:43 6.57032e-05 -63 *1263:216 *25233:A2 0.00011373 -64 *1263:216 *1284:55 0.000187274 -65 *1266:24 *1284:19 0.00190921 -*RES -1 *24958:Y *1284:4 9.3 -2 *1284:4 *1284:7 5.03571 -3 *1284:7 *1284:19 49.9464 -4 *1284:19 *25357:B1 23.4429 -5 *1284:7 *1284:43 34.5357 -6 *1284:43 *1284:49 45.5893 -7 *1284:49 *1284:55 41.4911 -8 *1284:55 *25233:A2 31.0589 -9 *1284:4 *30772:A 13.1393 -*END - -*D_NET *1285 0.0184334 -*CONN -*I *25318:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *30762:A I *D sky130_fd_sc_hd__buf_8 -*I *24959:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *25318:B1 0 -2 *30762:A 0 -3 *24959:Y 0.0001777 -4 *1285:37 0.00140713 -5 *1285:26 0.0047838 -6 *1285:11 0.00355437 -7 *1285:11 *24959:A 3.69047e-06 -8 *1285:11 *4043:70 0.000169669 -9 *1285:11 *5667:25 2.79421e-05 -10 *1285:11 *5700:50 0.000141079 -11 *1285:11 *5861:14 4.58194e-05 -12 *1285:26 *27694:B1 0 -13 *1285:26 *27694:C1 1.7276e-05 -14 *1285:26 *28507:D 3.04394e-05 -15 *1285:26 *1555:11 0.000135028 -16 *1285:26 *1609:17 0.000129384 -17 *1285:26 *1642:37 0.00128678 -18 *1285:26 *2760:98 0.000348833 -19 *1285:26 *3820:91 0 -20 *1285:26 *3899:40 0.000273048 -21 *1285:26 *5584:13 0.000135028 -22 *1285:26 *5584:103 4.25176e-05 -23 *1285:26 *5667:25 0.000110461 -24 *1285:26 *5667:40 0 -25 *1285:26 *5861:14 3.97677e-05 -26 *1285:37 *25318:A1 1.57203e-05 -27 *1285:37 *25325:A 9.41642e-05 -28 *1285:37 *25325:D 0.00218214 -29 *1285:37 *27694:C1 8.22498e-05 -30 *1285:37 *1639:35 2.99773e-05 -31 *1285:37 *2852:40 5.65515e-05 -32 *1285:37 *2958:34 4.66368e-05 -33 *1285:37 *3250:17 1.77388e-05 -34 *1285:37 *3730:42 0.00049001 -35 *1285:37 *5609:152 0.000848669 -36 *1285:37 *5639:124 0.00140466 -37 *25318:A2 *1285:37 9.26683e-05 -38 *1269:231 *1285:37 4.11218e-05 -39 *1271:162 *1285:11 0.000171368 -*RES -1 *24959:Y *1285:11 22.8536 -2 *1285:11 *30762:A 9.3 -3 *1285:11 *1285:26 47.4196 -4 *1285:26 *1285:37 45.0167 -5 *1285:37 *25318:B1 9.3 -*END - -*D_NET *1286 0.0975311 -*CONN -*I *25010:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25190:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *24977:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25068:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27186:A I *D sky130_fd_sc_hd__nand2_4 -*I *25232:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25342:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25383:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25145:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *24960:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25010:B1 0.00141014 -2 *25190:B1 0.00232904 -3 *24977:B1 0.000270268 -4 *25068:B1 0.000295747 -5 *27186:A 2.23854e-05 -6 *25232:B1 2.23854e-05 -7 *25342:A2 2.07783e-05 -8 *25383:A2 2.07783e-05 -9 *25145:B1 0.000694559 -10 *24960:Y 0.00092896 -11 *1286:168 0.00330097 -12 *1286:117 0.00228859 -13 *1286:103 0.00283137 -14 *1286:101 0.00290592 -15 *1286:85 0.00276751 -16 *1286:72 0.00269177 -17 *1286:55 0.00292142 -18 *1286:51 0.0018146 -19 *1286:27 0.003287 -20 *1286:26 0.00878725 -21 *1286:11 0.00731591 -22 *1286:10 0.00182894 -23 *24977:B1 *24977:B2 4.83434e-05 -24 *24977:B1 *2764:21 1.65169e-05 -25 *24977:B1 *5590:191 9.41642e-05 -26 *24977:B1 *5870:19 8.17274e-05 -27 *25010:B1 *25010:B2 7.09445e-05 -28 *25010:B1 *26880:A0 0.000674097 -29 *25010:B1 *30171:A 0.000262504 -30 *25010:B1 *3177:69 0.000259273 -31 *25010:B1 *3177:72 0.000802877 -32 *25010:B1 *3668:11 8.27532e-05 -33 *25010:B1 *4085:40 3.63775e-05 -34 *25010:B1 *5647:62 0.000507264 -35 *25010:B1 *5651:289 1.07719e-05 -36 *25068:B1 *25068:B2 2.84269e-05 -37 *25068:B1 *27822:B2 0.000139239 -38 *25068:B1 *2791:20 0.000202473 -39 *25068:B1 *4034:8 7.35637e-05 -40 *25145:B1 *25145:A1 2.59355e-05 -41 *25145:B1 *25147:A1 4.26759e-05 -42 *25145:B1 *25148:B 0.000486899 -43 *25145:B1 *2848:282 0.000778812 -44 *25145:B1 *4025:75 2.22731e-06 -45 *25145:B1 *5587:65 1.02504e-05 -46 *25145:B1 *5646:213 1.58163e-05 -47 *25145:B1 *5660:217 0.000485815 -48 *25190:B1 *25190:B2 1.28809e-05 -49 *25190:B1 *25190:C1 0.000423607 -50 *25190:B1 *27371:C1 0.000880774 -51 *25190:B1 *30386:A 0.000127359 -52 *25190:B1 *2778:194 2.9988e-05 -53 *25190:B1 *2845:286 0.00014637 -54 *25190:B1 *2848:265 0.000371787 -55 *25190:B1 *2848:333 0.000195057 -56 *25190:B1 *2855:198 0 -57 *25190:B1 *2855:219 2.41653e-05 -58 *25190:B1 *2888:232 4.37712e-06 -59 *25190:B1 *3259:25 0 -60 *25190:B1 *3822:18 8.64454e-05 -61 *25190:B1 *3861:32 8.81673e-05 -62 *25190:B1 *4107:31 0.000546198 -63 *25190:B1 *5593:15 0 -64 *25190:B1 *5630:264 0.000131357 -65 *25190:B1 *5633:76 0 -66 *25190:B1 *5663:138 0 -67 *25190:B1 *5824:265 4.6995e-05 -68 *25190:B1 *5879:98 3.25552e-05 -69 *25342:A2 *25342:A1 7.56621e-05 -70 *25342:A2 *28124:CLK 7.37323e-05 -71 *25383:A2 *25383:A1 7.37323e-05 -72 *25383:A2 *25383:B1 7.56621e-05 -73 *1286:10 *2892:197 0.000152272 -74 *1286:10 *3846:34 0.000220448 -75 *1286:10 *3952:68 0.00183745 -76 *1286:10 *3992:54 0.000218734 -77 *1286:10 *5609:183 0.000135028 -78 *1286:11 *1516:21 0.000440726 -79 *1286:26 *27697:A2 1.40105e-05 -80 *1286:26 *28539:CLK 1.94945e-05 -81 *1286:26 *28588:RESET_B 0.000645698 -82 *1286:26 *28752:CLK 0 -83 *1286:26 *1556:26 0.000390617 -84 *1286:26 *1574:21 0.000193066 -85 *1286:26 *2761:114 0 -86 *1286:26 *2877:313 0 -87 *1286:26 *2877:349 5.34509e-05 -88 *1286:26 *2889:48 8.87786e-05 -89 *1286:26 *3179:154 0.000596123 -90 *1286:26 *3677:38 0.000722533 -91 *1286:26 *3756:13 0.000346109 -92 *1286:26 *3756:14 0.000112594 -93 *1286:26 *3820:105 0.000104056 -94 *1286:26 *3821:19 0.000158304 -95 *1286:26 *3899:40 1.94945e-05 -96 *1286:26 *3963:60 0.000510206 -97 *1286:26 *5582:57 0.00030853 -98 *1286:26 *5582:70 0.000103244 -99 *1286:26 *5702:38 0.000129572 -100 *1286:26 *5702:72 0.000154703 -101 *1286:26 *5702:84 0.000173158 -102 *1286:26 *5708:141 6.59103e-06 -103 *1286:26 *5759:18 2.85515e-05 -104 *1286:26 *5764:127 0.000597938 -105 *1286:26 *5764:230 0.000405364 -106 *1286:26 *5764:239 0.000815378 -107 *1286:26 *5765:95 0.000226645 -108 *1286:27 *27749:A2 0 -109 *1286:27 *28588:RESET_B 0.000102823 -110 *1286:27 *30386:A 8.94491e-05 -111 *1286:27 *2773:118 0.000586561 -112 *1286:27 *2848:282 0.000789234 -113 *1286:27 *2855:198 0 -114 *1286:27 *3712:22 0.000176582 -115 *1286:27 *4025:75 5.37078e-05 -116 *1286:27 *5609:219 0.00120294 -117 *1286:27 *5646:232 0.00263959 -118 *1286:51 *27415:A1 0.000468614 -119 *1286:51 *28574:CLK 1.50065e-05 -120 *1286:51 *4043:69 0.000160526 -121 *1286:55 *25112:A2 0.00026353 -122 *1286:55 *25383:A1 5.52302e-05 -123 *1286:55 *25383:B1 4.18895e-05 -124 *1286:55 *3686:50 0.00108468 -125 *1286:55 *4043:58 0.00288557 -126 *1286:55 *4083:20 0.000862408 -127 *1286:55 *4083:31 0.0008365 -128 *1286:55 *5810:115 0.000169769 -129 *1286:72 *25342:C1 3.9702e-05 -130 *1286:72 *25383:A1 0.000527807 -131 *1286:72 *1853:19 0.00053963 -132 *1286:72 *2771:84 0.00111212 -133 *1286:72 *2788:105 1.37319e-05 -134 *1286:72 *3907:27 8.84757e-05 -135 *1286:72 *4133:12 0.000845683 -136 *1286:72 *4502:21 0.000119053 -137 *1286:72 *5640:235 0.000138342 -138 *1286:72 *5646:258 8.42415e-05 -139 *1286:72 *5708:25 8.84757e-05 -140 *1286:72 *6280:6 0.000100585 -141 *1286:85 *25342:A1 0.0002083 -142 *1286:85 *28124:CLK 0.000424029 -143 *1286:85 *28572:CLK 0 -144 *1286:85 *30438:A 0.000107139 -145 *1286:85 *1660:11 9.94194e-05 -146 *1286:85 *2788:97 0.000317929 -147 *1286:85 *3822:108 0.000629955 -148 *1286:85 *3963:21 0.000109492 -149 *1286:85 *4002:18 0 -150 *1286:85 *5768:161 2.26132e-05 -151 *1286:101 *25232:A1 5.52302e-05 -152 *1286:101 *28548:CLK 0.000170581 -153 *1286:101 *28548:D 0.000134181 -154 *1286:101 *28548:RESET_B 6.34478e-05 -155 *1286:101 *2794:101 0.000436225 -156 *1286:101 *3678:7 0.000184745 -157 *1286:101 *3924:38 0 -158 *1286:101 *3963:21 1.02821e-05 -159 *1286:101 *5736:24 6.2589e-06 -160 *1286:101 *5771:91 1.38323e-05 -161 *1286:101 *5824:153 0.00118391 -162 *1286:101 *5910:16 2.06178e-05 -163 *1286:103 *26871:A0 9.80173e-05 -164 *1286:103 *26871:S 0.000550238 -165 *1286:103 *27186:B 0.000123617 -166 *1286:103 *5668:11 5.33005e-05 -167 *1286:103 *5668:31 0.000423396 -168 *1286:103 *5683:57 1.02821e-05 -169 *1286:103 *5771:91 0.000351156 -170 *1286:103 *5911:5 9.60875e-05 -171 *1286:103 *5911:268 8.36572e-05 -172 *1286:117 *26871:S 5.33334e-05 -173 *1286:117 *28709:CLK 7.61932e-05 -174 *1286:117 *2793:14 1.82549e-05 -175 *1286:117 *3705:14 0.000277636 -176 *1286:117 *4056:18 8.94412e-05 -177 *1286:117 *5683:57 4.66711e-05 -178 *1286:117 *5870:19 0.00193605 -179 *1286:168 *26957:A1 0.000959023 -180 *1286:168 *26958:S 1.21258e-05 -181 *1286:168 *1506:18 0.000267459 -182 *1286:168 *1516:21 0.00012839 -183 *1286:168 *2767:66 0.000619947 -184 *1286:168 *2932:20 0.000425531 -185 *1286:168 *3666:144 9.41642e-05 -186 *1286:168 *5708:147 0.000670363 -187 *1286:168 *5708:164 9.41642e-05 -188 *1286:168 *5829:76 0.000265941 -189 *26865:B *1286:72 0.000114833 -190 *29970:A *1286:117 9.77956e-05 -191 *1225:181 *1286:101 5.08986e-05 -192 *1225:181 *1286:103 7.49387e-06 -193 *1225:203 *1286:103 0.000607115 -194 *1225:203 *1286:117 0.000462336 -195 *1256:135 *1286:51 0.000560291 -196 *1258:56 *25190:B1 0 -197 *1261:25 *1286:26 1.46617e-05 -198 *1261:41 *1286:26 1.37742e-05 -199 *1261:139 *1286:26 0 -200 *1261:199 *1286:51 2.0044e-05 -201 *1265:142 *25190:B1 0 -202 *1266:64 *1286:26 5.0027e-06 -*RES -1 *24960:Y *1286:10 39.3536 -2 *1286:10 *1286:11 14.0893 -3 *1286:11 *1286:26 27.667 -4 *1286:26 *1286:27 6.24473 -5 *1286:27 *25145:B1 20.2672 -6 *1286:27 *1286:51 12.6693 -7 *1286:51 *1286:55 46.2411 -8 *1286:55 *25383:A2 10.0411 -9 *1286:55 *1286:72 31.992 -10 *1286:72 *25342:A2 10.0411 -11 *1286:72 *1286:85 27.4286 -12 *1286:85 *25232:B1 9.72857 -13 *1286:85 *1286:101 40.8929 -14 *1286:101 *1286:103 22.7143 -15 *1286:103 *27186:A 9.72857 -16 *1286:103 *1286:117 36.4286 -17 *1286:117 *25068:B1 23.3357 -18 *1286:117 *24977:B1 13.5857 -19 *1286:26 *25190:B1 35.6998 -20 *1286:11 *1286:168 46.4107 -21 *1286:168 *25010:B1 36.1214 -*END - -*D_NET *1287 0.113648 -*CONN -*I *25061:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25240:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *6525:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25111:B1 I *D sky130_fd_sc_hd__a221o_2 -*I *25707:S I *D sky130_fd_sc_hd__mux2_1 -*I *25695:S I *D sky130_fd_sc_hd__mux2_1 -*I *25697:S I *D sky130_fd_sc_hd__mux2_1 -*I *30761:A I *D sky130_fd_sc_hd__buf_8 -*I *24961:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25061:B1 0.000730426 -2 *25240:A2 0.000204385 -3 *6525:DIODE 0.000253507 -4 *25111:B1 0 -5 *25707:S 0 -6 *25695:S 0.000460531 -7 *25697:S 0 -8 *30761:A 0 -9 *24961:Y 0 -10 *1287:216 0.00382448 -11 *1287:210 0.00678283 -12 *1287:184 0.00823246 -13 *1287:149 0.00538983 -14 *1287:132 0.00395091 -15 *1287:113 0.0041667 -16 *1287:109 0.00241672 -17 *1287:97 0.003421 -18 *1287:60 0.00184375 -19 *1287:51 0.00176716 -20 *1287:38 0.000817114 -21 *1287:25 0.00164872 -22 *1287:21 0.0021755 -23 *1287:14 0.00221295 -24 *1287:11 0.00148118 -25 *1287:4 0.00234351 -26 *6525:DIODE *1559:10 1.22751e-05 -27 *6525:DIODE *4063:13 1.90303e-05 -28 *25061:B1 *25061:B2 7.12204e-05 -29 *25061:B1 *25061:C1 3.49532e-05 -30 *25061:B1 *28616:CLK 0.000490024 -31 *25061:B1 *2776:177 0.000307871 -32 *25061:B1 *3889:61 2.91286e-05 -33 *25061:B1 *4108:13 0.000129868 -34 *25061:B1 *4688:15 6.76337e-05 -35 *25061:B1 *5847:44 0.000445186 -36 *25240:A2 *25240:A1 2.23337e-05 -37 *25240:A2 *25240:B2 7.14018e-05 -38 *25240:A2 *5688:325 0.000136682 -39 *25695:S *25745:S 0.000219734 -40 *25695:S *1864:72 0.000936894 -41 *25695:S *3654:123 0.000177141 -42 *25695:S *3654:137 0.0001934 -43 *25695:S *5732:36 0.000719698 -44 *25695:S *5732:48 0.000213635 -45 *25695:S *6092:18 6.2993e-05 -46 *1287:11 *24961:A 0.00010096 -47 *1287:11 *25863:A1 0.000304394 -48 *1287:11 *29012:A 0.000318264 -49 *1287:11 *1665:29 0.000709732 -50 *1287:14 *24874:A_N 0.00127835 -51 *1287:14 *24933:B 0.000409182 -52 *1287:14 *28134:D 0.000666382 -53 *1287:14 *28282:D 1.20729e-05 -54 *1287:14 *28308:RESET_B 0.000265931 -55 *1287:14 *1875:36 0.000123842 -56 *1287:14 *3657:10 5.87225e-05 -57 *1287:14 *3701:19 0.000389854 -58 *1287:14 *5267:17 0.0002979 -59 *1287:14 *5719:110 0.000115092 -60 *1287:21 *24868:A 4.18222e-05 -61 *1287:21 *24933:B 3.93035e-05 -62 *1287:21 *24933:C_N 0.000233234 -63 *1287:21 *1730:41 7.30203e-05 -64 *1287:21 *1875:36 0.00173675 -65 *1287:21 *3871:17 0.000161587 -66 *1287:21 *5545:50 9.72703e-05 -67 *1287:21 *5742:163 5.87073e-05 -68 *1287:21 *5901:36 0.000364852 -69 *1287:21 *5901:57 0.000127446 -70 *1287:25 *25438:A3 6.77968e-05 -71 *1287:25 *25467:A1 0.000278762 -72 *1287:25 *25825:S 0.000139265 -73 *1287:25 *28149:RESET_B 0.000113827 -74 *1287:25 *28163:CLK 0.000963928 -75 *1287:25 *1827:54 6.8646e-06 -76 *1287:25 *1875:52 0.000164612 -77 *1287:25 *3570:79 0.000431344 -78 *1287:25 *3570:86 0 -79 *1287:25 *3616:11 1.21432e-05 -80 *1287:25 *3657:10 7.69776e-06 -81 *1287:25 *3710:28 0 -82 *1287:25 *3857:21 8.6281e-05 -83 *1287:38 *25438:A3 0.000363583 -84 *1287:38 *28133:D 9.73258e-05 -85 *1287:38 *28179:RESET_B 0.000184856 -86 *1287:38 *1862:36 0.000144845 -87 *1287:51 *1844:59 0.000694599 -88 *1287:51 *1862:36 0.00069653 -89 *1287:51 *3605:44 0.0001399 -90 *1287:51 *4163:93 0.000137983 -91 *1287:51 *5467:14 7.25697e-05 -92 *1287:60 *25547:A1 0.00018305 -93 *1287:60 *25654:S 0.000168939 -94 *1287:60 *25732:S 0.000621653 -95 *1287:60 *25944:A0 0.000292715 -96 *1287:60 *28885:A 0 -97 *1287:60 *29287:A 6.8646e-06 -98 *1287:60 *29588:A 0.000443928 -99 *1287:60 *1862:42 0.00145949 -100 *1287:60 *1862:44 0.000332508 -101 *1287:60 *1864:70 0.000562199 -102 *1287:60 *1864:72 0.000216538 -103 *1287:60 *3584:50 6.60301e-05 -104 *1287:60 *3584:57 7.44269e-05 -105 *1287:60 *3584:84 0.000124975 -106 *1287:60 *3654:137 0.000593119 -107 *1287:60 *3944:11 0.000118352 -108 *1287:60 *5732:89 0.000308753 -109 *1287:60 *5732:158 0.000258851 -110 *1287:97 *24961:A 0.000211753 -111 *1287:97 *25861:A0 0.000910856 -112 *1287:97 *25861:A1 0.00038907 -113 *1287:97 *25861:S 3.97677e-05 -114 *1287:97 *27908:A1 0.000123448 -115 *1287:97 *27908:A2 7.66879e-05 -116 *1287:97 *27908:B1 5.33005e-05 -117 *1287:97 *27908:B2 6.26774e-06 -118 *1287:97 *27937:A2 6.13706e-05 -119 *1287:97 *29012:A 0.000272371 -120 *1287:97 *1665:29 0.000140471 -121 *1287:97 *3450:13 5.33005e-05 -122 *1287:97 *3452:6 6.13706e-05 -123 *1287:97 *3710:15 0 -124 *1287:97 *3947:45 8.2607e-05 -125 *1287:97 *3947:61 0.00026373 -126 *1287:97 *5721:9 4.40008e-05 -127 *1287:97 *5824:63 7.10647e-05 -128 *1287:97 *5890:124 0.000180996 -129 *1287:109 *1472:25 0.000104908 -130 *1287:109 *1665:28 0.00046435 -131 *1287:109 *2864:230 2.01997e-05 -132 *1287:109 *3136:12 0.000780224 -133 *1287:109 *3413:25 0.00124301 -134 *1287:109 *4113:116 4.18305e-05 -135 *1287:109 *4118:50 0.000778502 -136 *1287:109 *5729:295 0.00033325 -137 *1287:113 *25075:A 3.17148e-05 -138 *1287:113 *1396:13 0.0015476 -139 *1287:113 *1411:7 0.0021184 -140 *1287:113 *1411:15 0.00113011 -141 *1287:113 *1685:44 0.00023685 -142 *1287:113 *5808:53 0.000214386 -143 *1287:113 *5808:67 5.33005e-05 -144 *1287:132 *25142:B 4.8817e-05 -145 *1287:132 *25377:A1 0 -146 *1287:132 *1288:54 0.000133313 -147 *1287:132 *1396:168 0.000278325 -148 *1287:132 *1483:68 0 -149 *1287:132 *1565:20 6.72921e-05 -150 *1287:132 *1685:44 0.000656359 -151 *1287:132 *2872:295 0.000154296 -152 *1287:132 *2874:305 0 -153 *1287:132 *3389:20 0.00212057 -154 *1287:132 *3875:118 2.11423e-05 -155 *1287:132 *3881:56 1.81805e-05 -156 *1287:132 *3959:63 2.80335e-05 -157 *1287:132 *4022:47 4.69704e-05 -158 *1287:132 *4069:132 0 -159 *1287:132 *4070:48 0.000158004 -160 *1287:132 *4088:56 0.000341237 -161 *1287:132 *5590:47 2.59024e-05 -162 *1287:132 *5617:57 0.000168046 -163 *1287:132 *5808:53 0.000477319 -164 *1287:149 *2775:160 0.000118902 -165 *1287:149 *2872:295 5.24358e-05 -166 *1287:149 *2886:295 0.000581142 -167 *1287:149 *3823:17 1.90936e-05 -168 *1287:149 *3875:118 4.65519e-05 -169 *1287:149 *4070:48 3.67518e-05 -170 *1287:149 *5601:175 2.06178e-05 -171 *1287:184 *25240:B2 0.000264276 -172 *1287:184 *27059:A1 6.62954e-05 -173 *1287:184 *28603:CLK 3.07351e-06 -174 *1287:184 *28851:A 0.00145224 -175 *1287:184 *1549:24 0.000371659 -176 *1287:184 *1633:45 0 -177 *1287:184 *2759:120 0.000384253 -178 *1287:184 *2774:99 4.63348e-05 -179 *1287:184 *2775:160 6.48546e-06 -180 *1287:184 *2775:173 2.35215e-05 -181 *1287:184 *2778:132 5.19522e-06 -182 *1287:184 *2871:354 0.00012045 -183 *1287:184 *2875:302 0.000261661 -184 *1287:184 *2886:295 9.41642e-05 -185 *1287:184 *3758:41 9.85191e-05 -186 *1287:184 *3823:17 0.000177545 -187 *1287:184 *3886:79 5.00087e-06 -188 *1287:184 *3963:72 0.000157622 -189 *1287:184 *5627:90 1.35791e-05 -190 *1287:184 *5688:325 9.46843e-05 -191 *1287:210 *27360:B1 0.00250941 -192 *1287:210 *27380:A1 0.000131665 -193 *1287:210 *28655:CLK 0.000328653 -194 *1287:210 *28655:D 0.000135028 -195 *1287:210 *2786:83 6.39808e-05 -196 *1287:210 *2859:223 0.000140025 -197 *1287:210 *2859:260 0.00117114 -198 *1287:210 *2859:283 0.000187621 -199 *1287:210 *2867:249 0 -200 *1287:210 *2871:393 0 -201 *1287:210 *2874:336 0.000373404 -202 *1287:210 *2875:302 0.000379295 -203 *1287:210 *3580:11 3.06878e-06 -204 *1287:210 *4069:74 0.000437759 -205 *1287:210 *4095:67 7.16243e-05 -206 *1287:210 *4095:79 4.38108e-05 -207 *1287:210 *4107:40 0.000439314 -208 *1287:210 *4108:49 0.000120607 -209 *1287:210 *5644:71 6.57026e-05 -210 *1287:216 *28576:RESET_B 0.000417467 -211 *1287:216 *28689:RESET_B 0.00111345 -212 *1287:216 *29835:A 1.34631e-05 -213 *1287:216 *2791:73 7.29646e-05 -214 *1287:216 *2885:138 7.14469e-05 -215 *1287:216 *3721:14 0.000175433 -216 *1287:216 *5767:166 0.000980824 -217 *24870:B1 *1287:25 9.76592e-05 -218 *28165:SET_B *1287:21 0.000186286 -219 *29108:A *1287:38 0.000380874 -220 *29892:A *1287:14 9.01355e-05 -221 *30400:A *1287:25 0.000179045 -222 *30480:A *1287:97 3.69697e-05 -223 *30535:A *1287:109 2.83129e-05 -224 *30552:A *1287:14 6.09476e-05 -225 *409:10 *1287:25 0.000172894 -226 *440:29 *1287:14 0.000551712 -227 *1173:82 *1287:21 0.000204854 -228 *1173:96 *1287:21 0.000110126 -229 *1185:175 *1287:210 1.1984e-05 -230 *1235:148 *1287:132 4.65519e-05 -231 *1239:90 *1287:132 5.14757e-05 -232 *1265:112 *1287:184 0.000350728 -233 *1269:40 *1287:132 5.21969e-05 -234 *1269:86 *1287:132 0.002014 -235 *1274:221 *1287:149 0.00014177 -236 *1275:142 *25061:B1 0.000107861 -237 *1275:225 *1287:184 0 -238 *1284:55 *1287:113 0.000811317 -*RES -1 *24961:Y *1287:4 9.3 -2 *1287:4 *1287:11 12.9821 -3 *1287:11 *1287:14 39.9286 -4 *1287:14 *1287:21 30.7197 -5 *1287:21 *1287:25 34.5804 -6 *1287:25 *30761:A 9.3 -7 *1287:25 *1287:38 21.1071 -8 *1287:38 *25697:S 9.3 -9 *1287:38 *1287:51 22.0928 -10 *1287:51 *1287:60 48.6518 -11 *1287:60 *25695:S 31.5679 -12 *1287:60 *25707:S 13.8 -13 *1287:4 *1287:97 49.8661 -14 *1287:97 *1287:109 24.8169 -15 *1287:109 *1287:113 39.8214 -16 *1287:113 *25111:B1 13.8 -17 *1287:113 *1287:132 32.9155 -18 *1287:132 *6525:DIODE 16.3893 -19 *1287:132 *1287:149 13.6655 -20 *1287:149 *25240:A2 13.8536 -21 *1287:149 *1287:184 47.0676 -22 *1287:184 *1287:210 48.7557 -23 *1287:210 *1287:216 46.3036 -24 *1287:216 *25061:B1 37.425 -*END - -*D_NET *1288 0.139855 -*CONN -*I *24992:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *6526:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25012:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25340:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25366:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *26883:A I *D sky130_fd_sc_hd__nand2_8 -*I *25051:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *6511:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25255:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25199:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25163:A2 I *D sky130_fd_sc_hd__a22o_2 -*I *24962:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *24992:B1 0.000197359 -2 *6526:DIODE 0 -3 *25012:B1 0.000200544 -4 *25340:B1 0.00216152 -5 *25366:B1 0 -6 *26883:A 0.00113797 -7 *25051:A2 9.07826e-05 -8 *6511:DIODE 0 -9 *25255:B1 0.000121025 -10 *25199:A2 0.00142509 -11 *25163:A2 0.00015264 -12 *24962:Y 0.000101708 -13 *1288:264 0.00119025 -14 *1288:241 0.00280272 -15 *1288:231 0.00359507 -16 *1288:229 0.00572324 -17 *1288:194 0.00261403 -18 *1288:157 0.00231756 -19 *1288:152 0.00441583 -20 *1288:149 0.00429884 -21 *1288:138 0.000817746 -22 *1288:127 0.00204776 -23 *1288:112 0.00634154 -24 *1288:104 0.00562513 -25 *1288:77 0.00310189 -26 *1288:66 0.000984608 -27 *1288:57 0.0015113 -28 *1288:54 0.00190545 -29 *1288:48 0.00187392 -30 *1288:37 0.0036312 -31 *1288:20 0.00355556 -32 *1288:10 0.00890383 -33 *24992:B1 *24992:B2 3.00808e-05 -34 *24992:B1 *1318:14 2.59355e-05 -35 *24992:B1 *3917:27 0.000145215 -36 *24992:B1 *5604:91 5.7661e-06 -37 *25012:B1 *25012:A1 2.58896e-05 -38 *25012:B1 *25012:A2 0.000116526 -39 *25012:B1 *25012:B2 5.09596e-06 -40 *25012:B1 *3558:224 0.000101545 -41 *25012:B1 *3630:25 1.56869e-05 -42 *25012:B1 *3812:14 1.33343e-05 -43 *25012:B1 *4124:104 5.10822e-05 -44 *25051:A2 *25051:A1 1.46576e-05 -45 *25051:A2 *25051:B1 2.09665e-05 -46 *25051:A2 *25051:B2 1.89507e-06 -47 *25051:A2 *25054:A1 1.35174e-05 -48 *25051:A2 *3367:18 4.06009e-05 -49 *25163:A2 *24943:A 0.000132862 -50 *25163:A2 *25111:A1 0.000216472 -51 *25163:A2 *25163:A1 4.6025e-06 -52 *25163:A2 *25344:B1 3.20708e-05 -53 *25163:A2 *1410:71 7.69776e-06 -54 *25163:A2 *1483:68 2.95746e-06 -55 *25163:A2 *3700:72 3.04242e-05 -56 *25199:A2 *25199:A1 1.46576e-05 -57 *25199:A2 *1393:25 6.13757e-06 -58 *25199:A2 *1393:39 0.000500025 -59 *25199:A2 *2772:85 0.000136951 -60 *25199:A2 *3461:7 6.25394e-06 -61 *25199:A2 *3567:8 0.000127446 -62 *25199:A2 *5798:12 6.19181e-05 -63 *25255:B1 *25255:A2 4.24831e-05 -64 *25255:B1 *3808:17 1.25348e-05 -65 *25340:B1 *24943:A 0 -66 *25340:B1 *25111:A1 1.83035e-05 -67 *25340:B1 *25340:B2 2.687e-05 -68 *25340:B1 *25340:C1 7.56452e-06 -69 *25340:B1 *27311:B1 0.00090633 -70 *25340:B1 *27311:B2 0.000118608 -71 *25340:B1 *1292:24 1.20117e-05 -72 *25340:B1 *1293:65 0 -73 *25340:B1 *2774:110 9.49916e-05 -74 *25340:B1 *2781:98 8.51829e-05 -75 *25340:B1 *3829:67 0.000175892 -76 *25340:B1 *5585:158 0.000130033 -77 *25340:B1 *5587:44 5.64278e-05 -78 *25340:B1 *5643:221 0.00102827 -79 *25340:B1 *6247:36 8.68976e-05 -80 *26883:A *25726:A0 1.90936e-05 -81 *26883:A *26873:A0 0.000178867 -82 *26883:A *26873:A1 0.000106473 -83 *26883:A *28581:D 5.33005e-05 -84 *26883:A *28581:RESET_B 6.96405e-05 -85 *26883:A *3612:84 5.33005e-05 -86 *26883:A *3731:18 2.26818e-05 -87 *26883:A *3731:109 0.000203306 -88 *26883:A *5773:167 8.33853e-05 -89 *26883:A *5773:173 8.43535e-06 -90 *1288:10 *24902:A 5.33005e-05 -91 *1288:10 *1576:8 0.000216297 -92 *1288:10 *3685:89 1.21258e-05 -93 *1288:10 *5616:44 0.000216297 -94 *1288:20 *24943:A 6.72288e-05 -95 *1288:20 *1326:122 0.000160692 -96 *1288:20 *1422:75 0.000262679 -97 *1288:20 *1449:62 0 -98 *1288:20 *1478:10 0.000143652 -99 *1288:20 *1485:39 0.000977222 -100 *1288:20 *3183:169 0.00014854 -101 *1288:20 *3706:35 0.000100843 -102 *1288:20 *4070:50 0.000143652 -103 *1288:20 *4076:87 0.00112084 -104 *1288:20 *5587:31 0.000182153 -105 *1288:20 *5610:22 0.000135449 -106 *1288:20 *5656:173 0.00158966 -107 *1288:20 *5817:7 9.99853e-05 -108 *1288:20 *5836:78 0.000419386 -109 *1288:20 *5869:95 8.50971e-06 -110 *1288:20 *5892:122 0.000686255 -111 *1288:37 *24943:A 0.000319882 -112 *1288:48 *25111:A1 0 -113 *1288:48 *25196:B2 4.19624e-06 -114 *1288:48 *27583:A1 0.000136958 -115 *1288:48 *1473:20 0.000487145 -116 *1288:48 *1634:16 1.90936e-05 -117 *1288:48 *2874:278 5.49489e-05 -118 *1288:48 *2891:329 0.000294951 -119 *1288:48 *3541:13 0.00209264 -120 *1288:48 *3875:100 4.9447e-05 -121 *1288:54 *25372:B2 2.26973e-05 -122 *1288:54 *1615:14 0.000407225 -123 *1288:54 *1685:44 4.32506e-05 -124 *1288:54 *1685:46 0.00165636 -125 *1288:54 *3881:56 0.00164181 -126 *1288:54 *3920:40 7.47029e-06 -127 *1288:54 *4027:20 9.41642e-05 -128 *1288:54 *4113:125 1.36991e-05 -129 *1288:54 *5761:192 3.97677e-05 -130 *1288:57 *25372:A2 6.53397e-05 -131 *1288:57 *25372:B1 0.000902076 -132 *1288:57 *1685:46 1.50181e-05 -133 *1288:57 *1688:16 0.00115078 -134 *1288:57 *2851:6 0.00354017 -135 *1288:57 *3215:254 1.4396e-05 -136 *1288:57 *3220:18 0.000145185 -137 *1288:57 *4079:26 0.00152527 -138 *1288:57 *4079:43 3.77013e-05 -139 *1288:66 *25366:A2 1.40981e-05 -140 *1288:66 *27286:B2 3.3283e-05 -141 *1288:66 *2892:305 0.000858754 -142 *1288:66 *3215:254 0.000893673 -143 *1288:66 *3220:18 8.41284e-06 -144 *1288:77 *25365:B1 0.00010096 -145 *1288:77 *25366:B2 2.84269e-05 -146 *1288:77 *25366:C1 0.000218685 -147 *1288:77 *27286:B2 9.41642e-05 -148 *1288:77 *3686:72 2.56694e-05 -149 *1288:77 *3725:10 0 -150 *1288:77 *3725:18 0 -151 *1288:77 *4040:20 0.000457262 -152 *1288:104 *27666:C1 0.00101025 -153 *1288:104 *28350:CLK 4.87953e-05 -154 *1288:104 *1393:25 2.26714e-05 -155 *1288:104 *3413:16 0.000119382 -156 *1288:104 *3725:10 0.00126996 -157 *1288:104 *4350:96 0.00227799 -158 *1288:112 *25384:D 0.000306317 -159 *1288:112 *27605:A2 0.000147981 -160 *1288:112 *27605:B1 0.00113768 -161 *1288:112 *28523:CLK 3.67142e-05 -162 *1288:112 *1697:55 4.61038e-05 -163 *1288:112 *3157:15 0.000496542 -164 *1288:112 *3578:66 5.59013e-05 -165 *1288:112 *3578:75 0.000235702 -166 *1288:112 *3578:104 0.000152095 -167 *1288:112 *5613:46 4.01773e-05 -168 *1288:112 *5630:296 0 -169 *1288:112 *5715:37 0 -170 *1288:112 *5715:44 0 -171 *1288:127 *27704:A1 0.000344835 -172 *1288:127 *28522:SET_B 0.000550194 -173 *1288:127 *29226:A 0.000426985 -174 *1288:127 *1361:114 0.000234682 -175 *1288:127 *2763:185 9.71197e-05 -176 *1288:127 *2763:196 1.52978e-05 -177 *1288:127 *2784:133 7.49387e-06 -178 *1288:127 *3162:8 7.6644e-05 -179 *1288:127 *3582:31 0.000263048 -180 *1288:127 *3600:17 7.83659e-05 -181 *1288:127 *3899:24 9.91086e-05 -182 *1288:127 *5702:29 0 -183 *1288:138 *3808:17 9.22103e-06 -184 *1288:138 *3899:24 8.55871e-05 -185 *1288:149 *27705:A1 5.74499e-06 -186 *1288:149 *27705:B1 0.000507686 -187 *1288:149 *27705:B2 1.38323e-05 -188 *1288:149 *3770:28 7.5779e-05 -189 *1288:149 *3808:17 3.20791e-05 -190 *1288:149 *5910:42 0 -191 *1288:152 *28543:CLK 8.33968e-05 -192 *1288:152 *28543:D 0.000281739 -193 *1288:152 *28543:RESET_B 4.70821e-05 -194 *1288:152 *29506:A 0.000305124 -195 *1288:152 *1361:147 0.000119883 -196 *1288:152 *2763:185 0.00135551 -197 *1288:152 *3283:7 2.59355e-05 -198 *1288:152 *3607:13 8.60982e-05 -199 *1288:152 *4149:10 8.43535e-06 -200 *1288:152 *5582:183 0.000169769 -201 *1288:152 *5774:37 0.000297855 -202 *1288:157 *25051:B1 6.23267e-05 -203 *1288:157 *25067:B2 5.52238e-05 -204 *1288:157 *27782:B2 5.33005e-05 -205 *1288:157 *30153:A 2.39654e-05 -206 *1288:157 *1389:10 0.000386121 -207 *1288:157 *3367:18 0.000330761 -208 *1288:157 *3694:41 0.000634252 -209 *1288:157 *3798:99 3.17148e-05 -210 *1288:157 *3876:62 0.000340948 -211 *1288:157 *3930:55 0.000222238 -212 *1288:157 *5582:183 0.000133275 -213 *1288:157 *5676:87 0 -214 *1288:194 *28465:CLK 0 -215 *1288:194 *28479:D 0.000613264 -216 *1288:194 *28764:CLK 0 -217 *1288:194 *2767:142 0.000789318 -218 *1288:194 *2772:45 0.00120039 -219 *1288:194 *3612:20 2.24646e-05 -220 *1288:194 *3612:22 7.06313e-05 -221 *1288:194 *3731:18 0.000518375 -222 *1288:194 *4045:50 7.30233e-05 -223 *1288:194 *5773:173 0.000199987 -224 *1288:194 *5911:64 3.31256e-05 -225 *1288:229 *27176:A0 6.59233e-05 -226 *1288:229 *27176:A1 0.000124765 -227 *1288:229 *27364:A 0.00070712 -228 *1288:229 *27831:C1 0.000466823 -229 *1288:229 *28968:A 2.59355e-05 -230 *1288:229 *1422:75 0.00120297 -231 *1288:229 *2791:87 0.00137948 -232 *1288:229 *2791:102 0.000257619 -233 *1288:229 *2874:190 9.41642e-05 -234 *1288:229 *5601:11 0.00201319 -235 *1288:229 *5675:13 0.00318174 -236 *1288:229 *5675:338 0.000424029 -237 *1288:229 *5829:116 0.00194017 -238 *1288:241 *28560:RESET_B 0.000835622 -239 *1288:241 *2794:183 0.000175512 -240 *1288:241 *5642:82 0 -241 *1288:241 *5647:41 0.000173797 -242 *1288:241 *5652:109 7.07155e-06 -243 *1288:241 *5863:163 0.000321589 -244 *1288:241 *5872:19 0.000183761 -245 *1288:264 *24970:B2 0.000391314 -246 *1288:264 *2794:183 0.000338928 -247 *1288:264 *3177:61 4.62978e-05 -248 *1288:264 *3800:46 0.000500447 -249 *1288:264 *3917:27 0.000153315 -250 *1288:264 *5647:41 0.000345931 -251 *1288:264 *5663:48 0.000180079 -252 *1288:264 *5910:123 0.000178847 -253 *6433:DIODE *1288:157 0.000259273 -254 *25098:B *1288:10 6.05161e-06 -255 *25365:A2 *1288:66 1.58163e-05 -256 *25365:A2 *1288:77 3.83904e-05 -257 *28842:A *1288:20 0 -258 *940:17 *1288:157 0.00018077 -259 *1185:102 *1288:20 0.000125537 -260 *1224:19 *1288:20 2.63501e-05 -261 *1225:89 *25340:B1 5.00194e-05 -262 *1228:30 *1288:20 0.000150177 -263 *1228:30 *1288:229 0.00135399 -264 *1230:51 *1288:20 6.90187e-05 -265 *1248:131 *25340:B1 4.05676e-05 -266 *1249:67 *1288:20 0 -267 *1261:199 *1288:66 1.04232e-05 -268 *1274:59 *1288:20 0 -269 *1276:47 *1288:20 0.000160692 -270 *1287:132 *1288:54 0.000133313 -*RES -1 *24962:Y *1288:10 21.6929 -2 *1288:10 *1288:20 35.6771 -3 *1288:20 *25163:A2 21.5717 -4 *1288:20 *1288:37 4.0962 -5 *1288:37 *1288:48 34.0509 -6 *1288:48 *1288:54 35.1786 -7 *1288:54 *1288:57 49.6875 -8 *1288:57 *1288:66 17.7054 -9 *1288:66 *1288:77 21.3214 -10 *1288:77 *25199:A2 36.6437 -11 *1288:77 *1288:104 49.5126 -12 *1288:104 *1288:112 48.2666 -13 *1288:112 *1288:127 45.2857 -14 *1288:127 *25255:B1 11.2107 -15 *1288:127 *1288:138 2.17857 -16 *1288:138 *6511:DIODE 9.3 -17 *1288:138 *1288:149 17.1429 -18 *1288:149 *1288:152 44.9107 -19 *1288:152 *1288:157 24.3482 -20 *1288:157 *25051:A2 15.367 -21 *1288:157 *1288:194 40.2682 -22 *1288:194 *26883:A 32.8 -23 *1288:66 *25366:B1 9.3 -24 *1288:37 *25340:B1 29.7146 -25 *1288:10 *1288:229 113.482 -26 *1288:229 *1288:231 4.5 -27 *1288:231 *1288:241 45.5357 -28 *1288:241 *25012:B1 22.2464 -29 *1288:231 *1288:264 33.5536 -30 *1288:264 *6526:DIODE 9.3 -31 *1288:264 *24992:B1 13.4607 -*END - -*D_NET *1289 0.0555593 -*CONN -*I *29814:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *6522:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *24985:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *24963:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *29814:A 6.12416e-05 -2 *6522:DIODE 0.000257862 -3 *24985:B1 2.44169e-05 -4 *24963:Y 0.000133092 -5 *1289:71 0.00159999 -6 *1289:55 0.00426173 -7 *1289:54 0.00309056 -8 *1289:49 0.00190549 -9 *1289:44 0.00270825 -10 *1289:34 0.00243619 -11 *1289:27 0.00207228 -12 *1289:19 0.00199492 -13 *1289:7 0.00160385 -14 *6522:DIODE *1310:11 9.30169e-05 -15 *24985:B1 *24985:A1 4.28365e-05 -16 *24985:B1 *24985:B2 9.25014e-06 -17 *24985:B1 *5707:251 3.11502e-05 -18 *29814:A *1576:8 7.05143e-06 -19 *29814:A *1576:27 0.000183617 -20 *29814:A *3758:43 0.000187441 -21 *1289:19 *6591:DIODE 2.04825e-05 -22 *1289:19 *25139:D 0.000248717 -23 *1289:19 *25225:B 7.65049e-05 -24 *1289:19 *27932:A1 9.3171e-05 -25 *1289:19 *1576:27 0.00145429 -26 *1289:19 *3305:19 2.84892e-05 -27 *1289:19 *3664:16 4.11218e-05 -28 *1289:19 *3758:43 0.000960796 -29 *1289:19 *3758:54 0.000416028 -30 *1289:19 *3913:70 7.83659e-05 -31 *1289:19 *3987:58 0.000179959 -32 *1289:19 *5604:20 0.00139807 -33 *1289:27 *25209:C1 4.58194e-05 -34 *1289:27 *25225:B 3.67462e-05 -35 *1289:27 *25284:A 0.000487816 -36 *1289:27 *25284:B 0.000586569 -37 *1289:27 *25284:C 1.90936e-05 -38 *1289:27 *1421:100 8.91358e-05 -39 *1289:27 *1576:27 4.23791e-05 -40 *1289:27 *1844:104 9.58181e-05 -41 *1289:27 *2778:83 0.000208852 -42 *1289:27 *2778:120 0.000142712 -43 *1289:27 *3666:35 1.90936e-05 -44 *1289:34 *30549:A 0.000687724 -45 *1289:34 *1360:44 0.000277762 -46 *1289:34 *1449:101 0.000123605 -47 *1289:34 *2778:79 0.000121139 -48 *1289:34 *2778:83 0.00312716 -49 *1289:34 *5621:69 0.000118378 -50 *1289:34 *5621:75 9.87936e-06 -51 *1289:34 *5869:8 0.000550522 -52 *1289:34 *5869:74 0.00144097 -53 *1289:44 *25097:B1 5.26058e-05 -54 *1289:44 *25269:A1 4.33438e-05 -55 *1289:44 *28340:CLK 0.000515488 -56 *1289:44 *28343:CLK 0.000152515 -57 *1289:44 *30549:A 0.000196841 -58 *1289:44 *1476:160 8.6229e-06 -59 *1289:44 *2778:79 0.000504241 -60 *1289:44 *2855:32 2.09897e-05 -61 *1289:44 *2888:59 0 -62 *1289:44 *3165:216 0.000220236 -63 *1289:44 *3868:32 8.48485e-05 -64 *1289:44 *5041:8 1.10978e-05 -65 *1289:44 *5629:23 0.00022093 -66 *1289:44 *5861:69 0.000359347 -67 *1289:49 *25386:A1 7.81577e-05 -68 *1289:49 *5041:8 0.00262533 -69 *1289:49 *5599:91 2.95642e-05 -70 *1289:49 *5608:8 0.000340557 -71 *1289:49 *5608:15 0.00131692 -72 *1289:49 *5691:149 0.000146592 -73 *1289:54 *25388:A1 3.34295e-05 -74 *1289:54 *3844:13 0.000144038 -75 *1289:54 *5517:18 0.000355352 -76 *1289:54 *5749:32 8.40089e-05 -77 *1289:55 *25388:C1 0.000226894 -78 *1289:55 *27244:A1 1.39841e-05 -79 *1289:55 *27244:B1 0.000252984 -80 *1289:55 *28790:D 0.000470382 -81 *1289:55 *29501:A 5.49544e-05 -82 *1289:55 *2817:52 0.000125884 -83 *1289:55 *2825:12 0.000726776 -84 *1289:55 *2839:19 0.000131385 -85 *1289:55 *5272:8 0.00022459 -86 *1289:55 *5522:61 0.000293006 -87 *1289:55 *5599:72 0.00042994 -88 *1289:55 *5618:85 0.00018077 -89 *1289:55 *5720:159 0.000633625 -90 *1289:55 *5720:169 0.000303972 -91 *1289:55 *6225:92 0.000809517 -92 *1289:55 *6307:23 0.000555481 -93 *1289:71 *24985:A1 0.00094204 -94 *1289:71 *27054:B 0 -95 *1289:71 *27252:B 0.000162992 -96 *1289:71 *27252:C 5.75016e-05 -97 *1289:71 *29642:A 7.12029e-06 -98 *1289:71 *30833:A 0.000769174 -99 *1289:71 *30871:A 0 -100 *1289:71 *5522:85 9.90712e-06 -101 *1289:71 *6307:23 1.98839e-05 -102 *1289:71 *6307:29 0.000137983 -103 *1289:71 *6307:53 0.000437486 -104 *1289:71 *6309:39 0 -105 *1289:71 *6310:26 8.53839e-05 -106 *1289:71 *6311:62 7.6231e-05 -107 *1289:71 *6386:140 7.78851e-05 -108 *24985:A2 *6522:DIODE 7.13226e-06 -109 *24985:A2 *24985:B1 1.41029e-05 -110 *25263:A2 *1289:49 4.9343e-05 -111 *25387:B1 *1289:49 0.00039015 -112 *27250:A1 *1289:55 6.42095e-05 -113 *28777:D *1289:55 0.000304387 -114 *29502:A *1289:55 0.00038907 -115 *1250:79 *1289:55 0.00185535 -116 *1250:96 *1289:55 0.000169816 -117 *1262:128 *1289:7 0.000105471 -118 *1271:161 *1289:27 0.000312905 -119 *1273:14 *1289:49 3.74484e-05 -120 *1281:12 *1289:49 4.46186e-06 -121 *1282:12 *1289:44 0 -122 *1282:20 *1289:44 4.1879e-05 -123 *1282:20 *1289:49 0.00011939 -*RES -1 *24963:Y *1289:7 15.9786 -2 *1289:7 *1289:19 46.2679 -3 *1289:19 *1289:27 29.75 -4 *1289:27 *1289:34 44.8839 -5 *1289:34 *1289:44 30.7857 -6 *1289:44 *1289:49 46.7946 -7 *1289:49 *1289:54 13.6786 -8 *1289:54 *1289:55 67.0714 -9 *1289:55 *1289:71 35.0035 -10 *1289:71 *24985:B1 14.6839 -11 *1289:71 *6522:DIODE 16.8 -12 *1289:7 *29814:A 16.3536 -*END - -*D_NET *1290 0.118743 -*CONN -*I *25208:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25030:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *24980:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25118:B1 I *D sky130_fd_sc_hd__a221o_2 -*I *25260:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25063:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *25306:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *25401:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *24964:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25208:B1 0 -2 *25030:A2 9.96911e-05 -3 *24980:B1 0.000393962 -4 *25118:B1 0.000197691 -5 *25260:A2 0.00103743 -6 *25063:B1 0.000354521 -7 *25306:B1 7.21491e-05 -8 *25401:B1 0.000133994 -9 *24964:Y 0.000619399 -10 *1290:201 0.0018491 -11 *1290:186 0.00314559 -12 *1290:179 0.00300945 -13 *1290:148 0.0010883 -14 *1290:132 0.00513367 -15 *1290:89 0.00337693 -16 *1290:53 0.00441021 -17 *1290:48 0.00322839 -18 *1290:42 0.00242347 -19 *1290:34 0.00308428 -20 *1290:31 0.00272539 -21 *1290:23 0.00500117 -22 *1290:14 0.00190339 -23 *1290:8 0.00154559 -24 *24980:B1 *24980:B2 2.40787e-05 -25 *24980:B1 *27211:A1 2.42516e-05 -26 *24980:B1 *28765:D 0.000136119 -27 *24980:B1 *1367:18 0.000357326 -28 *24980:B1 *3633:27 6.37237e-05 -29 *24980:B1 *3995:32 0.000111353 -30 *24980:B1 *5606:55 0.000221822 -31 *25030:A2 *25030:A1 0.00011953 -32 *25030:A2 *3574:43 9.87617e-05 -33 *25063:B1 *1624:16 9.76892e-05 -34 *25063:B1 *2800:55 2.63295e-05 -35 *25063:B1 *3851:12 3.47641e-06 -36 *25063:B1 *5747:21 0.000294242 -37 *25118:B1 *25118:B2 0.00020215 -38 *25118:B1 *2760:33 3.34295e-05 -39 *25118:B1 *2768:25 5.33005e-05 -40 *25118:B1 *3174:188 0.00039701 -41 *25118:B1 *3627:50 6.18255e-05 -42 *25118:B1 *3946:18 6.13772e-05 -43 *25260:A2 *28940:A 0.0001399 -44 *25260:A2 *1294:243 0.000230475 -45 *25260:A2 *1580:11 0.000348523 -46 *25260:A2 *3569:78 5.94896e-06 -47 *25260:A2 *3569:86 0.00121883 -48 *25260:A2 *5745:83 0.000429485 -49 *25260:A2 *6332:12 6.87574e-05 -50 *25306:B1 *25306:B2 0.000130623 -51 *25306:B1 *29875:A 9.23883e-05 -52 *25401:B1 *4352:19 6.29863e-05 -53 *1290:8 *25378:A1 1.08359e-05 -54 *1290:8 *1654:20 0 -55 *1290:8 *1694:13 0.000125372 -56 *1290:8 *3667:41 2.03618e-05 -57 *1290:8 *3667:53 0.000480234 -58 *1290:8 *3900:66 0.000149896 -59 *1290:14 *2775:187 0.000508712 -60 *1290:14 *2784:65 2.58997e-05 -61 *1290:14 *2784:67 2.85781e-05 -62 *1290:14 *3913:70 0.00154024 -63 *1290:14 *3992:42 0.000125261 -64 *1290:14 *4015:31 0.000605026 -65 *1290:14 *5600:90 0.00019421 -66 *1290:14 *5936:33 6.74084e-05 -67 *1290:23 *25208:B2 1.25852e-05 -68 *1290:23 *25277:B2 2.51343e-06 -69 *1290:23 *1528:9 1.15172e-05 -70 *1290:23 *1597:11 0.000206785 -71 *1290:23 *3206:95 0.000175892 -72 *1290:23 *3794:86 0.000626075 -73 *1290:23 *3848:61 3.24493e-05 -74 *1290:23 *5707:100 0.000627598 -75 *1290:31 *28860:A 0.000767627 -76 *1290:31 *3574:111 0.000962562 -77 *1290:31 *3643:26 0.000513933 -78 *1290:31 *3820:79 0.00167587 -79 *1290:31 *3872:43 0.000103852 -80 *1290:34 *28466:SET_B 6.81247e-05 -81 *1290:34 *2790:46 0.000120724 -82 *1290:34 *2790:77 0.000527059 -83 *1290:34 *2839:38 0.000649405 -84 *1290:34 *3205:158 0.000279116 -85 *1290:34 *3205:169 0.000450355 -86 *1290:34 *4015:22 0.0010448 -87 *1290:34 *4015:26 0.00225109 -88 *1290:34 *5707:103 7.86906e-05 -89 *1290:34 *5707:113 0.000113994 -90 *1290:42 *25263:B2 0.000186457 -91 *1290:42 *27179:A1 2.22043e-05 -92 *1290:42 *1328:115 0.000914839 -93 *1290:42 *2784:54 0.00164422 -94 *1290:42 *2790:77 0 -95 *1290:42 *2845:22 0.000135028 -96 *1290:42 *3214:21 0.000136951 -97 *1290:42 *3794:85 0.000244839 -98 *1290:42 *3797:35 4.75588e-05 -99 *1290:42 *5448:21 0.00159927 -100 *1290:42 *5707:113 0.000782878 -101 *1290:42 *5707:137 8.25089e-05 -102 *1290:48 *28659:D 0.000740389 -103 *1290:48 *1294:243 0 -104 *1290:48 *2777:11 0.000136951 -105 *1290:48 *2784:30 0.000241562 -106 *1290:48 *2784:54 0.00069215 -107 *1290:48 *3797:8 0.000348247 -108 *1290:48 *3797:21 5.41794e-05 -109 *1290:48 *5448:16 0 -110 *1290:48 *5448:21 0 -111 *1290:48 *5687:153 1.18064e-05 -112 *1290:48 *5707:172 0.000556561 -113 *1290:48 *5707:177 0.000133587 -114 *1290:53 *28659:D 0.000118364 -115 *1290:53 *29111:A 0 -116 *1290:53 *2782:27 0 -117 *1290:53 *5481:6 0 -118 *1290:53 *5609:33 5.44456e-05 -119 *1290:53 *5675:223 0.00190248 -120 *1290:53 *5707:177 3.17101e-05 -121 *1290:89 *25044:A1 5.59379e-05 -122 *1290:89 *27078:S 1.44633e-05 -123 *1290:89 *27231:A1 5.03602e-05 -124 *1290:89 *27231:B1_N 0.000246846 -125 *1290:89 *27273:A1_N 0.000272506 -126 *1290:89 *1796:8 0.000294965 -127 *1290:89 *2797:19 0.00162398 -128 *1290:89 *2799:18 0.000603315 -129 *1290:89 *2800:55 0.00040973 -130 *1290:89 *2803:20 3.72851e-05 -131 *1290:89 *2810:15 0.000181905 -132 *1290:89 *4574:37 5.68513e-05 -133 *1290:89 *5352:22 0.000357094 -134 *1290:89 *5539:17 5.50861e-05 -135 *1290:89 *5609:33 3.66916e-05 -136 *1290:89 *5675:223 0.000194821 -137 *1290:89 *5747:21 0.000136845 -138 *1290:89 *6104:19 0 -139 *1290:89 *6314:75 0.00064347 -140 *1290:132 *24854:A 9.22222e-06 -141 *1290:132 *28929:A 7.78339e-05 -142 *1290:132 *1597:11 0.000657321 -143 *1290:132 *3170:167 0.00215207 -144 *1290:132 *3991:29 0.000101022 -145 *1290:148 *28701:D 0.000178425 -146 *1290:148 *2760:33 0.000339346 -147 *1290:148 *2791:117 0.000425866 -148 *1290:148 *3574:8 0.000572813 -149 *1290:148 *3574:66 0.000178024 -150 *1290:148 *3952:18 0.00090804 -151 *1290:148 *4082:80 4.22135e-06 -152 *1290:148 *4927:15 0.00034127 -153 *1290:148 *5459:51 0.000215327 -154 *1290:148 *5649:66 0.000180355 -155 *1290:148 *5650:31 0.000603653 -156 *1290:179 *28472:D 1.02936e-05 -157 *1290:179 *1328:175 2.04825e-05 -158 *1290:179 *2786:101 0.000342497 -159 *1290:179 *2845:392 1.90936e-05 -160 *1290:179 *3170:167 0.000196915 -161 *1290:179 *3387:14 1.90936e-05 -162 *1290:179 *3669:20 4.06693e-05 -163 *1290:179 *3669:63 6.05161e-06 -164 *1290:179 *3929:34 0.000303627 -165 *1290:179 *5584:80 0.0010823 -166 *1290:179 *5755:243 4.61448e-05 -167 *1290:186 *25030:A1 5.33005e-05 -168 *1290:186 *27810:A1 0.00145528 -169 *1290:186 *1292:234 0.00031025 -170 *1290:186 *1304:39 0.000210437 -171 *1290:186 *3170:172 0.000751868 -172 *1290:186 *3193:59 4.8817e-05 -173 *1290:186 *3574:43 4.99764e-05 -174 *1290:186 *3864:39 0.000220298 -175 *1290:186 *3864:43 0.00139944 -176 *1290:186 *3982:71 4.22135e-06 -177 *1290:186 *5467:18 0.000845888 -178 *1290:186 *5660:52 0.000143592 -179 *1290:201 *25030:A1 0.000134635 -180 *1290:201 *1294:193 0.00262002 -181 *1290:201 *1317:30 0.00179123 -182 *1290:201 *3890:24 0.00107531 -183 *1290:201 *3890:47 0.00189667 -184 *1290:201 *3995:41 0.000492972 -185 *1290:201 *4007:6 0.00182168 -186 *1290:201 *5386:11 0.000127359 -187 *1290:201 *5467:18 1.24349e-05 -188 *1290:201 *5492:22 0.00027188 -189 *1290:201 *5662:36 0.000136126 -190 *25063:A2 *25063:B1 5.52238e-05 -191 *25208:A2 *1290:23 3.58774e-05 -192 *25362:B1 *1290:8 3.24366e-05 -193 *28794:D *25260:A2 0.000129913 -194 *29894:A *1290:34 0.000114854 -195 *30372:A *1290:179 1.5702e-05 -196 *30488:A *24980:B1 0.000137561 -197 *30670:A *25063:B1 0.000107648 -198 *11:17 *25063:B1 0.000523471 -199 *15:18 *25063:B1 0.00027035 -200 *15:18 *1290:89 0.000576571 -201 *22:18 *1290:89 1.80461e-05 -202 *244:57 *25063:B1 0.000247632 -203 *770:24 *1290:89 6.50603e-06 -204 *981:15 *25306:B1 1.45616e-05 -205 *1177:11 *1290:89 0.000677995 -206 *1178:69 *1290:14 0.00224694 -207 *1218:109 *1290:42 0.000103312 -208 *1225:46 *25118:B1 1.3701e-05 -209 *1245:92 *1290:89 3.10194e-06 -210 *1246:76 *1290:132 0.000222635 -211 *1246:76 *1290:179 0.000620738 -212 *1254:14 *1290:53 8.3775e-05 -213 *1254:40 *25401:B1 2.03618e-05 -214 *1254:40 *1290:53 0.00187436 -215 *1254:40 *1290:89 0.00272996 -216 *1256:6 *1290:8 0.00145306 -217 *1260:20 *1290:8 0.00012401 -218 *1264:83 *1290:53 1.66744e-05 -219 *1271:23 *1290:48 0.000135028 -*RES -1 *24964:Y *1290:8 37.2464 -2 *1290:8 *1290:14 39.3214 -3 *1290:14 *1290:23 26.2143 -4 *1290:23 *1290:31 43 -5 *1290:31 *1290:34 48.6429 -6 *1290:34 *1290:42 48.3393 -7 *1290:42 *1290:48 33.5536 -8 *1290:48 *1290:53 16.5469 -9 *1290:53 *25401:B1 19.3082 -10 *1290:53 *1290:89 48.2719 -11 *1290:89 *25306:B1 19.0046 -12 *1290:89 *25063:B1 25.7519 -13 *1290:48 *25260:A2 36.0768 -14 *1290:23 *1290:132 46.3214 -15 *1290:132 *1290:148 45.9464 -16 *1290:148 *25118:B1 24.55 -17 *1290:132 *1290:179 49.4368 -18 *1290:179 *1290:186 19.7211 -19 *1290:186 *1290:201 49.0388 -20 *1290:201 *24980:B1 32.5679 -21 *1290:186 *25030:A2 11.925 -22 *1290:14 *25208:B1 9.3 -*END - -*D_NET *1291 0.0298258 -*CONN -*I *30771:A I *D sky130_fd_sc_hd__buf_8 -*I *25008:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *24965:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *30771:A 4.49938e-05 -2 *25008:A2 0.00174428 -3 *24965:Y 8.45076e-05 -4 *1291:46 0.00392187 -5 *1291:39 0.00386119 -6 *1291:31 0.00452867 -7 *1291:8 0.00297457 -8 *25008:A2 *25008:A1 0.000980999 -9 *25008:A2 *3735:46 0.000162191 -10 *30771:A *2778:83 2.35985e-05 -11 *30771:A *5600:14 3.22325e-05 -12 *1291:8 *2778:83 5.32236e-05 -13 *1291:8 *2894:44 9.83442e-05 -14 *1291:8 *2895:61 0.000139645 -15 *1291:31 *27333:A2 0.000321783 -16 *1291:31 *27671:A2 1.90936e-05 -17 *1291:31 *2780:25 0.000148215 -18 *1291:31 *2882:31 0.000129692 -19 *1291:31 *2883:29 0.000821791 -20 *1291:31 *2894:44 0.00019131 -21 *1291:31 *2895:61 0.000966866 -22 *1291:31 *2958:54 7.85273e-05 -23 *1291:31 *3168:31 7.36527e-05 -24 *1291:31 *3413:57 2.84116e-05 -25 *1291:31 *3686:135 9.24378e-05 -26 *1291:31 *5386:11 0.000102651 -27 *1291:31 *5634:95 5.00194e-05 -28 *1291:31 *5655:130 1.60961e-05 -29 *1291:31 *5661:187 6.90381e-06 -30 *1291:31 *5700:111 7.85273e-05 -31 *1291:31 *5754:18 0.00191953 -32 *1291:31 *5936:70 1.78124e-05 -33 *1291:39 *26878:A0 0.000676624 -34 *1291:39 *26878:A1 0.000137983 -35 *1291:39 *29709:A 1.98839e-05 -36 *1291:39 *2882:31 0.000192892 -37 *1291:39 *2882:173 1.39726e-05 -38 *1291:39 *3575:33 0.000201261 -39 *1291:46 *27447:B1 6.39808e-05 -40 *1291:46 *27448:A1 0.000198436 -41 *1291:46 *27449:D 0.000136951 -42 *1291:46 *29036:A 2.14658e-05 -43 *1291:46 *2844:164 0.000483562 -44 *1291:46 *2844:179 4.16631e-05 -45 *1291:46 *3193:51 0.000287579 -46 *1291:46 *4086:68 0.000114575 -47 *1291:46 *5280:7 0.000482299 -48 *1291:46 *5755:7 5.33005e-05 -49 *1291:46 *5757:127 8.85631e-05 -50 *1291:46 *6343:22 0.000339346 -51 *1291:46 *6344:7 2.47753e-05 -52 *28806:D *1291:39 0.000236188 -53 *28806:D *1291:46 9.71197e-05 -54 *74:11 *1291:31 0.000100088 -55 *1228:61 *1291:31 1.90936e-05 -56 *1245:51 *30771:A 2.04825e-05 -57 *1245:51 *1291:31 0.00200052 -58 *1282:12 *30771:A 2.98892e-05 -59 *1282:12 *1291:8 2.96315e-05 -*RES -1 *24965:Y *1291:8 16.2375 -2 *1291:8 *1291:31 35.8574 -3 *1291:31 *1291:39 25.4286 -4 *1291:39 *1291:46 45.75 -5 *1291:46 *25008:A2 30.1036 -6 *1291:8 *30771:A 14.9875 -*END - -*D_NET *1292 0.128607 -*CONN -*I *24988:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25030:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27168:A I *D sky130_fd_sc_hd__nand2_8 -*I *25053:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25193:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25380:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25314:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25240:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25157:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *24966:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *24988:B1 0 -2 *25030:B1 0 -3 *27168:A 0.00155461 -4 *25053:B1 0.000874674 -5 *25193:B1 6.03386e-05 -6 *25380:A2 0.000713105 -7 *25314:A2 0.000599365 -8 *25240:B1 0.000127937 -9 *25157:B1 0.000381865 -10 *24966:Y 2.90247e-05 -11 *1292:234 0.00106373 -12 *1292:223 0.00234357 -13 *1292:222 0.001441 -14 *1292:215 0.00230241 -15 *1292:202 0.00449824 -16 *1292:195 0.00479715 -17 *1292:153 0.0037939 -18 *1292:133 0.00449365 -19 *1292:110 0.00639499 -20 *1292:85 0.00366096 -21 *1292:81 0.00348845 -22 *1292:65 0.00458202 -23 *1292:35 0.00191424 -24 *1292:24 0.00290176 -25 *1292:8 0.00474476 -26 *25053:B1 *25053:A1 1.70173e-05 -27 *25053:B1 *25053:C1 3.69047e-06 -28 *25053:B1 *25054:B2 3.45371e-05 -29 *25053:B1 *26916:S 0.00058198 -30 *25053:B1 *26917:S 0.000146171 -31 *25053:B1 *27822:A1 5.30637e-06 -32 *25053:B1 *3839:24 0.000695958 -33 *25053:B1 *3930:55 0.000810357 -34 *25053:B1 *4006:21 0.000516182 -35 *25053:B1 *4006:51 0.000123181 -36 *25157:B1 *3823:17 0.000427782 -37 *25193:B1 *27723:A1 0.000118248 -38 *25193:B1 *3705:14 0.000118248 -39 *25240:B1 *25240:A1 5.99292e-05 -40 *25240:B1 *25240:B2 3.4796e-05 -41 *25240:B1 *25352:A1 9.24724e-05 -42 *25240:B1 *4063:35 9.15842e-06 -43 *25240:B1 *4063:43 0 -44 *25240:B1 *5836:262 4.47273e-05 -45 *25314:A2 *27679:B2 3.27359e-05 -46 *25314:A2 *28763:D 0.000136951 -47 *25314:A2 *28763:RESET_B 0.000125443 -48 *25314:A2 *2879:156 2.11419e-05 -49 *25314:A2 *3581:138 7.46017e-05 -50 *25314:A2 *4002:20 0.000171412 -51 *25314:A2 *4054:8 9.22699e-05 -52 *25314:A2 *5590:131 6.07037e-07 -53 *25314:A2 *5701:169 3.81755e-05 -54 *25380:A2 *25380:B2 0.000306359 -55 *25380:A2 *27610:A1 0.000113776 -56 *25380:A2 *1696:10 2.89016e-05 -57 *25380:A2 *2792:26 0.000942465 -58 *25380:A2 *3998:13 5.97671e-05 -59 *25380:A2 *5910:16 0.000273643 -60 *27168:A *1303:10 3.14163e-05 -61 *27168:A *4017:33 0.000279479 -62 *27168:A *5863:117 0 -63 *27168:A *5911:43 0.000546698 -64 *27168:A *5911:53 0.000354934 -65 *27168:A *5925:26 0.000202808 -66 *1292:8 *24966:A 1.83053e-05 -67 *1292:8 *2778:121 1.90936e-05 -68 *1292:8 *3758:43 2.06112e-05 -69 *1292:24 *1293:65 0.000159439 -70 *1292:24 *1834:68 0.0012031 -71 *1292:24 *2778:121 0.00010283 -72 *1292:24 *2780:184 0.000245411 -73 *1292:24 *3758:43 0.000219386 -74 *1292:24 *3862:42 0 -75 *1292:24 *4063:43 0.0012026 -76 *1292:24 *5585:28 0.000299304 -77 *1292:24 *5585:47 0.00247803 -78 *1292:24 *5587:44 0.00035126 -79 *1292:24 *5601:162 9.24404e-05 -80 *1292:24 *5836:78 0.00296503 -81 *1292:24 *6258:12 1.30275e-05 -82 *1292:35 *1834:64 9.12201e-05 -83 *1292:35 *1834:68 0.000416007 -84 *1292:35 *4063:35 8.93875e-05 -85 *1292:35 *4063:43 0.000366501 -86 *1292:65 *25240:A1 6.13706e-05 -87 *1292:65 *25352:A1 8.70332e-05 -88 *1292:65 *27402:B2 0.000217685 -89 *1292:65 *1293:91 3.53367e-05 -90 *1292:65 *1293:129 1.01703e-05 -91 *1292:65 *1557:16 8.40746e-05 -92 *1292:65 *1834:61 5.11642e-05 -93 *1292:65 *1834:64 0.000475089 -94 *1292:65 *2791:209 6.60111e-05 -95 *1292:65 *2844:304 0.000352129 -96 *1292:65 *3215:242 0.000134885 -97 *1292:65 *3552:22 0.000318035 -98 *1292:65 *3753:84 2.06112e-05 -99 *1292:65 *3901:79 0.000151586 -100 *1292:65 *5644:237 8.94249e-05 -101 *1292:65 *5836:262 4.31391e-05 -102 *1292:65 *5836:284 0.00016592 -103 *1292:65 *6258:12 0.000216895 -104 *1292:81 *25130:A1 1.34316e-05 -105 *1292:81 *25147:B2 1.31511e-05 -106 *1292:81 *27077:A0 0.000298851 -107 *1292:81 *27400:B2 0.000210538 -108 *1292:81 *27407:C1 9.0138e-05 -109 *1292:81 *27750:A2 0.00173015 -110 *1292:81 *28598:RESET_B 0.000131266 -111 *1292:81 *1293:129 0.00133931 -112 *1292:81 *1433:29 7.25749e-05 -113 *1292:81 *1467:27 9.82852e-05 -114 *1292:81 *1557:16 0.000147085 -115 *1292:81 *1834:61 0.00110444 -116 *1292:81 *2879:156 0.000839251 -117 *1292:81 *2892:292 0.000496531 -118 *1292:81 *3758:25 0.00221766 -119 *1292:81 *3758:41 0.000986489 -120 *1292:81 *3901:79 8.84789e-05 -121 *1292:81 *5587:44 0.000256457 -122 *1292:81 *6258:12 0.00286958 -123 *1292:85 *28763:D 0.000184339 -124 *1292:85 *4115:10 0.000320009 -125 *1292:85 *5708:34 0.00025637 -126 *1292:110 *28611:SET_B 6.25775e-05 -127 *1292:110 *29422:A 0.000313829 -128 *1292:110 *1361:114 1.60961e-05 -129 *1292:110 *2776:241 4.65519e-05 -130 *1292:110 *4119:8 0.000917109 -131 *1292:110 *4132:33 0 -132 *1292:110 *5593:33 6.72288e-05 -133 *1292:110 *5638:136 0.00135927 -134 *1292:110 *5863:98 0.000371424 -135 *1292:133 *26914:A1 2.07382e-05 -136 *1292:133 *27177:A 5.49544e-05 -137 *1292:133 *27177:B 0.000140933 -138 *1292:133 *27180:A1 0.000313083 -139 *1292:133 *28501:D 0.00119651 -140 *1292:133 *29283:A 0.000173551 -141 *1292:133 *2792:13 7.71593e-05 -142 *1292:133 *2792:26 0.000683537 -143 *1292:133 *2792:62 2.24079e-05 -144 *1292:133 *3704:10 9.59532e-06 -145 *1292:133 *3887:94 0.000218685 -146 *1292:133 *4016:8 1.90936e-05 -147 *1292:133 *5590:191 0.000443379 -148 *1292:133 *5736:24 0 -149 *1292:133 *5910:16 0.000424103 -150 *1292:133 *6275:11 0.000777796 -151 *1292:153 *26872:S 0.000382001 -152 *1292:153 *26914:A1 3.87787e-05 -153 *1292:153 *26946:A 2.04825e-05 -154 *1292:153 *29054:A 7.69776e-06 -155 *1292:153 *29988:A 1.98839e-05 -156 *1292:153 *2766:14 6.09919e-05 -157 *1292:153 *2792:62 9.90367e-05 -158 *1292:153 *3887:94 8.27532e-05 -159 *1292:153 *4017:33 1.8995e-06 -160 *1292:153 *5911:28 9.71197e-05 -161 *1292:153 *5911:43 0.00126618 -162 *1292:195 *2778:121 4.09646e-05 -163 *1292:195 *3197:52 0.000122923 -164 *1292:195 *3758:43 3.39365e-05 -165 *1292:195 *3992:54 0.000121201 -166 *1292:202 *27349:A1 2.98258e-05 -167 *1292:202 *27349:A2 0.00264615 -168 *1292:202 *27349:B2 6.59194e-05 -169 *1292:202 *28649:CLK 0.000182578 -170 *1292:202 *2780:161 0.00100499 -171 *1292:202 *4068:31 0.000180951 -172 *1292:215 *24988:B2 0.000168057 -173 *1292:215 *27831:D1 0.000173425 -174 *1292:215 *1313:8 4.038e-06 -175 *1292:215 *2794:177 0.000505757 -176 *1292:215 *3371:13 0.00112482 -177 *1292:215 *5604:109 0.000221084 -178 *1292:215 *5631:36 0.000815573 -179 *1292:222 *24988:B2 4.46186e-06 -180 *1292:222 *3371:13 0.000112186 -181 *1292:222 *3760:12 0 -182 *1292:222 *5601:119 0.0001016 -183 *1292:223 *27454:A1 0.000957476 -184 *1292:223 *27454:A2 2.03079e-05 -185 *1292:223 *27454:B1 9.96242e-05 -186 *1292:223 *27454:C1 0.0006337 -187 *1292:223 *2860:363 5.08866e-05 -188 *1292:223 *2860:366 0.000768394 -189 *1292:223 *2866:48 0.00109782 -190 *1292:223 *2866:241 3.32605e-05 -191 *1292:223 *2871:224 0.000210516 -192 *1292:223 *2892:140 0.00253371 -193 *1292:223 *3174:209 0.000240466 -194 *1292:223 *3176:230 0.000244001 -195 *1292:223 *3215:178 2.84376e-05 -196 *1292:223 *5604:72 3.19109e-05 -197 *1292:223 *5936:25 0.000123288 -198 *1292:234 *25030:A1 3.47783e-05 -199 *1292:234 *3387:14 0.000177821 -200 *1292:234 *3574:43 0.000160383 -201 *1292:234 *3982:71 0.000190959 -202 *1292:234 *4185:60 9.29262e-05 -203 *1292:234 *4185:64 0.00241308 -204 *1292:234 *5584:80 0.00288745 -205 *1292:234 *5863:163 0.000192827 -206 *24978:A2 *27168:A 0.000146432 -207 *25146:A2 *1292:81 2.71935e-05 -208 *25340:B1 *1292:24 1.20117e-05 -209 *28842:A *1292:24 0 -210 *29197:A *25314:A2 1.33343e-05 -211 *29329:A *1292:153 5.52238e-05 -212 *29922:A *25053:B1 0.000108754 -213 *1185:88 *1292:8 5.33005e-05 -214 *1185:88 *1292:195 0.00297421 -215 *1230:51 *1292:24 0.000530247 -216 *1243:34 *1292:24 1.89597e-05 -217 *1256:173 *1292:133 0.000290364 -218 *1265:161 *1292:153 0.000929523 -219 *1266:22 *1292:24 0.000148067 -220 *1274:92 *1292:24 0.00019096 -221 *1274:221 *1292:65 0.000889246 -222 *1279:102 *1292:24 5.32088e-05 -223 *1282:184 *25157:B1 3.16319e-05 -224 *1290:186 *1292:234 0.00031025 -*RES -1 *24966:Y *1292:8 14.6125 -2 *1292:8 *1292:24 37.8342 -3 *1292:24 *25157:B1 18.8714 -4 *1292:24 *1292:35 8.01786 -5 *1292:35 *25240:B1 17.425 -6 *1292:35 *1292:65 39.6733 -7 *1292:65 *1292:81 46.5358 -8 *1292:81 *1292:85 12.5446 -9 *1292:85 *25314:A2 28.8179 -10 *1292:85 *1292:110 36.8988 -11 *1292:110 *25380:A2 25.8951 -12 *1292:110 *1292:133 47.5632 -13 *1292:133 *25193:B1 19.9429 -14 *1292:133 *1292:153 23.5265 -15 *1292:153 *25053:B1 41.3439 -16 *1292:153 *27168:A 26.8323 -17 *1292:8 *1292:195 45.4196 -18 *1292:195 *1292:202 44.7411 -19 *1292:202 *1292:215 42.6696 -20 *1292:215 *1292:222 8.57427 -21 *1292:222 *1292:223 47.3304 -22 *1292:223 *1292:234 48.3571 -23 *1292:234 *25030:B1 9.3 -24 *1292:215 *24988:B1 13.8 -*END - -*D_NET *1293 0.129003 -*CONN -*I *25025:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *24986:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25248:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25048:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *26946:A I *D sky130_fd_sc_hd__and2_4 -*I *25201:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25094:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25382:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25331:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *24967:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25025:B1 0.000384271 -2 *24986:B1 0.000223885 -3 *25248:A2 2.99965e-06 -4 *25048:B1 0.000292658 -5 *26946:A 0.00123829 -6 *25201:B1 0.00057514 -7 *25094:B1 0.000264238 -8 *25382:A2 0.000357949 -9 *25331:A2 0.00120921 -10 *24967:Y 0 -11 *1293:223 0.00157889 -12 *1293:222 0.00173928 -13 *1293:220 0.00166879 -14 *1293:219 0.00166879 -15 *1293:217 0.00313019 -16 *1293:181 0.00257839 -17 *1293:173 0.00242174 -18 *1293:150 0.00201833 -19 *1293:149 0.00431078 -20 *1293:129 0.00599815 -21 *1293:91 0.00218282 -22 *1293:78 0.000567839 -23 *1293:75 0.00124436 -24 *1293:65 0.00197386 -25 *1293:42 0.00157379 -26 *1293:35 0.00245978 -27 *1293:21 0.00505803 -28 *1293:4 0.00147099 -29 *24986:B1 *24986:A1 0.000218679 -30 *25025:B1 *25025:B2 4.70467e-05 -31 *25025:B1 *2882:185 2.78763e-05 -32 *25025:B1 *3176:233 0 -33 *25025:B1 *3916:23 5.33005e-05 -34 *25025:B1 *3916:34 3.17148e-05 -35 *25025:B1 *5604:63 5.56205e-05 -36 *25025:B1 *5632:18 8.8225e-05 -37 *25048:B1 *25048:B2 0.00018077 -38 *25048:B1 *25053:C1 0 -39 *25048:B1 *27822:A1 4.88637e-05 -40 *25048:B1 *1389:10 6.35864e-05 -41 *25048:B1 *3206:293 0.00044401 -42 *25048:B1 *3796:163 0.000268396 -43 *25048:B1 *3837:27 6.53083e-05 -44 *25048:B1 *3967:18 1.00023e-05 -45 *25048:B1 *5638:195 0.000220452 -46 *25094:B1 *25094:A1 2.06342e-05 -47 *25094:B1 *27753:B2 1.74244e-05 -48 *25094:B1 *1415:18 0.000123791 -49 *25201:B1 *25201:A1 5.33005e-05 -50 *25201:B1 *25201:A2 0.0001756 -51 *25201:B1 *25201:B2 1.49129e-05 -52 *25201:B1 *25206:B 0.000175892 -53 *25201:B1 *2894:341 0.00096521 -54 *25201:B1 *3991:38 1.90936e-05 -55 *25201:B1 *5587:139 0.00127878 -56 *25201:B1 *5926:72 2.04825e-05 -57 *25248:A2 *25248:A1 1.55638e-05 -58 *25248:A2 *25251:B 6.59012e-07 -59 *25331:A2 *25334:C 0 -60 *25331:A2 *1645:16 6.93944e-05 -61 *25331:A2 *1651:15 0.00105108 -62 *25331:A2 *2856:176 5.79582e-06 -63 *25331:A2 *3875:73 0.0003619 -64 *25331:A2 *4132:65 0.00016507 -65 *25331:A2 *5623:137 8.6229e-06 -66 *25382:A2 *27754:A2 0.000157993 -67 *25382:A2 *27754:B2 1.28809e-05 -68 *25382:A2 *1698:8 0.000282126 -69 *25382:A2 *4128:52 0.000459211 -70 *26946:A *29054:A 2.89843e-05 -71 *26946:A *1826:238 6.81283e-05 -72 *26946:A *2759:31 0 -73 *26946:A *2766:14 0.000139485 -74 *26946:A *2792:62 0.000135028 -75 *26946:A *3206:293 0.000557275 -76 *26946:A *3607:76 0.000122665 -77 *26946:A *3607:87 0.000180169 -78 *26946:A *3607:98 0.000114613 -79 *26946:A *3939:102 6.48721e-05 -80 *26946:A *3939:104 0.00138481 -81 *26946:A *3967:18 3.6983e-05 -82 *26946:A *3991:71 2.04825e-05 -83 *26946:A *5680:77 0.0008308 -84 *26946:A *5682:84 5.17417e-06 -85 *26946:A *5695:99 4.29471e-05 -86 *26946:A *5695:110 6.45257e-06 -87 *26946:A *5800:19 0.000125685 -88 *26946:A *5911:28 5.52238e-05 -89 *26946:A *5911:43 0.000375796 -90 *1293:21 *1651:15 0.00034188 -91 *1293:35 *27292:B2 0.000500798 -92 *1293:35 *1449:62 0.000310117 -93 *1293:35 *4076:87 0.000131357 -94 *1293:35 *5641:70 1.70955e-05 -95 *1293:35 *5836:78 0.000170034 -96 *1293:35 *5892:122 0.00050322 -97 *1293:42 *25247:B2 7.83587e-05 -98 *1293:42 *25248:B2 0.00200091 -99 *1293:42 *1396:120 0.000139379 -100 *1293:42 *5601:162 0.000483111 -101 *1293:42 *5601:166 0.000117055 -102 *1293:42 *5634:150 0.000123295 -103 *1293:42 *5890:68 4.28365e-05 -104 *1293:65 *25248:A1 1.34631e-05 -105 *1293:65 *25248:B1 6.06291e-06 -106 *1293:65 *25248:B2 5.56469e-05 -107 *1293:65 *25248:C1 7.43578e-06 -108 *1293:65 *25251:B 1.32056e-05 -109 *1293:65 *1449:44 0.000272171 -110 *1293:65 *1484:13 0.000178425 -111 *1293:65 *1834:68 0.000795316 -112 *1293:65 *2874:278 5.59013e-05 -113 *1293:65 *3305:8 0.000563474 -114 *1293:65 *4063:43 5.66157e-05 -115 *1293:65 *4146:84 1.01403e-05 -116 *1293:65 *5587:44 0.000113994 -117 *1293:75 *25251:B 0.000390568 -118 *1293:75 *27757:C1 0.000260152 -119 *1293:75 *1834:64 0.00125074 -120 *1293:75 *1834:68 0.000405291 -121 *1293:75 *2873:17 0.000387162 -122 *1293:75 *2882:246 0.000111243 -123 *1293:75 *3305:8 0.00203185 -124 *1293:75 *5617:92 0.000135028 -125 *1293:78 *2844:304 9.91086e-05 -126 *1293:78 *3314:26 5.01117e-05 -127 *1293:91 *2844:304 0.000146312 -128 *1293:91 *2844:318 0.000142376 -129 *1293:91 *3314:26 5.94544e-05 -130 *1293:129 *27400:B2 2.35215e-05 -131 *1293:129 *27404:A2 0.000115163 -132 *1293:129 *27605:A2 0.000765446 -133 *1293:129 *1433:23 0.00018917 -134 *1293:129 *1469:21 0.000197501 -135 *1293:129 *2844:318 0.000595404 -136 *1293:129 *3552:22 8.87461e-06 -137 *1293:129 *3849:48 0.000463412 -138 *1293:129 *3901:79 0.00190331 -139 *1293:129 *3953:28 0.000616282 -140 *1293:129 *4025:75 0.000133629 -141 *1293:129 *5587:44 0.000330329 -142 *1293:129 *5660:217 1.6886e-05 -143 *1293:149 *27144:B 0.000669617 -144 *1293:149 *27697:A2 1.28958e-05 -145 *1293:149 *1393:11 0.000213819 -146 *1293:149 *2761:53 0.00126383 -147 *1293:149 *2933:12 9.21426e-05 -148 *1293:149 *2970:19 0.00102828 -149 *1293:149 *3678:47 9.02021e-05 -150 *1293:149 *3951:20 0.0001988 -151 *1293:149 *3963:60 1.54245e-05 -152 *1293:149 *4081:34 8.84802e-05 -153 *1293:149 *5582:95 0.000180606 -154 *1293:149 *5587:87 0.00387883 -155 *1293:149 *5587:130 0.000790724 -156 *1293:149 *5655:232 0.000259273 -157 *1293:149 *5663:155 5.10216e-05 -158 *1293:149 *5777:208 0.00103639 -159 *1293:150 *3991:38 0.000192508 -160 *1293:150 *5926:72 0.00018509 -161 *1293:173 *1506:17 0 -162 *1293:173 *2761:53 0 -163 *1293:173 *2891:311 0.000732575 -164 *1293:173 *2894:341 0.000801567 -165 *1293:173 *3215:305 0 -166 *1293:173 *3367:43 0.000604592 -167 *1293:173 *3565:24 1.90936e-05 -168 *1293:173 *3969:14 0.00139744 -169 *1293:173 *5587:139 0.000797714 -170 *1293:173 *5609:245 2.06112e-05 -171 *1293:173 *5644:94 1.5187e-05 -172 *1293:181 *25725:S 1.58922e-05 -173 *1293:181 *28599:D 0.000366698 -174 *1293:181 *1853:64 0.000112996 -175 *1293:181 *2767:142 6.54117e-05 -176 *1293:181 *2791:45 4.35173e-05 -177 *1293:181 *3607:13 4.36621e-05 -178 *1293:181 *3607:76 6.94742e-05 -179 *1293:181 *3967:18 0.00023132 -180 *1293:181 *3969:14 9.65268e-05 -181 *1293:181 *5582:183 0.000550518 -182 *1293:181 *5639:199 0.000115935 -183 *1293:181 *5774:37 0.00036974 -184 *1293:217 *28467:D 0.000333531 -185 *1293:217 *1651:15 0.00352845 -186 *1293:217 *2880:180 2.5868e-05 -187 *1293:217 *3794:86 0.000106571 -188 *1293:217 *5644:186 0.000673055 -189 *1293:217 *5707:91 0.000110948 -190 *1293:220 *29864:A 5.33005e-05 -191 *1293:220 *2860:184 0.00197137 -192 *1293:220 *2860:351 8.46325e-05 -193 *1293:220 *2866:59 0.000139018 -194 *1293:220 *2866:63 0.00147407 -195 *1293:220 *2866:70 0.000124785 -196 *1293:220 *3964:9 0.000216755 -197 *1293:220 *3964:11 0.000339346 -198 *1293:220 *3964:16 0.000505335 -199 *1293:220 *5602:80 0.00176707 -200 *1293:220 *5604:57 0.000345648 -201 *1293:220 *5604:63 0.000119055 -202 *1293:220 *5652:11 0.00179134 -203 *1293:220 *5679:8 7.19508e-05 -204 *1293:220 *5680:232 0.000135028 -205 *1293:220 *5814:21 0.000972562 -206 *1293:223 *24986:A2 0.000145618 -207 *1293:223 *27831:A1 0.00178422 -208 *1293:223 *3176:234 1.28478e-05 -209 *24944:A *1293:42 0.000577367 -210 *25146:A2 *1293:149 0.00101819 -211 *25340:B1 *1293:65 0 -212 *28842:A *1293:35 0.00175275 -213 *28842:A *1293:65 0.000109443 -214 *29077:A *1293:181 1.7678e-05 -215 *1185:72 *1293:223 0.00214109 -216 *1230:51 *1293:35 2.96543e-05 -217 *1246:91 *1293:223 0.00370112 -218 *1246:102 *1293:223 3.44114e-05 -219 *1246:104 *1293:223 3.34639e-05 -220 *1249:67 *1293:35 0.000170034 -221 *1249:67 *1293:42 5.26224e-05 -222 *1253:23 *1293:35 0.000379819 -223 *1261:177 *1293:149 0.00285973 -224 *1261:199 *1293:149 0.000725546 -225 *1261:227 *1293:149 0.000285091 -226 *1267:49 *25382:A2 0.000728449 -227 *1269:128 *1293:149 0.000642101 -228 *1274:14 *1293:35 0.000285778 -229 *1274:59 *1293:35 0.000477254 -230 *1274:221 *25094:B1 0.000150973 -231 *1275:101 *24986:B1 1.74352e-05 -232 *1292:24 *1293:65 0.000159439 -233 *1292:65 *1293:91 3.53367e-05 -234 *1292:65 *1293:129 1.01703e-05 -235 *1292:81 *1293:129 0.00133931 -236 *1292:153 *26946:A 2.04825e-05 -*RES -1 *24967:Y *1293:4 9.3 -2 *1293:4 *25331:A2 37.4161 -3 *1293:4 *1293:21 3.41071 -4 *1293:21 *1293:35 29.5263 -5 *1293:35 *1293:42 31.5446 -6 *1293:42 *1293:65 45.5943 -7 *1293:65 *1293:75 49.2679 -8 *1293:75 *1293:78 5.83929 -9 *1293:78 *25382:A2 24.5411 -10 *1293:78 *1293:91 3.76786 -11 *1293:91 *25094:B1 18.4964 -12 *1293:91 *1293:129 48.9996 -13 *1293:129 *1293:149 48.086 -14 *1293:149 *1293:150 2.55357 -15 *1293:150 *25201:B1 29.3982 -16 *1293:150 *1293:173 44.1801 -17 *1293:173 *1293:181 26.66 -18 *1293:181 *26946:A 42.9547 -19 *1293:181 *25048:B1 21.8795 -20 *1293:42 *25248:A2 9.44732 -21 *1293:21 *1293:217 49.6964 -22 *1293:217 *1293:219 4.5 -23 *1293:219 *1293:220 55.1607 -24 *1293:220 *1293:222 4.5 -25 *1293:222 *1293:223 51.7321 -26 *1293:223 *24986:B1 16.8179 -27 *1293:222 *25025:B1 23.6619 -*END - -*D_NET *1294 0.139386 -*CONN -*I *25046:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *25008:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *24990:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25274:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25607:A I *D sky130_fd_sc_hd__and2_2 -*I *25341:B1 I *D sky130_fd_sc_hd__a22o_4 -*I *25213:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25132:A2 I *D sky130_fd_sc_hd__a22o_2 -*I *25359:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *24968:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25046:B1 0.00150744 -2 *25008:B1 1.24882e-05 -3 *24990:B1 0.000675225 -4 *25274:B1 9.59376e-05 -5 *25607:A 0 -6 *25341:B1 0.000107776 -7 *25213:A2 7.36547e-05 -8 *25132:A2 8.89064e-05 -9 *25359:A2 0.00271401 -10 *24968:Y 1.40164e-05 -11 *1294:243 0.00305693 -12 *1294:193 0.00318988 -13 *1294:179 0.00455313 -14 *1294:175 0.00236595 -15 *1294:170 0.00272664 -16 *1294:163 0.00369375 -17 *1294:147 0.00304005 -18 *1294:128 0.00349987 -19 *1294:117 0.00381533 -20 *1294:95 0.00199753 -21 *1294:88 0.00307955 -22 *1294:81 0.00232627 -23 *1294:77 0.00180695 -24 *1294:64 0.00179383 -25 *1294:39 0.00457117 -26 *1294:30 0.0018321 -27 *1294:19 0.00215467 -28 *1294:6 0.00182783 -29 *24990:B1 *6476:DIODE 5.92757e-05 -30 *24990:B1 *24990:A1 2.20027e-05 -31 *24990:B1 *3995:44 0.001224 -32 *24990:B1 *5601:128 0.000121805 -33 *24990:B1 *5642:80 0.0011915 -34 *24990:B1 *5662:43 1.19011e-05 -35 *24990:B1 *5847:74 0.000111243 -36 *25008:B1 *3982:56 5.41797e-06 -37 *25046:B1 *25037:S 3.90198e-05 -38 *25046:B1 *25046:A1 2.89016e-05 -39 *25046:B1 *27273:B1 0.000142222 -40 *25046:B1 *29032:A 0.000705199 -41 *25046:B1 *2800:18 0.00128689 -42 *25046:B1 *5485:127 0.00272763 -43 *25046:B1 *5535:70 9.08195e-05 -44 *25046:B1 *5753:28 5.33005e-05 -45 *25046:B1 *6042:20 2.04825e-05 -46 *25132:A2 *25132:A1 5.58666e-05 -47 *25132:A2 *1453:17 2.47367e-05 -48 *25132:A2 *5501:23 0.000132807 -49 *25274:B1 *1323:5 0.000304394 -50 *25274:B1 *2860:31 5.33005e-05 -51 *25274:B1 *5608:19 5.36e-05 -52 *25341:B1 *25215:B2 0.000403183 -53 *25341:B1 *25341:A1 0 -54 *25341:B1 *25341:B2 0.000184522 -55 *25341:B1 *1659:10 0.000139208 -56 *25341:B1 *5485:104 4.78698e-05 -57 *25359:A2 *25299:A1 4.16148e-05 -58 *25359:A2 *26817:A 0.000115627 -59 *25359:A2 *28119:D 0.000204276 -60 *25359:A2 *29265:A 0 -61 *25359:A2 *29430:A 0.000315281 -62 *25359:A2 *29571:A 7.44256e-05 -63 *25359:A2 *30958:A 8.89061e-05 -64 *25359:A2 *1616:34 9.446e-05 -65 *25359:A2 *1671:37 0.000181906 -66 *25359:A2 *3145:32 0.000851426 -67 *25359:A2 *3412:62 0.000128673 -68 *25359:A2 *4381:19 0 -69 *25359:A2 *5485:32 8.50833e-05 -70 *25359:A2 *5491:18 0.000147918 -71 *25359:A2 *5518:62 0.000363552 -72 *25359:A2 *5524:40 0.00176024 -73 *25359:A2 *5698:156 0.00149026 -74 *25359:A2 *5698:165 7.35577e-05 -75 *1294:6 *26824:A 9.56112e-07 -76 *1294:6 *3568:110 3.22325e-05 -77 *1294:6 *5749:23 4.43299e-05 -78 *1294:19 *24947:B 1.5424e-05 -79 *1294:19 *26824:A 4.0715e-05 -80 *1294:19 *30067:A 0.000262948 -81 *1294:19 *1535:14 6.73217e-05 -82 *1294:19 *1824:8 4.58194e-05 -83 *1294:19 *3569:92 0.00132644 -84 *1294:19 *5508:44 3.84478e-05 -85 *1294:19 *5665:285 0.000178847 -86 *1294:19 *5749:23 8.76921e-05 -87 *1294:30 *24947:B 0.000257619 -88 *1294:30 *28392:CLK 9.95006e-05 -89 *1294:30 *28392:D 6.05161e-06 -90 *1294:30 *28392:SET_B 0.000128809 -91 *1294:30 *28808:RESET_B 0 -92 *1294:30 *3648:11 3.45322e-05 -93 *1294:30 *3986:5 6.06291e-06 -94 *1294:30 *3986:26 5.82527e-06 -95 *1294:30 *3996:8 0 -96 *1294:30 *5040:13 0.00034946 -97 *1294:39 *25215:B2 0.000396418 -98 *1294:39 *27593:A2 0.000117403 -99 *1294:39 *1659:10 0.000441432 -100 *1294:39 *3996:8 9.22222e-06 -101 *1294:39 *5010:18 0.000122724 -102 *1294:39 *5485:104 2.12087e-05 -103 *1294:39 *5506:24 0.000110987 -104 *1294:39 *5527:13 0.000253693 -105 *1294:39 *6346:15 0.00139781 -106 *1294:64 *27968:B1 0.000322731 -107 *1294:64 *29265:A 0 -108 *1294:64 *1327:116 4.70487e-05 -109 *1294:64 *1430:165 4.98422e-05 -110 *1294:64 *1453:17 2.12005e-05 -111 *1294:64 *4380:8 4.96152e-05 -112 *1294:64 *4381:19 0 -113 *1294:64 *5279:29 0.000309736 -114 *1294:64 *5500:41 0.000111375 -115 *1294:64 *5501:23 0.000216755 -116 *1294:64 *5685:122 3.30337e-05 -117 *1294:77 *25180:B2 7.79339e-05 -118 *1294:77 *25398:A2 0.000961342 -119 *1294:77 *1453:17 0.000128334 -120 *1294:77 *1471:37 4.48128e-05 -121 *1294:77 *3436:13 4.73178e-05 -122 *1294:77 *4263:9 9.39125e-05 -123 *1294:77 *4265:8 0.000132319 -124 *1294:77 *5500:55 0.000637631 -125 *1294:77 *5624:81 4.26468e-05 -126 *1294:81 *27867:A2 0.000131209 -127 *1294:81 *27892:A2 7.55759e-05 -128 *1294:81 *27894:B1 0.00104132 -129 *1294:81 *1395:53 6.79325e-05 -130 *1294:81 *1713:26 0.000113898 -131 *1294:81 *3205:114 1.90936e-05 -132 *1294:81 *3411:20 2.11419e-05 -133 *1294:81 *3436:13 5.04048e-05 -134 *1294:81 *3469:8 0.000541544 -135 *1294:81 *3884:112 6.81895e-05 -136 *1294:81 *5500:55 0.000130527 -137 *1294:81 *5500:57 0.0021981 -138 *1294:88 *25408:B2 0.000148215 -139 *1294:88 *27850:B1 0.000187659 -140 *1294:88 *3394:18 0.000706924 -141 *1294:88 *3469:8 0.0003727 -142 *1294:88 *3469:19 0.000333157 -143 *1294:88 *5500:57 2.35985e-05 -144 *1294:88 *5581:64 0.00192372 -145 *1294:95 *25302:B1 6.81425e-06 -146 *1294:95 *25303:B 0.000137393 -147 *1294:95 *27850:A1 5.49331e-05 -148 *1294:95 *1440:85 0.00010939 -149 *1294:95 *1448:160 0.000198802 -150 *1294:95 *1620:10 0.0019883 -151 *1294:95 *1644:36 4.38243e-05 -152 *1294:95 *3215:57 0 -153 *1294:95 *3457:28 0.000377401 -154 *1294:95 *5500:65 0.000105797 -155 *1294:95 *5581:64 3.9769e-05 -156 *1294:95 *5623:66 8.44015e-06 -157 *1294:95 *5687:58 0.000853585 -158 *1294:117 *27594:A1 0.000837129 -159 *1294:117 *4952:13 0.00160559 -160 *1294:128 *25402:A2 0.000167506 -161 *1294:128 *28393:RESET_B 2.06178e-05 -162 *1294:128 *28619:CLK 0.000198494 -163 *1294:128 *2778:55 0.000329548 -164 *1294:128 *2860:56 8.73679e-05 -165 *1294:128 *2860:150 3.17148e-05 -166 *1294:128 *3640:8 0.000743008 -167 *1294:128 *3972:24 0.00010932 -168 *1294:128 *5609:33 0.000359334 -169 *1294:128 *5636:10 0 -170 *1294:128 *5713:146 2.39824e-05 -171 *1294:128 *5745:71 0.000111869 -172 *1294:128 *5745:104 0.000255271 -173 *1294:128 *5745:112 0.000208325 -174 *1294:128 *5745:124 0.000549441 -175 *1294:147 *27322:A1 0.000627327 -176 *1294:147 *29436:A 0.000101257 -177 *1294:147 *1691:10 0.000817728 -178 *1294:147 *2834:31 0.000211331 -179 *1294:147 *2855:12 6.30931e-05 -180 *1294:147 *2860:150 0.000402596 -181 *1294:147 *3640:8 0.000218254 -182 *1294:147 *3640:28 0.000110543 -183 *1294:147 *3868:15 1.10632e-05 -184 *1294:147 *3989:40 0.000186187 -185 *1294:147 *3989:66 0.000144787 -186 *1294:147 *5504:66 0.00021836 -187 *1294:147 *5592:55 0.0003743 -188 *1294:147 *5750:32 0.000823721 -189 *1294:147 *5873:164 0.000289309 -190 *1294:163 *2848:33 0.00240043 -191 *1294:163 *2888:22 0.000229492 -192 *1294:163 *2889:211 7.30777e-05 -193 *1294:163 *3182:16 3.52899e-05 -194 *1294:163 *3197:31 0.000150398 -195 *1294:163 *3206:84 3.14612e-05 -196 *1294:163 *6225:114 7.71617e-05 -197 *1294:170 *1490:61 0.000933192 -198 *1294:170 *2861:10 5.33005e-05 -199 *1294:170 *3204:18 7.80122e-05 -200 *1294:170 *5485:133 7.97198e-05 -201 *1294:170 *5632:5 0.000135524 -202 *1294:170 *5700:250 0.00106468 -203 *1294:175 *3176:8 0.000981366 -204 *1294:175 *3215:13 0.000983088 -205 *1294:179 *27444:A1 0.000197191 -206 *1294:179 *27449:B 0.000963092 -207 *1294:179 *1317:30 0 -208 *1294:179 *2877:359 0.00157187 -209 *1294:179 *3982:56 9.55514e-05 -210 *1294:193 *1354:16 0.000689407 -211 *1294:193 *1367:22 0.000442023 -212 *1294:193 *3890:24 5.14757e-05 -213 *1294:193 *3890:47 0.000268799 -214 *1294:193 *3995:44 0.000205332 -215 *1294:193 *5386:11 4.45507e-05 -216 *1294:193 *5386:12 0 -217 *1294:193 *5467:18 0.000182405 -218 *1294:193 *5602:53 0 -219 *1294:193 *5628:66 0.0018114 -220 *1294:193 *5662:36 0.00108507 -221 *1294:193 *5662:43 3.27359e-05 -222 *1294:193 *5873:76 0.000531544 -223 *1294:243 *25037:A1 0.000105469 -224 *1294:243 *27594:A0 0.000308128 -225 *1294:243 *27594:A1 0.000586255 -226 *1294:243 *27594:S 0.000815305 -227 *1294:243 *28438:D 2.89016e-05 -228 *1294:243 *29879:A 0.000200147 -229 *1294:243 *3569:78 0.000226351 -230 *1294:243 *3573:80 6.99453e-05 -231 *1294:243 *4952:13 0.00114446 -232 *1294:243 *5448:16 6.80058e-05 -233 *1294:243 *5485:127 7.46146e-05 -234 *1294:243 *5535:14 0.000224583 -235 *1294:243 *5535:70 0.000514199 -236 *1294:243 *5746:132 0.000107313 -237 *1294:243 *6042:20 1.90936e-05 -238 *1294:243 *6183:14 2.88018e-06 -239 *1294:243 *6325:12 9.59532e-06 -240 *1294:243 *6332:12 0.000228558 -241 *24990:A2 *24990:B1 1.84293e-05 -242 *25260:A2 *1294:243 0.000230475 -243 *25302:A2 *1294:95 4.43126e-05 -244 *25406:A2 *1294:39 0.000563243 -245 *28799:D *1294:243 0.0001399 -246 *29821:A *1294:163 2.43731e-05 -247 *459:10 *1294:77 2.02841e-05 -248 *461:19 *1294:64 5.21882e-05 -249 *462:17 *1294:64 0.000147652 -250 *1177:14 *25046:B1 6.72021e-05 -251 *1237:27 *1294:163 5.33005e-05 -252 *1237:39 *1294:163 5.36e-05 -253 *1237:39 *1294:170 0.00237734 -254 *1244:128 *1294:147 0.00166726 -255 *1245:116 *25046:B1 0.00252887 -256 *1250:74 *1294:147 2.69945e-05 -257 *1250:79 *1294:128 6.59565e-05 -258 *1252:26 *1294:95 4.38243e-05 -259 *1252:52 *1294:147 0.000445276 -260 *1253:112 *1294:19 9.25014e-06 -261 *1256:40 *1294:163 0.00145363 -262 *1271:70 *25274:B1 0.000132807 -263 *1271:70 *1294:128 0.000563005 -264 *1271:88 *25274:B1 1.46576e-05 -265 *1277:16 *1294:95 0.00208085 -266 *1277:33 *1294:95 0.000304644 -267 *1290:48 *1294:243 0 -268 *1290:201 *1294:193 0.00262002 -*RES -1 *24968:Y *1294:6 14.3804 -2 *1294:6 *1294:19 33.6161 -3 *1294:19 *1294:30 23.2857 -4 *1294:30 *1294:39 19.3099 -5 *1294:39 *25359:A2 30.9034 -6 *1294:39 *1294:64 17.3569 -7 *1294:64 *25132:A2 11.367 -8 *1294:64 *1294:77 22.6161 -9 *1294:77 *1294:81 34.6607 -10 *1294:81 *1294:88 38.6875 -11 *1294:88 *1294:95 49.2369 -12 *1294:95 *25213:A2 14.7241 -13 *1294:30 *25341:B1 13.7554 -14 *1294:19 *25607:A 9.3 -15 *1294:6 *1294:117 5.97933 -16 *1294:117 *1294:128 45.0975 -17 *1294:128 *25274:B1 16.8 -18 *1294:128 *1294:147 49.6017 -19 *1294:147 *1294:163 39.6175 -20 *1294:163 *1294:170 47.25 -21 *1294:170 *1294:175 21.875 -22 *1294:175 *1294:179 39.4732 -23 *1294:179 *1294:193 35.5671 -24 *1294:193 *24990:B1 33.1393 -25 *1294:179 *25008:B1 14.0768 -26 *1294:117 *1294:243 49.7296 -27 *1294:243 *25046:B1 48.1874 -*END - -*D_NET *1295 0.00157691 -*CONN -*I *24991:C1 I *D sky130_fd_sc_hd__a221o_2 -*I *24969:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *24991:C1 0.000403551 -2 *24969:X 0.000403551 -3 *24991:C1 *1300:8 0.000148027 -4 *24991:C1 *1385:16 0.000148027 -5 *24991:C1 *3826:26 0.000473753 -*RES -1 *24969:X *24991:C1 34.1893 -*END - -*D_NET *1296 0.00177458 -*CONN -*I *24992:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *24970:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *24992:C1 0.000353648 -2 *24970:X 0.000353648 -3 *24992:C1 *1318:14 0.000263688 -4 *24992:C1 *3995:44 0.000263688 -5 *24992:C1 *5604:91 0.00026642 -6 *24992:C1 *5642:82 0.00026642 -7 *24992:C1 *5662:48 7.07155e-06 -*RES -1 *24970:X *24992:C1 45.2964 -*END - -*D_NET *1297 0.000762248 -*CONN -*I *24972:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *24971:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *24972:C1 0.000190005 -2 *24971:X 0.000190005 -3 *24972:C1 *24971:A1 7.32272e-05 -4 *24972:C1 *3904:25 1.02504e-05 -5 *24972:C1 *3904:36 0.000140368 -6 *24972:C1 *5649:8 0.00015234 -7 *24971:B1 *24972:C1 6.05161e-06 -*RES -1 *24971:X *24972:C1 31.3143 -*END - -*D_NET *1298 0.00104442 -*CONN -*I *24979:A I *D sky130_fd_sc_hd__or4_1 -*I *24972:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *24979:A 0.000203065 -2 *24972:X 0.000203065 -3 *24979:A *24979:B 0.000227532 -4 *24979:A *24979:C 0.000228558 -5 *24979:A *24979:D 4.71767e-05 -6 *24979:A *1302:29 0.000135028 -*RES -1 *24972:X *24979:A 23.9705 -*END - -*D_NET *1299 0.00158543 -*CONN -*I *24974:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *24973:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *24974:C1 0.000315495 -2 *24973:X 0.000315495 -3 *24974:C1 *24973:A1 5.33005e-05 -4 *24974:C1 *24974:B2 0.000148824 -5 *24974:C1 *27232:A1 1.38323e-05 -6 *24974:C1 *1624:16 0.000247481 -7 *24974:C1 *2805:40 9.60875e-05 -8 *24974:C1 *5129:18 0.000236436 -9 *24974:C1 *5539:33 3.79557e-05 -10 *28771:D *24974:C1 9.60939e-05 -11 *39:39 *24974:C1 2.44318e-05 -*RES -1 *24973:X *24974:C1 35.1357 -*END - -*D_NET *1300 0.0108148 -*CONN -*I *24979:B I *D sky130_fd_sc_hd__or4_1 -*I *24974:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *24979:B 0.000152848 -2 *24974:X 7.43591e-05 -3 *1300:8 0.0016817 -4 *1300:7 0.00160321 -5 *1300:7 *5585:115 9.60939e-05 -6 *1300:8 *27115:A0 2.60158e-05 -7 *1300:8 *27219:A 0.000321683 -8 *1300:8 *28624:CLK 3.34639e-05 -9 *1300:8 *28705:D 0.000381361 -10 *1300:8 *1385:15 0.000142021 -11 *1300:8 *1385:16 0.000986679 -12 *1300:8 *2782:183 0.000118545 -13 *1300:8 *3878:43 0.00154499 -14 *1300:8 *3942:31 0.000807428 -15 *1300:8 *5585:115 0.00217001 -16 *24979:A *24979:B 0.000227532 -17 *24991:C1 *1300:8 0.000148027 -18 *15:18 *1300:8 0.000298849 -*RES -1 *24974:X *1300:7 14.7464 -2 *1300:7 *1300:8 53.5536 -3 *1300:8 *24979:B 15.9786 -*END - -*D_NET *1301 0.00123215 -*CONN -*I *24976:C1 I *D sky130_fd_sc_hd__a221o_4 -*I *24975:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *24976:C1 0.000356877 -2 *24975:X 0.000356877 -3 *24976:C1 *24975:A1 6.20685e-05 -4 *24976:C1 *24976:A1 7.10034e-05 -5 *24976:C1 *24976:B1 0.000129656 -6 *24976:C1 *27195:A 1.04707e-05 -7 *24976:C1 *2764:21 7.25033e-05 -8 *24976:C1 *3930:32 1.18064e-05 -9 *24976:C1 *4085:16 0.000160883 -*RES -1 *24975:X *24976:C1 33.8455 -*END - -*D_NET *1302 0.0725843 -*CONN -*I *24979:C I *D sky130_fd_sc_hd__or4_1 -*I *6533:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *24976:X O *D sky130_fd_sc_hd__a221o_4 -*CAP -1 *24979:C 4.42441e-05 -2 *6533:DIODE 0 -3 *24976:X 0 -4 *1302:29 0.000352455 -5 *1302:26 0.00238858 -6 *1302:25 0.00235562 -7 *1302:16 0.00332242 -8 *1302:15 0.00325672 -9 *1302:6 0.00470801 -10 *1302:5 0.00449847 -11 *24979:C *24979:D 2.89016e-05 -12 *24979:C *3787:28 6.25993e-05 -13 *1302:6 *25010:C1 0.000156992 -14 *1302:6 *25053:A2 0.000793149 -15 *1302:6 *25054:C1 1.17921e-05 -16 *1302:6 *25055:B 0.00108799 -17 *1302:6 *27431:A2 1.94879e-05 -18 *1302:6 *27822:A1 9.8958e-05 -19 *1302:6 *27822:A2 2.06112e-05 -20 *1302:6 *1374:6 0.00246504 -21 *1302:6 *2773:68 1.90936e-05 -22 *1302:6 *2856:316 0.00663153 -23 *1302:6 *3339:22 0.000210498 -24 *1302:6 *3718:47 6.25005e-05 -25 *1302:6 *3772:14 1.21637e-05 -26 *1302:6 *3839:24 0.00328171 -27 *1302:6 *3839:30 0.00050762 -28 *1302:6 *3930:70 0.000646263 -29 *1302:6 *4006:51 0.000535401 -30 *1302:6 *4033:8 0 -31 *1302:6 *4033:16 0.000138022 -32 *1302:6 *4085:34 0.00115381 -33 *1302:6 *4149:72 0.00182744 -34 *1302:6 *5630:182 1.94879e-05 -35 *1302:15 *3205:270 6.09688e-05 -36 *1302:15 *4134:96 7.23484e-05 -37 *1302:16 *25010:C1 1.90936e-05 -38 *1302:16 *1322:10 0.00103766 -39 *1302:16 *1322:12 0.000330653 -40 *1302:16 *2791:139 1.94945e-05 -41 *1302:16 *2856:294 8.24457e-05 -42 *1302:16 *2856:302 0.00179506 -43 *1302:16 *2889:162 0.000419319 -44 *1302:16 *3170:191 0.00238009 -45 *1302:16 *3170:201 1.25559e-05 -46 *1302:16 *3370:18 0.00321651 -47 *1302:16 *3721:37 0.000150788 -48 *1302:16 *4085:71 4.04287e-05 -49 *1302:16 *4086:64 0.00120457 -50 *1302:16 *4086:68 0.00458928 -51 *1302:16 *4134:107 0.000137113 -52 *1302:16 *5650:198 0.000469732 -53 *1302:26 *1322:12 0.000746027 -54 *1302:26 *3167:39 0.000452961 -55 *1302:26 *3195:78 0.00403555 -56 *1302:26 *4086:68 0.00595336 -57 *1302:29 *24972:A2 1.46576e-05 -58 *1302:29 *24972:B2 1.28809e-05 -59 *1302:29 *3787:18 1.74352e-05 -60 *1302:29 *3787:28 7.55586e-05 -61 *24972:B1 *1302:29 0.000151063 -62 *24979:A *24979:C 0.000228558 -63 *24979:A *1302:29 0.000135028 -64 *25194:A2 *1302:6 0.000135172 -65 *1252:178 *1302:16 0.000996339 -66 *1252:184 *1302:16 0.000271964 -67 *1252:195 *1302:6 0.000197779 -68 *1266:148 *1302:16 0.000522291 -69 *1266:160 *1302:16 0.000204218 -70 *1266:182 *1302:15 6.96033e-05 -71 *1266:182 *1302:16 4.38265e-05 -72 *1266:186 *1302:6 0.000766368 -73 *1266:194 *1302:6 3.32442e-05 -74 *1266:205 *1302:6 0.000564964 -75 *1266:222 *1302:6 0.000199711 -*RES -1 *24976:X *1302:5 13.8 -2 *1302:5 *1302:6 152.062 -3 *1302:6 *1302:15 7.35267 -4 *1302:15 *1302:16 116.696 -5 *1302:16 *1302:25 7.24613 -6 *1302:25 *1302:26 77.3839 -7 *1302:26 *1302:29 10.375 -8 *1302:29 *6533:DIODE 9.3 -9 *1302:29 *24979:C 11.4786 -*END - -*D_NET *1303 0.0058315 -*CONN -*I *24978:C1 I *D sky130_fd_sc_hd__a221o_4 -*I *24977:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *24978:C1 0 -2 *24977:X 0.00171867 -3 *1303:10 0.00171867 -4 *1303:10 *2791:13 0.00216982 -5 *1303:10 *5602:100 3.54569e-05 -6 *1303:10 *5911:53 6.8377e-06 -7 *1303:10 *5925:26 0.000150625 -8 *27168:A *1303:10 3.14163e-05 -*RES -1 *24977:X *1303:10 42.55 -2 *1303:10 *24978:C1 9.3 -*END - -*D_NET *1304 0.0758367 -*CONN -*I *6544:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *24979:D I *D sky130_fd_sc_hd__or4_1 -*I *24978:X O *D sky130_fd_sc_hd__a221o_4 -*CAP -1 *6544:DIODE 0 -2 *24979:D 0.000291009 -3 *24978:X 0 -4 *1304:43 0.000690345 -5 *1304:40 0.00195148 -6 *1304:39 0.00344024 -7 *1304:28 0.0046499 -8 *1304:27 0.00307195 -9 *1304:16 0.0022192 -10 *1304:15 0.00218907 -11 *1304:6 0.00289829 -12 *1304:5 0.00261828 -13 *24979:D *24983:C1 5.32165e-05 -14 *24979:D *2790:8 4.47395e-05 -15 *24979:D *3787:28 5.52238e-05 -16 *24979:D *5608:62 1.94945e-05 -17 *1304:6 *25042:B2 0.000248606 -18 *1304:6 *27832:B2 2.35185e-05 -19 *1304:6 *27832:C1 0 -20 *1304:6 *28528:D 8.00806e-05 -21 *1304:6 *29617:A 0.000140383 -22 *1304:6 *30076:A 6.87776e-05 -23 *1304:6 *3333:17 0.000279062 -24 *1304:6 *3378:8 0.00437004 -25 *1304:6 *3378:24 0.000193499 -26 *1304:6 *3991:72 0.000391035 -27 *1304:6 *3995:58 0.000641582 -28 *1304:6 *3995:62 0.000686327 -29 *1304:6 *4017:20 0.00058288 -30 *1304:6 *4058:23 9.97026e-05 -31 *1304:6 *4218:18 9.87983e-06 -32 *1304:6 *5863:117 0.000739735 -33 *1304:6 *5863:132 0.00159248 -34 *1304:6 *5863:143 0 -35 *1304:6 *5925:26 0 -36 *1304:15 *27433:A1 3.09417e-05 -37 *1304:15 *27433:A2 9.41642e-05 -38 *1304:15 *2993:27 0.00016164 -39 *1304:15 *3333:17 0.000150692 -40 *1304:15 *3378:24 1.9021e-05 -41 *1304:15 *5628:121 4.22135e-06 -42 *1304:16 *27841:C 0.000128154 -43 *1304:16 *28521:RESET_B 0.000122934 -44 *1304:16 *2993:27 0.000148903 -45 *1304:16 *3378:26 0.00382616 -46 *1304:16 *3681:17 0 -47 *1304:16 *3889:31 3.15517e-05 -48 *1304:16 *4073:22 0.000288311 -49 *1304:16 *4125:24 0.00417079 -50 *1304:16 *5652:143 0.000510823 -51 *1304:16 *5767:197 0.000154703 -52 *1304:16 *5863:161 0.000778885 -53 *1304:27 *1334:11 0.000303044 -54 *1304:27 *3179:82 0.000136682 -55 *1304:27 *3386:10 4.96583e-06 -56 *1304:27 *4125:24 1.38032e-05 -57 *1304:27 *5662:76 0.000151255 -58 *1304:28 *27202:S 0.00156188 -59 *1304:28 *27824:B2 0.00043298 -60 *1304:28 *3179:67 0.00653027 -61 *1304:28 *3179:82 0.00166734 -62 *1304:28 *3386:10 0 -63 *1304:28 *3386:22 0.00143103 -64 *1304:28 *3696:12 0.000125241 -65 *1304:28 *3748:60 0.00112749 -66 *1304:28 *4073:22 0.000184852 -67 *1304:28 *5643:316 0.00109046 -68 *1304:28 *5645:72 9.62782e-05 -69 *1304:28 *5652:143 1.11775e-05 -70 *1304:28 *5662:52 0 -71 *1304:28 *5663:27 0.00158347 -72 *1304:28 *5675:34 0.000110498 -73 *1304:28 *5679:40 0.000243098 -74 *1304:39 *28741:CLK 0.000129259 -75 *1304:39 *2855:302 0.000202142 -76 *1304:39 *3164:249 0.000631891 -77 *1304:39 *3179:66 5.69098e-05 -78 *1304:39 *3682:50 0.000310089 -79 *1304:39 *3708:68 0.000362741 -80 *1304:39 *3826:57 0.000126716 -81 *1304:39 *3993:14 0.000198363 -82 *1304:39 *5467:18 0.000211274 -83 *1304:39 *5645:71 0.000307797 -84 *1304:39 *5660:35 0.000173803 -85 *1304:39 *5663:27 0.000200642 -86 *1304:39 *5679:40 2.88665e-05 -87 *1304:39 *5679:46 0.000186856 -88 *1304:39 *5755:192 0.000102543 -89 *1304:40 *27797:C1 1.90936e-05 -90 *1304:40 *3179:66 0.000154703 -91 *1304:40 *3877:26 5.16376e-05 -92 *1304:40 *3994:27 0.000400304 -93 *1304:40 *5642:27 0.000503492 -94 *1304:40 *5642:34 0.00321122 -95 *1304:40 *5660:23 0.000276268 -96 *1304:40 *5663:22 0.00297006 -97 *1304:40 *5753:32 0.00111449 -98 *1304:43 *5608:62 0.00010339 -99 *24979:A *24979:D 4.71767e-05 -100 *24979:C *24979:D 2.89016e-05 -101 *25023:A2 *1304:40 1.30241e-05 -102 *74:11 *1304:39 2.50675e-05 -103 *1244:71 *1304:40 0.00170983 -104 *1290:186 *1304:39 0.000210437 -*RES -1 *24978:X *1304:5 13.8 -2 *1304:5 *1304:6 79.0536 -3 *1304:6 *1304:15 14.0536 -4 *1304:15 *1304:16 69.6429 -5 *1304:16 *1304:27 23.2679 -6 *1304:27 *1304:28 106.83 -7 *1304:28 *1304:39 18.7254 -8 *1304:39 *1304:40 65.2411 -9 *1304:40 *1304:43 9.14286 -10 *1304:43 *24979:D 23.4607 -11 *1304:43 *6544:DIODE 9.3 -*END - -*D_NET *1305 0.00209439 -*CONN -*I *24997:A I *D sky130_fd_sc_hd__or3_4 -*I *24979:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *24997:A 0.000451948 -2 *24979:X 0.000451948 -3 *24997:A *3799:25 0.000123295 -4 *24997:A *5642:13 0.000943904 -5 *24997:A *5714:13 0.000123295 -*RES -1 *24979:X *24997:A 38.4036 -*END - -*D_NET *1306 0.00288857 -*CONN -*I *24981:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *24980:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *24981:C1 0.000477366 -2 *24980:X 0.000477366 -3 *24981:C1 *3633:27 0.000138881 -4 *24981:C1 *4098:42 0.00106225 -5 *24981:C1 *5628:45 0.000604551 -6 *30482:A *24981:C1 0.000128154 -*RES -1 *24980:X *24981:C1 42.7429 -*END - -*D_NET *1307 0.0128705 -*CONN -*I *24984:B1 I *D sky130_fd_sc_hd__a211o_1 -*I *24981:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *24984:B1 0 -2 *24981:X 0.0010196 -3 *1307:23 0.00136719 -4 *1307:11 0.00238678 -5 *1307:11 *24981:A1 1.98839e-05 -6 *1307:11 *29713:A 5.7511e-06 -7 *1307:11 *1342:26 0.00161931 -8 *1307:11 *3734:23 9.60939e-05 -9 *1307:11 *3890:24 0.000135028 -10 *1307:11 *5536:31 0.00161614 -11 *1307:11 *5662:33 0.000241348 -12 *1307:23 *24980:B2 0.000838012 -13 *1307:23 *27806:A2 2.47186e-05 -14 *1307:23 *27806:C1 0.000297049 -15 *1307:23 *28128:D 5.74562e-05 -16 *1307:23 *30503:A 0.00026353 -17 *1307:23 *1826:93 0.000167871 -18 *1307:23 *3634:25 0.000542371 -19 *1307:23 *3995:26 1.97695e-05 -20 *1307:23 *4098:42 0.000976943 -21 *1307:23 *5240:8 0.000159436 -22 *1307:23 *5536:29 0 -23 *1307:23 *5536:31 7.48564e-05 -24 *1307:23 *5625:15 0.000467849 -25 *1307:23 *5667:271 0.000108545 -26 *24981:A2 *1307:11 9.41642e-05 -27 *24981:B1 *1307:11 0.000221634 -28 *29809:A *1307:23 4.91352e-05 -29 *244:65 *1307:23 0 -*RES -1 *24981:X *1307:11 45.7821 -2 *1307:11 *1307:23 48.5536 -3 *1307:23 *24984:B1 9.3 -*END - -*D_NET *1308 0.0542389 -*CONN -*I *24983:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *24982:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *24983:C1 0.000419416 -2 *24982:X 0.000432404 -3 *1308:19 0.0098883 -4 *1308:13 0.00990128 -5 *24983:C1 *2790:8 0 -6 *24983:C1 *3826:26 1.19416e-05 -7 *24983:C1 *3993:14 0.000173055 -8 *24983:C1 *5714:13 0.000852815 -9 *1308:13 *2877:253 0.000862669 -10 *1308:19 *27451:B2 0.000278296 -11 *1308:19 *27459:A 0.000124653 -12 *1308:19 *27481:C 0.000106864 -13 *1308:19 *27816:A 0.000125685 -14 *1308:19 *27817:B 0.000165869 -15 *1308:19 *1368:59 0.000113219 -16 *1308:19 *2764:209 0 -17 *1308:19 *2794:183 0.000262136 -18 *1308:19 *2864:125 0.000477186 -19 *1308:19 *2864:132 0.000238296 -20 *1308:19 *2872:186 0.000112577 -21 *1308:19 *2872:224 7.87981e-05 -22 *1308:19 *2877:253 0.000104534 -23 *1308:19 *2885:82 0.00119271 -24 *1308:19 *2885:97 0.000173055 -25 *1308:19 *3017:10 0.000177983 -26 *1308:19 *3031:10 0.000108872 -27 *1308:19 *3165:33 0.00166644 -28 *1308:19 *3165:55 6.14028e-05 -29 *1308:19 *3193:59 0 -30 *1308:19 *3206:207 0.000284316 -31 *1308:19 *3386:22 0.000154703 -32 *1308:19 *3773:24 0.000579939 -33 *1308:19 *3787:29 0.000751769 -34 *1308:19 *3799:25 0.00061789 -35 *1308:19 *3799:37 0.00010115 -36 *1308:19 *3800:27 0.000282163 -37 *1308:19 *3800:29 0.000581363 -38 *1308:19 *3826:60 0.000103244 -39 *1308:19 *3864:63 0 -40 *1308:19 *3865:38 0.00101344 -41 *1308:19 *3865:44 0.000108872 -42 *1308:19 *3942:60 0 -43 *1308:19 *3955:16 0.000385745 -44 *1308:19 *3956:17 0.00021937 -45 *1308:19 *3956:25 0.000115606 -46 *1308:19 *3993:14 0.0169591 -47 *1308:19 *4086:64 0 -48 *1308:19 *5608:62 2.59024e-05 -49 *1308:19 *5639:28 0.000550927 -50 *1308:19 *5639:45 0.000109425 -51 *1308:19 *5647:49 0.000150819 -52 *1308:19 *5654:52 0.000348731 -53 *1308:19 *5714:13 0.000114886 -54 *24979:D *24983:C1 5.32165e-05 -55 *24982:A2 *1308:13 0.000232235 -56 *1250:243 *1308:19 0.000220195 -57 *1258:90 *1308:13 0.000671389 -58 *1267:202 *1308:13 7.15003e-05 -59 *1267:221 *1308:19 0.00132057 -*RES -1 *24982:X *1308:13 33.6762 -2 *1308:13 *1308:19 42.4256 -3 *1308:19 *24983:C1 24.7018 -*END - -*D_NET *1309 0.00288374 -*CONN -*I *24984:C1 I *D sky130_fd_sc_hd__a211o_1 -*I *24983:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *24984:C1 0.000966975 -2 *24983:X 0.000966975 -3 *24984:C1 *25632:A0 0.000177815 -4 *24984:C1 *1367:16 0.000123288 -5 *24984:C1 *5492:12 0.000123288 -6 *24984:C1 *5970:18 0.000525401 -*RES -1 *24983:X *24984:C1 40.8679 -*END - -*D_NET *1310 0.00444685 -*CONN -*I *24985:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *24984:X O *D sky130_fd_sc_hd__a211o_1 -*CAP -1 *24985:C1 0 -2 *24984:X 0.00171161 -3 *1310:11 0.00171161 -4 *1310:11 *24985:B2 2.84269e-05 -5 *1310:11 *28624:D 0.000108504 -6 *1310:11 *28726:D 1.80632e-05 -7 *1310:11 *2790:136 6.02811e-05 -8 *1310:11 *5602:41 0.000128161 -9 *1310:11 *6191:17 0.000517566 -10 *6522:DIODE *1310:11 9.30169e-05 -11 *24985:A2 *1310:11 1.46576e-05 -12 *30292:A *1310:11 5.49544e-05 -*RES -1 *24984:X *1310:11 47.1929 -2 *1310:11 *24985:C1 9.3 -*END - -*D_NET *1311 0.00235809 -*CONN -*I *24997:B I *D sky130_fd_sc_hd__or3_4 -*I *24985:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *24997:B 0.000434813 -2 *24985:X 0.000434813 -3 *24997:B *5129:32 0.000346371 -4 *24997:B *5667:244 0.000158586 -5 *24997:B *6191:17 0.000138881 -6 *24972:B1 *24997:B 0.000844628 -*RES -1 *24985:X *24997:B 40.0107 -*END - -*D_NET *1312 0.000726952 -*CONN -*I *24987:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *24986:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *24987:C1 0.000163585 -2 *24986:X 0.000163585 -3 *24987:C1 *24986:A2 8.07879e-05 -4 *24987:C1 *3215:196 0.000148182 -5 *24987:C1 *5680:215 3.57844e-05 -6 *1275:105 *24987:C1 0.000135028 -*RES -1 *24986:X *24987:C1 30.9036 -*END - -*D_NET *1313 0.00669577 -*CONN -*I *24988:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *24987:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *24988:C1 0 -2 *24987:X 0.000949832 -3 *1313:8 0.000949832 -4 *1313:8 *24987:A1 5.33005e-05 -5 *1313:8 *24988:B2 0.00033763 -6 *1313:8 *27476:B2 0.000457262 -7 *1313:8 *27831:C1 0.000678016 -8 *1313:8 *3760:12 0.00257638 -9 *1313:8 *5631:36 0.000414083 -10 *1268:74 *1313:8 0.000275402 -11 *1292:215 *1313:8 4.038e-06 -*RES -1 *24987:X *1313:8 47.8536 -2 *1313:8 *24988:C1 13.8 -*END - -*D_NET *1314 0.00211115 -*CONN -*I *24996:A I *D sky130_fd_sc_hd__or2_1 -*I *24988:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *24996:A 0.000618823 -2 *24988:X 0.000618823 -3 *24996:A *2886:191 3.06878e-06 -4 *24996:A *3340:19 0.000100823 -5 *24996:A *3943:30 0.000100823 -6 *24996:A *5872:19 0.000668789 -*RES -1 *24988:X *24996:A 36.0464 -*END - -*D_NET *1315 0.022609 -*CONN -*I *24990:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *24989:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *24990:C1 0.000728054 -2 *24989:X 0.000392912 -3 *1315:12 0.00187626 -4 *1315:10 0.00224016 -5 *1315:7 0.00148487 -6 *24990:C1 *6476:DIODE 0.000179194 -7 *24990:C1 *24990:A1 5.7903e-06 -8 *24990:C1 *24995:A 0.000136682 -9 *24990:C1 *1317:30 1.90936e-05 -10 *24990:C1 *3179:67 0 -11 *24990:C1 *3982:71 8.76591e-06 -12 *24990:C1 *5505:69 4.58194e-05 -13 *24990:C1 *5660:102 4.21517e-05 -14 *24990:C1 *5675:58 8.92267e-05 -15 *24990:C1 *5756:86 2.51343e-06 -16 *1315:7 *24989:B2 2.59355e-05 -17 *1315:10 *27465:B2 0.000574731 -18 *1315:10 *28497:D 7.83659e-05 -19 *1315:10 *1334:19 1.65337e-05 -20 *1315:10 *2773:8 0.000515373 -21 *1315:10 *2776:177 0.000290279 -22 *1315:10 *3709:44 0.0009985 -23 *1315:10 *3722:23 2.87473e-05 -24 *1315:10 *5639:147 0.000171635 -25 *1315:10 *5656:275 0.000167626 -26 *1315:10 *5676:154 0.000171375 -27 *1315:12 *2773:8 0.00417996 -28 *1315:12 *5639:104 0.00012396 -29 *1315:12 *5872:25 0.000106316 -30 *24989:A2 *1315:7 8.35041e-05 -31 *24989:B1 *1315:7 5.49489e-05 -32 *24990:A2 *24990:C1 0.000364705 -33 *27009:A *1315:10 0.000226021 -34 *1260:202 *1315:10 0.00132566 -35 *1269:264 *1315:12 0.000687702 -36 *1272:158 *1315:10 0.00171489 -37 *1272:158 *1315:12 0.000134926 -38 *1272:160 *1315:12 0.00322786 -39 *1275:142 *1315:10 8.79584e-05 -*RES -1 *24989:X *1315:7 18.0321 -2 *1315:7 *1315:10 43.3125 -3 *1315:10 *1315:12 53.4018 -4 *1315:12 *24990:C1 37.5114 -*END - -*D_NET *1316 0.00329146 -*CONN -*I *24995:A I *D sky130_fd_sc_hd__or4_1 -*I *24990:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *24995:A 0.000426136 -2 *24990:X 0.000426136 -3 *24995:A *3995:44 0.001072 -4 *24995:A *5601:128 0.000183599 -5 *24995:A *5642:80 0.00102291 -6 *24995:A *5662:43 2.39876e-05 -7 *24990:C1 *24995:A 0.000136682 -*RES -1 *24990:X *24995:A 42.7429 -*END - -*D_NET *1317 0.0312744 -*CONN -*I *24995:B I *D sky130_fd_sc_hd__or4_1 -*I *24991:X O *D sky130_fd_sc_hd__a221o_2 -*CAP -1 *24995:B 0 -2 *24991:X 0.000816486 -3 *1317:30 0.00474247 -4 *1317:29 0.00716531 -5 *1317:13 0.00323933 -6 *1317:13 *24991:A1 0.000122446 -7 *1317:13 *1826:85 0.000940912 -8 *1317:13 *2790:8 0.000735547 -9 *1317:13 *2790:136 0.000267731 -10 *1317:13 *3635:81 4.71941e-05 -11 *1317:13 *3800:27 0.000725341 -12 *1317:13 *3878:42 0 -13 *1317:13 *5667:271 0.000210168 -14 *1317:13 *5694:183 5.71472e-05 -15 *1317:13 *5970:43 0.000113166 -16 *1317:29 *27805:A2 0 -17 *1317:29 *3352:10 0.000186212 -18 *1317:29 *3865:37 8.69554e-05 -19 *1317:29 *3890:24 0.000117881 -20 *1317:29 *3982:46 0 -21 *1317:29 *3995:26 0.00176219 -22 *1317:29 *5602:41 3.18205e-05 -23 *1317:29 *5662:15 1.56905e-05 -24 *1317:29 *5662:33 5.95009e-06 -25 *1317:29 *5873:91 0.000280439 -26 *1317:29 *5873:106 0 -27 *1317:30 *24990:A1 0 -28 *1317:30 *25030:B2 0.000104123 -29 *1317:30 *27211:A0 0.000124083 -30 *1317:30 *27211:A1 0.000301702 -31 *1317:30 *30487:A 5.93525e-05 -32 *1317:30 *3348:17 0 -33 *1317:30 *3890:47 0.000116226 -34 *1317:30 *3982:46 0 -35 *1317:30 *3982:56 0 -36 *1317:30 *3995:26 0.000133079 -37 *1317:30 *4007:6 0.000136133 -38 *1317:30 *5639:90 9.22959e-05 -39 *1317:30 *5642:57 0 -40 *1317:30 *5642:80 0.000129459 -41 *1317:30 *5662:36 0.00351998 -42 *1317:30 *5662:43 0.00245271 -43 *1317:30 *5678:71 0 -44 *1317:30 *5678:129 0 -45 *1317:30 *5753:53 0.000247154 -46 *1317:30 *5863:163 0 -47 *24984:A2 *1317:29 1.90936e-05 -48 *24990:A2 *1317:30 1.94879e-05 -49 *24990:C1 *1317:30 1.90936e-05 -50 *30472:A *1317:13 0.000311758 -51 *1244:62 *1317:29 0 -52 *1244:63 *1317:13 1.10868e-05 -53 *1244:71 *1317:13 1.59373e-05 -54 *1290:201 *1317:30 0.00179123 -55 *1294:179 *1317:30 0 -*RES -1 *24991:X *1317:13 48.0679 -2 *1317:13 *1317:29 42.0303 -3 *1317:29 *1317:30 112.295 -4 *1317:30 *24995:B 13.8 -*END - -*D_NET *1318 0.00471938 -*CONN -*I *24995:C I *D sky130_fd_sc_hd__or4_1 -*I *24992:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *24995:C 0 -2 *24992:X 0.000762067 -3 *1318:14 0.000762067 -4 *1318:14 *1321:11 0.000150625 -5 *1318:14 *1367:43 0.000892658 -6 *1318:14 *3917:27 8.25843e-06 -7 *1318:14 *3995:44 8.6292e-05 -8 *1318:14 *5604:91 6.48428e-06 -9 *1318:14 *5642:82 4.26411e-05 -10 *1318:14 *5662:43 0.00156093 -11 *1318:14 *5910:114 0.000157734 -12 *24992:B1 *1318:14 2.59355e-05 -13 *24992:C1 *1318:14 0.000263688 -*RES -1 *24992:X *1318:14 42.2107 -2 *1318:14 *24995:C 9.3 -*END - -*D_NET *1319 0.00234491 -*CONN -*I *24994:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *24993:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *24994:C1 0.000429251 -2 *24993:X 0.000429251 -3 *24994:C1 *24993:A1 4.00679e-05 -4 *24994:C1 *5386:12 0.000168046 -5 *24993:B1 *24994:C1 5.33005e-05 -6 *1269:247 *24994:C1 0.000218741 -7 *1269:264 *24994:C1 3.34295e-05 -8 *1270:88 *24994:C1 0.000718955 -9 *1275:119 *24994:C1 0.000251733 -10 *1275:139 *24994:C1 2.13481e-06 -*RES -1 *24993:X *24994:C1 39.0107 -*END - -*D_NET *1320 0.010813 -*CONN -*I *24995:D I *D sky130_fd_sc_hd__or4_1 -*I *24994:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *24995:D 0 -2 *24994:X 0.000705017 -3 *1320:15 0.00117952 -4 *1320:10 0.00188453 -5 *1320:10 *24994:A1 1.98839e-05 -6 *1320:10 *24994:B2 0.000178641 -7 *1320:10 *25013:A1 1.5942e-05 -8 *1320:10 *1334:19 0.000344932 -9 *1320:10 *3761:53 3.71439e-05 -10 *1320:15 *25013:A1 0 -11 *1320:15 *30395:A 0.000100823 -12 *1320:15 *1321:11 1.90303e-05 -13 *1320:15 *2763:62 0.000675263 -14 *1320:15 *2763:69 0.000124714 -15 *1320:15 *2763:108 0 -16 *1320:15 *3761:53 1.71615e-05 -17 *1320:15 *5386:12 0.000121503 -18 *1320:15 *5642:80 9.41642e-05 -19 *1320:15 *5756:95 0.00039229 -20 *1320:15 *5756:107 0.000765058 -21 *1320:15 *5756:119 5.98125e-05 -22 *24994:A2 *1320:10 1.28773e-05 -23 *24994:B1 *1320:10 6.1604e-05 -24 *25013:B1 *1320:10 0.000694076 -25 *1268:95 *1320:15 1.18927e-05 -26 *1268:123 *1320:10 2.902e-06 -27 *1268:123 *1320:15 4.97825e-05 -28 *1269:267 *1320:15 0.00212141 -29 *1270:88 *1320:10 0.000149257 -30 *1270:88 *1320:15 0.000159985 -31 *1270:94 *1320:15 1.53472e-05 -32 *1272:158 *1320:10 0.000325516 -33 *1272:158 *1320:15 0.000288458 -34 *1272:160 *1320:15 1.74899e-05 -35 *1275:119 *1320:10 0.000166976 -*RES -1 *24994:X *1320:10 30.9875 -2 *1320:10 *1320:15 42.0268 -3 *1320:15 *24995:D 9.3 -*END - -*D_NET *1321 0.00496143 -*CONN -*I *24996:B I *D sky130_fd_sc_hd__or2_1 -*I *24995:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *24996:B 0 -2 *24995:X 0.00174604 -3 *1321:11 0.00174604 -4 *1321:11 *25012:A1 0.000828477 -5 *1321:11 *1322:10 3.63775e-05 -6 *1321:11 *3995:44 0.000148911 -7 *1321:11 *4086:67 9.41642e-05 -8 *1252:166 *1321:11 0.000191774 -9 *1318:14 *1321:11 0.000150625 -10 *1320:15 *1321:11 1.90303e-05 -*RES -1 *24995:X *1321:11 47.6036 -2 *1321:11 *24996:B 9.3 -*END - -*D_NET *1322 0.0284167 -*CONN -*I *24997:C I *D sky130_fd_sc_hd__or3_4 -*I *24996:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *24997:C 0 -2 *24996:X 0.000517265 -3 *1322:12 0.00336945 -4 *1322:10 0.00388671 -5 *1322:10 *4085:71 0.000846103 -6 *1322:10 *4085:73 0.000100492 -7 *1322:10 *4086:67 6.05161e-06 -8 *1322:12 *2791:139 2.11419e-05 -9 *1322:12 *2864:103 0.000126439 -10 *1322:12 *2889:162 0.000421034 -11 *1322:12 *3164:26 0.000290993 -12 *1322:12 *3164:214 0.000790036 -13 *1322:12 *3167:39 0.000452961 -14 *1322:12 *3170:191 0.00237765 -15 *1322:12 *3195:78 0.00406924 -16 *1322:12 *4085:73 0.00448202 -17 *1322:12 *4085:87 0.00022075 -18 *1322:12 *4086:68 3.25352e-05 -19 *1322:12 *5129:32 0.00370106 -20 *1322:12 *5129:38 6.62473e-05 -21 *1322:12 *5642:13 0.000123288 -22 *1322:12 *5650:198 0.000350377 -23 *24972:B1 *1322:12 1.41029e-05 -24 *1302:16 *1322:10 0.00103766 -25 *1302:16 *1322:12 0.000330653 -26 *1302:26 *1322:12 0.000746027 -27 *1321:11 *1322:10 3.63775e-05 -*RES -1 *24996:X *1322:10 29.3268 -2 *1322:10 *1322:12 126.562 -3 *1322:12 *24997:C 13.8 -*END - -*D_NET *1323 0.104163 -*CONN -*I *24999:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *6527:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25797:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27980:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25812:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25821:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *24997:X O *D sky130_fd_sc_hd__or3_4 -*CAP -1 *24999:A0 0 -2 *6527:DIODE 0 -3 *25797:A1 0 -4 *27980:A1 0.00028652 -5 *25812:A1 0.000131397 -6 *25821:A1 0.00134618 -7 *24997:X 0 -8 *1323:109 0.000883658 -9 *1323:96 0.00205719 -10 *1323:80 0.00296793 -11 *1323:59 0.00188415 -12 *1323:57 0.00118204 -13 *1323:33 0.00342172 -14 *1323:24 0.00559736 -15 *1323:23 0.00504032 -16 *1323:17 0.00962493 -17 *1323:16 0.00977119 -18 *1323:5 0.00988339 -19 *1323:4 0.00915578 -20 *25812:A1 *25812:A0 0.000269422 -21 *25821:A1 *25441:A1 0.000917606 -22 *25821:A1 *25441:A2 0.000303298 -23 *25821:A1 *25821:A0 0.000175892 -24 *25821:A1 *1324:74 9.58787e-05 -25 *25821:A1 *1324:81 2.31868e-05 -26 *25821:A1 *1393:165 3.01844e-05 -27 *25821:A1 *1665:63 4.11173e-05 -28 *25821:A1 *3461:26 0 -29 *25821:A1 *4170:20 3.08793e-05 -30 *25821:A1 *5549:34 2.31796e-05 -31 *25821:A1 *5577:20 4.29471e-05 -32 *27980:A1 *27980:A0 0.000225988 -33 *27980:A1 *1393:124 0.00050705 -34 *27980:A1 *2179:27 0.000505335 -35 *27980:A1 *3510:108 6.05161e-06 -36 *27980:A1 *5560:33 0.00013235 -37 *1323:5 *25274:B2 0.000515968 -38 *1323:5 *25289:B2 3.66245e-05 -39 *1323:5 *25956:A1 0.00129609 -40 *1323:5 *25956:S 8.43535e-06 -41 *1323:5 *27300:C 7.03295e-05 -42 *1323:5 *27320:A 4.35597e-05 -43 *1323:5 *28128:RESET_B 0.000226908 -44 *1323:5 *28617:RESET_B 0.000980674 -45 *1323:5 *28660:D 0.000106018 -46 *1323:5 *28660:RESET_B 4.83461e-05 -47 *1323:5 *28776:SET_B 4.85567e-05 -48 *1323:5 *28782:CLK 0.000303921 -49 *1323:5 *28782:RESET_B 0.000640896 -50 *1323:5 *1901:21 0.000209656 -51 *1323:5 *2849:15 1.11474e-05 -52 *1323:5 *2858:11 0.000306317 -53 *1323:5 *2860:31 0.00089118 -54 *1323:5 *2885:8 5.33005e-05 -55 *1323:5 *3790:32 0.000111508 -56 *1323:5 *5608:19 2.22184e-05 -57 *1323:5 *5608:28 0.000110695 -58 *1323:5 *5642:13 0.000178847 -59 *1323:5 *5706:242 0.000421074 -60 *1323:5 *6067:17 0.000136676 -61 *1323:16 *25160:A 0.000297271 -62 *1323:16 *25339:A2 0.000681038 -63 *1323:16 *25954:A 8.07759e-05 -64 *1323:16 *25959:A0 1.90076e-05 -65 *1323:16 *1401:49 0.000132677 -66 *1323:16 *1471:121 4.21517e-05 -67 *1323:16 *1481:12 4.48011e-05 -68 *1323:16 *3853:45 5.7628e-05 -69 *1323:16 *5618:6 4.33002e-05 -70 *1323:16 *5620:44 4.50149e-05 -71 *1323:16 *5622:74 0.000316303 -72 *1323:17 *25267:A1 2.28499e-05 -73 *1323:17 *25267:B1 0.00038907 -74 *1323:17 *25267:B2 0.000463032 -75 *1323:17 *25753:A 7.49387e-06 -76 *1323:17 *25753:B 4.96113e-05 -77 *1323:17 *25830:A1 9.41642e-05 -78 *1323:17 *25830:S 4.13545e-05 -79 *1323:17 *25833:A0 0.00035582 -80 *1323:17 *25954:B 1.7053e-05 -81 *1323:17 *27515:D 5.52302e-05 -82 *1323:17 *27878:B1 0.000677656 -83 *1323:17 *27878:B2 1.38323e-05 -84 *1323:17 *29258:A 0.00023367 -85 *1323:17 *29614:A 4.35336e-05 -86 *1323:17 *1437:42 0.000438781 -87 *1323:17 *1865:8 1.22751e-05 -88 *1323:17 *2852:228 0.000800247 -89 *1323:17 *3064:13 6.8377e-06 -90 *1323:17 *3654:13 0.000177815 -91 *1323:17 *3908:35 7.48301e-06 -92 *1323:17 *3908:66 2.42516e-05 -93 *1323:17 *4088:79 0.000481923 -94 *1323:17 *4131:29 0.000709574 -95 *1323:17 *4140:47 0.00174676 -96 *1323:17 *5733:117 0.000184745 -97 *1323:23 *25696:A0 5.71472e-05 -98 *1323:23 *29258:A 0.000361914 -99 *1323:24 *24866:A 0.000126391 -100 *1323:24 *25465:A2 0.000291979 -101 *1323:24 *25469:A1 2.17146e-05 -102 *1323:24 *25536:B1 0.000261416 -103 *1323:24 *25541:A 0.00129267 -104 *1323:24 *28084:CLK 0.000152333 -105 *1323:24 *29641:A 2.23393e-05 -106 *1323:24 *1324:23 0.000920952 -107 *1323:24 *1730:114 0.000616122 -108 *1323:24 *4163:145 0.000124732 -109 *1323:24 *4163:149 0.000294788 -110 *1323:24 *4184:8 0 -111 *1323:24 *4184:12 0 -112 *1323:24 *4184:14 0 -113 *1323:24 *4188:20 0.000317914 -114 *1323:24 *4192:44 7.94584e-05 -115 *1323:24 *4194:65 0.000459517 -116 *1323:24 *4195:196 5.5527e-05 -117 *1323:24 *5412:27 6.25005e-05 -118 *1323:24 *5474:10 0 -119 *1323:24 *5476:8 0 -120 *1323:24 *5732:109 0 -121 *1323:24 *5732:138 0 -122 *1323:24 *5836:176 8.8225e-05 -123 *1323:33 *25441:A1 8.92205e-05 -124 *1323:33 *31002:A 0.00010283 -125 *1323:33 *1545:51 2.73671e-05 -126 *1323:33 *1730:56 3.70868e-05 -127 *1323:33 *1744:46 5.21154e-05 -128 *1323:33 *4195:67 0 -129 *1323:33 *5237:29 0.000110317 -130 *1323:33 *5728:53 0.000785659 -131 *1323:33 *5835:11 0.000111243 -132 *1323:57 *24999:A1 5.33005e-05 -133 *1323:57 *28092:CLK 8.55871e-05 -134 *1323:57 *28094:CLK 8.55871e-05 -135 *1323:57 *4159:14 0.000830045 -136 *1323:57 *4159:33 5.41794e-05 -137 *1323:57 *5238:13 0.000162862 -138 *1323:57 *5247:10 0.000412062 -139 *1323:57 *5575:30 0.000314532 -140 *1323:57 *5835:11 3.34783e-05 -141 *1323:80 *25226:A0 5.49489e-05 -142 *1323:80 *1324:88 5.21937e-05 -143 *1323:80 *1665:49 6.47434e-05 -144 *1323:80 *1665:56 0.0012373 -145 *1323:80 *4171:11 0.000119279 -146 *1323:80 *4171:21 6.8445e-06 -147 *1323:80 *5334:28 7.54868e-05 -148 *1323:80 *5541:8 0 -149 *1323:96 *29624:A 2.30116e-06 -150 *1323:96 *30980:A 0.000102815 -151 *1323:96 *30981:A 0.000544505 -152 *1323:96 *30982:A 2.26327e-05 -153 *1323:96 *1665:49 0.000543277 -154 *1323:96 *5552:6 0.000153558 -155 *1323:96 *5553:8 0.00010209 -156 *1323:96 *5556:8 0 -157 *1323:96 *5556:13 0 -158 *1323:96 *5566:8 0 -159 *1323:96 *6158:24 8.26546e-05 -160 *1323:109 *25797:A0 5.33005e-05 -161 *1323:109 *25797:S 3.69047e-06 -162 *1323:109 *25812:A0 0.00033475 -163 *1323:109 *28880:A 0.00020042 -164 *1323:109 *30984:A 0.00115565 -165 *1323:109 *1871:55 4.00679e-05 -166 *1323:109 *1871:70 0.000702063 -167 *1323:109 *5554:35 9.3616e-05 -168 *1323:109 *5560:33 0.000299241 -169 wb_dat_o[10] *1323:80 0.000209801 -170 wb_dat_o[11] *1323:96 0.000165157 -171 wb_dat_o[13] *1323:96 0.000187093 -172 wb_dat_o[14] *1323:96 0.000139739 -173 wb_dat_o[6] *25821:A1 2.0067e-05 -174 *25160:B *1323:17 6.28166e-05 -175 *25226:S *1323:57 8.43535e-06 -176 *25226:S *1323:59 9.76443e-05 -177 *25226:S *1323:80 2.67489e-05 -178 *25274:B1 *1323:5 0.000304394 -179 *28080:RESET_B *1323:24 0.00271239 -180 *28082:RESET_B *1323:24 0.000318702 -181 *28083:RESET_B *1323:24 0 -182 *28084:D *1323:24 0 -183 *28250:D *1323:80 3.91517e-05 -184 *28252:D *1323:80 6.73707e-06 -185 *28252:D *1323:96 0.0006192 -186 *28263:D *1323:80 9.50861e-05 -187 *28264:D *1323:80 0 -188 *28408:RESET_B *1323:57 1.03105e-05 -189 *28776:D *1323:5 5.41494e-05 -190 *28782:D *1323:5 0.000197489 -191 *388:10 *1323:24 1.91414e-05 -192 *410:17 *1323:24 0.000591915 -193 *746:10 *1323:80 7.83587e-05 -194 *1145:11 *1323:80 5.88811e-06 -195 *1145:11 *1323:96 0.000195321 -196 *1185:12 *1323:5 9.05905e-05 -197 *1191:42 *25821:A1 1.60028e-05 -198 *1191:52 *1323:57 8.90144e-05 -199 *1202:43 *1323:24 0.000641492 -200 *1251:21 *25821:A1 0 -*RES -1 *24997:X *1323:4 9.3 -2 *1323:4 *1323:5 115.125 -3 *1323:5 *1323:16 18.8294 -4 *1323:16 *1323:17 113.893 -5 *1323:17 *1323:23 14.5 -6 *1323:23 *1323:24 111.688 -7 *1323:24 *1323:33 12.7555 -8 *1323:33 *25821:A1 22.2717 -9 *1323:33 *1323:57 36.7761 -10 *1323:57 *1323:59 3 -11 *1323:59 *1323:80 44.4732 -12 *1323:80 *1323:96 40.1518 -13 *1323:96 *25812:A1 11.8893 -14 *1323:96 *1323:109 28.4643 -15 *1323:109 *27980:A1 27.3893 -16 *1323:109 *25797:A1 9.3 -17 *1323:59 *6527:DIODE 9.3 -18 *1323:57 *24999:A0 9.3 -*END - -*D_NET *1324 0.0416755 -*CONN -*I *25415:B I *D sky130_fd_sc_hd__nor2_1 -*I *25286:S I *D sky130_fd_sc_hd__mux2_1 -*I *25000:S I *D sky130_fd_sc_hd__mux2_1 -*I *25227:S I *D sky130_fd_sc_hd__mux2_1 -*I *25169:S I *D sky130_fd_sc_hd__mux2_1 -*I *25073:S I *D sky130_fd_sc_hd__mux2_1 -*I *25036:S I *D sky130_fd_sc_hd__mux2_1 -*I *25349:S I *D sky130_fd_sc_hd__mux2_1 -*I *25416:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25541:A I *D sky130_fd_sc_hd__and2_2 -*I *24998:Y O *D sky130_fd_sc_hd__nand2_4 -*CAP -1 *25415:B 0.000312617 -2 *25286:S 0 -3 *25000:S 0.000661276 -4 *25227:S 4.35326e-05 -5 *25169:S 0.000157688 -6 *25073:S 3.61152e-05 -7 *25036:S 0 -8 *25349:S 4.30121e-05 -9 *25416:A2 0.000807533 -10 *25541:A 0.000568034 -11 *24998:Y 2.89229e-05 -12 *1324:146 0.000985557 -13 *1324:113 0.000831488 -14 *1324:104 0.00115355 -15 *1324:88 0.000920952 -16 *1324:81 0.00169218 -17 *1324:74 0.00160218 -18 *1324:60 0.000788836 -19 *1324:37 0.00334264 -20 *1324:23 0.00355581 -21 *1324:7 0.00124212 -22 *25000:S *25286:A0 4.82865e-05 -23 *25000:S *28064:A 0.000412407 -24 *25000:S *29476:A 6.86792e-05 -25 *25000:S *5244:17 3.45371e-05 -26 *25000:S *5742:170 1.56e-05 -27 *25073:S *24809:A 4.58194e-05 -28 *25073:S *25646:B 9.41642e-05 -29 *25169:S *25169:A0 3.69047e-06 -30 *25169:S *25794:S 3.06406e-05 -31 *25169:S *1730:87 0.000136979 -32 *25169:S *1829:16 5.15925e-05 -33 *25227:S *25227:A1 4.17433e-05 -34 *25227:S *25808:A1 5.56205e-05 -35 *25349:S *25348:A0 0.000137561 -36 *25349:S *5236:25 6.94952e-05 -37 *25415:B *1731:19 0.000257619 -38 *25415:B *5334:28 4.08824e-05 -39 *25416:A2 *24879:A 6.63776e-05 -40 *25416:A2 *25644:A1 0.000388565 -41 *25416:A2 *25645:A1 0.000423607 -42 *25416:A2 *25645:S 1.46231e-05 -43 *25416:A2 *27534:A1 0.000128958 -44 *25416:A2 *28260:D 0.000135028 -45 *25416:A2 *28260:SET_B 0.000929111 -46 *25416:A2 *1423:66 0.000129894 -47 *25416:A2 *1730:19 1.89695e-05 -48 *25416:A2 *3962:13 0.000175892 -49 *25416:A2 *4152:51 0.000121887 -50 *25416:A2 *5691:61 3.28686e-05 -51 *25416:A2 *5901:57 0.000147829 -52 *25541:A *25458:A 2.06178e-05 -53 *25541:A *25476:A1 0.00109409 -54 *25541:A *28086:CLK 9.41642e-05 -55 *25541:A *4165:122 9.58126e-05 -56 *25541:A *4192:44 0.00015763 -57 *1324:7 *1739:26 5.33005e-05 -58 *1324:23 *25476:A1 0.000259467 -59 *1324:23 *31002:A 0.000105471 -60 *1324:23 *1730:56 2.04825e-05 -61 *1324:23 *1730:114 4.24287e-05 -62 *1324:23 *4162:12 0.000242778 -63 *1324:23 *5350:8 0.00024329 -64 *1324:23 *5835:11 0.000448848 -65 *1324:37 *25000:A1 1.21258e-05 -66 *1324:37 *25416:B2 6.37703e-05 -67 *1324:37 *25430:B 8.89449e-05 -68 *1324:37 *25798:B 0.000676907 -69 *1324:37 *28238:D 0.000219711 -70 *1324:37 *29482:A 0.000219711 -71 *1324:37 *1862:36 0.000180171 -72 *1324:37 *3616:67 0.000960943 -73 *1324:37 *3739:36 6.14836e-06 -74 *1324:37 *4103:8 1.90936e-05 -75 *1324:37 *4159:33 0.00092326 -76 *1324:37 *4160:98 0.000909742 -77 *1324:37 *4525:11 0.00016702 -78 *1324:37 *5545:50 0.00019478 -79 *1324:37 *5686:35 0.000110093 -80 *1324:37 *5743:74 0.000421699 -81 *1324:37 *5888:16 9.5508e-05 -82 *1324:60 *28413:D 7.63651e-05 -83 *1324:60 *1393:165 0.00018154 -84 *1324:60 *4162:12 0.000226021 -85 *1324:60 *5350:8 0.000216982 -86 *1324:74 *28413:D 1.26641e-05 -87 *1324:74 *1393:165 4.14744e-05 -88 *1324:74 *5334:28 4.08061e-05 -89 *1324:81 *25441:A2 0.000104996 -90 *1324:81 *29580:A 0 -91 *1324:81 *5334:28 8.92267e-05 -92 *1324:81 *5549:34 2.05803e-05 -93 *1324:88 *25036:A0 2.2351e-05 -94 *1324:88 *25036:A1 5.33005e-05 -95 *1324:88 *25227:A0 0.000150618 -96 *1324:88 *25820:A1 0.000146911 -97 *1324:88 *3461:26 2.57955e-05 -98 *1324:88 *5243:8 0.000218163 -99 *1324:88 *5549:34 0.000620293 -100 *1324:104 *25036:A0 0.000401594 -101 *1324:104 *25036:A1 8.33813e-05 -102 *1324:104 *25227:A1 9.83442e-05 -103 *1324:104 *25808:A1 8.31427e-05 -104 *1324:104 *28413:D 0.000146895 -105 *1324:113 *25035:A0 0.000135028 -106 *1324:113 *25168:A1 0.000139575 -107 *1324:113 *25794:S 4.24858e-05 -108 *1324:113 *28088:CLK 7.95355e-05 -109 *1324:113 *29476:A 5.33005e-05 -110 *1324:113 *1730:87 0.000217665 -111 *1324:113 *1829:16 7.97198e-05 -112 *1324:113 *1871:94 5.6614e-05 -113 *1324:113 *4174:17 2.18985e-05 -114 *1324:113 *5248:11 0.000173797 -115 *1324:113 *5575:30 2.04866e-05 -116 *1324:113 *5742:207 0.000173097 -117 *1324:113 *5899:20 0.000146474 -118 *1324:146 *25285:A0 5.99759e-06 -119 *1324:146 *25820:A1 7.34107e-05 -120 *1324:146 *28413:CLK_N 2.06178e-05 -121 *1324:146 *3461:26 1.66061e-05 -122 *25415:A *25415:B 1.04232e-05 -123 *25415:A *1324:74 0.000276572 -124 *25821:A1 *1324:74 9.58787e-05 -125 *25821:A1 *1324:81 2.31868e-05 -126 *28088:D *1324:113 6.05161e-06 -127 *28094:RESET_B *1324:37 5.58875e-06 -128 *28263:D *1324:88 0.000474576 -129 *28263:D *1324:104 9.41642e-05 -130 *28266:D *25227:S 9.41642e-05 -131 *28266:D *1324:104 0.000267738 -132 *28407:D *1324:60 0.000211666 -133 *28409:D *1324:146 5.7903e-06 -134 *28414:D *25000:S 0.000303092 -135 *30325:A *1324:37 7.27549e-05 -136 *30355:A *1324:37 2.06112e-05 -137 *30513:A *1324:37 9.60875e-05 -138 *399:18 *25541:A 1.50738e-05 -139 *399:18 *1324:23 0.000162424 -140 *740:19 *1324:37 0.00110053 -141 *743:11 *1324:104 0 -142 *746:10 *25415:B 4.11218e-05 -143 *1191:76 *1324:113 0.000224315 -144 *1194:14 *1324:37 6.42095e-05 -145 *1251:21 *1324:37 4.49626e-05 -146 *1323:24 *25541:A 0.00129267 -147 *1323:24 *1324:23 0.000920952 -148 *1323:80 *1324:88 5.21937e-05 -*RES -1 *24998:Y *1324:7 14.3357 -2 *1324:7 *25541:A 32.175 -3 *1324:7 *1324:23 27.8214 -4 *1324:23 *1324:37 48.0737 -5 *1324:37 *25416:A2 38.6125 -6 *1324:23 *1324:60 18.3393 -7 *1324:60 *25349:S 15.1571 -8 *1324:60 *1324:74 5.22167 -9 *1324:74 *1324:81 8.6201 -10 *1324:81 *1324:88 20.6461 -11 *1324:88 *25036:S 9.3 -12 *1324:88 *1324:104 20.6071 -13 *1324:104 *1324:113 28.1429 -14 *1324:113 *25073:S 14.7464 -15 *1324:113 *25169:S 17.1214 -16 *1324:104 *25227:S 10.675 -17 *1324:81 *1324:146 8.70222 -18 *1324:146 *25000:S 21.7821 -19 *1324:146 *25286:S 9.3 -20 *1324:74 *25415:B 21.5225 -*END - -*D_NET *1325 0.00255774 -*CONN -*I *25000:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *24999:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *25000:A0 0.000751869 -2 *24999:X 0.000751869 -3 *25000:A0 *28064:A 0.000139907 -4 *25000:A0 *28092:CLK 6.06291e-05 -5 *25000:A0 *5238:13 0.000140773 -6 *25000:A0 *5247:10 0.000292437 -7 *25000:A0 *5575:30 0.000292437 -8 *25226:S *25000:A0 0.000127822 -*RES -1 *24999:X *25000:A0 39.4214 -*END - -*D_NET *1326 0.0910505 -*CONN -*I *25316:C1 I *D sky130_fd_sc_hd__a211o_1 -*I *25033:A I *D sky130_fd_sc_hd__or4_1 -*I *6555:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6528:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25097:C1 I *D sky130_fd_sc_hd__a211o_1 -*I *25282:A I *D sky130_fd_sc_hd__or4_1 -*I *25001:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25316:C1 0 -2 *25033:A 0.000236945 -3 *6555:DIODE 0 -4 *6528:DIODE 0 -5 *25097:C1 5.80757e-05 -6 *25282:A 0.000439161 -7 *25001:Y 0.000201564 -8 *1326:122 0.00088506 -9 *1326:116 0.00193883 -10 *1326:97 0.00295504 -11 *1326:95 0.00356893 -12 *1326:72 0.00124782 -13 *1326:68 0.00168404 -14 *1326:65 0.00187577 -15 *1326:57 0.00874042 -16 *1326:56 0.00842934 -17 *1326:37 5.80757e-05 -18 *1326:35 0.00217051 -19 *1326:14 0.00198589 -20 *1326:13 0.00213696 -21 *25033:A *25033:C 0.000221628 -22 *25033:A *4186:46 3.46748e-05 -23 *25097:C1 *28861:A 0.000137983 -24 *25097:C1 *2848:44 0.000178847 -25 *25282:A *25282:D 5.33005e-05 -26 *25282:A *25389:A1 9.66977e-05 -27 *25282:A *1453:17 0.000707626 -28 *25282:A *1455:93 0.000335167 -29 *25282:A *1588:11 5.30882e-05 -30 *25282:A *1705:13 4.00349e-05 -31 *25282:A *2855:32 2.87584e-05 -32 *25282:A *3183:77 1.90431e-05 -33 *25282:A *5605:33 2.89453e-06 -34 *1326:13 *25095:B 6.30931e-05 -35 *1326:13 *25351:A 6.30931e-05 -36 *1326:13 *26837:B1 4.00679e-05 -37 *1326:13 *2875:63 7.61169e-05 -38 *1326:13 *3170:51 0.000135028 -39 *1326:13 *3921:77 0.000169376 -40 *1326:14 *1453:17 0.00057075 -41 *1326:14 *1455:93 9.46112e-05 -42 *1326:14 *3314:41 0.000402237 -43 *1326:35 *25097:A1 0.000669977 -44 *1326:35 *25097:A2 8.94556e-05 -45 *1326:35 *25266:B 0.000276737 -46 *1326:35 *27037:S 0.000142884 -47 *1326:35 *1421:93 9.28794e-05 -48 *1326:35 *2888:59 0 -49 *1326:35 *2889:211 5.52841e-06 -50 *1326:35 *3165:216 0.000217577 -51 *1326:35 *3547:25 0.000279536 -52 *1326:35 *3972:44 6.30931e-05 -53 *1326:35 *5620:18 0.000277486 -54 *1326:35 *5691:108 3.51909e-06 -55 *1326:35 *5691:109 6.30931e-05 -56 *1326:56 *1670:20 0.000518811 -57 *1326:56 *2888:59 0.000956496 -58 *1326:56 *3314:41 0.00148847 -59 *1326:56 *3547:12 2.40731e-05 -60 *1326:56 *3972:44 0.000170865 -61 *1326:56 *3987:29 0.000154531 -62 *1326:56 *5211:8 0.000154531 -63 *1326:56 *5691:109 7.636e-05 -64 *1326:57 *27310:B 9.66977e-05 -65 *1326:57 *27443:B1 0.000107909 -66 *1326:57 *27443:B2 4.13496e-05 -67 *1326:57 *27601:A 3.63775e-05 -68 *1326:57 *27601:C 2.89114e-05 -69 *1326:57 *2832:147 7.63906e-05 -70 *1326:57 *2848:384 0.00113958 -71 *1326:57 *2849:91 0.000126566 -72 *1326:57 *2849:97 0.000901459 -73 *1326:57 *2852:297 0.000552525 -74 *1326:57 *2861:23 0.000217239 -75 *1326:57 *2875:10 0.000137983 -76 *1326:57 *3734:23 0.00174939 -77 *1326:57 *5640:13 0.000510623 -78 *1326:57 *5661:9 0.00260379 -79 *1326:57 *5947:23 5.74499e-06 -80 *1326:57 *5947:27 1.4966e-05 -81 *1326:57 *5947:35 0.000279699 -82 *1326:65 *28182:D 0.000472452 -83 *1326:65 *28216:D 0.000393949 -84 *1326:65 *1490:72 0.00027711 -85 *1326:65 *5663:22 0.000103253 -86 *1326:68 *28216:D 0.000314316 -87 *1326:68 *28584:D 0.000695641 -88 *1326:68 *28593:D 0.000100661 -89 *1326:68 *1347:31 0.000401397 -90 *1326:68 *3734:8 0.00020112 -91 *1326:68 *3734:48 0.000759608 -92 *1326:68 *5465:10 6.51946e-05 -93 *1326:68 *5468:29 6.57569e-05 -94 *1326:68 *5755:113 0.000112777 -95 *1326:72 *25024:C1 0.000532651 -96 *1326:72 *25706:A1 0.000260847 -97 *1326:72 *28183:CLK 5.3771e-05 -98 *1326:72 *28183:D 0.000294422 -99 *1326:72 *28217:D 0.000315699 -100 *1326:72 *3631:42 0.000466101 -101 *1326:72 *5467:18 0.000387198 -102 *1326:72 *5468:29 9.75741e-06 -103 *1326:72 *5468:31 0.00130524 -104 *1326:72 *5755:113 2.59045e-05 -105 *1326:95 *1453:17 0.000331005 -106 *1326:95 *1479:101 0.000346018 -107 *1326:95 *1482:8 0.00189423 -108 *1326:95 *1485:39 0.000199736 -109 *1326:95 *1659:31 9.36399e-05 -110 *1326:95 *3314:41 0.000894199 -111 *1326:95 *5936:70 2.15092e-05 -112 *1326:97 *24901:A 0.000337106 -113 *1326:97 *1482:8 2.06275e-05 -114 *1326:97 *1485:39 0.000398122 -115 *1326:97 *3183:167 0.000320835 -116 *1326:97 *3183:169 0.00409905 -117 *1326:97 *3206:125 4.11173e-05 -118 *1326:97 *3314:26 1.62001e-05 -119 *1326:97 *3706:35 0.000134362 -120 *1326:97 *3706:45 0.000280622 -121 *1326:97 *3802:78 0.000222885 -122 *1326:116 *25317:B2 6.12434e-05 -123 *1326:116 *1449:62 5.46992e-06 -124 *1326:116 *3183:169 1.71361e-05 -125 *1326:116 *3314:26 0.00114691 -126 *1326:116 *3706:35 0.000647189 -127 *1326:116 *3849:50 0.000562631 -128 *1326:116 *3849:69 1.00541e-05 -129 *1326:116 *5607:13 0.000262498 -130 *1326:116 *5656:116 0.000136951 -131 *1326:116 *5816:24 6.33877e-05 -132 *1326:122 *1478:10 0.00241952 -133 *1326:122 *1633:49 0.000113043 -134 *1326:122 *3183:169 0.00242796 -135 *1326:122 *3875:118 0.000241956 -136 *1326:122 *5617:92 0.000221815 -137 *29715:A *1326:65 0.000684868 -138 *29930:A *1326:72 0.000510049 -139 *282:38 *1326:116 0.000135028 -140 *529:11 *1326:65 0.000281235 -141 *1224:26 *1326:95 3.45023e-05 -142 *1224:26 *1326:97 0.00184622 -143 *1227:16 *1326:97 0.000157901 -144 *1227:18 *1326:97 0.000135227 -145 *1227:25 *1326:97 0.00112402 -146 *1228:11 *1326:97 9.87648e-05 -147 *1235:35 *1326:95 0.00177736 -148 *1235:113 *1326:116 2.31957e-05 -149 *1235:116 *1326:116 0.00114691 -150 *1257:46 *1326:95 0.000815497 -151 *1257:69 *25282:A 2.55386e-05 -152 *1288:20 *1326:122 0.000160692 -*RES -1 *25001:Y *1326:13 23.1603 -2 *1326:13 *1326:14 1.3254 -3 *1326:14 *25282:A 23.7683 -4 *1326:14 *1326:35 10.9579 -5 *1326:35 *1326:37 4.5 -6 *1326:37 *25097:C1 11.0679 -7 *1326:37 *6528:DIODE 9.3 -8 *1326:35 *1326:56 18.3893 -9 *1326:56 *1326:57 95.4107 -10 *1326:57 *1326:65 37.9643 -11 *1326:65 *1326:68 23.5804 -12 *1326:68 *1326:72 43.6339 -13 *1326:72 *6555:DIODE 9.3 -14 *1326:72 *25033:A 13.4607 -15 *1326:13 *1326:95 36.6569 -16 *1326:95 *1326:97 63.7232 -17 *1326:97 *1326:116 48.1658 -18 *1326:116 *1326:122 42.875 -19 *1326:122 *25316:C1 9.3 -*END - -*D_NET *1327 0.0937159 -*CONN -*I *25003:B I *D sky130_fd_sc_hd__nor2_8 -*I *26846:A2 I *D sky130_fd_sc_hd__o311a_1 -*I *25096:B I *D sky130_fd_sc_hd__nor2_1 -*I *25109:B I *D sky130_fd_sc_hd__nor2_8 -*I *25087:B I *D sky130_fd_sc_hd__nor2_8 -*I *25084:B I *D sky130_fd_sc_hd__nor2_4 -*I *25162:B I *D sky130_fd_sc_hd__nor2_4 -*I *25128:B I *D sky130_fd_sc_hd__nor2_8 -*I *25002:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *25003:B 0 -2 *26846:A2 6.26186e-05 -3 *25096:B 2.40822e-05 -4 *25109:B 0.000110051 -5 *25087:B 3.19016e-05 -6 *25084:B 0 -7 *25162:B 3.70117e-05 -8 *25128:B 0.000728065 -9 *25002:Y 0 -10 *1327:156 0.000656694 -11 *1327:141 0.00206015 -12 *1327:116 0.00256096 -13 *1327:101 0.00402085 -14 *1327:98 0.00180311 -15 *1327:83 0.00458607 -16 *1327:81 0.00327549 -17 *1327:78 0.00231561 -18 *1327:37 0.00254146 -19 *1327:28 0.0050683 -20 *1327:19 0.00505535 -21 *1327:4 0.00374636 -22 *25087:B *25178:A2 4.46618e-05 -23 *25087:B *5710:206 0 -24 *25109:B *25178:A2 1.12323e-05 -25 *25109:B *5510:87 8.68639e-05 -26 *25109:B *5710:206 0 -27 *25128:B *25128:A 0.000155661 -28 *25128:B *25784:A0 0.00019785 -29 *25128:B *27582:B1 0.00071496 -30 *25128:B *29682:A 0.000236814 -31 *25128:B *1362:48 0.000118179 -32 *25128:B *1870:24 0.000437934 -33 *25128:B *3957:22 5.93786e-05 -34 *25128:B *4091:20 0.000339089 -35 *25128:B *5669:81 1.38323e-05 -36 *25128:B *5669:85 5.52238e-05 -37 *25128:B *5833:65 0.000389861 -38 *25128:B *5833:91 0.000285104 -39 *25162:B *1870:24 0.000153054 -40 *25162:B *5833:65 0.000144512 -41 *26846:A2 *26846:A1 0.000173083 -42 *26846:A2 *26846:B1 9.1469e-05 -43 *1327:19 *24891:B 9.41642e-05 -44 *1327:19 *24906:A 0.000231346 -45 *1327:19 *25040:A 5.15925e-05 -46 *1327:19 *27946:B2 2.01997e-05 -47 *1327:19 *1399:81 6.05161e-06 -48 *1327:19 *1472:25 0.000620223 -49 *1327:19 *1647:29 0.00022586 -50 *1327:19 *1665:20 2.54095e-05 -51 *1327:19 *3170:92 0.000308458 -52 *1327:19 *3176:202 0.000121411 -53 *1327:19 *3496:13 0.000238371 -54 *1327:19 *3542:21 4.11218e-05 -55 *1327:19 *5646:161 0.00157965 -56 *1327:19 *5700:29 0.000591366 -57 *1327:19 *5846:8 9.82592e-05 -58 *1327:19 *5848:63 3.76253e-05 -59 *1327:19 *5890:24 0.000169376 -60 *1327:28 *25084:A 4.46186e-06 -61 *1327:28 *25123:A 0.000107625 -62 *1327:28 *1396:189 8.41715e-05 -63 *1327:28 *1573:22 0 -64 *1327:28 *1615:14 0.00307363 -65 *1327:28 *2851:24 0.000125685 -66 *1327:28 *3766:53 6.14836e-06 -67 *1327:28 *4013:18 4.15592e-05 -68 *1327:28 *4027:20 0.000208335 -69 *1327:28 *5590:47 0.000116155 -70 *1327:28 *5590:50 0.00121203 -71 *1327:28 *5605:116 0.00011659 -72 *1327:28 *5613:22 1.09611e-05 -73 *1327:28 *5649:176 0 -74 *1327:28 *5729:9 0.000215271 -75 *1327:28 *5729:14 0.000134453 -76 *1327:28 *5823:51 0 -77 *1327:28 *5878:14 1.09501e-05 -78 *1327:37 *25084:A 5.52302e-05 -79 *1327:37 *25329:B1 5.49544e-05 -80 *1327:37 *30785:A 0.000386121 -81 *1327:37 *1405:5 5.04841e-06 -82 *1327:37 *5617:5 4.87953e-05 -83 *1327:37 *5617:19 0.000995898 -84 *1327:78 *25104:A1 0.000269058 -85 *1327:78 *25334:B 0.000173797 -86 *1327:78 *27304:A1 4.43081e-05 -87 *1327:78 *1358:19 0.000377434 -88 *1327:78 *1395:126 0.00138377 -89 *1327:78 *1448:127 0.000244117 -90 *1327:78 *1730:13 5.5659e-05 -91 *1327:78 *2851:24 1.90936e-05 -92 *1327:78 *2866:119 0.000243892 -93 *1327:78 *2867:120 0.000829868 -94 *1327:78 *2867:149 0.000362675 -95 *1327:78 *3220:44 2.63501e-05 -96 *1327:78 *3389:20 1.10657e-05 -97 *1327:78 *3790:63 0.000135968 -98 *1327:78 *3857:80 0.000102105 -99 *1327:78 *4088:56 0.000743525 -100 *1327:78 *4141:49 0.000268733 -101 *1327:78 *5605:99 0.000337917 -102 *1327:81 *3389:20 0.000103244 -103 *1327:81 *4088:56 2.35985e-05 -104 *1327:81 *5600:47 0.000841978 -105 *1327:83 *25218:C1 0.000794519 -106 *1327:83 *1395:18 1.76569e-05 -107 *1327:83 *1395:29 0.000119101 -108 *1327:83 *1452:103 0.000227033 -109 *1327:83 *1644:36 0.000293821 -110 *1327:83 *3389:20 0.000123605 -111 *1327:83 *3389:28 0.00274544 -112 *1327:83 *3425:27 6.8646e-06 -113 *1327:83 *3832:33 0.000319257 -114 *1327:83 *3841:55 6.35864e-05 -115 *1327:83 *3963:129 0.000161693 -116 *1327:83 *4088:56 0.00673588 -117 *1327:83 *4088:65 6.27688e-05 -118 *1327:83 *5600:47 3.94439e-05 -119 *1327:98 *6607:DIODE 7.43578e-06 -120 *1327:98 *27963:C1 7.69776e-06 -121 *1327:98 *29381:A 0.000142121 -122 *1327:98 *1481:12 7.55747e-05 -123 *1327:98 *1481:78 0.000404673 -124 *1327:98 *1624:43 1.82657e-05 -125 *1327:98 *1629:14 0.000104657 -126 *1327:98 *2755:11 0.00030485 -127 *1327:98 *3158:43 1.0946e-05 -128 *1327:98 *3196:58 0.000144554 -129 *1327:98 *3854:10 0.000258373 -130 *1327:98 *3880:50 6.30931e-05 -131 *1327:98 *3884:112 0.000152378 -132 *1327:98 *4001:27 2.89977e-05 -133 *1327:98 *4088:65 7.68609e-05 -134 *1327:98 *4129:61 0.000155992 -135 *1327:98 *5153:8 9.82592e-05 -136 *1327:98 *5488:79 9.01588e-05 -137 *1327:98 *5488:86 0.00018085 -138 *1327:98 *5624:36 0.000185322 -139 *1327:98 *5624:41 0.000754712 -140 *1327:98 *5666:231 0.000131545 -141 *1327:98 *5711:86 0.000315223 -142 *1327:101 *1616:8 0.000383131 -143 *1327:101 *5153:8 0.000383131 -144 *1327:116 *25754:S 0.000344225 -145 *1327:116 *26822:A1 1.94879e-05 -146 *1327:116 *27527:A2 6.71755e-05 -147 *1327:116 *27572:A0 6.58992e-05 -148 *1327:116 *27572:S 9.41642e-05 -149 *1327:116 *29386:A 0.000148702 -150 *1327:116 *1408:97 0.000146968 -151 *1327:116 *1430:165 0.000295185 -152 *1327:116 *3571:53 2.11419e-05 -153 *1327:116 *3805:47 0.00180446 -154 *1327:116 *5599:158 0.000216755 -155 *1327:116 *5665:31 0.000314636 -156 *1327:116 *5685:76 4.65519e-05 -157 *1327:116 *5685:122 0.00118961 -158 *1327:116 *5737:77 0.000150235 -159 *1327:116 *6225:48 0.00146207 -160 *1327:116 *6332:39 0.000383146 -161 *1327:141 *24955:B 0.000267377 -162 *1327:141 *25134:A 0.000520522 -163 *1327:141 *25150:B 2.10458e-05 -164 *1327:141 *25404:A1 0.000263279 -165 *1327:141 *1408:97 7.8034e-05 -166 *1327:141 *1417:7 0.000782977 -167 *1327:141 *1716:11 0 -168 *1327:141 *4260:22 1.09611e-05 -169 *1327:141 *4352:35 6.57815e-05 -170 *1327:141 *5040:13 5.79645e-05 -171 *1327:141 *5041:21 0.00132881 -172 *1327:141 *5622:93 0.000337561 -173 *1327:141 *5624:63 3.93957e-05 -174 *1327:141 *5665:31 0.000487924 -175 *1327:141 *5665:268 0.000700515 -176 *1327:156 *25096:A 9.66977e-05 -177 *1327:156 *26846:B1 6.32633e-05 -178 *1327:156 *1328:66 0 -179 *1327:156 *2780:72 0.000134991 -180 *1327:156 *2780:90 7.87409e-06 -181 *1327:156 *4352:35 0.000187398 -182 *24891:A *1327:19 0.000171949 -183 *24923:A *1327:19 0.000330469 -184 *24923:A *1327:78 0.000162863 -185 *25122:A2 *1327:78 0.000177689 -186 *25136:A *1327:98 0.000188267 -187 *25305:A2 *1327:83 1.10978e-05 -188 *25310:A2 *1327:98 0.000382074 -189 *25397:A2 *1327:83 5.37709e-05 -190 *28798:D *1327:116 8.25843e-06 -191 *29387:A *1327:116 0.000303257 -192 *30113:A *1327:19 6.77323e-05 -193 *460:33 *1327:116 0.000449499 -194 *472:8 *1327:116 0.000305418 -195 *1227:52 *1327:141 5.05056e-05 -196 *1227:68 *1327:141 1.73197e-05 -197 *1242:37 *1327:83 0.000427921 -198 *1248:98 *1327:78 0.000656103 -199 *1248:98 *1327:81 0.000784594 -200 *1257:26 *1327:83 1.94945e-05 -201 *1257:46 *1327:83 0.000222735 -202 *1294:64 *1327:116 4.70487e-05 -*RES -1 *25002:Y *1327:4 9.3 -2 *1327:4 *1327:19 46.028 -3 *1327:19 *1327:28 21.233 -4 *1327:28 *1327:37 35.0714 -5 *1327:37 *25128:B 37.4607 -6 *1327:37 *25162:B 15.7464 -7 *1327:28 *25084:B 9.3 -8 *1327:4 *1327:78 48.5158 -9 *1327:78 *1327:81 11.5893 -10 *1327:81 *1327:83 90.8571 -11 *1327:83 *1327:98 48.4196 -12 *1327:98 *1327:101 8.54393 -13 *1327:101 *1327:116 43.5849 -14 *1327:116 *25087:B 14.3804 -15 *1327:116 *25109:B 16.2018 -16 *1327:101 *1327:141 33.5189 -17 *1327:141 *25096:B 9.72857 -18 *1327:141 *1327:156 14.8929 -19 *1327:156 *26846:A2 16.05 -20 *1327:156 *25003:B 13.8 -*END - -*D_NET *1328 0.108668 -*CONN -*I *26838:A I *D sky130_fd_sc_hd__nand2_2 -*I *25125:B1 I *D sky130_fd_sc_hd__a221o_2 -*I *25027:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25056:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25176:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25263:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *26845:B I *D sky130_fd_sc_hd__or2_1 -*I *25330:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25400:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25003:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *26838:A 0.0008753 -2 *25125:B1 0 -3 *25027:B1 0 -4 *25056:B1 0.000852213 -5 *25176:B1 1.99857e-05 -6 *25263:B1 7.30907e-05 -7 *26845:B 2.76557e-05 -8 *25330:A2 0.0008849 -9 *25400:A2 6.24915e-05 -10 *25003:Y 0 -11 *1328:198 0.00359545 -12 *1328:183 0.00222474 -13 *1328:179 0.00232867 -14 *1328:175 0.00192048 -15 *1328:156 0.0073797 -16 *1328:142 0.0032121 -17 *1328:140 0.00190698 -18 *1328:115 0.00341784 -19 *1328:114 0.00205855 -20 *1328:98 0.00117172 -21 *1328:83 0.00131832 -22 *1328:78 0.00377171 -23 *1328:66 0.00274423 -24 *1328:50 0.0020864 -25 *1328:46 0.00289248 -26 *1328:23 0.00232352 -27 *1328:22 0.00223101 -28 *1328:4 0.00187632 -29 *25056:B1 *25056:A1 6.10501e-05 -30 *25056:B1 *25056:A2 2.40379e-05 -31 *25056:B1 *27468:B1 6.24939e-05 -32 *25056:B1 *1378:8 5.33005e-05 -33 *25056:B1 *2877:234 8.84757e-05 -34 *25056:B1 *2885:129 0.000566422 -35 *25056:B1 *3850:24 0.00160167 -36 *25056:B1 *5633:63 0.000445635 -37 *25263:B1 *25263:A1 1.74352e-05 -38 *25263:B1 *1582:25 8.25843e-06 -39 *25263:B1 *2892:17 0.000221628 -40 *25330:A2 *25184:B2 0.000310249 -41 *25330:A2 *25330:A1 8.9224e-06 -42 *25330:A2 *25413:D 0.000335354 -43 *25330:A2 *1657:54 2.0953e-05 -44 *25330:A2 *3570:27 0.000308602 -45 *25330:A2 *3875:63 0.000119899 -46 *25330:A2 *5217:14 0 -47 *25330:A2 *5622:26 0.00036936 -48 *25330:A2 *5622:48 0.00077426 -49 *25330:A2 *5657:38 2.31307e-05 -50 *25400:A2 *25400:A1 0.000199279 -51 *25400:A2 *25949:A0 1.90936e-05 -52 *25400:A2 *25951:A1 2.04825e-05 -53 *25400:A2 *5520:48 0.000200933 -54 *26838:A *2777:58 0 -55 *26838:A *2777:105 0 -56 *26838:A *4352:19 6.3827e-05 -57 *26838:A *4574:47 4.15526e-05 -58 *26838:A *4796:30 0.000161183 -59 *26838:A *5599:29 0.000135926 -60 *26838:A *5602:21 4.29267e-05 -61 *26838:A *5667:129 9.17069e-06 -62 *26838:A *5694:119 0.000113256 -63 *26845:B *4352:35 2.89016e-05 -64 *1328:22 *25105:A1 9.41642e-05 -65 *1328:22 *25105:B2 0.000301322 -66 *1328:22 *25400:A1 8.43535e-06 -67 *1328:22 *26846:A1 0.000130001 -68 *1328:22 *1426:14 0.000125724 -69 *1328:22 *2798:64 0.00114413 -70 *1328:22 *2798:68 0.000312215 -71 *1328:22 *2839:73 0.000247177 -72 *1328:22 *3568:123 4.08637e-05 -73 *1328:22 *3568:136 0.000180186 -74 *1328:22 *3986:26 8.79856e-06 -75 *1328:22 *5010:18 0.000268403 -76 *1328:22 *5040:13 1.78394e-05 -77 *1328:22 *5618:61 0.000159531 -78 *1328:23 *5718:77 9.93273e-05 -79 *1328:46 *26855:A0 9.85535e-05 -80 *1328:46 *30833:A 8.77926e-05 -81 *1328:46 *1659:18 0.00135942 -82 *1328:46 *1722:21 0 -83 *1328:46 *3996:19 0.00126201 -84 *1328:46 *3996:21 7.58546e-05 -85 *1328:46 *5665:24 0.000230629 -86 *1328:46 *5705:162 4.23326e-05 -87 *1328:46 *5705:169 0.0013111 -88 *1328:50 *25391:A1 0.000591971 -89 *1328:50 *25391:B1 0.000313639 -90 *1328:50 *27905:B2 0.000105924 -91 *1328:50 *1480:35 0.000868723 -92 *1328:50 *1657:40 0.000757265 -93 *1328:50 *1659:18 1.71381e-05 -94 *1328:50 *1659:31 0.000147149 -95 *1328:50 *2864:40 4.11173e-05 -96 *1328:50 *2888:59 9.72789e-05 -97 *1328:50 *3875:63 0 -98 *1328:50 *3986:40 0.000278408 -99 *1328:50 *3996:21 0.001264 -100 *1328:50 *5622:48 1.3409e-05 -101 *1328:66 *26846:B1 7.50505e-05 -102 *1328:66 *2780:72 0 -103 *1328:78 *2780:72 0 -104 *1328:78 *4352:19 0.000193215 -105 *1328:83 *3572:36 0.00105918 -106 *1328:83 *5486:22 0.00105918 -107 *1328:98 *25263:A1 5.80467e-05 -108 *1328:98 *27047:A1 9.25014e-06 -109 *1328:98 *27182:S 0.000757363 -110 *1328:98 *1446:10 4.37584e-05 -111 *1328:98 *1536:13 0.000110072 -112 *1328:98 *2892:17 0.000137561 -113 *1328:98 *3158:36 1.90936e-05 -114 *1328:98 *3872:28 0.000146575 -115 *1328:98 *5687:142 0.000155969 -116 *1328:98 *5687:144 0.000217509 -117 *1328:98 *5687:153 0.000234504 -118 *1328:98 *6314:37 3.37161e-05 -119 *1328:114 *27179:A1 4.8817e-05 -120 *1328:114 *2850:37 0.00012707 -121 *1328:114 *3797:35 0.0001238 -122 *1328:114 *5635:15 0.000110999 -123 *1328:114 *5687:142 0.000131143 -124 *1328:115 *27091:A0 9.9974e-05 -125 *1328:115 *28612:D 0.000159061 -126 *1328:115 *2758:37 0.000535594 -127 *1328:115 *2790:58 0.00161717 -128 *1328:115 *2790:77 0.00017309 -129 *1328:115 *3205:27 8.73679e-05 -130 *1328:115 *3794:86 0.00300925 -131 *1328:115 *3797:35 0.000664639 -132 *1328:115 *5196:94 4.45524e-05 -133 *1328:115 *5591:36 0.0001203 -134 *1328:115 *5687:129 1.66687e-05 -135 *1328:115 *5707:103 0.000257773 -136 *1328:115 *5707:113 0.000152094 -137 *1328:140 *25324:A1 9.41642e-05 -138 *1328:140 *27129:A0 9.58126e-05 -139 *1328:140 *27129:A1 0.000592967 -140 *1328:140 *27332:A 0 -141 *1328:140 *27716:B1 1.51029e-05 -142 *1328:140 *2786:112 0.000147841 -143 *1328:140 *2853:164 9.94928e-05 -144 *1328:140 *2870:65 0.000378194 -145 *1328:140 *3221:15 0.000595499 -146 *1328:140 *3794:86 0.000728493 -147 *1328:140 *3872:43 0.000347362 -148 *1328:140 *4055:33 9.71197e-05 -149 *1328:140 *5634:113 0.000332637 -150 *1328:140 *5687:114 0 -151 *1328:142 *2786:112 1.74903e-05 -152 *1328:142 *4055:33 0.000102395 -153 *1328:156 *25176:A1 0.00161232 -154 *1328:156 *28722:RESET_B 1.52601e-05 -155 *1328:156 *29711:A 0.000306684 -156 *1328:156 *3018:30 0.000377635 -157 *1328:156 *3153:140 0.000193125 -158 *1328:156 *3952:18 0.000191403 -159 *1328:156 *3991:11 0.00038574 -160 *1328:175 *27448:B2 0.000245207 -161 *1328:175 *27810:A1 1.94945e-05 -162 *1328:175 *27810:B1 9.11825e-07 -163 *1328:175 *2860:366 0.000360747 -164 *1328:175 *2861:39 5.15774e-05 -165 *1328:175 *3170:167 0.000101554 -166 *1328:175 *3215:25 0.000121167 -167 *1328:175 *3215:178 0.000360167 -168 *1328:175 *3387:14 6.86703e-05 -169 *1328:175 *3838:22 0.000591679 -170 *1328:175 *5467:18 9.93664e-05 -171 *1328:175 *5649:66 0.000137671 -172 *1328:179 *27454:C1 4.97121e-06 -173 *1328:179 *2764:166 0.000307098 -174 *1328:179 *2859:313 0.000257941 -175 *1328:179 *2860:363 0.000413975 -176 *1328:179 *2861:39 0.00163513 -177 *1328:179 *2866:48 3.46786e-05 -178 *1328:179 *3215:178 0.000979191 -179 *1328:179 *5531:18 0.000293931 -180 *1328:179 *5604:72 0.000180379 -181 *1328:179 *5649:91 6.09762e-05 -182 *1328:183 *27474:A 0.000167422 -183 *1328:183 *27842:A2 0.000148078 -184 *1328:183 *2866:241 0.00049567 -185 *1328:183 *2892:161 0.000915735 -186 *1328:183 *3850:24 2.26541e-05 -187 *1328:183 *3917:22 0.000288497 -188 *1328:183 *3917:27 0.00036571 -189 *1328:183 *5531:18 0.00262681 -190 *1328:183 *5604:72 0.000250232 -191 *1328:183 *5604:109 0.000350277 -192 *1328:183 *5633:60 9.60957e-05 -193 *1328:183 *5633:63 4.29471e-05 -194 *1328:183 *5829:113 7.6644e-05 -195 *1328:198 *25027:A1 1.85689e-05 -196 *1328:198 *25027:B2 6.96405e-05 -197 *1328:198 *3018:30 0.000198839 -198 *1328:198 *5755:51 0.00186566 -199 *25027:A2 *1328:198 5.7661e-06 -200 *25105:B1 *1328:22 0.000426978 -201 *25176:A2 *25176:B1 1.04232e-05 -202 *25176:A2 *1328:142 0.000162755 -203 *25176:A2 *1328:156 1.98839e-05 -204 *25263:A2 *25263:B1 7.32286e-05 -205 *27240:B *26838:A 0.000522348 -206 *28791:D *26838:A 1.8038e-05 -207 *29759:A *1328:140 2.10756e-05 -208 *74:11 *1328:140 0 -209 *1141:20 *1328:23 8.15611e-05 -210 *1182:42 *25330:A2 0.000125447 -211 *1227:68 *1328:23 0.000212681 -212 *1240:41 *1328:22 2.15363e-05 -213 *1240:47 *1328:22 5.41794e-05 -214 *1243:86 *1328:22 9.25014e-06 -215 *1252:166 *1328:183 0.000604005 -216 *1254:116 *1328:66 0.000402602 -217 *1254:116 *1328:78 0.000206065 -218 *1257:99 *1328:46 0.000517335 -219 *1273:14 *1328:22 9.42015e-05 -220 *1274:137 *25056:B1 5.20359e-06 -221 *1276:21 *25330:A2 0.00134441 -222 *1282:68 *1328:98 0.000136242 -223 *1290:42 *1328:115 0.000914839 -224 *1290:179 *1328:175 2.04825e-05 -225 *1327:156 *1328:66 0 -*RES -1 *25003:Y *1328:4 9.3 -2 *1328:4 *1328:22 49.4011 -3 *1328:22 *1328:23 0.983867 -4 *1328:23 *25400:A2 19.46 -5 *1328:23 *1328:46 33.5043 -6 *1328:46 *1328:50 34.0536 -7 *1328:50 *25330:A2 48.6661 -8 *1328:4 *1328:66 9.78571 -9 *1328:66 *26845:B 14.3357 -10 *1328:66 *1328:78 10.6305 -11 *1328:78 *1328:83 21.8475 -12 *1328:83 *1328:98 31.8929 -13 *1328:98 *25263:B1 11.4786 -14 *1328:98 *1328:114 13.4593 -15 *1328:114 *1328:115 57.1964 -16 *1328:115 *1328:140 48.4705 -17 *1328:140 *1328:142 4.64286 -18 *1328:142 *25176:B1 9.72857 -19 *1328:142 *1328:156 46.7143 -20 *1328:156 *1328:175 27.3623 -21 *1328:175 *1328:179 33.4464 -22 *1328:179 *1328:183 47.4107 -23 *1328:183 *25056:B1 37.9339 -24 *1328:156 *1328:198 41.4107 -25 *1328:198 *25027:B1 9.3 -26 *1328:83 *25125:B1 9.3 -27 *1328:78 *26838:A 20.2876 -*END - -*D_NET *1329 0.00128393 -*CONN -*I *25010:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25005:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25010:C1 0.000382062 -2 *25005:X 0.000382062 -3 *25010:C1 *2856:316 9.45597e-06 -4 *25010:C1 *3170:201 2.3942e-05 -5 *25010:C1 *3370:11 4.89248e-05 -6 *25010:C1 *3370:18 4.46551e-05 -7 *25010:C1 *3943:37 1.62539e-05 -8 *25010:C1 *5627:197 5.90443e-06 -9 *25005:A2 *25010:C1 5.21937e-05 -10 *1252:184 *25010:C1 1.90936e-05 -11 *1266:186 *25010:C1 0.000123295 -12 *1302:6 *25010:C1 0.000156992 -13 *1302:16 *25010:C1 1.90936e-05 -*RES -1 *25005:X *25010:C1 34.7607 -*END - -*D_NET *1330 0.00254416 -*CONN -*I *25018:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25006:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25018:C1 0.000497298 -2 *25006:X 0.000497298 -3 *25018:C1 *27108:B 0.000154836 -4 *25018:C1 *27215:B 2.06112e-05 -5 *25018:C1 *1624:16 1.02277e-05 -6 *25018:C1 *2797:19 3.27359e-05 -7 *25018:C1 *3041:8 0.000571302 -8 *25018:C1 *3041:15 5.33005e-05 -9 *25018:C1 *5129:18 7.31473e-05 -10 *25018:C1 *5538:28 9.67517e-05 -11 *25018:C1 *5667:244 0.000224333 -12 *25006:A2 *25018:C1 0.00013347 -13 *25018:A2 *25018:C1 0.000178847 -*RES -1 *25006:X *25018:C1 40.1179 -*END - -*D_NET *1331 0.00556862 -*CONN -*I *25017:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25007:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25017:C1 0.000346937 -2 *25007:X 0.00054664 -3 *1331:15 0.000893577 -4 *25017:C1 *25017:A1 2.59355e-05 -5 *25017:C1 *25017:B2 5.33005e-05 -6 *25017:C1 *27442:B2 0.000523814 -7 *25017:C1 *27460:B2 0.000122947 -8 *25017:C1 *5680:241 0.000870372 -9 *25017:C1 *5714:29 0.000109443 -10 *1331:15 *25007:A1 3.14163e-05 -11 *1331:15 *2844:164 0.000177815 -12 *1331:15 *3019:8 0.000686882 -13 *1331:15 *3670:14 0.000989499 -14 *1331:15 *5535:37 8.36075e-05 -15 *25007:A2 *1331:15 5.7661e-06 -16 *1185:54 *1331:15 0.000100663 -*RES -1 *25007:X *1331:15 38.8179 -2 *1331:15 *25017:C1 25.6929 -*END - -*D_NET *1332 0.00861174 -*CONN -*I *25029:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25008:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25029:C1 0.000575165 -2 *25008:X 0.000967856 -3 *1332:10 0.00154302 -4 *25029:C1 *25027:B2 0.000238968 -5 *25029:C1 *2773:8 0.000578146 -6 *25029:C1 *3889:62 0 -7 *25029:C1 *4046:26 0.00118601 -8 *25029:C1 *5583:142 2.29694e-05 -9 *25029:C1 *5583:149 2.34059e-05 -10 *25029:C1 *5642:71 0.000419979 -11 *25029:C1 *5958:11 1.38323e-05 -12 *1332:10 *25019:C1 0.00110314 -13 *1332:10 *25027:B2 0.000272064 -14 *1332:10 *28585:D 6.05161e-06 -15 *1332:10 *1343:13 0.000377566 -16 *1332:10 *3735:34 1.3228e-05 -17 *1332:10 *3735:46 6.43196e-05 -18 *1332:10 *3889:62 8.62625e-05 -19 *1332:10 *5628:66 0.00111976 -*RES -1 *25008:X *1332:10 39.8982 -2 *1332:10 *25029:C1 34.5768 -*END - -*D_NET *1333 0.00144047 -*CONN -*I *25015:C1 I *D sky130_fd_sc_hd__a221o_2 -*I *25009:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25015:C1 0.000351288 -2 *25009:X 0.000351288 -3 *25015:C1 *2776:23 0.000267697 -4 *25015:C1 *2835:15 0.000176133 -5 *25015:C1 *3825:14 4.55258e-05 -6 *25015:B1 *25015:C1 0.000125724 -7 *1282:84 *25015:C1 0.000122812 -*RES -1 *25009:X *25015:C1 35.1 -*END - -*D_NET *1334 0.0199256 -*CONN -*I *25016:A I *D sky130_fd_sc_hd__or4_1 -*I *25010:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25016:A 0.000551732 -2 *25010:X 0.00173413 -3 *1334:22 0.00147712 -4 *1334:19 0.0017057 -5 *1334:11 0.00251444 -6 *25016:A *25012:A2 5.33005e-05 -7 *25016:A *25016:D 5.33005e-05 -8 *25016:A *1338:11 5.33005e-05 -9 *1334:11 *2767:62 0.000166289 -10 *1334:11 *3179:82 0.000209979 -11 *1334:11 *3386:10 5.01649e-06 -12 *1334:11 *5642:95 0.000344413 -13 *1334:11 *5651:289 0.000548307 -14 *1334:11 *5662:76 0.000122141 -15 *1334:19 *3722:23 0.000241205 -16 *1334:19 *3813:19 0 -17 *1334:19 *5386:12 0.000122609 -18 *1334:22 *25013:A1 0.000640137 -19 *1334:22 *2763:108 0.000493915 -20 *1334:22 *3682:15 0.000249613 -21 *1334:22 *3682:63 0.000126439 -22 *1334:22 *5386:12 0.00066695 -23 *1334:22 *5680:196 1.90936e-05 -24 *1334:22 *5829:126 0.000853134 -25 *25010:A2 *1334:11 0.00076243 -26 *25013:B1 *1334:22 0.000103248 -27 *244:65 *1334:22 0.00303532 -28 *1268:95 *1334:22 0.000101034 -29 *1269:264 *1334:22 9.10895e-05 -30 *1270:88 *1334:19 0 -31 *1272:158 *1334:19 0.00175467 -32 *1275:119 *1334:19 0.000411136 -33 *1275:139 *1334:19 4.98747e-05 -34 *1304:27 *1334:11 0.000303044 -35 *1315:10 *1334:19 1.65337e-05 -36 *1320:10 *1334:19 0.000344932 -*RES -1 *25010:X *1334:11 46.2643 -2 *1334:11 *1334:19 38.5714 -3 *1334:19 *1334:22 43.4821 -4 *1334:22 *25016:A 16.8179 -*END - -*D_NET *1335 0.00244969 -*CONN -*I *25012:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25011:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25012:C1 0.000308278 -2 *25011:X 0.000308278 -3 *25012:C1 *3708:32 0.000908658 -4 *25012:C1 *5608:78 1.58163e-05 -5 *1274:172 *25012:C1 0.000908658 -*RES -1 *25011:X *25012:C1 39.7964 -*END - -*D_NET *1336 0.000334857 -*CONN -*I *25016:B I *D sky130_fd_sc_hd__or4_1 -*I *25012:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25016:B 7.6102e-05 -2 *25012:X 7.6102e-05 -3 *25016:B *25012:A2 7.83587e-05 -4 *25016:B *3558:224 7.83587e-05 -5 *25016:B *3630:25 2.59355e-05 -*RES -1 *25012:X *25016:B 29.1714 -*END - -*D_NET *1337 0.000997354 -*CONN -*I *25014:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25013:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25014:C1 0.000229945 -2 *25013:X 0.000229945 -3 *25014:C1 *2770:53 1.90936e-05 -4 *25014:C1 *3558:224 0.000329335 -5 *25014:C1 *3708:11 3.14048e-05 -6 *25014:C1 *5528:47 0.000157631 -*RES -1 *25013:X *25014:C1 32.9214 -*END - -*D_NET *1338 0.00651461 -*CONN -*I *25016:C I *D sky130_fd_sc_hd__or4_1 -*I *25014:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25016:C 0 -2 *25014:X 9.30373e-05 -3 *1338:11 0.00118878 -4 *1338:7 0.00128182 -5 *1338:7 *25014:A1 1.07719e-05 -6 *1338:11 *25012:A2 1.98839e-05 -7 *1338:11 *25014:A1 7.6644e-05 -8 *1338:11 *25034:A 0.000136951 -9 *1338:11 *28552:D 0.000292701 -10 *1338:11 *28552:RESET_B 0.000221281 -11 *1338:11 *28568:D 0.000100823 -12 *1338:11 *2768:141 0.000591756 -13 *1338:11 *2768:146 0 -14 *1338:11 *2769:98 0.00047112 -15 *1338:11 *4072:32 0.000539154 -16 *1338:11 *4072:34 0.00139085 -17 *25016:A *1338:11 5.33005e-05 -18 *30396:A *1338:11 4.57352e-05 -*RES -1 *25014:X *1338:7 14.7464 -2 *1338:7 *1338:11 42.7321 -3 *1338:11 *25016:C 9.3 -*END - -*D_NET *1339 0.0392459 -*CONN -*I *25016:D I *D sky130_fd_sc_hd__or4_1 -*I *25015:X O *D sky130_fd_sc_hd__a221o_2 -*CAP -1 *25016:D 3.34103e-05 -2 *25015:X 0.0010747 -3 *1339:36 0.00107641 -4 *1339:34 0.00211163 -5 *1339:26 0.00235182 -6 *1339:24 0.00426205 -7 *1339:10 0.00405357 -8 *1339:10 *25015:B2 9.58126e-05 -9 *1339:10 *27044:A0 0.000627887 -10 *1339:10 *27606:C 0.000121871 -11 *1339:10 *27664:A 6.19056e-05 -12 *1339:10 *2825:45 8.24217e-05 -13 *1339:10 *2827:65 0.000263546 -14 *1339:10 *3040:41 0.00023996 -15 *1339:10 *3158:11 0.000461749 -16 *1339:10 *3825:37 0 -17 *1339:10 *5531:18 0.00229495 -18 *1339:24 *27650:A 0.00019867 -19 *1339:24 *27650:B 9.60939e-05 -20 *1339:24 *27650:D 0.000266427 -21 *1339:24 *28215:CLK 5.91336e-05 -22 *1339:24 *28215:D 8.50152e-05 -23 *1339:24 *2776:109 2.59045e-05 -24 *1339:24 *5592:24 0.000647387 -25 *1339:24 *5647:10 0.00096708 -26 *1339:24 *5667:286 9.32491e-05 -27 *1339:24 *5678:158 0.000259186 -28 *1339:26 *24980:A2 0.000195547 -29 *1339:26 *27008:S 5.59013e-05 -30 *1339:26 *28182:D 7.15591e-05 -31 *1339:26 *28592:CLK 0.000223599 -32 *1339:26 *28592:D 4.40898e-05 -33 *1339:26 *28608:D 0.000176226 -34 *1339:26 *30481:A 0.000128146 -35 *1339:26 *2776:109 0.000939389 -36 *1339:26 *2776:117 0.000386854 -37 *1339:26 *3631:12 0.000222021 -38 *1339:26 *3633:13 0.00015134 -39 *1339:26 *3633:62 0.000433075 -40 *1339:26 *3633:73 0.000745522 -41 *1339:26 *5602:53 0.000313422 -42 *1339:26 *5606:78 0.00201747 -43 *1339:26 *5667:286 0.000517909 -44 *1339:34 *25706:A0 0.00015018 -45 *1339:34 *30865:A 0.000173076 -46 *1339:34 *1352:19 0.000108314 -47 *1339:34 *1844:160 0.000133783 -48 *1339:34 *3734:56 0.00093987 -49 *1339:34 *3747:11 0.000106018 -50 *1339:34 *3747:13 2.79421e-05 -51 *1339:34 *5529:35 0.00199143 -52 *1339:34 *5606:81 8.40351e-06 -53 *1339:36 *25012:A2 9.25014e-06 -54 *1339:36 *28512:D 0.000341525 -55 *1339:36 *1352:19 0.00102609 -56 *1339:36 *2772:183 7.49162e-06 -57 *1339:36 *2772:189 0.00293321 -58 *1339:36 *3558:224 0.000579046 -59 *1339:36 *4124:104 0.000799043 -60 *1339:36 *4150:22 0.00018798 -61 *1339:36 *5529:35 3.0791e-05 -62 *1339:36 *5529:46 0.000731801 -63 *25016:A *25016:D 5.33005e-05 -64 *30410:A *1339:26 0.00019712 -65 *1270:114 *1339:34 0.000176372 -*RES -1 *25015:X *1339:10 49.7286 -2 *1339:10 *1339:24 48.3125 -3 *1339:24 *1339:26 49.1518 -4 *1339:26 *1339:34 39.5446 -5 *1339:34 *1339:36 46.1161 -6 *1339:36 *25016:D 14.3357 -*END - -*D_NET *1340 0.00210469 -*CONN -*I *25034:A I *D sky130_fd_sc_hd__or2_4 -*I *25016:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *25034:A 0.000617145 -2 *25016:X 0.000617145 -3 *25034:A *28480:RESET_B 0.000438671 -4 *25034:A *30868:A 0.000249243 -5 *25034:A *1358:19 4.5539e-05 -6 *25034:A *5936:138 0 -7 *1338:11 *25034:A 0.000136951 -*RES -1 *25016:X *25034:A 39.8857 -*END - -*D_NET *1341 0.00898637 -*CONN -*I *25019:B1 I *D sky130_fd_sc_hd__a211o_1 -*I *25017:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25019:B1 0 -2 *25017:X 5.1571e-05 -3 *1341:15 0.00199753 -4 *1341:8 0.0020491 -5 *1341:8 *3215:13 7.25392e-05 -6 *1341:8 *5589:174 1.02504e-05 -7 *1341:15 *25019:A1 0.000236525 -8 *1341:15 *25021:A1 1.98839e-05 -9 *1341:15 *25021:B2 0.000135028 -10 *1341:15 *5423:8 0.00290394 -11 *25019:A2 *1341:15 2.15245e-05 -12 *25021:A2 *1341:15 0.000200019 -13 *1185:54 *1341:8 0.00015234 -14 *1228:87 *1341:15 0.00113612 -*RES -1 *25017:X *1341:8 20.2464 -2 *1341:8 *1341:15 41.4464 -3 *1341:15 *25019:B1 9.3 -*END - -*D_NET *1342 0.0234187 -*CONN -*I *25019:C1 I *D sky130_fd_sc_hd__a211o_1 -*I *25018:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25019:C1 0.000600403 -2 *25018:X 0.00114272 -3 *1342:26 0.00182839 -4 *1342:14 0.00244402 -5 *1342:11 0.00235875 -6 *25019:C1 *25027:B2 0.00132629 -7 *25019:C1 *28585:D 0.000243642 -8 *25019:C1 *3981:42 0.000175505 -9 *25019:C1 *4046:38 0.000201621 -10 *25019:C1 *5536:31 0.000321858 -11 *25019:C1 *5628:66 2.14378e-05 -12 *1342:11 *25018:B2 0.000276232 -13 *1342:11 *26830:A1 0.000113295 -14 *1342:11 *27097:A0 0.000695307 -15 *1342:11 *28664:D 8.07313e-05 -16 *1342:11 *30492:A 0.000266672 -17 *1342:11 *3877:5 2.42662e-05 -18 *1342:14 *28431:CLK 0.000128146 -19 *1342:14 *28431:D 9.24395e-05 -20 *1342:14 *30503:A 0.000617052 -21 *1342:14 *30967:A 8.0294e-05 -22 *1342:14 *3889:66 2.26424e-05 -23 *1342:14 *3981:21 0.000584875 -24 *1342:14 *3995:9 0.000173083 -25 *1342:14 *5240:8 3.6017e-05 -26 *1342:14 *5492:10 0.000680474 -27 *1342:14 *5492:12 0.000157541 -28 *1342:14 *5536:29 0.00132036 -29 *1342:14 *5536:31 4.97121e-06 -30 *1342:26 *25702:A0 0.000287849 -31 *1342:26 *27106:A1 0.000268306 -32 *1342:26 *3981:21 0.000126496 -33 *1342:26 *3981:42 0.00168668 -34 *1342:26 *4059:11 0.000315894 -35 *1342:26 *5536:31 0.00166377 -36 *1342:26 *5662:33 0.000261151 -37 *1342:26 *5947:14 4.11173e-05 -38 *25019:A2 *25019:C1 2.59355e-05 -39 *1307:11 *1342:26 0.00161931 -40 *1332:10 *25019:C1 0.00110314 -*RES -1 *25018:X *1342:11 37.0679 -2 *1342:11 *1342:14 40.8393 -3 *1342:14 *1342:26 44.7857 -4 *1342:26 *25019:C1 39.05 -*END - -*D_NET *1343 0.0140129 -*CONN -*I *25020:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25019:X O *D sky130_fd_sc_hd__a211o_1 -*CAP -1 *25020:C1 0.000508637 -2 *25019:X 0.00201846 -3 *1343:19 0.00114288 -4 *1343:13 0.0026527 -5 *25020:C1 *25020:B2 1.02504e-05 -6 *25020:C1 *25033:D 0.000148196 -7 *25020:C1 *30867:A 0.000129868 -8 *25020:C1 *2768:141 8.66324e-05 -9 *25020:C1 *3695:26 6.6289e-05 -10 *25020:C1 *5461:20 0.000430199 -11 *25020:C1 *5467:18 0.000177227 -12 *25020:C1 *5468:31 0.00114908 -13 *25020:C1 *5679:92 0.000180764 -14 *1343:13 *25019:A1 1.65169e-05 -15 *1343:13 *3889:62 0.000186215 -16 *1343:19 *25033:D 5.52302e-05 -17 *1343:19 *5461:20 0.00220063 -18 *1343:19 *5659:28 0.00220063 -19 *1343:19 *5936:11 0.0001399 -20 *25019:A2 *1343:13 0.000135028 -21 *1332:10 *1343:13 0.000377566 -*RES -1 *25019:X *1343:13 46.5143 -2 *1343:13 *1343:19 38.4107 -3 *1343:19 *25020:C1 41.5143 -*END - -*D_NET *1344 0.00290724 -*CONN -*I *25033:B I *D sky130_fd_sc_hd__or4_1 -*I *25020:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25033:B 0.000295766 -2 *25020:X 0.000295766 -3 *25033:B *5461:20 0.00115664 -4 *25033:B *5659:28 0.00115907 -*RES -1 *25020:X *25033:B 42.2964 -*END - -*D_NET *1345 0.00684944 -*CONN -*I *25024:B1 I *D sky130_fd_sc_hd__a211o_1 -*I *25021:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25024:B1 0.000501445 -2 *25021:X 0.00099592 -3 *1345:13 0.00149737 -4 *25024:B1 *25024:A1 1.97387e-05 -5 *25024:B1 *25024:C1 3.19477e-05 -6 *25024:B1 *30420:A 0.000257619 -7 *25024:B1 *1348:8 0.000265453 -8 *25024:B1 *5589:180 0.000220183 -9 *1345:13 *25021:A1 0.000170654 -10 *1345:13 *26935:S 0.00147133 -11 *1345:13 *3826:57 4.56498e-05 -12 *1345:13 *5423:8 5.52238e-05 -13 *1345:13 *5589:180 0.00105568 -14 *1345:13 *5645:71 0.000261234 -*RES -1 *25021:X *1345:13 43.3893 -2 *1345:13 *25024:B1 18.7821 -*END - -*D_NET *1346 0.0132666 -*CONN -*I *25023:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25022:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25023:C1 0 -2 *25022:X 0.000531506 -3 *1346:8 0.00129108 -4 *1346:7 0.00182259 -5 *1346:7 *27052:A1 2.72695e-05 -6 *1346:7 *28726:CLK 4.87953e-05 -7 *1346:7 *2777:105 0.000733694 -8 *1346:7 *2777:119 0.000519664 -9 *1346:7 *5714:5 0.00043986 -10 *1346:8 *25023:B2 1.02504e-05 -11 *1346:8 *3177:24 0 -12 *1346:8 *3826:49 0.000171709 -13 *1346:8 *3826:52 0.000268438 -14 *1346:8 *3877:23 0.00167197 -15 *1346:8 *3877:26 1.30327e-05 -16 *1346:8 *3903:26 0.00389722 -17 *1346:8 *3993:14 0.000227808 -18 *1346:8 *5592:24 6.51946e-05 -19 *1346:8 *5645:39 0.00041612 -20 *1346:8 *5663:19 0.00076318 -21 *30472:A *1346:7 0.000347181 -*RES -1 *25022:X *1346:7 27.8893 -2 *1346:7 *1346:8 50.8214 -3 *1346:8 *25023:C1 13.8 -*END - -*D_NET *1347 0.0131687 -*CONN -*I *25024:C1 I *D sky130_fd_sc_hd__a211o_1 -*I *25023:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25024:C1 0.000504406 -2 *25023:X 0.00135211 -3 *1347:31 0.00163463 -4 *1347:19 0.00248234 -5 *25024:C1 *25024:A1 0.000273235 -6 *25024:C1 *3631:42 0.000198698 -7 *25024:C1 *4111:38 3.46786e-05 -8 *25024:C1 *5755:113 0.000221163 -9 *1347:19 *24980:A2 0.000424029 -10 *1347:19 *25023:A1 0 -11 *1347:19 *28765:CLK 0.000274581 -12 *1347:19 *28765:D 7.14719e-05 -13 *1347:19 *28765:RESET_B 1.68581e-05 -14 *1347:19 *30460:A 0.000180777 -15 *1347:19 *3348:17 1.01912e-05 -16 *1347:19 *3633:9 0.000133399 -17 *1347:19 *5753:53 6.6538e-05 -18 *1347:19 *5753:67 0.000789064 -19 *1347:19 *5863:177 5.00194e-05 -20 *1347:31 *28216:D 0.000201963 -21 *1347:31 *28592:D 6.57032e-05 -22 *1347:31 *28593:D 5.12112e-05 -23 *1347:31 *2781:21 0.000168573 -24 *1347:31 *2781:38 1.94945e-05 -25 *1347:31 *3734:48 0.000125724 -26 *1347:31 *3747:11 0.000312176 -27 *1347:31 *3748:15 0.000135028 -28 *1347:31 *4334:15 0.000477305 -29 *1347:31 *5465:10 0.00012401 -30 *1347:31 *5468:29 0 -31 *1347:31 *5606:81 0.000101384 -32 *1347:31 *5675:97 0.00130649 -33 *1347:31 *5755:113 2.82057e-05 -34 *25023:A2 *1347:19 4.65827e-05 -35 *25024:B1 *25024:C1 3.19477e-05 -36 *557:11 *1347:31 0.000290993 -37 *1270:114 *1347:31 2.96805e-05 -38 *1326:68 *1347:31 0.000401397 -39 *1326:72 *25024:C1 0.000532651 -*RES -1 *25023:X *1347:19 45.7263 -2 *1347:19 *1347:31 49.0357 -3 *1347:31 *25024:C1 25.1571 -*END - -*D_NET *1348 0.00731547 -*CONN -*I *25033:C I *D sky130_fd_sc_hd__or4_1 -*I *25024:X O *D sky130_fd_sc_hd__a211o_1 -*CAP -1 *25033:C 0.000147339 -2 *25024:X 0.000873308 -3 *1348:8 0.00102065 -4 *25033:C *4186:46 0.000326124 -5 *1348:8 *28932:A 0.00130415 -6 *1348:8 *3574:53 0.00110344 -7 *1348:8 *5606:81 0.000422465 -8 *1348:8 *5606:94 0.00163091 -9 *25024:B1 *1348:8 0.000265453 -10 *25033:A *25033:C 0.000221628 -*RES -1 *25024:X *1348:8 47.175 -2 *1348:8 *25033:C 17.6214 -*END - -*D_NET *1349 0.00435852 -*CONN -*I *25026:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25025:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25026:C1 0.00125531 -2 *25025:X 0.00125531 -3 *25026:C1 *25026:A1 9.41642e-05 -4 *25026:C1 *25026:B2 7.70134e-06 -5 *25026:C1 *5485:145 0.000258076 -6 *25026:C1 *5602:80 0.00117795 -7 *25026:C1 *5649:99 0.000256361 -8 *1252:146 *25026:C1 5.36493e-05 -*RES -1 *25025:X *25026:C1 46.0107 -*END - -*D_NET *1350 0.0194068 -*CONN -*I *25032:A I *D sky130_fd_sc_hd__or4_1 -*I *25026:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25032:A 0.000792197 -2 *25026:X 0.00299187 -3 *1350:25 0.00225461 -4 *1350:13 0.00445428 -5 *25032:A *25032:D 0.000218679 -6 *25032:A *2775:50 0.000828069 -7 *25032:A *3695:26 6.35515e-05 -8 *25032:A *3708:37 0.00123911 -9 *25032:A *4150:65 0.000753776 -10 *25032:A *5601:128 0.000115139 -11 *25032:A *5602:53 0.000246561 -12 *25032:A *5678:81 0.00055513 -13 *25032:A *5936:11 5.33005e-05 -14 *25032:A *5936:15 0.000139187 -15 *1350:13 *25026:A1 0.000178847 -16 *1350:13 *27826:A2 1.96517e-05 -17 *1350:13 *27826:B1 5.37446e-05 -18 *1350:13 *27826:B2 0.000797293 -19 *1350:13 *1358:19 0.000519335 -20 *1350:13 *2853:202 0.00100904 -21 *1350:13 *2855:310 4.12681e-06 -22 *1350:13 *2861:42 1.90936e-05 -23 *1350:13 *3165:27 2.04825e-05 -24 *1350:13 *3206:207 0.000272658 -25 *1350:13 *5632:44 1.59858e-05 -26 *1350:25 *25011:A1 2.12005e-05 -27 *1350:25 *3179:67 3.24754e-05 -28 *1350:25 *3890:68 4.58194e-05 -29 *1350:25 *5386:12 0.000101738 -30 *1350:25 *5602:76 6.33897e-05 -31 *1350:25 *5608:78 8.55871e-05 -32 *1350:25 *5652:109 0 -33 *1350:25 *5660:102 4.19624e-06 -34 *1350:25 *5660:105 7.32756e-05 -35 *1350:25 *5829:126 0.000434797 -36 *26964:A *25032:A 0.000246117 -37 *1252:166 *1350:13 0.000249422 -38 *1268:96 *1350:25 0.00043309 -*RES -1 *25026:X *1350:13 49.1779 -2 *1350:13 *1350:25 41.1518 -3 *1350:25 *25032:A 48.8111 -*END - -*D_NET *1351 0.000628374 -*CONN -*I *25028:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25027:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25028:C1 0.000108553 -2 *25027:X 0.000108553 -3 *25028:C1 *27812:A1 0.000135028 -4 *25028:C1 *2776:117 0.00012501 -5 *25028:C1 *3864:39 0.000123288 -6 *25028:C1 *4150:65 2.79421e-05 -*RES -1 *25027:X *25028:C1 30.6 -*END - -*D_NET *1352 0.00479468 -*CONN -*I *25032:B I *D sky130_fd_sc_hd__or4_1 -*I *25028:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25032:B 0 -2 *25028:X 0.000929414 -3 *1352:19 0.000929414 -4 *1352:19 *25033:D 5.33005e-05 -5 *1352:19 *25706:A0 0.000148651 -6 *1352:19 *1844:160 8.58427e-05 -7 *1352:19 *2775:50 2.21972e-05 -8 *1352:19 *3734:56 6.86693e-05 -9 *1352:19 *4124:104 0.00103721 -10 *1352:19 *5606:81 9.01724e-06 -11 *1352:19 *5606:94 0.000212013 -12 *1352:19 *5608:71 1.90936e-05 -13 *1352:19 *5936:11 0.000145451 -14 *1339:34 *1352:19 0.000108314 -15 *1339:36 *1352:19 0.00102609 -*RES -1 *25028:X *1352:19 48.1173 -2 *1352:19 *25032:B 9.3 -*END - -*D_NET *1353 0.0021652 -*CONN -*I *25032:C I *D sky130_fd_sc_hd__or4_1 -*I *25029:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25032:C 0.000667662 -2 *25029:X 0.000667662 -3 *25032:C *3748:34 9.71197e-05 -4 *25032:C *5528:45 0.000344925 -5 *25032:C *5583:149 4.53371e-05 -6 *25032:C *5608:78 0.000342495 -*RES -1 *25029:X *25032:C 38.2607 -*END - -*D_NET *1354 0.00954235 -*CONN -*I *25031:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25030:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25031:C1 0.00185445 -2 *25030:X 0.000479313 -3 *1354:16 0.00233376 -4 *25031:C1 *25031:B2 1.38323e-05 -5 *25031:C1 *25032:D 0.000548724 -6 *25031:C1 *2894:361 0.00169545 -7 *25031:C1 *3360:11 0.000129868 -8 *25031:C1 *5656:34 0.000128146 -9 *1354:16 *3574:43 5.52238e-05 -10 *1354:16 *3708:37 0.000433712 -11 *1354:16 *3890:47 0.000171255 -12 *1354:16 *3982:71 0.000147718 -13 *1354:16 *3995:44 0.000699484 -14 *1354:16 *5660:64 6.05161e-06 -15 *1354:16 *5662:36 7.05143e-06 -16 *1354:16 *5863:163 0.000148903 -17 *1294:193 *1354:16 0.000689407 -*RES -1 *25030:X *1354:16 42.9429 -2 *1354:16 *25031:C1 42.6571 -*END - -*D_NET *1355 0.00756559 -*CONN -*I *25032:D I *D sky130_fd_sc_hd__or4_1 -*I *25031:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25032:D 0.00117072 -2 *25031:X 0.00122635 -3 *1355:20 0.00239707 -4 *25032:D *25031:B2 0.000721419 -5 *25032:D *5936:15 0.000382372 -6 *1355:20 *25031:B2 0.000306785 -7 *1355:20 *27454:B2 0 -8 *1355:20 *27456:B1 8.6051e-05 -9 *1355:20 *2855:302 2.83129e-05 -10 *1355:20 *2885:82 4.18305e-05 -11 *1355:20 *2889:162 6.95627e-05 -12 *1355:20 *3013:11 0.000159071 -13 *1355:20 *3018:22 1.24368e-05 -14 *1355:20 *3682:48 8.6051e-05 -15 *1355:20 *3708:68 2.24813e-05 -16 *1355:20 *3812:31 0 -17 *1355:20 *3878:43 6.74751e-05 -18 *1355:20 *5639:61 2.01997e-05 -19 *25031:B1 *1355:20 0 -20 *25031:C1 *25032:D 0.000548724 -21 *25032:A *25032:D 0.000218679 -*RES -1 *25031:X *1355:20 35.8226 -2 *1355:20 *25032:D 30.3714 -*END - -*D_NET *1356 0.00153935 -*CONN -*I *25033:D I *D sky130_fd_sc_hd__or4_1 -*I *25032:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *25033:D 0.000465465 -2 *25032:X 0.000465465 -3 *25033:D *5461:20 0.000148196 -4 *25033:D *5936:11 0.000203495 -5 *25020:C1 *25033:D 0.000148196 -6 *1343:19 *25033:D 5.52302e-05 -7 *1352:19 *25033:D 5.33005e-05 -*RES -1 *25032:X *25033:D 34.6 -*END - -*D_NET *1357 0.00423266 -*CONN -*I *25034:B I *D sky130_fd_sc_hd__or2_4 -*I *25033:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *25034:B 0 -2 *25033:X 0.000615234 -3 *1357:10 0.000615234 -4 *1357:10 *28480:RESET_B 0.00160303 -5 *1357:10 *1864:151 0.000198691 -6 *1357:10 *4186:46 2.89114e-05 -7 *1357:10 *5659:28 0.000128727 -8 *1357:10 *5679:92 0.000613097 -9 *1357:10 *5755:159 0.000234508 -10 *1357:10 *5926:108 0.000195228 -*RES -1 *25033:X *1357:10 44.4607 -2 *1357:10 *25034:B 9.3 -*END - -*D_NET *1358 0.0967394 -*CONN -*I *25796:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27979:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25811:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25035:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25820:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25034:X O *D sky130_fd_sc_hd__or2_4 -*CAP -1 *25796:A1 0 -2 *27979:A1 0.000341145 -3 *25811:A1 0 -4 *25035:A0 0.000169895 -5 *25820:A1 0.0013965 -6 *25034:X 0.0139481 -7 *1358:89 0.000449904 -8 *1358:86 0.00173812 -9 *1358:85 0.00246352 -10 *1358:69 0.00376168 -11 *1358:44 0.00411936 -12 *1358:25 0.0107752 -13 *1358:19 0.0223048 -14 *25035:A0 *1873:61 2.04825e-05 -15 *25820:A1 *25820:S 1.58163e-05 -16 *25820:A1 *1874:68 0.000125304 -17 *25820:A1 *3461:26 0 -18 *25820:A1 *5243:8 0.000343751 -19 *25820:A1 *5549:34 8.26543e-05 -20 *27979:A1 *2479:8 0.00140192 -21 *27979:A1 *5541:8 0.00140363 -22 *27979:A1 *6147:14 0.000270135 -23 *1358:19 *6628:DIODE 0.000101009 -24 *1358:19 *25025:B2 4.3942e-05 -25 *1358:19 *25107:C1 0 -26 *1358:19 *25378:A1 5.60653e-05 -27 *1358:19 *26896:A0 7.18024e-05 -28 *1358:19 *27304:A1 0.000129607 -29 *1358:19 *27365:B1 0.00022003 -30 *1358:19 *27366:B2 4.65519e-05 -31 *1358:19 *1385:33 2.35215e-05 -32 *1358:19 *1395:126 0.000175804 -33 *1358:19 *1448:127 0 -34 *1358:19 *2758:105 0.000443827 -35 *1358:19 *2772:183 0 -36 *1358:19 *2791:102 2.9988e-05 -37 *1358:19 *2794:183 0.000534082 -38 *1358:19 *2844:201 0.000116658 -39 *1358:19 *2848:191 5.98644e-05 -40 *1358:19 *2853:202 0.000415752 -41 *1358:19 *2856:157 0.000899778 -42 *1358:19 *2856:196 0 -43 *1358:19 *2859:187 0.000496838 -44 *1358:19 *2864:156 0.000202476 -45 *1358:19 *2866:241 2.22731e-06 -46 *1358:19 *2870:21 9.54008e-05 -47 *1358:19 *2871:206 2.01904e-05 -48 *1358:19 *3173:158 5.54413e-05 -49 *1358:19 *3206:207 0.000271265 -50 *1358:19 *3664:48 0.000570488 -51 *1358:19 *3686:83 7.4416e-05 -52 *1358:19 *3739:122 0.00140865 -53 *1358:19 *3768:27 0.000172708 -54 *1358:19 *5589:166 0.000181918 -55 *1358:19 *5667:60 1.8995e-06 -56 *1358:19 *5847:59 9.34324e-05 -57 *1358:19 *5936:138 1.53908e-05 -58 *1358:25 *27304:A1 0.000112585 -59 *1358:25 *27562:A 0.000430841 -60 *1358:25 *27930:A1 0.0013314 -61 *1358:25 *27959:A2 0.000349873 -62 *1358:25 *27960:B1 0 -63 *1358:25 *27960:B2 0.000115864 -64 *1358:25 *27966:A1 4.79453e-05 -65 *1358:25 *28064:B 0 -66 *1358:25 *28311:RESET_B 4.18309e-05 -67 *1358:25 *28718:RESET_B 5.94628e-05 -68 *1358:25 *2865:144 0.000250768 -69 *1358:25 *2866:149 3.91936e-05 -70 *1358:25 *2874:101 2.48858e-05 -71 *1358:25 *2889:281 0.000364132 -72 *1358:25 *3173:110 0.000292663 -73 *1358:25 *3173:158 0.000256719 -74 *1358:25 *3184:146 0.000486627 -75 *1358:25 *3461:26 0 -76 *1358:25 *3506:19 0.000340799 -77 *1358:25 *3561:16 0 -78 *1358:25 *3686:83 7.91975e-05 -79 *1358:25 *3766:71 0 -80 *1358:25 *3780:53 0.00013248 -81 *1358:25 *3828:77 0.000125456 -82 *1358:25 *3896:56 0.000239897 -83 *1358:25 *4064:37 6.56019e-05 -84 *1358:25 *5605:99 8.69554e-05 -85 *1358:25 *5614:88 5.07605e-05 -86 *1358:25 *5657:114 0.000109422 -87 *1358:25 *5687:14 0.000372069 -88 *1358:25 *5706:16 0.00170953 -89 *1358:25 *5707:28 0.00150455 -90 *1358:44 *1393:165 0.000656376 -91 *1358:44 *5728:36 0.000479227 -92 *1358:69 *25809:A1 0.000119987 -93 *1358:69 *28063:A 4.13122e-06 -94 *1358:69 *1393:132 1.01912e-05 -95 *1358:69 *1393:140 0.00175022 -96 *1358:69 *1393:165 0.000254558 -97 *1358:69 *1472:25 0.000560433 -98 *1358:69 *1730:87 0.00011927 -99 *1358:69 *1873:51 0.000236616 -100 *1358:69 *3510:156 7.87351e-06 -101 *1358:85 *27974:A0 2.84026e-05 -102 *1358:85 *27974:A1 8.70362e-05 -103 *1358:85 *28063:A 5.70459e-05 -104 *1358:85 *1393:132 2.14933e-05 -105 *1358:85 *5555:8 0.000139907 -106 *1358:85 *5565:8 5.42961e-05 -107 *1358:85 *5565:35 2.30245e-05 -108 *1358:86 *27976:A0 7.83659e-05 -109 *1358:86 *30986:A 0 -110 *1358:86 *1871:50 0.00108276 -111 *1358:86 *1871:55 0.00176885 -112 *1358:86 *2349:30 0.000510916 -113 *1358:86 *5541:8 0 -114 *1358:86 *5556:13 0.00259454 -115 *1358:86 *5565:45 0.000574441 -116 *1358:86 *5566:8 7.99046e-05 -117 *1358:89 *25796:A0 0.000135028 -118 *25034:A *1358:19 4.5539e-05 -119 *25122:A2 *1358:19 0.000164 -120 *25122:A2 *1358:25 1.46617e-05 -121 *28251:D *1358:85 0.000189352 -122 *28411:RESET_B *25035:A0 1.90936e-05 -123 *1178:105 *1358:19 0.000416889 -124 *1191:85 *25035:A0 4.81075e-06 -125 *1230:51 *1358:19 0.000106759 -126 *1243:58 *1358:19 0.000339022 -127 *1250:232 *1358:19 0 -128 *1252:166 *1358:19 0.000725439 -129 *1273:156 *1358:19 6.10624e-05 -130 *1281:103 *1358:19 0.000781468 -131 *1324:88 *25820:A1 0.000146911 -132 *1324:113 *25035:A0 0.000135028 -133 *1324:146 *25820:A1 7.34107e-05 -134 *1327:78 *1358:19 0.000377434 -135 *1350:13 *1358:19 0.000519335 -*RES -1 *25034:X *1358:19 49.9196 -2 *1358:19 *1358:25 20.6784 -3 *1358:25 *25820:A1 24.2825 -4 *1358:25 *1358:44 5.90727 -5 *1358:44 *25035:A0 22.337 -6 *1358:44 *1358:69 13.976 -7 *1358:69 *25811:A1 13.8 -8 *1358:69 *1358:85 22.2857 -9 *1358:85 *1358:86 56.8929 -10 *1358:86 *1358:89 5.85714 -11 *1358:89 *27979:A1 36.0321 -12 *1358:89 *25796:A1 9.3 -*END - -*D_NET *1359 0.00244479 -*CONN -*I *25036:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25035:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *25036:A0 0.000530106 -2 *25035:X 0.000530106 -3 *25036:A0 *25036:A1 5.33005e-05 -4 *25036:A0 *25168:A1 0 -5 *25036:A0 *27975:A0 2.84109e-05 -6 *25036:A0 *28413:CLK_N 0.0002877 -7 *25036:A0 *28413:D 0.00019435 -8 *25036:A0 *1871:94 1.52733e-05 -9 *25036:A0 *6169:113 0.000381596 -10 *1324:88 *25036:A0 2.2351e-05 -11 *1324:104 *25036:A0 0.000401594 -*RES -1 *25035:X *25036:A0 40.2607 -*END - -*D_NET *1360 0.0550864 -*CONN -*I *25039:B I *D sky130_fd_sc_hd__nor2_8 -*I *25075:B I *D sky130_fd_sc_hd__nor2_8 -*I *25102:B I *D sky130_fd_sc_hd__nor2_4 -*I *25158:B I *D sky130_fd_sc_hd__nor2_4 -*I *25353:B I *D sky130_fd_sc_hd__nor2_1 -*I *25116:B I *D sky130_fd_sc_hd__nor2_4 -*I *25038:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *25039:B 0 -2 *25075:B 0 -3 *25102:B 0.000272015 -4 *25158:B 0 -5 *25353:B 0.000369301 -6 *25116:B 2.15003e-05 -7 *25038:Y 6.88338e-05 -8 *1360:101 0.00304494 -9 *1360:95 0.00347302 -10 *1360:84 0.000522375 -11 *1360:72 0.00133904 -12 *1360:44 0.00122958 -13 *1360:27 0.00222053 -14 *1360:20 0.00327222 -15 *1360:18 0.00287723 -16 *1360:7 0.00198533 -17 *25102:B *25102:A 0.000549154 -18 *25102:B *1399:93 4.58194e-05 -19 *25116:B *3778:77 1.00733e-05 -20 *25353:B *25353:A 0.000254378 -21 *25353:B *5661:42 0.000224774 -22 *25353:B *5869:27 0.000365573 -23 *1360:7 *25040:B 2.79421e-05 -24 *1360:18 *1443:10 2.05002e-05 -25 *1360:18 *1443:12 0.00174837 -26 *1360:18 *1689:8 0.00176981 -27 *1360:18 *3686:83 0.00010283 -28 *1360:18 *3738:58 0.000193036 -29 *1360:18 *3776:44 0.000192518 -30 *1360:20 *25122:B2 1.94945e-05 -31 *1360:20 *25179:B2 0.000329065 -32 *1360:20 *25397:B1 0.000543132 -33 *1360:20 *1400:40 7.78602e-05 -34 *1360:20 *1408:60 9.65172e-05 -35 *1360:20 *1430:65 0.000152163 -36 *1360:20 *1440:38 0.000710475 -37 *1360:20 *1443:10 0.000514436 -38 *1360:20 *1689:8 0.000188571 -39 *1360:20 *1689:21 0.00180622 -40 *1360:20 *3176:145 1.99369e-05 -41 *1360:20 *3176:175 0.0013105 -42 *1360:20 *3205:98 0.000764377 -43 *1360:20 *3469:29 0.000194754 -44 *1360:20 *3506:19 0.000124653 -45 *1360:20 *3802:71 0.00019554 -46 *1360:20 *3830:88 9.82592e-05 -47 *1360:20 *3961:64 0.000597017 -48 *1360:20 *5623:41 0.000307272 -49 *1360:20 *5653:165 0.000280413 -50 *1360:20 *5685:35 0.000374337 -51 *1360:20 *5691:93 0.000135968 -52 *1360:27 *25302:B2 2.06178e-05 -53 *1360:27 *25397:B2 7.19424e-05 -54 *1360:27 *1400:40 0 -55 *1360:27 *1400:59 0.00138285 -56 *1360:27 *1400:95 0.000618022 -57 *1360:27 *1411:68 0 -58 *1360:27 *2867:49 4.48128e-05 -59 *1360:27 *3174:78 0.000641536 -60 *1360:27 *3469:29 0.000549368 -61 *1360:27 *3482:19 1.50738e-05 -62 *1360:27 *3778:77 0.000813584 -63 *1360:27 *5500:57 6.56216e-05 -64 *1360:27 *5500:65 0 -65 *1360:27 *5581:64 0.000405791 -66 *1360:27 *5666:190 0.000108437 -67 *1360:44 *25264:B2 0.00173162 -68 *1360:44 *28642:CLK 4.69504e-05 -69 *1360:44 *1400:95 0.00147972 -70 *1360:44 *1401:77 9.41642e-05 -71 *1360:44 *3778:77 1.64621e-05 -72 *1360:44 *5621:69 0.00014576 -73 *1360:44 *5621:75 0.000142974 -74 *1360:44 *5869:27 0.000135502 -75 *1360:72 *27956:A 1.94879e-05 -76 *1360:72 *1685:40 0.000288973 -77 *1360:72 *2867:149 6.18373e-05 -78 *1360:72 *3738:58 1.94945e-05 -79 *1360:72 *3762:41 4.65519e-05 -80 *1360:72 *3776:44 1.08716e-05 -81 *1360:72 *4012:39 0.00178552 -82 *1360:72 *4014:24 1.94879e-05 -83 *1360:72 *5729:249 6.60633e-06 -84 *1360:72 *5909:44 0.00177794 -85 *1360:84 *25075:A 5.33005e-05 -86 *1360:84 *27956:A 0.00020834 -87 *1360:84 *1396:109 5.33005e-05 -88 *1360:84 *4014:24 0.000215241 -89 *1360:95 *25075:A 0.00061886 -90 *1360:95 *1396:109 0.000958206 -91 *1360:95 *1430:90 7.90803e-05 -92 *1360:95 *3699:24 8.07951e-05 -93 *1360:95 *5778:181 0.000243715 -94 *1360:101 *24960:A 0.000137939 -95 *1360:101 *1661:19 0.000148189 -96 *1360:101 *5778:181 0.000722389 -97 *1360:101 *5890:81 1.02504e-05 -98 *27966:C1 *1360:20 4.70305e-05 -99 *1185:106 *1360:18 8.80625e-05 -100 *1242:76 *1360:101 0.00301631 -101 *1263:28 *1360:72 8.76591e-06 -102 *1282:12 *25353:B 0.000363925 -103 *1282:12 *1360:44 0.000145753 -104 *1289:34 *1360:44 0.000277762 -*RES -1 *25038:Y *1360:7 14.7464 -2 *1360:7 *1360:18 37.7857 -3 *1360:18 *1360:20 63.7946 -4 *1360:20 *1360:27 41.1696 -5 *1360:27 *25116:B 9.72857 -6 *1360:27 *1360:44 38.7679 -7 *1360:44 *25353:B 23.425 -8 *1360:44 *25158:B 13.8 -9 *1360:7 *1360:72 18.6742 -10 *1360:72 *25102:B 19.2643 -11 *1360:72 *1360:84 7.89286 -12 *1360:84 *25075:B 9.3 -13 *1360:84 *1360:95 21.25 -14 *1360:95 *1360:101 48.8571 -15 *1360:101 *25039:B 9.3 -*END - -*D_NET *1361 0.0761347 -*CONN -*I *25051:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25205:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25342:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25380:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25728:A I *D sky130_fd_sc_hd__nand2_8 -*I *25112:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25247:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25039:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25051:B1 0.00154747 -2 *25205:B1 0.000487127 -3 *25342:B1 0.000105993 -4 *25380:B1 0.000197317 -5 *25728:A 2.23854e-05 -6 *25112:B1 0 -7 *25247:A2 0.000818533 -8 *25039:Y 0 -9 *1361:147 0.00259119 -10 *1361:114 0.00347943 -11 *1361:78 0.000507059 -12 *1361:68 0.00222148 -13 *1361:54 0.00229994 -14 *1361:45 0.00478447 -15 *1361:30 0.00517325 -16 *1361:29 0.004232 -17 *1361:4 0.00245334 -18 *25051:B1 *2763:169 1.08189e-05 -19 *25051:B1 *2767:142 6.63047e-05 -20 *25051:B1 *2792:78 1.65046e-05 -21 *25051:B1 *3339:22 0.00101125 -22 *25051:B1 *3582:121 6.79868e-05 -23 *25051:B1 *3607:76 0 -24 *25051:B1 *4147:31 0.00197626 -25 *25051:B1 *5651:378 0.000525924 -26 *25051:B1 *5676:87 0.000228694 -27 *25051:B1 *5695:110 2.61244e-05 -28 *25051:B1 *5800:11 0.000355056 -29 *25051:B1 *5800:68 1.36114e-05 -30 *25205:B1 *3861:40 0.00130408 -31 *25205:B1 *3900:28 2.62836e-05 -32 *25205:B1 *5903:35 0.00123539 -33 *25247:A2 *25039:A 0.000170764 -34 *25247:A2 *25247:A1 7.66438e-05 -35 *25247:A2 *25247:C1 0.000266541 -36 *25247:A2 *5892:81 0.00106187 -37 *25342:B1 *25342:B2 3.03567e-05 -38 *25342:B1 *28124:CLK 6.72851e-05 -39 *25342:B1 *3600:103 6.05161e-06 -40 *25380:B1 *25380:B2 2.58821e-05 -41 *25380:B1 *1862:79 0.000137546 -42 *25380:B1 *2792:30 4.72896e-05 -43 *25380:B1 *5647:159 0.000592755 -44 *25728:A *5647:159 0 -45 *1361:29 *30173:A 0 -46 *1361:29 *2874:249 0.000171674 -47 *1361:29 *2891:177 7.448e-05 -48 *1361:29 *2978:22 0.00142172 -49 *1361:29 *3185:107 2.16308e-05 -50 *1361:29 *3768:22 8.9591e-05 -51 *1361:29 *4146:79 0 -52 *1361:29 *5643:119 8.77613e-05 -53 *1361:29 *5644:218 0.000127359 -54 *1361:29 *5650:250 3.90898e-05 -55 *1361:29 *5661:220 2.63501e-05 -56 *1361:29 *6269:12 0.00142734 -57 *1361:30 *27414:B2 5.41797e-06 -58 *1361:30 *1633:29 9.95912e-06 -59 *1361:30 *1633:45 0.000123605 -60 *1361:30 *1660:31 0.0026383 -61 *1361:30 *2891:177 0.00187947 -62 *1361:30 *2891:200 0.00132581 -63 *1361:30 *3797:91 1.88026e-05 -64 *1361:30 *3914:26 1.88578e-05 -65 *1361:30 *4044:14 0.00492066 -66 *1361:30 *4115:28 0.00142305 -67 *1361:30 *5593:20 0.000540269 -68 *1361:45 *25203:A1 0.000105559 -69 *1361:45 *25342:C1 0 -70 *1361:45 *1523:7 0.000300131 -71 *1361:45 *1633:16 0.000914542 -72 *1361:45 *1659:34 0 -73 *1361:45 *1660:19 2.26554e-05 -74 *1361:45 *1680:17 4.53834e-05 -75 *1361:45 *2759:68 0.000176282 -76 *1361:45 *2761:80 4.8817e-05 -77 *1361:45 *2791:199 1.82011e-05 -78 *1361:45 *3162:8 2.90164e-05 -79 *1361:45 *3179:186 2.17601e-05 -80 *1361:45 *5641:180 0.0017313 -81 *1361:45 *5708:34 0.000476926 -82 *1361:45 *5708:46 9.60939e-05 -83 *1361:45 *5879:58 0.000384431 -84 *1361:45 *5879:66 7.83659e-05 -85 *1361:54 *25342:C1 0.000133011 -86 *1361:54 *5641:180 0.00040311 -87 *1361:54 *5863:98 0.000336868 -88 *1361:68 *25711:A2 0.000107289 -89 *1361:68 *26992:A1 9.60875e-05 -90 *1361:68 *28570:CLK 0.000556923 -91 *1361:68 *28570:SET_B 6.13757e-06 -92 *1361:68 *1853:19 2.89114e-05 -93 *1361:68 *3162:8 0.000138916 -94 *1361:68 *3600:103 0.000154912 -95 *1361:68 *4133:12 0.000598616 -96 *1361:68 *5799:27 3.06878e-06 -97 *1361:68 *5879:32 0.0001384 -98 *1361:68 *6280:6 0.00013889 -99 *1361:78 *1862:79 4.00679e-05 -100 *1361:78 *4083:10 0.000736576 -101 *1361:78 *4133:12 0.000752941 -102 *1361:78 *5647:159 0.000135028 -103 *1361:78 *6258:12 0.00011927 -104 *1361:78 *6280:6 1.41029e-05 -105 *1361:114 *27704:B1 0.000476993 -106 *1361:114 *28611:SET_B 0.000151885 -107 *1361:114 *29226:A 0.000426985 -108 *1361:114 *1826:251 0.000150177 -109 *1361:114 *2759:68 0.000347304 -110 *1361:114 *2776:241 4.3966e-05 -111 *1361:114 *2784:133 0.000859056 -112 *1361:114 *2788:93 0 -113 *1361:114 *3582:31 0.000393589 -114 *1361:114 *3963:42 9.1157e-05 -115 *1361:114 *5629:172 0.000256811 -116 *1361:114 *5639:229 0.00107124 -117 *1361:114 *5646:252 0.000254754 -118 *1361:114 *5663:179 1.81828e-05 -119 *1361:147 *27704:B1 5.96243e-05 -120 *1361:147 *28543:D 0.000180777 -121 *1361:147 *28676:D 5.03772e-05 -122 *1361:147 *29506:A 0.00104247 -123 *1361:147 *1697:44 1.46617e-05 -124 *1361:147 *2754:28 8.06653e-05 -125 *1361:147 *2763:185 0.000625215 -126 *1361:147 *2772:46 0.00197265 -127 *1361:147 *2788:93 0 -128 *1361:147 *3215:309 6.50032e-05 -129 *1361:147 *3565:10 6.30931e-05 -130 *1361:147 *3900:26 2.06178e-05 -131 *1361:147 *5903:35 0.000201065 -132 *25051:A2 *25051:B1 2.09665e-05 -133 *25205:A2 *25205:B1 3.16801e-05 -134 *25711:A1 *1361:68 5.33005e-05 -135 *26865:B *1361:68 3.34366e-05 -136 *30374:A *1361:30 0.000118488 -137 *30441:A *1361:54 0.000189149 -138 *1235:181 *1361:68 0.000287309 -139 *1258:14 *1361:45 0 -140 *1267:100 *1361:45 0.000168467 -141 *1279:105 *1361:29 0.000132513 -142 *1288:127 *1361:114 0.000234682 -143 *1288:152 *1361:147 0.000119883 -144 *1288:157 *25051:B1 6.23267e-05 -145 *1292:110 *1361:114 1.60961e-05 -*RES -1 *25039:Y *1361:4 9.3 -2 *1361:4 *25247:A2 24.7732 -3 *1361:4 *1361:29 24.3252 -4 *1361:29 *1361:30 86.1875 -5 *1361:30 *1361:45 40.8397 -6 *1361:45 *1361:54 16.2404 -7 *1361:54 *1361:68 43.6786 -8 *1361:68 *25112:B1 13.8 -9 *1361:68 *1361:78 15.6964 -10 *1361:78 *25728:A 9.72857 -11 *1361:78 *25380:B1 15.5857 -12 *1361:54 *25342:B1 11.0679 -13 *1361:45 *1361:114 38.4829 -14 *1361:114 *25205:B1 35.0493 -15 *1361:114 *1361:147 45.779 -16 *1361:147 *25051:B1 25.9177 -*END - -*D_NET *1362 0.0907566 -*CONN -*I *25080:B I *D sky130_fd_sc_hd__nor2_4 -*I *25041:B I *D sky130_fd_sc_hd__nor2_8 -*I *25149:B I *D sky130_fd_sc_hd__nor2_4 -*I *25281:A2_N I *D sky130_fd_sc_hd__a2bb2o_1 -*I *25143:B I *D sky130_fd_sc_hd__nor2_4 -*I *25101:B I *D sky130_fd_sc_hd__nor2_4 -*I *25114:B I *D sky130_fd_sc_hd__nor2_4 -*I *25040:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *25080:B 3.05438e-05 -2 *25041:B 0 -3 *25149:B 0.000217015 -4 *25281:A2_N 0.00067165 -5 *25143:B 2.03441e-05 -6 *25101:B 0 -7 *25114:B 3.47587e-05 -8 *25040:Y 2.6945e-05 -9 *1362:174 0.00221921 -10 *1362:172 0.00206452 -11 *1362:152 0.00200225 -12 *1362:136 0.00256525 -13 *1362:118 0.0047515 -14 *1362:97 0.00517963 -15 *1362:96 0.00212635 -16 *1362:90 0.00120775 -17 *1362:79 0.0030644 -18 *1362:48 0.00154692 -19 *1362:40 0.00201536 -20 *1362:28 0.000749985 -21 *1362:25 0.0018413 -22 *1362:15 0.00202796 -23 *1362:5 0.00270808 -24 *25080:B *27505:A1 5.42698e-05 -25 *25080:B *1401:37 4.22135e-06 -26 *25080:B *5665:66 6.47405e-05 -27 *25114:B *25233:A1 0.000137983 -28 *25114:B *3684:72 0.000137983 -29 *25143:B *5808:46 5.7661e-06 -30 *25149:B *25670:A 7.02611e-05 -31 *25149:B *3866:35 0.000193356 -32 *25281:A2_N *25281:A1_N 9.71197e-05 -33 *25281:A2_N *29206:A 4.73891e-05 -34 *25281:A2_N *29442:A 0 -35 *25281:A2_N *3666:98 5.56995e-05 -36 *25281:A2_N *3804:17 0.00125323 -37 *25281:A2_N *5074:15 0.000386125 -38 *1362:15 *1563:26 0.000571767 -39 *1362:15 *4023:16 0.000565901 -40 *1362:15 *4104:38 0.000424879 -41 *1362:15 *5630:110 0.000137561 -42 *1362:15 *5845:7 0.000424029 -43 *1362:25 *1410:19 7.09708e-05 -44 *1362:25 *1435:14 0.000273196 -45 *1362:25 *1435:33 0.00124104 -46 *1362:25 *1864:29 1.21637e-05 -47 *1362:25 *2856:196 2.08894e-05 -48 *1362:25 *3541:13 0.000298483 -49 *1362:25 *3766:53 5.12365e-05 -50 *1362:25 *3766:54 2.72008e-05 -51 *1362:25 *4105:78 0.000195739 -52 *1362:25 *4350:65 2.05484e-05 -53 *1362:25 *5457:22 0.000176806 -54 *1362:25 *5458:40 0.0021801 -55 *1362:25 *5629:108 0.000627028 -56 *1362:25 *5641:78 0.000269571 -57 *1362:28 *25329:A2 1.90936e-05 -58 *1362:28 *27895:A1 5.86416e-05 -59 *1362:28 *27895:A2 6.18564e-05 -60 *1362:28 *27895:B2 9.61995e-05 -61 *1362:28 *3766:54 0.000237543 -62 *1362:28 *4035:33 3.15517e-05 -63 *1362:28 *4035:36 4.8676e-05 -64 *1362:28 *5458:40 6.10032e-05 -65 *1362:28 *5640:90 0 -66 *1362:28 *5646:182 0 -67 *1362:40 *27895:A1 0.000176835 -68 *1362:40 *1464:8 9.90367e-05 -69 *1362:40 *1464:135 0.00108862 -70 *1362:40 *3958:52 0.00121814 -71 *1362:40 *4035:33 0.000181296 -72 *1362:40 *5808:46 2.44318e-05 -73 *1362:48 *25787:A0 0.000306324 -74 *1362:48 *1422:7 0.000186546 -75 *1362:48 *3684:72 0.000136951 -76 *1362:48 *3958:52 0.00130477 -77 *1362:48 *4090:5 0.000370562 -78 *1362:48 *4090:18 0.000211249 -79 *1362:48 *4092:21 5.97623e-05 -80 *1362:48 *5215:7 0.00022266 -81 *1362:48 *5808:36 0.000972971 -82 *1362:48 *5808:46 4.27437e-05 -83 *1362:48 *5824:37 9.60939e-05 -84 *1362:48 *5833:65 0.00012401 -85 *1362:48 *5833:91 0.000241198 -86 *1362:79 *25104:B2 8.01492e-05 -87 *1362:79 *27573:A1 0 -88 *1362:79 *1423:37 0.000121118 -89 *1362:79 *1864:29 9.06203e-05 -90 *1362:79 *3173:110 0.00163999 -91 *1362:79 *3504:18 0.000880273 -92 *1362:79 *3766:71 0.0001326 -93 *1362:79 *3780:53 0.00133468 -94 *1362:79 *3923:51 0 -95 *1362:79 *3936:51 7.33887e-05 -96 *1362:79 *4013:26 0.000365623 -97 *1362:79 *4035:52 0.000892922 -98 *1362:79 *5196:47 0.00010201 -99 *1362:79 *5457:22 0.000789342 -100 *1362:79 *5458:40 0.000363535 -101 *1362:79 *5629:108 4.22135e-06 -102 *1362:79 *5656:189 4.65519e-05 -103 *1362:90 *28158:CLK 0.00020489 -104 *1362:90 *28158:D 7.20217e-06 -105 *1362:90 *28378:RESET_B 0.000543665 -106 *1362:90 *28379:RESET_B 0.000120169 -107 *1362:90 *3214:92 0.0014306 -108 *1362:90 *3802:58 3.23556e-05 -109 *1362:90 *3818:43 2.33614e-05 -110 *1362:90 *4023:18 0.00142635 -111 *1362:90 *5646:118 5.7811e-07 -112 *1362:96 *28159:CLK 0.000163305 -113 *1362:96 *1844:26 4.82865e-05 -114 *1362:96 *3646:68 5.54204e-05 -115 *1362:96 *3752:54 0.000482017 -116 *1362:96 *3765:30 0.000753169 -117 *1362:96 *5650:122 3.46899e-05 -118 *1362:97 *27903:A2 1.72244e-05 -119 *1362:97 *28157:D 0.000295242 -120 *1362:97 *3129:18 6.9248e-05 -121 *1362:97 *3752:56 0.000149775 -122 *1362:97 *3765:30 0.00233109 -123 *1362:97 *3910:51 0.00376825 -124 *1362:97 *5510:116 0.0011382 -125 *1362:118 *25391:B2 0.000136595 -126 *1362:118 *27571:B1 0 -127 *1362:118 *27883:B2 5.3411e-05 -128 *1362:118 *1897:15 0.000410479 -129 *1362:118 *2845:80 0.00026319 -130 *1362:118 *2855:39 0.000134957 -131 *1362:118 *3103:17 0 -132 *1362:118 *3145:32 0.00102788 -133 *1362:118 *3212:51 9.32361e-05 -134 *1362:118 *3568:17 1.42738e-05 -135 *1362:118 *3792:75 5.86232e-05 -136 *1362:118 *3792:80 0 -137 *1362:118 *5074:15 2.17065e-05 -138 *1362:118 *5430:12 2.01997e-05 -139 *1362:118 *5442:23 4.73891e-05 -140 *1362:118 *5738:82 0.000648504 -141 *1362:118 *5738:100 0 -142 *1362:136 *28221:RESET_B 8.09146e-06 -143 *1362:136 *28358:D 4.18834e-05 -144 *1362:136 *28358:RESET_B 4.15526e-05 -145 *1362:136 *1457:51 8.84829e-05 -146 *1362:136 *3672:8 4.50045e-05 -147 *1362:136 *3789:9 0.000189623 -148 *1362:136 *5074:15 0.00131994 -149 *1362:136 *5430:12 0.000699023 -150 *1362:136 *5618:142 0.000403935 -151 *1362:136 *5705:162 4.21632e-05 -152 *1362:136 *5735:27 5.66776e-05 -153 *1362:136 *5735:29 4.18073e-05 -154 *1362:152 *25911:A0 0.000355153 -155 *1362:152 *28019:A1 0.000478744 -156 *1362:152 *28836:D 5.49544e-05 -157 *1362:152 *1457:51 3.39834e-06 -158 *1362:152 *3666:98 0.00141105 -159 *1362:152 *3791:75 0.000134974 -160 *1362:152 *3814:28 0.000196846 -161 *1362:152 *4801:19 0.000438781 -162 *1362:152 *5052:46 0.000935771 -163 *1362:152 *5497:39 1.01912e-05 -164 *1362:152 *5618:142 2.59355e-05 -165 *1362:152 *5624:107 0.000202639 -166 *1362:152 *5691:284 9.30158e-05 -167 *1362:172 *1457:55 0 -168 *1362:172 *3806:25 1.90155e-05 -169 *1362:172 *3806:33 4.44254e-05 -170 *1362:174 *25301:A2 2.37944e-05 -171 *1362:174 *25830:A0 0.000102545 -172 *1362:174 *27508:B2 7.2754e-05 -173 *1362:174 *27889:B1 0.000222878 -174 *1362:174 *28714:D 0.000148196 -175 *1362:174 *1457:55 2.24109e-05 -176 *1362:174 *1457:80 0.000347785 -177 *1362:174 *1619:10 0.00145321 -178 *1362:174 *3806:33 0.000916297 -179 *1362:174 *3815:59 0.00113672 -180 *1362:174 *4140:24 2.61337e-05 -181 *1362:174 *4140:55 9.77024e-05 -182 *1362:174 *5902:28 0 -183 *25128:B *1362:48 0.000118179 -184 *29683:A *1362:48 0.000119391 -185 *30008:A *1362:152 0.000349708 -186 *563:10 *1362:152 1.94945e-05 -187 *627:15 *25281:A2_N 2.1398e-05 -188 *1263:163 *1362:5 4.08637e-05 -189 *1263:163 *1362:15 0.000343803 -*RES -1 *25040:Y *1362:5 9.83571 -2 *1362:5 *1362:15 28.5536 -3 *1362:15 *1362:25 48.686 -4 *1362:25 *1362:28 10.3654 -5 *1362:28 *25114:B 15.1571 -6 *1362:28 *1362:40 21.2143 -7 *1362:40 *1362:48 45.9643 -8 *1362:48 *25101:B 9.3 -9 *1362:40 *25143:B 9.72857 -10 *1362:5 *1362:79 47.5971 -11 *1362:79 *1362:90 40.3061 -12 *1362:90 *1362:96 20.0893 -13 *1362:96 *1362:97 54.6161 -14 *1362:97 *1362:118 41.8514 -15 *1362:118 *1362:136 28.4309 -16 *1362:136 *1362:152 33.0245 -17 *1362:152 *25281:A2_N 24.4279 -18 *1362:152 *1362:172 1.16071 -19 *1362:172 *1362:174 55.0268 -20 *1362:174 *25149:B 18.05 -21 *1362:136 *25041:B 9.3 -22 *1362:118 *25080:B 18.0939 -*END - -*D_NET *1363 0.105373 -*CONN -*I *25121:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25209:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25066:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25268:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25299:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *26817:A I *D sky130_fd_sc_hd__nand2_2 -*I *25399:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25041:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25121:A2 0.000280331 -2 *25209:A2 0.00105498 -3 *25066:B1 0.00104164 -4 *25268:A2 0.000154951 -5 *25299:A2 3.15764e-05 -6 *26817:A 0.00166354 -7 *25399:A2 0.0001846 -8 *25041:Y 0 -9 *1363:127 0.00270056 -10 *1363:126 0.00232629 -11 *1363:114 0.00302807 -12 *1363:108 0.00496612 -13 *1363:99 0.00392644 -14 *1363:98 0.00268909 -15 *1363:88 0.00466551 -16 *1363:85 0.00390713 -17 *1363:70 0.0027626 -18 *1363:62 0.00324041 -19 *1363:49 0.00254392 -20 *1363:23 0.00219198 -21 *1363:6 0.00105892 -22 *1363:5 0.00090427 -23 *25066:B1 *25066:A1 5.33005e-05 -24 *25066:B1 *3537:50 0.000131211 -25 *25066:B1 *4121:69 0.000214517 -26 *25066:B1 *4121:77 0.00055204 -27 *25066:B1 *4138:39 0.000753709 -28 *25066:B1 *4138:40 0.00114257 -29 *25066:B1 *4138:45 0.000363245 -30 *25066:B1 *5601:67 1.46576e-05 -31 *25066:B1 *5601:75 0.00021749 -32 *25066:B1 *5604:121 0.000375993 -33 *25066:B1 *5644:68 1.38323e-05 -34 *25066:B1 *5658:107 0.0001587 -35 *25121:A2 *25385:A1 0.000197582 -36 *25121:A2 *1395:61 1.58163e-05 -37 *25121:A2 *1442:13 2.63501e-05 -38 *25121:A2 *3183:105 1.94879e-05 -39 *25121:A2 *3482:38 1.94879e-05 -40 *25121:A2 *3853:45 0.000462745 -41 *25209:A2 *25209:B2 0.000634599 -42 *25209:A2 *25258:B2 0.000221815 -43 *25209:A2 *1844:104 8.42217e-05 -44 *25209:A2 *2877:32 5.41794e-05 -45 *25209:A2 *2877:43 0.000152976 -46 *25209:A2 *2877:51 0.000572948 -47 *25209:A2 *2877:179 4.44838e-05 -48 *25209:A2 *3844:59 0.000339346 -49 *25209:A2 *4051:49 6.60847e-05 -50 *25268:A2 *25268:A1 0.00012088 -51 *25268:A2 *25268:B1 7.89902e-05 -52 *25268:A2 *27538:B2 0.000154951 -53 *25268:A2 *3909:19 0 -54 *25268:A2 *3910:28 0.00011802 -55 *25268:A2 *3919:46 7.03295e-05 -56 *25268:A2 *5063:15 4.43256e-05 -57 *25268:A2 *5497:39 6.25662e-05 -58 *25268:A2 *5665:76 0.00011802 -59 *25299:A2 *5738:11 6.05161e-06 -60 *25299:A2 *5738:31 2.59355e-05 -61 *25399:A2 *25621:A1 2.11419e-05 -62 *25399:A2 *25919:A0 7.1567e-05 -63 *25399:A2 *25923:S 7.17183e-05 -64 *25399:A2 *3884:24 0 -65 *25399:A2 *5484:64 2.16719e-05 -66 *26817:A *25299:A1 5.22189e-05 -67 *26817:A *25616:B 0.000105469 -68 *26817:A *25921:A1 0.000232276 -69 *26817:A *28423:CLK 5.04589e-05 -70 *26817:A *28423:D 9.60939e-05 -71 *26817:A *28426:D 0.000230694 -72 *26817:A *29533:A 1.79924e-05 -73 *26817:A *29571:A 0.000786259 -74 *26817:A *3652:79 0 -75 *26817:A *3791:36 0.000130443 -76 *26817:A *4944:29 0.000782373 -77 *26817:A *5485:32 0.00040183 -78 *26817:A *5487:63 0.000154217 -79 *26817:A *5488:55 1.0078e-05 -80 *26817:A *5491:6 2.18021e-05 -81 *26817:A *5491:86 0.00012971 -82 *26817:A *5493:14 2.37838e-05 -83 *26817:A *5510:34 0.000215065 -84 *26817:A *5518:33 0.000523458 -85 *26817:A *5698:156 0.000611782 -86 *26817:A *5738:31 5.37709e-05 -87 *1363:6 *3793:38 0.000545017 -88 *1363:6 *5484:64 0.000160421 -89 *1363:23 *1617:17 2.21972e-05 -90 *1363:23 *3062:10 0.000531403 -91 *1363:23 *3801:21 0.000517573 -92 *1363:23 *5510:34 0.000221217 -93 *1363:23 *5738:31 4.73656e-05 -94 *1363:23 *5738:37 0.000902642 -95 *1363:49 *27538:B2 0.000139854 -96 *1363:49 *28020:A1 0.000176438 -97 *1363:49 *28355:D 9.96796e-05 -98 *1363:49 *3793:38 0.000753952 -99 *1363:62 *25385:A1 0.000332575 -100 *1363:62 *27927:A1 0.000536642 -101 *1363:62 *1587:16 0.000127067 -102 *1363:62 *3827:21 0.000222353 -103 *1363:62 *3853:45 7.16065e-05 -104 *1363:62 *3910:28 0.00161111 -105 *1363:62 *5665:76 0.00232386 -106 *1363:62 *5680:343 2.996e-06 -107 *1363:62 *5738:172 1.14255e-05 -108 *1363:70 *27853:A1 5.30167e-05 -109 *1363:70 *2878:27 0.000178425 -110 *1363:70 *3397:8 0.00228746 -111 *1363:70 *3411:20 1.90936e-05 -112 *1363:70 *3421:10 0.000166762 -113 *1363:70 *3853:72 0.00187647 -114 *1363:85 *25397:B2 0.000221809 -115 *1363:85 *27100:A0 0.000220456 -116 *1363:85 *27856:B 4.23907e-05 -117 *1363:85 *27867:B1 0.000207101 -118 *1363:85 *27875:B2 1.90936e-05 -119 *1363:85 *1624:76 0.00147498 -120 *1363:85 *2886:41 4.65519e-05 -121 *1363:85 *3170:68 0.000159705 -122 *1363:85 *3185:304 0 -123 *1363:85 *3205:72 0.000207199 -124 *1363:85 *3209:59 5.00194e-05 -125 *1363:85 *3397:8 2.5643e-05 -126 *1363:85 *3482:19 3.43787e-05 -127 *1363:85 *3482:37 0.000247672 -128 *1363:85 *3556:20 0.000653199 -129 *1363:85 *3663:56 0.000211563 -130 *1363:85 *3663:62 0.000147748 -131 *1363:85 *3841:25 0.000219422 -132 *1363:85 *4012:39 0.00185005 -133 *1363:88 *25395:A1 0.000398474 -134 *1363:88 *25395:B1 2.79047e-05 -135 *1363:88 *25395:B2 0.00175319 -136 *1363:88 *1711:8 0.000262686 -137 *1363:88 *3168:54 5.85486e-05 -138 *1363:88 *5622:26 0.000177545 -139 *1363:98 *27636:A 0.000125918 -140 *1363:98 *27636:C 5.14767e-05 -141 *1363:98 *27669:B 0.000463728 -142 *1363:98 *2860:158 2.74947e-05 -143 *1363:98 *2881:14 0.000220937 -144 *1363:98 *3168:54 4.1669e-05 -145 *1363:98 *3178:144 0.000635057 -146 *1363:98 *3182:33 0.00012442 -147 *1363:98 *3546:36 0.000267443 -148 *1363:98 *4062:27 8.92303e-05 -149 *1363:98 *5196:72 0.000101545 -150 *1363:98 *5653:28 0.000188983 -151 *1363:99 *2877:32 0.000586705 -152 *1363:99 *2879:35 0.000286164 -153 *1363:108 *26879:A0 9.41642e-05 -154 *1363:108 *28470:D 0.000461937 -155 *1363:108 *28470:RESET_B 9.90431e-05 -156 *1363:108 *28721:RESET_B 0.00186079 -157 *1363:108 *3667:28 6.42095e-05 -158 *1363:108 *3963:119 0.00071791 -159 *1363:108 *5638:62 0.000422016 -160 *1363:108 *5754:103 0.000456438 -161 *1363:114 *25229:A1 0.000462269 -162 *1363:114 *27139:S 1.98839e-05 -163 *1363:114 *27714:A2 8.18197e-05 -164 *1363:114 *28721:D 7.62712e-05 -165 *1363:114 *4030:48 0.000909135 -166 *1363:114 *5605:60 0.00054662 -167 *1363:114 *5627:18 0 -168 *1363:114 *5649:66 0.000208469 -169 *1363:114 *5694:254 5.19659e-05 -170 *1363:126 *1826:117 0 -171 *1363:126 *3040:19 0.000165782 -172 *1363:126 *3185:48 4.24177e-05 -173 *1363:126 *3537:73 0.00127052 -174 *1363:126 *5631:26 0.0012668 -175 *1363:126 *5658:31 4.98422e-05 -176 *1363:126 *5667:348 1.60961e-05 -177 *1363:127 *24988:A1 1.17968e-05 -178 *1363:127 *2791:87 0.000100831 -179 *1363:127 *3040:19 0.000750085 -180 *1363:127 *3537:50 0.00457341 -181 *1363:127 *3852:24 7.88844e-05 -182 *1363:127 *4121:77 0.000999243 -183 *1363:127 *4121:81 7.24339e-05 -184 *1363:127 *5631:26 0.00250248 -185 *25359:A2 *26817:A 0.000115627 -186 *25395:A2 *1363:88 8.48827e-06 -187 *29659:A *1363:114 0.000551687 -188 *30324:A *1363:88 0.000201696 -189 *563:10 *25399:A2 3.17148e-05 -190 *563:10 *1363:6 0.00085783 -191 *563:10 *1363:23 0.000517573 -192 *563:10 *1363:49 0.000341857 -193 *1250:43 *1363:98 0.000124861 -194 *1252:110 *1363:114 4.50149e-05 -195 *1252:134 *1363:114 0.000163865 -196 *1261:41 *25066:B1 0.000269915 -*RES -1 *25041:Y *1363:5 13.8 -2 *1363:5 *1363:6 11.6607 -3 *1363:6 *25399:A2 17.3179 -4 *1363:6 *1363:23 26.625 -5 *1363:23 *26817:A 45.139 -6 *1363:23 *25299:A2 14.3357 -7 *1363:5 *1363:49 18.5618 -8 *1363:49 *25268:A2 21.4726 -9 *1363:49 *1363:62 6.24473 -10 *1363:62 *1363:70 44.8118 -11 *1363:70 *1363:85 35.9508 -12 *1363:85 *1363:88 38.5446 -13 *1363:88 *1363:98 48.0268 -14 *1363:98 *1363:99 7.71429 -15 *1363:99 *1363:108 47.4643 -16 *1363:108 *1363:114 44.3929 -17 *1363:114 *1363:126 30.6453 -18 *1363:126 *1363:127 59.625 -19 *1363:127 *25066:B1 47.3625 -20 *1363:99 *25209:A2 34.7598 -21 *1363:62 *25121:A2 19.2187 -*END - -*D_NET *1364 0.0129117 -*CONN -*I *25054:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25042:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25054:C1 0.000296557 -2 *25042:X 0.00380552 -3 *1364:19 0.00410207 -4 *25054:C1 *25054:B2 1.02504e-05 -5 *25054:C1 *3582:134 0.000390936 -6 *25054:C1 *3930:70 0.000153742 -7 *25054:C1 *4006:51 0.000374621 -8 *25054:C1 *4033:8 7.37042e-05 -9 *1364:19 *25042:B2 3.39032e-05 -10 *1364:19 *27114:S 0.000389792 -11 *1364:19 *27430:A1 1.65169e-05 -12 *1364:19 *2763:169 0.000391354 -13 *1364:19 *2792:95 0.000615229 -14 *1364:19 *2856:325 0.000487519 -15 *1364:19 *3165:85 0.000310498 -16 *1364:19 *3206:249 0 -17 *1364:19 *3902:32 2.00842e-05 -18 *1364:19 *3980:20 0.000166037 -19 *1364:19 *3993:14 0 -20 *1364:19 *4006:51 0.00012252 -21 *1364:19 *4149:57 0.000795193 -22 *1364:19 *5676:87 0.000289763 -23 *1364:19 *5682:180 0 -24 *1364:19 *5810:47 8.25843e-06 -25 *25042:A2 *1364:19 4.58194e-05 -26 *1302:6 *25054:C1 1.17921e-05 -*RES -1 *25042:X *1364:19 47.9071 -2 *1364:19 *25054:C1 21.3625 -*END - -*D_NET *1365 0.00604744 -*CONN -*I *25052:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25043:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25052:C1 0 -2 *25043:X 0.00121992 -3 *1365:16 0.00121992 -4 *1365:16 *25043:A1 1.98839e-05 -5 *1365:16 *25043:B2 1.75416e-05 -6 *1365:16 *27433:B1 0.000158538 -7 *1365:16 *2792:95 0.000238424 -8 *1365:16 *2872:355 0.00077136 -9 *1365:16 *3902:32 0.00102335 -10 *1365:16 *3930:70 4.99283e-06 -11 *1365:16 *3941:21 9.54798e-06 -12 *25043:A2 *1365:16 5.33005e-05 -13 *25052:A2 *1365:16 0.000238006 -14 *1260:135 *1365:16 0.000498716 -15 *1265:142 *1365:16 0.000294123 -16 *1273:167 *1365:16 2.21972e-05 -17 *1274:161 *1365:16 0.000257619 -*RES -1 *25043:X *1365:16 44.6638 -2 *1365:16 *25052:C1 9.3 -*END - -*D_NET *1366 0.00619498 -*CONN -*I *25045:C1 I *D sky130_fd_sc_hd__a221o_2 -*I *25044:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25045:C1 0 -2 *25044:X 0.00159912 -3 *1366:13 0.00159912 -4 *1366:13 *25045:B1 3.99614e-06 -5 *1366:13 *28664:D 0.000478632 -6 *1366:13 *28680:CLK 1.33343e-05 -7 *1366:13 *28705:D 0.000309266 -8 *1366:13 *1385:15 1.90303e-05 -9 *1366:13 *2782:183 2.65242e-05 -10 *1366:13 *2784:26 0.00072043 -11 *1366:13 *2784:204 1.11479e-05 -12 *1366:13 *3878:24 0.000471433 -13 *1366:13 *3889:79 0 -14 *1366:13 *5585:115 0.000473155 -15 *1366:13 *5713:208 0.000463739 -16 *30322:A *1366:13 6.05161e-06 -*RES -1 *25044:X *1366:13 48.5857 -2 *1366:13 *25045:C1 9.3 -*END - -*D_NET *1367 0.0569683 -*CONN -*I *6575:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6577:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6576:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25069:A I *D sky130_fd_sc_hd__or4_1 -*I *6566:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25045:X O *D sky130_fd_sc_hd__a221o_2 -*CAP -1 *6575:DIODE 7.82644e-05 -2 *6577:DIODE 0 -3 *6576:DIODE 0 -4 *25069:A 0.000211909 -5 *6566:DIODE 0 -6 *25045:X 0.000166733 -7 *1367:55 0.000334668 -8 *1367:53 0.00067324 -9 *1367:46 0.0019194 -10 *1367:45 0.00136891 -11 *1367:43 0.00303797 -12 *1367:22 0.00430204 -13 *1367:20 0.00129061 -14 *1367:18 0.00149578 -15 *1367:16 0.00237385 -16 *1367:11 0.00102344 -17 *1367:5 0.000207301 -18 *6575:DIODE *3889:79 2.14658e-05 -19 *25069:A *1391:7 5.46828e-05 -20 *1367:5 *3889:79 1.98839e-05 -21 *1367:5 *5713:208 0.000180489 -22 *1367:11 *3889:79 2.28598e-05 -23 *1367:16 *3889:79 0.00161492 -24 *1367:16 *5459:12 0.0028108 -25 *1367:16 *5492:12 0.00056236 -26 *1367:18 *28725:D 0.000525256 -27 *1367:18 *29713:A 0.000125724 -28 *1367:18 *3889:62 0.000820259 -29 *1367:18 *3889:66 2.14474e-05 -30 *1367:18 *3890:24 0.000356897 -31 *1367:18 *3995:32 0.000291558 -32 *1367:18 *3995:41 8.55e-05 -33 *1367:18 *4046:38 0.00012401 -34 *1367:18 *5459:12 0.00010451 -35 *1367:18 *5492:12 0.00330505 -36 *1367:18 *5602:52 4.26781e-05 -37 *1367:18 *5628:45 0.000722106 -38 *1367:18 *5662:33 0.000527255 -39 *1367:18 *5678:158 0.000116309 -40 *1367:18 *5873:91 0.000252683 -41 *1367:22 *25030:A1 2.47852e-05 -42 *1367:22 *3889:62 3.8991e-05 -43 *1367:22 *3890:47 0.000126976 -44 *1367:22 *3995:41 0.00250374 -45 *1367:22 *3995:44 5.52505e-05 -46 *1367:22 *5628:67 0.00152558 -47 *1367:22 *5873:76 0.00415333 -48 *1367:43 *3696:5 1.65169e-05 -49 *1367:43 *3889:62 0.000143169 -50 *1367:43 *3890:68 0.000142052 -51 *1367:43 *3995:44 0.00132852 -52 *1367:43 *5386:12 0 -53 *1367:43 *5601:128 0.00214021 -54 *1367:43 *5628:67 0.00047375 -55 *1367:43 *5639:98 0.000147747 -56 *1367:43 *5847:59 0.000102658 -57 *1367:43 *5847:74 0.000512626 -58 *1367:43 *5873:74 0.000117972 -59 *1367:43 *5873:76 0.000285129 -60 *1367:43 *5910:123 0.00105129 -61 *1367:46 *2794:183 0.000535833 -62 *1367:46 *2885:97 0.00148577 -63 *1367:46 *2892:209 0.000166232 -64 *1367:46 *3164:287 0.00139635 -65 *1367:46 *3206:229 0.000218345 -66 *1367:46 *3386:22 6.71069e-05 -67 *1367:46 *3690:46 0.000131367 -68 *1367:46 *3993:14 0.000521641 -69 *1367:46 *5647:41 0.00188514 -70 *1367:46 *5647:49 0.000523548 -71 *1367:53 *26936:A1 0.000502801 -72 *1367:53 *26936:S 1.21258e-05 -73 *1367:53 *1391:7 0.000126579 -74 *1367:53 *3164:287 1.62539e-05 -75 *1367:53 *4112:13 8.17274e-05 -76 *1367:53 *4112:21 0.000298483 -77 *1367:53 *5676:154 9.99092e-05 -78 *1367:55 *1391:7 5.21937e-05 -79 *24970:A2 *1367:43 7.34977e-05 -80 *24980:B1 *1367:18 0.000357326 -81 *24984:C1 *1367:16 0.000123288 -82 *30465:A *1367:18 5.41797e-06 -83 *1258:90 *1367:46 0.00033995 -84 *1258:90 *1367:53 4.78449e-05 -85 *1266:148 *1367:46 0.000300627 -86 *1267:221 *1367:46 0.000181177 -87 *1294:193 *1367:22 0.000442023 -88 *1318:14 *1367:43 0.000892658 -*RES -1 *25045:X *1367:5 11.4786 -2 *1367:5 *6566:DIODE 9.3 -3 *1367:5 *1367:11 0.535714 -4 *1367:11 *1367:16 41.1339 -5 *1367:16 *1367:18 53.7054 -6 *1367:18 *1367:20 0.535714 -7 *1367:20 *1367:22 54.6161 -8 *1367:22 *1367:43 48.0311 -9 *1367:43 *1367:45 4.5 -10 *1367:45 *1367:46 51.8839 -11 *1367:46 *1367:53 15.1875 -12 *1367:53 *1367:55 1.76786 -13 *1367:55 *25069:A 12.3179 -14 *1367:55 *6576:DIODE 9.3 -15 *1367:53 *6577:DIODE 9.3 -16 *1367:11 *6575:DIODE 10.2464 -*END - -*D_NET *1368 0.0714806 -*CONN -*I *25047:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25046:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *25047:C1 5.08712e-05 -2 *25046:X 0.000845256 -3 *1368:59 0.0025374 -4 *1368:46 0.00374852 -5 *1368:37 0.00473149 -6 *1368:23 0.0155456 -7 *1368:17 0.0129213 -8 *25047:C1 *3930:94 4.90268e-05 -9 *1368:17 *25046:A1 0 -10 *1368:17 *27269:B 7.29712e-05 -11 *1368:17 *28648:RESET_B 3.03129e-05 -12 *1368:17 *28771:RESET_B 4.10802e-05 -13 *1368:17 *5352:22 9.16729e-05 -14 *1368:17 *5751:62 7.67558e-05 -15 *1368:17 *5751:107 2.9995e-05 -16 *1368:17 *5753:28 5.61481e-05 -17 *1368:17 *6178:17 0.000100652 -18 *1368:17 *6314:75 9.20537e-05 -19 *1368:23 *25523:A_N 0 -20 *1368:23 *1801:38 0.000158874 -21 *1368:23 *1826:117 0 -22 *1368:23 *2790:29 0 -23 *1368:23 *2840:97 0 -24 *1368:23 *2845:392 0.000185178 -25 *1368:23 *2885:35 0.000445621 -26 *1368:23 *2885:58 2.1194e-05 -27 *1368:23 *3040:31 0.00241129 -28 *1368:23 *3040:41 0.000234866 -29 *1368:23 *3154:13 0.00011994 -30 *1368:23 *3154:26 0.000127091 -31 *1368:23 *3176:24 7.11466e-05 -32 *1368:23 *3178:133 0 -33 *1368:23 *3204:18 0.000107162 -34 *1368:23 *3537:74 0.000135118 -35 *1368:23 *5467:18 0.000243284 -36 *1368:23 *5631:20 0.00259166 -37 *1368:23 *5638:16 0.000245392 -38 *1368:23 *5639:13 0.000106864 -39 *1368:23 *5680:257 0 -40 *1368:23 *5714:29 0.000176755 -41 *1368:37 *27474:A 0.000313536 -42 *1368:37 *2768:22 0.000132004 -43 *1368:37 *2844:201 0 -44 *1368:37 *2866:241 3.16831e-06 -45 *1368:37 *3185:164 0.00383811 -46 *1368:37 *3205:270 7.52238e-05 -47 *1368:37 *3340:19 0.00011937 -48 *1368:37 *3735:63 0.000166008 -49 *1368:37 *3735:71 0.000291751 -50 *1368:37 *3916:34 0.000412599 -51 *1368:37 *3916:39 6.6988e-05 -52 *1368:37 *5589:174 0.000852096 -53 *1368:37 *5627:181 0.00106915 -54 *1368:37 *5644:45 0.00183207 -55 *1368:37 *5644:53 4.78386e-06 -56 *1368:37 *5649:91 0.000135908 -57 *1368:37 *5650:198 0 -58 *1368:37 *5678:11 0.00035838 -59 *1368:37 *5678:47 0.000350002 -60 *1368:46 *27465:A1 9.32123e-05 -61 *1368:46 *2770:53 0.000622999 -62 *1368:46 *2866:294 2.23987e-05 -63 *1368:46 *2872:187 0.00144183 -64 *1368:46 *2872:224 4.38265e-05 -65 *1368:46 *3028:21 0.00014232 -66 *1368:46 *3029:20 0.000295239 -67 *1368:46 *3165:55 0.000173055 -68 *1368:46 *3174:225 0.000184329 -69 *1368:46 *3956:37 0.0011317 -70 *1368:46 *4112:21 4.66661e-05 -71 *1368:59 *2866:296 0.00120938 -72 *1368:59 *2892:219 0 -73 *1368:59 *3165:55 2.93461e-05 -74 *1368:59 *5680:209 3.03967e-05 -75 *25014:A2 *1368:46 0.000223592 -76 *25047:B1 *25047:C1 3.10885e-05 -77 *27272:C *1368:17 9.48205e-05 -78 *28770:D *1368:17 7.14469e-05 -79 *14:24 *1368:17 4.23395e-05 -80 *18:20 *1368:17 0.000287701 -81 *40:40 *1368:17 5.71472e-05 -82 *1185:54 *1368:37 0.00174495 -83 *1185:72 *1368:37 0.000364678 -84 *1246:90 *1368:23 0.000115775 -85 *1246:90 *1368:37 0.000192321 -86 *1246:104 *25047:C1 0.000122935 -87 *1250:245 *1368:59 0.00120729 -88 *1258:79 *1368:59 0.00220561 -89 *1267:221 *1368:46 0.000312037 -90 *1273:156 *1368:37 0.000403281 -91 *1308:19 *1368:59 0.000113219 -*RES -1 *25046:X *1368:17 35.409 -2 *1368:17 *1368:23 32.1796 -3 *1368:23 *1368:37 49.0504 -4 *1368:37 *1368:46 49.3921 -5 *1368:46 *1368:59 49.5765 -6 *1368:59 *25047:C1 19.9429 -*END - -*D_NET *1369 0.00300507 -*CONN -*I *25069:B I *D sky130_fd_sc_hd__or4_1 -*I *25047:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25069:B 0.000838974 -2 *25047:X 0.000838974 -3 *25069:B *25069:C 2.89016e-05 -4 *25069:B *3174:225 0.000633087 -5 *25069:B *3185:182 0.000631373 -6 *25069:B *3917:16 3.37561e-05 -*RES -1 *25047:X *25069:B 42.3321 -*END - -*D_NET *1370 0.000480277 -*CONN -*I *25053:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25048:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25053:C1 0.000137523 -2 *25048:X 0.000137523 -3 *25053:C1 *25048:A1 5.33005e-05 -4 *25053:C1 *27822:A1 5.49248e-05 -5 *25053:C1 *3796:163 3.83653e-05 -6 *25053:C1 *4006:21 5.49489e-05 -7 *25053:C1 *4006:51 0 -8 *25048:B1 *25053:C1 0 -9 *25053:B1 *25053:C1 3.69047e-06 -*RES -1 *25048:X *25053:C1 21.5107 -*END - -*D_NET *1371 0.0669893 -*CONN -*I *25062:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25049:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *25062:C1 0.000197667 -2 *25049:X 0.00215266 -3 *1371:51 0.00592438 -4 *1371:40 0.00760835 -5 *1371:28 0.00678163 -6 *1371:27 0.00489999 -7 *1371:25 0.00145903 -8 *1371:19 0.00345116 -9 *1371:14 0.00325676 -10 *1371:11 0.00341729 -11 *25062:C1 *25062:B2 0.000504449 -12 *25062:C1 *2986:18 1.94945e-05 -13 *25062:C1 *3785:44 2.26973e-05 -14 *1371:11 *25049:A1 2.06112e-05 -15 *1371:11 *27224:B 0 -16 *1371:11 *2805:13 0.000203832 -17 *1371:11 *4463:19 3.05784e-05 -18 *1371:11 *5129:18 0.000158552 -19 *1371:11 *5747:21 0.00232794 -20 *1371:11 *5753:28 0.000750862 -21 *1371:14 *28433:D 4.57538e-05 -22 *1371:14 *30962:A 0.00207906 -23 *1371:14 *5529:9 0 -24 *1371:14 *5530:70 0 -25 *1371:14 *5659:36 0.000951247 -26 *1371:14 *5926:122 0 -27 *1371:19 *25701:A1 0.000552693 -28 *1371:19 *25710:A0 0.000131247 -29 *1371:19 *25710:A1 0.000217525 -30 *1371:19 *28181:D 6.82922e-05 -31 *1371:19 *28219:RESET_B 0.000294415 -32 *1371:19 *30833:A 2.11419e-05 -33 *1371:19 *30871:A 4.50033e-05 -34 *1371:19 *5454:8 1.36635e-05 -35 *1371:19 *5659:32 0.000512466 -36 *1371:19 *5659:36 0 -37 *1371:19 *5926:122 0 -38 *1371:25 *28181:RESET_B 0 -39 *1371:25 *30864:A 0.000174238 -40 *1371:25 *1844:189 0 -41 *1371:25 *1864:170 0.00040904 -42 *1371:25 *4232:13 0.000245349 -43 *1371:25 *5583:156 0.000260089 -44 *1371:25 *5659:32 0.000828585 -45 *1371:25 *5753:119 0 -46 *1371:28 *4185:67 0.00353455 -47 *1371:28 *4214:38 0.00204812 -48 *1371:28 *5981:8 0.00139437 -49 *1371:40 *2769:79 9.41642e-05 -50 *1371:40 *3722:23 7.53788e-05 -51 *1371:40 *5603:17 0.00145057 -52 *1371:51 *24989:B2 0.000148911 -53 *1371:51 *25062:A1 0 -54 *1371:51 *27424:A1 0.000516765 -55 *1371:51 *28513:CLK 0.000162125 -56 *1371:51 *28545:CLK 0.000380995 -57 *1371:51 *28616:CLK 0.000118315 -58 *1371:51 *28703:RESET_B 0 -59 *1371:51 *30157:A 0.000136129 -60 *1371:51 *2776:153 4.34472e-05 -61 *1371:51 *2776:177 0.000482868 -62 *1371:51 *2866:307 0.000227139 -63 *1371:51 *3179:238 1.50293e-05 -64 *1371:51 *3722:23 0.00148515 -65 *1371:51 *3876:17 0.000128443 -66 *1371:51 *3889:31 3.77877e-05 -67 *1371:51 *3995:58 0.000568824 -68 *1371:51 *4125:23 0 -69 *1371:51 *5386:12 8.34046e-05 -70 *1371:51 *5589:123 0.00015787 -71 *1371:51 *5595:57 0 -72 *1371:51 *5628:98 0.000196902 -73 *1371:51 *5643:192 0 -74 *1371:51 *5766:29 0 -75 *1371:51 *5873:60 0.00021931 -76 mgmt_gpio_oeb[2] *1371:25 5.94884e-05 -77 pwr_ctrl_out[3] *1371:14 5.51088e-05 -78 *25061:A2 *1371:51 0.000565683 -79 *25306:A2 *1371:11 5.31101e-05 -80 *30320:A *1371:19 9.01334e-05 -81 *30562:A *1371:19 4.79842e-05 -82 *30705:A *1371:11 0.000162575 -83 *30714:A *1371:19 7.08111e-05 -84 *30724:A *1371:25 5.45041e-05 -85 *529:11 *1371:25 0.000650712 -86 *1218:154 *1371:11 2.04825e-05 -87 *1260:188 *1371:51 0.000241124 -88 *1260:202 *1371:51 2.48947e-05 -89 *1262:100 *25062:C1 0.000244658 -90 *1270:75 *1371:51 7.53204e-05 -91 *1270:88 *1371:40 4.33438e-05 -92 *1270:88 *1371:51 3.99614e-05 -93 *1274:141 *1371:40 6.6891e-05 -94 *1274:141 *1371:51 0.00035587 -95 *1274:157 *1371:51 2.38697e-05 -96 *1275:142 *1371:51 0.000298512 -*RES -1 *25049:X *1371:11 28.2531 -2 *1371:11 *1371:14 39.3661 -3 *1371:14 *1371:19 49.6071 -4 *1371:19 *1371:25 46.2143 -5 *1371:25 *1371:27 4.5 -6 *1371:27 *1371:28 145.839 -7 *1371:28 *1371:40 35.2946 -8 *1371:40 *1371:51 46.8277 -9 *1371:51 *25062:C1 22.5404 -*END - -*D_NET *1372 0.0176002 -*CONN -*I *25051:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25050:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25051:C1 2.04426e-05 -2 *25050:X 0.000588678 -3 *1372:12 0.00237035 -4 *1372:10 0.00293859 -5 *25051:C1 *25054:A1 5.33005e-05 -6 *25051:C1 *25055:A 2.59355e-05 -7 *1372:10 *1391:11 0.000290986 -8 *1372:10 *2861:51 2.94501e-05 -9 *1372:10 *2872:336 0.000352013 -10 *1372:12 *25071:B 0.000118052 -11 *1372:12 *25071:C 1.41885e-05 -12 *1372:12 *27437:A 0.000325407 -13 *1372:12 *27785:B1 2.11419e-05 -14 *1372:12 *1377:14 9.50088e-05 -15 *1372:12 *1697:32 0.000355257 -16 *1372:12 *2774:10 0.000121768 -17 *1372:12 *2792:78 2.22944e-05 -18 *1372:12 *2792:85 0.00228092 -19 *1372:12 *2861:51 0.00289027 -20 *1372:12 *2872:336 1.17921e-05 -21 *1372:12 *2872:355 2.09826e-05 -22 *1372:12 *3902:24 0.000794031 -23 *1372:12 *3902:32 0.00183485 -24 *1372:12 *4086:16 0.000762522 -25 *1372:12 *5862:26 0.000154026 -26 *1372:12 *5862:35 0.000929066 -27 *1252:207 *1372:10 0.000178847 -*RES -1 *25050:X *1372:10 23.9161 -2 *1372:10 *1372:12 77.3839 -3 *1372:12 *25051:C1 14.3357 -*END - -*D_NET *1373 0.00360801 -*CONN -*I *25055:A I *D sky130_fd_sc_hd__or4_1 -*I *25051:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25055:A 0.000903171 -2 *25051:X 0.000903171 -3 *25055:A *25051:A1 0.000136951 -4 *25055:A *25051:B2 1.38323e-05 -5 *25055:A *25054:A1 6.24874e-05 -6 *25055:A *25055:D 0.000705578 -7 *25055:A *3614:22 0.000139631 -8 *25055:A *4085:18 8.44271e-06 -9 *25055:A *5682:126 8.38362e-05 -10 *25055:A *5862:35 0.00048122 -11 *25051:C1 *25055:A 2.59355e-05 -12 *29092:A *25055:A 0.000143756 -*RES -1 *25051:X *25055:A 45.2071 -*END - -*D_NET *1374 0.0101917 -*CONN -*I *25055:B I *D sky130_fd_sc_hd__or4_1 -*I *25052:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25055:B 0.000732782 -2 *25052:X 0.000922273 -3 *1374:6 0.00165505 -4 *25055:B *1680:29 9.41642e-05 -5 *25055:B *3582:134 0.000137883 -6 *25055:B *3614:22 6.05161e-06 -7 *25055:B *3772:14 0.000251076 -8 *25055:B *4033:14 0 -9 *25055:B *4033:16 0.000264371 -10 *1374:6 *3839:30 0.00246451 -11 *1374:6 *3930:70 0.000110498 -12 *1302:6 *25055:B 0.00108799 -13 *1302:6 *1374:6 0.00246504 -*RES -1 *25052:X *1374:6 46.4071 -2 *1374:6 *25055:B 39.8 -*END - -*D_NET *1375 0.00270107 -*CONN -*I *25055:C I *D sky130_fd_sc_hd__or4_1 -*I *25053:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25055:C 0.000579572 -2 *25053:X 0.000579572 -3 *25055:C *25054:B2 2.84026e-05 -4 *25055:C *27787:A2 0.000129688 -5 *25055:C *2772:45 0.000176463 -6 *25055:C *3694:41 0.000141245 -7 *25055:C *4033:8 0.000186125 -8 *25055:C *4033:14 0.000244308 -9 *25055:C *4085:18 0.00025365 -10 *25055:C *4086:16 0.000118488 -11 *25055:C *4206:36 7.6232e-05 -12 *25054:A2 *25055:C 0.000143523 -13 *25054:B1 *25055:C 4.37992e-05 -*RES -1 *25053:X *25055:C 41.9214 -*END - -*D_NET *1376 0.00285612 -*CONN -*I *25055:D I *D sky130_fd_sc_hd__or4_1 -*I *25054:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25055:D 0.00061699 -2 *25054:X 0.00061699 -3 *25055:D *25054:A1 1.92905e-05 -4 *25055:D *3614:22 6.42095e-05 -5 *25055:D *4085:16 2.30285e-05 -6 *25055:D *4085:18 0.000810034 -7 *25055:A *25055:D 0.000705578 -*RES -1 *25054:X *25055:D 41.9036 -*END - -*D_NET *1377 0.00491734 -*CONN -*I *25071:A I *D sky130_fd_sc_hd__or4_4 -*I *25055:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *25071:A 3.90069e-05 -2 *25055:X 0.000740718 -3 *1377:14 0.000779725 -4 *25071:A *25071:B 6.05161e-06 -5 *25071:A *1393:10 8.25843e-06 -6 *25071:A *5910:63 8.78375e-05 -7 *1377:14 *25071:C 9.50088e-05 -8 *1377:14 *2761:53 0.000135028 -9 *1377:14 *4085:18 0.00146732 -10 *1377:14 *5862:35 0.00146338 -11 *1372:12 *1377:14 9.50088e-05 -*RES -1 *25055:X *1377:14 49.55 -2 *1377:14 *25071:A 10.2643 -*END - -*D_NET *1378 0.0229975 -*CONN -*I *25057:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25056:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25057:C1 0.000311629 -2 *25056:X 0.000983953 -3 *1378:36 0.00217555 -4 *1378:21 0.00243988 -5 *1378:8 0.00155991 -6 *25057:C1 *25057:A2 0.000236139 -7 *25057:C1 *25057:B1 1.64329e-05 -8 *1378:8 *2767:62 4.87854e-05 -9 *1378:8 *3367:46 0.000678027 -10 *1378:8 *3850:24 0.00259494 -11 *1378:8 *3916:20 0.000630267 -12 *1378:8 *5767:29 0.000577594 -13 *1378:8 *5767:41 0.000111991 -14 *1378:21 *27125:A1 2.42516e-05 -15 *1378:21 *30105:A 2.59355e-05 -16 *1378:21 *1390:15 0.000125178 -17 *1378:21 *3174:239 0.000805393 -18 *1378:21 *3798:68 0.00016509 -19 *1378:21 *3916:20 0.000807108 -20 *1378:36 *27141:A1 4.46618e-05 -21 *1378:36 *3165:85 0.000195405 -22 *1378:36 *3185:190 3.94839e-05 -23 *1378:36 *3339:22 0.00253627 -24 *1378:36 *3837:33 0.000390778 -25 *1378:36 *3916:20 0.00038698 -26 *1378:36 *4006:51 6.77633e-05 -27 *1378:36 *4137:20 0.00144388 -28 *1378:36 *5600:205 0.00125185 -29 *1378:36 *5637:43 3.39461e-05 -30 *1378:36 *5683:134 0.00121654 -31 *25056:B1 *1378:8 5.33005e-05 -32 *1242:148 *1378:36 0.000123605 -33 *1265:149 *1378:36 0.000865837 -34 *1266:186 *1378:21 0 -35 *1273:161 *1378:36 1.91414e-05 -36 *1274:137 *1378:8 1.00073e-05 -*RES -1 *25056:X *1378:8 48.9786 -2 *1378:8 *1378:21 33.2321 -3 *1378:21 *1378:36 46.0998 -4 *1378:36 *25057:C1 14.3714 -*END - -*D_NET *1379 0.00132722 -*CONN -*I *25071:B I *D sky130_fd_sc_hd__or4_4 -*I *25057:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25071:B 0.000397796 -2 *25057:X 0.000397796 -3 *25071:B *25057:A1 8.83414e-05 -4 *25071:B *1393:10 1.59935e-05 -5 *25071:B *4086:27 0.000175892 -6 *25071:B *5647:175 7.53109e-06 -7 *25071:B *5862:26 0.000108112 -8 *25071:B *5862:35 5.58875e-06 -9 *25071:B *5910:63 6.06291e-06 -10 *25071:A *25071:B 6.05161e-06 -11 *1372:12 *25071:B 0.000118052 -*RES -1 *25057:X *25071:B 33.3946 -*END - -*D_NET *1380 0.00178571 -*CONN -*I *25059:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25058:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25059:C1 0.000534107 -2 *25058:X 0.000534107 -3 *25059:C1 *3367:31 7.95355e-05 -4 *25059:C1 *3916:20 0.000120506 -5 *25059:C1 *5607:102 0.000384819 -6 *25059:C1 *5627:216 0.000120506 -7 *1275:195 *25059:C1 1.21258e-05 -*RES -1 *25058:X *25059:C1 35.1179 -*END - -*D_NET *1381 0.0025542 -*CONN -*I *25071:C I *D sky130_fd_sc_hd__or4_4 -*I *25059:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25071:C 0.000454666 -2 *25059:X 0.000454666 -3 *25071:C *27790:B2 0.000121893 -4 *25071:C *1393:10 4.21898e-06 -5 *25071:C *3902:24 0.000237013 -6 *25071:C *5652:200 0.000714713 -7 *25071:C *5862:35 0.00036746 -8 *25071:C *5910:63 2.0876e-05 -9 *25059:A2 *25071:C 6.94952e-05 -10 *1372:12 *25071:C 1.41885e-05 -11 *1377:14 *25071:C 9.50088e-05 -*RES -1 *25059:X *25071:C 39.8991 -*END - -*D_NET *1382 0.0114276 -*CONN -*I *25061:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25060:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25061:C1 0.000977082 -2 *25060:X 0.00117847 -3 *1382:16 0.00215555 -4 *25061:C1 *25061:B2 7.12204e-05 -5 *25061:C1 *26870:A0 3.26697e-05 -6 *25061:C1 *1383:13 6.86693e-05 -7 *25061:C1 *3733:59 0.00218439 -8 *25061:C1 *3889:8 4.37111e-05 -9 *25061:C1 *3889:31 1.04048e-05 -10 *25061:C1 *3889:61 0.000219975 -11 *25061:C1 *4357:21 0.000124639 -12 *25061:C1 *5589:123 0.000210142 -13 *25061:C1 *5847:44 0 -14 *25061:C1 *6207:19 0.00120275 -15 *1382:16 *25060:B1 6.8445e-06 -16 *1382:16 *25060:B2 3.2033e-05 -17 *1382:16 *3733:51 0.00020731 -18 *1382:16 *3733:59 0.000453877 -19 *1382:16 *4124:19 0.00050843 -20 *1382:16 *4239:17 2.51443e-05 -21 *1382:16 *4357:21 9.50636e-05 -22 *1382:16 *4744:15 0.000124424 -23 *1382:16 *5176:11 9.55443e-05 -24 *1382:16 *5587:169 0.000885043 -25 *1382:16 *5911:94 0.00027535 -26 *1382:16 *6004:15 9.41642e-05 -27 *25061:B1 *25061:C1 3.49532e-05 -28 *844:19 *1382:16 3.03395e-05 -29 *1275:178 *1382:16 3.68462e-05 -30 *1275:195 *1382:16 4.25569e-05 -*RES -1 *25060:X *1382:16 49.1929 -2 *1382:16 *25061:C1 44.55 -*END - -*D_NET *1383 0.00544848 -*CONN -*I *25070:A I *D sky130_fd_sc_hd__or4_1 -*I *25061:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25070:A 0 -2 *25061:X 0.0015531 -3 *1383:13 0.0015531 -4 *1383:13 *25061:B2 8.05432e-05 -5 *1383:13 *27096:A1 1.9774e-05 -6 *1383:13 *28517:D 0.000349458 -7 *1383:13 *1392:10 2.79421e-05 -8 *1383:13 *3165:73 1.90303e-05 -9 *1383:13 *3705:62 0.00109303 -10 *1383:13 *5766:7 2.2351e-05 -11 *1383:13 *5863:161 0.000320868 -12 *1383:13 *5892:39 0.000216755 -13 *25061:C1 *1383:13 6.86693e-05 -14 *29704:A *1383:13 0.000123861 -*RES -1 *25061:X *1383:13 44.3 -2 *1383:13 *25070:A 9.3 -*END - -*D_NET *1384 0.00195345 -*CONN -*I *25070:B I *D sky130_fd_sc_hd__or4_1 -*I *25062:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25070:B 0.000563608 -2 *25062:X 0.000563608 -3 *25070:B *2792:96 7.57673e-05 -4 *25070:B *2872:336 7.57673e-05 -5 *25070:B *5589:123 0.0006747 -*RES -1 *25062:X *25070:B 35.3321 -*END - -*D_NET *1385 0.0586001 -*CONN -*I *25064:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25063:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *25064:C1 9.43613e-05 -2 *25063:X 0.000666871 -3 *1385:34 0.00294173 -4 *1385:33 0.00324515 -5 *1385:25 0.00150163 -6 *1385:16 0.0046812 -7 *1385:15 0.00424422 -8 *1385:15 *25049:A1 4.22011e-05 -9 *1385:15 *27115:A0 0.000247216 -10 *1385:15 *27217:A 4.13962e-05 -11 *1385:15 *27219:A 0.000639391 -12 *1385:15 *27461:A1 0.000142732 -13 *1385:15 *2784:204 9.60939e-05 -14 *1385:15 *4463:19 0.000698523 -15 *1385:16 *27115:A0 0.000193234 -16 *1385:16 *27646:B 0.000385717 -17 *1385:16 *27647:C 0.00244075 -18 *1385:16 *27650:C 0.000125724 -19 *1385:16 *27807:B1 0.000282183 -20 *1385:16 *28705:D 0.000383082 -21 *1385:16 *2782:183 9.25014e-06 -22 *1385:16 *3018:22 5.05056e-05 -23 *1385:16 *3165:27 0.00411233 -24 *1385:16 *3362:11 0.000373427 -25 *1385:16 *3942:31 0.000864811 -26 *1385:16 *3942:35 0.00154688 -27 *1385:16 *3942:37 0.000285604 -28 *1385:16 *3942:60 0.00215326 -29 *1385:16 *3981:53 0.00017309 -30 *1385:16 *5504:86 7.83659e-05 -31 *1385:16 *5654:23 0.000173056 -32 *1385:16 *5678:181 0.000779772 -33 *1385:16 *5678:183 0.000751385 -34 *1385:25 *2764:209 0.000389818 -35 *1385:25 *2895:256 0.000107157 -36 *1385:25 *3018:22 0.000107157 -37 *1385:25 *3165:27 0.00279126 -38 *1385:25 *3345:6 0.00279449 -39 *1385:25 *3558:223 7.59802e-06 -40 *1385:33 *2764:209 0.00017423 -41 *1385:33 *2844:201 0.000207268 -42 *1385:33 *3864:63 7.07984e-05 -43 *1385:33 *5654:52 0.000197828 -44 *1385:34 *27466:B2 9.8389e-05 -45 *1385:34 *27480:B1 2.26327e-05 -46 *1385:34 *27480:C1 3.57844e-05 -47 *1385:34 *2848:233 2.45832e-05 -48 *1385:34 *2861:42 0.00658892 -49 *1385:34 *2861:51 0.00150717 -50 *1385:34 *2872:224 0.000121492 -51 *1385:34 *2888:183 1.10978e-05 -52 *1385:34 *3034:16 7.40571e-05 -53 *1385:34 *3165:27 0.00197411 -54 *1385:34 *3345:6 0.000436054 -55 *1385:34 *3721:37 2.42205e-05 -56 *1385:34 *3774:33 1.94945e-05 -57 *1385:34 *3904:50 0.000101545 -58 *1385:34 *4086:28 0.000272348 -59 *1385:34 *4086:35 0.00219643 -60 *1385:34 *4086:64 0.00013668 -61 *1385:34 *5639:114 8.22793e-06 -62 *1385:34 *5759:18 1.94945e-05 -63 *24971:A2 *1385:16 0 -64 *24991:C1 *1385:16 0.000148027 -65 *26:17 *1385:15 9.54798e-06 -66 *1218:152 *1385:16 0.000755104 -67 *1218:154 *1385:15 3.92914e-05 -68 *1218:154 *1385:16 0 -69 *1267:172 *25064:C1 5.33005e-05 -70 *1267:178 *25064:C1 6.42095e-05 -71 *1269:247 *1385:34 1.61405e-05 -72 *1274:161 *1385:34 0.00137778 -73 *1300:8 *1385:15 0.000142021 -74 *1300:8 *1385:16 0.000986679 -75 *1358:19 *1385:33 2.35215e-05 -76 *1366:13 *1385:15 1.90303e-05 -*RES -1 *25063:X *1385:15 40.7286 -2 *1385:15 *1385:16 130.812 -3 *1385:16 *1385:25 47.1298 -4 *1385:25 *1385:33 11.6384 -5 *1385:33 *1385:34 105.312 -6 *1385:34 *25064:C1 15.5679 -*END - -*D_NET *1386 0.00255467 -*CONN -*I *25070:C I *D sky130_fd_sc_hd__or4_1 -*I *25064:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25070:C 0.000463391 -2 *25064:X 0.000463391 -3 *25070:C *1391:11 6.05161e-06 -4 *25070:C *1392:10 1.21258e-05 -5 *25070:C *2792:96 0.000713662 -6 *25070:C *2872:336 0.000597991 -7 *25070:C *3705:62 5.33005e-05 -8 *25070:C *3798:68 4.32957e-05 -9 *25070:C *4123:33 9.49306e-05 -10 *25070:C *5676:95 0.000103363 -11 *1267:178 *25070:C 3.16426e-06 -*RES -1 *25064:X *25070:C 39.9571 -*END - -*D_NET *1387 0.00284954 -*CONN -*I *25066:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25065:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25066:C1 0.000487975 -2 *25065:X 0.000487975 -3 *25066:C1 *25065:A1 0.000135028 -4 *25066:C1 *25066:B2 5.33005e-05 -5 *25066:C1 *2998:8 0.000326549 -6 *25066:C1 *5650:329 0.000886653 -7 *25066:C1 *5873:220 0.000270965 -8 *25047:A2 *25066:C1 5.74499e-06 -9 *25065:B1 *25066:C1 5.33005e-05 -10 *1185:175 *25066:C1 0.000142052 -*RES -1 *25065:X *25066:C1 41.6714 -*END - -*D_NET *1388 0.00274749 -*CONN -*I *25069:C I *D sky130_fd_sc_hd__or4_1 -*I *25066:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25069:C 0.00119521 -2 *25066:X 0.00119521 -3 *25069:C *25069:D 2.59355e-05 -4 *25069:C *3537:50 0.000150263 -5 *25069:C *5814:12 0.000151978 -6 *25069:B *25069:C 2.89016e-05 -*RES -1 *25066:X *25069:C 45.2786 -*END - -*D_NET *1389 0.00666094 -*CONN -*I *25068:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25067:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25068:C1 0 -2 *25067:X 0.0012596 -3 *1389:10 0.0012596 -4 *1389:10 *27822:B2 2.14678e-05 -5 *1389:10 *2791:20 0.000562301 -6 *1389:10 *3153:300 0.000434757 -7 *1389:10 *3837:20 0 -8 *1389:10 *3837:27 0.000254562 -9 *1389:10 *3916:18 0.0015817 -10 *1389:10 *3916:20 2.26912e-05 -11 *1389:10 *3978:109 0.000163475 -12 *1389:10 *3978:130 0.000485656 -13 *1389:10 *4149:10 0.000165422 -14 *25048:B1 *1389:10 6.35864e-05 -15 *1288:157 *1389:10 0.000386121 -*RES -1 *25067:X *1389:10 49.8714 -2 *1389:10 *25068:C1 9.3 -*END - -*D_NET *1390 0.0328291 -*CONN -*I *25069:D I *D sky130_fd_sc_hd__or4_1 -*I *25068:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25069:D 0.000183689 -2 *25068:X 0.00684386 -3 *1390:15 0.00702755 -4 *25069:D *3185:182 0.000438494 -5 *1390:15 *2895:281 0 -6 *1390:15 *3153:284 0.00249855 -7 *1390:15 *3153:300 3.94425e-05 -8 *1390:15 *3170:232 0 -9 *1390:15 *3174:239 0.00015175 -10 *1390:15 *3185:182 0.000557899 -11 *1390:15 *3185:190 0.00197858 -12 *1390:15 *3185:229 0.000408735 -13 *1390:15 *3205:270 0.00102205 -14 *1390:15 *3339:22 0.00555952 -15 *1390:15 *3580:47 0.000135739 -16 *1390:15 *3917:16 0.000142026 -17 *1390:15 *3930:79 0.000416686 -18 *1390:15 *3978:130 5.3481e-05 -19 *1390:15 *4017:33 2.23112e-05 -20 *1390:15 *4137:16 4.78835e-05 -21 *1390:15 *5595:69 0.000800101 -22 *1390:15 *5627:208 0.000494457 -23 *1390:15 *5633:69 0 -24 *1390:15 *5649:310 0.000138071 -25 *1390:15 *5873:220 5.00194e-05 -26 *25069:C *25069:D 2.59355e-05 -27 *1242:159 *1390:15 0.00263194 -28 *1265:161 *1390:15 4.98422e-05 -29 *1273:161 *25069:D 0.000438861 -30 *1273:161 *1390:15 0.000546452 -31 *1378:21 *1390:15 0.000125178 -*RES -1 *25068:X *1390:15 49.5976 -2 *1390:15 *25069:D 23.4868 -*END - -*D_NET *1391 0.0100176 -*CONN -*I *25070:D I *D sky130_fd_sc_hd__or4_1 -*I *25069:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *25070:D 0 -2 *25069:X 0.00053703 -3 *1391:11 0.00107845 -4 *1391:7 0.00161548 -5 *1391:7 *5676:154 6.05161e-06 -6 *1391:11 *1392:10 0.000135028 -7 *1391:11 *2861:42 0.00107939 -8 *1391:11 *2861:51 0.00225517 -9 *1391:11 *2872:336 0.000578967 -10 *1391:11 *3022:11 1.43864e-05 -11 *1391:11 *3705:62 3.63775e-05 -12 *1391:11 *3721:14 0.000740531 -13 *1391:11 *4085:34 0.00141029 -14 *25069:A *1391:7 5.46828e-05 -15 *25070:C *1391:11 6.05161e-06 -16 *1367:53 *1391:7 0.000126579 -17 *1367:55 *1391:7 5.21937e-05 -18 *1372:10 *1391:11 0.000290986 -*RES -1 *25069:X *1391:7 21.3179 -2 *1391:7 *1391:11 48.7857 -3 *1391:11 *25070:D 9.3 -*END - -*D_NET *1392 0.010131 -*CONN -*I *25071:D I *D sky130_fd_sc_hd__or4_4 -*I *25070:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *25071:D 0.000905222 -2 *25070:X 0.00109576 -3 *1392:10 0.00200098 -4 *25071:D *27430:B1 0.000232949 -5 *25071:D *1393:10 0.000219128 -6 *25071:D *2895:281 0.000686116 -7 *25071:D *2992:11 0.00140532 -8 *25071:D *4033:16 0.000224373 -9 *25071:D *4085:18 4.18803e-05 -10 *25071:D *4086:27 0.000121653 -11 *25071:D *5587:151 0.00087523 -12 *25071:D *5910:63 2.95143e-05 -13 *1392:10 *27424:A1 0.000139491 -14 *1392:10 *27435:B1 0.000246057 -15 *1392:10 *2883:209 0.000379152 -16 *1392:10 *3705:62 6.45355e-05 -17 *1392:10 *3941:8 0.000237913 -18 *1392:10 *4033:16 3.86309e-05 -19 *1392:10 *4085:18 7.47029e-06 -20 *1392:10 *4085:27 0.000472023 -21 *1392:10 *5862:23 0.000513662 -22 *25052:A2 *25071:D 1.88175e-05 -23 *25070:C *1392:10 1.21258e-05 -24 *1383:13 *1392:10 2.79421e-05 -25 *1391:11 *1392:10 0.000135028 -*RES -1 *25070:X *1392:10 36.7911 -2 *1392:10 *25071:D 43.6661 -*END - -*D_NET *1393 0.1055 -*CONN -*I *25795:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25810:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25072:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25819:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *6529:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27978:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25071:X O *D sky130_fd_sc_hd__or4_4 -*CAP -1 *25795:A1 0.000515935 -2 *25810:A1 0 -3 *25072:A0 0.000143301 -4 *25819:A1 0 -5 *6529:DIODE 0 -6 *27978:A1 0.000150596 -7 *25071:X 0.000568641 -8 *1393:165 0.00380052 -9 *1393:140 0.00610549 -10 *1393:132 0.00297409 -11 *1393:124 0.00168431 -12 *1393:110 0.00385533 -13 *1393:90 0.00345114 -14 *1393:69 0.00136334 -15 *1393:55 0.00469753 -16 *1393:49 0.00418196 -17 *1393:39 0.00450252 -18 *1393:25 0.00726338 -19 *1393:23 0.00565852 -20 *1393:11 0.00631736 -21 *1393:10 0.00566916 -22 *25072:A0 *25072:A1 5.33005e-05 -23 *25072:A0 *25073:A0 1.19071e-05 -24 *25072:A0 *25168:A1 1.94879e-05 -25 *25072:A0 *1427:168 0.000129624 -26 *25795:A1 *25795:A0 0.000190178 -27 *25795:A1 *29553:A 5.33005e-05 -28 *25795:A1 *1935:37 5.33005e-05 -29 *27978:A1 *27978:A0 0.000113005 -30 *1393:10 *25057:B2 1.24368e-05 -31 *1393:10 *4033:16 0.000264812 -32 *1393:10 *4086:27 9.87358e-05 -33 *1393:10 *5910:63 1.29493e-05 -34 *1393:10 *5910:69 4.58194e-05 -35 *1393:11 *25201:A1 6.8445e-06 -36 *1393:11 *25201:B2 0.00018976 -37 *1393:11 *25201:C1 1.21258e-05 -38 *1393:11 *27144:B 0.000543665 -39 *1393:11 *2788:9 1.57414e-05 -40 *1393:11 *5587:130 0.000223419 -41 *1393:11 *5655:232 0.000382744 -42 *1393:11 *5703:45 0.00387097 -43 *1393:23 *28755:D 1.0546e-05 -44 *1393:23 *28755:RESET_B 8.80543e-05 -45 *1393:23 *29671:A 0.000423607 -46 *1393:23 *1519:13 6.61173e-05 -47 *1393:23 *3821:19 9.94686e-05 -48 *1393:23 *3898:11 9.41642e-05 -49 *1393:23 *3898:32 0.000681226 -50 *1393:23 *4044:7 9.41642e-05 -51 *1393:23 *4120:30 0.000100477 -52 *1393:23 *5587:130 9.60875e-05 -53 *1393:23 *5655:232 0.000633787 -54 *1393:23 *5803:27 0.000300137 -55 *1393:25 *28755:CLK 0.000124677 -56 *1393:25 *1519:13 2.52274e-05 -57 *1393:25 *2893:7 0.0035348 -58 *1393:25 *3803:45 0.000126827 -59 *1393:39 *26281:A 0.000175892 -60 *1393:39 *26281:B 0.000109481 -61 *1393:39 *26281:C 1.98839e-05 -62 *1393:39 *26523:A 0.000120972 -63 *1393:39 *26758:A 1.04232e-05 -64 *1393:39 *28067:CLK 5.17614e-05 -65 *1393:39 *2100:28 0.000148215 -66 *1393:39 *2223:8 1.58163e-05 -67 *1393:39 *2223:50 6.69194e-05 -68 *1393:39 *2464:7 4.58194e-05 -69 *1393:39 *2608:26 8.12705e-05 -70 *1393:39 *2696:8 2.80703e-05 -71 *1393:39 *2772:85 6.57032e-05 -72 *1393:39 *3592:7 0.000229359 -73 *1393:39 *5719:32 0.00015732 -74 *1393:49 *26494:B 6.57032e-05 -75 *1393:49 *26507:B1 8.55871e-05 -76 *1393:49 *26511:A_N 1.92789e-05 -77 *1393:49 *26514:B 0.000161868 -78 *1393:49 *26669:A 3.97677e-05 -79 *1393:49 *26758:B 5.33005e-05 -80 *1393:49 *1983:37 4.58194e-05 -81 *1393:49 *1989:30 8.55871e-05 -82 *1393:49 *1996:83 0.000244658 -83 *1393:49 *1996:99 0.000361832 -84 *1393:49 *2000:31 2.39923e-05 -85 *1393:49 *2051:46 6.17897e-05 -86 *1393:49 *2598:12 0.000288564 -87 *1393:49 *2608:5 0.000342302 -88 *1393:49 *2608:26 1.24368e-05 -89 *1393:49 *3461:13 8.08377e-06 -90 *1393:49 *3510:21 0.00224777 -91 *1393:55 *26596:B1 2.42516e-05 -92 *1393:55 *26596:B2 5.41763e-05 -93 *1393:55 *26741:A2 8.41592e-05 -94 *1393:55 *2046:77 0.000755811 -95 *1393:55 *2046:88 3.05522e-05 -96 *1393:55 *2176:70 0.000388911 -97 *1393:55 *2348:8 0.000175892 -98 *1393:55 *2536:5 0.000428975 -99 *1393:55 *2536:20 4.61529e-05 -100 *1393:55 *3461:13 0.000175153 -101 *1393:69 *2162:32 0.0001459 -102 *1393:69 *2177:25 0.000214773 -103 *1393:69 *2212:14 0.000153047 -104 *1393:69 *2226:38 0 -105 *1393:69 *2244:14 0.000151333 -106 *1393:69 *3461:13 1.70156e-05 -107 *1393:69 *3510:41 4.60318e-05 -108 *1393:90 *26240:A 0.000145618 -109 *1393:90 *26544:C1 0 -110 *1393:90 *26643:A 0.000589692 -111 *1393:90 *26646:B1 0.00124003 -112 *1393:90 *2043:58 0.000312334 -113 *1393:90 *2046:88 0.000118315 -114 *1393:90 *2047:39 0.000108889 -115 *1393:90 *2047:58 9.41642e-05 -116 *1393:90 *2182:8 0.000140368 -117 *1393:90 *2226:38 0 -118 *1393:90 *2346:38 4.2453e-05 -119 *1393:90 *2582:28 0 -120 *1393:90 *2635:11 0.000687785 -121 *1393:90 *6169:62 0.00127966 -122 *1393:110 *26643:A 1.53472e-05 -123 *1393:110 *26654:D_N 0.000869223 -124 *1393:110 *26709:C1 8.83221e-05 -125 *1393:110 *1935:37 9.26187e-05 -126 *1393:110 *2046:115 1.36225e-05 -127 *1393:110 *2047:39 0.000108889 -128 *1393:110 *2179:27 0.000100248 -129 *1393:110 *2239:12 0 -130 *1393:110 *2580:23 0 -131 *1393:110 *5560:33 0 -132 *1393:110 *5566:52 0.000279424 -133 *1393:110 *5573:21 0 -134 *1393:124 *25793:A0 0.000200151 -135 *1393:124 *27980:A0 0.000284626 -136 *1393:124 *28880:A 0.000154332 -137 *1393:124 *29579:A 9.41642e-05 -138 *1393:124 *30984:A 0.000601781 -139 *1393:124 *1871:63 0.000171375 -140 *1393:124 *1935:37 3.14003e-05 -141 *1393:124 *2149:10 5.56962e-05 -142 *1393:124 *2179:27 0.000825147 -143 *1393:124 *2204:85 1.53472e-05 -144 *1393:124 *2581:6 6.90591e-05 -145 *1393:124 *5560:33 0.000320002 -146 *1393:124 *5573:21 0.000487922 -147 *1393:124 *5664:209 0.000175512 -148 *1393:124 *5722:202 0.000208108 -149 *1393:132 *26265:C1 0.000311087 -150 *1393:132 *1545:96 0 -151 *1393:132 *2152:13 1.81805e-05 -152 *1393:132 *2204:85 0.000320383 -153 *1393:132 *3510:140 0.000143804 -154 *1393:132 *3510:156 0.000448353 -155 *1393:132 *5565:8 0.00012401 -156 *1393:132 *5565:35 0.0009467 -157 *1393:132 *5566:41 0.000734241 -158 *1393:132 *5722:202 5.01649e-06 -159 *1393:132 *6169:92 0 -160 *1393:140 *28818:CLK 0 -161 *1393:140 *28855:A 0.000134892 -162 *1393:140 *3510:156 6.9634e-05 -163 *1393:165 *25819:A0 0.000267777 -164 *1393:165 *28407:CLK_N 4.00679e-05 -165 *1393:165 *28413:D 2.03614e-05 -166 *1393:165 *1665:63 7.63396e-05 -167 *1393:165 *4170:20 2.05803e-05 -168 *1393:165 *4175:10 0.00011994 -169 *1393:165 *5237:29 0.000146071 -170 *1393:165 *5243:8 0.000139674 -171 *1393:165 *5334:28 5.7836e-06 -172 *1393:165 *5547:13 0.000225402 -173 *1393:165 *5728:36 0.000129012 -174 *1393:165 *5728:53 0.000221282 -175 *1393:165 *6169:126 0.00011994 -176 *25071:A *1393:10 8.25843e-06 -177 *25071:B *1393:10 1.59935e-05 -178 *25071:C *1393:10 4.21898e-06 -179 *25071:D *1393:10 0.000219128 -180 *25199:A2 *1393:25 6.13757e-06 -181 *25199:A2 *1393:39 0.000500025 -182 *25415:A *1393:165 0.000174441 -183 *25821:A1 *1393:165 3.01844e-05 -184 *27980:A1 *1393:124 0.00050705 -185 *28269:D *1393:132 0 -186 *28407:D *1393:165 9.26089e-06 -187 *29467:A *1393:165 0 -188 *373:60 *1393:55 0.000642684 -189 *743:11 *1393:165 0.000120847 -190 *1246:146 *1393:23 0.000589129 -191 *1256:154 *1393:25 0.000636364 -192 *1288:104 *1393:25 2.26714e-05 -193 *1293:149 *1393:11 0.000213819 -194 *1324:60 *1393:165 0.00018154 -195 *1324:74 *1393:165 4.14744e-05 -196 *1358:44 *1393:165 0.000656376 -197 *1358:69 *1393:132 1.01912e-05 -198 *1358:69 *1393:140 0.00175022 -199 *1358:69 *1393:165 0.000254558 -200 *1358:85 *1393:132 2.14933e-05 -*RES -1 *25071:X *1393:10 26.8179 -2 *1393:10 *1393:11 63.7857 -3 *1393:11 *1393:23 37.1071 -4 *1393:23 *1393:25 55.5714 -5 *1393:25 *1393:39 49 -6 *1393:39 *1393:49 45.0714 -7 *1393:49 *1393:55 34.7679 -8 *1393:55 *1393:69 34.6607 -9 *1393:69 *27978:A1 11.8893 -10 *1393:69 *6529:DIODE 9.3 -11 *1393:55 *1393:90 46.3482 -12 *1393:90 *1393:110 45.4933 -13 *1393:110 *1393:124 41.7411 -14 *1393:124 *1393:132 24.0446 -15 *1393:132 *1393:140 13.1035 -16 *1393:140 *1393:165 46.0548 -17 *1393:165 *25819:A1 9.3 -18 *1393:140 *25072:A0 21.6597 -19 *1393:132 *25810:A1 13.8 -20 *1393:110 *25795:A1 21.7464 -*END - -*D_NET *1394 0.00237572 -*CONN -*I *25073:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25072:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *25073:A0 0.000625014 -2 *25072:X 0.000625014 -3 *25073:A0 *24809:A 9.71197e-05 -4 *25073:A0 *25072:A1 6.39334e-05 -5 *25073:A0 *25168:A1 3.47874e-05 -6 *25073:A0 *25169:A0 2.05612e-05 -7 *25073:A0 *25646:B 8.9719e-06 -8 *25073:A0 *27975:A0 9.24435e-05 -9 *25073:A0 *1427:168 2.35971e-05 -10 *25073:A0 *1730:87 6.05161e-06 -11 *25073:A0 *3564:71 0.000232178 -12 *25072:A0 *25073:A0 1.19071e-05 -13 *25168:S *25073:A0 5.49489e-05 -14 *28410:RESET_B *25073:A0 9.87983e-06 -15 *29477:A *25073:A0 5.52238e-05 -16 *414:22 *25073:A0 0 -17 *593:19 *25073:A0 0.000221815 -18 *1169:10 *25073:A0 0.000192269 -*RES -1 *25072:X *25073:A0 49.3679 -*END - -*D_NET *1395 0.0739281 -*CONN -*I *25218:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25077:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25329:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *6579:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6580:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27153:A I *D sky130_fd_sc_hd__and2_2 -*I *25399:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25272:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25074:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *25218:B1 0.00028205 -2 *25077:B1 0.000325041 -3 *25329:A2 0.00162588 -4 *6579:DIODE 0 -5 *6580:DIODE 0 -6 *27153:A 0.000193005 -7 *25399:B1 0.00109013 -8 *25272:A2 4.51025e-05 -9 *25074:Y 0.000134331 -10 *1395:146 0.00307146 -11 *1395:133 0.0020384 -12 *1395:126 0.00264952 -13 *1395:108 0.00266828 -14 *1395:84 0.00124026 -15 *1395:62 0.00225597 -16 *1395:61 0.00187096 -17 *1395:53 0.00200768 -18 *1395:35 0.00167175 -19 *1395:29 0.00357187 -20 *1395:18 0.00249376 -21 *1395:8 0.00108213 -22 *25077:B1 *3699:24 0.000125731 -23 *25218:B1 *6396:DIODE 1.64271e-05 -24 *25218:B1 *25218:B2 9.96355e-05 -25 *25218:B1 *25218:C1 0.000135028 -26 *25272:A2 *25272:B1 5.10796e-05 -27 *25272:A2 *25272:C1 2.49299e-05 -28 *25272:A2 *1591:11 7.20217e-06 -29 *25329:A2 *25329:B1 1.88275e-05 -30 *25329:A2 *27895:A1 6.7062e-05 -31 *25329:A2 *27895:A2 1.15344e-05 -32 *25329:A2 *27895:B2 5.52302e-05 -33 *25329:A2 *1647:19 0.000196166 -34 *25329:A2 *1864:17 4.65519e-05 -35 *25329:A2 *3437:14 5.7811e-07 -36 *25329:A2 *3766:53 3.83495e-05 -37 *25329:A2 *4035:33 6.88681e-05 -38 *25329:A2 *4036:25 7.56452e-05 -39 *25329:A2 *5196:8 5.27484e-05 -40 *25329:A2 *5196:25 6.05037e-05 -41 *25329:A2 *5458:40 1.05524e-05 -42 *25329:A2 *5640:90 8.81211e-05 -43 *25329:A2 *5641:78 2.68557e-05 -44 *25399:B1 *25399:A1 3.61717e-05 -45 *25399:B1 *25399:B2 9.80173e-05 -46 *25399:B1 *25754:A0 0.000192776 -47 *25399:B1 *25907:A0 0.000379872 -48 *25399:B1 *28355:CLK 6.47405e-05 -49 *25399:B1 *28953:A 0.000325916 -50 *25399:B1 *1452:57 0 -51 *25399:B1 *1471:64 7.77088e-05 -52 *25399:B1 *3568:20 0.000142903 -53 *25399:B1 *3568:24 0.000538654 -54 *25399:B1 *3651:65 0.000261679 -55 *25399:B1 *3892:33 0 -56 *25399:B1 *3910:14 0.000392483 -57 *25399:B1 *5011:12 3.56684e-05 -58 *25399:B1 *5017:14 8.23597e-06 -59 *25399:B1 *5497:39 2.64852e-05 -60 *25399:B1 *5705:139 0.000181931 -61 *25399:B1 *5710:190 0.000160869 -62 *27153:A *2789:8 5.52302e-05 -63 *27153:A *3983:24 9.60875e-05 -64 *27153:A *4130:34 0.000158154 -65 *1395:8 *25694:A2 4.85033e-05 -66 *1395:8 *1844:26 0.000216755 -67 *1395:8 *5491:59 0.000113749 -68 *1395:18 *1476:143 0.000305895 -69 *1395:18 *3934:62 0.000303972 -70 *1395:18 *3963:129 0.000106894 -71 *1395:18 *5491:59 0.000262785 -72 *1395:29 *1420:22 0.000881023 -73 *1395:29 *1899:13 7.61169e-05 -74 *1395:29 *3206:48 2.74485e-05 -75 *1395:29 *3389:28 2.21252e-05 -76 *1395:29 *3832:33 0.00147681 -77 *1395:29 *3963:129 1.13346e-05 -78 *1395:29 *5498:54 0.000220879 -79 *1395:29 *5661:64 5.28614e-05 -80 *1395:29 *5666:216 0.000834342 -81 *1395:29 *5738:130 0.000167762 -82 *1395:29 *5936:70 0.000113877 -83 *1395:35 *25272:C1 9.2521e-05 -84 *1395:35 *27858:A2 5.14314e-05 -85 *1395:35 *27866:B 9.97552e-05 -86 *1395:35 *1591:11 0.000134274 -87 *1395:35 *3156:59 1.90936e-05 -88 *1395:35 *3205:55 6.98971e-05 -89 *1395:35 *3820:38 0.000527645 -90 *1395:35 *5498:54 0.000125685 -91 *1395:35 *5619:31 0.00108702 -92 *1395:35 *5629:44 0.000328276 -93 *1395:35 *5665:14 0.000501419 -94 *1395:53 *25272:B1 1.90936e-05 -95 *1395:53 *1442:13 0.0004593 -96 *1395:53 *1442:14 1.94945e-05 -97 *1395:53 *3853:45 0.000457978 -98 *1395:53 *5500:55 6.58704e-05 -99 *1395:61 *25121:A1 0.00210231 -100 *1395:61 *25121:B2 7.48091e-05 -101 *1395:61 *25930:B 0.000171495 -102 *1395:61 *29430:A 0.000221634 -103 *1395:61 *30081:A 0.000221822 -104 *1395:61 *1407:69 0.000346401 -105 *1395:61 *1442:13 5.33005e-05 -106 *1395:61 *3779:26 0.000321592 -107 *1395:61 *4130:37 0.000197176 -108 *1395:61 *4381:19 9.71197e-05 -109 *1395:61 *5200:10 0.000595325 -110 *1395:61 *5698:55 0.000865852 -111 *1395:62 *3910:14 0.000323437 -112 *1395:62 *5497:39 1.0945e-05 -113 *1395:84 *28835:CLK 2.14658e-05 -114 *1395:84 *28835:RESET_B 9.09013e-05 -115 *1395:84 *3908:35 8.25843e-06 -116 *1395:84 *3909:12 2.79421e-05 -117 *1395:84 *4130:34 0.000478178 -118 *1395:84 *5691:269 2.95216e-05 -119 *1395:108 *1427:105 0.00138608 -120 *1395:108 *2877:52 0.000177821 -121 *1395:126 *25213:C1 0.000172405 -122 *1395:126 *25218:B2 9.19723e-05 -123 *1395:126 *27304:A1 8.08763e-05 -124 *1395:126 *1407:108 0.000121787 -125 *1395:126 *1545:28 9.09539e-05 -126 *1395:126 *2866:119 0.000167799 -127 *1395:126 *2867:120 1.47871e-05 -128 *1395:126 *3220:28 0.000310821 -129 *1395:126 *3220:44 0.000935808 -130 *1395:126 *3868:73 0.00147441 -131 *1395:126 *3881:35 0.000152533 -132 *1395:126 *3881:54 0.000638064 -133 *1395:126 *4141:49 3.15746e-05 -134 *1395:133 *1396:120 0.000373387 -135 *1395:133 *1487:18 4.58194e-05 -136 *1395:133 *3197:85 9.60939e-05 -137 *1395:133 *3220:28 0.00229882 -138 *1395:133 *3868:74 5.13665e-05 -139 *1395:133 *3881:54 3.44344e-05 -140 *1395:133 *5216:12 1.21955e-05 -141 *1395:146 *25110:B2 1.90936e-05 -142 *1395:146 *27947:A2 2.11419e-05 -143 *1395:146 *1396:120 0.000813456 -144 *1395:146 *1396:168 0.000315565 -145 *1395:146 *1449:21 0.000175892 -146 *1395:146 *2851:6 0.000360809 -147 *1395:146 *3220:18 1.68913e-05 -148 *1395:146 *3220:24 0.000713217 -149 *1395:146 *3868:74 0.00134568 -150 *1395:146 *4079:46 0.000364953 -151 *1395:146 *5617:57 0.000416707 -152 *25074:B *1395:8 5.33005e-05 -153 *25076:A2 *25077:B1 3.69047e-06 -154 *25121:A2 *1395:61 1.58163e-05 -155 *25305:A2 *1395:29 0.000154759 -156 *25397:A2 *1395:29 2.70758e-05 -157 *29261:A *25399:B1 0.000192588 -158 *29261:A *1395:62 0.00030829 -159 *30082:A *1395:61 5.52238e-05 -160 *30138:A *1395:61 0.000178847 -161 *1223:15 *1395:133 0.00108829 -162 *1239:14 *1395:8 0.000120755 -163 *1239:14 *1395:18 0.000256511 -164 *1239:14 *1395:35 0.000403893 -165 *1239:14 *1395:126 6.13772e-05 -166 *1239:19 *1395:35 0.000261949 -167 *1242:37 *1395:29 0.000164551 -168 *1252:26 *1395:18 7.10106e-05 -169 *1252:26 *1395:29 0.00250622 -170 *1252:26 *1395:108 0.000621163 -171 *1252:37 *1395:108 0.000765777 -172 *1253:12 *1395:126 0 -173 *1253:12 *1395:133 0.00018241 -174 *1253:23 *1395:133 0.000218077 -175 *1257:146 *25077:B1 0.000125731 -176 *1263:28 *1395:126 5.16732e-06 -177 *1263:36 *1395:126 0 -178 *1273:203 *1395:126 0.000588945 -179 *1294:81 *1395:53 6.79325e-05 -180 *1327:78 *1395:126 0.00138377 -181 *1327:83 *1395:18 1.76569e-05 -182 *1327:83 *1395:29 0.000119101 -183 *1358:19 *1395:126 0.000175804 -184 *1362:28 *25329:A2 1.90936e-05 -*RES -1 *25074:Y *1395:8 17.6214 -2 *1395:8 *1395:18 18.0982 -3 *1395:18 *1395:29 47.9058 -4 *1395:29 *1395:35 43.5975 -5 *1395:35 *25272:A2 10.2241 -6 *1395:35 *1395:53 18.2841 -7 *1395:53 *1395:61 49.0179 -8 *1395:61 *1395:62 4.375 -9 *1395:62 *25399:B1 41.3714 -10 *1395:62 *1395:84 18.7321 -11 *1395:84 *27153:A 13.1393 -12 *1395:84 *6580:DIODE 9.3 -13 *1395:53 *6579:DIODE 9.3 -14 *1395:8 *1395:108 33.6071 -15 *1395:108 *1395:126 37.3889 -16 *1395:126 *1395:133 40.2768 -17 *1395:133 *1395:146 46.2321 -18 *1395:146 *25329:A2 43.3625 -19 *1395:146 *25077:B1 23.3536 -20 *1395:108 *25218:B1 13.5321 -*END - -*D_NET *1396 0.0919981 -*CONN -*I *25076:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25372:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25254:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25200:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *6581:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25798:A I *D sky130_fd_sc_hd__nand2_2 -*I *25307:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *6582:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25075:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25076:B1 0 -2 *25372:B1 0.000778906 -3 *25254:A2 0.00010195 -4 *25200:B1 0.000131357 -5 *6581:DIODE 0 -6 *25798:A 0 -7 *25307:A2 0.000200311 -8 *6582:DIODE 0 -9 *25075:Y 2.23854e-05 -10 *1396:189 0.00191686 -11 *1396:171 0.00299 -12 *1396:168 0.0015822 -13 *1396:129 0.00197889 -14 *1396:127 0.00354094 -15 *1396:120 0.0047103 -16 *1396:109 0.00510484 -17 *1396:83 0.00184778 -18 *1396:64 0.00339201 -19 *1396:45 0.00291273 -20 *1396:40 0.00282126 -21 *1396:25 0.00354845 -22 *1396:13 0.00322643 -23 *1396:5 0.00225537 -24 *25200:B1 *25200:B2 1.73088e-05 -25 *25200:B1 *3236:13 0.000206852 -26 *25200:B1 *5639:117 5.04841e-06 -27 *25200:B1 *5639:124 0.000257619 -28 *25200:B1 *5660:137 8.25843e-06 -29 *25254:A2 *25204:B1 0.000120663 -30 *25254:A2 *1573:22 3.97677e-05 -31 *25254:A2 *3688:78 9.60939e-05 -32 *25307:A2 *25307:A1 0.00013593 -33 *25307:A2 *25307:B1 3.25552e-05 -34 *25307:A2 *25307:B2 6.26774e-06 -35 *25307:A2 *25307:C1 5.42547e-05 -36 *25307:A2 *3194:108 5.33005e-05 -37 *25307:A2 *3194:116 0.000374274 -38 *25307:A2 *5744:30 5.74499e-06 -39 *25372:B1 *24941:A 0.0001218 -40 *25372:B1 *25154:B 1.90936e-05 -41 *25372:B1 *25352:B2 5.52302e-05 -42 *25372:B1 *25372:A1 4.9071e-05 -43 *25372:B1 *1450:28 4.11218e-05 -44 *25372:B1 *1685:46 0.000292391 -45 *25372:B1 *2851:6 0.00023234 -46 *25372:B1 *3220:18 7.43816e-05 -47 *25372:B1 *3868:74 2.70725e-06 -48 *25372:B1 *3957:67 0 -49 *25372:B1 *4027:20 0.000196235 -50 *25372:B1 *4079:46 0.000125724 -51 *25372:B1 *5808:95 0.000172006 -52 *25372:B1 *5891:33 0.000136676 -53 *1396:13 *1410:19 0.000425946 -54 *1396:13 *1411:15 0.000113252 -55 *1396:13 *1412:17 0.000176803 -56 *1396:13 *1483:48 0.00147925 -57 *1396:13 *3165:355 0.000120973 -58 *1396:13 *5611:47 0.000761729 -59 *1396:13 *5778:181 3.82242e-05 -60 *1396:13 *5823:51 1.08359e-05 -61 *1396:13 *5849:11 0.00159479 -62 *1396:13 *5878:14 0.000145753 -63 *1396:25 *28296:D 0.00025665 -64 *1396:25 *1422:20 0.000237205 -65 *1396:25 *1448:46 0.00023792 -66 *1396:25 *3700:8 3.33238e-05 -67 *1396:25 *5778:80 0.000524444 -68 *1396:25 *5778:94 0.000647091 -69 *1396:40 *25826:A1 2.98296e-05 -70 *1396:40 *27921:A2 0.000115115 -71 *1396:40 *27924:C1 2.20033e-05 -72 *1396:40 *1488:33 6.75883e-05 -73 *1396:40 *3165:322 0 -74 *1396:40 *3165:343 5.53573e-05 -75 *1396:40 *3711:6 0.000551592 -76 *1396:40 *3711:16 0.000665655 -77 *1396:40 *3740:30 0.000175211 -78 *1396:40 *5209:30 1.18358e-05 -79 *1396:40 *5209:39 0.000106386 -80 *1396:40 *5611:34 0.00125773 -81 *1396:45 *25775:S 9.41642e-05 -82 *1396:45 *27921:A2 0.000231598 -83 *1396:45 *27924:C1 0.000279914 -84 *1396:45 *29910:A 0.000239895 -85 *1396:45 *1872:10 2.89114e-05 -86 *1396:45 *1888:27 0.000420616 -87 *1396:45 *3165:322 0.000148911 -88 *1396:45 *3165:343 0.00014906 -89 *1396:45 *3871:32 0.00125206 -90 *1396:45 *4143:8 0.000515838 -91 *1396:45 *5623:13 0.000101136 -92 *1396:45 *5686:61 0.000358865 -93 *1396:45 *5686:76 1.91414e-05 -94 *1396:45 *5686:100 0.000212466 -95 *1396:45 *5712:30 7.75568e-05 -96 *1396:64 *6493:DIODE 1.95719e-05 -97 *1396:64 *25775:S 5.33005e-05 -98 *1396:64 *25877:A0 9.7295e-05 -99 *1396:64 *27558:A2 6.05161e-06 -100 *1396:64 *27558:B2 1.31802e-07 -101 *1396:64 *1427:143 2.31704e-05 -102 *1396:64 *1427:149 0.000440635 -103 *1396:64 *1730:41 0 -104 *1396:64 *1741:13 0.000260574 -105 *1396:64 *3196:143 3.06366e-05 -106 *1396:64 *3698:40 1.90936e-05 -107 *1396:64 *3711:34 9.94573e-05 -108 *1396:64 *3749:18 7.46556e-05 -109 *1396:64 *3749:105 0.000107222 -110 *1396:64 *3831:83 0.000307314 -111 *1396:64 *4065:107 0.000682622 -112 *1396:64 *4130:80 2.13481e-06 -113 *1396:64 *4159:56 0 -114 *1396:64 *5657:90 2.04825e-05 -115 *1396:64 *5712:30 0.000664515 -116 *1396:83 *25307:C1 2.25101e-05 -117 *1396:83 *27585:A2 1.09611e-05 -118 *1396:83 *1440:56 6.20441e-06 -119 *1396:83 *1748:20 0.000887158 -120 *1396:83 *2872:93 0.00151631 -121 *1396:83 *2889:254 0.000560743 -122 *1396:83 *3168:129 0.000500405 -123 *1396:83 *3192:107 0.000796853 -124 *1396:83 *3194:116 7.80759e-05 -125 *1396:83 *3723:25 4.79414e-05 -126 *1396:83 *3723:31 0.000829427 -127 *1396:83 *3883:31 0.000432914 -128 *1396:83 *5253:44 1.62305e-05 -129 *1396:83 *5699:106 5.27061e-05 -130 *1396:83 *5744:30 0.000184067 -131 *1396:109 *25075:A 0.000103211 -132 *1396:109 *5778:181 7.43854e-05 -133 *1396:120 *28841:A 0.000474021 -134 *1396:120 *1511:18 0.000361978 -135 *1396:120 *3220:24 0.000783681 -136 *1396:120 *3220:28 1.20289e-05 -137 *1396:120 *3868:74 0.00018392 -138 *1396:120 *5216:12 3.04051e-05 -139 *1396:120 *5601:162 0.000137671 -140 *1396:120 *5610:54 3.27002e-05 -141 *1396:120 *5836:78 0 -142 *1396:127 *28483:D 0.000171959 -143 *1396:127 *1511:22 0.000595691 -144 *1396:127 *5630:52 0.00049948 -145 *1396:129 *27686:B2 0.000142531 -146 *1396:129 *28483:D 5.33005e-05 -147 *1396:129 *3236:13 0.00175655 -148 *1396:129 *3950:7 0.00015546 -149 *1396:129 *5630:52 0.000261355 -150 *1396:129 *5639:124 0.0010882 -151 *1396:168 *25076:A1 0.000180764 -152 *1396:168 *25077:B2 1.32552e-05 -153 *1396:168 *25110:B2 0.000143452 -154 *1396:168 *2851:6 0.000647072 -155 *1396:168 *3220:24 0.000951463 -156 *1396:168 *3699:24 0.000108516 -157 *1396:168 *3700:72 0.000186635 -158 *1396:168 *4105:78 1.97695e-05 -159 *1396:171 *25077:B2 0.000315172 -160 *1396:171 *25077:C1 1.41029e-05 -161 *1396:171 *25154:B 0.000175905 -162 *1396:171 *3220:18 0.00114974 -163 *1396:171 *3868:74 0.000233322 -164 *1396:171 *5590:50 0.000124557 -165 *1396:189 *25297:B1 3.25552e-05 -166 *1396:189 *25297:B2 1.28809e-05 -167 *1396:189 *25297:C1 9.41642e-05 -168 *1396:189 *1436:13 0.000336659 -169 *1396:189 *1450:28 0.000716863 -170 *1396:189 *1464:8 4.32957e-05 -171 *1396:189 *1563:26 1.90936e-05 -172 *1396:189 *1573:22 0.000368042 -173 *1396:189 *1687:9 0.000418937 -174 *1396:189 *4077:29 4.53834e-05 -175 *1396:189 *4078:16 2.06112e-05 -176 *1396:189 *5761:192 0.00146293 -177 *1396:189 *5808:95 1.27625e-05 -178 *29328:A *25372:B1 4.22135e-06 -179 *29885:A *1396:45 0.000685803 -180 *30289:A *1396:64 0.000285962 -181 *402:13 *1396:64 0.000850092 -182 *740:19 *1396:45 5.05056e-05 -183 *1212:12 *1396:40 7.0603e-05 -184 *1257:146 *1396:168 0.000108516 -185 *1265:76 *1396:127 6.81704e-05 -186 *1284:55 *1396:13 0.000802586 -187 *1287:113 *1396:13 0.0015476 -188 *1287:132 *1396:168 0.000278325 -189 *1288:57 *25372:B1 0.000902076 -190 *1293:42 *1396:120 0.000139379 -191 *1327:28 *1396:189 8.41715e-05 -192 *1360:84 *1396:109 5.33005e-05 -193 *1360:95 *1396:109 0.000958206 -194 *1395:133 *1396:120 0.000373387 -195 *1395:146 *1396:120 0.000813456 -196 *1395:146 *1396:168 0.000315565 -*RES -1 *25075:Y *1396:5 9.72857 -2 *1396:5 *1396:13 49.8036 -3 *1396:13 *1396:25 41.5714 -4 *1396:25 *1396:40 37.0166 -5 *1396:40 *1396:45 43.0268 -6 *1396:45 *1396:64 49.7623 -7 *1396:64 *1396:83 41.6418 -8 *1396:83 *6582:DIODE 9.3 -9 *1396:83 *25307:A2 15.2554 -10 *1396:45 *25798:A 9.3 -11 *1396:5 *1396:109 19 -12 *1396:109 *1396:120 33.5269 -13 *1396:120 *1396:127 28 -14 *1396:127 *1396:129 34.625 -15 *1396:129 *6581:DIODE 9.3 -16 *1396:129 *25200:B1 12.925 -17 *1396:109 *1396:168 36.4808 -18 *1396:168 *1396:171 19.3482 -19 *1396:171 *1396:189 45.7627 -20 *1396:189 *25254:A2 16.1839 -21 *1396:171 *25372:B1 43.7196 -22 *1396:168 *25076:B1 9.3 -*END - -*D_NET *1397 0.00108411 -*CONN -*I *25077:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25076:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25077:C1 0.000137986 -2 *25076:X 0.000137986 -3 *25077:C1 *25077:B2 0.00031345 -4 *25077:C1 *3740:41 9.41642e-05 -5 *25077:C1 *3868:74 0.000337637 -6 *25076:A2 *25077:C1 4.87854e-05 -7 *1396:171 *25077:C1 1.41029e-05 -*RES -1 *25076:X *25077:C1 32.9214 -*END - -*D_NET *1398 0.00511531 -*CONN -*I *25092:A I *D sky130_fd_sc_hd__or4_1 -*I *25077:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25092:A 0 -2 *25077:X 0.00117022 -3 *1398:11 0.00117022 -4 *1398:11 *25077:A1 0.000135028 -5 *1398:11 *25077:B2 9.43803e-05 -6 *1398:11 *25123:B 2.53281e-05 -7 *1398:11 *25233:A1 0.000119022 -8 *1398:11 *1406:51 5.33005e-05 -9 *1398:11 *1689:8 0.00106884 -10 *1398:11 *3738:47 1.24368e-05 -11 *1398:11 *3920:40 0.00100429 -12 *1398:11 *4146:84 1.19082e-05 -13 *1398:11 *5607:27 0.000230454 -14 *25077:A2 *1398:11 1.98839e-05 -*RES -1 *25077:X *1398:11 41.2643 -2 *1398:11 *25092:A 9.3 -*END - -*D_NET *1399 0.0402795 -*CONN -*I *25245:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25296:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25082:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25864:A I *D sky130_fd_sc_hd__and2_2 -*I *25191:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25369:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25078:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *25245:A2 0.000751952 -2 *25296:B1 0 -3 *25082:A2 0.000424477 -4 *25864:A 0 -5 *25191:A2 0.00092054 -6 *25369:A2 0.000211115 -7 *25078:Y 0.000492714 -8 *1399:96 0.00183647 -9 *1399:93 0.00194509 -10 *1399:81 0.00166606 -11 *1399:54 0.00122997 -12 *1399:24 0.00140746 -13 *1399:19 0.00215054 -14 *1399:18 0.00227496 -15 *1399:11 0.000892932 -16 *25082:A2 *25082:A1 8.68735e-05 -17 *25082:A2 *25082:B2 6.52372e-05 -18 *25082:A2 *1400:21 1.10387e-05 -19 *25082:A2 *1403:16 0 -20 *25082:A2 *3170:92 0.000136875 -21 *25082:A2 *5646:161 0.000135358 -22 *25191:A2 *25191:A1 5.33005e-05 -23 *25191:A2 *27560:A2 2.22043e-05 -24 *25191:A2 *27937:A2 0.000113056 -25 *25191:A2 *28314:D 0.000492447 -26 *25191:A2 *28963:A 7.44764e-05 -27 *25191:A2 *3858:22 0.000322735 -28 *25191:A2 *3948:24 0.000505532 -29 *25191:A2 *3948:41 0.00133756 -30 *25191:A2 *4152:51 0 -31 *25191:A2 *4152:60 3.71811e-05 -32 *25191:A2 *5719:86 0.000166643 -33 *25191:A2 *5836:104 0.000387803 -34 *25191:A2 *5844:17 8.55586e-05 -35 *25245:A2 *25246:A2 0.000256286 -36 *25245:A2 *25246:C1 0.000347096 -37 *25245:A2 *25246:D1 0.000474902 -38 *25245:A2 *1405:49 0.000128321 -39 *25245:A2 *1563:29 1.21258e-05 -40 *25245:A2 *3765:21 2.45874e-05 -41 *25245:A2 *4038:17 0.000175892 -42 *25245:A2 *5640:90 0.000494259 -43 *25245:A2 *5646:182 0.000102923 -44 *25245:A2 *5669:173 0.000134132 -45 *25245:A2 *5715:117 0.000262228 -46 *25245:A2 *5729:34 0.000285413 -47 *25369:A2 *25369:B1 0.000204753 -48 *25369:A2 *3723:80 2.59355e-05 -49 *25369:A2 *3871:50 4.58194e-05 -50 *25369:A2 *4144:35 0.000410866 -51 *1399:11 *3220:28 0.000118057 -52 *1399:11 *3685:80 0.000167155 -53 *1399:11 *3881:54 0.000107938 -54 *1399:11 *4064:56 0.000408637 -55 *1399:11 *5605:114 0.000119778 -56 *1399:11 *5839:47 5.33005e-05 -57 *1399:18 *25082:A1 4.29471e-05 -58 *1399:18 *25082:B2 2.11419e-05 -59 *1399:18 *3480:23 6.05161e-06 -60 *1399:18 *3503:28 0.000400043 -61 *1399:18 *3724:88 0.000135749 -62 *1399:18 *3762:42 9.61821e-05 -63 *1399:18 *4064:56 0.000421074 -64 *1399:19 *25864:B 9.71197e-05 -65 *1399:19 *29003:A 4.27437e-05 -66 *1399:19 *1886:10 0.00016834 -67 *1399:19 *3871:51 0.000655678 -68 *1399:19 *5795:113 0.000913361 -69 *1399:24 *25864:B 0.000627926 -70 *1399:24 *1423:54 4.36551e-06 -71 *1399:24 *1886:10 0.000629849 -72 *1399:24 *3452:6 1.17921e-05 -73 *1399:24 *3948:41 0.00015699 -74 *1399:24 *5707:9 0.000164591 -75 *1399:24 *5713:24 9.25014e-06 -76 *1399:81 *25040:B 0.000221822 -77 *1399:81 *3170:92 0.000716694 -78 *1399:81 *3762:42 0.000176108 -79 *1399:81 *5646:161 0.00072923 -80 *1399:81 *5651:156 0.00022093 -81 *1399:81 *5653:97 0.000336676 -82 *1399:81 *5700:29 0.000128911 -83 *1399:93 *27948:B1 2.0586e-05 -84 *1399:93 *27953:A2 9.41642e-05 -85 *1399:93 *27956:A 0.000262027 -86 *1399:93 *1647:29 7.78689e-05 -87 *1399:93 *1647:46 0.0006096 -88 *1399:93 *3170:106 0.0012106 -89 *1399:93 *3216:199 4.10831e-05 -90 *1399:93 *3496:7 4.82947e-05 -91 *1399:93 *4014:24 0.000107625 -92 *1399:93 *5646:161 0 -93 *1399:93 *5646:163 7.05143e-06 -94 *1399:93 *5867:20 5.72597e-05 -95 *1399:93 *5867:30 0.000162366 -96 *1399:96 *27956:A 0.00083712 -97 *1399:96 *3484:31 0.00202307 -98 *1399:96 *3724:91 0.000421983 -99 *1399:96 *4012:39 0.000468026 -100 *1399:96 *5848:63 4.9641e-05 -101 *1399:96 *5848:82 0.00187467 -102 *25102:B *1399:93 4.58194e-05 -103 *30313:A *1399:93 1.90936e-05 -104 *1263:8 *1399:81 4.53813e-05 -105 *1263:163 *1399:81 5.59925e-05 -106 *1327:19 *1399:81 6.05161e-06 -*RES -1 *25078:Y *1399:11 27.9964 -2 *1399:11 *1399:18 18.5179 -3 *1399:18 *1399:19 31.75 -4 *1399:19 *1399:24 13.3393 -5 *1399:24 *25369:A2 19.55 -6 *1399:24 *25191:A2 42.0321 -7 *1399:19 *25864:A 9.3 -8 *1399:11 *1399:54 4.5 -9 *1399:54 *25082:A2 20.675 -10 *1399:54 *1399:81 39.8393 -11 *1399:81 *1399:93 40.4821 -12 *1399:93 *1399:96 41.9643 -13 *1399:96 *25296:B1 9.3 -14 *1399:96 *25245:A2 38.8804 -*END - -*D_NET *1400 0.0399532 -*CONN -*I *25210:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25395:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25265:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25664:A I *D sky130_fd_sc_hd__nand2_2 -*I *25326:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25082:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25079:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *25210:A2 0.000111378 -2 *25395:B1 9.46025e-05 -3 *25265:B1 0 -4 *25664:A 0 -5 *25326:B1 0.000508726 -6 *25082:B1 0 -7 *25079:Y 0 -8 *1400:95 0.00245711 -9 *1400:59 0.00230944 -10 *1400:54 0.00478997 -11 *1400:41 0.000988494 -12 *1400:40 0.00161649 -13 *1400:30 0.00183303 -14 *1400:21 0.00127338 -15 *1400:4 0.00174028 -16 *25210:A2 *25210:A1 1.40245e-05 -17 *25210:A2 *25210:B1 2.21417e-05 -18 *25210:A2 *1430:76 0 -19 *25210:A2 *1603:15 0.000135028 -20 *25326:B1 *3182:97 0.000223985 -21 *25326:B1 *3840:46 3.10619e-05 -22 *25326:B1 *3970:40 1.24368e-05 -23 *25326:B1 *5510:116 1.87126e-05 -24 *25326:B1 *5706:196 0.000317922 -25 *25395:B1 *25395:B2 0.000105932 -26 *25395:B1 *3168:54 0.00030267 -27 *1400:21 *25082:B2 2.39635e-05 -28 *1400:21 *25082:C1 0.000441929 -29 *1400:21 *25086:A 6.05161e-06 -30 *1400:21 *1401:117 0.00196192 -31 *1400:21 *2856:196 0 -32 *1400:21 *3170:92 2.22043e-05 -33 *1400:21 *3176:181 0.00196303 -34 *1400:21 *3920:63 8.69554e-05 -35 *1400:21 *5623:41 5.33005e-05 -36 *1400:21 *5623:47 4.08637e-05 -37 *1400:21 *5646:161 1.90936e-05 -38 *1400:30 *25122:B1 0.000303937 -39 *1400:30 *1401:117 2.4227e-05 -40 *1400:30 *1430:76 4.24961e-05 -41 *1400:30 *1440:85 0.000128161 -42 *1400:30 *1448:160 0.000806386 -43 *1400:30 *1615:14 0.000106126 -44 *1400:30 *5623:47 5.33005e-05 -45 *1400:40 *25397:B1 0.000271156 -46 *1400:40 *27931:B1 0.000260512 -47 *1400:40 *1430:76 4.1331e-06 -48 *1400:40 *1440:38 0.000332728 -49 *1400:40 *1440:85 0.000186934 -50 *1400:40 *1448:160 0.000168333 -51 *1400:40 *1689:21 7.60716e-05 -52 *1400:40 *3176:83 5.14314e-05 -53 *1400:40 *3176:145 0.000211636 -54 *1400:40 *3176:175 1.94945e-05 -55 *1400:40 *3215:57 6.93827e-05 -56 *1400:40 *3506:19 0.000125685 -57 *1400:40 *3779:97 4.02038e-05 -58 *1400:40 *5500:65 0.00187641 -59 *1400:41 *25395:B2 0.000103977 -60 *1400:41 *3168:54 0.00120888 -61 *1400:41 *3832:37 0.001001 -62 *1400:54 *25312:D 0.000686402 -63 *1400:54 *1411:62 0.000196276 -64 *1400:54 *1437:69 0.000178931 -65 *1400:54 *3881:10 0.000143571 -66 *1400:54 *3881:30 6.72518e-05 -67 *1400:54 *4088:56 0.000641629 -68 *1400:59 *5666:190 0.000353703 -69 *1400:95 *24939:A 0.000135028 -70 *1400:95 *25265:C1 5.64097e-05 -71 *1400:95 *25353:A 0.000185525 -72 *1400:95 *26837:A1 8.99888e-06 -73 *1400:95 *26837:C1 1.99581e-05 -74 *1400:95 *1535:55 0.00013684 -75 *1400:95 *3153:32 1.64271e-05 -76 *1400:95 *3170:41 0.000926897 -77 *1400:95 *3921:77 0.000252779 -78 *1400:95 *5861:65 0.000135028 -79 *24892:B *1400:30 0.00107904 -80 *25082:A2 *1400:21 1.10387e-05 -81 *25326:A2 *25326:B1 7.06457e-05 -82 *1248:56 *1400:95 6.572e-05 -83 *1360:20 *1400:40 7.78602e-05 -84 *1360:27 *1400:40 0 -85 *1360:27 *1400:59 0.00138285 -86 *1360:27 *1400:95 0.000618022 -87 *1360:44 *1400:95 0.00147972 -88 *1363:88 *25395:B1 2.79047e-05 -*RES -1 *25079:Y *1400:4 9.3 -2 *1400:4 *1400:21 45.802 -3 *1400:21 *25082:B1 9.3 -4 *1400:4 *1400:30 21.5536 -5 *1400:30 *1400:40 47.0893 -6 *1400:40 *1400:41 12.0357 -7 *1400:41 *1400:54 24.7143 -8 *1400:54 *1400:59 22.75 -9 *1400:59 *25326:B1 26.5143 -10 *1400:59 *25664:A 9.3 -11 *1400:54 *1400:95 48.75 -12 *1400:95 *25265:B1 9.3 -13 *1400:41 *25395:B1 12.3 -14 *1400:30 *25210:A2 16.4027 -*END - -*D_NET *1401 0.0585373 -*CONN -*I *25339:A2 I *D sky130_fd_sc_hd__a22o_2 -*I *25081:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25177:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25264:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25409:B1 I *D sky130_fd_sc_hd__a32o_1 -*I *25906:A I *D sky130_fd_sc_hd__nand2_2 -*I *25080:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *25339:A2 0.000630321 -2 *25081:B1 0 -3 *25177:B1 0.000158448 -4 *25264:B1 0 -5 *25409:B1 7.62888e-06 -6 *25906:A 0.000636004 -7 *25080:Y 0 -8 *1401:117 0.00128652 -9 *1401:109 0.00156343 -10 *1401:95 0.00310827 -11 *1401:77 0.00137958 -12 *1401:52 0.00608398 -13 *1401:49 0.00364897 -14 *1401:37 0.00281792 -15 *1401:5 0.00245914 -16 *25177:B1 *25212:C 6.43196e-05 -17 *25339:A2 *25959:A0 0.000221675 -18 *25339:A2 *25959:A1 2.11068e-05 -19 *25339:A2 *1656:11 3.20708e-05 -20 *25339:A2 *1657:19 0.00010326 -21 *25339:A2 *3568:99 1.3807e-05 -22 *25339:A2 *3853:45 2.53281e-05 -23 *25339:A2 *5622:74 3.04242e-05 -24 *25339:A2 *5625:60 9.84768e-05 -25 *25409:B1 *25409:A1 2.39852e-05 -26 *25906:A *25398:A1 0.000175529 -27 *25906:A *25758:A0 4.15526e-05 -28 *25906:A *25906:B 0.000216755 -29 *25906:A *27505:A1 0.000407147 -30 *25906:A *29549:A 5.16744e-05 -31 *25906:A *29995:A 9.67087e-05 -32 *25906:A *1893:14 8.25843e-06 -33 *25906:A *3801:109 1.53472e-05 -34 *25906:A *3884:88 0 -35 *25906:A *5041:23 0.000464471 -36 *25906:A *5619:39 4.58194e-05 -37 *25906:A *5665:66 0.000374119 -38 *25906:A *5735:64 0.000119598 -39 *1401:37 *25409:A1 5.33005e-05 -40 *1401:37 *27505:A1 2.15339e-05 -41 *1401:37 *27894:A1 5.1588e-05 -42 *1401:37 *28359:D 2.8078e-05 -43 *1401:37 *29394:A 5.33005e-05 -44 *1401:37 *29509:A 5.1588e-05 -45 *1401:37 *29549:A 5.13222e-05 -46 *1401:37 *1600:19 0.000193787 -47 *1401:37 *1624:55 0.00017174 -48 *1401:37 *1725:7 3.4879e-05 -49 *1401:37 *3780:7 0.00059044 -50 *1401:37 *5166:23 9.41642e-05 -51 *1401:37 *5665:66 1.763e-05 -52 *1401:37 *6225:61 0 -53 *1401:49 *25160:A 0.00010016 -54 *1401:49 *25339:A1 1.90936e-05 -55 *1401:49 *27894:A1 0.000357815 -56 *1401:49 *27894:A2 6.8646e-06 -57 *1401:49 *29509:A 0.000187312 -58 *1401:49 *1442:14 0.000174969 -59 *1401:49 *1452:27 0.000140489 -60 *1401:49 *2860:64 0.000174296 -61 *1401:49 *5491:42 6.6772e-05 -62 *1401:49 *5491:44 0.000510874 -63 *1401:49 *5581:55 0 -64 *1401:49 *5625:60 9.05749e-06 -65 *1401:49 *5706:242 0.00114304 -66 *1401:52 *27905:B2 0.00119366 -67 *1401:52 *1457:99 0.00446545 -68 *1401:52 *1657:40 0.00090712 -69 *1401:52 *1659:31 0.000416267 -70 *1401:52 *5622:48 0.000407333 -71 *1401:52 *5666:262 0.000407151 -72 *1401:77 *25264:B2 0.000436223 -73 *1401:77 *25390:C1 0.000561363 -74 *1401:77 *25413:A 4.19624e-06 -75 *1401:77 *1594:23 6.35864e-05 -76 *1401:77 *1657:54 1.50171e-05 -77 *1401:77 *2867:39 0.000212762 -78 *1401:77 *3875:63 2.91583e-05 -79 *1401:77 *3985:33 0.000446599 -80 *1401:77 *5606:14 2.93959e-05 -81 *1401:77 *5621:75 0.000121573 -82 *1401:77 *5892:145 0.000376373 -83 *1401:95 *25210:C1 0.000135757 -84 *1401:95 *1437:84 0.00218549 -85 *1401:95 *1442:14 8.72919e-05 -86 *1401:95 *1457:99 0.00185897 -87 *1401:95 *1659:31 0.000194958 -88 *1401:95 *1719:12 0.000332837 -89 *1401:95 *3215:57 6.82498e-05 -90 *1401:95 *3920:88 8.55772e-05 -91 *1401:95 *5666:262 0.000369751 -92 *1401:95 *5687:58 0.00127023 -93 *1401:109 *1430:76 1.34631e-05 -94 *1401:109 *1442:14 0.000209771 -95 *1401:109 *3920:88 0.000218313 -96 *1401:117 *25081:B2 4.55163e-05 -97 *1401:117 *25082:C1 5.77623e-05 -98 *1401:117 *25086:A 0.000897353 -99 *1401:117 *1403:16 3.9065e-05 -100 *1401:117 *1430:76 8.2018e-05 -101 *1401:117 *1440:85 4.62613e-05 -102 *1401:117 *1615:14 0.000185417 -103 *1401:117 *3176:181 2.56688e-05 -104 *1401:117 *3920:83 0.000773771 -105 *1401:117 *3962:61 0.000118052 -106 *24892:B *1401:109 0.000147706 -107 *24892:B *1401:117 0.00184881 -108 *25080:B *1401:37 4.22135e-06 -109 *25409:A3 *25409:B1 2.39852e-05 -110 *25409:A3 *1401:37 4.28077e-05 -111 *29177:A *1401:37 5.52302e-05 -112 *29996:A *25906:A 8.74295e-05 -113 *1217:24 *1401:117 0.000137351 -114 *1218:62 *1401:52 0.000548681 -115 *1244:141 *1401:77 0.00144354 -116 *1263:36 *1401:95 0.000827085 -117 *1271:122 *1401:77 0.000135028 -118 *1273:203 *1401:95 0.000208899 -119 *1277:33 *1401:95 2.01257e-06 -120 *1323:16 *25339:A2 0.000681038 -121 *1323:16 *1401:49 0.000132677 -122 *1360:44 *1401:77 9.41642e-05 -123 *1400:21 *1401:117 0.00196192 -124 *1400:30 *1401:117 2.4227e-05 -*RES -1 *25080:Y *1401:5 13.8 -2 *1401:5 *25906:A 38.7821 -3 *1401:5 *1401:37 36.125 -4 *1401:37 *25409:B1 14.0411 -5 *1401:37 *1401:49 17.4828 -6 *1401:49 *1401:52 13.1515 -7 *1401:52 *1401:77 47.9706 -8 *1401:77 *25264:B1 9.3 -9 *1401:52 *1401:95 24.9215 -10 *1401:95 *25177:B1 15.9786 -11 *1401:95 *1401:109 15.2679 -12 *1401:109 *1401:117 47.2143 -13 *1401:117 *25081:B1 9.3 -14 *1401:49 *25339:A2 21.0794 -*END - -*D_NET *1402 0.00219243 -*CONN -*I *25082:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25081:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25082:C1 0.000634921 -2 *25081:X 0.000634921 -3 *25082:C1 *25082:A1 5.48772e-05 -4 *25082:C1 *25082:B2 1.72733e-05 -5 *25082:C1 *3170:92 1.23932e-05 -6 *25082:C1 *3176:181 4.837e-05 -7 *25082:C1 *3724:88 6.64939e-05 -8 *25082:C1 *3849:69 0.000135028 -9 *25082:C1 *3920:63 3.50637e-05 -10 *25082:C1 *5646:161 1.2276e-05 -11 *1217:24 *25082:C1 4.11173e-05 -12 *1400:21 *25082:C1 0.000441929 -13 *1401:117 *25082:C1 5.77623e-05 -*RES -1 *25081:X *25082:C1 38.5646 -*END - -*D_NET *1403 0.0115908 -*CONN -*I *25092:B I *D sky130_fd_sc_hd__or4_1 -*I *25082:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25092:B 0 -2 *25082:X 0.000855122 -3 *1403:26 0.000936881 -4 *1403:16 0.001792 -5 *1403:16 *24890:B 7.40571e-05 -6 *1403:16 *24891:B 4.07201e-05 -7 *1403:16 *25081:B2 0.000152255 -8 *1403:16 *25082:A1 0.000153859 -9 *1403:16 *1439:49 0.000111243 -10 *1403:16 *1685:40 0.000104323 -11 *1403:16 *3176:202 0.0009753 -12 *1403:16 *3881:54 0.00141713 -13 *1403:16 *5846:23 5.58941e-05 -14 *1403:16 *5846:25 0.00021874 -15 *1403:26 *25102:A 0.000782664 -16 *1403:26 *25123:D 0.000105471 -17 *1403:26 *25167:A 0.000730163 -18 *1403:26 *1487:18 1.20465e-05 -19 *1403:26 *1685:40 0.000543278 -20 *1403:26 *1689:8 4.04691e-06 -21 *1403:26 *3686:83 0.000146512 -22 *1403:26 *3881:54 1.53472e-05 -23 *1403:26 *3881:56 0.00126661 -24 *1403:26 *3920:51 0.000784972 -25 *1403:26 *4142:62 0.000216755 -26 *25082:A2 *1403:16 0 -27 *1217:24 *1403:16 5.63714e-05 -28 *1401:117 *1403:16 3.9065e-05 -*RES -1 *25082:X *1403:16 39.3268 -2 *1403:16 *1403:26 43.7054 -3 *1403:26 *25092:B 9.3 -*END - -*D_NET *1404 0.00117799 -*CONN -*I *25085:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25083:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25085:C1 0.000292525 -2 *25083:X 0.000292525 -3 *25085:C1 *3998:41 0.000144933 -4 *25085:C1 *5777:53 0.000143415 -5 *25085:C1 *5873:321 0.000304594 -*RES -1 *25083:X *25085:C1 32.5464 -*END - -*D_NET *1405 0.0372568 -*CONN -*I *25912:A I *D sky130_fd_sc_hd__nand2_2 -*I *25085:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25242:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25189:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25377:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25329:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25084:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *25912:A 0.00010726 -2 *25085:B1 0 -3 *25242:B1 0.000323607 -4 *25189:A2 0 -5 *25377:A2 0 -6 *25329:B1 0.000439932 -7 *25084:Y 1.97597e-05 -8 *1405:102 0.000815693 -9 *1405:96 0.00406572 -10 *1405:83 0.00418776 -11 *1405:73 0.00226684 -12 *1405:49 0.00180792 -13 *1405:30 0.00394591 -14 *1405:5 0.0008377 -15 *25242:B1 *25242:A1 3.45371e-05 -16 *25242:B1 *25242:A2 2.8078e-05 -17 *25242:B1 *27406:B1 4.02321e-05 -18 *25242:B1 *1406:8 9.41642e-05 -19 *25242:B1 *4025:75 0.000124234 -20 *25242:B1 *4027:40 1.23759e-05 -21 *25242:B1 *5628:150 1.24368e-05 -22 *25329:B1 *25329:B2 1.04232e-05 -23 *25329:B1 *30783:A 0.00021192 -24 *25329:B1 *4013:18 0.000160528 -25 *25329:B1 *4036:25 2.59355e-05 -26 *25329:B1 *5617:19 2.55806e-05 -27 *25329:B1 *5878:14 0.000535403 -28 *25329:B1 *5890:46 0.00012501 -29 *25912:A *29762:A 0.000117189 -30 *25912:A *5196:8 0.000342495 -31 *25912:A *5823:34 0.000117144 -32 *1405:5 *5617:19 1.00733e-05 -33 *1405:30 *4035:33 0.000397586 -34 *1405:30 *5196:8 0.000395864 -35 *1405:30 *5617:19 0.000359904 -36 *1405:49 *25256:A 2.7778e-05 -37 *1405:49 *25296:A1 8.85631e-05 -38 *1405:49 *25377:A1 3.49005e-05 -39 *1405:49 *26847:A 1.31525e-05 -40 *1405:49 *1573:22 0.00110029 -41 *1405:49 *1693:14 2.84109e-05 -42 *1405:49 *3790:71 4.21517e-05 -43 *1405:49 *4079:43 0.000175892 -44 *1405:49 *4113:125 8.80625e-05 -45 *1405:49 *5715:117 3.82357e-05 -46 *1405:49 *5715:213 0.00118492 -47 *1405:49 *5891:28 2.04745e-05 -48 *1405:73 *28579:CLK 0.000111243 -49 *1405:73 *29059:A 0 -50 *1405:73 *3413:25 2.35126e-05 -51 *1405:73 *3593:27 9.12446e-05 -52 *1405:73 *3762:30 0.000120151 -53 *1405:73 *3776:33 0.000565844 -54 *1405:73 *4012:11 2.63501e-05 -55 *1405:73 *4012:39 0.00091375 -56 *1405:73 *4035:33 0.000456344 -57 *1405:73 *5196:8 0.0011983 -58 *1405:73 *5613:22 0.00206871 -59 *1405:73 *5641:96 0.000115606 -60 *1405:73 *5715:117 4.53109e-06 -61 *1405:73 *5729:35 0.00010283 -62 *1405:83 *25189:A1 5.49489e-05 -63 *1405:83 *25189:B2 0 -64 *1405:83 *29618:A 0.000433075 -65 *1405:83 *29762:A 0.000240491 -66 *1405:83 *3576:15 9.60939e-05 -67 *1405:83 *4026:8 0.000315165 -68 *1405:83 *5196:8 0.00136973 -69 *1405:83 *5613:22 0.000208335 -70 *1405:83 *5823:34 0.000200588 -71 *1405:96 *28364:RESET_B 0.000464586 -72 *1405:96 *28670:CLK 0.000129901 -73 *1405:96 *3888:8 6.43196e-05 -74 *1405:96 *4025:75 0.000163649 -75 *1405:96 *4027:7 1.01403e-05 -76 *1405:96 *4027:40 0.000233163 -77 *1405:96 *4135:70 0.000206328 -78 *1405:96 *5891:11 0.000122842 -79 *1405:102 *27406:B1 0.000989013 -80 *1405:102 *2891:212 0.00089082 -81 *1405:102 *3719:40 2.12005e-05 -82 *1405:102 *4025:75 4.40153e-05 -83 *1405:102 *4027:40 4.33751e-05 -84 *25085:A2 *25242:B1 1.55638e-05 -85 *25245:A2 *1405:49 0.000128321 -86 *25329:A2 *25329:B1 1.88275e-05 -87 *1235:148 *1405:49 2.80175e-05 -88 *1239:90 *1405:49 1.95027e-05 -89 *1257:153 *1405:49 4.43081e-05 -90 *1327:37 *25329:B1 5.49544e-05 -91 *1327:37 *1405:5 5.04841e-06 -*RES -1 *25084:Y *1405:5 9.72857 -2 *1405:5 *25329:B1 30.3357 -3 *1405:5 *1405:30 13.6071 -4 *1405:30 *1405:49 41.6604 -5 *1405:49 *25377:A2 9.3 -6 *1405:30 *1405:73 38.889 -7 *1405:73 *25189:A2 9.3 -8 *1405:73 *1405:83 23.6071 -9 *1405:83 *1405:96 47.0179 -10 *1405:96 *1405:102 13.4107 -11 *1405:102 *25242:B1 15.6571 -12 *1405:102 *25085:B1 9.3 -13 *1405:83 *25912:A 18.175 -*END - -*D_NET *1406 0.0292506 -*CONN -*I *25092:C I *D sky130_fd_sc_hd__or4_1 -*I *6583:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25085:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25092:C 0 -2 *6583:DIODE 0.00010197 -3 *25085:X 0.00112702 -4 *1406:51 0.000352524 -5 *1406:45 0.0014628 -6 *1406:38 0.00201643 -7 *1406:24 0.00194264 -8 *1406:8 0.00226548 -9 *6583:DIODE *1412:17 6.57032e-05 -10 *6583:DIODE *1448:15 0.000174796 -11 *1406:8 *27415:B2 0 -12 *1406:8 *27417:B1 0.00017039 -13 *1406:8 *27749:A2 0.000292943 -14 *1406:8 *27751:C1 0.000125863 -15 *1406:8 *28710:RESET_B 4.18834e-05 -16 *1406:8 *3836:24 1.96347e-05 -17 *1406:8 *5584:145 4.22135e-06 -18 *1406:8 *5585:8 0.000881322 -19 *1406:8 *5589:73 0.000753367 -20 *1406:8 *5777:46 0.00144621 -21 *1406:8 *6269:12 0.000123605 -22 *1406:24 *27083:A1 0.000197991 -23 *1406:24 *27417:B2 0.000123328 -24 *1406:24 *28651:CLK 8.1621e-05 -25 *1406:24 *28651:SET_B 0 -26 *1406:24 *28897:A 1.17968e-05 -27 *1406:24 *1700:16 0.000897219 -28 *1406:24 *2771:111 0.000676029 -29 *1406:24 *2775:160 9.9245e-05 -30 *1406:24 *2775:173 4.52619e-05 -31 *1406:24 *3215:218 0.000259549 -32 *1406:24 *3859:11 0.00012401 -33 *1406:24 *3998:44 0.000169189 -34 *1406:24 *3998:46 0.000278446 -35 *1406:24 *5585:23 0.00018503 -36 *1406:38 *25196:A1 0.000177821 -37 *1406:38 *25253:B2 8.44271e-06 -38 *1406:38 *25257:A 0.000383166 -39 *1406:38 *1516:10 8.55871e-05 -40 *1406:38 *1576:8 0.000193125 -41 *1406:38 *2893:26 0.00146679 -42 *1406:38 *5603:52 0.000940896 -43 *1406:38 *5688:349 0.00014293 -44 *1406:38 *5836:55 0.000384819 -45 *1406:38 *6280:18 0.000205996 -46 *1406:45 *25173:A2 1.56303e-05 -47 *1406:45 *1410:71 0.00209399 -48 *1406:45 *2891:326 0.000839809 -49 *1406:45 *3541:11 0.000237198 -50 *1406:45 *3758:43 4.96734e-05 -51 *1406:45 *4076:72 0.000370338 -52 *1406:45 *5585:158 0.00293678 -53 *1406:45 *5614:37 0.000261806 -54 *1406:45 *5617:57 0.000259549 -55 *1406:51 *1448:15 0.000382744 -56 *1406:51 *1685:44 0.000169811 -57 *1406:51 *5590:47 0.000168089 -58 *25242:B1 *1406:8 9.41642e-05 -59 *1225:119 *1406:8 5.7704e-05 -60 *1260:86 *1406:8 0.000123646 -61 *1260:86 *1406:24 1.59908e-05 -62 *1269:15 *1406:45 6.81786e-05 -63 *1269:186 *1406:45 6.09682e-05 -64 *1275:234 *1406:8 0.000252777 -65 *1276:102 *1406:24 5.99815e-05 -66 *1282:198 *1406:38 0.000171368 -67 *1398:11 *1406:51 5.33005e-05 -*RES -1 *25085:X *1406:8 47.3536 -2 *1406:8 *1406:24 46.3393 -3 *1406:24 *1406:38 49.8929 -4 *1406:38 *1406:45 48.3214 -5 *1406:45 *1406:51 15.0714 -6 *1406:51 *6583:DIODE 11.4786 -7 *1406:51 *25092:C 9.3 -*END - -*D_NET *1407 0.0593892 -*CONN -*I *25331:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25088:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25213:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25385:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25930:A I *D sky130_fd_sc_hd__nand2_4 -*I *25279:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25086:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25331:B1 0.0010412 -2 *25088:A2 0.00229032 -3 *25213:B1 0.00023108 -4 *25385:A2 0 -5 *25930:A 0 -6 *25279:A2 0.00214722 -7 *25086:Y 2.00665e-05 -8 *1407:108 0.00398794 -9 *1407:89 0.00125072 -10 *1407:69 0.00180842 -11 *1407:58 0.00404858 -12 *1407:36 0.00449534 -13 *1407:29 0.00223149 -14 *1407:13 0.0017389 -15 *1407:5 0.0013115 -16 *25088:A2 *25122:A1 2.47761e-06 -17 *25088:A2 *25137:B1 0.00036446 -18 *25088:A2 *1408:60 0.00101033 -19 *25088:A2 *1439:37 3.59071e-05 -20 *25088:A2 *1458:10 4.87953e-05 -21 *25088:A2 *3206:125 0 -22 *25088:A2 *4077:49 2.09826e-05 -23 *25088:A2 *5646:157 5.07227e-05 -24 *25088:A2 *5687:29 1.94879e-05 -25 *25213:B1 *25213:A1 2.41198e-05 -26 *25213:B1 *25213:B2 0.000131374 -27 *25279:A2 *25391:B2 0.000311676 -28 *25279:A2 *27541:A2 3.63775e-05 -29 *25279:A2 *1421:53 0.000550004 -30 *25279:A2 *1427:59 0.000359669 -31 *25279:A2 *2834:59 0.00168159 -32 *25279:A2 *3096:15 3.71439e-05 -33 *25279:A2 *3910:50 0.000174256 -34 *25279:A2 *5698:26 6.99087e-05 -35 *25279:A2 *5699:147 0.000175963 -36 *25331:B1 *25331:C1 0.000558329 -37 *25331:B1 *25357:B2 0.000104044 -38 *25331:B1 *1451:26 9.21418e-06 -39 *25331:B1 *1645:16 0.00020396 -40 *25331:B1 *3558:189 2.41198e-05 -41 *25331:B1 *3828:77 4.65971e-05 -42 *25331:B1 *3962:61 0.000676798 -43 *1407:5 *25218:B2 5.04841e-06 -44 *1407:5 *5210:25 3.99614e-06 -45 *1407:13 *25213:B2 6.57032e-05 -46 *1407:13 *25218:B2 5.42547e-05 -47 *1407:13 *1442:14 0.000970797 -48 *1407:13 *5210:25 0.000175892 -49 *1407:29 *25397:A1 0.00109935 -50 *1407:29 *1427:104 0.000578123 -51 *1407:29 *3182:55 0.00017754 -52 *1407:29 *3194:108 5.46828e-05 -53 *1407:29 *3814:69 8.55871e-05 -54 *1407:29 *3868:62 0.000578123 -55 *1407:29 *3881:33 0.00110216 -56 *1407:36 *1427:71 5.41794e-05 -57 *1407:36 *1427:94 0.00024703 -58 *1407:36 *1442:14 0.000492195 -59 *1407:36 *1470:64 0.000202274 -60 *1407:36 *3868:56 0.00222798 -61 *1407:36 *5491:44 0.00275228 -62 *1407:58 *27902:B2 2.06178e-05 -63 *1407:58 *1591:11 0.00041273 -64 *1407:58 *2834:59 0.000135028 -65 *1407:58 *3444:13 6.72695e-05 -66 *1407:58 *3790:57 0.000745786 -67 *1407:58 *5491:44 0.00201816 -68 *1407:69 *25121:A1 0.000894996 -69 *1407:69 *25294:B2 0.000112875 -70 *1407:69 *25310:B2 0.0015614 -71 *1407:69 *27878:C1 0.000123126 -72 *1407:69 *29430:A 0.000221634 -73 *1407:69 *4010:26 0.000125094 -74 *1407:69 *5523:41 0.000163753 -75 *1407:69 *5680:343 9.24192e-05 -76 *1407:89 *24880:A 0.000139478 -77 *1407:89 *25272:C1 1.12667e-05 -78 *1407:89 *25310:B2 6.42095e-05 -79 *1407:89 *25385:B2 4.61329e-05 -80 *1407:89 *26853:A 0.000305784 -81 *1407:89 *29150:A 0.000140856 -82 *1407:89 *29574:A 2.13018e-05 -83 *1407:89 *1452:13 0.000124521 -84 *1407:89 *1452:27 0.000175892 -85 *1407:89 *1590:15 1.32056e-05 -86 *1407:89 *2755:11 1.65169e-05 -87 *1407:89 *3568:99 0 -88 *1407:89 *3844:25 0.00119203 -89 *1407:89 *4088:65 0.000123126 -90 *1407:89 *5488:86 7.47871e-05 -91 *1407:89 *5618:126 0.0001469 -92 *1407:89 *5665:14 0.000162358 -93 *1407:108 *25213:C1 0.000453104 -94 *1407:108 *25218:B2 2.66128e-05 -95 *1407:108 *25357:B2 0.00041627 -96 *1407:108 *3699:40 0.000287342 -97 *25117:A2 *25331:B1 0.000168779 -98 *25310:A2 *1407:89 2.14658e-05 -99 *1239:14 *25331:B1 0.000111339 -100 *1239:14 *1407:108 0.00145249 -101 *1239:25 *1407:89 0.000352375 -102 *1247:43 *25088:A2 0.000503687 -103 *1252:21 *1407:58 0.000189509 -104 *1257:99 *1407:89 9.83048e-05 -105 *1273:68 *1407:58 5.04048e-05 -106 *1273:203 *1407:29 0.00010283 -107 *1277:33 *1407:13 0.000974981 -108 *1395:61 *1407:69 0.000346401 -109 *1395:126 *1407:108 0.000121787 -*RES -1 *25086:Y *1407:5 9.72857 -2 *1407:5 *1407:13 26.2321 -3 *1407:13 *1407:29 48.875 -4 *1407:29 *1407:36 46.5714 -5 *1407:36 *25279:A2 49.9495 -6 *1407:36 *1407:58 37.1964 -7 *1407:58 *1407:69 41.0536 -8 *1407:69 *25930:A 9.3 -9 *1407:58 *1407:89 46.0089 -10 *1407:89 *25385:A2 9.3 -11 *1407:13 *25213:B1 13.1393 -12 *1407:5 *1407:108 24.9732 -13 *1407:108 *25088:A2 27.0633 -14 *1407:108 *25331:B1 41.2554 -*END - -*D_NET *1408 0.0818245 -*CONN -*I *25404:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25260:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25918:A I *D sky130_fd_sc_hd__nand2_2 -*I *25288:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25088:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25178:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25087:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25404:B1 0.000343507 -2 *25260:B1 0.000176143 -3 *25918:A 0.00256805 -4 *25288:B1 0 -5 *25088:B1 0.000313319 -6 *25178:A2 0.000377169 -7 *25087:Y 0 -8 *1408:103 0.00307927 -9 *1408:97 0.00518232 -10 *1408:71 0.00485742 -11 *1408:65 0.00310099 -12 *1408:60 0.00501342 -13 *1408:36 0.00289518 -14 *1408:28 0.00452476 -15 *1408:26 0.00473255 -16 *1408:21 0.00151833 -17 *1408:4 0.000664584 -18 *25088:B1 *25088:A1 7.43578e-06 -19 *25088:B1 *25137:B1 3.92033e-05 -20 *25088:B1 *1458:10 0.000507264 -21 *25088:B1 *2859:128 4.11218e-05 -22 *25088:B1 *2877:105 6.05161e-06 -23 *25088:B1 *3687:42 4.46689e-05 -24 *25088:B1 *3793:64 8.5729e-05 -25 *25178:A2 *3792:10 1.97381e-05 -26 *25178:A2 *3792:11 0.000119125 -27 *25178:A2 *3801:6 0 -28 *25178:A2 *3918:83 4.8529e-05 -29 *25178:A2 *5510:87 5.59013e-05 -30 *25178:A2 *5710:206 0 -31 *25178:A2 *5734:12 0 -32 *25178:A2 *5734:13 5.33005e-05 -33 *25178:A2 *5734:31 0.000186669 -34 *25260:B1 *25260:A1 3.10819e-05 -35 *25260:B1 *25590:B 3.59948e-05 -36 *25260:B1 *28443:D 3.25078e-05 -37 *25260:B1 *3639:17 0.000170952 -38 *25260:B1 *3639:30 3.04885e-05 -39 *25404:B1 *25594:A0 0.000129777 -40 *25404:B1 *28384:RESET_B 6.77187e-05 -41 *25404:B1 *29536:A 0.000318579 -42 *25404:B1 *1430:165 7.98573e-05 -43 *25404:B1 *1624:43 5.33005e-05 -44 *25404:B1 *5711:86 5.47797e-05 -45 *25918:A *27528:A1 0.00010115 -46 *25918:A *27571:B1 5.2908e-05 -47 *25918:A *1895:13 2.06112e-05 -48 *25918:A *3103:17 0 -49 *25918:A *3125:10 2.06112e-05 -50 *25918:A *3145:32 0.000413202 -51 *25918:A *4618:21 0 -52 *25918:A *5680:343 4.25661e-05 -53 *25918:A *5691:201 2.01997e-05 -54 *25918:A *6385:70 0.000106352 -55 *1408:21 *27505:A2 4.94156e-05 -56 *1408:21 *1430:165 0.000111922 -57 *1408:21 *3801:6 0 -58 *1408:21 *3801:21 0.000441731 -59 *1408:21 *6332:39 5.39182e-05 -60 *1408:26 *27505:A2 0 -61 *1408:26 *28220:CLK 0.000254165 -62 *1408:26 *28375:CLK 0.000191373 -63 *1408:26 *3082:13 0.000179102 -64 *1408:26 *3571:53 5.75595e-05 -65 *1408:26 *3650:54 0.000697937 -66 *1408:26 *4282:17 6.72456e-05 -67 *1408:26 *5691:260 0.000717532 -68 *1408:28 *25279:B2 0.000147206 -69 *1408:28 *27543:A1 3.60434e-05 -70 *1408:28 *27544:C1 1.81805e-05 -71 *1408:28 *27576:B2 0.000186647 -72 *1408:28 *27576:C1 1.70474e-05 -73 *1408:28 *27891:C 0.000178765 -74 *1408:28 *28327:D 0.000205198 -75 *1408:28 *2853:90 0.00118766 -76 *1408:28 *2855:45 0.000183805 -77 *1408:28 *2859:38 0.000623866 -78 *1408:28 *2859:52 0.000272153 -79 *1408:28 *2860:64 6.95627e-05 -80 *1408:28 *2880:211 0.00186363 -81 *1408:28 *2892:26 5.88389e-05 -82 *1408:28 *3082:13 0 -83 *1408:28 *3103:17 0.000301524 -84 *1408:28 *3570:37 0 -85 *1408:28 *3571:53 0.000690025 -86 *1408:28 *3687:42 0.0002164 -87 *1408:28 *3687:52 6.72385e-05 -88 *1408:28 *3687:54 0.00184012 -89 *1408:28 *3687:58 0.00256479 -90 *1408:28 *3775:30 0.00240486 -91 *1408:28 *3778:25 0 -92 *1408:28 *3806:61 2.16719e-05 -93 *1408:28 *3817:22 0.000199118 -94 *1408:28 *3817:39 0.000451519 -95 *1408:28 *3910:28 0.000486091 -96 *1408:28 *3910:43 0.000373823 -97 *1408:28 *5698:36 0 -98 *1408:28 *5738:62 2.30116e-06 -99 *1408:28 *5738:82 0.000116508 -100 *1408:36 *28329:CLK 0.000160692 -101 *1408:36 *1476:42 0.000298524 -102 *1408:36 *2853:114 0.00014854 -103 *1408:36 *2880:197 6.4278e-05 -104 *1408:36 *3752:33 0.000137276 -105 *1408:36 *3775:30 0.000143652 -106 *1408:36 *3909:40 5.00194e-05 -107 *1408:36 *4130:103 1.09611e-05 -108 *1408:36 *5694:31 0.00135456 -109 *1408:60 *25137:B1 0.00101584 -110 *1408:60 *27581:B 1.42701e-05 -111 *1408:60 *27960:A2 0 -112 *1408:60 *3205:98 0.000151532 -113 *1408:60 *3214:92 0.000191582 -114 *1408:60 *3480:35 0.000107449 -115 *1408:60 *3503:28 0.000105924 -116 *1408:65 *25288:B2 0.00170965 -117 *1408:65 *25327:A1 0.000397603 -118 *1408:65 *25327:B1 0.000340995 -119 *1408:65 *25327:B2 0.00116509 -120 *1408:65 *1457:117 1.8143e-05 -121 *1408:71 *1430:165 0.000887804 -122 *1408:71 *6332:39 0.000453514 -123 *1408:97 *25355:A 0.000399578 -124 *1408:97 *1430:165 0.00219118 -125 *1408:97 *5599:158 0.000478443 -126 *1408:97 *5624:63 0.00160212 -127 *1408:97 *5685:76 1.36662e-05 -128 *1408:97 *6332:39 0.000537355 -129 *1408:103 *25594:A0 0.000112316 -130 *1408:103 *1430:165 0.000180356 -131 *1408:103 *3568:136 2.47753e-05 -132 *1408:103 *4311:12 0.00102033 -133 *1408:103 *6071:10 0.000866634 -134 *1408:103 *6332:29 0.000149973 -135 *25087:B *25178:A2 4.46618e-05 -136 *25088:A2 *1408:60 0.00101033 -137 *25109:B *25178:A2 1.12323e-05 -138 *25288:A2 *1408:65 0.00145576 -139 *30452:A *1408:28 0.000127446 -140 *451:12 *25404:B1 0.000201905 -141 *451:12 *1408:103 0.000405096 -142 *466:15 *1408:21 0.000131692 -143 *466:15 *1408:26 0.000183158 -144 *563:10 *1408:21 0.000615963 -145 *565:17 *1408:26 9.39059e-05 -146 *1244:23 *1408:103 0.000139907 -147 *1273:232 *25260:B1 4.38243e-05 -148 *1278:18 *1408:103 0.000582592 -149 *1327:116 *1408:97 0.000146968 -150 *1327:141 *1408:97 7.8034e-05 -151 *1360:20 *1408:60 9.65172e-05 -*RES -1 *25087:Y *1408:4 9.3 -2 *1408:4 *25178:A2 26.0679 -3 *1408:4 *1408:21 15.7708 -4 *1408:21 *1408:26 25.3207 -5 *1408:26 *1408:28 115.482 -6 *1408:28 *1408:36 13.1299 -7 *1408:36 *25088:B1 22.999 -8 *1408:36 *1408:60 30.1735 -9 *1408:60 *1408:65 47.5 -10 *1408:65 *25288:B1 9.3 -11 *1408:21 *1408:71 1.4523 -12 *1408:71 *25918:A 28.5916 -13 *1408:71 *1408:97 9.7315 -14 *1408:97 *1408:103 49.4732 -15 *1408:103 *25260:B1 17.8714 -16 *1408:97 *25404:B1 22.2554 -*END - -*D_NET *1409 0.0122632 -*CONN -*I *25091:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25088:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25091:C1 0.000180572 -2 *25088:X 0 -3 *1409:6 0.00205952 -4 *1409:5 0.00187895 -5 *25091:C1 *25091:A1 8.25843e-06 -6 *25091:C1 *25091:B1 5.33005e-05 -7 *25091:C1 *25091:B2 5.27649e-05 -8 *1409:6 *27574:A2 0.000947659 -9 *1409:6 *27581:A 0.000545255 -10 *1409:6 *27960:B2 5.58875e-06 -11 *1409:6 *1423:54 0.000533965 -12 *1409:6 *1476:212 0.00169837 -13 *1409:6 *3765:30 5.47002e-05 -14 *1409:6 *3910:73 1.20729e-05 -15 *1409:6 *4077:34 0.00149825 -16 *1409:6 *4077:38 8.98568e-05 -17 *1409:6 *4077:49 0.00157692 -18 *1409:6 *5651:176 0.000157164 -19 *1409:6 *5687:14 0.000102806 -20 *1409:6 *5687:29 0.000514948 -21 *1409:6 *5878:35 0.000292241 -*RES -1 *25088:X *1409:5 13.8 -2 *1409:5 *1409:6 60.8393 -3 *1409:6 *25091:C1 16.4562 -*END - -*D_NET *1410 0.0287785 -*CONN -*I *25235:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25317:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25367:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *30124:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25198:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25091:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25089:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *25235:B1 0 -2 *25317:B1 0 -3 *25367:A2 0.000230814 -4 *30124:A 0 -5 *25198:A2 0.000440715 -6 *25091:A2 0.00011405 -7 *25089:Y 1.91209e-05 -8 *1410:89 0.00120666 -9 *1410:71 0.00283561 -10 *1410:47 0.000482376 -11 *1410:33 0.00174983 -12 *1410:19 0.00232535 -13 *1410:5 0.00280182 -14 *25091:A2 *25091:B1 0.000198253 -15 *25091:A2 *1412:17 2.14658e-05 -16 *25091:A2 *5778:94 2.89114e-05 -17 *25198:A2 *27545:A2 0.000137653 -18 *25198:A2 *27951:B1 0.000930289 -19 *25198:A2 *1423:13 0.0010554 -20 *25198:A2 *2864:230 8.2891e-05 -21 *25198:A2 *3101:17 0.000204351 -22 *25198:A2 *3196:179 1.51489e-05 -23 *25198:A2 *3700:40 9.83388e-05 -24 *25198:A2 *3741:68 9.25014e-06 -25 *25198:A2 *3958:16 0.000105361 -26 *25367:A2 *1483:25 0.000332085 -27 *25367:A2 *1493:14 0.000301438 -28 *25367:A2 *2864:230 0.000219747 -29 *25367:A2 *4350:31 9.00868e-05 -30 *1410:5 *25089:A 0 -31 *1410:19 *25089:A 6.36787e-05 -32 *1410:19 *25173:A2 0.000446685 -33 *1410:19 *1412:17 0.000185104 -34 *1410:19 *1435:33 0.000566469 -35 *1410:19 *1450:16 0.000344406 -36 *1410:19 *3766:54 0.000715512 -37 *1410:19 *5457:22 0.00010115 -38 *1410:19 *5778:94 2.14658e-05 -39 *1410:19 *5778:181 0.000380601 -40 *1410:33 *3698:78 0 -41 *1410:33 *3949:28 2.11362e-05 -42 *1410:33 *5778:94 0.00172078 -43 *1410:33 *5778:99 4.33002e-05 -44 *1410:47 *2864:230 0.000279155 -45 *1410:47 *3958:16 7.83669e-05 -46 *1410:47 *4350:31 0.000415843 -47 *1410:71 *25163:A1 0 -48 *1410:71 *25173:A2 1.21258e-05 -49 *1410:71 *25344:B1 8.6229e-06 -50 *1410:71 *1484:13 1.65169e-05 -51 *1410:71 *3700:72 0.000105471 -52 *1410:71 *5617:57 0.00022328 -53 *1410:89 *25317:B2 0.000300412 -54 *1410:89 *25346:D 0.000712609 -55 *1410:89 *1566:20 6.09762e-05 -56 *1410:89 *1657:76 0.0011495 -57 *1410:89 *3706:35 0.000400894 -58 *1410:89 *3758:43 0 -59 *1410:89 *3849:50 0.000402609 -60 *1410:89 *4076:72 1.9781e-05 -61 *1410:89 *5601:162 1.25366e-05 -62 *25127:B *1410:71 0.000464471 -63 *25163:A2 *1410:71 7.69776e-06 -64 *1242:76 *1410:89 0.000359263 -65 *1249:67 *1410:89 1.90936e-05 -66 *1257:146 *1410:71 0.000301016 -67 *1276:47 *1410:71 0.000190065 -68 *1362:25 *1410:19 7.09708e-05 -69 *1396:13 *1410:19 0.000425946 -70 *1406:45 *1410:71 0.00209399 -*RES -1 *25089:Y *1410:5 9.72857 -2 *1410:5 *1410:19 36.5 -3 *1410:19 *25091:A2 11.6839 -4 *1410:19 *1410:33 14.83 -5 *1410:33 *25198:A2 29.3804 -6 *1410:33 *1410:47 7.5625 -7 *1410:47 *30124:A 13.8 -8 *1410:47 *25367:A2 20.6036 -9 *1410:5 *1410:71 40.1429 -10 *1410:71 *1410:89 44.75 -11 *1410:89 *25317:B1 9.3 -12 *1410:71 *25235:B1 9.3 -*END - -*D_NET *1411 0.0679822 -*CONN -*I *25247:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25834:A I *D sky130_fd_sc_hd__and2_2 -*I *25302:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25091:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25187:A2 I *D sky130_fd_sc_hd__a221o_2 -*I *25361:A2 I *D sky130_fd_sc_hd__a22o_2 -*I *25090:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *25247:B1 0.00165629 -2 *25834:A 0.00192417 -3 *25302:B1 7.99726e-05 -4 *25091:B1 0.000187681 -5 *25187:A2 8.61197e-05 -6 *25361:A2 0.000169731 -7 *25090:Y 0 -8 *1411:97 0.00492537 -9 *1411:68 0.00391209 -10 *1411:62 0.00292533 -11 *1411:60 0.00384409 -12 *1411:50 0.00366995 -13 *1411:15 0.00170888 -14 *1411:7 0.00436566 -15 *1411:4 0.00298892 -16 *25091:B1 *25091:A1 5.33005e-05 -17 *25091:B1 *1412:17 0.000135028 -18 *25091:B1 *3949:28 9.91086e-05 -19 *25091:B1 *5778:99 4.78056e-05 -20 *25187:A2 *25252:A1 0.00016524 -21 *25187:A2 *1448:27 1.12387e-05 -22 *25187:A2 *1483:48 0.000167669 -23 *25247:B1 *6457:DIODE 0.000216755 -24 *25247:B1 *25152:A 8.82208e-05 -25 *25247:B1 *25247:A1 3.57366e-05 -26 *25247:B1 *25247:B2 2.84269e-05 -27 *25247:B1 *25247:C1 7.07306e-05 -28 *25247:B1 *1693:18 1.90936e-05 -29 *25247:B1 *4142:62 2.97539e-05 -30 *25247:B1 *5778:190 0.000230759 -31 *25247:B1 *5890:68 0.000169036 -32 *25302:B1 *25302:B2 1.74719e-05 -33 *25302:B1 *25397:B2 5.08999e-05 -34 *25302:B1 *27850:A1 2.06112e-05 -35 *25302:B1 *28666:CLK 0 -36 *25302:B1 *5500:65 1.90936e-05 -37 *25361:A2 *1677:7 8.55871e-05 -38 *25361:A2 *3564:103 0.000488564 -39 *25361:A2 *3698:78 8.96256e-06 -40 *25361:A2 *5611:47 0.000113005 -41 *25834:A *1877:10 8.85631e-05 -42 *25834:A *2859:95 0.000131975 -43 *25834:A *2865:115 9.87983e-06 -44 *25834:A *3156:124 0.000102545 -45 *25834:A *3594:19 1.33343e-05 -46 *25834:A *3666:68 0 -47 *25834:A *3944:25 0.00103988 -48 *25834:A *3945:23 0.000301438 -49 *25834:A *3945:34 0.000147244 -50 *25834:A *3971:23 0.000502733 -51 *25834:A *4103:24 0.000100831 -52 *25834:A *5386:11 0.00129481 -53 *25834:A *5651:76 5.035e-05 -54 *1411:7 *1507:15 2.22618e-05 -55 *1411:7 *3784:79 3.69047e-06 -56 *1411:7 *5808:67 0.000357733 -57 *1411:15 *3564:103 0.000549576 -58 *1411:15 *3975:31 0.00025577 -59 *1411:15 *4066:46 0.000257484 -60 *1411:15 *5611:47 0.000269188 -61 *1411:15 *5849:11 9.41642e-05 -62 *1411:50 *1719:12 0.000408335 -63 *1411:50 *1781:16 4.28365e-05 -64 *1411:50 *3173:158 0.000229399 -65 *1411:50 *3700:72 0 -66 *1411:50 *3784:79 7.21098e-06 -67 *1411:50 *5832:8 4.28365e-05 -68 *1411:60 *25107:C1 0.000202651 -69 *1411:60 *25122:A1 0.000135968 -70 *1411:60 *1415:38 0.00011123 -71 *1411:60 *1448:127 6.14158e-05 -72 *1411:60 *1627:12 0.00134816 -73 *1411:60 *1719:12 0.00242174 -74 *1411:60 *3173:158 0.00126997 -75 *1411:60 *3413:41 0.000208663 -76 *1411:60 *4088:56 0.000148761 -77 *1411:60 *5640:53 0 -78 *1411:60 *5832:25 0.000262373 -79 *1411:62 *25312:B 0.000738155 -80 *1411:62 *25312:D 0.000100297 -81 *1411:62 *1627:12 0.000199634 -82 *1411:62 *1631:8 0.0035378 -83 *1411:62 *4088:56 0.00518036 -84 *1411:62 *5600:37 6.09762e-05 -85 *1411:68 *25397:B2 0.000236839 -86 *1411:68 *2882:53 0.000481177 -87 *1411:68 *3699:58 0.000149797 -88 *1411:68 *3790:62 0.000219289 -89 *1411:68 *3868:61 0.000177821 -90 *1411:68 *5500:65 0.000340193 -91 *1411:97 *25666:A1 0.00018172 -92 *1411:97 *27100:A0 0.000493799 -93 *1411:97 *27502:A1 7.40046e-05 -94 *1411:97 *27503:D 0.000761911 -95 *1411:97 *27881:B 6.28948e-05 -96 *1411:97 *27881:D 0.000177637 -97 *1411:97 *28155:CLK 2.79421e-05 -98 *1411:97 *30544:A 7.7206e-05 -99 *1411:97 *2871:105 4.15161e-05 -100 *1411:97 *2883:53 0.000148999 -101 *1411:97 *3045:10 4.32309e-05 -102 *1411:97 *3182:97 8.63902e-05 -103 *1411:97 *3645:20 5.45582e-05 -104 *1411:97 *3762:61 5.11566e-05 -105 *1411:97 *3932:5 0.000139485 -106 *1411:97 *3944:25 9.46083e-05 -107 *1411:97 *3944:29 1.36161e-05 -108 *1411:97 *3944:45 0.000895448 -109 *1411:97 *4035:60 9.22222e-06 -110 *1411:97 *4153:62 5.9913e-05 -111 *1411:97 *5386:11 2.24813e-05 -112 *1411:97 *5691:93 0.000220436 -113 *1411:97 *5744:76 3.66672e-05 -114 *25090:B *1411:7 0.00038674 -115 *25091:A2 *25091:B1 0.000198253 -116 *25091:C1 *25091:B1 5.33005e-05 -117 *25302:A2 *25302:B1 1.21859e-05 -118 *30532:A *25834:A 9.23063e-05 -119 *1242:61 *25247:B1 8.94556e-05 -120 *1242:61 *1411:50 0 -121 *1242:61 *1411:60 0.000833514 -122 *1282:158 *1411:62 0.000243098 -123 *1287:113 *1411:7 0.0021184 -124 *1287:113 *1411:15 0.00113011 -125 *1294:95 *25302:B1 6.81425e-06 -126 *1360:27 *1411:68 0 -127 *1396:13 *1411:15 0.000113252 -128 *1400:54 *1411:62 0.000196276 -*RES -1 *25090:Y *1411:4 9.3 -2 *1411:4 *1411:7 33.8214 -3 *1411:7 *1411:15 32.4286 -4 *1411:15 *25361:A2 14.1214 -5 *1411:15 *25187:A2 20.7821 -6 *1411:7 *25091:B1 22.6393 -7 *1411:4 *1411:50 12.9547 -8 *1411:50 *1411:60 30.6198 -9 *1411:60 *1411:62 68.5804 -10 *1411:62 *1411:68 25.1518 -11 *1411:68 *25302:B1 15.4161 -12 *1411:68 *1411:97 49.4043 -13 *1411:97 *25834:A 49.8843 -14 *1411:50 *25247:B1 36.4352 -*END - -*D_NET *1412 0.005459 -*CONN -*I *25092:D I *D sky130_fd_sc_hd__or4_1 -*I *25091:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25092:D 0 -2 *25091:X 0.00158892 -3 *1412:17 0.00158892 -4 *1412:17 *25110:B2 0.000744615 -5 *1412:17 *1448:15 8.7924e-05 -6 *1412:17 *1864:17 4.73953e-05 -7 *1412:17 *3484:31 3.04311e-05 -8 *1412:17 *3487:10 8.68976e-05 -9 *1412:17 *3762:30 8.51829e-05 -10 *1412:17 *5634:156 0.000202636 -11 *1412:17 *5823:51 0.000382959 -12 *1412:17 *5878:14 2.90164e-05 -13 *6583:DIODE *1412:17 6.57032e-05 -14 *25091:A2 *1412:17 2.14658e-05 -15 *25091:B1 *1412:17 0.000135028 -16 *1396:13 *1412:17 0.000176803 -17 *1410:19 *1412:17 0.000185104 -*RES -1 *25091:X *1412:17 44.4636 -2 *1412:17 *25092:D 9.3 -*END - -*D_NET *1413 0.0039391 -*CONN -*I *25167:A I *D sky130_fd_sc_hd__or3_2 -*I *25092:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *25167:A 0.000579011 -2 *25092:X 0.000579011 -3 *25167:A *25123:B 0.000627503 -4 *25167:A *25166:A 8.61512e-05 -5 *25167:A *1487:18 0.000194949 -6 *25167:A *1689:8 9.84332e-05 -7 *25167:A *3881:56 1.81805e-05 -8 *25167:A *5607:27 0.000905769 -9 *25167:A *5848:63 0.000119924 -10 *1403:26 *25167:A 0.000730163 -*RES -1 *25092:X *25167:A 46.2429 -*END - -*D_NET *1414 0.00479029 -*CONN -*I *25094:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25093:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25094:C1 0 -2 *25093:X 0.00194832 -3 *1414:10 0.00194832 -4 *1414:10 *25093:A1 0.000137561 -5 *1414:10 *1435:143 0.000239886 -6 *1414:10 *2970:25 6.68128e-05 -7 *1414:10 *3753:93 5.57903e-05 -8 *1414:10 *4070:44 4.47432e-05 -9 *1414:10 *4122:39 0.000127246 -10 *1414:10 *4135:70 2.26973e-05 -11 *1414:10 *5652:61 2.04825e-05 -12 *25093:B1 *1414:10 0.000178425 -*RES -1 *25093:X *1414:10 41.0143 -2 *1414:10 *25094:C1 9.3 -*END - -*D_NET *1415 0.0242168 -*CONN -*I *25108:A I *D sky130_fd_sc_hd__or4_1 -*I *6584:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25094:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25108:A 0.000172831 -2 *6584:DIODE 0 -3 *25094:X 0.00120928 -4 *1415:40 0.000172831 -5 *1415:38 0.00126615 -6 *1415:27 0.00270104 -7 *1415:18 0.00264418 -8 *25108:A *25104:B1 2.42516e-05 -9 *25108:A *25108:B 9.41642e-05 -10 *25108:A *25108:C 0.000178847 -11 *1415:18 *25094:B2 1.31802e-07 -12 *1415:18 *27401:B1 5.49489e-05 -13 *1415:18 *27753:B2 1.98839e-05 -14 *1415:18 *1435:143 9.35651e-05 -15 *1415:18 *1447:44 0.000101071 -16 *1415:18 *1447:51 0.000595216 -17 *1415:18 *2775:132 0.000247764 -18 *1415:18 *3729:38 0.0011893 -19 *1415:18 *3875:118 9.25014e-06 -20 *1415:18 *4022:47 0.000424382 -21 *1415:18 *4128:24 3.98524e-05 -22 *1415:18 *5656:153 2.16719e-05 -23 *1415:27 *25142:B 4.20146e-05 -24 *1415:27 *26847:B 0.000145988 -25 *1415:27 *26848:A1 0.000266737 -26 *1415:27 *26848:S 0.000144573 -27 *1415:27 *26902:A0 9.08582e-05 -28 *1415:27 *28444:D 0.000328539 -29 *1415:27 *1447:44 0.000704705 -30 *1415:27 *1565:20 2.06112e-05 -31 *1415:27 *1627:10 2.29998e-05 -32 *1415:27 *3700:72 0.000115518 -33 *1415:27 *4022:47 2.442e-05 -34 *1415:27 *4088:54 8.41284e-06 -35 *1415:27 *4088:56 0.00200516 -36 *1415:27 *4199:12 0.00212677 -37 *1415:38 *1448:100 0.00209613 -38 *1415:38 *1448:127 7.06711e-05 -39 *1415:38 *1627:12 0.00290083 -40 *1415:38 *1631:16 2.16719e-05 -41 *1415:38 *1719:12 0.000113602 -42 *1415:38 *3888:12 3.68236e-05 -43 *1415:38 *5640:53 0 -44 *25094:B1 *1415:18 0.000123791 -45 *1239:90 *1415:27 2.57039e-05 -46 *1242:61 *1415:38 0.000135968 -47 *1248:120 *1415:38 2.04825e-05 -48 *1269:40 *1415:38 0.000799075 -49 *1269:86 *1415:27 0.000452851 -50 *1411:60 *1415:38 0.00011123 -*RES -1 *25094:X *1415:18 48.9607 -2 *1415:18 *1415:27 46.6505 -3 *1415:27 *1415:38 49.8336 -4 *1415:38 *1415:40 4.5 -5 *1415:40 *6584:DIODE 9.3 -6 *1415:40 *25108:A 12.6214 -*END - -*D_NET *1416 0.0522801 -*CONN -*I *25097:A2 I *D sky130_fd_sc_hd__a211o_1 -*I *25184:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25276:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25343:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *29448:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25410:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25095:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *25097:A2 0.00092351 -2 *25184:B1 1.97299e-05 -3 *25276:B1 0.000103761 -4 *25343:B1 0 -5 *29448:A 6.79567e-05 -6 *25410:B1 0.00134748 -7 *25095:Y 4.36033e-05 -8 *1416:97 0.00211869 -9 *1416:89 0.00491357 -10 *1416:72 0.00408224 -11 *1416:67 0.00268922 -12 *1416:64 0.00180338 -13 *1416:53 0.000966124 -14 *1416:31 0.00168375 -15 *1416:21 0.00191847 -16 *1416:7 0.00141888 -17 *25097:A2 *25095:B 4.26759e-05 -18 *25097:A2 *25097:A1 0.000397628 -19 *25097:A2 *25097:B1 0.000245387 -20 *25097:A2 *26827:B 0.00121694 -21 *25097:A2 *27037:S 3.26334e-05 -22 *25097:A2 *30549:A 0.000238239 -23 *25097:A2 *1705:13 4.43299e-05 -24 *25097:A2 *2848:44 5.52238e-05 -25 *25097:A2 *2888:59 0.000140088 -26 *25097:A2 *5619:17 4.58194e-05 -27 *25097:A2 *5620:18 6.13614e-05 -28 *25097:A2 *5711:193 3.69047e-06 -29 *25184:B1 *1504:7 1.21258e-05 -30 *25184:B1 *3570:27 5.33005e-05 -31 *25276:B1 *25183:A1 0.000259549 -32 *25276:B1 *25208:C1 4.85033e-05 -33 *25276:B1 *25276:B2 9.41642e-05 -34 *25276:B1 *1691:24 1.1594e-05 -35 *25276:B1 *3989:68 1.94879e-05 -36 *25410:B1 *25410:A1 0.00027826 -37 *25410:B1 *25410:B2 1.98972e-05 -38 *25410:B1 *1547:36 8.25843e-06 -39 *25410:B1 *5651:39 0.00106452 -40 *29448:A *25942:A 0.0002685 -41 *29448:A *3153:32 7.08209e-05 -42 *29448:A *3174:56 0.000353633 -43 *1416:7 *1547:36 5.33005e-05 -44 *1416:31 *25097:A1 8.76591e-06 -45 *1416:31 *25330:A1 0 -46 *1416:31 *27037:S 2.59024e-05 -47 *1416:31 *1723:27 8.83449e-05 -48 *1416:31 *5634:52 3.77315e-05 -49 *1416:53 *24950:B 1.32056e-05 -50 *1416:53 *25095:B 0.000125355 -51 *1416:53 *25264:B2 0.000257619 -52 *1416:53 *25330:A1 0 -53 *1416:53 *1482:8 5.47238e-05 -54 *1416:53 *1504:7 2.59355e-05 -55 *1416:53 *1676:14 0.000136682 -56 *1416:53 *1705:17 0.000345888 -57 *1416:53 *2891:30 6.09764e-05 -58 *1416:53 *3570:27 0.000222813 -59 *1416:53 *5618:6 0.000211698 -60 *1416:64 *25184:A1 0.000430859 -61 *1416:64 *25184:B2 6.74751e-05 -62 *1416:64 *3988:44 0.000577976 -63 *1416:64 *5621:45 9.41642e-05 -64 *1416:64 *5626:109 3.62926e-05 -65 *1416:67 *1578:18 0.000923403 -66 *1416:67 *2893:72 0.00100119 -67 *1416:67 *2895:34 2.0307e-05 -68 *1416:67 *3666:18 0.00175974 -69 *1416:67 *3699:58 0.000216087 -70 *1416:72 *1691:14 0.00104906 -71 *1416:72 *1691:24 0.00168787 -72 *1416:72 *3194:93 0.000167015 -73 *1416:72 *3989:68 0.00174997 -74 *1416:72 *5713:84 0.000403124 -75 *1416:89 *27332:A 1.65282e-05 -76 *1416:89 *27348:B1 0.000306251 -77 *1416:89 *27683:B2 7.93787e-05 -78 *1416:89 *1642:20 0.000329922 -79 *1416:89 *2856:146 0.00141982 -80 *1416:89 *2856:157 1.91871e-05 -81 *1416:89 *3185:92 0.000151546 -82 *1416:89 *3299:29 0.000417535 -83 *1416:89 *3686:109 1.28445e-05 -84 *1416:89 *3834:24 0 -85 *1416:89 *4132:58 0.00176381 -86 *1416:89 *5609:107 4.17137e-05 -87 *1416:89 *5609:137 7.01273e-05 -88 *1416:89 *5638:104 0.00098 -89 *1416:89 *5638:110 0.00110592 -90 *1416:89 *5700:50 0.000245378 -91 *1416:97 *25337:A1 0.00045109 -92 *1416:97 *25337:B2 8.79816e-05 -93 *1416:97 *25343:A1 9.58181e-05 -94 *1416:97 *3306:6 7.45613e-05 -95 *1416:97 *3540:7 4.00276e-06 -96 *1416:97 *3950:21 0.0001393 -97 *1416:97 *4093:17 0.000346558 -98 *1416:97 *4115:30 0.00117587 -99 *1416:97 *5656:96 9.33978e-07 -100 *25277:A2 *1416:89 4.45314e-05 -101 *1182:42 *1416:64 9.58181e-05 -102 *1227:42 *25410:B1 0.000115499 -103 *1227:42 *1416:21 6.35819e-05 -104 *1235:52 *1416:31 0.000781143 -105 *1250:232 *1416:89 9.23226e-05 -106 *1263:50 *25410:B1 0.000117214 -107 *1263:50 *1416:21 5.6876e-05 -108 *1263:50 *1416:31 6.30931e-05 -109 *1263:50 *1416:53 0.000187195 -110 *1265:76 *1416:97 0.000188621 -111 *1265:95 *1416:97 8.25843e-06 -112 *1326:35 *25097:A2 8.94556e-05 -*RES -1 *25095:Y *1416:7 14.3357 -2 *1416:7 *25410:B1 32.2107 -3 *1416:7 *1416:21 4.29393 -4 *1416:21 *1416:31 13.1036 -5 *1416:31 *29448:A 17.2107 -6 *1416:31 *1416:53 28.8214 -7 *1416:53 *1416:64 26.7857 -8 *1416:64 *1416:67 37.4821 -9 *1416:67 *1416:72 37.4732 -10 *1416:72 *1416:89 46.7794 -11 *1416:89 *1416:97 41.5796 -12 *1416:97 *25343:B1 9.3 -13 *1416:72 *25276:B1 16.6661 -14 *1416:53 *25184:B1 9.83571 -15 *1416:21 *25097:A2 33.6931 -*END - -*D_NET *1417 0.016119 -*CONN -*I *25389:D1 I *D sky130_fd_sc_hd__a2111o_1 -*I *25097:B1 I *D sky130_fd_sc_hd__a211o_1 -*I *25096:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *25389:D1 0 -2 *25097:B1 0.00104488 -3 *25096:Y 0.00024035 -4 *1417:15 0.00204757 -5 *1417:10 0.00228971 -6 *1417:7 0.00152736 -7 *25097:B1 *25269:A1 0.000180171 -8 *25097:B1 *28343:CLK 5.10774e-05 -9 *25097:B1 *30549:A 0.00034395 -10 *25097:B1 *1418:15 0.000183298 -11 *25097:B1 *1700:65 9.27418e-05 -12 *25097:B1 *2776:65 4.13918e-05 -13 *25097:B1 *2848:44 6.7224e-05 -14 *25097:B1 *2888:59 0.000163285 -15 *25097:B1 *3165:216 6.50156e-05 -16 *25097:B1 *3989:20 7.69776e-06 -17 *1417:7 *5041:21 0.000446175 -18 *1417:10 *24945:B 0.00022287 -19 *1417:10 *25275:A 9.74473e-05 -20 *1417:10 *25275:B 6.80342e-06 -21 *1417:10 *25374:B2 8.67933e-06 -22 *1417:10 *25955:A1 0.000173083 -23 *1417:10 *1701:11 7.81933e-05 -24 *1417:10 *2865:44 2.81596e-05 -25 *1417:10 *3158:36 6.39829e-05 -26 *1417:10 *3988:8 0.00012401 -27 *1417:10 *3988:33 0.00125531 -28 *1417:10 *5052:19 0.000175519 -29 *1417:10 *5711:186 0.00120598 -30 *1417:10 *5861:75 0.000109652 -31 *1417:15 *25374:B2 8.00213e-05 -32 *1417:15 *25389:A1 9.66977e-05 -33 *1417:15 *25389:A2 5.7903e-06 -34 *1417:15 *27619:A2 4.12023e-05 -35 *1417:15 *27962:A2 0.000122746 -36 *1417:15 *28340:CLK 0 -37 *1417:15 *1588:18 0.000130034 -38 *1417:15 *1594:23 0.00014653 -39 *1417:15 *2776:65 0.000165653 -40 *1417:15 *2834:41 0.000536844 -41 *1417:15 *2855:32 9.83442e-05 -42 *1417:15 *2865:44 8.84472e-05 -43 *1417:15 *3160:48 6.18973e-05 -44 *25097:A2 *25097:B1 0.000245387 -45 *1218:62 *1417:15 5.99247e-05 -46 *1240:41 *1417:10 0 -47 *1248:14 *1417:10 0.000125271 -48 *1248:22 *1417:15 6.47358e-05 -49 *1248:33 *1417:15 0.000565956 -50 *1248:56 *25097:B1 6.51531e-05 -51 *1273:14 *1417:10 0.000241198 -52 *1289:44 *25097:B1 5.26058e-05 -53 *1327:141 *1417:7 0.000782977 -*RES -1 *25096:Y *1417:7 21.3179 -2 *1417:7 *1417:10 34.2589 -3 *1417:10 *1417:15 28.5446 -4 *1417:15 *25097:B1 37.2643 -5 *1417:15 *25389:D1 9.3 -*END - -*D_NET *1418 0.00580052 -*CONN -*I *25099:C1 I *D sky130_fd_sc_hd__a221o_2 -*I *25097:X O *D sky130_fd_sc_hd__a211o_1 -*CAP -1 *25099:C1 0 -2 *25097:X 0.00109338 -3 *1418:15 0.00109338 -4 *1418:15 *25099:B2 5.52238e-05 -5 *1418:15 *1653:35 0.00100635 -6 *1418:15 *2889:211 6.09762e-05 -7 *1418:15 *3547:25 3.64026e-05 -8 *1418:15 *3816:43 0.0010689 -9 *1418:15 *3816:51 0.000836138 -10 *1418:15 *5483:145 2.18348e-05 -11 *1418:15 *5483:151 4.97821e-05 -12 *25097:B1 *1418:15 0.000183298 -13 *30122:A *1418:15 0.000294865 -*RES -1 *25097:X *1418:15 47.1929 -2 *1418:15 *25099:C1 9.3 -*END - -*D_NET *1419 0.0405877 -*CONN -*I *25236:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25222:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25324:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25888:A I *D sky130_fd_sc_hd__and2_2 -*I *25374:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25099:B1 I *D sky130_fd_sc_hd__a221o_2 -*I *25098:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *25236:B1 1.56941e-05 -2 *25222:B1 0.0010607 -3 *25324:B1 0.000337335 -4 *25888:A 4.85368e-05 -5 *25374:A2 0 -6 *25099:B1 0.000793053 -7 *25098:Y 0.00098064 -8 *1419:53 0.00124506 -9 *1419:46 0.000874379 -10 *1419:43 0.00175291 -11 *1419:30 0.00327092 -12 *1419:24 0.00474303 -13 *1419:11 0.00312416 -14 *25099:B1 *25099:B2 1.32056e-05 -15 *25099:B1 *25889:A0 3.42899e-05 -16 *25099:B1 *27882:B2 0.000226792 -17 *25099:B1 *1607:8 1.97897e-05 -18 *25099:B1 *1704:26 6.58659e-05 -19 *25099:B1 *1890:47 1.721e-05 -20 *25099:B1 *2834:40 9.35114e-06 -21 *25099:B1 *2878:20 0.000208056 -22 *25099:B1 *3782:26 0.000472486 -23 *25099:B1 *5483:145 0.000104974 -24 *25222:B1 *25222:A1 3.84842e-05 -25 *25222:B1 *25222:A2 2.5071e-05 -26 *25222:B1 *27932:A1 1.85443e-05 -27 *25222:B1 *2860:164 7.69776e-06 -28 *25222:B1 *3987:58 0.000139223 -29 *25222:B1 *5583:88 2.30159e-06 -30 *25222:B1 *5583:112 0.000331471 -31 *25222:B1 *5584:43 0.000148215 -32 *25222:B1 *5609:107 0.00013635 -33 *25236:B1 *3704:45 1.04232e-05 -34 *25324:B1 *25324:A1 3.57366e-05 -35 *25324:B1 *25324:B2 3.46004e-05 -36 *25324:B1 *25324:C1 0.000260152 -37 *25324:B1 *2860:164 0.000111348 -38 *25324:B1 *2881:14 0.000113063 -39 *25324:B1 *3221:15 0.000385277 -40 *25324:B1 *4186:20 0.000211572 -41 *25888:A *28343:SET_B 6.57032e-05 -42 *25888:A *3989:20 0.000123471 -43 *1419:11 *25332:B1 6.05161e-06 -44 *1419:11 *25332:B2 0.00038021 -45 *1419:11 *1555:11 0.000171608 -46 *1419:11 *1606:14 0.000383161 -47 *1419:11 *5640:53 8.43535e-06 -48 *1419:11 *6247:36 0.000381454 -49 *1419:24 *27674:A1 0 -50 *1419:24 *1555:11 2.54558e-06 -51 *1419:24 *2877:179 0.00178271 -52 *1419:24 *3306:6 1.90936e-05 -53 *1419:24 *3704:45 2.22618e-05 -54 *1419:24 *3739:122 0 -55 *1419:24 *3834:24 0.00198113 -56 *1419:24 *5627:69 0.000596457 -57 *1419:24 *5655:182 7.2394e-05 -58 *1419:30 *27629:A2 1.07374e-05 -59 *1419:30 *3834:24 0.000507275 -60 *1419:30 *4185:59 0.000141772 -61 *1419:30 *5655:130 0.000405262 -62 *1419:30 *5655:174 1.85816e-05 -63 *1419:43 *27629:C1 2.04825e-05 -64 *1419:43 *27636:B 0.00147563 -65 *1419:43 *1592:20 0.000310124 -66 *1419:43 *2853:25 0.000152555 -67 *1419:43 *2853:164 0.000131364 -68 *1419:43 *2878:147 2.01024e-05 -69 *1419:43 *2888:59 0.000152555 -70 *1419:43 *3413:62 4.47546e-05 -71 *1419:43 *5467:14 0.000127359 -72 *1419:43 *5635:34 0.000102859 -73 *1419:43 *5655:130 0.000777259 -74 *1419:46 *2878:138 0.000770306 -75 *1419:46 *3413:62 0.000768214 -76 *1419:53 *25374:A1 9.41642e-05 -77 *1419:53 *28343:SET_B 8.55871e-05 -78 *1419:53 *1580:12 0.000742005 -79 *1419:53 *3156:59 0.000108447 -80 *1419:53 *3844:41 0.000743727 -81 *1419:53 *3989:20 0.000177545 -82 *25236:A2 *25236:B1 1.78031e-05 -83 *25236:A2 *1419:24 0.000238224 -84 *282:21 *1419:43 0.00207964 -85 *1228:47 *1419:24 0 -86 *1228:47 *1419:30 5.37071e-05 -87 *1250:20 *25222:B1 2.04825e-05 -88 *1250:20 *1419:24 0.000288276 -89 *1250:43 *1419:30 0.000522111 -90 *1254:144 *25099:B1 1.8748e-05 -91 *1256:31 *1419:30 0.000520407 -92 *1256:31 *1419:43 0.000780466 -93 *1262:128 *1419:11 0.000187982 -94 *1262:135 *1419:24 0.00024516 -95 *1271:104 *25099:B1 0.000275989 -96 *1273:102 *25324:B1 2.54074e-05 -*RES -1 *25098:Y *1419:11 37.0857 -2 *1419:11 *1419:24 22.1233 -3 *1419:24 *1419:30 4.30207 -4 *1419:30 *1419:43 43.8289 -5 *1419:43 *1419:46 15.0982 -6 *1419:46 *1419:53 22.7857 -7 *1419:53 *25099:B1 42.1393 -8 *1419:53 *25374:A2 9.3 -9 *1419:46 *25888:A 10.6571 -10 *1419:30 *25324:B1 26.7324 -11 *1419:24 *25222:B1 32.7668 -12 *1419:11 *25236:B1 9.72857 -*END - -*D_NET *1420 0.0436477 -*CONN -*I *25108:B I *D sky130_fd_sc_hd__or4_1 -*I *25099:X O *D sky130_fd_sc_hd__a221o_2 -*CAP -1 *25108:B 7.70325e-05 -2 *25099:X 0.00691286 -3 *1420:40 0.00373979 -4 *1420:22 0.0105756 -5 *1420:22 *25099:A1 3.89624e-05 -6 *1420:22 *25241:B1 4.79414e-05 -7 *1420:22 *25266:C 3.46269e-05 -8 *1420:22 *27963:C1 0 -9 *1420:22 *1455:55 1.85278e-05 -10 *1420:22 *1479:17 0.000363854 -11 *1420:22 *1485:23 0.000346608 -12 *1420:22 *1535:39 1.32041e-05 -13 *1420:22 *1722:21 0.00218168 -14 *1420:22 *2780:61 0.000278743 -15 *1420:22 *2864:40 0 -16 *1420:22 *2867:99 0.000797099 -17 *1420:22 *3389:20 0.000926127 -18 *1420:22 *3425:20 0.00154846 -19 *1420:22 *3845:59 9.0874e-05 -20 *1420:22 *3875:63 2.04745e-05 -21 *1420:22 *5498:54 0.000244191 -22 *1420:22 *5655:36 0.000681813 -23 *1420:22 *5936:70 0.00143324 -24 *1420:40 *25117:B1 0.00126686 -25 *1420:40 *25323:B1 0.000415358 -26 *1420:40 *25394:B2 2.83129e-05 -27 *1420:40 *1437:84 0.000474865 -28 *1420:40 *1448:136 0.00112608 -29 *1420:40 *1471:140 0.00082124 -30 *1420:40 *1494:38 0.000107807 -31 *1420:40 *1631:16 0.000438098 -32 *1420:40 *1719:12 0.000661244 -33 *1420:40 *1729:10 0.000819658 -34 *1420:40 *1730:13 0.000185417 -35 *1420:40 *3173:158 0.000666616 -36 *1420:40 *3215:57 0 -37 *1420:40 *3389:20 0.000188801 -38 *1420:40 *4022:76 0.000160692 -39 *1420:40 *4186:20 0.000176647 -40 *1420:40 *5641:70 4.6607e-05 -41 *1420:40 *5687:58 9.20139e-05 -42 *1420:40 *5713:37 0 -43 *25108:A *25108:B 9.41642e-05 -44 *25295:A2 *1420:22 0.00049452 -45 *30788:A *1420:40 0.000333855 -46 *282:21 *1420:22 0.000111341 -47 *1242:37 *1420:22 0.000164529 -48 *1251:29 *1420:40 5.27822e-05 -49 *1252:26 *1420:22 0.000111243 -50 *1253:98 *1420:22 3.96976e-05 -51 *1262:19 *1420:40 3.77992e-05 -52 *1269:40 *1420:40 0.000219655 -53 *1271:88 *1420:22 0.000210098 -54 *1273:68 *1420:22 0.00157611 -55 *1281:75 *1420:40 0.00127287 -56 *1395:29 *1420:22 0.000881023 -*RES -1 *25099:X *1420:22 49.8253 -2 *1420:22 *1420:40 47.8657 -3 *1420:40 *25108:B 14.7464 -*END - -*D_NET *1421 0.0747331 -*CONN -*I *25291:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25104:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25220:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25280:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25634:A I *D sky130_fd_sc_hd__and2_2 -*I *25392:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25100:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *25291:B1 0.000144603 -2 *25104:B1 0.00197948 -3 *25220:B1 0.000812522 -4 *25280:A2 0 -5 *25634:A 0.000282265 -6 *25392:A2 0 -7 *25100:Y 0.000106563 -8 *1421:127 0.00222225 -9 *1421:106 0.00117829 -10 *1421:100 0.00292341 -11 *1421:93 0.00440337 -12 *1421:71 0.00163831 -13 *1421:56 0.00137145 -14 *1421:53 0.0025927 -15 *1421:33 0.00332225 -16 *1421:26 0.00351995 -17 *1421:9 0.00462913 -18 *25104:B1 *24901:A 0.000135028 -19 *25104:B1 *24901:B 9.83442e-05 -20 *25104:B1 *24949:A 5.33005e-05 -21 *25104:B1 *25104:B2 6.22199e-05 -22 *25104:B1 *25108:C 6.12335e-05 -23 *25104:B1 *1429:18 0.000351112 -24 *25104:B1 *5616:44 0.000135028 -25 *25104:B1 *5651:132 0.00112125 -26 *25220:B1 *1501:43 3.15907e-05 -27 *25220:B1 *1654:27 0.000406482 -28 *25220:B1 *3751:30 0.000404768 -29 *25220:B1 *3835:73 5.04841e-06 -30 *25220:B1 *3857:91 0.000293212 -31 *25220:B1 *5601:11 0.00120002 -32 *25220:B1 *5641:55 0.00120002 -33 *25291:B1 *5651:132 0.00034188 -34 *25634:A *25634:B 0.000355009 -35 *25634:A *25945:S 0.000148266 -36 *1421:9 *28343:CLK 0.000219289 -37 *1421:9 *3209:52 0.00030355 -38 *1421:9 *3989:20 4.35597e-05 -39 *1421:26 *25282:C 0.000357185 -40 *1421:26 *25396:A1 8.78131e-05 -41 *1421:26 *28858:A 0.000301618 -42 *1421:26 *1442:14 4.11218e-05 -43 *1421:26 *1449:115 5.45241e-05 -44 *1421:26 *1452:114 0.000150225 -45 *1421:26 *2778:79 0.000281694 -46 *1421:26 *3209:59 8.7498e-06 -47 *1421:26 *3506:25 4.29471e-05 -48 *1421:26 *3547:25 0.00160772 -49 *1421:26 *5498:54 1.4526e-05 -50 *1421:26 *5622:48 8.78131e-05 -51 *1421:26 *5666:216 9.23689e-05 -52 *1421:33 *25280:B2 0 -53 *1421:33 *25393:C1 0.00168117 -54 *1421:33 *1452:114 7.50707e-05 -55 *1421:33 *2855:39 0.000127063 -56 *1421:33 *4074:59 0.00213912 -57 *1421:33 *5629:71 3.97677e-05 -58 *1421:53 *25391:B2 0.000136615 -59 *1421:53 *27491:A1 0.000403075 -60 *1421:53 *27491:B1 0.000901917 -61 *1421:53 *27532:C1 4.22135e-06 -62 *1421:53 *27540:A 0.000110471 -63 *1421:53 *27865:C 8.6229e-06 -64 *1421:53 *1427:41 0.00204741 -65 *1421:53 *1427:59 0.000111922 -66 *1421:53 *1437:42 7.25338e-05 -67 *1421:53 *1449:135 0.00167019 -68 *1421:53 *1452:114 6.59012e-07 -69 *1421:53 *1599:9 2.70189e-05 -70 *1421:53 *1708:14 0.000598579 -71 *1421:53 *2886:50 9.05749e-06 -72 *1421:53 *2886:55 8.97147e-05 -73 *1421:53 *3070:14 8.40344e-05 -74 *1421:53 *3085:12 5.41797e-06 -75 *1421:53 *3129:18 0 -76 *1421:53 *3409:13 0.00054855 -77 *1421:53 *3414:33 0.000164921 -78 *1421:53 *3960:28 2.31868e-05 -79 *1421:53 *5493:25 1.90936e-05 -80 *1421:53 *5510:116 6.05161e-06 -81 *1421:56 *25670:B 2.34423e-05 -82 *1421:56 *3750:20 6.09057e-06 -83 *1421:56 *3866:20 3.34366e-05 -84 *1421:71 *25670:B 2.72449e-05 -85 *1421:71 *1427:24 0.00012401 -86 *1421:71 *1427:142 6.98302e-05 -87 *1421:71 *1829:48 0.000176069 -88 *1421:71 *1829:50 0.00165094 -89 *1421:71 *1868:31 0.00102677 -90 *1421:71 *3856:13 0.000205104 -91 *1421:71 *3856:26 6.05161e-06 -92 *1421:71 *3866:20 2.48938e-05 -93 *1421:71 *3866:35 0.000220448 -94 *1421:93 *25097:A1 8.96293e-05 -95 *1421:93 *25107:C1 0.00292398 -96 *1421:93 *1449:101 0.000493722 -97 *1421:93 *1476:157 2.5072e-05 -98 *1421:93 *1700:65 0.00114291 -99 *1421:93 *2778:79 0.000207054 -100 *1421:93 *3867:60 8.92267e-05 -101 *1421:93 *5605:34 6.70791e-05 -102 *1421:93 *5621:34 8.83488e-05 -103 *1421:93 *5861:69 0.000154359 -104 *1421:100 *6637:DIODE 7.55759e-05 -105 *1421:100 *25107:C1 0.0022295 -106 *1421:100 *25221:B1 7.85207e-05 -107 *1421:100 *25284:B 0.00133738 -108 *1421:100 *27635:C1 0.000485323 -109 *1421:100 *1449:84 0.000195106 -110 *1421:100 *1476:183 6.25005e-05 -111 *1421:100 *1576:27 0.000132124 -112 *1421:100 *1594:35 1.90936e-05 -113 *1421:100 *2778:120 0.002953 -114 *1421:100 *3867:80 0 -115 *1421:100 *4129:77 0.00132842 -116 *1421:100 *5583:37 9.70737e-05 -117 *1421:100 *5621:34 5.4869e-05 -118 *1421:100 *5687:73 0.000141224 -119 *1421:100 *5861:52 7.19891e-05 -120 *1421:100 *5869:74 8.52859e-05 -121 *1421:100 *5869:85 0.0001802 -122 *1421:100 *6280:18 0.000135968 -123 *1421:106 *2893:43 0.000135271 -124 *1421:106 *4043:70 4.11218e-05 -125 *1421:106 *5612:42 4.28365e-05 -126 *1421:127 *4043:70 0.000260249 -127 *1421:127 *5612:42 0.000251713 -128 *25104:A2 *25104:B1 1.59935e-05 -129 *25108:A *25104:B1 2.42516e-05 -130 *25279:A2 *1421:53 0.000550004 -131 *29367:A *25634:A 4.43256e-05 -132 *1218:29 *1421:100 1.27323e-05 -133 *1243:34 *1421:100 0.000225332 -134 *1243:34 *1421:106 6.90381e-06 -135 *1248:81 *1421:93 9.20564e-06 -136 *1248:120 *25104:B1 0.000234447 -137 *1271:161 *1421:100 0.000310744 -138 *1274:17 *1421:106 3.40275e-05 -139 *1275:15 *25104:B1 1.07719e-05 -140 *1275:15 *25291:B1 6.60674e-05 -141 *1279:102 *1421:106 0 -142 *1281:103 *1421:100 0 -143 *1282:12 *1421:26 0.000281694 -144 *1282:12 *1421:93 0.000556003 -145 *1282:119 *1421:93 0.00056799 -146 *1289:27 *1421:100 8.91358e-05 -147 *1326:35 *1421:93 9.28794e-05 -*RES -1 *25100:Y *1421:9 17.2286 -2 *1421:9 *1421:26 45.6725 -3 *1421:26 *1421:33 28.567 -4 *1421:33 *1421:53 49.9663 -5 *1421:53 *1421:56 3.99036 -6 *1421:56 *25392:A2 13.8 -7 *1421:56 *1421:71 48.4643 -8 *1421:71 *25634:A 15.175 -9 *1421:33 *25280:A2 9.3 -10 *1421:9 *1421:93 27.8452 -11 *1421:93 *1421:100 48.0397 -12 *1421:100 *1421:106 7.91579 -13 *1421:106 *25220:B1 44.3893 -14 *1421:106 *1421:127 7.96429 -15 *1421:127 *25104:B1 41.6393 -16 *1421:127 *25291:B1 12.7107 -*END - -*D_NET *1422 0.0463206 -*CONN -*I *25371:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25252:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25840:A I *D sky130_fd_sc_hd__nand2_2 -*I *25332:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25103:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25191:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25101:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *25371:B1 0 -2 *25252:A2 2.78589e-05 -3 *25840:A 0 -4 *25332:B1 0.00011673 -5 *25103:A2 0.000171576 -6 *25191:B1 7.42653e-05 -7 *25101:Y 0.000514509 -8 *1422:115 0.00176479 -9 *1422:100 0.00277573 -10 *1422:75 0.00483446 -11 *1422:74 0.00501575 -12 *1422:55 0.000597358 -13 *1422:52 0.00315572 -14 *1422:28 0.00367585 -15 *1422:20 0.00148393 -16 *1422:7 0.0024079 -17 *25103:A2 *25103:B1 5.04841e-06 -18 *25103:A2 *25104:C1 9.41642e-05 -19 *25103:A2 *1423:37 2.14757e-05 -20 *25103:A2 *1435:34 0.000167258 -21 *25103:A2 *4013:26 0.000167258 -22 *25103:A2 *5630:110 0.000136958 -23 *25191:B1 *27909:A2 4.15592e-05 -24 *25191:B1 *3541:38 4.34648e-05 -25 *25191:B1 *4065:53 1.46617e-05 -26 *25191:B1 *4104:23 2.70032e-05 -27 *25191:B1 *5653:104 0.000112667 -28 *25332:B1 *1606:14 0.000213146 -29 *25332:B1 *5640:53 5.33005e-05 -30 *25332:B1 *6247:36 0.000211432 -31 *1422:20 *27910:B2 0.000139122 -32 *1422:20 *1448:46 0.000118482 -33 *1422:20 *3541:38 5.73777e-06 -34 *1422:20 *3713:119 0.00136056 -35 *1422:20 *3830:51 0.000136958 -36 *1422:20 *4142:56 6.57032e-05 -37 *1422:20 *4143:72 5.83233e-05 -38 *1422:20 *5634:170 9.98998e-06 -39 *1422:20 *5688:225 0.000959234 -40 *1422:20 *5688:242 0.000116131 -41 *1422:20 *5761:109 0.00010326 -42 *1422:20 *5761:122 0.000267565 -43 *1422:20 *5761:131 0.000115072 -44 *1422:20 *5841:8 0.000100823 -45 *1422:28 *25191:A1 4.00349e-05 -46 *1422:28 *27909:A2 0.000591283 -47 *1422:28 *27910:B2 0.000136331 -48 *1422:28 *27910:C1 0.000114854 -49 *1422:28 *3196:179 4.11218e-05 -50 *1422:28 *3216:163 4.15002e-05 -51 *1422:28 *3541:38 0.000133199 -52 *1422:28 *3713:94 0.000289878 -53 *1422:28 *3713:119 2.70725e-06 -54 *1422:28 *3947:61 1.94945e-05 -55 *1422:52 *27573:A1 4.47378e-05 -56 *1422:52 *27574:C1 0.000194013 -57 *1422:52 *27957:B2 0.000105225 -58 *1422:52 *1563:26 0.000387532 -59 *1422:52 *3209:117 0.000109263 -60 *1422:52 *3676:34 3.34295e-05 -61 *1422:52 *3832:43 3.85835e-05 -62 *1422:52 *3958:16 1.43864e-05 -63 *1422:52 *4036:40 6.891e-05 -64 *1422:52 *4065:53 0.000689908 -65 *1422:52 *4101:24 0.000428137 -66 *1422:52 *4113:116 0.000334127 -67 *1422:52 *4350:48 6.5919e-05 -68 *1422:52 *5630:110 9.39191e-05 -69 *1422:52 *5653:104 0.00136292 -70 *1422:55 *5667:25 0.000165123 -71 *1422:55 *5832:27 0.000340995 -72 *1422:74 *27961:A2 8.38612e-05 -73 *1422:74 *27964:B1 8.00806e-05 -74 *1422:74 *3480:23 0.000328478 -75 *1422:74 *3715:32 4.32957e-05 -76 *1422:74 *4035:52 0 -77 *1422:74 *5653:97 6.8646e-06 -78 *1422:74 *5656:174 8.41592e-05 -79 *1422:74 *5656:189 0.000138706 -80 *1422:74 *5667:25 0.000140512 -81 *1422:74 *5832:27 0.000497359 -82 *1422:75 *5590:14 0.0012413 -83 *1422:75 *5817:7 4.10926e-05 -84 *1422:75 *5839:47 0.000809913 -85 *1422:100 *25252:A1 0.00010326 -86 *1422:100 *25252:C1 0.000101545 -87 *1422:100 *3684:72 4.27437e-05 -88 *1422:100 *4101:22 0.000216755 -89 *1422:115 *30783:A 9.66977e-05 -90 *1422:115 *1571:5 0.00162046 -91 *1422:115 *4014:24 0.000197984 -92 *25371:A2 *1422:115 0.000103275 -93 *29683:A *1422:7 5.52238e-05 -94 *29683:A *1422:100 0.000872909 -95 *29802:A *1422:75 1.21258e-05 -96 *1228:30 *1422:75 8.83293e-05 -97 *1263:163 *1422:52 4.78573e-05 -98 *1288:20 *1422:75 0.000262679 -99 *1288:229 *1422:75 0.00120297 -100 *1362:48 *1422:7 0.000186546 -101 *1396:25 *1422:20 0.000237205 -102 *1419:11 *25332:B1 6.05161e-06 -*RES -1 *25101:Y *1422:7 15.9071 -2 *1422:7 *1422:20 43.0893 -3 *1422:20 *1422:28 17.4011 -4 *1422:28 *25191:B1 17.9815 -5 *1422:28 *1422:52 48.95 -6 *1422:52 *1422:55 7.91071 -7 *1422:55 *25103:A2 22.7464 -8 *1422:55 *1422:74 18.4286 -9 *1422:74 *1422:75 56.3929 -10 *1422:75 *25332:B1 21.6929 -11 *1422:20 *25840:A 13.8 -12 *1422:7 *1422:100 23.1339 -13 *1422:100 *25252:A2 9.8625 -14 *1422:100 *1422:115 43.5089 -15 *1422:115 *25371:B1 9.3 -*END - -*D_NET *1423 0.0419106 -*CONN -*I *25103:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25369:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25244:A2 I *D sky130_fd_sc_hd__a221o_2 -*I *25300:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25870:A I *D sky130_fd_sc_hd__nand2_4 -*I *25198:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25102:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *25103:B1 1.95707e-05 -2 *25369:B1 0.00023244 -3 *25244:A2 0.000100682 -4 *25300:A2 0.00046073 -5 *25870:A 0 -6 *25198:B1 0 -7 *25102:Y 0.000223328 -8 *1423:86 0.00243881 -9 *1423:71 0.00259723 -10 *1423:66 0.00195691 -11 *1423:54 0.00328862 -12 *1423:37 0.00338744 -13 *1423:13 0.00197742 -14 *1423:5 0.00374952 -15 *25244:A2 *25244:B1 0.000259113 -16 *25244:A2 *3896:86 0.000321845 -17 *25300:A2 *25300:B1 0.000240244 -18 *25300:A2 *25303:C 0.000126121 -19 *25300:A2 *28159:D 0.000136676 -20 *25300:A2 *1844:26 0.000145288 -21 *25300:A2 *3129:20 0.000144328 -22 *25300:A2 *3173:94 3.51409e-05 -23 *25300:A2 *3910:51 0.000145916 -24 *25300:A2 *5583:22 3.28686e-05 -25 *25369:B1 *25369:A1 3.45371e-05 -26 *1423:5 *4142:56 4.53889e-05 -27 *1423:5 *4142:62 2.47753e-05 -28 *1423:13 *25198:A1 5.52238e-05 -29 *1423:13 *27948:B2 6.05161e-06 -30 *1423:13 *27951:A1 0.000159071 -31 *1423:13 *27951:A2 5.33005e-05 -32 *1423:13 *27951:B1 5.64985e-05 -33 *1423:13 *27952:C1 0.000259549 -34 *1423:13 *3214:121 0.000154845 -35 *1423:13 *3689:17 0.000125355 -36 *1423:13 *3700:40 1.51571e-05 -37 *1423:13 *3711:79 0.000225693 -38 *1423:13 *3741:68 0.000221634 -39 *1423:13 *3949:28 5.33978e-05 -40 *1423:13 *4092:35 0.000262491 -41 *1423:13 *4142:56 9.70065e-05 -42 *1423:37 *25103:A1 2.59355e-05 -43 *1423:37 *25104:B2 0.00141505 -44 *1423:37 *27946:C1 9.50278e-05 -45 *1423:37 *27955:D 0.000130881 -46 *1423:37 *27958:C1 2.06112e-05 -47 *1423:37 *27961:B2 9.71646e-05 -48 *1423:37 *1435:33 1.00926e-05 -49 *1423:37 *1472:25 4.73891e-05 -50 *1423:37 *1488:14 0.000109425 -51 *1423:37 *3659:14 0.000263541 -52 *1423:37 *3715:32 8.94556e-05 -53 *1423:37 *4105:78 0.000672223 -54 *1423:37 *5196:25 4.79905e-05 -55 *1423:37 *5196:47 0.000862853 -56 *1423:37 *5614:88 2.06112e-05 -57 *1423:37 *5630:110 1.33343e-05 -58 *1423:37 *5823:51 5.46303e-05 -59 *1423:37 *5878:14 0.000244145 -60 *1423:54 *25103:A1 2.05612e-05 -61 *1423:54 *25869:A0 0 -62 *1423:54 *27574:A2 9.25014e-06 -63 *1423:54 *27577:A1 5.21937e-05 -64 *1423:54 *27577:B2 0.000111216 -65 *1423:54 *27961:B2 0.000339346 -66 *1423:54 *28997:A 0.00150169 -67 *1423:54 *1685:22 0.000140341 -68 *1423:54 *2864:203 4.4316e-05 -69 *1423:54 *2882:142 0.000201965 -70 *1423:54 *3156:162 0 -71 *1423:54 *3685:66 0.00010946 -72 *1423:54 *3765:30 0.000168747 -73 *1423:54 *3819:41 2.24813e-05 -74 *1423:54 *3936:51 0.000461848 -75 *1423:54 *4152:51 4.21517e-05 -76 *1423:54 *5707:9 1.24548e-05 -77 *1423:54 *5878:35 0.00027433 -78 *1423:66 *24879:A 0.000301896 -79 *1423:66 *25437:A1 0.000125555 -80 *1423:66 *28859:A 0.000476394 -81 *1423:66 *3724:6 5.80706e-06 -82 *1423:66 *3724:20 0.000199849 -83 *1423:66 *3871:50 7.40526e-05 -84 *1423:66 *3962:18 0.000731763 -85 *1423:66 *4152:51 0.00109276 -86 *1423:66 *5253:44 0.000234255 -87 *1423:66 *5707:9 0.000125047 -88 *1423:71 *25151:A1 8.55871e-05 -89 *1423:71 *27567:B1 9.91086e-05 -90 *1423:71 *1470:90 9.58126e-05 -91 *1423:71 *1603:36 9.06586e-05 -92 *1423:71 *3089:13 0.000144734 -93 *1423:71 *3984:33 0.000374039 -94 *1423:71 *4152:51 0.00146984 -95 *1423:71 *5253:44 0.0003458 -96 *1423:86 *25151:A1 0.000125355 -97 *1423:86 *25244:A1 0.000460607 -98 *1423:86 *27521:A2 0.000350022 -99 *1423:86 *1563:17 0.000113816 -100 *1423:86 *1844:26 0.000202144 -101 *1423:86 *2860:132 0.000344209 -102 *1423:86 *2866:167 1.09611e-05 -103 *1423:86 *2889:272 0.000119042 -104 *1423:86 *3101:17 1.09611e-05 -105 *1423:86 *3129:20 4.13617e-05 -106 *1423:86 *3666:68 0.000131537 -107 *1423:86 *3776:90 0.000103485 -108 *1423:86 *3897:48 5.96516e-05 -109 *1423:86 *3910:51 3.22033e-05 -110 *25103:A2 *25103:B1 5.04841e-06 -111 *25103:A2 *1423:37 2.14757e-05 -112 *25198:A2 *1423:13 0.0010554 -113 *25369:A2 *25369:B1 0.000204753 -114 *25416:A2 *1423:66 0.000129894 -115 *30227:A *25300:A2 5.33005e-05 -116 *1362:79 *1423:37 0.000121118 -117 *1399:24 *1423:54 4.36551e-06 -118 *1409:6 *1423:54 0.000533965 -*RES -1 *25102:Y *1423:5 11.8893 -2 *1423:5 *1423:13 42.2143 -3 *1423:13 *25198:B1 9.3 -4 *1423:5 *1423:37 33.2007 -5 *1423:37 *1423:54 29.4569 -6 *1423:54 *1423:66 31.0804 -7 *1423:66 *1423:71 25.6696 -8 *1423:71 *25870:A 9.3 -9 *1423:71 *1423:86 23.8268 -10 *1423:86 *25300:A2 33.2911 -11 *1423:86 *25244:A2 17.0054 -12 *1423:54 *25369:B1 16.8179 -13 *1423:37 *25103:B1 9.72857 -*END - -*D_NET *1424 0.00434437 -*CONN -*I *25104:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25103:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25104:C1 0.00180308 -2 *25103:X 0.00180308 -3 *25104:C1 *25082:A1 6.57032e-05 -4 *25104:C1 *25104:B2 0.000212934 -5 *25104:C1 *27961:A1 3.33263e-05 -6 *25104:C1 *27961:A2 5.33005e-05 -7 *25104:C1 *27961:B1 0.000257619 -8 *25104:C1 *5630:110 1.54142e-05 -9 *25104:C1 *5653:97 5.74499e-06 -10 *25103:A2 *25104:C1 9.41642e-05 -*RES -1 *25103:X *25104:C1 41.8857 -*END - -*D_NET *1425 0.000972793 -*CONN -*I *25108:C I *D sky130_fd_sc_hd__or4_1 -*I *25104:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25108:C 0.00021615 -2 *25104:X 0.00021615 -3 *25104:B1 *25108:C 6.12335e-05 -4 *25108:A *25108:C 0.000178847 -5 *1248:120 *25108:C 0.000300412 -*RES -1 *25104:X *25108:C 23.2607 -*END - -*D_NET *1426 0.0443534 -*CONN -*I *25107:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25105:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *25107:C1 0.00724599 -2 *25105:X 0.00544903 -3 *1426:14 0.012695 -4 *25107:C1 *25107:B1 5.55243e-05 -5 *25107:C1 *25107:B2 2.33041e-05 -6 *25107:C1 *1446:24 0.000185417 -7 *25107:C1 *1449:84 0.000677459 -8 *25107:C1 *1449:101 4.88584e-05 -9 *25107:C1 *1585:19 0.00213851 -10 *25107:C1 *1594:23 3.37696e-05 -11 *25107:C1 *1653:43 0.00047181 -12 *25107:C1 *1700:65 0.000378307 -13 *25107:C1 *2778:120 0.000205749 -14 *25107:C1 *2856:196 0.000778299 -15 *25107:C1 *3666:35 0.000102221 -16 *25107:C1 *3739:122 6.927e-05 -17 *25107:C1 *3867:80 0.00165657 -18 *25107:C1 *3871:51 1.21258e-05 -19 *25107:C1 *4088:56 0.000204709 -20 *25107:C1 *4129:77 0.000165754 -21 *25107:C1 *5621:34 0.00233359 -22 *25107:C1 *5713:37 0.00104342 -23 *25107:C1 *5795:96 8.80596e-06 -24 *25107:C1 *5861:52 0.00111495 -25 *25107:C1 *5869:85 2.98984e-05 -26 *25107:C1 *5936:70 0 -27 *1426:14 *1441:14 0 -28 *1426:14 *1594:23 0.000837811 -29 *1426:14 *1701:11 0.000109425 -30 *1426:14 *1704:26 0 -31 *1426:14 *4952:14 0 -32 *1426:14 *5718:77 0 -33 *25098:B *25107:C1 0 -34 *1218:37 *25107:C1 6.37848e-05 -35 *1218:46 *25107:C1 9.46556e-06 -36 *1218:46 *1426:14 0 -37 *1230:51 *25107:C1 3.21968e-05 -38 *1240:41 *1426:14 0.000515907 -39 *1242:61 *25107:C1 6.05161e-06 -40 *1243:58 *25107:C1 3.45481e-05 -41 *1248:14 *1426:14 0.000107149 -42 *1253:23 *25107:C1 0 -43 *1268:37 *25107:C1 0 -44 *1273:14 *1426:14 1.02969e-05 -45 *1274:14 *25107:C1 0 -46 *1282:119 *25107:C1 1.65282e-05 -47 *1328:22 *1426:14 0.000125724 -48 *1358:19 *25107:C1 0 -49 *1411:60 *25107:C1 0.000202651 -50 *1421:93 *25107:C1 0.00292398 -51 *1421:100 *25107:C1 0.0022295 -*RES -1 *25105:X *1426:14 33.041 -2 *1426:14 *25107:C1 48.8819 -*END - -*D_NET *1427 0.0945087 -*CONN -*I *6586:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25646:A I *D sky130_fd_sc_hd__and2_2 -*I *25107:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *6585:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25175:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25311:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25280:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25392:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25106:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *6586:DIODE 0 -2 *25646:A 0.000264851 -3 *25107:B1 0.000295911 -4 *6585:DIODE 0 -5 *25175:B1 6.61056e-05 -6 *25311:B1 0 -7 *25280:B1 8.00405e-05 -8 *25392:B1 2.23854e-05 -9 *25106:Y 0.000127024 -10 *1427:168 0.00149914 -11 *1427:161 0.00281486 -12 *1427:149 0.00279527 -13 *1427:143 0.00316251 -14 *1427:142 0.00285116 -15 *1427:119 0.00124528 -16 *1427:105 0.00203868 -17 *1427:104 0.00148455 -18 *1427:94 0.00212691 -19 *1427:71 0.00141275 -20 *1427:59 0.00391703 -21 *1427:41 0.00409122 -22 *1427:24 0.0042671 -23 *1427:11 0.000316363 -24 *1427:8 0.00184033 -25 *1427:7 0.00186468 -26 *25107:B1 *25107:A1 3.57366e-05 -27 *25107:B1 *25107:B2 5.7661e-06 -28 *25107:B1 *25334:B 9.35114e-06 -29 *25107:B1 *3857:80 4.82947e-05 -30 *25107:B1 *3871:51 2.13481e-06 -31 *25175:B1 *25185:B2 1.21258e-05 -32 *25175:B1 *25213:C1 9.41642e-05 -33 *25175:B1 *3874:41 8.84398e-05 -34 *25280:B1 *27540:A 0.000131291 -35 *25280:B1 *3779:45 3.37702e-05 -36 *25646:A *25646:B 0.000112829 -37 *1427:7 *28225:D 0.00018372 -38 *1427:8 *25392:B2 0.000243627 -39 *1427:8 *28140:D 0.000151009 -40 *1427:8 *28229:SET_B 0.000309572 -41 *1427:8 *28236:D 0.00031345 -42 *1427:8 *28285:RESET_B 0.000181209 -43 *1427:8 *28714:CLK 0.000193833 -44 *1427:8 *29178:A 0.000150618 -45 *1427:8 *3653:122 0.00108669 -46 *1427:8 *3879:9 8.13847e-05 -47 *1427:8 *3879:18 0.000171375 -48 *1427:8 *3880:11 0.000138015 -49 *1427:8 *5691:341 0 -50 *1427:8 *5705:36 0.000432538 -51 *1427:8 *5705:44 3.50805e-05 -52 *1427:8 *5731:62 4.66203e-05 -53 *1427:8 *5731:64 0.000189588 -54 *1427:8 *5731:85 0.000144079 -55 *1427:8 *5778:31 0 -56 *1427:8 *5778:37 0.000886342 -57 *1427:8 *5778:39 0.000216538 -58 *1427:11 *25392:B2 9.60939e-05 -59 *1427:11 *3866:20 4.13496e-05 -60 *1427:24 *25670:B 0.00012401 -61 *1427:24 *3866:20 1.21258e-05 -62 *1427:41 *25393:C1 0.00244257 -63 *1427:41 *25637:A0 0.00022459 -64 *1427:41 *27491:A1 0.000936956 -65 *1427:41 *27491:B2 1.39702e-05 -66 *1427:41 *27531:B2 0.000223885 -67 *1427:41 *27854:B2 0 -68 *1427:41 *2834:68 0 -69 *1427:41 *2834:97 1.90936e-05 -70 *1427:41 *3168:83 2.04825e-05 -71 *1427:41 *3216:99 6.84285e-05 -72 *1427:41 *3713:63 1.19146e-05 -73 *1427:41 *3856:26 0.000811731 -74 *1427:41 *3895:88 0 -75 *1427:59 *25391:B2 1.97731e-05 -76 *1427:59 *25393:C1 0.000694641 -77 *1427:59 *27541:A2 0.000301438 -78 *1427:59 *27883:A1 4.15526e-05 -79 *1427:59 *1449:121 7.80417e-05 -80 *1427:59 *2834:59 0.00172246 -81 *1427:59 *5629:71 4.00349e-05 -82 *1427:71 *25311:C1 4.58194e-05 -83 *1427:71 *27902:A2 0.000254542 -84 *1427:71 *1442:14 0.000676446 -85 *1427:71 *1452:13 7.55747e-05 -86 *1427:71 *1587:36 2.56047e-05 -87 *1427:71 *3173:35 0.000549576 -88 *1427:71 *3880:50 6.26774e-06 -89 *1427:71 *4088:65 7.40571e-05 -90 *1427:71 *5491:44 0.00170347 -91 *1427:71 *5591:53 4.09607e-05 -92 *1427:94 *1470:64 0.000470107 -93 *1427:94 *1899:13 4.65519e-05 -94 *1427:94 *2855:39 0.000262076 -95 *1427:94 *2867:99 0.000512084 -96 *1427:94 *3192:60 0.000108103 -97 *1427:94 *3841:55 1.09611e-05 -98 *1427:94 *3921:54 6.5919e-05 -99 *1427:94 *5491:44 0.000254694 -100 *1427:94 *5666:216 0.000211178 -101 *1427:94 *5738:130 2.59024e-05 -102 *1427:94 *5936:70 0.000569597 -103 *1427:104 *1644:36 0.000236939 -104 *1427:104 *3182:55 0.000177545 -105 *1427:104 *3699:52 0.00057977 -106 *1427:104 *3814:69 8.55871e-05 -107 *1427:104 *3868:62 1.13265e-05 -108 *1427:105 *1440:19 0.000133616 -109 *1427:105 *2867:120 0.000117161 -110 *1427:105 *3790:63 0.00254949 -111 *1427:119 *2867:120 0.000121549 -112 *1427:119 *3790:63 0.00216919 -113 *1427:119 *3857:80 4.58194e-05 -114 *1427:142 *25670:B 0.000755626 -115 *1427:142 *25945:A1 0.000600018 -116 *1427:142 *28162:D 0.000128617 -117 *1427:142 *3750:20 2.68583e-05 -118 *1427:142 *3866:35 0.000225513 -119 *1427:142 *4140:61 0.000138096 -120 *1427:142 *4140:66 1.34343e-05 -121 *1427:142 *5705:5 4.18895e-05 -122 *1427:142 *5705:14 0.000136958 -123 *1427:142 *6036:27 0.000490107 -124 *1427:143 *28163:RESET_B 0.000420553 -125 *1427:143 *28330:CLK 0.000187839 -126 *1427:143 *1739:34 1.90936e-05 -127 *1427:143 *1748:20 6.32026e-05 -128 *1427:143 *1833:43 0.00251505 -129 *1427:143 *3165:312 5.83707e-05 -130 *1427:143 *3165:314 4.98055e-06 -131 *1427:143 *3196:143 0.000996688 -132 *1427:143 *3196:145 9.32873e-06 -133 *1427:143 *3750:16 0.00172258 -134 *1427:143 *4127:32 0 -135 *1427:143 *4877:10 0.000118026 -136 *1427:143 *5253:28 0.000927651 -137 *1427:143 *5699:41 0.000171415 -138 *1427:143 *5699:66 0 -139 *1427:149 *27921:A2 0.000260625 -140 *1427:149 *28334:D 0.000170503 -141 *1427:149 *1744:112 1.90936e-05 -142 *1427:149 *3196:145 2.55301e-05 -143 *1427:149 *3871:17 9.01053e-05 -144 *1427:149 *3871:32 0.000414897 -145 *1427:149 *3871:73 0.000517565 -146 *1427:149 *4065:10 0.000939701 -147 *1427:149 *4065:21 0.000267838 -148 *1427:149 *5077:11 0.000193118 -149 *1427:149 *5209:39 0.000763636 -150 *1427:149 *5686:100 0.000126439 -151 *1427:149 *5712:30 0.000294872 -152 *1427:161 *25448:A1 6.77187e-05 -153 *1427:161 *25449:A0 0.000475683 -154 *1427:161 *25449:A1 0.000639569 -155 *1427:161 *25449:S 0.000134539 -156 *1427:161 *25639:A0 8.25843e-06 -157 *1427:161 *25639:A1 0.000201641 -158 *1427:161 *25646:B 8.83695e-05 -159 *1427:161 *28282:CLK 4.87854e-05 -160 *1427:161 *30781:A 5.33433e-05 -161 *1427:161 *1744:5 0.000227526 -162 *1427:161 *1829:16 9.60875e-05 -163 *1427:161 *1829:25 0.000596338 -164 *1427:161 *3165:322 0.000110029 -165 *1427:161 *3626:87 4.43256e-05 -166 *1427:161 *4156:60 4.89138e-05 -167 *1427:161 *4195:105 6.60111e-05 -168 *1427:161 *5686:105 3.98431e-05 -169 *1427:161 *5797:11 1.08359e-05 -170 *1427:168 *25072:A1 0.000317889 -171 *1427:168 *25646:B 5.33005e-05 -172 *1427:168 *27975:A0 2.01732e-05 -173 *1427:168 *28043:B 0 -174 *1427:168 *5575:30 9.92246e-05 -175 *1427:168 *5742:213 6.84249e-05 -176 *25072:A0 *1427:168 0.000129624 -177 *25073:A0 *1427:168 2.35971e-05 -178 *25107:C1 *25107:B1 5.55243e-05 -179 *25279:A2 *1427:59 0.000359669 -180 *25393:A2 *1427:59 0.00147432 -181 *29170:A *1427:142 6.15485e-05 -182 *29363:A *1427:143 0.00011594 -183 *29374:A *1427:142 0.00012501 -184 *29455:A *1427:149 0.000128154 -185 *440:29 *1427:161 2.72128e-05 -186 *499:10 *1427:143 0.000206593 -187 *1173:130 *1427:168 0 -188 *1191:85 *1427:168 1.89799e-05 -189 *1239:14 *1427:94 0.0011438 -190 *1239:14 *1427:104 0.00029686 -191 *1242:61 *25107:B1 8.25843e-06 -192 *1250:187 *1427:71 3.90079e-06 -193 *1252:26 *1427:105 0.000233339 -194 *1252:37 *1427:105 2.22494e-05 -195 *1257:14 *1427:105 0.000510634 -196 *1257:14 *1427:119 0.00217124 -197 *1273:81 *1427:94 0.000438802 -198 *1273:81 *1427:104 2.24329e-05 -199 *1395:108 *1427:105 0.00138608 -200 *1396:64 *1427:143 2.31704e-05 -201 *1396:64 *1427:149 0.000440635 -202 *1407:29 *1427:104 0.000578123 -203 *1407:36 *1427:71 5.41794e-05 -204 *1407:36 *1427:94 0.00024703 -205 *1421:53 *1427:41 0.00204741 -206 *1421:53 *1427:59 0.000111922 -207 *1421:71 *1427:24 0.00012401 -208 *1421:71 *1427:142 6.98302e-05 -*RES -1 *25106:Y *1427:7 15.5679 -2 *1427:7 *1427:8 52.3393 -3 *1427:8 *1427:11 6.26786 -4 *1427:11 *25392:B1 9.72857 -5 *1427:11 *1427:24 7.92857 -6 *1427:24 *1427:41 31.7833 -7 *1427:41 *25280:B1 19.0046 -8 *1427:41 *1427:59 33.2083 -9 *1427:59 *1427:71 45.1964 -10 *1427:71 *25311:B1 9.3 -11 *1427:59 *1427:94 47.558 -12 *1427:94 *1427:104 23.2411 -13 *1427:104 *1427:105 33.8214 -14 *1427:105 *25175:B1 15.4786 -15 *1427:105 *1427:119 34.4107 -16 *1427:119 *6585:DIODE 9.3 -17 *1427:119 *25107:B1 13.3357 -18 *1427:24 *1427:142 35.2321 -19 *1427:142 *1427:143 58.2054 -20 *1427:143 *1427:149 42.5089 -21 *1427:149 *1427:161 48.5261 -22 *1427:161 *1427:168 11.2918 -23 *1427:168 *25646:A 13.8714 -24 *1427:168 *6586:DIODE 9.3 -*END - -*D_NET *1428 0.00217876 -*CONN -*I *25108:D I *D sky130_fd_sc_hd__or4_1 -*I *25107:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25108:D 0.00036368 -2 *25107:X 0.00036368 -3 *25108:D *25107:A1 4.16984e-05 -4 *25108:D *1451:26 6.05161e-06 -5 *25108:D *1631:16 0.000701826 -6 *25108:D *4022:75 0.000701826 -*RES -1 *25107:X *25108:D 38.1893 -*END - -*D_NET *1429 0.009312 -*CONN -*I *25167:B I *D sky130_fd_sc_hd__or3_2 -*I *25108:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *25167:B 0.00125437 -2 *25108:X 0.00153361 -3 *1429:18 0.00278798 -4 *25167:B *1488:14 9.41642e-05 -5 *25167:B *1511:18 2.06112e-05 -6 *25167:B *1627:12 0.000141725 -7 *25167:B *4088:56 0.000564209 -8 *25167:B *4199:12 0.000244372 -9 *1429:18 *24896:B 7.90785e-05 -10 *1429:18 *24898:B 0.000419287 -11 *1429:18 *24901:B 4.31525e-05 -12 *1429:18 *25107:A1 0 -13 *1429:18 *1627:12 0.000677492 -14 *1429:18 *1652:18 0.000256622 -15 *1429:18 *1693:18 0.000165866 -16 *1429:18 *4022:75 6.57032e-05 -17 *1429:18 *4088:56 1.4901e-05 -18 *1429:18 *5860:26 5.90911e-05 -19 *1429:18 *5860:33 0.000170298 -20 *24923:A *1429:18 0.000113045 -21 *25104:B1 *1429:18 0.000351112 -22 *1185:102 *1429:18 4.58576e-05 -23 *1284:49 *25167:B 0.000209448 -*RES -1 *25108:X *1429:18 49.6214 -2 *1429:18 *25167:B 32.55 -*END - -*D_NET *1430 0.103256 -*CONN -*I *25400:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *28016:A I *D sky130_fd_sc_hd__nand2_2 -*I *25267:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25323:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25210:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25110:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25109:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25400:B1 0 -2 *28016:A 2.37855e-05 -3 *25267:A2 0.00118313 -4 *25323:B1 0.00198103 -5 *25210:B1 4.70553e-05 -6 *25110:B1 4.82983e-05 -7 *25109:Y 0.0001086 -8 *1430:165 0.00293664 -9 *1430:90 0.001676 -10 *1430:88 0.00201888 -11 *1430:76 0.00162019 -12 *1430:65 0.00197139 -13 *1430:59 0.00921077 -14 *1430:50 0.00881476 -15 *1430:46 0.00387067 -16 *1430:43 0.00385975 -17 *1430:36 0.00392005 -18 *1430:29 0.00203827 -19 *1430:9 0.00459222 -20 *25110:B1 *25110:B2 5.33005e-05 -21 *25110:B1 *25111:C1 3.97677e-05 -22 *25110:B1 *3689:57 0.000135028 -23 *25210:B1 *25210:A1 6.54603e-05 -24 *25210:B1 *25210:B2 5.05761e-05 -25 *25210:B1 *1603:15 7.09477e-05 -26 *25267:A2 *25267:B2 0.000335799 -27 *25267:A2 *27156:A0 0.000178847 -28 *25267:A2 *27507:A2 4.25523e-05 -29 *25267:A2 *3804:58 0.000290986 -30 *25267:A2 *3908:35 0.000137983 -31 *25267:A2 *3908:66 4.27935e-05 -32 *25267:A2 *3918:41 7.09433e-05 -33 *25267:A2 *3983:7 0.000177815 -34 *25267:A2 *4139:28 0.000645043 -35 *25267:A2 *4140:24 0.000601821 -36 *25323:B1 *25313:B 6.53397e-05 -37 *25323:B1 *1470:64 8.92267e-05 -38 *25323:B1 *1476:143 0.000508437 -39 *25323:B1 *3220:51 1.65169e-05 -40 *25323:B1 *3919:82 0.000347807 -41 *25323:B1 *4186:20 0.000345208 -42 *25323:B1 *5600:37 0.0012868 -43 *1430:9 *5710:206 0.000178425 -44 *1430:29 *28017:A1 4.08637e-05 -45 *1430:29 *28019:A0 0.000245378 -46 *1430:29 *28019:A1 5.52002e-05 -47 *1430:29 *28832:CLK 4.22135e-06 -48 *1430:29 *28834:D 6.52495e-05 -49 *1430:29 *28836:D 2.59355e-05 -50 *1430:29 *30556:A 0.000468243 -51 *1430:29 *1457:51 0.000117993 -52 *1430:29 *1831:18 3.56987e-05 -53 *1430:29 *3062:10 0.000238053 -54 *1430:29 *3805:47 0.00220832 -55 *1430:29 *3983:24 6.30931e-05 -56 *1430:29 *4336:11 0.0021132 -57 *1430:29 *5493:14 2.49987e-05 -58 *1430:29 *5493:18 6.02783e-05 -59 *1430:29 *5731:8 0 -60 *1430:29 *5731:14 0 -61 *1430:29 *5738:37 0.000242354 -62 *1430:36 *28017:A1 0.00035074 -63 *1430:36 *28836:D 0.000162694 -64 *1430:36 *1457:51 2.28499e-05 -65 *1430:36 *1600:9 2.42516e-05 -66 *1430:36 *3814:28 4.93094e-05 -67 *1430:36 *3815:26 0.000221546 -68 *1430:36 *4139:28 0.000379995 -69 *1430:36 *5691:284 0.000133628 -70 *1430:36 *5691:325 0.000316377 -71 *1430:36 *5711:39 0.000108778 -72 *1430:43 *25832:A0 2.47753e-05 -73 *1430:43 *28018:A0 2.48109e-05 -74 *1430:43 *28178:D 5.52238e-05 -75 *1430:43 *28714:CLK 5.90774e-05 -76 *1430:43 *28714:D 1.84523e-06 -77 *1430:43 *28714:RESET_B 0.000604872 -78 *1430:43 *4139:28 1.98839e-05 -79 *1430:43 *5705:227 2.20729e-05 -80 *1430:46 *25556:A0 0 -81 *1430:46 *25557:A0 0 -82 *1430:46 *28178:D 0 -83 *1430:46 *28184:CLK 0 -84 *1430:46 *28184:D 9.23172e-05 -85 *1430:46 *28212:CLK 0.000173097 -86 *1430:46 *28212:D 6.09572e-05 -87 *1430:46 *28218:D 0.000153172 -88 *1430:46 *29599:A 0.000163999 -89 *1430:46 *4183:13 0 -90 *1430:46 *4183:22 0 -91 *1430:46 *4183:24 0 -92 *1430:46 *6014:20 0 -93 *1430:46 *6014:26 0 -94 *1430:46 *6058:26 0 -95 *1430:46 *6092:18 0 -96 *1430:46 *6103:20 0 -97 *1430:50 *25546:A1 0 -98 *1430:50 *28145:D 0.000100831 -99 *1430:50 *28146:CLK 0.000164023 -100 *1430:50 *28146:D 0.00026932 -101 *1430:50 *28198:D 0 -102 *1430:50 *28201:CLK 6.42242e-05 -103 *1430:50 *28201:D 0.000484571 -104 *1430:50 *1810:36 0 -105 *1430:50 *4183:8 0 -106 *1430:50 *4183:13 0 -107 *1430:50 *4188:20 0 -108 *1430:50 *5386:8 2.11419e-05 -109 *1430:50 *5457:21 2.06178e-05 -110 *1430:50 *6058:26 7.63083e-05 -111 *1430:59 *25642:A0 0.000266501 -112 *1430:59 *28146:CLK 2.79736e-05 -113 *1430:59 *28179:D 0.000126413 -114 *1430:59 *28327:CLK 0.000412364 -115 *1430:59 *1749:21 0 -116 *1430:59 *1864:49 5.59988e-05 -117 *1430:59 *2882:114 8.69554e-05 -118 *1430:59 *3197:138 0.000215596 -119 *1430:59 *3205:83 8.47138e-05 -120 *1430:59 *3209:85 1.9619e-05 -121 *1430:59 *3605:47 0.000163382 -122 *1430:59 *4186:20 0.00100222 -123 *1430:59 *4195:179 0.000346684 -124 *1430:59 *5653:61 0.000339774 -125 *1430:59 *5706:159 0.000957689 -126 *1430:59 *5706:176 0.000792733 -127 *1430:59 *5742:38 0.000106928 -128 *1430:59 *5742:43 1.01912e-05 -129 *1430:65 *25179:B2 0.00032674 -130 *1430:65 *25210:A1 7.14469e-05 -131 *1430:65 *25210:B2 7.95355e-05 -132 *1430:65 *25210:C1 9.60939e-05 -133 *1430:65 *1603:15 0.000132889 -134 *1430:65 *3176:175 0.000364662 -135 *1430:65 *3215:71 2.09897e-05 -136 *1430:65 *3469:29 0.00123442 -137 *1430:65 *3482:19 6.67859e-05 -138 *1430:65 *3830:88 9.82592e-05 -139 *1430:65 *5685:35 0.000376051 -140 *1430:76 *25122:C1 0.000224406 -141 *1430:76 *1440:85 0.000223608 -142 *1430:76 *1442:14 0.00130382 -143 *1430:76 *3220:44 6.1826e-05 -144 *1430:76 *3920:83 3.68776e-05 -145 *1430:76 *3920:88 0.00180305 -146 *1430:76 *3962:61 0.000101545 -147 *1430:88 *27304:C1 0.00060074 -148 *1430:88 *1460:25 0.000124677 -149 *1430:88 *3699:24 1.02863e-05 -150 *1430:88 *5626:29 0.000305626 -151 *1430:90 *25104:B2 0.000243101 -152 *1430:90 *25111:A1 9.25014e-06 -153 *1430:90 *2851:24 0.00024721 -154 *1430:90 *3685:80 7.6644e-05 -155 *1430:90 *3699:24 0.000936419 -156 *1430:90 *3790:63 9.90115e-06 -157 *1430:90 *3790:69 0.000243631 -158 *1430:90 *3790:71 0.000402583 -159 *1430:90 *5605:127 7.6644e-05 -160 *1430:90 *5649:176 0.000136517 -161 *1430:90 *5795:106 0.000193833 -162 *1430:90 *5845:25 2.06112e-05 -163 *1430:165 *25594:A0 0.000260214 -164 *1430:165 *1452:27 0.00043925 -165 *1430:165 *1452:35 0.00298354 -166 *1430:165 *2839:73 0.00100832 -167 *1430:165 *5599:125 2.06112e-05 -168 *1430:165 *5685:122 3.67437e-05 -169 *1430:165 *5691:176 1.90936e-05 -170 *1430:165 *6332:39 3.55091e-05 -171 *24892:B *1430:76 0.000300412 -172 *25081:A2 *1430:76 4.98055e-06 -173 *25122:A2 *1430:88 5.52302e-05 -174 *25152:B *1430:90 0.00131706 -175 *25210:A2 *25210:B1 2.21417e-05 -176 *25210:A2 *1430:76 0 -177 *25404:B1 *1430:165 7.98573e-05 -178 *29221:A *1430:43 9.90431e-05 -179 *29259:A *1430:46 0.000288478 -180 *29937:A *1430:46 6.42242e-05 -181 *30506:A *1430:50 2.86815e-05 -182 *30506:A *1430:59 6.96847e-05 -183 *30571:A *1430:29 8.04009e-05 -184 *460:33 *1430:165 0 -185 *719:17 *1430:50 0.000238357 -186 *759:16 *1430:165 0.000234046 -187 *1239:13 *1430:90 0.000264386 -188 *1239:14 *1430:88 0.000646388 -189 *1239:14 *1430:90 0.00173286 -190 *1251:29 *25323:B1 0.00113422 -191 *1251:29 *1430:59 0.00440392 -192 *1263:122 *1430:29 0.000162571 -193 *1273:203 *1430:76 0.00012378 -194 *1281:64 *25323:B1 6.47405e-05 -195 *1284:49 *1430:90 0.0011203 -196 *1294:64 *1430:165 4.98422e-05 -197 *1327:116 *1430:165 0.000295185 -198 *1360:20 *1430:65 0.000152163 -199 *1360:95 *1430:90 7.90803e-05 -200 *1400:30 *1430:76 4.24961e-05 -201 *1400:40 *1430:76 4.1331e-06 -202 *1401:109 *1430:76 1.34631e-05 -203 *1401:117 *1430:76 8.2018e-05 -204 *1408:21 *1430:165 0.000111922 -205 *1408:71 *1430:165 0.000887804 -206 *1408:97 *1430:165 0.00219118 -207 *1408:103 *1430:165 0.000180356 -208 *1420:40 *25323:B1 0.000415358 -*RES -1 *25109:Y *1430:9 15.9964 -2 *1430:9 *1430:29 38.1811 -3 *1430:29 *1430:36 23.5714 -4 *1430:36 *1430:43 36.3929 -5 *1430:43 *1430:46 31.9911 -6 *1430:46 *1430:50 43.7857 -7 *1430:50 *1430:59 29.643 -8 *1430:59 *1430:65 29.9011 -9 *1430:65 *1430:76 46.2321 -10 *1430:76 *1430:88 21.0089 -11 *1430:88 *1430:90 53.25 -12 *1430:90 *25110:B1 15.1571 -13 *1430:65 *25210:B1 11.3893 -14 *1430:59 *25323:B1 42.7723 -15 *1430:36 *25267:A2 49.05 -16 *1430:29 *28016:A 9.72857 -17 *1430:9 *1430:165 41.4253 -18 *1430:165 *25400:B1 9.3 -*END - -*D_NET *1431 0.00208919 -*CONN -*I *25111:C1 I *D sky130_fd_sc_hd__a221o_2 -*I *25110:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25111:C1 0.0003742 -2 *25110:X 0.0003742 -3 *25111:C1 *25110:A1 4.10926e-05 -4 *25111:C1 *25110:B2 0.000260574 -5 *25111:C1 *25111:A1 6.74077e-05 -6 *25111:C1 *25111:A2 0.000115045 -7 *25111:C1 *25123:A 9.41642e-05 -8 *25111:C1 *1448:100 5.8945e-05 -9 *25111:C1 *3564:103 4.28381e-05 -10 *25111:C1 *3689:57 4.09905e-05 -11 *25111:C1 *3698:81 0.000237663 -12 *25111:C1 *5605:127 0.000342302 -13 *25110:B1 *25111:C1 3.97677e-05 -*RES -1 *25110:X *25111:C1 28.6179 -*END - -*D_NET *1432 0.00171549 -*CONN -*I *25123:A I *D sky130_fd_sc_hd__or4_2 -*I *25111:X O *D sky130_fd_sc_hd__a221o_2 -*CAP -1 *25123:A 0.000229139 -2 *25111:X 0.000229139 -3 *25123:A *1685:40 0.000112926 -4 *25123:A *1685:44 0.000330349 -5 *25123:A *2851:6 2.2628e-05 -6 *25123:A *2851:24 0.000407474 -7 *25123:A *3698:81 9.41642e-05 -8 *25123:A *5590:47 8.78763e-05 -9 *25111:C1 *25123:A 9.41642e-05 -10 *1327:28 *25123:A 0.000107625 -*RES -1 *25111:X *25123:A 35.6536 -*END - -*D_NET *1433 0.0291142 -*CONN -*I *25115:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25112:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25115:C1 0.000101106 -2 *25112:X 0.000812423 -3 *1433:34 0.00215215 -4 *1433:29 0.00324698 -5 *1433:23 0.00342874 -6 *1433:10 0.00304522 -7 *25115:C1 *25115:A1 3.04311e-05 -8 *25115:C1 *25115:B1 1.64182e-05 -9 *25115:C1 *25115:B2 2.84269e-05 -10 *1433:10 *28706:SET_B 0.000226469 -11 *1433:10 *2780:261 0.000582025 -12 *1433:10 *4083:20 0.000135028 -13 *1433:10 *5914:43 2.57487e-05 -14 *1433:10 *5914:48 9.3111e-05 -15 *1433:23 *27005:A1 2.30314e-05 -16 *1433:23 *27400:B2 4.73891e-05 -17 *1433:23 *27404:A2 3.25412e-06 -18 *1433:23 *27605:A2 0.00271906 -19 *1433:23 *28706:SET_B 0.000632428 -20 *1433:23 *2780:259 0.000606286 -21 *1433:23 *2780:261 0.000223709 -22 *1433:23 *3581:118 2.63501e-05 -23 *1433:23 *3590:8 0.000339081 -24 *1433:23 *3590:10 0.00017309 -25 *1433:23 *3590:12 0.00032469 -26 *1433:23 *3590:45 0.000393374 -27 *1433:23 *3901:63 0.000247229 -28 *1433:23 *3953:28 0.000490437 -29 *1433:23 *4076:48 0.000860376 -30 *1433:23 *5644:237 0.00122723 -31 *1433:23 *5833:191 9.30065e-05 -32 *1433:23 *5836:300 0.000211593 -33 *1433:23 *6258:12 6.27665e-05 -34 *1433:29 *27401:A1 1.34631e-05 -35 *1433:29 *27401:A2 0.000248333 -36 *1433:29 *27402:A1 2.89016e-05 -37 *1433:29 *27402:B2 9.28722e-05 -38 *1433:29 *27402:C1 5.96516e-05 -39 *1433:29 *1834:61 0.000402328 -40 *1433:29 *3784:54 7.13415e-05 -41 *1433:29 *3953:28 0.000894617 -42 *1433:29 *5587:44 4.07472e-05 -43 *1433:29 *5644:237 0.00018978 -44 *1433:29 *5661:245 3.58774e-05 -45 *1433:34 *25368:B1 7.6644e-05 -46 *1433:34 *25925:A0 5.33005e-05 -47 *1433:34 *25925:A1 9.77414e-05 -48 *1433:34 *25925:S 1.5424e-05 -49 *1433:34 *1463:32 0.00147355 -50 *1433:34 *2772:91 0.000224236 -51 *1433:34 *3215:248 0.00016769 -52 *1433:34 *3484:20 0.000155812 -53 *1433:34 *3762:29 0.000691741 -54 *1433:34 *3784:54 0.000264333 -55 *1433:34 *4014:18 0.000176234 -56 *1433:34 *5909:44 0.000307204 -57 *29358:A *1433:23 0.000313845 -58 *30316:A *1433:10 0.000128154 -59 *1292:81 *1433:29 7.25749e-05 -60 *1293:129 *1433:23 0.00018917 -*RES -1 *25112:X *1433:10 29.8268 -2 *1433:10 *1433:23 47.3295 -3 *1433:23 *1433:29 30.2946 -4 *1433:29 *1433:34 45.75 -5 *1433:34 *25115:C1 15.5857 -*END - -*D_NET *1434 0.0349939 -*CONN -*I *25254:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25882:A I *D sky130_fd_sc_hd__and2_1 -*I *25203:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25368:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25115:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25328:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25113:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *25254:B1 0.000179345 -2 *25882:A 7.85451e-05 -3 *25203:B1 0.000970765 -4 *25368:B1 0.000338549 -5 *25115:A2 0.00019833 -6 *25328:A2 6.7484e-05 -7 *25113:Y 0.000119291 -8 *1434:76 0.00476202 -9 *1434:47 0.00436573 -10 *1434:33 0.00251498 -11 *1434:20 0.00281437 -12 *1434:17 0.000964902 -13 *1434:8 0.000317229 -14 *25115:A2 *25115:B1 1.46576e-05 -15 *25115:A2 *27002:A0 4.89264e-05 -16 *25115:A2 *28579:D 5.7248e-05 -17 *25115:A2 *1435:81 3.17148e-05 -18 *25115:A2 *1435:98 0.000241665 -19 *25115:A2 *1463:32 0.000652566 -20 *25115:A2 *4027:11 3.28534e-05 -21 *25203:B1 *25203:B2 3.84301e-05 -22 *25203:B1 *27403:A2 2.36643e-05 -23 *25203:B1 *27756:A1 1.01912e-05 -24 *25203:B1 *27756:A2 0.00014008 -25 *25203:B1 *27756:C1 2.79092e-05 -26 *25203:B1 *1462:10 9.41091e-05 -27 *25203:B1 *2852:161 8.44271e-06 -28 *25203:B1 *3809:32 0.00134605 -29 *25203:B1 *3849:30 0.000207145 -30 *25203:B1 *5584:162 0.000260152 -31 *25203:B1 *5587:87 0.000219289 -32 *25203:B1 *5627:139 0.000278062 -33 *25203:B1 *5650:285 6.27272e-06 -34 *25203:B1 *5650:297 8.42006e-05 -35 *25203:B1 *5688:53 5.02687e-06 -36 *25254:B1 *25204:B1 9.17004e-05 -37 *25254:B1 *25254:A1 5.33005e-05 -38 *25254:B1 *25254:B2 1.28809e-05 -39 *25328:A2 *25204:B1 0.000117533 -40 *25328:A2 *25328:A1 0.000183713 -41 *25368:B1 *25368:A1 9.58181e-05 -42 *25368:B1 *1447:78 1.32091e-05 -43 *25368:B1 *3484:20 7.83659e-05 -44 *25368:B1 *3753:75 2.2549e-05 -45 *25882:A *3775:20 5.86416e-05 -46 *25882:A *5669:43 9.71197e-05 -47 *25882:A *5824:5 9.71197e-05 -48 *25882:A *6036:46 0.000114759 -49 *1434:8 *3763:14 3.17148e-05 -50 *1434:8 *3763:18 0.000342502 -51 *1434:8 *5719:38 0.000195481 -52 *1434:17 *25204:B1 0.000114587 -53 *1434:20 *3753:26 0.000727913 -54 *1434:20 *3775:20 5.2861e-05 -55 *1434:20 *3775:28 0.000238136 -56 *1434:20 *5688:205 0.000246064 -57 *1434:20 *6036:46 0.00178458 -58 *1434:33 *28371:D 0.000105569 -59 *1434:33 *28579:CLK 0.000189564 -60 *1434:33 *1464:38 0.000135028 -61 *1434:33 *1871:24 2.03486e-05 -62 *1434:33 *3576:99 0.000771386 -63 *1434:33 *3576:111 0.000345257 -64 *1434:33 *3762:8 0.000221641 -65 *1434:47 *28579:D 7.5779e-05 -66 *1434:47 *1463:32 0.00043608 -67 *1434:47 *4027:11 0.000113369 -68 *1434:76 *25144:A1 0 -69 *1434:76 *25917:A1 0.000162476 -70 *1434:76 *25925:A1 0.000342495 -71 *1434:76 *27403:A1 0.000109831 -72 *1434:76 *27763:A1 3.96282e-05 -73 *1434:76 *30182:A 0.000236799 -74 *1434:76 *1447:78 0.000149762 -75 *1434:76 *1463:32 0.000599538 -76 *1434:76 *1509:10 0.000136735 -77 *1434:76 *2780:223 0.000632898 -78 *1434:76 *2891:236 0.000252449 -79 *1434:76 *3686:83 0.000264018 -80 *1434:76 *3725:18 1.76266e-05 -81 *1434:76 *4027:11 0.00145446 -82 *1434:76 *4040:20 0 -83 *1434:76 *5613:22 0 -84 *1434:76 *5613:46 0.000208166 -85 *1434:76 *5628:161 0.00119769 -86 *1434:76 *5645:156 0.000175021 -87 *1434:76 *5650:285 4.00349e-05 -88 *1434:76 *5891:18 8.98407e-05 -89 *29856:A *25115:A2 7.48356e-05 -90 *29856:A *1434:47 2.82057e-05 -91 *1433:34 *25368:B1 7.6644e-05 -*RES -1 *25113:Y *1434:8 22.9786 -2 *1434:8 *25328:A2 11.4786 -3 *1434:8 *1434:17 2.60714 -4 *1434:17 *1434:20 27.6964 -5 *1434:20 *1434:33 36.1071 -6 *1434:33 *25115:A2 22.2687 -7 *1434:33 *1434:47 6.55357 -8 *1434:47 *25368:B1 27.3893 -9 *1434:47 *1434:76 49.2082 -10 *1434:76 *25203:B1 41.5143 -11 *1434:20 *25882:A 16.3893 -12 *1434:17 *25254:B1 12.3 -*END - -*D_NET *1435 0.0526716 -*CONN -*I *25250:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25382:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25924:A I *D sky130_fd_sc_hd__nand2_2 -*I *25115:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25173:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25300:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25114:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *25250:B1 0.000104148 -2 *25382:B1 1.79444e-05 -3 *25924:A 0.000785262 -4 *25115:B1 0.000126049 -5 *25173:B1 6.39709e-05 -6 *25300:B1 0.00103566 -7 *25114:Y 0 -8 *1435:143 0.00167391 -9 *1435:117 0.00336203 -10 *1435:98 0.0026164 -11 *1435:81 0.000621061 -12 *1435:76 0.00189347 -13 *1435:34 0.00258058 -14 *1435:33 0.00326701 -15 *1435:14 0.00241418 -16 *1435:4 0.00208339 -17 *25115:B1 *25115:A1 3.60088e-05 -18 *25173:B1 *25173:B2 5.33005e-05 -19 *25173:B1 *1450:16 5.96516e-05 -20 *25173:B1 *1493:14 0.000175892 -21 *25250:B1 *25250:B2 5.96031e-05 -22 *25250:B1 *1834:64 4.88277e-05 -23 *25250:B1 *3753:84 1.03169e-05 -24 *25250:B1 *5836:284 0.000146386 -25 *25300:B1 *25210:B2 0 -26 *25300:B1 *25300:A1 1.58163e-05 -27 *25300:B1 *25669:A1 0.00012323 -28 *25300:B1 *3173:94 0 -29 *25300:B1 *3173:110 0.00131266 -30 *25300:B1 *3214:92 0.00176846 -31 *25300:B1 *3832:37 0.000192854 -32 *25300:B1 *5583:15 5.74499e-06 -33 *25300:B1 *5583:22 3.69047e-06 -34 *25300:B1 *5646:118 1.11756e-05 -35 *25300:B1 *5685:12 6.05744e-05 -36 *25300:B1 *5685:333 2.0587e-05 -37 *25382:B1 *25382:B2 5.7661e-06 -38 *25382:B1 *4128:29 1.04232e-05 -39 *25924:A *27002:S 0.00087859 -40 *25924:A *28370:D 2.79421e-05 -41 *25924:A *1896:8 5.52302e-05 -42 *25924:A *1896:15 3.18953e-05 -43 *25924:A *2772:91 6.05161e-06 -44 *25924:A *2773:155 0.000113571 -45 *25924:A *3484:20 0.00105985 -46 *25924:A *3762:29 9.58126e-05 -47 *25924:A *5715:117 0.0001326 -48 *1435:14 *25233:A1 0.000298483 -49 *1435:14 *25329:C1 0.000128146 -50 *1435:14 *27895:B2 0.000216755 -51 *1435:14 *1563:26 2.23987e-05 -52 *1435:14 *3975:44 0.000218679 -53 *1435:14 *4036:38 0.000170654 -54 *1435:14 *5614:60 4.18834e-05 -55 *1435:14 *5641:78 3.53905e-05 -56 *1435:14 *5778:103 0.000180398 -57 *1435:14 *5878:14 0.0005032 -58 *1435:14 *5890:46 0.00012401 -59 *1435:33 *25104:B2 0.000490137 -60 *1435:33 *25110:B2 0.000195431 -61 *1435:33 *27953:A2 0.000170263 -62 *1435:33 *27955:A 4.43081e-05 -63 *1435:33 *27956:C 9.9462e-05 -64 *1435:33 *1685:40 0.00013799 -65 *1435:33 *1864:29 0.000103253 -66 *1435:33 *3659:14 0.000314985 -67 *1435:33 *3766:54 0.000216735 -68 *1435:33 *4065:53 0 -69 *1435:33 *4113:116 0.00011378 -70 *1435:33 *5457:22 0.00133721 -71 *1435:33 *5614:60 0.000100061 -72 *1435:33 *5641:78 1.14166e-05 -73 *1435:33 *5643:92 0.000170263 -74 *1435:33 *5823:51 0.000189828 -75 *1435:33 *5878:14 0.000200842 -76 *1435:34 *27923:B2 0.00205783 -77 *1435:34 *27933:A1 0.000317795 -78 *1435:34 *27946:C1 0.000470943 -79 *1435:34 *27958:C1 0.00160945 -80 *1435:34 *27965:C 3.2901e-05 -81 *1435:34 *3173:110 0.000106548 -82 *1435:34 *3185:323 0.000358387 -83 *1435:34 *3185:341 0.00066027 -84 *1435:34 *3214:92 9.09789e-06 -85 *1435:34 *3780:53 4.47714e-05 -86 *1435:34 *3802:58 9.73745e-05 -87 *1435:34 *4013:26 0.000218003 -88 *1435:34 *4026:26 0.000505151 -89 *1435:34 *5630:110 1.08524e-05 -90 *1435:34 *5646:102 0.0001334 -91 *1435:34 *5646:118 0.00037377 -92 *1435:76 *25142:A 2.42057e-05 -93 *1435:76 *25233:A1 0.000633167 -94 *1435:76 *25297:B1 3.294e-05 -95 *1435:76 *1475:8 6.42242e-05 -96 *1435:76 *1573:22 0.000175333 -97 *1435:76 *3776:44 5.2514e-05 -98 *1435:76 *4014:24 0.000550317 -99 *1435:76 *5640:90 0.000475366 -100 *1435:76 *5646:182 0.000478012 -101 *1435:76 *5808:46 0.000384819 -102 *1435:76 *5808:95 0 -103 *1435:81 *25296:A1 8.90725e-05 -104 *1435:81 *27002:A0 0.000175446 -105 *1435:81 *1463:32 0.000688049 -106 *1435:81 *1565:20 1.90936e-05 -107 *1435:81 *1687:9 0.00091806 -108 *1435:81 *3742:12 1.03904e-05 -109 *1435:81 *3776:42 0.000108516 -110 *1435:98 *27002:A0 0.000250915 -111 *1435:117 *25382:B2 0.00038296 -112 *1435:117 *4022:27 0.000467849 -113 *1435:117 *4128:29 1.96967e-05 -114 *1435:143 *27401:B1 0.000103101 -115 *1435:143 *27753:A1 0.000252741 -116 *1435:143 *27753:B2 0.000165675 -117 *1435:143 *1672:32 0.000108901 -118 *1435:143 *3753:93 7.27282e-05 -119 *1435:143 *3810:47 0 -120 *1435:143 *3875:118 0.000184365 -121 *1435:143 *4122:39 0 -122 *1435:143 *4128:29 7.48301e-06 -123 *1435:143 *4128:52 9.58181e-05 -124 *1435:143 *5649:176 7.49993e-05 -125 *1435:143 *5656:153 4.98055e-06 -126 *1435:143 *5688:115 0.000357964 -127 *25103:A2 *1435:34 0.000167258 -128 *25115:A2 *25115:B1 1.46576e-05 -129 *25115:A2 *1435:81 3.17148e-05 -130 *25115:A2 *1435:98 0.000241665 -131 *25115:C1 *25115:B1 1.64182e-05 -132 *25300:A2 *25300:B1 0.000240244 -133 *25371:A2 *1435:76 9.56345e-05 -134 *1362:25 *1435:14 0.000273196 -135 *1362:25 *1435:33 0.00124104 -136 *1410:19 *1435:33 0.000566469 -137 *1414:10 *1435:143 0.000239886 -138 *1415:18 *1435:143 9.35651e-05 -139 *1423:37 *1435:33 1.00926e-05 -*RES -1 *25114:Y *1435:4 9.3 -2 *1435:4 *1435:14 29.8571 -3 *1435:14 *1435:33 43.509 -4 *1435:33 *1435:34 51.125 -5 *1435:34 *25300:B1 48.2665 -6 *1435:14 *25173:B1 15.5679 -7 *1435:4 *1435:76 44.6658 -8 *1435:76 *1435:81 15.2054 -9 *1435:81 *25115:B1 16.1393 -10 *1435:81 *1435:98 7.66071 -11 *1435:98 *25924:A 38.3536 -12 *1435:98 *1435:117 19.4286 -13 *1435:117 *25382:B1 9.72857 -14 *1435:117 *1435:143 47.6578 -15 *1435:143 *25250:B1 20.9786 -*END - -*D_NET *1436 0.0123146 -*CONN -*I *25123:B I *D sky130_fd_sc_hd__or4_2 -*I *25115:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25123:B 0.00104131 -2 *25115:X 0.000814492 -3 *1436:13 0.0018558 -4 *25123:B *1615:14 0.000407939 -5 *25123:B *1689:8 1.11654e-05 -6 *25123:B *3881:56 0.00258979 -7 *25123:B *3920:40 0.00186594 -8 *25123:B *4142:62 9.74665e-05 -9 *25123:B *5848:63 0.000119924 -10 *1436:13 *25246:B1 0.000265909 -11 *1436:13 *25297:A1 1.88578e-05 -12 *1436:13 *27002:A0 0.000266099 -13 *1436:13 *1463:23 0.00024681 -14 *1436:13 *1687:9 0.000123392 -15 *1436:13 *1689:8 6.057e-07 -16 *1436:13 *4027:11 6.05161e-06 -17 *1436:13 *5607:30 2.26973e-05 -18 *1436:13 *5607:39 0.00106178 -19 *1436:13 *5808:95 0.000251453 -20 *1436:13 *5891:33 0.000257619 -21 *25167:A *25123:B 0.000627503 -22 *1396:189 *1436:13 0.000336659 -23 *1398:11 *25123:B 2.53281e-05 -*RES -1 *25115:X *1436:13 43.9607 -2 *1436:13 *25123:B 48.8714 -*END - -*D_NET *1437 0.049511 -*CONN -*I *25390:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25117:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25211:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25330:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25640:A I *D sky130_fd_sc_hd__nand2_2 -*I *25268:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25116:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *25390:B1 2.13371e-05 -2 *25117:B1 0.00134376 -3 *25211:A2 0.000178005 -4 *25330:B1 0.000235649 -5 *25640:A 0.000479822 -6 *25268:B1 5.12341e-05 -7 *25116:Y 0.000271895 -8 *1437:84 0.00377131 -9 *1437:69 0.00292765 -10 *1437:42 0.00125226 -11 *1437:35 0.00215744 -12 *1437:29 0.00486709 -13 *1437:15 0.0040835 -14 *1437:13 0.000503419 -15 *25117:B1 *27932:C1 2.4175e-05 -16 *25117:B1 *1451:39 7.15905e-06 -17 *25117:B1 *1457:111 0.000176643 -18 *25117:B1 *1657:56 0.00108186 -19 *25117:B1 *1693:22 0.000954024 -20 *25117:B1 *1695:10 0.000202866 -21 *25117:B1 *3962:61 6.23141e-05 -22 *25117:B1 *4022:76 3.56411e-05 -23 *25211:A2 *6474:DIODE 3.97677e-05 -24 *25211:A2 *25211:A1 0.000116526 -25 *25211:A2 *25211:B1 0.000114596 -26 *25211:A2 *25212:D 6.12335e-05 -27 *25211:A2 *3215:50 6.05161e-06 -28 *25211:A2 *3215:57 2.63501e-05 -29 *25211:A2 *4022:76 1.94945e-05 -30 *25211:A2 *5687:58 0 -31 *25268:B1 *25268:A1 3.54964e-05 -32 *25268:B1 *3919:46 0.0001839 -33 *25268:B1 *3960:43 1.97695e-05 -34 *25268:B1 *5063:15 1.34631e-05 -35 *25330:B1 *2883:39 1.96616e-05 -36 *25330:B1 *3875:71 5.49544e-05 -37 *25330:B1 *3971:59 0.000343956 -38 *25390:B1 *25390:B2 5.09596e-06 -39 *25640:A *2844:69 0.00104839 -40 *25640:A *2850:88 0.00103854 -41 *25640:A *2882:65 0.000178425 -42 *25640:A *3960:86 0.000178425 -43 *1437:13 *25264:B2 2.36643e-05 -44 *1437:13 *25390:C1 1.21258e-05 -45 *1437:13 *1471:140 0.000167368 -46 *1437:13 *1729:10 0.000165653 -47 *1437:13 *2891:30 0.000431164 -48 *1437:13 *3778:77 0.000397137 -49 *1437:13 *3985:33 5.33005e-05 -50 *1437:15 *25390:B2 0.000176336 -51 *1437:15 *25390:C1 2.41198e-05 -52 *1437:29 *25390:B2 0.000841526 -53 *1437:29 *2848:64 0.000136845 -54 *1437:29 *2891:33 0.000126535 -55 *1437:29 *3145:14 8.68976e-05 -56 *1437:29 *3457:28 0.000171892 -57 *1437:29 *3469:19 3.04311e-05 -58 *1437:29 *3892:75 0.000165885 -59 *1437:29 *3921:11 3.97677e-05 -60 *1437:29 *3921:13 6.05161e-06 -61 *1437:29 *3931:26 0.000346483 -62 *1437:29 *4023:28 8.51829e-05 -63 *1437:29 *5661:70 0.000110332 -64 *1437:29 *5666:179 0.000963798 -65 *1437:29 *5892:145 0.00198126 -66 *1437:35 *2844:52 0.001418 -67 *1437:35 *2844:69 0.000417866 -68 *1437:35 *2850:88 0.000110341 -69 *1437:35 *3724:34 0.00132509 -70 *1437:35 *3724:39 0.000328771 -71 *1437:35 *4074:34 0.000259542 -72 *1437:35 *5661:70 3.88819e-05 -73 *1437:42 *27498:A1 0.000542608 -74 *1437:42 *27510:A2 0.000331057 -75 *1437:42 *27515:D 0.00100632 -76 *1437:42 *27538:B2 0 -77 *1437:42 *3070:14 0.000307824 -78 *1437:42 *3085:12 0.000785137 -79 *1437:42 *3793:38 0.000192547 -80 *1437:42 *3793:40 0.000262049 -81 *1437:42 *3908:66 1.91512e-05 -82 *1437:42 *4140:24 6.19181e-05 -83 *1437:42 *4140:47 0.000342204 -84 *1437:69 *1471:140 0.000225365 -85 *1437:69 *1729:10 0.00022378 -86 *1437:69 *2895:79 0.000249149 -87 *1437:69 *4088:56 0.000184173 -88 *1437:84 *1457:111 0.000107807 -89 *1437:84 *1471:140 0.000279797 -90 *1437:84 *1659:31 0.000328686 -91 *1437:84 *1719:12 4.61648e-06 -92 *1437:84 *1729:10 0.000285979 -93 *1437:84 *5936:70 0.000175255 -94 *25117:A2 *25117:B1 5.33005e-05 -95 *25268:A2 *25268:B1 7.89902e-05 -96 *25295:A2 *1437:69 0.000151688 -97 *1281:75 *25211:A2 2.09897e-05 -98 *1282:136 *25211:A2 3.77315e-05 -99 *1323:17 *1437:42 0.000438781 -100 *1400:54 *1437:69 0.000178931 -101 *1401:95 *1437:84 0.00218549 -102 *1420:40 *25117:B1 0.00126686 -103 *1420:40 *1437:84 0.000474865 -104 *1421:53 *1437:42 7.25338e-05 -*RES -1 *25116:Y *1437:13 26.1571 -2 *1437:13 *1437:15 2.58929 -3 *1437:15 *1437:29 45.7739 -4 *1437:29 *1437:35 36.1518 -5 *1437:35 *1437:42 45.6607 -6 *1437:42 *25268:B1 15.9964 -7 *1437:29 *25640:A 29.5054 -8 *1437:15 *1437:69 17.3542 -9 *1437:69 *25330:B1 18.0321 -10 *1437:69 *1437:84 16.9452 -11 *1437:84 *25211:A2 23.4358 -12 *1437:84 *25117:B1 40.3158 -13 *1437:13 *25390:B1 9.72857 -*END - -*D_NET *1438 0.0227806 -*CONN -*I *25118:C1 I *D sky130_fd_sc_hd__a221o_2 -*I *25117:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25118:C1 0.00132939 -2 *25117:X 0.000242005 -3 *1438:28 0.0040082 -4 *1438:19 0.0037756 -5 *1438:10 0.00133879 -6 *25118:C1 *2768:25 0.00073004 -7 *25118:C1 *3874:26 0.00022117 -8 *25118:C1 *3900:48 0.000222878 -9 *25118:C1 *3946:30 0.000506759 -10 *25118:C1 *5656:77 0.000456404 -11 *1438:10 *1451:39 7.16923e-05 -12 *1438:10 *4070:63 0.000329086 -13 *1438:19 *27305:C 0.000175892 -14 *1438:19 *1460:13 0.00136643 -15 *1438:19 *2866:85 0.00261885 -16 *1438:19 *2870:21 0.00018299 -17 *1438:19 *3184:118 0.00152156 -18 *1438:19 *3413:51 0.000181336 -19 *1438:19 *3907:63 3.4016e-05 -20 *1438:19 *4132:65 0.000105471 -21 *1438:28 *28467:CLK 2.59355e-05 -22 *1438:28 *28467:D 0.000118144 -23 *1438:28 *2850:179 0.000908036 -24 *1438:28 *2859:187 3.97677e-05 -25 *1438:28 *2920:8 0.000913053 -26 *1438:28 *3558:193 0.000403842 -27 *1438:28 *3664:7 6.03191e-05 -28 *1438:28 *3686:89 5.49544e-05 -29 *1438:28 *3686:109 0.000259267 -30 *1438:28 *4068:41 0.000339346 -31 *1438:28 *4132:65 9.29169e-05 -32 *1276:47 *1438:10 0.000146474 -*RES -1 *25117:X *1438:10 22.8357 -2 *1438:10 *1438:19 43.3393 -3 *1438:19 *1438:28 47.8393 -4 *1438:28 *25118:C1 49.3179 -*END - -*D_NET *1439 0.038929 -*CONN -*I *25123:C I *D sky130_fd_sc_hd__or4_2 -*I *6587:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25118:X O *D sky130_fd_sc_hd__a221o_2 -*CAP -1 *25123:C 5.40981e-05 -2 *6587:DIODE 0.000142305 -3 *25118:X 0.00218829 -4 *1439:49 0.00274434 -5 *1439:37 0.00485847 -6 *1439:23 0.00483617 -7 *1439:19 0.00324331 -8 *1439:16 0.00290596 -9 *6587:DIODE *25123:D 0.000136682 -10 *6587:DIODE *4142:62 9.35114e-06 -11 *1439:16 *27710:B2 3.22407e-05 -12 *1439:16 *1527:25 0 -13 *1439:16 *2768:25 0.00174728 -14 *1439:16 *2850:179 2.09826e-05 -15 *1439:16 *2894:210 2.24839e-05 -16 *1439:16 *3848:61 0 -17 *1439:16 *3874:26 4.15183e-05 -18 *1439:16 *3899:54 1.14338e-05 -19 *1439:16 *3900:48 4.15183e-05 -20 *1439:16 *5651:129 0 -21 *1439:19 *2768:33 0.00068216 -22 *1439:19 *3206:110 0.000136958 -23 *1439:19 *3782:98 0.00017754 -24 *1439:19 *3946:30 6.31181e-05 -25 *1439:19 *5609:137 0.000177821 -26 *1439:19 *5635:79 0.000483272 -27 *1439:23 *25378:A1 0.000180495 -28 *1439:23 *25378:C1 0.000439808 -29 *1439:23 *26965:A1 9.02017e-05 -30 *1439:23 *29187:A 0.000150551 -31 *1439:23 *1456:116 0.00123059 -32 *1439:23 *3673:11 0.000170379 -33 *1439:23 *3673:15 0.00084473 -34 *1439:23 *3673:19 0.000242398 -35 *1439:23 *3946:30 1.51029e-05 -36 *1439:37 *25122:B1 0.00051858 -37 *1439:37 *1442:14 0.000261993 -38 *1439:37 *1456:41 0.000175892 -39 *1439:37 *1456:116 0.000288334 -40 *1439:37 *5623:137 0.000251551 -41 *1439:37 *5650:75 0.00017754 -42 *1439:37 *5848:27 4.22135e-06 -43 *1439:49 *25166:A 0.000244883 -44 *1439:49 *27946:A2 7.53529e-06 -45 *1439:49 *1460:41 0.00154055 -46 *1439:49 *1615:14 0.00250545 -47 *1439:49 *1685:40 0.000290252 -48 *1439:49 *2867:149 7.34491e-05 -49 *1439:49 *3176:202 4.65519e-05 -50 *1439:49 *3506:19 0.000277475 -51 *1439:49 *3686:83 0.000611861 -52 *1439:49 *3920:63 0.00041776 -53 *1439:49 *4064:56 0.000209605 -54 *1439:49 *4142:62 1.07719e-05 -55 *1439:49 *5590:47 3.20458e-05 -56 *1439:49 *5605:114 0.000425273 -57 *1439:49 *5649:176 8.78763e-05 -58 *1439:49 *5848:20 0.000702763 -59 *24892:B *1439:37 0.000528587 -60 *25081:A2 *1439:37 0.000263573 -61 *25088:A2 *1439:37 3.59071e-05 -62 *25362:B1 *1439:23 2.67407e-05 -63 *29188:A *1439:23 0.000432461 -64 *1224:23 *1439:23 0.000216755 -65 *1247:43 *1439:37 2.9988e-05 -66 *1403:16 *1439:49 0.000111243 -*RES -1 *25118:X *1439:16 44.8405 -2 *1439:16 *1439:19 16.9821 -3 *1439:19 *1439:23 45.7321 -4 *1439:23 *1439:37 49.9854 -5 *1439:37 *1439:49 46.1803 -6 *1439:49 *6587:DIODE 11.0679 -7 *1439:49 *25123:C 10.3357 -*END - -*D_NET *1440 0.0631888 -*CONN -*I *25258:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25305:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25122:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25185:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25652:A I *D sky130_fd_sc_hd__and2_2 -*I *6588:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25397:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25119:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *25258:B1 0.00249817 -2 *25305:B1 2.20371e-05 -3 *25122:B1 0.000510793 -4 *25185:B1 0.00226239 -5 *25652:A 0 -6 *6588:DIODE 7.40696e-05 -7 *25397:B1 0.000259356 -8 *25119:Y 0 -9 *1440:85 0.00395152 -10 *1440:56 0.00329182 -11 *1440:40 0.0073668 -12 *1440:38 0.00569583 -13 *1440:23 0.00104832 -14 *1440:19 0.00167812 -15 *1440:4 0.00373373 -16 *6588:DIODE *4548:15 0.000135028 -17 *25122:B1 *25122:A1 0.000292758 -18 *25122:B1 *25122:C1 0.000315954 -19 *25122:B1 *1443:10 8.25843e-06 -20 *25122:B1 *1448:160 9.96264e-05 -21 *25122:B1 *5623:66 0.00012956 -22 *25122:B1 *5848:27 0.000675234 -23 *25185:B1 *25185:B2 0.00204809 -24 *25185:B1 *3874:41 3.97677e-05 -25 *25185:B1 *4133:92 9.60875e-05 -26 *25258:B1 *25217:D 0.000470299 -27 *25258:B1 *25284:B 0.000587502 -28 *25258:B1 *27716:B1 0.000928614 -29 *25258:B1 *1491:22 0.00038021 -30 *25258:B1 *1536:27 0.000100571 -31 *25258:B1 *2778:83 0.000586903 -32 *25258:B1 *2870:43 0.000131048 -33 *25258:B1 *3183:60 0.000177129 -34 *25258:B1 *3183:70 4.85033e-05 -35 *25258:B1 *3299:29 4.65519e-05 -36 *25258:B1 *3667:28 8.60225e-05 -37 *25258:B1 *4015:31 0.000131048 -38 *25258:B1 *5655:150 0.00187564 -39 *25258:B1 *5655:163 0.000494377 -40 *25258:B1 *5687:87 0.000213173 -41 *25305:B1 *25305:B2 5.09596e-06 -42 *25397:B1 *25397:B2 5.68722e-05 -43 *25397:B1 *2852:191 4.13496e-05 -44 *25397:B1 *3945:57 0.000137983 -45 *1440:19 *6397:DIODE 8.43535e-06 -46 *1440:19 *25394:B1 0.0002077 -47 *1440:19 *1491:22 0.00012697 -48 *1440:19 *3182:55 0.00101505 -49 *1440:19 *3183:60 0 -50 *1440:19 *3183:70 0.000216755 -51 *1440:19 *3919:82 5.33005e-05 -52 *1440:19 *3963:129 6.05161e-06 -53 *1440:19 *5623:89 0.000177821 -54 *1440:23 *6397:DIODE 2.41198e-05 -55 *1440:23 *25305:B2 0.000105687 -56 *1440:23 *3919:82 0.000925794 -57 *1440:38 *25244:B1 5.33005e-05 -58 *1440:38 *1689:21 6.05161e-06 -59 *1440:38 *3176:83 5.14314e-05 -60 *1440:38 *3506:19 0.000117665 -61 *1440:38 *5685:35 3.48083e-05 -62 *1440:40 *6632:DIODE 9.41642e-05 -63 *1440:40 *25179:B2 0.00141454 -64 *1440:40 *25244:B1 5.74499e-06 -65 *1440:40 *1563:17 0.000175892 -66 *1440:40 *3896:86 0.00269296 -67 *1440:56 *25554:A0 0.000134471 -68 *1440:56 *25555:A0 4.00276e-06 -69 *1440:56 *25652:B 0.00142103 -70 *1440:56 *27871:B1 1.53282e-05 -71 *1440:56 *28237:D 9.13038e-05 -72 *1440:56 *28281:D 4.68201e-05 -73 *1440:56 *1472:11 0.000200073 -74 *1440:56 *1763:22 4.51771e-05 -75 *1440:56 *2834:109 8.77926e-05 -76 *1440:56 *2872:93 0.000113575 -77 *1440:56 *3723:31 0.000112052 -78 *1440:56 *3896:26 4.65519e-05 -79 *1440:56 *3897:32 0.000572334 -80 *1440:56 *4064:12 0.00147964 -81 *1440:56 *4116:51 9.21881e-05 -82 *1440:56 *4548:15 0.000309041 -83 *1440:56 *5728:151 2.82349e-05 -84 *1440:56 *5728:172 1.8818e-05 -85 *1440:85 *25086:A 0.000525879 -86 *1440:85 *25175:B2 0.000216755 -87 *1440:85 *25210:C1 6.35864e-05 -88 *1440:85 *25218:B2 6.3494e-05 -89 *1440:85 *1448:160 0.000348531 -90 *1440:85 *1689:21 0.000616992 -91 *1440:85 *3215:57 4.15161e-05 -92 *1440:85 *3506:19 0.000124022 -93 *1440:85 *5500:65 0.000194493 -94 *24892:B *25122:B1 9.25292e-05 -95 *25081:A2 *25122:B1 1.81709e-05 -96 *25119:B *1440:19 9.58126e-05 -97 *25171:A *1440:19 0.000259267 -98 *25305:A2 *1440:19 0.0010347 -99 *30400:A *1440:56 4.31483e-05 -100 *1242:37 *1440:19 5.00194e-05 -101 *1246:36 *25258:B1 2.01997e-05 -102 *1251:29 *1440:19 0.000533296 -103 *1252:26 *1440:19 0.000129377 -104 *1263:49 *1440:19 8.70568e-05 -105 *1273:96 *1440:19 1.9414e-05 -106 *1277:33 *25122:B1 3.148e-05 -107 *1294:95 *1440:85 0.00010939 -108 *1360:20 *25397:B1 0.000543132 -109 *1360:20 *1440:38 0.000710475 -110 *1396:83 *1440:56 6.20441e-06 -111 *1400:30 *25122:B1 0.000303937 -112 *1400:30 *1440:85 0.000128161 -113 *1400:40 *25397:B1 0.000271156 -114 *1400:40 *1440:38 0.000332728 -115 *1400:40 *1440:85 0.000186934 -116 *1401:117 *1440:85 4.62613e-05 -117 *1427:105 *1440:19 0.000133616 -118 *1430:76 *1440:85 0.000223608 -119 *1439:37 *25122:B1 0.00051858 -*RES -1 *25119:Y *1440:4 9.3 -2 *1440:4 *1440:19 35.3307 -3 *1440:19 *1440:23 13.6607 -4 *1440:23 *25397:B1 22.2643 -5 *1440:23 *1440:38 15.6071 -6 *1440:38 *1440:40 51.4643 -7 *1440:40 *1440:56 39.8779 -8 *1440:56 *6588:DIODE 10.6571 -9 *1440:56 *25652:A 9.3 -10 *1440:38 *1440:85 41.2857 -11 *1440:85 *25185:B1 42.8179 -12 *1440:85 *25122:B1 31.0143 -13 *1440:19 *25305:B1 9.72857 -14 *1440:4 *25258:B1 44.2703 -*END - -*D_NET *1441 0.0454445 -*CONN -*I *25214:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25272:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25294:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25753:A I *D sky130_fd_sc_hd__and2_1 -*I *25121:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25398:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25120:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *25214:B1 0.00110044 -2 *25272:B1 0.000199165 -3 *25294:A2 0.000301219 -4 *25753:A 0.000913745 -5 *25121:B1 0 -6 *25398:A2 0.000760671 -7 *25120:Y 0.00188594 -8 *1441:115 0.00210727 -9 *1441:99 0.00320336 -10 *1441:48 0.001389 -11 *1441:41 0.000235455 -12 *1441:25 0.00114955 -13 *1441:21 0.00234369 -14 *1441:14 0.00589953 -15 *25214:B1 *25214:B2 1.90325e-05 -16 *25214:B1 *25395:A1 0.00036007 -17 *25214:B1 *3182:55 1.90936e-05 -18 *25214:B1 *3662:79 6.05161e-06 -19 *25214:B1 *3939:33 6.02515e-05 -20 *25214:B1 *3988:44 0.00224946 -21 *25214:B1 *5623:83 0.000475297 -22 *25214:B1 *5626:99 0.000513686 -23 *25214:B1 *5626:109 6.56467e-05 -24 *25214:B1 *5687:73 1.64271e-05 -25 *25214:B1 *5892:145 0.000110895 -26 *25272:B1 *25272:C1 6.19382e-06 -27 *25272:B1 *1442:14 2.01504e-05 -28 *25272:B1 *3973:83 2.59355e-05 -29 *25272:B1 *5581:55 0.000149499 -30 *25294:A2 *25294:B1 7.58839e-05 -31 *25294:A2 *1624:55 2.20282e-05 -32 *25294:A2 *1624:76 1.43563e-05 -33 *25294:A2 *3173:50 2.72001e-05 -34 *25294:A2 *3183:103 0.000262717 -35 *25294:A2 *3183:105 4.80288e-05 -36 *25294:A2 *3661:36 0.00025175 -37 *25398:A2 *27853:B1 0.000139485 -38 *25398:A2 *1471:37 4.21332e-05 -39 *25398:A2 *1624:55 0.000229887 -40 *25398:A2 *1714:23 3.69047e-06 -41 *25398:A2 *3183:105 1.61703e-05 -42 *25398:A2 *3436:13 0.000300541 -43 *25398:A2 *4372:19 3.98517e-05 -44 *25398:A2 *5166:23 0.000150398 -45 *25398:A2 *5618:139 0.000191908 -46 *25398:A2 *5738:172 9.99644e-06 -47 *25753:A *25753:B 4.96113e-05 -48 *25753:A *29549:A 0.000222244 -49 *25753:A *1624:55 9.90367e-05 -50 *25753:A *1865:8 0.000183304 -51 *25753:A *2852:228 1.74352e-05 -52 *25753:A *3421:10 5.33005e-05 -53 *25753:A *3845:47 5.81579e-05 -54 *25753:A *4088:79 0.000852986 -55 *25753:A *5320:8 0.000472312 -56 *1441:14 *25405:D 2.59355e-05 -57 *1441:14 *25953:A1 0 -58 *1441:14 *1453:17 0.000151862 -59 *1441:14 *1455:11 1.94945e-05 -60 *1441:14 *3875:51 0.000162047 -61 *1441:14 *5605:10 0.000134326 -62 *1441:14 *5620:46 2.09897e-05 -63 *1441:14 *5665:268 0 -64 *1441:21 *25385:A1 0.00134107 -65 *1441:21 *30871:A 3.72425e-05 -66 *1441:21 *1457:99 4.65519e-05 -67 *1441:21 *1600:41 0.000553949 -68 *1441:21 *3853:45 0.000158473 -69 *1441:21 *5599:115 0.000169747 -70 *1441:21 *6317:85 3.33764e-05 -71 *1441:25 *25385:A1 0.000757535 -72 *1441:25 *3788:49 0 -73 *1441:25 *3973:83 0.0005836 -74 *1441:41 *1624:55 0.000125653 -75 *1441:41 *3183:105 6.31535e-05 -76 *1441:48 *27927:A1 2.06178e-05 -77 *1441:48 *1624:55 0.000283194 -78 *1441:48 *3183:105 0.000120505 -79 *1441:99 *27962:B1 7.14469e-05 -80 *1441:99 *27963:C1 0.000105469 -81 *1441:99 *1453:17 2.40962e-05 -82 *1441:99 *1479:17 0.000139751 -83 *1441:99 *1479:34 3.70939e-05 -84 *1441:99 *1479:59 0.000306521 -85 *1441:99 *1535:14 0.000686734 -86 *1441:99 *1535:39 4.18985e-05 -87 *1441:99 *1588:18 0.00115996 -88 *1441:99 *3185:268 0.000137561 -89 *1441:99 *3557:30 0.000190845 -90 *1441:99 *3568:178 0.00013921 -91 *1441:99 *3988:44 0.000665812 -92 *1441:99 *5655:36 0.000119204 -93 *1441:99 *5717:74 0.000101548 -94 *1441:115 *1504:8 0.00154473 -95 *1441:115 *3988:44 0.00156744 -96 *1441:115 *5386:11 6.10665e-06 -97 *1441:115 *5605:33 0.000316962 -98 *1441:115 *5626:109 9.05221e-06 -99 *1441:115 *5691:93 4.8817e-05 -100 *1441:115 *5936:70 1.09611e-05 -101 *25272:A2 *25272:B1 5.10796e-05 -102 *30324:A *25214:B1 6.8646e-06 -103 *1140:10 *25398:A2 0.000191715 -104 *1240:11 *1441:21 0.00110111 -105 *1248:14 *1441:14 9.7597e-05 -106 *1248:14 *1441:99 7.14229e-05 -107 *1248:33 *1441:99 0 -108 *1250:187 *1441:99 0.000312171 -109 *1253:98 *1441:115 0.000371289 -110 *1253:105 *1441:99 9.90115e-06 -111 *1257:46 *1441:99 7.27711e-05 -112 *1257:46 *1441:115 2.72364e-05 -113 *1257:69 *1441:21 0.000292379 -114 *1257:69 *1441:99 6.06909e-05 -115 *1273:23 *1441:21 2.66789e-06 -116 *1273:47 *1441:99 0.000126025 -117 *1294:77 *25398:A2 0.000961342 -118 *1323:17 *25753:A 7.49387e-06 -119 *1395:53 *25272:B1 1.90936e-05 -120 *1426:14 *1441:14 0 -*RES -1 *25120:Y *1441:14 24.9779 -2 *1441:14 *1441:21 8.41237 -3 *1441:21 *1441:25 4.68213 -4 *1441:25 *25398:A2 42.425 -5 *1441:25 *1441:41 1.79464 -6 *1441:41 *25121:B1 13.8 -7 *1441:41 *1441:48 4.07143 -8 *1441:48 *25753:A 31.3357 -9 *1441:48 *25294:A2 21.0946 -10 *1441:21 *25272:B1 20.6654 -11 *1441:14 *1441:99 49.3656 -12 *1441:99 *1441:115 40.6635 -13 *1441:115 *25214:B1 45.8089 -*END - -*D_NET *1442 0.0286841 -*CONN -*I *25122:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25121:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25122:C1 0.000752722 -2 *25121:X 0.000365035 -3 *1442:14 0.00512862 -4 *1442:13 0.00474093 -5 *25122:C1 *25122:A1 4.55127e-05 -6 *25122:C1 *25122:B2 1.38106e-05 -7 *25122:C1 *1443:10 5.88783e-06 -8 *25122:C1 *3920:83 3.69047e-06 -9 *1442:13 *25385:A1 0.000713758 -10 *1442:13 *3183:105 4.39699e-05 -11 *1442:13 *3482:38 4.04359e-05 -12 *1442:13 *3853:45 0.000112413 -13 *1442:14 *25339:A1 2.06178e-05 -14 *1442:14 *25390:B2 0.000355265 -15 *1442:14 *25397:A1 0.00150574 -16 *1442:14 *25947:S 2.91659e-05 -17 *1442:14 *27902:A1 0.000531975 -18 *1442:14 *27902:A2 0.000483936 -19 *1442:14 *28389:RESET_B 1.11775e-05 -20 *1442:14 *1452:114 1.90936e-05 -21 *1442:14 *1470:64 0.000348373 -22 *1442:14 *1587:22 0.000478371 -23 *1442:14 *1613:6 4.52141e-05 -24 *1442:14 *2875:69 6.13772e-05 -25 *1442:14 *3178:62 2.04825e-05 -26 *1442:14 *3506:25 0.00053955 -27 *1442:14 *3843:51 0.000508451 -28 *1442:14 *3868:56 0.002232 -29 *1442:14 *3920:88 0.000210829 -30 *1442:14 *3971:59 0.000394227 -31 *1442:14 *5491:44 7.1171e-05 -32 *1442:14 *5581:55 6.94803e-05 -33 *1442:14 *5591:53 4.46431e-05 -34 *1442:14 *5623:66 0.000479619 -35 *1442:14 *5623:83 0.000264744 -36 *1442:14 *5685:39 0.00090815 -37 *1442:14 *5947:74 4.26759e-05 -38 *25081:A2 *25122:C1 0.000451533 -39 *25081:A2 *1442:14 2.31814e-05 -40 *25121:A2 *1442:13 2.63501e-05 -41 *25122:B1 *25122:C1 0.000315954 -42 *25272:B1 *1442:14 2.01504e-05 -43 *25295:A2 *1442:14 0.000779417 -44 *1263:36 *1442:14 0.000158398 -45 *1277:33 *1442:14 0.000291074 -46 *1395:53 *1442:13 0.0004593 -47 *1395:53 *1442:14 1.94945e-05 -48 *1395:61 *1442:13 5.33005e-05 -49 *1401:49 *1442:14 0.000174969 -50 *1401:95 *1442:14 8.72919e-05 -51 *1401:109 *1442:14 0.000209771 -52 *1407:13 *1442:14 0.000970797 -53 *1407:36 *1442:14 0.000492195 -54 *1421:26 *1442:14 4.11218e-05 -55 *1427:71 *1442:14 0.000676446 -56 *1430:76 *25122:C1 0.000224406 -57 *1430:76 *1442:14 0.00130382 -58 *1439:37 *1442:14 0.000261993 -*RES -1 *25121:X *1442:13 22.9361 -2 *1442:13 *1442:14 125.045 -3 *1442:14 *25122:C1 27.925 -*END - -*D_NET *1443 0.0125155 -*CONN -*I *25123:D I *D sky130_fd_sc_hd__or4_2 -*I *25122:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25123:D 0.000161965 -2 *25122:X 0.000467865 -3 *1443:12 0.00157444 -4 *1443:10 0.00188034 -5 *25123:D *4142:62 2.67489e-05 -6 *1443:10 *25122:A1 1.81887e-05 -7 *1443:10 *25122:B2 6.26774e-06 -8 *1443:10 *3182:134 0.000528245 -9 *1443:12 *1689:8 5.74395e-05 -10 *1443:12 *3176:202 8.93351e-05 -11 *1443:12 *3182:134 7.13798e-05 -12 *1443:12 *3686:83 0.000111243 -13 *1443:12 *3738:58 0.000114099 -14 *1443:12 *3920:51 0.00076254 -15 *1443:12 *4092:65 0.0002583 -16 *6587:DIODE *25123:D 0.000136682 -17 *24937:B *1443:12 0.000184692 -18 *25122:A2 *1443:10 3.58774e-05 -19 *25122:B1 *1443:10 8.25843e-06 -20 *25122:C1 *1443:10 5.88783e-06 -21 *27966:C1 *1443:10 5.58875e-06 -22 *30113:A *1443:12 0.00154087 -23 *1233:8 *1443:12 0.000688628 -24 *1263:28 *1443:12 0.00139185 -25 *1360:18 *1443:10 2.05002e-05 -26 *1360:18 *1443:12 0.00174837 -27 *1360:20 *1443:10 0.000514436 -28 *1403:26 *25123:D 0.000105471 -*RES -1 *25122:X *1443:10 24.0321 -2 *1443:10 *1443:12 47.7857 -3 *1443:12 *25123:D 16.8 -*END - -*D_NET *1444 0.00260867 -*CONN -*I *25166:A I *D sky130_fd_sc_hd__or4_2 -*I *25123:X O *D sky130_fd_sc_hd__or4_2 -*CAP -1 *25166:A 0.000532654 -2 *25123:X 0.000532654 -3 *25166:A *25166:C 3.25787e-05 -4 *25166:A *27955:A 0.000187964 -5 *25166:A *1460:41 0.00016759 -6 *25166:A *1472:25 0.000316397 -7 *25166:A *1486:19 0.000115069 -8 *25166:A *1689:8 8.99595e-05 -9 *25166:A *2851:24 0.000201779 -10 *25166:A *4142:62 1.90303e-05 -11 *25166:A *5590:47 1.88026e-05 -12 *25166:A *5890:68 6.3155e-05 -13 *25167:A *25166:A 8.61512e-05 -14 *1439:49 *25166:A 0.000244883 -*RES -1 *25123:X *25166:A 43.3866 -*END - -*D_NET *1445 0.00163773 -*CONN -*I *25125:C1 I *D sky130_fd_sc_hd__a221o_2 -*I *25124:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25125:C1 0.000428479 -2 *25124:X 0.000428479 -3 *25125:C1 *25124:B2 4.35306e-05 -4 *25125:C1 *1536:13 0.000308137 -5 *25125:C1 *3872:28 0.000308601 -6 *1277:70 *25125:C1 0.000120504 -*RES -1 *25124:X *25125:C1 35.4929 -*END - -*D_NET *1446 0.0383909 -*CONN -*I *25139:A I *D sky130_fd_sc_hd__or4_1 -*I *6590:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25125:X O *D sky130_fd_sc_hd__a221o_2 -*CAP -1 *25139:A 0 -2 *6590:DIODE 0.000238987 -3 *25125:X 0.00105957 -4 *1446:29 0.00031454 -5 *1446:24 0.00252203 -6 *1446:20 0.00356564 -7 *1446:12 0.00348503 -8 *1446:10 0.00342544 -9 *6590:DIODE *6591:DIODE 9.41642e-05 -10 *6590:DIODE *25139:B 9.7049e-06 -11 *6590:DIODE *25139:C 1.8371e-05 -12 *6590:DIODE *25139:D 1.00733e-05 -13 *6590:DIODE *1451:39 9.41642e-05 -14 *1446:10 *27047:A1 6.06291e-05 -15 *1446:10 *1550:11 0.000560366 -16 *1446:10 *3173:35 9.20126e-05 -17 *1446:10 *3894:34 0.00117819 -18 *1446:10 *5592:61 0.000116263 -19 *1446:10 *5707:138 2.14658e-05 -20 *1446:10 *5707:156 0.000105471 -21 *1446:10 *5713:130 0.000193187 -22 *1446:10 *5713:132 1.65558e-05 -23 *1446:10 *5713:146 5.09477e-05 -24 *1446:10 *5749:47 0.00143136 -25 *1446:10 *6314:37 0.000238657 -26 *1446:12 *25375:B2 0.000397359 -27 *1446:12 *27091:S 0.000398996 -28 *1446:12 *27319:B1 1.12323e-05 -29 *1446:12 *27614:A1 0.000803662 -30 *1446:12 *28658:SET_B 9.21418e-06 -31 *1446:12 *1539:22 0.00311449 -32 *1446:12 *2883:35 0.000127766 -33 *1446:12 *3214:36 4.26759e-05 -34 *1446:12 *3216:46 0.000147086 -35 *1446:12 *3642:46 0.00012267 -36 *1446:12 *3797:65 5.69242e-05 -37 *1446:12 *3855:21 0.000672915 -38 *1446:12 *3894:34 0.000120574 -39 *1446:12 *3894:36 0.00109524 -40 *1446:12 *3992:28 0.000379643 -41 *1446:12 *5592:61 0.000443774 -42 *1446:12 *5713:84 0.00025401 -43 *1446:12 *5713:130 5.36309e-05 -44 *1446:12 *5750:130 6.79672e-06 -45 *1446:12 *5750:163 0.000173876 -46 *1446:12 *5750:169 0.000129108 -47 *1446:12 *5750:196 8.40344e-05 -48 *1446:12 *5873:142 0.00113342 -49 *1446:20 *1691:24 0.00222623 -50 *1446:20 *3783:110 0.00207433 -51 *1446:20 *4186:20 8.6321e-05 -52 *1446:20 *5687:87 7.04456e-05 -53 *1446:24 *1454:16 0.00191412 -54 *1446:24 *1864:116 5.7703e-05 -55 *1446:24 *4129:77 0.00125123 -56 *1446:24 *5584:20 0.00124952 -57 *1446:24 *5597:21 0.000136563 -58 *1446:29 *25139:C 0.00019241 -59 *1446:29 *25139:D 7.51766e-06 -60 *1446:29 *5626:49 0.000135028 -61 *25107:C1 *1446:24 0.000185417 -62 *1228:47 *1446:20 0 -63 *1273:122 *1446:12 7.83587e-05 -64 *1328:98 *1446:10 4.37584e-05 -*RES -1 *25125:X *1446:10 44.1839 -2 *1446:10 *1446:12 71.7679 -3 *1446:12 *1446:20 41.4505 -4 *1446:20 *1446:24 46.3036 -5 *1446:24 *1446:29 6.72768 -6 *1446:29 *6590:DIODE 12.7152 -7 *1446:29 *25139:A 9.3 -*END - -*D_NET *1447 0.0231712 -*CONN -*I *25777:A I *D sky130_fd_sc_hd__nand2_2 -*I *25370:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25130:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25309:B1 I *D sky130_fd_sc_hd__a221o_2 -*I *25204:A2 I *D sky130_fd_sc_hd__a22o_2 -*I *25234:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25126:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *25777:A 9.59564e-05 -2 *25370:B1 0.000387116 -3 *25130:B1 0.00014704 -4 *25309:B1 0 -5 *25204:A2 0.000121183 -6 *25234:A2 0.000206566 -7 *25126:Y 0 -8 *1447:78 0.00243736 -9 *1447:60 0.00277226 -10 *1447:51 0.000571258 -11 *1447:44 0.00124264 -12 *1447:15 0.00172608 -13 *1447:4 0.00226049 -14 *25130:B1 *25130:B2 2.01e-05 -15 *25130:B1 *1450:33 9.41642e-05 -16 *25130:B1 *3753:84 2.41198e-05 -17 *25204:A2 *25204:A1 7.13237e-05 -18 *25204:A2 *1475:23 0.000216862 -19 *25204:A2 *3765:30 0.000111393 -20 *25204:A2 *4078:19 5.52302e-05 -21 *25204:A2 *5761:192 5.94179e-05 -22 *25234:A2 *25234:A1 0.000306309 -23 *25234:A2 *25234:B1 0.000390648 -24 *25234:A2 *1873:21 2.42516e-05 -25 *25234:A2 *4077:17 0.000378218 -26 *25234:A2 *5715:125 1.98839e-05 -27 *25370:B1 *25370:A1 2.59355e-05 -28 *25370:B1 *25373:A 7.43578e-06 -29 *25370:B1 *25373:C 9.09879e-06 -30 *25777:A *25924:B 9.60875e-05 -31 *25777:A *25927:A0 9.60875e-05 -32 *25777:A *28234:D 0.000145618 -33 *25777:A *3765:21 3.01998e-05 -34 *25777:A *4077:16 7.95888e-05 -35 *1447:15 *25126:A 0.000755739 -36 *1447:15 *25126:B 3.43708e-05 -37 *1447:15 *1565:20 0.000384324 -38 *1447:15 *3776:42 0.000149031 -39 *1447:15 *4077:17 0.000139337 -40 *1447:15 *5729:34 6.57032e-05 -41 *1447:44 *25142:A 0.000177591 -42 *1447:44 *25142:B 0.000172536 -43 *1447:44 *26902:A0 5.49544e-05 -44 *1447:44 *1463:23 2.47753e-05 -45 *1447:44 *4088:54 0.00083325 -46 *1447:51 *2775:132 6.58974e-05 -47 *1447:51 *3771:22 0.000304647 -48 *1447:51 *4088:54 9.86249e-07 -49 *1447:51 *5605:138 7.90803e-05 -50 *1447:60 *3771:22 0.000126446 -51 *1447:78 *25144:B2 0.000193356 -52 *1447:78 *28877:A 0.000508159 -53 *1447:78 *1475:36 0.000680599 -54 *1447:78 *3576:5 5.33005e-05 -55 *1447:78 *3576:10 0.000794758 -56 *1447:78 *3771:22 0.000248486 -57 *1447:78 *3776:33 3.80953e-06 -58 *1447:78 *3949:41 0.000100736 -59 *1447:78 *4027:11 0.000148047 -60 *25368:B1 *1447:78 1.32091e-05 -61 *25370:A2 *25370:B1 0.000526188 -62 *1235:159 *1447:51 0.000402868 -63 *1235:159 *1447:60 6.1684e-05 -64 *1235:159 *1447:78 0.00012798 -65 *1267:49 *1447:51 0.000158698 -66 *1415:18 *1447:44 0.000101071 -67 *1415:18 *1447:51 0.000595216 -68 *1415:27 *1447:44 0.000704705 -69 *1434:76 *1447:78 0.000149762 -*RES -1 *25126:Y *1447:4 9.3 -2 *1447:4 *1447:15 25.0714 -3 *1447:15 *25234:A2 15.9964 -4 *1447:15 *25204:A2 22.2821 -5 *1447:4 *1447:44 24.4821 -6 *1447:44 *25309:B1 13.8 -7 *1447:44 *1447:51 13.1786 -8 *1447:51 *25130:B1 15.9786 -9 *1447:51 *1447:60 1.64286 -10 *1447:60 *25370:B1 20.0857 -11 *1447:60 *1447:78 49.6607 -12 *1447:78 *25777:A 21.1929 -*END - -*D_NET *1448 0.0596546 -*CONN -*I *25327:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25376:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *25244:B1 I *D sky130_fd_sc_hd__a221o_2 -*I *25129:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25187:B1 I *D sky130_fd_sc_hd__a221o_2 -*I *25822:A I *D sky130_fd_sc_hd__and2_2 -*I *25127:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *25327:B1 0.000114386 -2 *25376:A2 0 -3 *25244:B1 0.00125522 -4 *25129:A2 0.000122381 -5 *25187:B1 5.55299e-05 -6 *25822:A 0.000182773 -7 *25127:Y 3.54071e-05 -8 *1448:160 0.00282295 -9 *1448:141 0.00185252 -10 *1448:136 0.00153334 -11 *1448:127 0.00271174 -12 *1448:100 0.00255584 -13 *1448:60 0.00234337 -14 *1448:46 0.00356272 -15 *1448:27 0.0025291 -16 *1448:15 0.00417015 -17 *1448:5 0.00399 -18 *25129:A2 *1449:20 9.41642e-05 -19 *25129:A2 *1450:16 1.24368e-05 -20 *25129:A2 *3752:19 0.000146972 -21 *25129:A2 *4087:22 0.00014455 -22 *25187:B1 *25187:A1 2.59355e-05 -23 *25187:B1 *25187:B2 3.08382e-06 -24 *25187:B1 *25187:C1 0 -25 *25244:B1 *25244:A1 1.99065e-05 -26 *25244:B1 *25669:A0 9.66977e-05 -27 *25244:B1 *1689:21 8.43535e-06 -28 *25244:B1 *3176:69 0.000219366 -29 *25244:B1 *3176:83 0.000712264 -30 *25244:B1 *3896:86 0.000128961 -31 *25244:B1 *5685:35 0.000422796 -32 *25244:B1 *5687:58 0.00133615 -33 *25327:B1 *25327:A1 0.000138375 -34 *25822:A *25822:B 0.000263734 -35 *25822:A *28262:CLK 0.000338725 -36 *25822:A *3626:35 0.000263524 -37 *25822:A *3710:15 0.000180777 -38 *1448:5 *27947:A2 5.33005e-05 -39 *1448:15 *25110:B2 0.000552081 -40 *1448:15 *27947:A2 0.000425531 -41 *1448:15 *27949:B1 8.25843e-06 -42 *1448:15 *27949:B2 8.27238e-06 -43 *1448:15 *27950:C1 0.000323923 -44 *1448:15 *1483:68 0.000304599 -45 *1448:15 *3209:127 8.25843e-06 -46 *1448:15 *3484:31 9.41642e-05 -47 *1448:15 *3910:76 9.46083e-05 -48 *1448:15 *3920:51 0.000136682 -49 *1448:27 *27949:B1 2.51343e-06 -50 *1448:27 *27949:B2 3.06878e-06 -51 *1448:27 *27952:B2 5.66157e-05 -52 *1448:27 *3753:26 5.83304e-05 -53 *1448:27 *4090:34 9.41642e-05 -54 *1448:27 *5719:55 6.57032e-05 -55 *1448:46 *25187:A1 1.39137e-05 -56 *1448:46 *25187:B2 1.47031e-05 -57 *1448:46 *25187:C1 1.24368e-05 -58 *1448:46 *27910:B1 4.53834e-05 -59 *1448:46 *27910:B2 0 -60 *1448:46 *27937:A2 0.000108515 -61 *1448:46 *1677:8 0.000198317 -62 *1448:46 *3216:163 0.000121573 -63 *1448:46 *3689:11 0.000298048 -64 *1448:46 *3698:78 2.24813e-05 -65 *1448:46 *3713:94 1.68244e-05 -66 *1448:46 *3713:119 0.000353608 -67 *1448:46 *3871:94 4.37992e-05 -68 *1448:46 *4100:14 0.000441973 -69 *1448:46 *5634:170 0.000944021 -70 *1448:46 *5841:8 0.000100823 -71 *1448:60 *24869:B 0.000144038 -72 *1448:60 *25844:A0 1.07719e-05 -73 *1448:60 *25844:A1 5.21937e-05 -74 *1448:60 *25859:A0 0.000957989 -75 *1448:60 *25859:S 9.60939e-05 -76 *1448:60 *25860:A1 0.000200054 -77 *1448:60 *27935:C1 0.000323754 -78 *1448:60 *30468:A 0.000136951 -79 *1448:60 *3702:26 0.000390724 -80 *1448:60 *3710:15 0.000144038 -81 *1448:60 *3830:51 0 -82 *1448:60 *5545:30 1.97381e-05 -83 *1448:60 *5693:16 7.50757e-05 -84 *1448:60 *5693:20 5.62854e-05 -85 *1448:100 *25110:A1 0.000249375 -86 *1448:100 *30780:A 5.33005e-05 -87 *1448:100 *1719:12 0.00025254 -88 *1448:100 *3698:81 0.000138158 -89 *1448:100 *3888:12 0.00209612 -90 *1448:100 *5600:50 1.02504e-05 -91 *1448:100 *5600:57 0.000120697 -92 *1448:127 *1627:12 0.000733385 -93 *1448:127 *2856:196 0.000341579 -94 *1448:127 *2867:149 9.83539e-06 -95 *1448:127 *3173:158 0.000179644 -96 *1448:127 *3413:41 6.06011e-05 -97 *1448:127 *4064:62 0.000253232 -98 *1448:127 *4088:56 0.000423779 -99 *1448:127 *5600:50 0 -100 *1448:127 *5640:53 5.7811e-07 -101 *1448:127 *5640:63 0 -102 *1448:127 *5868:27 1.13346e-05 -103 *1448:136 *25331:C1 1.94945e-05 -104 *1448:136 *1456:38 1.17396e-05 -105 *1448:136 *3206:125 0 -106 *1448:141 *25331:C1 0.000721536 -107 *1448:141 *25376:A1 0.000263315 -108 *1448:141 *1456:38 0.000313465 -109 *1448:141 *3749:113 9.50968e-06 -110 *1448:160 *1615:14 0.000103262 -111 *1448:160 *3662:79 1.90936e-05 -112 *1448:160 *3749:108 2.89045e-05 -113 *1448:160 *3749:113 0.000316529 -114 *1448:160 *3961:64 0.000298483 -115 *1448:160 *5623:66 0.00121176 -116 *6583:DIODE *1448:15 0.000174796 -117 *24913:A *1448:127 6.57032e-05 -118 *25002:B *1448:127 0.000109701 -119 *25111:C1 *1448:100 5.8945e-05 -120 *25117:A2 *1448:127 0.000433578 -121 *25122:B1 *1448:160 9.96264e-05 -122 *25187:A2 *1448:27 1.12387e-05 -123 *25244:A2 *25244:B1 0.000259113 -124 *1239:65 *1448:127 3.69047e-06 -125 *1242:37 *1448:141 6.66295e-05 -126 *1242:41 *1448:127 0.000317124 -127 *1252:37 *25327:B1 0.000114596 -128 *1257:13 *1448:127 4.36671e-05 -129 *1257:142 *1448:100 0.000118982 -130 *1257:142 *1448:127 0.000308332 -131 *1269:40 *1448:127 0.000537559 -132 *1277:33 *1448:160 0.000303777 -133 *1294:95 *1448:160 0.000198802 -134 *1327:78 *1448:127 0.000244117 -135 *1358:19 *1448:127 0 -136 *1396:25 *1448:46 0.00023792 -137 *1400:30 *1448:160 0.000806386 -138 *1400:40 *1448:160 0.000168333 -139 *1406:51 *1448:15 0.000382744 -140 *1408:65 *25327:B1 0.000340995 -141 *1411:60 *1448:127 6.14158e-05 -142 *1412:17 *1448:15 8.7924e-05 -143 *1415:38 *1448:100 0.00209613 -144 *1415:38 *1448:127 7.06711e-05 -145 *1420:40 *1448:136 0.00112608 -146 *1422:20 *1448:46 0.000118482 -147 *1440:38 *25244:B1 5.33005e-05 -148 *1440:40 *25244:B1 5.74499e-06 -149 *1440:85 *1448:160 0.000348531 -*RES -1 *25127:Y *1448:5 9.83571 -2 *1448:5 *1448:15 37.875 -3 *1448:15 *1448:27 23.2679 -4 *1448:27 *1448:46 38.4421 -5 *1448:46 *1448:60 47.7857 -6 *1448:60 *25822:A 20.1036 -7 *1448:27 *25187:B1 10.5679 -8 *1448:15 *25129:A2 21.1929 -9 *1448:5 *1448:100 46.9643 -10 *1448:100 *1448:127 49.4343 -11 *1448:127 *1448:136 13.3409 -12 *1448:136 *1448:141 14.2321 -13 *1448:141 *1448:160 46.8393 -14 *1448:160 *25244:B1 35.05 -15 *1448:141 *25376:A2 9.3 -16 *1448:136 *25327:B1 17.2107 -*END - -*D_NET *1449 0.0855669 -*CONN -*I *25248:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25389:A2 I *D sky130_fd_sc_hd__a2111o_1 -*I *25771:A I *D sky130_fd_sc_hd__nand2_4 -*I *25301:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25196:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25129:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25128:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25248:B1 8.35386e-05 -2 *25389:A2 0.000192826 -3 *25771:A 0 -4 *25301:A2 0.00011019 -5 *25196:B1 0.000112475 -6 *25129:B1 0 -7 *25128:Y 0.000291434 -8 *1449:138 0.000212321 -9 *1449:135 0.00194422 -10 *1449:121 0.00401521 -11 *1449:115 0.0044712 -12 *1449:101 0.00439804 -13 *1449:84 0.00398722 -14 *1449:74 0.00328662 -15 *1449:62 0.00274333 -16 *1449:44 0.00268184 -17 *1449:21 0.0028715 -18 *1449:20 0.00211365 -19 *1449:9 0.000707566 -20 *25196:B1 *25196:C1 1.0033e-05 -21 *25196:B1 *1693:14 0.000101227 -22 *25196:B1 *2874:278 7.6997e-05 -23 *25248:B1 *25248:B2 7.37323e-05 -24 *25248:B1 *25248:C1 0 -25 *25301:A2 *25301:B2 0.000139907 -26 *25301:A2 *1457:80 6.79693e-05 -27 *25301:A2 *1619:10 0.000154939 -28 *25301:A2 *4140:61 4.70947e-05 -29 *25389:A2 *25389:A1 5.7661e-06 -30 *25389:A2 *25389:C1 9.99644e-06 -31 *25389:A2 *28340:CLK 1.90936e-05 -32 *25389:A2 *2855:32 0.000136958 -33 *1449:9 *25128:A 9.25014e-06 -34 *1449:9 *25129:A1 6.90625e-05 -35 *1449:9 *25129:B2 0.00046892 -36 *1449:9 *27583:B2 0.000118981 -37 *1449:9 *1473:33 7.6644e-05 -38 *1449:9 *1493:14 0.000548128 -39 *1449:9 *2864:230 6.45571e-05 -40 *1449:9 *5808:12 0.000328661 -41 *1449:20 *25129:A1 4.18895e-05 -42 *1449:20 *1450:16 0.000368339 -43 *1449:20 *1493:14 0.00089318 -44 *1449:20 *3105:8 0.000379073 -45 *1449:20 *3949:16 0.000189251 -46 *1449:21 *25195:A1 3.37161e-05 -47 *1449:21 *25195:B1 5.33005e-05 -48 *1449:21 *25195:B2 0.00147107 -49 *1449:21 *4091:64 0.00111554 -50 *1449:21 *4105:78 0.00113386 -51 *1449:21 *5614:37 8.43535e-06 -52 *1449:21 *5617:57 0.000547852 -53 *1449:21 *5890:46 0.000301438 -54 *1449:44 *25163:A1 0.000274352 -55 *1449:44 *1634:16 0.000458503 -56 *1449:44 *1834:68 4.73471e-05 -57 *1449:44 *3305:8 0.00036861 -58 *1449:44 *3541:13 0.000750939 -59 *1449:62 *1834:68 6.10163e-05 -60 *1449:62 *1834:70 0.00151472 -61 *1449:62 *3305:8 0.00251719 -62 *1449:62 *4063:48 0.000100585 -63 *1449:62 *4133:77 0.000888285 -64 *1449:74 *1834:70 0.0022492 -65 *1449:74 *3305:8 0.00119037 -66 *1449:74 *3413:51 0.000127359 -67 *1449:74 *3988:44 0.000581183 -68 *1449:74 *4063:59 0.000348208 -69 *1449:84 *6637:DIODE 7.55759e-05 -70 *1449:84 *25394:B2 0.000138697 -71 *1449:84 *27635:C1 0.000483806 -72 *1449:84 *1538:37 2.24813e-05 -73 *1449:84 *1594:35 1.90936e-05 -74 *1449:84 *3907:76 0.000359414 -75 *1449:84 *4129:77 0.000908129 -76 *1449:84 *5583:37 9.55443e-05 -77 *1449:84 *5585:56 1.10105e-05 -78 *1449:84 *5621:34 0.000521223 -79 *1449:84 *5687:73 0.000139701 -80 *1449:84 *5861:52 0.000685099 -81 *1449:84 *5861:53 0.00263814 -82 *1449:101 *25133:C1 9.05554e-05 -83 *1449:101 *27619:A2 1.20653e-05 -84 *1449:101 *1594:23 0.00201926 -85 *1449:101 *1594:35 0.000294761 -86 *1449:101 *1659:31 2.20394e-05 -87 *1449:101 *1700:65 0.00186057 -88 *1449:101 *2893:72 0.000294459 -89 *1449:101 *3194:106 6.66174e-05 -90 *1449:101 *3641:28 2.66789e-06 -91 *1449:101 *5605:33 3.42107e-06 -92 *1449:101 *5691:93 0.00026878 -93 *1449:115 *25282:C 9.26697e-05 -94 *1449:115 *25396:A1 1.90936e-05 -95 *1449:115 *27619:A2 3.69923e-05 -96 *1449:115 *27905:C1 1.40682e-05 -97 *1449:115 *27906:C1 1.5528e-05 -98 *1449:115 *28858:A 0.000385277 -99 *1449:115 *1480:17 4.65519e-05 -100 *1449:115 *1588:11 0.000830401 -101 *1449:115 *1659:31 2.62977e-05 -102 *1449:115 *1700:65 6.20441e-06 -103 *1449:115 *2776:65 1.51217e-05 -104 *1449:115 *2834:59 0.000211158 -105 *1449:115 *3875:63 2.996e-06 -106 *1449:115 *5605:33 0.000127359 -107 *1449:115 *5622:48 4.98422e-05 -108 *1449:115 *5666:216 2.64886e-05 -109 *1449:121 *27541:A2 0.000884924 -110 *1449:121 *27866:D 0.00083423 -111 *1449:121 *1452:103 0.000219711 -112 *1449:121 *1599:9 0.00283615 -113 *1449:121 *2834:59 3.2687e-05 -114 *1449:121 *3409:13 7.48301e-06 -115 *1449:121 *3868:41 3.66228e-05 -116 *1449:135 *27532:B2 4.46186e-06 -117 *1449:135 *27532:C1 0.000109342 -118 *1449:135 *27865:C 0.000137983 -119 *1449:135 *1708:14 1.64621e-05 -120 *1449:135 *3212:94 0.000267208 -121 *1449:135 *3409:13 0.000161349 -122 *1449:135 *3869:34 4.05548e-05 -123 *1449:135 *3879:23 0.000721462 -124 *1449:135 *4074:34 0.00198404 -125 *1449:135 *5493:18 1.50181e-05 -126 *1449:138 *1619:10 0.000346278 -127 *1449:138 *4140:61 0.000125291 -128 *25107:C1 *1449:84 0.000677459 -129 *25107:C1 *1449:101 4.88584e-05 -130 *25129:A2 *1449:20 9.41642e-05 -131 *25196:A2 *25196:B1 1.58163e-05 -132 *25288:A2 *1449:74 0.00091661 -133 *25393:A2 *1449:121 0.0017195 -134 *28842:A *1449:74 0.000106126 -135 *30235:A *1449:62 7.9749e-05 -136 *74:11 *1449:101 9.50843e-05 -137 *1178:82 *1449:84 1.13786e-05 -138 *1218:29 *1449:84 0 -139 *1248:81 *1449:101 0.000216354 -140 *1248:120 *1449:62 0.000102637 -141 *1249:17 *1449:74 2.35985e-05 -142 *1249:67 *1449:62 0.000110498 -143 *1266:22 *1449:62 4.65519e-05 -144 *1268:37 *1449:62 6.32409e-06 -145 *1269:15 *1449:21 0.000556747 -146 *1269:15 *1449:44 0.000375366 -147 *1274:17 *1449:74 6.18371e-05 -148 *1274:59 *1449:62 0.000322999 -149 *1281:40 *1449:115 9.87983e-06 -150 *1282:8 *1449:84 1.94879e-05 -151 *1282:8 *1449:101 2.84109e-05 -152 *1282:12 *1449:84 2.06112e-05 -153 *1288:20 *1449:62 0 -154 *1289:34 *1449:101 0.000123605 -155 *1293:35 *1449:62 0.000310117 -156 *1293:65 *25248:B1 6.06291e-06 -157 *1293:65 *1449:44 0.000272171 -158 *1326:116 *1449:62 5.46992e-06 -159 *1362:174 *25301:A2 2.37944e-05 -160 *1395:146 *1449:21 0.000175892 -161 *1417:15 *25389:A2 5.7903e-06 -162 *1421:26 *1449:115 5.45241e-05 -163 *1421:53 *1449:135 0.00167019 -164 *1421:93 *1449:101 0.000493722 -165 *1421:100 *1449:84 0.000195106 -166 *1427:59 *1449:121 7.80417e-05 -*RES -1 *25128:Y *1449:9 28.1393 -2 *1449:9 *25129:B1 9.3 -3 *1449:9 *1449:20 22.7321 -4 *1449:20 *1449:21 38.7321 -5 *1449:21 *25196:B1 20.6036 -6 *1449:21 *1449:44 33.5893 -7 *1449:44 *1449:62 49.704 -8 *1449:62 *1449:74 48.8857 -9 *1449:74 *1449:84 49.2234 -10 *1449:84 *1449:101 33.8637 -11 *1449:101 *1449:115 16.6608 -12 *1449:115 *1449:121 49.5536 -13 *1449:121 *1449:135 47.1607 -14 *1449:135 *1449:138 8.875 -15 *1449:138 *25301:A2 17.4071 -16 *1449:138 *25771:A 13.8 -17 *1449:101 *25389:A2 19.9868 -18 *1449:44 *25248:B1 15.175 -*END - -*D_NET *1450 0.018672 -*CONN -*I *25130:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25129:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25130:C1 0 -2 *25129:X 0.00133056 -3 *1450:33 0.0011495 -4 *1450:28 0.00221142 -5 *1450:16 0.00239248 -6 *1450:16 *25129:A1 0 -7 *1450:16 *25173:A2 7.41514e-05 -8 *1450:16 *25173:B2 5.33005e-05 -9 *1450:16 *1493:14 0.000165613 -10 *1450:16 *3437:14 0.000167932 -11 *1450:16 *3487:10 0.000538118 -12 *1450:16 *3762:30 0.000629556 -13 *1450:16 *5196:25 0 -14 *1450:16 *5614:60 6.57032e-05 -15 *1450:16 *5614:76 0.000110029 -16 *1450:28 *27947:B2 0.000348828 -17 *1450:28 *1573:22 0.000117997 -18 *1450:28 *1615:14 1.09611e-05 -19 *1450:28 *1687:9 0.000341107 -20 *1450:28 *3220:18 5.20383e-05 -21 *1450:28 *3686:83 0.000173572 -22 *1450:28 *3738:41 9.09604e-05 -23 *1450:28 *3738:58 0.000315887 -24 *1450:28 *3740:41 0.000593389 -25 *1450:28 *3776:44 3.19295e-05 -26 *1450:28 *3868:74 1.27529e-05 -27 *1450:28 *3975:44 7.46132e-05 -28 *1450:28 *5808:53 0.000742141 -29 *1450:28 *5808:95 0.00037666 -30 *1450:33 *25130:B2 0.000710075 -31 *1450:33 *25142:A 1.94945e-05 -32 *1450:33 *25377:A1 0.000812804 -33 *1450:33 *27002:A1 0.000342502 -34 *1450:33 *3220:18 6.057e-07 -35 *1450:33 *3868:74 0.002295 -36 *1450:33 *4011:54 0.000338112 -37 *1450:33 *4079:43 0.000232177 -38 *25129:A2 *1450:16 1.24368e-05 -39 *25130:B1 *1450:33 9.41642e-05 -40 *25173:B1 *1450:16 5.96516e-05 -41 *25372:B1 *1450:28 4.11218e-05 -42 *29328:A *1450:28 0.000113061 -43 *1396:189 *1450:28 0.000716863 -44 *1410:19 *1450:16 0.000344406 -45 *1449:20 *1450:16 0.000368339 -*RES -1 *25129:X *1450:16 46.6214 -2 *1450:16 *1450:28 35.4219 -3 *1450:28 *1450:33 41.3304 -4 *1450:33 *25130:C1 9.3 -*END - -*D_NET *1451 0.0316594 -*CONN -*I *6591:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25139:B I *D sky130_fd_sc_hd__or4_1 -*I *25130:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *6591:DIODE 0.000313121 -2 *25139:B 0.000100838 -3 *25130:X 0 -4 *1451:39 0.00146102 -5 *1451:26 0.00215283 -6 *1451:6 0.00351986 -7 *1451:5 0.00241408 -8 *6591:DIODE *25139:D 5.18452e-05 -9 *6591:DIODE *1576:27 1.90936e-05 -10 *6591:DIODE *3664:16 1.40441e-05 -11 *6591:DIODE *4129:77 2.06178e-05 -12 *6591:DIODE *5584:20 1.90936e-05 -13 *6591:DIODE *5604:57 4.7339e-05 -14 *6591:DIODE *5626:49 0.000205056 -15 *25139:B *25139:D 3.35726e-05 -16 *1451:6 *24896:B 0.000192821 -17 *1451:6 *25382:C1 0.000328173 -18 *1451:6 *1559:10 0.000261887 -19 *1451:6 *1631:16 1.4663e-05 -20 *1451:6 *2775:156 6.9548e-05 -21 *1451:6 *2874:290 3.25355e-05 -22 *1451:6 *2874:305 0.000169437 -23 *1451:6 *3173:158 0.000239051 -24 *1451:6 *3784:79 0.000246202 -25 *1451:6 *3927:20 1.24912e-05 -26 *1451:6 *4022:47 0.000364352 -27 *1451:6 *4022:60 0.000223615 -28 *1451:6 *4022:75 5.5764e-05 -29 *1451:6 *4088:39 0.000667303 -30 *1451:6 *4109:56 0.00314257 -31 *1451:6 *5832:8 0.00677839 -32 *1451:6 *5845:33 0.000556307 -33 *1451:26 *25107:A1 6.17358e-05 -34 *1451:26 *25331:C1 0.000190062 -35 *1451:26 *1494:38 0.00117302 -36 *1451:26 *1494:48 0.000280024 -37 *1451:26 *1631:16 0.000526044 -38 *1451:26 *3461:26 0.000228987 -39 *1451:26 *3927:20 5.19522e-06 -40 *1451:26 *4022:75 0.00201932 -41 *1451:39 *3907:63 2.5868e-05 -42 *1451:39 *3962:61 0.000380654 -43 *1451:39 *4070:63 0.000243663 -44 *1451:39 *5626:49 0.000376866 -45 *1451:39 *5817:15 9.41642e-05 -46 *6590:DIODE *6591:DIODE 9.41642e-05 -47 *6590:DIODE *25139:B 9.7049e-06 -48 *6590:DIODE *1451:39 9.41642e-05 -49 *25108:D *1451:26 6.05161e-06 -50 *25117:A2 *1451:26 5.84987e-05 -51 *25117:A2 *1451:39 0.00013833 -52 *25117:B1 *1451:39 7.15905e-06 -53 *25153:A2 *1451:6 0.000498687 -54 *25331:B1 *1451:26 9.21418e-06 -55 *1227:25 *1451:39 0.000298483 -56 *1235:17 *1451:39 0.000107386 -57 *1242:61 *1451:26 0 -58 *1268:11 *1451:26 6.05161e-06 -59 *1268:37 *1451:26 3.37729e-05 -60 *1269:86 *1451:6 0.000148431 -61 *1279:44 *1451:39 0.000301016 -62 *1284:19 *1451:39 0.000423003 -63 *1289:19 *6591:DIODE 2.04825e-05 -64 *1438:10 *1451:39 7.16923e-05 -*RES -1 *25130:X *1451:5 13.8 -2 *1451:5 *1451:6 89.9821 -3 *1451:6 *1451:26 47.7143 -4 *1451:26 *1451:39 21.8036 -5 *1451:39 *25139:B 11.175 -6 *1451:39 *6591:DIODE 27.6351 -*END - -*D_NET *1452 0.0483809 -*CONN -*I *25408:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25279:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25310:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25132:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *25178:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25658:A I *D sky130_fd_sc_hd__and2_2 -*I *25131:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *25408:B1 0.00100546 -2 *25279:B1 0 -3 *25310:B1 0 -4 *25132:B1 0.000277221 -5 *25178:B1 0.000323454 -6 *25658:A 0 -7 *25131:Y 4.85169e-05 -8 *1452:114 0.00264188 -9 *1452:103 0.00450218 -10 *1452:57 0.00176537 -11 *1452:35 0.00360185 -12 *1452:27 0.00406753 -13 *1452:13 0.00259514 -14 *1452:9 0.00122121 -15 *25132:B1 *25132:A1 2.95693e-05 -16 *25132:B1 *25132:B2 5.57375e-05 -17 *25132:B1 *25940:A0 0.000135028 -18 *25132:B1 *30020:A 3.466e-06 -19 *25132:B1 *1898:10 3.47641e-06 -20 *25132:B1 *1898:17 5.25884e-05 -21 *25132:B1 *4000:8 0.000125917 -22 *25132:B1 *6225:48 6.05161e-06 -23 *25178:B1 *25087:A 0.000264514 -24 *25178:B1 *25178:A1 4.14454e-05 -25 *25178:B1 *28368:CLK 0 -26 *25178:B1 *3651:10 2.27016e-05 -27 *25178:B1 *3792:33 0.000282431 -28 *25178:B1 *5734:13 4.58194e-05 -29 *25178:B1 *5738:37 0.000578388 -30 *25408:B1 *25408:B2 4.2821e-05 -31 *25408:B1 *1723:27 0.000127822 -32 *25408:B1 *2888:71 2.23987e-05 -33 *25408:B1 *5619:17 0.000461015 -34 *25408:B1 *5665:6 8.43579e-05 -35 *25408:B1 *5665:13 0.000735359 -36 *1452:9 *25262:B2 8.36075e-05 -37 *1452:9 *3183:98 9.71197e-05 -38 *1452:13 *25310:A1 5.33005e-05 -39 *1452:13 *25311:C1 0.000142625 -40 *1452:13 *3568:93 1.38323e-05 -41 *1452:13 *4088:65 0.000143922 -42 *1452:13 *5665:14 0.000542777 -43 *1452:27 *25272:B2 0.000223182 -44 *1452:27 *25310:A1 5.33005e-05 -45 *1452:27 *25310:B2 9.41642e-05 -46 *1452:27 *27968:B1 0 -47 *1452:27 *1591:11 3.49315e-05 -48 *1452:27 *2860:64 0.000845198 -49 *1452:27 *3568:93 1.38323e-05 -50 *1452:27 *3999:17 8.19176e-05 -51 *1452:27 *5166:23 0.00108809 -52 *1452:27 *5685:122 0.000455946 -53 *1452:27 *5706:242 0.00011071 -54 *1452:27 *5718:88 0.000677172 -55 *1452:35 *25132:B2 0.000148298 -56 *1452:35 *3805:47 5.58905e-06 -57 *1452:35 *5685:122 0.00154725 -58 *1452:35 *5710:206 0.000506631 -59 *1452:57 *25658:B 2.46523e-05 -60 *1452:57 *28017:S 8.13056e-05 -61 *1452:57 *28369:CLK 0 -62 *1452:57 *1471:64 5.85692e-05 -63 *1452:57 *1831:18 1.92789e-05 -64 *1452:57 *3568:49 0.000116113 -65 *1452:57 *3671:28 0.000147074 -66 *1452:57 *3802:11 1.58163e-05 -67 *1452:57 *3892:33 4.43904e-05 -68 *1452:57 *3892:37 0.000517904 -69 *1452:57 *3908:35 0.000106067 -70 *1452:57 *5710:190 0 -71 *1452:57 *5710:206 0.00056097 -72 *1452:103 *1722:21 0.000103262 -73 *1452:103 *2834:59 5.96516e-05 -74 *1452:103 *3389:28 0.000583043 -75 *1452:103 *3868:41 9.58126e-05 -76 *1452:103 *4088:65 0.000464546 -77 *1452:103 *5619:17 0.00024513 -78 *1452:103 *5619:22 0.00126452 -79 *1452:103 *5665:14 3.46866e-05 -80 *1452:114 *25279:A1 1.40415e-05 -81 *1452:114 *25280:C1 5.38242e-05 -82 *1452:114 *2855:39 0.00213808 -83 *1452:114 *3209:59 0.000500142 -84 *1452:114 *3506:25 1.90936e-05 -85 *1452:114 *5510:116 0.000263108 -86 *25310:A2 *1452:13 5.33005e-05 -87 *25399:B1 *1452:57 0 -88 *461:19 *1452:27 0.0011392 -89 *564:19 *1452:57 2.74787e-05 -90 *759:16 *1452:35 0.0011392 -91 *1239:14 *25408:B1 0.0013105 -92 *1239:14 *1452:103 0.000249023 -93 *1252:24 *1452:103 0.000165911 -94 *1327:83 *1452:103 0.000227033 -95 *1401:49 *1452:27 0.000140489 -96 *1407:89 *1452:13 0.000124521 -97 *1407:89 *1452:27 0.000175892 -98 *1421:26 *1452:114 0.000150225 -99 *1421:33 *1452:114 7.50707e-05 -100 *1421:53 *1452:114 6.59012e-07 -101 *1427:71 *1452:13 7.55747e-05 -102 *1430:165 *1452:27 0.00043925 -103 *1430:165 *1452:35 0.00298354 -104 *1442:14 *1452:114 1.90936e-05 -105 *1449:121 *1452:103 0.000219711 -*RES -1 *25131:Y *1452:9 15.175 -2 *1452:9 *1452:13 13.2679 -3 *1452:13 *1452:27 25.0299 -4 *1452:27 *1452:35 18.1879 -5 *1452:35 *1452:57 44.7143 -6 *1452:57 *25658:A 9.3 -7 *1452:35 *25178:B1 27.8179 -8 *1452:27 *25132:B1 22.4511 -9 *1452:13 *25310:B1 9.3 -10 *1452:9 *1452:103 35.1875 -11 *1452:103 *1452:114 42.7579 -12 *1452:114 *25279:B1 9.3 -13 *1452:103 *25408:B1 36.7554 -*END - -*D_NET *1453 0.0416989 -*CONN -*I *25133:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25132:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *25133:C1 0.00323826 -2 *25132:X 0.00601261 -3 *1453:17 0.00925087 -4 *25133:C1 *25133:B1 1.14175e-06 -5 *25133:C1 *25133:B2 7.27451e-06 -6 *25133:C1 *25273:B1 0.000112193 -7 *25133:C1 *25283:C 0.000578073 -8 *25133:C1 *25284:C 0.000107157 -9 *25133:C1 *25336:A1 0.0012916 -10 *25133:C1 *27932:A2 0.000315517 -11 *25133:C1 *1484:23 0.00182222 -12 *25133:C1 *1535:55 0.000914834 -13 *25133:C1 *1594:23 0.000129749 -14 *25133:C1 *3176:62 0.000421074 -15 *25133:C1 *3305:19 0 -16 *25133:C1 *3667:41 0.000246132 -17 *25133:C1 *3768:42 5.48376e-05 -18 *25133:C1 *3777:51 2.05803e-05 -19 *25133:C1 *5605:33 8.33331e-06 -20 *25133:C1 *5605:34 0.000318836 -21 *25133:C1 *5635:63 6.95594e-05 -22 *25133:C1 *5691:93 2.78265e-05 -23 *1453:17 *25150:B 0.000129722 -24 *1453:17 *25951:A1 0.0014 -25 *1453:17 *28384:RESET_B 0.000283238 -26 *1453:17 *1471:37 6.34269e-05 -27 *1453:17 *1479:34 0.000182729 -28 *1453:17 *1485:39 0.00315212 -29 *1453:17 *1721:35 0.000159968 -30 *1453:17 *1723:27 0.00019107 -31 *1453:17 *2867:39 2.23359e-05 -32 *1453:17 *3875:51 0.000133633 -33 *1453:17 *4263:9 1.95625e-05 -34 *1453:17 *4265:8 0.000170318 -35 *1453:17 *5488:79 0.000670324 -36 *1453:17 *5665:268 0.000247821 -37 *1453:17 *5685:122 6.05161e-06 -38 *1453:17 *5699:156 0.000326147 -39 *1453:17 *6317:85 0.00133151 -40 *25132:A2 *1453:17 2.47367e-05 -41 *25282:A *1453:17 0.000707626 -42 *26836:B *1453:17 0.000167762 -43 *28842:A *25133:C1 1.61301e-05 -44 *1248:81 *25133:C1 0.00200986 -45 *1256:31 *25133:C1 3.67552e-05 -46 *1257:46 *25133:C1 0.000417644 -47 *1257:69 *1453:17 0.000132151 -48 *1263:62 *1453:17 0.000582281 -49 *1263:88 *1453:17 0.00122106 -50 *1273:47 *1453:17 0.00114163 -51 *1277:16 *1453:17 0.000288973 -52 *1277:44 *1453:17 0.000195881 -53 *1294:64 *1453:17 2.12005e-05 -54 *1294:77 *1453:17 0.000128334 -55 *1326:14 *1453:17 0.00057075 -56 *1326:95 *1453:17 0.000331005 -57 *1441:14 *1453:17 0.000151862 -58 *1441:99 *1453:17 2.40962e-05 -59 *1449:101 *25133:C1 9.05554e-05 -*RES -1 *25132:X *1453:17 46.6679 -2 *1453:17 *25133:C1 48.7926 -*END - -*D_NET *1454 0.0116191 -*CONN -*I *25139:C I *D sky130_fd_sc_hd__or4_1 -*I *25133:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25139:C 0.000430197 -2 *25133:X 0.000583408 -3 *1454:16 0.0014918 -4 *1454:10 0.00164501 -5 *25139:C *25139:D 3.45371e-05 -6 *25139:C *28845:A 1.90303e-05 -7 *25139:C *5626:49 0.000142979 -8 *1454:10 *25183:B2 2.11419e-05 -9 *1454:10 *25224:B 0.00069383 -10 *1454:10 *1642:20 0.000191167 -11 *1454:10 *3306:6 0.000402358 -12 *1454:10 *3913:70 0.000146474 -13 *1454:10 *5584:76 9.8621e-05 -14 *1454:10 *5605:56 6.62911e-05 -15 *1454:16 *1700:37 0.00125013 -16 *1454:16 *1864:116 0.000186065 -17 *1454:16 *3867:80 0.000177418 -18 *1454:16 *3998:50 0.00124841 -19 *1454:16 *5597:21 0.000665294 -20 *6590:DIODE *25139:C 1.8371e-05 -21 *1446:24 *1454:16 0.00191412 -22 *1446:29 *25139:C 0.00019241 -*RES -1 *25133:X *1454:10 33.6393 -2 *1454:10 *1454:16 44.2321 -3 *1454:16 *25139:C 16.0143 -*END - -*D_NET *1455 0.0611414 -*CONN -*I *25289:A2 I *D sky130_fd_sc_hd__a22o_2 -*I *25262:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25138:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25183:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25391:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25948:A I *D sky130_fd_sc_hd__and2_2 -*I *25134:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *25289:A2 0.000149622 -2 *25262:A2 0 -3 *25138:B1 0.000644407 -4 *25183:B1 0.00211362 -5 *25391:A2 0.000370538 -6 *25948:A 0 -7 *25134:Y 0.00148901 -8 *1455:96 0.00298823 -9 *1455:93 0.00471801 -10 *1455:55 0.00707096 -11 *1455:28 0.00418209 -12 *1455:19 0.00276625 -13 *1455:12 0.00071613 -14 *1455:11 0.00155798 -15 *25138:B1 *6390:DIODE 7.30699e-05 -16 *25138:B1 *25138:A1 1.40034e-05 -17 *25138:B1 *25138:B2 3.57366e-05 -18 *25138:B1 *25185:A1 0.000136682 -19 *25138:B1 *4063:59 0.00057259 -20 *25138:B1 *5817:16 0.000650126 -21 *25138:B1 *5892:124 0.000650126 -22 *25183:B1 *25183:A1 3.57366e-05 -23 *25183:B1 *25223:B1 0.000398523 -24 *25183:B1 *1538:37 0 -25 *25183:B1 *1576:27 3.91627e-05 -26 *25183:B1 *2778:120 2.42775e-05 -27 *25183:B1 *2860:164 2.26327e-05 -28 *25183:B1 *3768:42 8.94556e-05 -29 *25183:B1 *3830:95 6.57026e-05 -30 *25183:B1 *3987:58 0.000347566 -31 *25183:B1 *4063:59 0.00030162 -32 *25183:B1 *5583:112 0.000630881 -33 *25183:B1 *5584:43 0.000464893 -34 *25183:B1 *5869:74 2.97164e-05 -35 *25289:A2 *28344:CLK 0.000111931 -36 *25289:A2 *1580:12 0.000271106 -37 *25289:A2 *3212:21 5.44645e-05 -38 *25289:A2 *3844:41 0.000259904 -39 *25391:A2 *25389:A1 0.000159647 -40 *25391:A2 *25391:A1 5.92421e-05 -41 *25391:A2 *1588:11 7.18838e-05 -42 *25391:A2 *4074:59 4.46158e-05 -43 *25391:A2 *6317:100 4.11288e-05 -44 *1455:11 *27919:A2 9.60939e-05 -45 *1455:11 *30773:A 9.41642e-05 -46 *1455:11 *1500:11 8.34489e-05 -47 *1455:11 *1721:10 2.70899e-05 -48 *1455:11 *1721:14 4.53834e-05 -49 *1455:11 *3875:51 8.90783e-05 -50 *1455:11 *5052:19 3.45337e-05 -51 *1455:11 *5620:46 0.000144439 -52 *1455:11 *5622:79 0.000125178 -53 *1455:11 *5622:90 5.96516e-05 -54 *1455:11 *5698:203 0.000145327 -55 *1455:12 *1580:12 0.000220463 -56 *1455:12 *5667:136 0.000196276 -57 *1455:19 *25388:C1 0.000156101 -58 *1455:19 *1580:12 0.00141306 -59 *1455:19 *3844:41 0.000272008 -60 *1455:19 *4873:22 0.000202827 -61 *1455:28 *25289:B1 0.000500467 -62 *1455:28 *25954:A 6.60633e-06 -63 *1455:28 *29031:A 0.000119311 -64 *1455:28 *1479:59 0.000451765 -65 *1455:28 *1581:11 0.000292014 -66 *1455:28 *1721:14 0.000614357 -67 *1455:28 *2865:57 0.000219289 -68 *1455:28 *3158:36 0.000381734 -69 *1455:28 *3790:32 0.000276686 -70 *1455:28 *3853:45 9.79419e-05 -71 *1455:28 *5442:27 0.0009401 -72 *1455:55 *25161:B1 6.53164e-05 -73 *1455:55 *27963:C1 0.00015778 -74 *1455:55 *1657:19 5.00809e-05 -75 *1455:55 *2871:39 0.000136708 -76 *1455:55 *3425:20 0.000156499 -77 *1455:55 *3425:27 0.000266859 -78 *1455:55 *3996:21 0.000106775 -79 *1455:55 *5591:53 3.77106e-05 -80 *1455:55 *5655:65 6.12802e-05 -81 *1455:55 *6317:100 0.000222838 -82 *1455:93 *25184:B2 4.15728e-05 -83 *1455:93 *25330:A1 5.41794e-05 -84 *1455:93 *1479:101 0.000167762 -85 *1455:93 *1482:8 0.00018741 -86 *1455:93 *1485:39 0.0037778 -87 *1455:93 *1545:28 8.69554e-05 -88 *1455:93 *1588:11 0.00013169 -89 *1455:93 *2895:79 2.63501e-05 -90 *1455:93 *3183:167 0.00013415 -91 *1455:93 *3183:169 6.57648e-05 -92 *1455:93 *3314:41 0.00116484 -93 *1455:93 *5386:11 1.30261e-07 -94 *1455:93 *5605:33 0.000615544 -95 *1455:93 *5620:18 0.00077826 -96 *1455:93 *5655:150 0.00013415 -97 *1455:93 *5892:145 8.61584e-05 -98 *1455:96 *1545:28 1.40034e-05 -99 *1455:96 *3547:11 5.33005e-05 -100 *1455:96 *3913:73 0.000709653 -101 *1455:96 *5626:99 0.000584528 -102 *25138:A2 *25138:B1 6.46593e-05 -103 *25277:A2 *25183:B1 7.46146e-05 -104 *25277:B1 *25183:B1 0.000733422 -105 *25282:A *1455:93 0.000335167 -106 *25310:A2 *1455:28 0 -107 *25410:A2 *25391:A2 0.000210276 -108 *25410:A2 *1455:93 0.000522386 -109 *29103:A *1455:19 1.95625e-05 -110 *29252:A *1455:28 5.49489e-05 -111 *30335:A *1455:11 8.17274e-05 -112 *31014:A *1455:93 0.00182826 -113 *1218:62 *1455:28 2.95944e-05 -114 *1227:26 *1455:93 0.000124005 -115 *1235:35 *1455:93 0.000241997 -116 *1235:52 *1455:28 0.000612451 -117 *1235:52 *1455:55 0.00110926 -118 *1235:52 *1455:93 0.000213514 -119 *1241:10 *25138:B1 0.000580255 -120 *1241:10 *25183:B1 0.00030162 -121 *1250:20 *25183:B1 0.000113068 -122 *1250:187 *1455:28 2.1131e-05 -123 *1252:21 *1455:28 7.78257e-05 -124 *1254:116 *1455:12 1.41029e-05 -125 *1254:116 *1455:19 0.000104297 -126 *1254:127 *1455:19 1.81412e-05 -127 *1254:144 *1455:19 8.31798e-05 -128 *1256:31 *25183:B1 7.43548e-05 -129 *1257:46 *1455:93 0.000268771 -130 *1271:16 *1455:11 0.000559304 -131 *1271:161 *25183:B1 0.000343549 -132 *1273:68 *1455:55 5.99199e-06 -133 *1276:43 *1455:93 0.000198909 -134 *1281:12 *1455:11 0.000183713 -135 *1282:37 *1455:28 0 -136 *1326:14 *1455:93 9.46112e-05 -137 *1420:22 *1455:55 1.85278e-05 -138 *1441:14 *1455:11 1.94945e-05 -*RES -1 *25134:Y *1455:11 49.9964 -2 *1455:11 *1455:12 2.85714 -3 *1455:12 *25948:A 13.8 -4 *1455:12 *1455:19 18.7946 -5 *1455:19 *1455:28 32.8925 -6 *1455:28 *1455:55 45.286 -7 *1455:55 *25391:A2 21.6795 -8 *1455:55 *1455:93 45.5446 -9 *1455:93 *1455:96 11.6071 -10 *1455:96 *25183:B1 37.3604 -11 *1455:96 *25138:B1 42.6036 -12 *1455:28 *25262:A2 9.3 -13 *1455:19 *25289:A2 18.5411 -*END - -*D_NET *1456 0.0747795 -*CONN -*I *25249:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25182:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25378:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25876:A I *D sky130_fd_sc_hd__and2_2 -*I *6592:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25304:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25137:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25135:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25249:B1 0.000296323 -2 *25182:B1 0.00074298 -3 *25378:B1 0 -4 *25876:A 9.72553e-06 -5 *6592:DIODE 0.000262981 -6 *25304:A2 0 -7 *25137:A2 2.79199e-05 -8 *25135:Y 0 -9 *1456:134 0.00270839 -10 *1456:125 0.00440607 -11 *1456:116 0.0016778 -12 *1456:82 0.00231242 -13 *1456:64 0.00409349 -14 *1456:42 0.00215797 -15 *1456:41 0.00258773 -16 *1456:38 0.00670741 -17 *1456:18 0.00358933 -18 *1456:4 0.00378871 -19 *6592:DIODE *25304:A1 0.000262498 -20 *6592:DIODE *25304:B1 1.60834e-05 -21 *6592:DIODE *1622:13 1.85443e-05 -22 *25182:B1 *6654:DIODE 7.61603e-05 -23 *25182:B1 *25182:B2 0.000136676 -24 *25182:B1 *27360:B2 0.000430653 -25 *25182:B1 *28539:CLK 0.000306311 -26 *25182:B1 *28540:D 0.00013833 -27 *25182:B1 *2888:213 0.000432361 -28 *25182:B1 *3678:76 0.000101738 -29 *25182:B1 *3679:59 5.52238e-05 -30 *25182:B1 *3899:40 5.31113e-05 -31 *25182:B1 *3952:68 0.000343241 -32 *25182:B1 *4069:89 2.84398e-05 -33 *25249:B1 *25249:B2 5.09596e-06 -34 *25249:B1 *3899:40 0.00011984 -35 *25249:B1 *4069:89 2.42564e-05 -36 *25249:B1 *5708:141 6.4045e-05 -37 *25876:A *30243:A 9.60337e-06 -38 *25876:A *5699:26 2.06178e-05 -39 *1456:18 *1473:14 0.000830902 -40 *1456:18 *1571:5 0.000135028 -41 *1456:18 *3389:20 0.000140331 -42 *1456:18 *4088:56 0.000295797 -43 *1456:18 *5600:57 0.000340759 -44 *1456:18 *5605:138 9.25014e-06 -45 *1456:18 *5617:57 0.000559443 -46 *1456:38 *25122:A1 0.00222425 -47 *1456:38 *25331:C1 4.57636e-05 -48 *1456:38 *1472:25 0.000180192 -49 *1456:38 *1645:16 1.90936e-05 -50 *1456:38 *1719:12 0.00252232 -51 *1456:38 *2867:149 0.000156554 -52 *1456:38 *3206:125 0 -53 *1456:38 *3389:20 0.000182363 -54 *1456:38 *4065:53 3.92033e-05 -55 *1456:38 *4069:132 0.000486116 -56 *1456:38 *4088:56 0.00124746 -57 *1456:38 *5836:78 8.92205e-05 -58 *1456:41 *27929:A1 0.000165795 -59 *1456:41 *27929:B2 2.84109e-05 -60 *1456:41 *27945:B1 0.000756006 -61 *1456:41 *27945:B2 0.00112673 -62 *1456:41 *28329:RESET_B 0.000469068 -63 *1456:41 *2877:105 9.31011e-05 -64 *1456:41 *5646:118 0.000548274 -65 *1456:41 *5650:67 0.000984514 -66 *1456:41 *5650:75 0.000317305 -67 *1456:42 *2878:92 0.000340781 -68 *1456:42 *3763:20 0.000342488 -69 *1456:64 *24879:C_N 0.000132428 -70 *1456:64 *25137:A1 5.42547e-05 -71 *1456:64 *25137:B1 6.37237e-05 -72 *1456:64 *25874:S 0.000194601 -73 *1456:64 *27566:B2 0.000277903 -74 *1456:64 *27569:C 7.9908e-05 -75 *1456:64 *2850:94 4.43299e-05 -76 *1456:64 *2855:103 4.79453e-05 -77 *1456:64 *2878:92 5.37664e-05 -78 *1456:64 *3688:31 0.000112501 -79 *1456:64 *3736:63 4.26759e-05 -80 *1456:64 *3741:27 0.00246268 -81 *1456:64 *3763:20 4.45163e-05 -82 *1456:64 *3793:64 0.000465915 -83 *1456:64 *4127:75 0.000135869 -84 *1456:64 *4142:17 0.000220581 -85 *1456:64 *5686:35 3.15439e-05 -86 *1456:82 *24879:C_N 2.35215e-05 -87 *1456:82 *25304:B1 4.82865e-05 -88 *1456:82 *25467:A2 9.32426e-05 -89 *1456:82 *25491:B 0.000204706 -90 *1456:82 *27913:A1 0.000344944 -91 *1456:82 *27913:B2 0.000585293 -92 *1456:82 *28164:RESET_B 9.18765e-06 -93 *1456:82 *28717:RESET_B 0.000408746 -94 *1456:82 *30219:A 0.000379054 -95 *1456:82 *30431:A 2.53663e-05 -96 *1456:82 *1476:77 6.05161e-06 -97 *1456:82 *1622:13 1.24368e-05 -98 *1456:82 *1844:44 0.000221842 -99 *1456:82 *1872:31 1.02891e-05 -100 *1456:82 *1877:42 0.00031802 -101 *1456:82 *1877:53 5.44745e-05 -102 *1456:82 *1877:66 4.64527e-05 -103 *1456:82 *3184:202 0.000110532 -104 *1456:82 *3414:20 4.27768e-05 -105 *1456:82 *3749:18 0.000386015 -106 *1456:82 *3749:46 0.000108353 -107 *1456:82 *3870:18 2.59024e-05 -108 *1456:82 *3897:32 0.000242707 -109 *1456:82 *4113:36 0.000109966 -110 *1456:82 *4126:39 0 -111 *1456:82 *4127:75 1.2426e-05 -112 *1456:82 *5253:44 0.000424064 -113 *1456:82 *5666:75 0.000123231 -114 *1456:82 *5666:77 0.000314321 -115 *1456:82 *5743:75 0.000540188 -116 *1456:82 *5743:122 0.000288809 -117 *1456:116 *25378:A1 3.57366e-05 -118 *1456:116 *25378:B2 1.28809e-05 -119 *1456:116 *25378:C1 4.02418e-05 -120 *1456:116 *28845:A 0.000429169 -121 *1456:116 *1494:48 0.000208246 -122 *1456:116 *3461:26 0.000209764 -123 *1456:116 *5623:137 0.000473696 -124 *1456:116 *5650:67 0.000164334 -125 *1456:125 *30784:A 0.000246956 -126 *1456:125 *1571:5 0.000122591 -127 *1456:125 *2774:110 0.000118824 -128 *1456:125 *3299:14 5.5692e-05 -129 *1456:125 *3541:11 0.000135028 -130 *1456:125 *5616:19 0.000303092 -131 *1456:134 *6654:DIODE 0.000219711 -132 *1456:134 *25249:B2 0.000516301 -133 *1456:134 *27346:A1 5.41229e-05 -134 *1456:134 *27346:B1 9.87421e-06 -135 *1456:134 *27346:B2 0.000636164 -136 *1456:134 *27347:C1 0.000219289 -137 *1456:134 *28475:D 0.000711998 -138 *1456:134 *3885:5 1.56615e-05 -139 *1456:134 *3885:17 1.65169e-05 -140 *1456:134 *5660:166 0.000233819 -141 *25249:A2 *25249:B1 2.54877e-05 -142 *30512:A *1456:134 6.12434e-05 -143 *402:13 *1456:82 1.75318e-05 -144 *1205:22 *1456:64 2.59355e-05 -145 *1239:65 *1456:38 0.00031705 -146 *1242:37 *1456:38 0.000372063 -147 *1242:41 *1456:38 1.10978e-05 -148 *1242:61 *1456:38 0.000699181 -149 *1248:98 *1456:38 1.47779e-05 -150 *1257:142 *1456:18 0.000996635 -151 *1257:142 *1456:38 0.000126531 -152 *1261:11 *1456:125 0.000843638 -153 *1261:25 *1456:134 0.000128437 -154 *1266:64 *25249:B1 1.23876e-05 -155 *1266:76 *25249:B1 4.16984e-05 -156 *1266:104 *25249:B1 0.000113393 -157 *1269:40 *1456:18 0.000278936 -158 *1269:40 *1456:38 0.000391121 -159 *1274:106 *25182:B1 0.000216755 -160 *1282:158 *1456:38 0.000200962 -161 *1439:23 *1456:116 0.00123059 -162 *1439:37 *1456:41 0.000175892 -163 *1439:37 *1456:116 0.000288334 -164 *1448:136 *1456:38 1.17396e-05 -165 *1448:141 *1456:38 0.000313465 -*RES -1 *25135:Y *1456:4 9.3 -2 *1456:4 *1456:18 35.7679 -3 *1456:18 *1456:38 47.6077 -4 *1456:38 *1456:41 49.3929 -5 *1456:41 *1456:42 4.375 -6 *1456:42 *25137:A2 14.3357 -7 *1456:42 *1456:64 30.4666 -8 *1456:64 *1456:82 49.8475 -9 *1456:82 *25304:A2 9.3 -10 *1456:82 *6592:DIODE 13.0321 -11 *1456:64 *25876:A 17.4868 -12 *1456:38 *1456:116 43.8929 -13 *1456:116 *25378:B1 9.3 -14 *1456:4 *1456:125 45.6964 -15 *1456:125 *1456:134 38.0179 -16 *1456:134 *25182:B1 40.8714 -17 *1456:134 *25249:B1 19.7464 -*END - -*D_NET *1457 0.074169 -*CONN -*I *25394:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25137:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25180:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25301:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25759:A I *D sky130_fd_sc_hd__and2_1 -*I *25281:B1 I *D sky130_fd_sc_hd__a2bb2o_1 -*I *25136:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *25394:A2 0.000193915 -2 *25137:B1 0.00208333 -3 *25180:A2 0 -4 *25301:B1 0 -5 *25759:A 0.000566431 -6 *25281:B1 0.000342486 -7 *25136:Y 0 -8 *1457:117 0.00368891 -9 *1457:111 0.00272649 -10 *1457:99 0.00452398 -11 *1457:80 0.00151016 -12 *1457:55 0.00102758 -13 *1457:51 0.00411377 -14 *1457:35 0.00480585 -15 *1457:15 0.00304319 -16 *1457:5 0.00393145 -17 *25137:B1 *25137:A1 2.84109e-05 -18 *25137:B1 *25327:B2 0.000253106 -19 *25137:B1 *2848:123 3.25779e-05 -20 *25137:B1 *3205:98 4.22431e-05 -21 *25137:B1 *3214:112 2.11419e-05 -22 *25137:B1 *3461:26 0 -23 *25137:B1 *3763:20 1.90936e-05 -24 *25137:B1 *3802:71 0.00140915 -25 *25137:B1 *4036:40 1.94945e-05 -26 *25137:B1 *5717:117 2.04825e-05 -27 *25281:B1 *25281:A1_N 0.000120799 -28 *25281:B1 *4801:19 0.000266479 -29 *25281:B1 *5624:107 0.000564328 -30 *25394:A2 *25394:B1 7.37323e-05 -31 *25394:A2 *5217:14 4.00349e-05 -32 *25759:A *25759:B 0.000143503 -33 *25759:A *25764:A0 8.81605e-05 -34 *25759:A *28229:SET_B 0.000135727 -35 *25759:A *30570:A 2.84398e-05 -36 *25759:A *3793:25 3.47641e-06 -37 *25759:A *5778:31 0.00010682 -38 *1457:15 *25136:B 5.54204e-05 -39 *1457:15 *25298:B 5.04841e-06 -40 *1457:15 *29265:A 0.000220937 -41 *1457:15 *1471:121 0.000751048 -42 *1457:15 *1500:10 9.58126e-05 -43 *1457:15 *1616:8 0.000471607 -44 *1457:15 *3459:23 0.0001946 -45 *1457:15 *3805:47 2.37575e-05 -46 *1457:15 *4001:27 0 -47 *1457:15 *5618:139 0.000631706 -48 *1457:15 *5624:41 0.000305889 -49 *1457:15 *5624:58 8.6229e-06 -50 *1457:35 *25908:A1 1.55885e-05 -51 *1457:35 *25908:S 0.000266479 -52 *1457:35 *25911:S 5.33005e-05 -53 *1457:35 *27853:B2 1.76352e-05 -54 *1457:35 *29265:A 9.87983e-06 -55 *1457:35 *29394:A 4.79453e-05 -56 *1457:35 *29509:A 9.60337e-06 -57 *1457:35 *29986:A 0.000671016 -58 *1457:35 *1481:46 5.7248e-05 -59 *1457:35 *1893:15 0.000601833 -60 *1457:35 *3460:21 0.000301215 -61 *1457:35 *3789:9 0.000166683 -62 *1457:35 *3805:47 3.80392e-05 -63 *1457:35 *4010:21 2.09826e-05 -64 *1457:35 *4371:19 2.04866e-05 -65 *1457:35 *4947:16 0.000413732 -66 *1457:35 *5705:162 0.000695598 -67 *1457:51 *25911:A0 0.000271154 -68 *1457:51 *28017:A1 0.000178425 -69 *1457:51 *28019:A0 0.000251754 -70 *1457:51 *30556:A 0.000187782 -71 *1457:51 *1600:9 2.53584e-05 -72 *1457:51 *3651:75 0.000659416 -73 *1457:51 *3651:80 0.000342682 -74 *1457:51 *3666:98 0.000269999 -75 *1457:51 *3791:75 9.67976e-05 -76 *1457:51 *3804:17 0.000164928 -77 *1457:51 *3804:58 0.000385496 -78 *1457:51 *3814:28 0.000112777 -79 *1457:51 *4139:10 0.000130741 -80 *1457:51 *5017:14 0.000743964 -81 *1457:51 *5074:15 0.000518669 -82 *1457:51 *5619:58 0.000144528 -83 *1457:51 *5665:108 0.000111339 -84 *1457:51 *5735:27 4.50843e-05 -85 *1457:55 *4801:19 0.000310292 -86 *1457:55 *5624:107 0.000299779 -87 *1457:55 *5685:205 8.5819e-05 -88 *1457:80 *25301:B2 4.27338e-05 -89 *1457:80 *27508:B2 7.2754e-05 -90 *1457:80 *4140:24 2.61337e-05 -91 *1457:80 *4140:55 9.32406e-05 -92 *1457:80 *4140:61 0.00137508 -93 *1457:80 *5665:204 0 -94 *1457:80 *5685:205 0.000976467 -95 *1457:80 *5778:31 0 -96 *1457:99 *27905:C1 0.00352754 -97 *1457:99 *1471:121 2.06112e-05 -98 *1457:99 *1600:41 5.00194e-05 -99 *1457:99 *1719:12 0.00119462 -100 *1457:99 *4001:27 0 -101 *1457:111 *27932:C1 1.64276e-05 -102 *1457:111 *1657:56 0.00184368 -103 *1457:111 *1695:10 0.00155066 -104 *1457:111 *4022:76 0.000935201 -105 *1457:111 *5666:262 0.000763058 -106 *1457:117 *25327:A1 0.000716368 -107 *1457:117 *25327:B2 0.00027381 -108 *1457:117 *27932:C1 0.000189867 -109 *1457:117 *1645:16 9.58181e-05 -110 *1457:117 *1657:56 0.000941952 -111 *1457:117 *3845:62 0 -112 *1457:117 *5623:137 0 -113 *25088:A2 *25137:B1 0.00036446 -114 *25088:B1 *25137:B1 3.92033e-05 -115 *25117:B1 *1457:111 0.000176643 -116 *25301:A2 *1457:80 6.79693e-05 -117 *25305:A2 *25394:A2 0.000194005 -118 *25305:A2 *1457:99 0.00021084 -119 *29166:A *1457:80 0 -120 *29987:A *1457:35 0.000979685 -121 *473:29 *1457:35 0.000109173 -122 *1218:62 *1457:99 0.000277966 -123 *1247:43 *25137:B1 0.000183373 -124 *1273:96 *25394:A2 4.83243e-05 -125 *1273:96 *1457:99 5.49868e-05 -126 *1281:30 *1457:99 0.00109372 -127 *1362:136 *1457:51 8.84829e-05 -128 *1362:152 *1457:51 3.39834e-06 -129 *1362:172 *1457:55 0 -130 *1362:174 *1457:55 2.24109e-05 -131 *1362:174 *1457:80 0.000347785 -132 *1401:52 *1457:99 0.00446545 -133 *1401:95 *1457:99 0.00185897 -134 *1408:60 *25137:B1 0.00101584 -135 *1408:65 *1457:117 1.8143e-05 -136 *1430:29 *1457:51 0.000117993 -137 *1430:36 *1457:51 2.28499e-05 -138 *1437:84 *1457:111 0.000107807 -139 *1441:21 *1457:99 4.65519e-05 -140 *1456:64 *25137:B1 6.37237e-05 -*RES -1 *25136:Y *1457:5 13.8 -2 *1457:5 *1457:15 24.375 -3 *1457:15 *1457:35 49.6559 -4 *1457:35 *1457:51 32.1862 -5 *1457:51 *1457:55 8.83929 -6 *1457:55 *25281:B1 17.2464 -7 *1457:55 *25759:A 28.7464 -8 *1457:51 *1457:80 41.8571 -9 *1457:80 *25301:B1 9.3 -10 *1457:15 *25180:A2 9.3 -11 *1457:5 *1457:99 25.8163 -12 *1457:99 *1457:111 45.814 -13 *1457:111 *1457:117 34.1071 -14 *1457:117 *25137:B1 48.3583 -15 *1457:99 *25394:A2 18.8667 -*END - -*D_NET *1458 0.0134473 -*CONN -*I *25138:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25137:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25138:C1 0.00255321 -2 *25137:X 0.00201817 -3 *1458:10 0.00457139 -4 *25138:C1 *25138:B2 0.000178425 -5 *25138:C1 *3802:71 0.000155512 -6 *25138:C1 *3802:78 0.00114045 -7 *25138:C1 *3961:64 0.000591138 -8 *25138:C1 *5623:37 5.20359e-06 -9 *1458:10 *25088:A1 1.39726e-05 -10 *1458:10 *25327:B2 0.00108038 -11 *1458:10 *27958:A2 7.83587e-05 -12 *1458:10 *2877:105 4.85033e-05 -13 *1458:10 *3762:42 7.6644e-05 -14 *1458:10 *3793:64 1.13406e-05 -15 *25088:A2 *1458:10 4.87953e-05 -16 *25088:B1 *1458:10 0.000507264 -17 *25138:A2 *25138:C1 0.000173472 -18 *1252:37 *25138:C1 0.000195054 -*RES -1 *25137:X *1458:10 42.8714 -2 *1458:10 *25138:C1 49.7107 -*END - -*D_NET *1459 0.00115611 -*CONN -*I *25139:D I *D sky130_fd_sc_hd__or4_1 -*I *25138:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25139:D 0.000241907 -2 *25138:X 0.000241907 -3 *25139:D *1576:27 0.000286032 -4 *6590:DIODE *25139:D 1.00733e-05 -5 *6591:DIODE *25139:D 5.18452e-05 -6 *25139:B *25139:D 3.35726e-05 -7 *25139:C *25139:D 3.45371e-05 -8 *1289:19 *25139:D 0.000248717 -9 *1446:29 *25139:D 7.51766e-06 -*RES -1 *25138:X *25139:D 33.5509 -*END - -*D_NET *1460 0.0194768 -*CONN -*I *25166:B I *D sky130_fd_sc_hd__or4_2 -*I *25139:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *25166:B 0 -2 *25139:X 0.000729376 -3 *1460:41 0.00140834 -4 *1460:25 0.00280345 -5 *1460:13 0.00212449 -6 *1460:13 *2866:85 8.43535e-06 -7 *1460:13 *3184:118 0.000877565 -8 *1460:13 *5626:49 2.59355e-05 -9 *1460:13 *5869:85 0.000168062 -10 *1460:13 *6280:18 0.000166347 -11 *1460:25 *2866:85 0.00020166 -12 *1460:25 *3220:28 0.000971833 -13 *1460:25 *3220:44 0 -14 *1460:25 *3685:80 0.000135028 -15 *1460:25 *3739:92 0.000330831 -16 *1460:25 *3828:77 5.14538e-05 -17 *1460:25 *5600:47 9.58126e-05 -18 *1460:25 *5605:114 0.000895166 -19 *1460:25 *5626:29 0.00105099 -20 *1460:41 *25166:C 3.45396e-05 -21 *1460:41 *1486:19 5.33005e-05 -22 *1460:41 *1685:40 0.00032505 -23 *1460:41 *3881:54 0.000800105 -24 *1460:41 *3881:56 0.000125379 -25 *1460:41 *5630:63 0.000272969 -26 *1460:41 *5848:20 0.000820399 -27 *25081:A2 *1460:25 0.00031869 -28 *25117:A2 *1460:25 0.000555433 -29 *25122:A2 *1460:25 0.000174413 -30 *25166:A *1460:41 0.00016759 -31 *1217:24 *1460:41 0.00075255 -32 *1430:88 *1460:25 0.000124677 -33 *1438:19 *1460:13 0.00136643 -34 *1439:49 *1460:41 0.00154055 -*RES -1 *25139:X *1460:13 35.6036 -2 *1460:13 *1460:25 47.0714 -3 *1460:25 *1460:41 47.8929 -4 *1460:41 *25166:B 9.3 -*END - -*D_NET *1461 0.00158344 -*CONN -*I *25141:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25140:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25141:C1 0.000354134 -2 *25140:X 0.000354134 -3 *25141:C1 *25141:B2 9.41642e-05 -4 *25141:C1 *2788:124 0.000504656 -5 *25141:C1 *3312:19 0.000106992 -6 *25141:C1 *5792:28 0.000169357 -*RES -1 *25140:X *25141:C1 37.1 -*END - -*D_NET *1462 0.00483005 -*CONN -*I *25148:A I *D sky130_fd_sc_hd__or4_2 -*I *25141:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25148:A 0 -2 *25141:X 0.00106292 -3 *1462:10 0.00106292 -4 *1462:10 *25141:A1 0.000135028 -5 *1462:10 *27756:A2 0.000569816 -6 *1462:10 *2788:139 0 -7 *1462:10 *3312:19 0.000474409 -8 *1462:10 *3849:30 0.000165023 -9 *1462:10 *4133:12 0.000148196 -10 *1462:10 *4133:28 0.00110937 -11 *25141:B1 *1462:10 8.25843e-06 -12 *25203:B1 *1462:10 9.41091e-05 -*RES -1 *25141:X *1462:10 41.5143 -2 *1462:10 *25148:A 9.3 -*END - -*D_NET *1463 0.0421261 -*CONN -*I *25144:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25900:A I *D sky130_fd_sc_hd__nand2_2 -*I *25364:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25314:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25202:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25246:A2 I *D sky130_fd_sc_hd__a2111o_1 -*I *25142:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *25144:A2 0 -2 *25900:A 0.000528525 -3 *25364:B1 1.50504e-05 -4 *25314:B1 0.00103542 -5 *25202:B1 0.000112406 -6 *25246:A2 0.00033354 -7 *25142:Y 0.00129641 -8 *1463:67 0.00136477 -9 *1463:55 0.000822074 -10 *1463:50 0.00346079 -11 *1463:42 0.00456446 -12 *1463:34 0.000970514 -13 *1463:32 0.00120337 -14 *1463:23 0.00283332 -15 *25202:B1 *25202:B2 5.33005e-05 -16 *25202:B1 *3600:45 0.000170654 -17 *25202:B1 *5582:113 3.97677e-05 -18 *25202:B1 *5585:8 0.000168939 -19 *25202:B1 *5641:162 0.000135028 -20 *25246:A2 *3742:36 5.50052e-05 -21 *25246:A2 *5669:173 0.000632216 -22 *25314:B1 *25202:A1 0.000138489 -23 *25314:B1 *25364:A1 0.000149281 -24 *25314:B1 *27679:A1 1.24368e-05 -25 *25314:B1 *27679:B1 1.21258e-05 -26 *25314:B1 *27679:B2 0.000358179 -27 *25314:B1 *27747:A2 4.46186e-06 -28 *25314:B1 *28628:CLK 0.000406211 -29 *25314:B1 *4115:28 0.000330745 -30 *25314:B1 *5640:167 5.33005e-05 -31 *25314:B1 *5641:243 2.29088e-05 -32 *25364:B1 *25364:A1 3.51393e-05 -33 *25900:A *25903:A1 0.000197984 -34 *25900:A *1892:8 3.57844e-05 -35 *25900:A *2772:85 0.000177221 -36 *25900:A *3578:85 0.000466449 -37 *25900:A *4040:20 0.00112952 -38 *25900:A *5650:304 1.09026e-05 -39 *25900:A *5669:232 0.000101546 -40 *25900:A *5909:44 0.000136501 -41 *1463:23 *25142:A 0.000302545 -42 *1463:23 *25142:B 2.12801e-05 -43 *1463:23 *25377:A1 0.000216478 -44 *1463:23 *1565:20 0 -45 *1463:23 *1573:22 0.000105918 -46 *1463:23 *1687:9 0.000248893 -47 *1463:23 *3742:36 0.000123498 -48 *1463:23 *3790:71 0.000204481 -49 *1463:23 *5607:27 4.15594e-05 -50 *1463:23 *5669:173 0.000135028 -51 *1463:23 *5808:95 0.000270024 -52 *1463:32 *25144:A1 3.34295e-05 -53 *1463:32 *27011:S 0.000326746 -54 *1463:32 *29831:A 0.000168397 -55 *1463:32 *1509:10 0.000266085 -56 *1463:32 *2772:91 0.000585316 -57 *1463:32 *3742:12 0.000218098 -58 *1463:32 *4014:18 9.16325e-06 -59 *1463:32 *4014:22 2.57682e-05 -60 *1463:32 *5909:44 0.000395407 -61 *1463:42 *24856:A 0.000148196 -62 *1463:42 *25144:B1 5.49489e-05 -63 *1463:42 *2772:85 0.000251777 -64 *1463:42 *2772:91 0.001489 -65 *1463:42 *2773:155 0.000335054 -66 *1463:42 *3484:10 0.000148903 -67 *1463:42 *4040:20 0.000902558 -68 *1463:42 *4079:15 4.00679e-05 -69 *1463:42 *5646:195 1.01075e-05 -70 *1463:42 *5760:156 0.00012401 -71 *1463:42 *5909:44 0.000439942 -72 *1463:50 *27409:D 2.88424e-05 -73 *1463:50 *2780:228 0.000105546 -74 *1463:50 *4088:15 0.0010975 -75 *1463:50 *5640:142 0.00015463 -76 *1463:50 *5640:167 0.00175311 -77 *1463:55 *3849:11 0.000136951 -78 *1463:55 *3849:30 9.60939e-05 -79 *1463:55 *4083:31 0.000224872 -80 *1463:55 *5640:167 0.000158965 -81 *1463:55 *5649:243 0.00113175 -82 *1463:67 *25202:A1 0.000339017 -83 *1463:67 *4083:31 0.000261828 -84 *1463:67 *5640:167 0.000281438 -85 *1463:67 *5649:233 9.7759e-06 -86 *1463:67 *5649:243 5.96516e-05 -87 *25077:A2 *1463:23 9.21129e-05 -88 *25115:A2 *1463:32 0.000652566 -89 *25245:A2 *25246:A2 0.000256286 -90 *25558:A0 *1463:42 0.000202835 -91 *29819:A *25900:A 0.000128154 -92 *30183:A *1463:42 6.42145e-05 -93 *1257:153 *1463:23 0.000203774 -94 *1433:34 *1463:32 0.00147355 -95 *1434:47 *1463:32 0.00043608 -96 *1434:76 *1463:32 0.000599538 -97 *1435:81 *1463:32 0.000688049 -98 *1436:13 *1463:23 0.00024681 -99 *1447:44 *1463:23 2.47753e-05 -*RES -1 *25142:Y *1463:23 37.2197 -2 *1463:23 *25246:A2 16.8045 -3 *1463:23 *1463:32 48.3393 -4 *1463:32 *1463:34 4.5 -5 *1463:34 *1463:42 37.6071 -6 *1463:42 *1463:50 48.4821 -7 *1463:50 *1463:55 16.1429 -8 *1463:55 *25202:B1 21.9071 -9 *1463:55 *1463:67 8.33929 -10 *1463:67 *25314:B1 36.3536 -11 *1463:67 *25364:B1 9.72857 -12 *1463:42 *25900:A 31.5321 -13 *1463:34 *25144:A2 9.3 -*END - -*D_NET *1464 0.0438701 -*CONN -*I *25316:A2 I *D sky130_fd_sc_hd__a211o_1 -*I *25144:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25199:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25765:A I *D sky130_fd_sc_hd__nand2_2 -*I *25352:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25234:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25143:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *25316:A2 0 -2 *25144:B1 0.00104479 -3 *25199:B1 0.000629338 -4 *25765:A 0.000520725 -5 *25352:B1 0 -6 *25234:B1 0.000139206 -7 *25143:Y 0 -8 *1464:135 0.00163131 -9 *1464:105 0.00284944 -10 *1464:69 0.00229199 -11 *1464:56 0.00346505 -12 *1464:41 0.00408805 -13 *1464:38 0.000958165 -14 *1464:8 0.00149707 -15 *1464:4 0.00205806 -16 *25144:B1 *25144:B2 0.000168457 -17 *25144:B1 *27944:B1 0.000410238 -18 *25144:B1 *28364:D 5.33334e-05 -19 *25144:B1 *29844:A 9.58126e-05 -20 *25144:B1 *2773:155 0.000240342 -21 *25144:B1 *4079:15 6.8445e-06 -22 *25144:B1 *5729:35 6.99913e-05 -23 *25199:B1 *25199:B2 7.5054e-05 -24 *25199:B1 *1871:12 0.000742722 -25 *25199:B1 *3461:7 2.13804e-05 -26 *25199:B1 *3541:68 0.000433075 -27 *25199:B1 *5301:40 0.000746152 -28 *25199:B1 *5669:30 0.000433075 -29 *25199:B1 *5833:16 8.55871e-05 -30 *25234:B1 *25234:A1 2.24718e-05 -31 *25234:B1 *5715:125 0.000474986 -32 *25765:A *25896:A1 5.74499e-06 -33 *25765:A *28233:RESET_B 5.52302e-05 -34 *25765:A *3413:25 6.4992e-05 -35 *25765:A *3948:64 5.33005e-05 -36 *25765:A *4087:20 2.06112e-05 -37 *25765:A *5719:38 0.000237288 -38 *25765:A *5824:11 0.00038021 -39 *25765:A *5824:84 2.04825e-05 -40 *25765:A *5833:23 3.99614e-06 -41 *25765:A *5833:40 1.24368e-05 -42 *25765:A *6353:12 0.00023901 -43 *1464:8 *1476:216 0.000183374 -44 *1464:8 *1477:8 7.83659e-05 -45 *1464:8 *3541:66 6.25702e-05 -46 *1464:8 *3541:68 1.03904e-05 -47 *1464:8 *4077:29 0.000422962 -48 *1464:8 *5715:125 0.000381545 -49 *1464:8 *5808:46 9.71197e-05 -50 *1464:38 *25115:A1 0 -51 *1464:38 *25929:A0 9.60939e-05 -52 *1464:38 *28337:D 9.25014e-06 -53 *1464:38 *28587:CLK 5.533e-05 -54 *1464:38 *1873:12 0.000222021 -55 *1464:38 *3541:68 0.000287615 -56 *1464:38 *3655:17 4.58194e-05 -57 *1464:38 *3754:44 0.000178301 -58 *1464:38 *3767:8 0.000936846 -59 *1464:38 *4077:29 2.07809e-05 -60 *1464:38 *5301:40 1.18064e-05 -61 *1464:38 *5709:94 1.56e-05 -62 *1464:38 *5833:40 0.000434797 -63 *1464:38 *5833:57 0.000262948 -64 *1464:41 *3541:68 1.04048e-05 -65 *1464:41 *5301:40 4.29471e-05 -66 *1464:56 *25368:C1 0.000334648 -67 *1464:56 *1626:27 3.06878e-06 -68 *1464:56 *1688:16 6.78054e-05 -69 *1464:56 *3576:39 1.53439e-05 -70 *1464:56 *3738:41 0.00059159 -71 *1464:56 *3762:17 0 -72 *1464:56 *3920:40 0.000590876 -73 *1464:56 *4012:11 0 -74 *1464:56 *4012:39 0 -75 *1464:56 *4022:47 2.51343e-06 -76 *1464:56 *4026:24 0.000133706 -77 *1464:56 *4027:11 3.69047e-06 -78 *1464:56 *4035:90 5.21937e-05 -79 *1464:56 *4088:39 0.000317887 -80 *1464:56 *4088:54 1.10714e-05 -81 *1464:56 *4109:53 0.000216813 -82 *1464:56 *5641:96 0.000174261 -83 *1464:56 *5832:8 0.000215234 -84 *1464:69 *25770:S 0.000274417 -85 *1464:69 *3413:25 0.000194036 -86 *1464:69 *3541:68 2.1314e-05 -87 *1464:69 *3655:100 7.71153e-06 -88 *1464:69 *5301:40 0.000641795 -89 *1464:69 *5669:39 8.01328e-05 -90 *1464:69 *5966:16 0.000127439 -91 *1464:105 *25897:S 2.14474e-05 -92 *1464:105 *25898:A0 6.54117e-05 -93 *1464:105 *30115:A 0.000214349 -94 *1464:105 *1816:26 0.000409331 -95 *1464:105 *1869:14 1.81805e-05 -96 *1464:105 *3767:8 0.000629494 -97 *1464:105 *4087:20 0.000455473 -98 *1464:105 *5111:13 0.000252721 -99 *1464:105 *5758:166 0.00022459 -100 *1464:105 *5824:108 0.000160414 -101 *1464:135 *6603:DIODE 0.000227636 -102 *1464:135 *25316:A1 0.000895408 -103 *1464:135 *3958:52 0.00117207 -104 *1464:135 *4022:59 0.000177815 -105 *1464:135 *5808:46 0.00205737 -106 *25234:A2 *25234:B1 0.000390648 -107 *29726:A *25765:A 5.33005e-05 -108 *29741:A *1464:38 8.55871e-05 -109 *29845:A *25144:B1 0.000456438 -110 *29845:A *1464:105 5.52302e-05 -111 *30131:A *1464:105 2.58997e-05 -112 *1235:124 *1464:135 0.000109914 -113 *1362:40 *1464:8 9.90367e-05 -114 *1362:40 *1464:135 0.00108862 -115 *1396:189 *1464:8 4.32957e-05 -116 *1434:33 *1464:38 0.000135028 -117 *1463:42 *25144:B1 5.49489e-05 -*RES -1 *25143:Y *1464:4 9.3 -2 *1464:4 *1464:8 17.7143 -3 *1464:8 *25234:B1 18.8714 -4 *1464:8 *1464:38 48.0536 -5 *1464:38 *1464:41 5.08036 -6 *1464:41 *1464:56 49.1087 -7 *1464:56 *25352:B1 9.3 -8 *1464:41 *1464:69 12.7494 -9 *1464:69 *25765:A 35.1792 -10 *1464:69 *1464:105 38.542 -11 *1464:105 *25199:B1 46.3714 -12 *1464:105 *25144:B1 38.55 -13 *1464:4 *1464:135 42.8393 -14 *1464:135 *25316:A2 9.3 -*END - -*D_NET *1465 0.00932647 -*CONN -*I *25148:B I *D sky130_fd_sc_hd__or4_2 -*I *25144:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25148:B 0.000939071 -2 *25144:X 0.00126173 -3 *1465:14 0.0022008 -4 *25148:B *25148:C 9.27826e-05 -5 *25148:B *25148:D 1.91583e-05 -6 *25148:B *27399:B1 0.000527198 -7 *25148:B *27756:A2 0.000176675 -8 *25148:B *2848:282 2.57391e-05 -9 *25148:B *2848:300 0.000525551 -10 *25148:B *2892:292 0.000376767 -11 *25148:B *3901:79 4.0764e-05 -12 *25148:B *5587:65 7.61204e-05 -13 *25148:B *5646:213 0.000413107 -14 *25148:B *5650:285 4.78097e-05 -15 *25148:B *5660:217 0.000604315 -16 *1465:14 *25147:B2 0.000216755 -17 *1465:14 *2892:305 0.000654792 -18 *1465:14 *3562:16 0.000170661 -19 *1465:14 *3725:18 1.00073e-05 -20 *1465:14 *3790:102 9.23975e-05 -21 *1465:14 *4079:15 0.000177815 -22 *1465:14 *4079:26 0.000189564 -23 *25145:B1 *25148:B 0.000486899 -*RES -1 *25144:X *1465:14 41.3536 -2 *1465:14 *25148:B 43.7295 -*END - -*D_NET *1466 0.00223234 -*CONN -*I *25148:C I *D sky130_fd_sc_hd__or4_2 -*I *25145:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25148:C 0.000564624 -2 *25145:X 0.000564624 -3 *25148:C *25148:D 0.000193735 -4 *25148:C *27104:S 0.000143135 -5 *25148:C *27756:A2 6.18401e-05 -6 *25148:C *1469:21 8.15611e-05 -7 *25148:C *2780:223 9.16666e-05 -8 *25148:C *2866:323 0.000178425 -9 *25148:C *5642:158 4.98323e-05 -10 *25148:C *5646:213 1.98839e-05 -11 *25148:C *5660:217 0.000190229 -12 *25148:B *25148:C 9.27826e-05 -*RES -1 *25145:X *25148:C 42.7017 -*END - -*D_NET *1467 0.00293011 -*CONN -*I *25147:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25146:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25147:C1 0 -2 *25146:X 0.000600012 -3 *1467:27 0.000600012 -4 *1467:27 *25145:A2 4.94502e-05 -5 *1467:27 *25146:B2 6.20441e-06 -6 *1467:27 *27400:A2 0.000129906 -7 *1467:27 *27400:B1 0.000139208 -8 *1467:27 *27400:B2 0.000147117 -9 *1467:27 *27756:A2 8.08996e-05 -10 *1467:27 *1834:49 2.7496e-06 -11 *1467:27 *2845:300 0.000350185 -12 *1467:27 *3901:79 0.000103238 -13 *1467:27 *4076:52 7.69776e-06 -14 *1467:27 *5601:175 4.50265e-05 -15 *1467:27 *5650:280 7.6644e-05 -16 *1467:27 *5662:144 0.000347131 -17 *1467:27 *5836:284 2.04825e-05 -18 *25146:A2 *1467:27 8.98348e-05 -19 *25146:B1 *1467:27 3.60305e-05 -20 *1292:81 *1467:27 9.82852e-05 -*RES -1 *25146:X *1467:27 44.6185 -2 *1467:27 *25147:C1 9.3 -*END - -*D_NET *1468 0.00125097 -*CONN -*I *25148:D I *D sky130_fd_sc_hd__or4_2 -*I *25147:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25148:D 0.000343712 -2 *25147:X 0.000343712 -3 *25148:D *25145:A2 4.22135e-05 -4 *25148:D *25147:A2 4.40153e-05 -5 *25148:D *27400:A2 2.59355e-05 -6 *25148:D *27756:A2 2.34079e-05 -7 *25148:D *5642:158 5.49544e-05 -8 *25148:D *5650:285 0.000160131 -9 *25148:B *25148:D 1.91583e-05 -10 *25148:C *25148:D 0.000193735 -*RES -1 *25147:X *25148:D 33.6714 -*END - -*D_NET *1469 0.0295372 -*CONN -*I *25166:C I *D sky130_fd_sc_hd__or4_2 -*I *25148:X O *D sky130_fd_sc_hd__or4_2 -*CAP -1 *25166:C 0.000638827 -2 *25148:X 0.00220386 -3 *1469:34 0.00250601 -4 *1469:33 0.00292823 -5 *1469:21 0.0032649 -6 *25166:C *1486:19 0.000118298 -7 *1469:21 *25145:A1 0.000344413 -8 *1469:21 *25145:B2 1.99881e-05 -9 *1469:21 *25147:A1 6.35819e-05 -10 *1469:21 *27281:A2 0 -11 *1469:21 *27403:A1 1.11242e-05 -12 *1469:21 *27762:A1 5.49544e-05 -13 *1469:21 *2970:19 6.58294e-06 -14 *1469:21 *3304:22 4.43299e-05 -15 *1469:21 *3719:40 0.000955924 -16 *1469:21 *3810:26 8.23597e-06 -17 *1469:21 *4025:75 0.000198629 -18 *1469:21 *4133:28 4.43299e-05 -19 *1469:21 *5613:22 0.00026941 -20 *1469:21 *5660:217 0.000127355 -21 *1469:33 *28694:D 0.000346639 -22 *1469:33 *3215:248 0.000232999 -23 *1469:33 *3389:20 0.000460965 -24 *1469:33 *5600:61 0.00165934 -25 *1469:34 *3389:20 0.000135432 -26 *1469:34 *4069:132 0.000180722 -27 *1469:34 *4141:34 0.0055564 -28 *1469:34 *5600:50 0.000892979 -29 *1469:34 *5600:57 0.00404646 -30 *1469:34 *5600:61 1.27625e-05 -31 *1469:34 *5605:149 0.000588977 -32 *1469:34 *5649:176 0.000337934 -33 *25148:C *1469:21 8.15611e-05 -34 *25166:A *25166:C 3.25787e-05 -35 *1235:159 *1469:33 0.000165283 -36 *1235:163 *1469:33 0.000765136 -37 *1293:129 *1469:21 0.000197501 -38 *1460:41 *25166:C 3.45396e-05 -*RES -1 *25148:X *1469:21 47.9461 -2 *1469:21 *1469:33 32.3883 -3 *1469:33 *1469:34 72.5268 -4 *1469:34 *25166:C 21.2286 -*END - -*D_NET *1470 0.0521718 -*CONN -*I *25269:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25391:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25179:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25151:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25295:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25670:A I *D sky130_fd_sc_hd__nand2_2 -*I *25149:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *25269:B1 0.000243281 -2 *25391:B1 0.000302541 -3 *25179:B1 0.00011447 -4 *25151:A2 0 -5 *25295:B1 3.10202e-05 -6 *25670:A 0.000221796 -7 *25149:Y 0 -8 *1470:102 0.00141234 -9 *1470:90 0.0027566 -10 *1470:67 0.00325842 -11 *1470:64 0.00404717 -12 *1470:40 0.00466384 -13 *1470:30 0.000255494 -14 *1470:27 0.00305432 -15 *1470:20 0.00458634 -16 *1470:4 0.00184078 -17 *25179:B1 *25179:B2 0.000257619 -18 *25179:B1 *3666:52 0.00032691 -19 *25179:B1 *5694:43 2.42516e-05 -20 *25269:B1 *25269:B2 2.84026e-05 -21 *25269:B1 *25396:B1 1.21258e-05 -22 *25269:B1 *2886:41 0.000137561 -23 *25269:B1 *3209:52 9.43546e-06 -24 *25269:B1 *3875:63 4.00349e-05 -25 *25269:B1 *3989:20 8.00948e-05 -26 *25295:B1 *27916:C 1.95035e-05 -27 *25295:B1 *3165:222 2.04825e-05 -28 *25295:B1 *5685:52 6.69871e-05 -29 *25391:B1 *25391:A1 0.000176018 -30 *25391:B1 *2855:32 5.33614e-05 -31 *25391:B1 *2855:39 2.59355e-05 -32 *25391:B1 *3875:63 0 -33 *25391:B1 *4074:59 0.000147465 -34 *25670:A *3184:207 0.000154762 -35 *25670:A *3428:22 8.16036e-05 -36 *25670:A *3866:35 2.47753e-05 -37 *1470:20 *27486:A2 0.000260574 -38 *1470:20 *27542:A1 0.000165221 -39 *1470:20 *3060:9 9.80415e-05 -40 *1470:20 *3856:29 0.000514623 -41 *1470:20 *3866:35 0.000121607 -42 *1470:20 *4131:36 9.65172e-05 -43 *1470:20 *5651:56 0.00212772 -44 *1470:27 *25410:B2 0.000139946 -45 *1470:27 *27916:C 6.5919e-05 -46 *1470:27 *2848:146 0.000682119 -47 *1470:27 *2859:35 0.00270977 -48 *1470:27 *3164:69 7.32272e-05 -49 *1470:27 *3457:28 1.61841e-05 -50 *1470:27 *3736:51 3.40072e-05 -51 *1470:27 *5500:57 0.000259057 -52 *1470:30 *27916:C 5.54974e-05 -53 *1470:30 *3165:222 5.35702e-05 -54 *1470:30 *5685:52 0.000174837 -55 *1470:40 *2886:41 0.000447727 -56 *1470:40 *3192:60 0.000440241 -57 *1470:64 *25305:B2 1.93337e-05 -58 *1470:64 *25397:A1 0.000269473 -59 *1470:64 *27905:C1 8.70542e-06 -60 *1470:64 *1713:26 0 -61 *1470:64 *2867:99 1.07167e-05 -62 *1470:64 *3205:72 0 -63 *1470:64 *3506:19 0 -64 *1470:64 *3841:55 1.04894e-05 -65 *1470:64 *4186:20 4.10533e-05 -66 *1470:64 *5491:44 0.000482449 -67 *1470:64 *5491:59 6.60633e-06 -68 *1470:64 *5666:216 0.000666316 -69 *1470:67 *3868:62 0.000999343 -70 *1470:67 *3881:33 0.000999343 -71 *1470:90 *25151:A1 7.73799e-05 -72 *1470:90 *25151:B1 1.74852e-05 -73 *1470:90 *25179:B2 0.000718098 -74 *1470:90 *25870:B 0.000157768 -75 *1470:90 *27521:A2 0.000523991 -76 *1470:90 *27960:B1 4.58712e-05 -77 *1470:90 *28158:D 0.000672722 -78 *1470:90 *1476:57 5.88484e-05 -79 *1470:90 *1887:70 0.00017985 -80 *1470:90 *2850:88 6.57603e-05 -81 *1470:90 *3185:320 6.51414e-05 -82 *1470:90 *3452:32 4.40545e-06 -83 *1470:90 *3666:52 0.00129272 -84 *1470:90 *3779:59 0.000178293 -85 *1470:90 *3779:97 4.07975e-05 -86 *1470:90 *3923:32 0.000739823 -87 *1470:90 *4035:60 6.3494e-05 -88 *1470:90 *4116:51 1.46617e-05 -89 *1470:90 *4152:51 6.7848e-05 -90 *1470:90 *5685:333 0.00288598 -91 *1470:90 *5694:43 8.43535e-06 -92 *1470:102 *2886:41 0.000905678 -93 *1470:102 *2888:71 3.79552e-05 -94 *1470:102 *3185:271 0.000155211 -95 *1470:102 *3192:60 0.000216478 -96 *25149:B *25670:A 7.02611e-05 -97 *25323:B1 *1470:64 8.92267e-05 -98 *25410:A2 *25269:B1 7.15643e-05 -99 *25410:A2 *1470:102 0.000194633 -100 *510:26 *1470:90 5.58905e-06 -101 *1251:29 *1470:64 4.54329e-06 -102 *1273:81 *1470:64 3.90782e-06 -103 *1273:96 *1470:64 8.76591e-06 -104 *1273:203 *1470:64 0.000366531 -105 *1276:21 *25269:B1 0.000115277 -106 *1276:21 *1470:102 9.06096e-05 -107 *1328:50 *25391:B1 0.000313639 -108 *1407:36 *1470:64 0.000202274 -109 *1423:71 *1470:90 9.58126e-05 -110 *1427:94 *1470:64 0.000470107 -111 *1442:14 *1470:64 0.000348373 -*RES -1 *25149:Y *1470:4 9.3 -2 *1470:4 *25670:A 22.8357 -3 *1470:4 *1470:20 40.0357 -4 *1470:20 *1470:27 48.8571 -5 *1470:27 *1470:30 6.90179 -6 *1470:30 *25295:B1 14.6839 -7 *1470:30 *1470:40 4.14163 -8 *1470:40 *1470:64 41.2492 -9 *1470:64 *1470:67 17.8304 -10 *1470:67 *1470:90 45.9378 -11 *1470:90 *25151:A2 9.3 -12 *1470:67 *25179:B1 12.7107 -13 *1470:40 *1470:102 2.3171 -14 *1470:102 *25391:B1 32.6475 -15 *1470:102 *25269:B1 19.5548 -*END - -*D_NET *1471 0.0819219 -*CONN -*I *25211:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25396:A2 I *D sky130_fd_sc_hd__a2111o_1 -*I *25151:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25307:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25267:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *26811:A I *D sky130_fd_sc_hd__nand2_2 -*I *25150:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25211:B1 0.000111053 -2 *25396:A2 0.00012828 -3 *25151:B1 0.000782991 -4 *25307:B1 6.73735e-05 -5 *25267:B1 0.000123489 -6 *26811:A 9.0919e-05 -7 *25150:Y 0.000490239 -8 *1471:140 0.00216093 -9 *1471:127 0.00332582 -10 *1471:121 0.00245622 -11 *1471:95 0.00276218 -12 *1471:86 0.00307165 -13 *1471:64 0.00204017 -14 *1471:56 0.00261713 -15 *1471:43 0.00382716 -16 *1471:37 0.00397975 -17 *1471:12 0.00523444 -18 *25151:B1 *25151:A1 8.25843e-06 -19 *25151:B1 *1887:7 2.13481e-06 -20 *25151:B1 *2877:69 0.0001696 -21 *25151:B1 *3452:32 1.61249e-05 -22 *25151:B1 *5493:40 0.0017449 -23 *25151:B1 *5661:117 3.98307e-05 -24 *25151:B1 *5909:62 0.0018174 -25 *25211:B1 *6474:DIODE 0.000235445 -26 *25211:B1 *25211:A1 2.98258e-05 -27 *25211:B1 *5491:69 1.98972e-05 -28 *25267:B1 *25267:A1 5.46824e-05 -29 *25267:B1 *3908:66 0.000140279 -30 *25307:B1 *25307:B2 1.28809e-05 -31 *25307:B1 *25307:C1 5.33005e-05 -32 *25307:B1 *5744:30 0.000175892 -33 *25396:A2 *25396:A1 9.25014e-06 -34 *25396:A2 *3164:69 0.00017831 -35 *25396:A2 *3165:222 0.000178425 -36 *25396:A2 *5622:48 0.000141657 -37 *25396:A2 *5624:18 6.12163e-05 -38 *26811:A *26819:A0 4.37451e-05 -39 *26811:A *2745:33 0.000390648 -40 *26811:A *5050:25 0.000307853 -41 *1471:12 *25404:A1 4.1664e-05 -42 *1471:12 *25951:A1 0.000149924 -43 *1471:12 *30022:A 7.18396e-05 -44 *1471:12 *1659:18 2.30116e-06 -45 *1471:12 *3820:38 5.74499e-06 -46 *1471:12 *5154:10 0.000161912 -47 *1471:12 *5624:58 0.000182604 -48 *1471:12 *5624:132 0.000264333 -49 *1471:37 *25398:A1 0.000308872 -50 *1471:37 *25398:B2 4.03356e-05 -51 *1471:37 *27505:A2 0.000222666 -52 *1471:37 *28223:D 0.000259854 -53 *1471:37 *28223:SET_B 0.000373992 -54 *1471:37 *28224:D 0.000135028 -55 *1471:37 *28384:RESET_B 0.000284063 -56 *1471:37 *30039:A 0.000327006 -57 *1471:37 *1714:23 6.5458e-06 -58 *1471:37 *1719:20 9.98011e-05 -59 *1471:37 *3650:38 7.27549e-05 -60 *1471:37 *4265:8 4.26825e-05 -61 *1471:37 *5488:79 0.000550725 -62 *1471:37 *5691:229 0.000451195 -63 *1471:37 *6225:61 0.000496896 -64 *1471:43 *25755:A0 9.54798e-06 -65 *1471:43 *28220:D 2.18863e-05 -66 *1471:43 *29176:A 0.00105832 -67 *1471:43 *3671:28 0.00158281 -68 *1471:43 *3672:8 2.45832e-05 -69 *1471:43 *5619:76 0.000144381 -70 *1471:56 *28369:CLK 0.000247049 -71 *1471:56 *28435:CLK 0.000344341 -72 *1471:56 *29571:A 0.000597174 -73 *1471:56 *30963:A 4.09646e-05 -74 *1471:56 *3568:49 5.55449e-05 -75 *1471:56 *3652:130 0.000177085 -76 *1471:56 *3652:136 0 -77 *1471:56 *3791:36 9.54641e-05 -78 *1471:56 *3791:75 0.000611625 -79 *1471:56 *3792:33 4.16148e-05 -80 *1471:56 *3918:16 4.28249e-05 -81 *1471:56 *4257:15 0 -82 *1471:56 *5052:46 4.44135e-05 -83 *1471:56 *5125:19 7.32505e-05 -84 *1471:56 *5204:15 0.00309152 -85 *1471:56 *5488:11 0.00131905 -86 *1471:56 *5491:18 0.00029259 -87 *1471:56 *5495:57 0.000549843 -88 *1471:56 *5730:115 7.59992e-05 -89 *1471:64 *25907:A0 4.37561e-05 -90 *1471:64 *28020:S 6.21169e-05 -91 *1471:64 *3666:77 0.0011865 -92 *1471:64 *3666:98 3.38196e-06 -93 *1471:64 *3671:28 0.000332724 -94 *1471:64 *3909:12 0.000187377 -95 *1471:64 *3909:19 0.000575019 -96 *1471:64 *3910:14 0.000155527 -97 *1471:64 *5017:14 2.09897e-05 -98 *1471:86 *27854:B2 4.79414e-05 -99 *1471:86 *27887:C1 0.000244635 -100 *1471:86 *3158:66 0.0010606 -101 *1471:86 *3216:99 9.69276e-05 -102 *1471:86 *3433:5 0.000262076 -103 *1471:86 *3666:77 0.000480047 -104 *1471:86 *3791:98 0.000172076 -105 *1471:86 *3883:31 0.000109787 -106 *1471:86 *3892:47 2.19495e-05 -107 *1471:86 *3909:19 0.000489297 -108 *1471:86 *3918:41 8.85664e-07 -109 *1471:86 *3918:45 0.00173434 -110 *1471:86 *4131:35 0.000260152 -111 *1471:95 *25307:B2 0.00027869 -112 *1471:95 *25642:A0 1.90936e-05 -113 *1471:95 *25642:A1 0.00014712 -114 *1471:95 *27495:B2 9.19857e-05 -115 *1471:95 *27499:B1 5.94755e-05 -116 *1471:95 *27500:C1 2.49225e-05 -117 *1471:95 *27861:B1 4.4631e-05 -118 *1471:95 *27862:B2 9.93003e-05 -119 *1471:95 *28135:RESET_B 0.000509805 -120 *1471:95 *28136:RESET_B 0.000148182 -121 *1471:95 *2859:73 5.28498e-05 -122 *1471:95 *2865:115 0 -123 *1471:95 *2894:63 1.90936e-05 -124 *1471:95 *3197:152 0.000255742 -125 *1471:95 *3713:63 0.000119301 -126 *1471:95 *3882:47 0 -127 *1471:95 *3883:31 0.00134121 -128 *1471:95 *5458:24 0.000193125 -129 *1471:95 *5489:49 1.00037e-05 -130 *1471:95 *5493:40 0.000311217 -131 *1471:95 *5909:62 0.000300747 -132 *1471:121 *6464:DIODE 4.11218e-05 -133 *1471:121 *25385:A1 6.60156e-05 -134 *1471:121 *25585:A 4.78058e-05 -135 *1471:121 *25585:B 4.15526e-05 -136 *1471:121 *28448:RESET_B 0.000297379 -137 *1471:121 *28449:RESET_B 8.74064e-05 -138 *1471:121 *1481:12 8.1678e-05 -139 *1471:121 *1659:18 2.30116e-06 -140 *1471:121 *2860:56 0.000129681 -141 *1471:121 *3986:40 0.000294576 -142 *1471:121 *4001:27 0 -143 *1471:121 *5624:28 9.7031e-05 -144 *1471:121 *5624:58 0.000255573 -145 *1471:121 *5706:242 2.06178e-05 -146 *1471:127 *27906:A1 0.000258891 -147 *1471:127 *27906:C1 0.000178398 -148 *1471:127 *1659:31 0 -149 *1471:127 *1711:8 0.000144447 -150 *1471:127 *3183:98 0.000232634 -151 *1471:127 *3503:11 9.80394e-05 -152 *1471:127 *5624:28 0.000961621 -153 *1471:140 *25313:B 0.000642848 -154 *1471:140 *25394:B2 0.000202209 -155 *1471:140 *25395:C1 0.00034055 -156 *1471:140 *25414:A 0.000119925 -157 *1471:140 *1494:48 5.1588e-05 -158 *1471:140 *1711:8 0.00231642 -159 *1471:140 *1719:12 0.000152031 -160 *1471:140 *1729:10 0.000642766 -161 *1471:140 *1730:13 0.000305279 -162 *25211:A2 *25211:B1 0.000114596 -163 *25307:A2 *25307:B1 3.25552e-05 -164 *25390:A2 *1471:140 4.19535e-05 -165 *25395:A2 *1471:140 1.5942e-05 -166 *25398:A2 *1471:37 4.21332e-05 -167 *25399:B1 *1471:64 7.77088e-05 -168 *25404:A2 *1471:121 0.000374398 -169 *29996:A *1471:37 7.36227e-05 -170 *30034:A *1471:56 3.36363e-05 -171 *30532:A *1471:95 0 -172 *451:12 *1471:12 8.41284e-06 -173 *564:19 *1471:43 6.53815e-05 -174 *564:19 *1471:56 1.93122e-05 -175 *564:19 *1471:64 0.000289529 -176 *755:18 *1471:56 4.25213e-05 -177 *1244:141 *1471:140 3.59911e-05 -178 *1248:81 *1471:140 0.00025203 -179 *1257:99 *1471:37 0.000309056 -180 *1263:88 *1471:12 3.22265e-05 -181 *1263:88 *1471:37 3.22121e-05 -182 *1263:122 *1471:43 9.4688e-06 -183 *1263:122 *1471:56 0.000279744 -184 *1281:30 *1471:121 2.47508e-05 -185 *1281:40 *1471:127 0.00171203 -186 *1281:40 *1471:140 0.00110363 -187 *1281:60 *1471:140 6.49408e-05 -188 *1281:64 *1471:140 7.22589e-05 -189 *1294:77 *1471:37 4.48128e-05 -190 *1323:16 *1471:121 4.21517e-05 -191 *1323:17 *25267:B1 0.00038907 -192 *1420:40 *1471:140 0.00082124 -193 *1437:13 *1471:140 0.000167368 -194 *1437:69 *1471:140 0.000225365 -195 *1437:84 *1471:140 0.000279797 -196 *1452:57 *1471:64 5.85692e-05 -197 *1453:17 *1471:37 6.34269e-05 -198 *1457:15 *1471:121 0.000751048 -199 *1457:99 *1471:121 2.06112e-05 -200 *1470:90 *25151:B1 1.74852e-05 -*RES -1 *25150:Y *1471:12 22.8982 -2 *1471:12 *1471:37 48.2395 -3 *1471:37 *1471:43 11.4353 -4 *1471:43 *1471:56 46.8668 -5 *1471:56 *26811:A 13.1214 -6 *1471:43 *1471:64 27.3654 -7 *1471:64 *25267:B1 17.6214 -8 *1471:64 *1471:86 48.699 -9 *1471:86 *1471:95 33.9246 -10 *1471:95 *25307:B1 15.5679 -11 *1471:95 *25151:B1 39.2821 -12 *1471:12 *1471:121 29.5089 -13 *1471:121 *1471:127 31.1429 -14 *1471:127 *25396:A2 26.5143 -15 *1471:127 *1471:140 61.1429 -16 *1471:140 *25211:B1 16.7107 -*END - -*D_NET *1472 0.0645887 -*CONN -*I *25153:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25151:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25153:C1 0 -2 *25151:X 0.00534872 -3 *1472:25 0.00935849 -4 *1472:12 0.0120961 -5 *1472:11 0.00808632 -6 *1472:11 *25466:A2 3.88048e-05 -7 *1472:11 *25466:B1 0.00115437 -8 *1472:11 *25467:A1 0.000590026 -9 *1472:11 *25652:B 1.17199e-05 -10 *1472:11 *28164:D 0.00126317 -11 *1472:11 *1752:15 5.1052e-05 -12 *1472:11 *1763:22 6.30086e-06 -13 *1472:11 *1795:26 0.000197555 -14 *1472:11 *1810:19 4.79414e-05 -15 *1472:11 *1830:15 0.000204968 -16 *1472:11 *1887:22 8.77988e-05 -17 *1472:11 *2834:109 1.42701e-05 -18 *1472:11 *3184:202 5.98644e-05 -19 *1472:11 *3724:20 4.15526e-05 -20 *1472:11 *4064:12 0.000348702 -21 *1472:11 *4116:51 0.000767813 -22 *1472:11 *5333:14 0.00011266 -23 *1472:11 *5614:114 0.000526598 -24 *1472:11 *5666:101 0.000467103 -25 *1472:11 *5666:124 2.63501e-05 -26 *1472:11 *5728:137 8.58907e-06 -27 *1472:11 *5728:172 0.000158257 -28 *1472:11 *5909:62 4.19535e-05 -29 *1472:12 *1740:16 0.000465158 -30 *1472:12 *6114:18 0.00481786 -31 *1472:12 *6136:8 0.000419219 -32 *1472:25 *25153:B2 0.000382744 -33 *1472:25 *25198:B2 0.000486116 -34 *1472:25 *27946:B2 6.6909e-05 -35 *1472:25 *27948:B1 8.76591e-06 -36 *1472:25 *27955:A 0.000389045 -37 *1472:25 *1518:15 0.000802281 -38 *1472:25 *1665:20 0 -39 *1472:25 *2851:24 0.000180635 -40 *1472:25 *3196:179 0.00116963 -41 *1472:25 *3216:199 0.000135028 -42 *1472:25 *3413:25 0.000138041 -43 *1472:25 *3684:21 4.73953e-05 -44 *1472:25 *3711:79 0.000188955 -45 *1472:25 *3910:73 5.98536e-06 -46 *1472:25 *3984:73 0.000310429 -47 *1472:25 *4069:132 0.0001686 -48 *1472:25 *4350:31 0.000164928 -49 *1472:25 *5196:25 0.000384396 -50 *1472:25 *5607:27 7.27549e-05 -51 *1472:25 *5649:176 0.000236161 -52 *1472:25 *5669:111 0.00110444 -53 *1472:25 *5688:242 0.000756922 -54 *1472:25 *5729:295 0.002749 -55 *1472:25 *5778:94 0 -56 *1472:25 *5797:11 0.000387227 -57 *1472:25 *5848:63 6.13793e-06 -58 *1472:25 *5890:68 0.000111612 -59 wb_dat_o[13] *1472:25 0.000200395 -60 wb_dat_o[14] *1472:25 0 -61 wb_dat_o[1] *1472:11 0 -62 wb_dat_o[2] *1472:11 7.34832e-05 -63 *25166:A *1472:25 0.000316397 -64 *385:39 *1472:11 1.11116e-05 -65 *623:22 *1472:11 0.00253202 -66 *1173:20 *1472:11 0.000201108 -67 *1251:24 *1472:12 0.00208564 -68 *1257:142 *1472:25 0.000181907 -69 *1287:109 *1472:25 0.000104908 -70 *1327:19 *1472:25 0.000620223 -71 *1358:69 *1472:25 0.000560433 -72 *1423:37 *1472:25 4.73891e-05 -73 *1440:56 *1472:11 0.000200073 -74 *1456:38 *1472:25 0.000180192 -*RES -1 *25151:X *1472:11 36.021 -2 *1472:11 *1472:12 85.7321 -3 *1472:12 *1472:25 43.1895 -4 *1472:25 *25153:C1 9.3 -*END - -*D_NET *1473 0.0283362 -*CONN -*I *25153:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25195:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25328:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25783:A I *D sky130_fd_sc_hd__and2_2 -*I *25252:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25361:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *25152:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *25153:B1 0.000342648 -2 *25195:B1 8.38605e-05 -3 *25328:B1 0.000107046 -4 *25783:A 0 -5 *25252:B1 0 -6 *25361:B1 0.000848581 -7 *25152:Y 0 -8 *1473:70 0.000452162 -9 *1473:56 0.000771053 -10 *1473:33 0.00234166 -11 *1473:20 0.0022336 -12 *1473:14 0.00200113 -13 *1473:4 0.00109346 -14 *25153:B1 *25152:A 2.47753e-05 -15 *25153:B1 *25153:B2 9.62562e-06 -16 *25153:B1 *1781:16 0.00016515 -17 *25153:B1 *3711:93 1.64271e-05 -18 *25153:B1 *5607:27 9.60875e-05 -19 *25153:B1 *5832:8 0.000165932 -20 *25195:B1 *25195:A1 5.33005e-05 -21 *25195:B1 *1627:12 0.000158621 -22 *25195:B1 *3771:28 0.000167871 -23 *25328:B1 *25204:B1 0.000152601 -24 *25328:B1 *1683:10 0.000148911 -25 *25328:B1 *1870:7 8.43535e-06 -26 *25328:B1 *3688:78 5.33005e-05 -27 *25328:B1 *5669:81 1.33343e-05 -28 *25328:B1 *5761:192 8.25843e-06 -29 *25361:B1 *25361:B2 9.54798e-06 -30 *25361:B1 *28303:RESET_B 0.000445869 -31 *25361:B1 *3564:10 6.37946e-05 -32 *25361:B1 *3564:103 5.52302e-05 -33 *25361:B1 *3687:26 0.000222438 -34 *25361:B1 *3698:78 1.55885e-05 -35 *25361:B1 *3948:24 3.35685e-06 -36 *25361:B1 *4091:20 8.15242e-05 -37 *25361:B1 *4152:60 6.05161e-06 -38 *25361:B1 *5833:91 0.000425829 -39 *1473:14 *25111:A1 0.000228368 -40 *1473:14 *1483:68 0.000228368 -41 *1473:14 *1627:12 0.000378992 -42 *1473:14 *3771:28 0.000378992 -43 *1473:14 *4088:56 0.000826594 -44 *1473:20 *25156:B2 6.19181e-05 -45 *1473:20 *27583:A1 0.00274807 -46 *1473:20 *2891:329 0.00373237 -47 *1473:20 *3754:8 0.00012401 -48 *1473:33 *27582:A1 0.000260574 -49 *1473:33 *27582:B1 0.000329591 -50 *1473:33 *27583:C1 9.41642e-05 -51 *1473:33 *2864:230 7.83659e-05 -52 *1473:33 *3687:26 0.000149347 -53 *1473:33 *3767:17 0.000287976 -54 *1473:33 *4091:64 3.17479e-05 -55 *1473:56 *25252:A1 8.99641e-05 -56 *1473:56 *25846:A 0.000179745 -57 *1473:56 *30036:A 9.41642e-05 -58 *1473:56 *3684:72 4.27338e-05 -59 *1473:56 *4090:18 9.25014e-06 -60 *1473:56 *4090:34 0.000238769 -61 *1473:56 *4101:22 0.000216304 -62 *1473:56 *4350:8 1.31516e-05 -63 *1473:56 *5250:8 0.000659545 -64 *1473:56 *5669:81 0.000477392 -65 *1473:70 *25785:A0 6.05161e-06 -66 *1473:70 *25786:A0 0.00046212 -67 *1473:70 *1870:7 6.595e-05 -68 *1473:70 *3688:78 0.000219711 -69 *1473:70 *4090:18 2.30244e-05 -70 *1473:70 *4350:8 0.000765791 -71 *1473:70 *5250:8 9.45668e-05 -72 *25152:B *25153:B1 9.41642e-05 -73 *25152:B *1473:14 0.000178425 -74 *25153:A2 *25153:B1 4.54368e-05 -75 *1269:40 *1473:14 0.000125449 -76 *1288:48 *1473:20 0.000487145 -77 *1449:9 *1473:33 7.6644e-05 -78 *1449:21 *25195:B1 5.33005e-05 -79 *1456:18 *1473:14 0.000830902 -*RES -1 *25152:Y *1473:4 9.3 -2 *1473:4 *1473:14 29.5309 -3 *1473:14 *1473:20 47.7321 -4 *1473:20 *1473:33 29.3036 -5 *1473:33 *25361:B1 33.55 -6 *1473:33 *1473:56 27.5893 -7 *1473:56 *25252:B1 13.8 -8 *1473:56 *1473:70 17.1786 -9 *1473:70 *25783:A 9.3 -10 *1473:70 *25328:B1 21.3179 -11 *1473:14 *25195:B1 16.5857 -12 *1473:4 *25153:B1 24.4071 -*END - -*D_NET *1474 0.00348797 -*CONN -*I *25165:A I *D sky130_fd_sc_hd__or4_1 -*I *25153:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25165:A 0.000892175 -2 *25153:X 0.000892175 -3 *25165:A *25347:A 6.17358e-05 -4 *25165:A *1478:10 0.000374673 -5 *25165:A *1482:21 3.68633e-05 -6 *25165:A *1485:39 0 -7 *25165:A *3183:169 0.000376851 -8 *25165:A *5607:13 0.000853492 -*RES -1 *25153:X *25165:A 43.1536 -*END - -*D_NET *1475 0.0270314 -*CONN -*I *25297:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25365:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25894:A I *D sky130_fd_sc_hd__and2_2 -*I *25189:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25245:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25156:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25154:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *25297:B1 0.000223106 -2 *25365:B1 0.00111768 -3 *25894:A 0.000225037 -4 *25189:B1 0.000166502 -5 *25245:B1 0 -6 *25156:A2 0.000187696 -7 *25154:Y 0.00035841 -8 *1475:60 0.00165552 -9 *1475:42 0.00062603 -10 *1475:36 0.00135898 -11 *1475:23 0.00145778 -12 *1475:13 0.00164792 -13 *1475:8 0.0017962 -14 *25156:A2 *25156:B1 0.000222666 -15 *25156:A2 *27551:A1 7.83462e-05 -16 *25156:A2 *1476:238 0.000265453 -17 *25156:A2 *3949:16 0.000148911 -18 *25189:B1 *25189:A1 2.84109e-05 -19 *25189:B1 *25189:B2 9.99303e-05 -20 *25189:B1 *3576:15 5.74499e-06 -21 *25297:B1 *25296:A1 0.000102196 -22 *25297:B1 *25297:A1 3.57366e-05 -23 *25297:B1 *25297:B2 6.26774e-06 -24 *25297:B1 *25297:C1 6.05161e-06 -25 *25297:B1 *3776:44 0.000189747 -26 *25297:B1 *4014:24 6.057e-07 -27 *25365:B1 *25916:A1 0.000121573 -28 *25365:B1 *28362:D 0.000314458 -29 *25365:B1 *1894:8 2.59355e-05 -30 *25365:B1 *3775:79 0.000513591 -31 *25365:B1 *4038:8 0.00030957 -32 *25365:B1 *5640:98 0.000203306 -33 *25365:B1 *5640:120 0.000838594 -34 *25365:B1 *5669:19 5.97547e-05 -35 *25365:B1 *5669:30 0.000226128 -36 *25894:A *25894:B 4.18895e-05 -37 *25894:A *25914:A0 0.000292983 -38 *25894:A *29237:A 6.05161e-06 -39 *25894:A *3766:25 8.25568e-05 -40 *25894:A *4350:87 0.000201064 -41 *25894:A *5669:34 5.52302e-05 -42 *25894:A *5709:85 0.00026737 -43 *25894:A *5760:50 0.000351156 -44 *1475:8 *25154:B 5.33005e-05 -45 *1475:8 *1476:238 0.000217254 -46 *1475:8 *3776:44 0.00012401 -47 *1475:8 *5891:33 0.00079138 -48 *1475:13 *5715:125 0.000934226 -49 *1475:13 *5715:213 0.00065973 -50 *1475:23 *27551:A1 0.000111562 -51 *1475:23 *3765:28 4.33864e-05 -52 *1475:23 *3765:30 1.90581e-05 -53 *1475:23 *3949:16 0.000245342 -54 *1475:23 *4077:16 6.13903e-05 -55 *1475:23 *5778:103 7.08387e-05 -56 *1475:36 *25245:C1 4.32166e-05 -57 *1475:36 *1873:21 0 -58 *1475:36 *3576:111 0.000239049 -59 *1475:36 *3765:21 0.00191428 -60 *1475:36 *3765:28 1.12578e-05 -61 *1475:36 *3949:5 9.71197e-05 -62 *1475:36 *3949:41 0.000512893 -63 *1475:36 *4036:17 0.000598119 -64 *1475:36 *4077:16 2.37944e-05 -65 *1475:36 *5458:40 0.000596153 -66 *1475:36 *5709:87 0 -67 *1475:36 *5709:91 0 -68 *1475:36 *5758:63 0.00012759 -69 *1475:36 *5758:65 0.000103739 -70 *1475:36 *5758:75 4.71964e-05 -71 *1475:42 *3776:33 6.86792e-05 -72 *1475:42 *4025:21 7.83659e-05 -73 *1475:42 *5799:12 7.6644e-05 -74 *1475:60 *25914:A1 3.63775e-05 -75 *1475:60 *29237:A 0.000250471 -76 *1475:60 *3766:25 0.000136465 -77 *1475:60 *4025:21 0.000611551 -78 *1475:60 *5457:22 0.000163256 -79 *1475:60 *5799:12 0.000620087 -80 *25113:B *25156:A2 6.05161e-06 -81 *25154:A *1475:8 1.98839e-05 -82 *25204:A2 *1475:23 0.000216862 -83 *25744:B *25156:A2 0.000241502 -84 *29763:A *25365:B1 2.58997e-05 -85 *1288:77 *25365:B1 0.00010096 -86 *1396:189 *25297:B1 3.25552e-05 -87 *1435:76 *25297:B1 3.294e-05 -88 *1435:76 *1475:8 6.42242e-05 -89 *1447:78 *1475:36 0.000680599 -*RES -1 *25154:Y *1475:8 23.3714 -2 *1475:8 *1475:13 24.7321 -3 *1475:13 *25156:A2 20.3893 -4 *1475:13 *1475:23 7.71429 -5 *1475:23 *25245:B1 13.8 -6 *1475:23 *1475:36 48.7321 -7 *1475:36 *1475:42 11.3929 -8 *1475:42 *25189:B1 15.9964 -9 *1475:42 *1475:60 16.0714 -10 *1475:60 *25894:A 17.3714 -11 *1475:60 *25365:B1 45.9964 -12 *1475:8 *25297:B1 18.1393 -*END - -*D_NET *1476 0.118937 -*CONN -*I *25253:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25156:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25221:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *6595:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6593:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25374:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25828:A I *D sky130_fd_sc_hd__and2_2 -*I *6594:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25304:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25155:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25253:B1 0.00109855 -2 *25156:B1 0.00017843 -3 *25221:B1 0.00025152 -4 *6595:DIODE 0 -5 *6593:DIODE 0 -6 *25374:B1 0.000172333 -7 *25828:A 0 -8 *6594:DIODE 7.65285e-05 -9 *25304:B1 0.000150119 -10 *25155:Y 0.000935125 -11 *1476:238 0.00447817 -12 *1476:216 0.00410445 -13 *1476:212 0.00173508 -14 *1476:209 0.00275135 -15 *1476:183 0.00146472 -16 *1476:161 0.000694649 -17 *1476:160 0.00192206 -18 *1476:157 0.00194053 -19 *1476:151 0.00220262 -20 *1476:143 0.00202914 -21 *1476:132 0.00312343 -22 *1476:111 0.000760246 -23 *1476:107 0.00180337 -24 *1476:103 0.00217998 -25 *1476:77 0.00133229 -26 *1476:75 0.00267546 -27 *1476:57 0.0058989 -28 *1476:42 0.00389797 -29 *1476:21 0.00655384 -30 *1476:10 0.0038307 -31 *6594:DIODE *28236:D 0.000181796 -32 *6594:DIODE *1876:8 1.98839e-05 -33 *25156:B1 *25156:A1 5.33005e-05 -34 *25221:B1 *25221:A1 3.51368e-05 -35 *25221:B1 *25221:B2 6.81786e-05 -36 *25221:B1 *3844:75 1.8226e-05 -37 *25221:B1 *3913:70 1.83136e-05 -38 *25221:B1 *5869:85 7.05585e-05 -39 *25253:B1 *6467:DIODE 0.000115315 -40 *25253:B1 *25253:A1 6.05161e-06 -41 *25253:B1 *25253:B2 2.84269e-05 -42 *25253:B1 *1477:9 0.000312775 -43 *25253:B1 *2761:134 0.000231238 -44 *25304:B1 *25304:A1 5.08015e-05 -45 *25374:B1 *25374:B2 7.14693e-05 -46 *25374:B1 *3156:59 0.000262686 -47 *1476:10 *25842:A1 7.83659e-05 -48 *1476:10 *28293:D 9.41642e-05 -49 *1476:10 *3697:33 5.10822e-05 -50 *1476:10 *5666:36 0.000210223 -51 *1476:10 *5666:55 0.000306317 -52 *1476:10 *5741:171 7.79781e-06 -53 *1476:21 *25869:A0 5.10217e-05 -54 *1476:21 *27578:B1 4.2985e-06 -55 *1476:21 *27588:C1 0.000113005 -56 *1476:21 *28938:A 0.00014183 -57 *1476:21 *28963:A 0.000552103 -58 *1476:21 *30473:A 0.000169759 -59 *1476:21 *3450:23 4.33002e-05 -60 *1476:21 *3685:66 0.00100476 -61 *1476:21 *3741:38 3.70618e-05 -62 *1476:21 *3936:35 0.000132473 -63 *1476:21 *3936:51 0.000633783 -64 *1476:21 *4100:22 4.53834e-05 -65 *1476:21 *5666:36 0.000751381 -66 *1476:21 *5909:44 0 -67 *1476:42 *27554:C1 0.000219711 -68 *1476:42 *27930:A1 9.00639e-05 -69 *1476:42 *28379:CLK 2.59355e-05 -70 *1476:42 *2872:134 0 -71 *1476:42 *2878:92 8.81673e-05 -72 *1476:42 *2880:197 0.00173399 -73 *1476:42 *3156:162 0.000620935 -74 *1476:42 *3209:102 0 -75 *1476:42 *3209:117 0.000110152 -76 *1476:42 *3749:108 9.89951e-05 -77 *1476:42 *3752:22 0.000336961 -78 *1476:42 *3802:58 4.65519e-05 -79 *1476:42 *4087:26 2.09897e-05 -80 *1476:42 *4144:47 0.000176158 -81 *1476:42 *5694:31 6.88091e-05 -82 *1476:42 *6036:46 4.00485e-05 -83 *1476:57 *27518:A 1.54868e-05 -84 *1476:57 *27521:A2 7.05989e-05 -85 *1476:57 *2848:85 7.69776e-06 -86 *1476:57 *2848:96 2.37011e-05 -87 *1476:57 *2848:123 0.000636056 -88 *1476:57 *2872:134 0.000400376 -89 *1476:57 *2878:92 0.00102216 -90 *1476:57 *2886:89 0.000522574 -91 *1476:57 *3101:17 0.000111289 -92 *1476:57 *3763:20 0.000278111 -93 *1476:57 *3802:58 5.21154e-05 -94 *1476:57 *3909:40 0 -95 *1476:57 *4130:103 0 -96 *1476:57 *5706:170 1.52978e-05 -97 *1476:57 *5717:117 0 -98 *1476:75 *27518:A 0.000101617 -99 *1476:75 *27519:B2 2.84026e-05 -100 *1476:75 *27880:A1 2.36643e-05 -101 *1476:75 *1622:13 8.43535e-06 -102 *1476:75 *2789:27 0.000229777 -103 *1476:75 *3594:7 6.57032e-05 -104 *1476:75 *3723:31 8.55871e-05 -105 *1476:75 *3867:24 0.000386121 -106 *1476:75 *4103:18 9.69177e-05 -107 *1476:75 *5706:170 0.00126673 -108 *1476:77 *1622:13 0.000110706 -109 *1476:77 *1877:42 0.000135028 -110 *1476:103 *25491:B 0.000562368 -111 *1476:103 *25835:A0 1.21258e-05 -112 *1476:103 *27913:A1 0.000489418 -113 *1476:103 *29026:A 3.08351e-05 -114 *1476:103 *1899:39 0.000132113 -115 *1476:103 *3184:207 0.000282152 -116 *1476:103 *3414:33 0.00126606 -117 *1476:103 *3749:54 0.000167593 -118 *1476:103 *3831:28 0.000218019 -119 *1476:103 *3831:82 0.000792571 -120 *1476:103 *5727:217 0.00030572 -121 *1476:107 *27873:A2 1.90936e-05 -122 *1476:107 *3164:100 0.000110945 -123 *1476:107 *3164:125 0.000166008 -124 *1476:107 *3196:88 0.000744126 -125 *1476:107 *3196:111 0.000257271 -126 *1476:107 *3416:11 0.00180308 -127 *1476:107 *3428:14 0.000102448 -128 *1476:107 *3428:22 0.000698313 -129 *1476:107 *3805:59 0.000264326 -130 *1476:107 *3815:38 0.00096062 -131 *1476:107 *3840:10 5.41794e-05 -132 *1476:107 *3840:27 0.000165911 -133 *1476:107 *4127:49 0.00114982 -134 *1476:107 *5711:10 4.31193e-05 -135 *1476:111 *25828:B 0.000415262 -136 *1476:111 *27508:B2 0.000211249 -137 *1476:111 *27890:C 7.77751e-05 -138 *1476:111 *28236:D 1.38323e-05 -139 *1476:111 *29217:A 9.60939e-05 -140 *1476:111 *1876:8 0.000139907 -141 *1476:111 *3433:5 1.33343e-05 -142 *1476:132 *27879:B2 6.86693e-05 -143 *1476:132 *27880:A1 0.000432269 -144 *1476:132 *28376:CLK 5.33005e-05 -145 *1476:132 *3178:157 0.00014129 -146 *1476:132 *3482:19 0.000139765 -147 *1476:132 *3828:7 0.000135028 -148 *1476:132 *3919:78 0.000622451 -149 *1476:132 *3919:82 0.000526094 -150 *1476:143 *3830:88 0.000175892 -151 *1476:143 *3875:73 0.000165271 -152 *1476:143 *3919:82 0.0010113 -153 *1476:143 *3934:60 3.63775e-05 -154 *1476:143 *3934:62 0.00149788 -155 *1476:143 *3963:125 0.000150185 -156 *1476:143 *5600:37 0.000927032 -157 *1476:151 *3182:55 0.000173277 -158 *1476:151 *3305:33 8.84874e-05 -159 *1476:151 *3988:44 8.92374e-05 -160 *1476:151 *4186:20 4.27997e-05 -161 *1476:157 *1484:23 0.000342068 -162 *1476:157 *1585:19 1.75318e-05 -163 *1476:157 *5467:14 0 -164 *1476:157 *5605:34 0.000821872 -165 *1476:160 *4129:63 6.94945e-05 -166 *1476:160 *5041:6 0.000199465 -167 *1476:160 *5041:8 0.00118914 -168 *1476:160 *5861:53 0.00156285 -169 *1476:160 *5869:27 0.000221879 -170 *1476:161 *3156:59 2.64844e-05 -171 *1476:161 *5629:23 0.000555059 -172 *1476:183 *1538:37 0.000199404 -173 *1476:183 *2778:120 0.000414759 -174 *1476:183 *5605:34 0.00144796 -175 *1476:183 *5605:81 0.000727333 -176 *1476:183 *5621:34 0.000302557 -177 *1476:183 *5869:85 6.25005e-05 -178 *1476:209 *27578:B1 6.59103e-06 -179 *1476:209 *1685:40 1.68846e-05 -180 *1476:209 *3156:162 9.02925e-05 -181 *1476:209 *3754:16 0.000557867 -182 *1476:209 *3819:41 0.00145482 -183 *1476:209 *3936:51 0.000109167 -184 *1476:209 *4065:53 5.48956e-05 -185 *1476:212 *27946:A1 0.00019615 -186 *1476:212 *27950:C1 5.55449e-05 -187 *1476:212 *3541:66 0.000251466 -188 *1476:212 *3765:30 0.000358382 -189 *1476:212 *3910:73 0.00149677 -190 *1476:212 *4077:34 0.000492378 -191 *1476:212 *5643:92 8.9591e-05 -192 *1476:216 *27551:B2 0.000150625 -193 *1476:216 *3541:66 0.00103205 -194 *1476:216 *4077:29 0 -195 *1476:216 *4077:34 0.000188794 -196 *1476:238 *25084:A 0.00020234 -197 *1476:238 *25350:A 2.55806e-05 -198 *1476:238 *25744:D 9.77423e-05 -199 *1476:238 *1667:8 8.27238e-06 -200 *1476:238 *2874:290 2.79421e-05 -201 *1476:238 *4122:43 0 -202 *1476:238 *5656:137 9.65172e-05 -203 *1476:238 *5891:33 0.000154467 -204 *6592:DIODE *25304:B1 1.60834e-05 -205 *25113:B *25156:B1 8.43535e-06 -206 *25119:B *1476:151 1.14338e-05 -207 *25154:A *1476:238 0.00104877 -208 *25156:A2 *25156:B1 0.000222666 -209 *25156:A2 *1476:238 0.000265453 -210 *25233:A2 *1476:216 0.000100566 -211 *25323:B1 *1476:143 0.000508437 -212 *25744:B *1476:238 0.000140675 -213 *30333:A *1476:42 1.94945e-05 -214 *1178:82 *1476:183 5.42853e-06 -215 *1248:137 *25253:B1 1.00073e-05 -216 *1272:9 *25253:B1 5.65955e-05 -217 *1272:40 *25253:B1 0.000312769 -218 *1282:12 *1476:160 0.00317491 -219 *1282:20 *1476:160 6.24472e-05 -220 *1282:119 *1476:157 0.000983311 -221 *1282:119 *1476:183 0.00157043 -222 *1282:174 *1476:238 0.000224341 -223 *1289:44 *1476:160 8.6229e-06 -224 *1395:18 *1476:143 0.000305895 -225 *1408:36 *1476:42 0.000298524 -226 *1409:6 *1476:212 0.00169837 -227 *1421:93 *1476:157 2.5072e-05 -228 *1421:100 *25221:B1 7.85207e-05 -229 *1421:100 *1476:183 6.25005e-05 -230 *1456:82 *25304:B1 4.82865e-05 -231 *1456:82 *1476:77 6.05161e-06 -232 *1464:8 *1476:216 0.000183374 -233 *1470:90 *1476:57 5.88484e-05 -234 *1475:8 *1476:238 0.000217254 -*RES -1 *25155:Y *1476:10 32.4964 -2 *1476:10 *1476:21 35.7615 -3 *1476:21 *1476:42 47.5287 -4 *1476:42 *1476:57 36.0784 -5 *1476:57 *1476:75 49.0536 -6 *1476:75 *1476:77 2.58929 -7 *1476:77 *25304:B1 11.925 -8 *1476:77 *1476:103 46.9286 -9 *1476:103 *1476:107 46.3393 -10 *1476:107 *1476:111 12.4643 -11 *1476:111 *6594:DIODE 11.0679 -12 *1476:111 *25828:A 9.3 -13 *1476:57 *1476:132 38.7321 -14 *1476:132 *1476:143 46.1429 -15 *1476:143 *1476:151 13.3112 -16 *1476:151 *1476:157 9.15903 -17 *1476:157 *1476:160 49.0982 -18 *1476:160 *1476:161 6.69643 -19 *1476:161 *25374:B1 13.3536 -20 *1476:161 *6593:DIODE 9.3 -21 *1476:151 *1476:183 12.8097 -22 *1476:183 *6595:DIODE 13.8 -23 *1476:183 *25221:B1 17.8536 -24 *1476:21 *1476:209 14.037 -25 *1476:209 *1476:212 37.0714 -26 *1476:212 *1476:216 20.8839 -27 *1476:216 *25156:B1 12.3 -28 *1476:216 *1476:238 49.5179 -29 *1476:238 *25253:B1 31.5857 -*END - -*D_NET *1477 0.0120116 -*CONN -*I *25157:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25156:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25157:C1 4.47305e-05 -2 *25156:X 2.68304e-05 -3 *1477:9 0.0036483 -4 *1477:8 0.0036304 -5 *25157:C1 *4122:43 0.000123359 -6 *1477:8 *4077:29 8.00806e-05 -7 *1477:9 *1781:25 0.000286714 -8 *1477:9 *5617:19 0.00108535 -9 *25253:B1 *1477:9 0.000312775 -10 *25296:A2 *1477:9 0.000178847 -11 *1272:9 *1477:9 0.00239016 -12 *1272:40 *25157:C1 0.000125731 -13 *1464:8 *1477:8 7.83659e-05 -*RES -1 *25156:X *1477:8 19.3357 -2 *1477:8 *1477:9 46.125 -3 *1477:9 *25157:C1 19.9429 -*END - -*D_NET *1478 0.00933645 -*CONN -*I *25165:B I *D sky130_fd_sc_hd__or4_1 -*I *25157:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25165:B 0 -2 *25157:X 6.49896e-05 -3 *1478:10 0.00117778 -4 *1478:7 0.00124277 -5 *1478:7 *25157:B2 5.33005e-05 -6 *1478:10 *25157:B2 3.17148e-05 -7 *1478:10 *3183:169 0.000217558 -8 *1478:10 *3875:118 0.000110498 -9 *1478:10 *4070:50 0.00325709 -10 *25165:A *1478:10 0.000374673 -11 *1272:40 *1478:7 0.000175892 -12 *1282:184 *1478:7 2.22811e-05 -13 *1282:198 *1478:7 4.47387e-05 -14 *1288:20 *1478:10 0.000143652 -15 *1326:122 *1478:10 0.00241952 -*RES -1 *25157:X *1478:7 15.5679 -2 *1478:7 *1478:10 47.125 -3 *1478:10 *25165:B 9.3 -*END - -*D_NET *1479 0.0449764 -*CONN -*I *25223:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25159:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25289:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *25386:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25954:A I *D sky130_fd_sc_hd__nand2_2 -*I *25241:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25158:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *25223:B1 0.00143406 -2 *25159:B1 0.000439531 -3 *25289:B1 0.000760382 -4 *25386:B1 1.35086e-05 -5 *25954:A 0.00107272 -6 *25241:B1 8.43544e-05 -7 *25158:Y 0.000679051 -8 *1479:101 0.00404854 -9 *1479:59 0.00157085 -10 *1479:34 0.00255656 -11 *1479:17 0.00249771 -12 *1479:7 0.00458047 -13 *25159:B1 *25212:C 0.000596177 -14 *25223:B1 *6398:DIODE 0.000259542 -15 *25223:B1 *25212:C 0.000338179 -16 *25223:B1 *25223:A1 3.45371e-05 -17 *25223:B1 *25223:B2 2.84269e-05 -18 *25223:B1 *25223:C1 0.000582049 -19 *25223:B1 *25225:A 0.000129245 -20 *25223:B1 *25284:A 4.04292e-05 -21 *25223:B1 *27292:C1 3.91617e-05 -22 *25223:B1 *1528:9 0.00100058 -23 *25223:B1 *1603:13 0.000421074 -24 *25223:B1 *2778:120 4.19535e-05 -25 *25223:B1 *2854:16 9.74362e-05 -26 *25223:B1 *3305:19 5.43062e-05 -27 *25223:B1 *3667:41 5.58356e-05 -28 *25223:B1 *4052:36 0.000112496 -29 *25223:B1 *5605:56 2.37836e-05 -30 *25241:B1 *25241:A1 5.33005e-05 -31 *25241:B1 *25282:D 6.30931e-05 -32 *25241:B1 *1535:39 2.01997e-05 -33 *25241:B1 *1721:35 6.28203e-05 -34 *25241:B1 *5655:65 2.59355e-05 -35 *25289:B1 *25387:C1 0.000265453 -36 *25289:B1 *28344:CLK 0.000132889 -37 *25289:B1 *28344:SET_B 9.25014e-06 -38 *25289:B1 *2778:66 9.6991e-05 -39 *25289:B1 *3212:21 0.000265453 -40 *25289:B1 *3790:32 0.000496573 -41 *25289:B1 *3854:37 8.47076e-05 -42 *25289:B1 *3972:38 0.000231133 -43 *25289:B1 *5517:20 0.000335704 -44 *25289:B1 *5869:32 0.000288854 -45 *25954:A *3178:55 0.000566426 -46 *25954:A *3845:31 0.000173377 -47 *25954:A *3853:45 0 -48 *25954:A *3996:19 0.000108472 -49 *25954:A *5622:74 0.000246568 -50 *1479:7 *3985:33 0.000883649 -51 *1479:17 *1485:23 8.88357e-06 -52 *1479:17 *1535:39 0.000257797 -53 *1479:17 *1601:6 6.71336e-05 -54 *1479:17 *2867:39 0.000422094 -55 *1479:17 *5605:33 0.0019603 -56 *1479:17 *5892:145 8.81958e-05 -57 *1479:59 *1535:14 0.000151355 -58 *1479:59 *1588:18 1.94945e-05 -59 *1479:59 *1901:41 0.000233905 -60 *1479:101 *25184:B2 3.77576e-05 -61 *1479:101 *27932:A2 0.000173055 -62 *1479:101 *1485:39 0.000393409 -63 *1479:101 *1601:6 0.000212056 -64 *1479:101 *3314:26 0.000197779 -65 *1479:101 *3314:41 0.000155028 -66 *1479:101 *5605:33 0.000773201 -67 *25119:B *1479:101 3.70939e-05 -68 *25183:B1 *25223:B1 0.000398523 -69 *25223:A2 *25223:B1 4.58194e-05 -70 *25270:A2 *1479:59 3.21547e-05 -71 *25277:B1 *25223:B1 6.14836e-06 -72 *1224:36 *1479:17 6.35864e-05 -73 *1224:36 *1479:101 0.000218438 -74 *1235:23 *25159:B1 1.01912e-05 -75 *1248:14 *1479:34 0 -76 *1250:163 *25289:B1 0.000319802 -77 *1250:187 *25289:B1 5.33433e-05 -78 *1250:187 *25386:B1 1.04232e-05 -79 *1250:187 *25954:A 0.000153003 -80 *1250:187 *1479:59 0.000785147 -81 *1253:50 *1479:101 0.000872896 -82 *1253:98 *1479:17 0.00216504 -83 *1253:98 *1479:101 0.000351772 -84 *1256:6 *25223:B1 9.13908e-05 -85 *1256:31 *25223:B1 8.89618e-05 -86 *1257:46 *1479:17 0.000738515 -87 *1257:46 *1479:101 0.000784363 -88 *1257:69 *1479:17 0.000596798 -89 *1257:69 *1479:34 0.000554804 -90 *1273:47 *1479:34 0.000785385 -91 *1282:136 *25223:B1 2.62977e-05 -92 *1323:16 *25954:A 8.07759e-05 -93 *1326:95 *1479:101 0.000346018 -94 *1420:22 *25241:B1 4.79414e-05 -95 *1420:22 *1479:17 0.000363854 -96 *1441:99 *1479:17 0.000139751 -97 *1441:99 *1479:34 3.70939e-05 -98 *1441:99 *1479:59 0.000306521 -99 *1453:17 *1479:34 0.000182729 -100 *1455:28 *25289:B1 0.000500467 -101 *1455:28 *25954:A 6.60633e-06 -102 *1455:28 *1479:59 0.000451765 -103 *1455:93 *1479:101 0.000167762 -*RES -1 *25158:Y *1479:7 22.55 -2 *1479:7 *1479:17 19.2277 -3 *1479:17 *25241:B1 18.7127 -4 *1479:17 *1479:34 9.31727 -5 *1479:34 *25954:A 20.7142 -6 *1479:34 *1479:59 19.4548 -7 *1479:59 *25386:B1 9.72857 -8 *1479:59 *25289:B1 41.7812 -9 *1479:7 *1479:101 21.0676 -10 *1479:101 *25159:B1 18.5067 -11 *1479:101 *25223:B1 48.6891 -*END - -*D_NET *1480 0.0207212 -*CONN -*I *25161:C1 I *D sky130_fd_sc_hd__a221o_2 -*I *25159:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25161:C1 0 -2 *25159:X 0.00747574 -3 *1480:35 0.000649617 -4 *1480:17 0.00812536 -5 *1480:17 *25159:A1 0.000136562 -6 *1480:17 *25159:B2 7.11861e-05 -7 *1480:17 *25177:A1 0.000145484 -8 *1480:17 *27906:C1 3.44647e-06 -9 *1480:17 *1603:13 2.77413e-05 -10 *1480:17 *1844:16 2.01997e-05 -11 *1480:17 *3875:63 7.26252e-06 -12 *1480:17 *3875:73 0.000808303 -13 *1480:17 *5217:14 0 -14 *1480:17 *5687:58 0 -15 *1480:35 *25161:B2 0.00024152 -16 *1480:35 *27905:C1 6.90348e-06 -17 *1480:35 *27906:C1 3.47641e-06 -18 *1480:35 *1657:40 7.14469e-05 -19 *1480:35 *1659:31 0.000872023 -20 *1480:35 *2845:31 0.000225995 -21 *1480:35 *2850:50 0.000138552 -22 *1480:35 *2874:35 0.000224341 -23 *1480:35 *2878:25 0.000177545 -24 *1480:35 *3214:36 2.95642e-05 -25 *1480:35 *3214:48 0.000175892 -26 *1480:35 *3875:63 0.000129912 -27 *1480:35 *6317:100 3.43872e-05 -28 *1263:36 *1480:17 3.47641e-06 -29 *1328:50 *1480:35 0.000868723 -30 *1449:115 *1480:17 4.65519e-05 -*RES -1 *25159:X *1480:17 49.103 -2 *1480:17 *1480:35 46.8832 -3 *1480:35 *25161:C1 9.3 -*END - -*D_NET *1481 0.0205052 -*CONN -*I *25262:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25161:B1 I *D sky130_fd_sc_hd__a221o_2 -*I *25294:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25936:A I *D sky130_fd_sc_hd__and2_2 -*I *25398:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25180:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25160:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *25262:B1 0 -2 *25161:B1 0.00046151 -3 *25294:B1 0.000411573 -4 *25936:A 0 -5 *25398:B1 0.00035192 -6 *25180:B1 0.000421895 -7 *25160:Y 0.000348335 -8 *1481:78 0.000909836 -9 *1481:46 0.00136663 -10 *1481:18 0.00202769 -11 *1481:17 0.00179862 -12 *1481:12 0.00159262 -13 *25161:B1 *25161:B2 3.51393e-05 -14 *25161:B1 *2850:50 1.90303e-05 -15 *25161:B1 *3183:86 0.000348976 -16 *25161:B1 *3216:55 0.000350771 -17 *25161:B1 *3568:168 0 -18 *25161:B1 *3875:51 0.000143905 -19 *25161:B1 *3996:21 0.000144131 -20 *25161:B1 *6317:100 6.32372e-05 -21 *25180:B1 *25180:B2 0.000178425 -22 *25180:B1 *1624:55 0.000240683 -23 *25180:B1 *3459:23 3.97677e-05 -24 *25180:B1 *5500:41 3.47641e-06 -25 *25180:B1 *5599:125 9.11512e-05 -26 *25294:B1 *1612:8 0.000345458 -27 *25294:B1 *1725:8 9.44538e-05 -28 *25294:B1 *3173:45 8.68546e-05 -29 *25294:B1 *3173:50 4.21706e-05 -30 *25294:B1 *3212:44 0.000135028 -31 *25294:B1 *5581:55 0.000102997 -32 *25398:B1 *25936:B 0.000123536 -33 *25398:B1 *1898:8 0.000175892 -34 *25398:B1 *3482:38 0.000348357 -35 *25398:B1 *4372:19 2.05612e-05 -36 *1481:12 *3158:43 0.000129006 -37 *1481:12 *3568:93 8.08521e-05 -38 *1481:12 *5624:28 0.000230161 -39 *1481:17 *1587:36 0.000885346 -40 *1481:18 *1624:55 7.58653e-05 -41 *1481:18 *1725:8 0.00118292 -42 *1481:18 *5581:55 0.00100134 -43 *1481:18 *5599:125 0.000169768 -44 *1481:46 *27853:B2 0.000237295 -45 *1481:46 *1898:8 1.21258e-05 -46 *1481:46 *4372:19 0.000136958 -47 *1481:46 *4617:23 1.58163e-05 -48 *1481:46 *4947:16 8.22938e-05 -49 *1481:46 *5619:39 0.0010065 -50 *1481:78 *25262:A1 0.000171461 -51 *1481:78 *25262:B2 0.000548732 -52 *1481:78 *1629:14 0.000299349 -53 *1481:78 *3158:43 9.25014e-06 -54 *1481:78 *3183:98 0.000129147 -55 *1481:78 *4001:27 4.83426e-05 -56 *1481:78 *5442:27 4.22431e-05 -57 *25294:A2 *25294:B1 7.58839e-05 -58 *30021:A *25398:B1 0.00018688 -59 *473:29 *25398:B1 8.74121e-05 -60 *1140:10 *25398:B1 2.68445e-05 -61 *1218:62 *1481:78 7.95355e-05 -62 *1323:16 *1481:12 4.48011e-05 -63 *1327:98 *1481:12 7.55747e-05 -64 *1327:98 *1481:78 0.000404673 -65 *1455:55 *25161:B1 6.53164e-05 -66 *1457:35 *1481:46 5.7248e-05 -67 *1471:121 *1481:12 8.1678e-05 -*RES -1 *25160:Y *1481:12 29.175 -2 *1481:12 *1481:17 18.9821 -3 *1481:17 *1481:18 18.0357 -4 *1481:18 *25180:B1 22.0143 -5 *1481:18 *1481:46 28.8214 -6 *1481:46 *25398:B1 26.7107 -7 *1481:46 *25936:A 9.3 -8 *1481:17 *25294:B1 22.6571 -9 *1481:12 *1481:78 16.8036 -10 *1481:78 *25161:B1 37.8 -11 *1481:78 *25262:B1 9.3 -*END - -*D_NET *1482 0.0345493 -*CONN -*I *25165:C I *D sky130_fd_sc_hd__or4_1 -*I *25161:X O *D sky130_fd_sc_hd__a221o_2 -*CAP -1 *25165:C 0 -2 *25161:X 0.000212217 -3 *1482:21 0.00136174 -4 *1482:13 0.00140202 -5 *1482:8 0.00384953 -6 *1482:7 0.00402147 -7 *1482:8 *24950:B 5.58875e-06 -8 *1482:8 *26836:C 0.000230218 -9 *1482:8 *27857:A2 0.000120335 -10 *1482:8 *1485:39 0.000393759 -11 *1482:8 *1538:37 0.000120342 -12 *1482:8 *1676:14 0.000232448 -13 *1482:8 *1705:17 1.02433e-05 -14 *1482:8 *3183:167 0.000661905 -15 *1482:8 *3183:169 0.000417229 -16 *1482:8 *3473:19 2.11419e-05 -17 *1482:8 *3542:42 0.000420436 -18 *1482:8 *3790:53 0.000251603 -19 *1482:8 *5605:99 0.000437299 -20 *1482:8 *5618:6 0.00299847 -21 *1482:13 *3184:124 0.000136951 -22 *1482:13 *5707:29 0.000135028 -23 *1482:21 *25346:C 0 -24 *1482:21 *25347:A 1.98839e-05 -25 *1482:21 *1485:39 8.09499e-05 -26 *1482:21 *4070:63 0.00158541 -27 *1482:21 *5251:20 0.000166093 -28 *1482:21 *5610:31 0.000192146 -29 *1482:21 *5656:173 0.0001326 -30 *24909:A *1482:21 9.96458e-06 -31 *25161:A2 *1482:7 0.000134078 -32 *25165:A *1482:21 3.68633e-05 -33 *25357:B1 *1482:8 0.000596208 -34 *26836:B *1482:8 6.52967e-05 -35 *29791:A *1482:21 0.000689786 -36 *1224:23 *1482:8 0.00139461 -37 *1227:30 *1482:8 8.42469e-05 -38 *1227:42 *1482:8 0.00146369 -39 *1227:44 *1482:8 0.000229058 -40 *1235:35 *1482:8 0.000570709 -41 *1235:52 *1482:8 0.0001326 -42 *1276:34 *1482:8 0.000411187 -43 *1276:43 *1482:8 0.0037794 -44 *1276:47 *1482:8 9.92702e-05 -45 *1276:47 *1482:21 0.00307829 -46 *1326:95 *1482:8 0.00189423 -47 *1326:97 *1482:8 2.06275e-05 -48 *1416:53 *1482:8 5.47238e-05 -49 *1455:93 *1482:8 0.00018741 -*RES -1 *25161:X *1482:7 16.3893 -2 *1482:7 *1482:8 118.821 -3 *1482:8 *1482:13 10.3571 -4 *1482:13 *1482:21 47.3214 -5 *1482:21 *25165:C 9.3 -*END - -*D_NET *1483 0.0348687 -*CONN -*I *25204:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *25233:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25344:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25163:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *25367:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *30036:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25162:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *25204:B1 0.000667519 -2 *25233:B1 0.000382246 -3 *25344:B1 0.00102687 -4 *25163:B1 0 -5 *25367:B1 3.06475e-05 -6 *30036:A 0.00010416 -7 *25162:Y 0.000660697 -8 *1483:68 0.00387796 -9 *1483:48 0.00382433 -10 *1483:25 0.00153793 -11 *1483:13 0.000724501 -12 *1483:10 0.000793347 -13 *1483:7 0.00165301 -14 *25204:B1 *25113:A 3.34366e-05 -15 *25204:B1 *25254:A1 8.25843e-06 -16 *25204:B1 *25254:B2 6.26774e-06 -17 *25204:B1 *25328:A1 1.39841e-05 -18 *25204:B1 *1573:22 2.50355e-05 -19 *25204:B1 *1646:11 0 -20 *25204:B1 *1683:10 6.27952e-05 -21 *25204:B1 *3688:78 1.97387e-05 -22 *25204:B1 *5669:57 0.00022117 -23 *25204:B1 *5669:81 0.000191163 -24 *25204:B1 *5761:192 0.00126041 -25 *25233:B1 *25233:B2 0.000304394 -26 *25233:B1 *3684:72 0.000194101 -27 *25344:B1 *24943:A 7.78353e-05 -28 *25344:B1 *25344:B2 0.00165847 -29 *25344:B1 *25346:A 0 -30 *25344:B1 *1661:19 1.00316e-05 -31 *25344:B1 *1663:16 2.13481e-06 -32 *25344:B1 *3700:72 7.83476e-05 -33 *25344:B1 *4133:77 0.00012062 -34 *25344:B1 *5610:22 5.33614e-05 -35 *25344:B1 *5634:150 0.000913092 -36 *25344:B1 *5663:98 3.63775e-05 -37 *25367:B1 *25187:C1 2.89016e-05 -38 *25367:B1 *25367:A1 6.46382e-05 -39 *30036:A *25846:A 8.56752e-05 -40 *30036:A *3684:72 0.000301438 -41 *1483:10 *25113:A 0.000126446 -42 *1483:10 *1646:11 0 -43 *1483:10 *5231:12 0 -44 *1483:10 *5231:21 0 -45 *1483:10 *5669:81 0.000763899 -46 *1483:10 *5808:36 0.000207352 -47 *1483:13 *3684:72 0.000135028 -48 *1483:25 *25187:C1 3.97677e-05 -49 *1483:25 *25367:A1 6.05161e-06 -50 *1483:25 *1683:10 0.0008735 -51 *1483:25 *2864:230 1.3409e-05 -52 *1483:25 *3958:14 0.000537711 -53 *1483:25 *3958:16 3.52383e-05 -54 *1483:25 *4350:14 0.000292488 -55 *1483:25 *4350:31 4.11173e-05 -56 *1483:48 *24889:A1 3.56665e-05 -57 *1483:48 *25252:A1 0.000185646 -58 *1483:48 *1507:11 0.000863945 -59 *1483:48 *3105:8 2.41035e-05 -60 *1483:48 *3165:355 0.00133313 -61 *1483:48 *3949:16 5.41794e-05 -62 *1483:48 *3949:28 0.000283691 -63 *1483:48 *5250:8 0.000208379 -64 *1483:48 *5634:170 1.90936e-05 -65 *1483:48 *5778:99 0.000147411 -66 *1483:68 *25110:B2 0.00054993 -67 *1483:68 *25111:A1 0.000826917 -68 *1483:68 *25163:A1 4.19535e-05 -69 *1483:68 *25196:B2 0 -70 *1483:68 *25346:A 2.70189e-05 -71 *1483:68 *27947:A2 0.000240164 -72 *1483:68 *3487:10 1.78394e-05 -73 *1483:68 *3700:72 3.30904e-05 -74 *1483:68 *4069:132 0.000262739 -75 *1483:68 *4113:116 0.00082995 -76 *1483:68 *5196:25 4.29471e-05 -77 *1483:68 *5634:159 0.000340081 -78 *1483:68 *5634:170 9.6385e-05 -79 *1483:68 *5848:63 0.000598553 -80 *6411:DIODE *25344:B1 1.92905e-05 -81 *25163:A2 *25344:B1 3.20708e-05 -82 *25163:A2 *1483:68 2.95746e-06 -83 *25187:A2 *1483:48 0.000167669 -84 *25254:A2 *25204:B1 0.000120663 -85 *25254:B1 *25204:B1 9.17004e-05 -86 *25328:A2 *25204:B1 0.000117533 -87 *25328:B1 *25204:B1 0.000152601 -88 *25344:A2 *25344:B1 6.05161e-06 -89 *25367:A2 *1483:25 0.000332085 -90 *1269:40 *1483:68 8.80596e-06 -91 *1276:47 *25344:B1 1.28569e-05 -92 *1284:55 *1483:48 0.000385095 -93 *1287:132 *1483:68 0 -94 *1396:13 *1483:48 0.00147925 -95 *1410:71 *25344:B1 8.6229e-06 -96 *1434:17 *25204:B1 0.000114587 -97 *1448:15 *1483:68 0.000304599 -98 *1473:14 *1483:68 0.000228368 -99 *1473:56 *30036:A 9.41642e-05 -*RES -1 *25162:Y *1483:7 22.1393 -2 *1483:7 *1483:10 14.3393 -3 *1483:10 *1483:13 1.78571 -4 *1483:13 *30036:A 12.3 -5 *1483:13 *1483:25 24.6786 -6 *1483:25 *25367:B1 10.2643 -7 *1483:25 *1483:48 49.7679 -8 *1483:48 *1483:68 33.7391 -9 *1483:68 *25163:B1 9.3 -10 *1483:68 *25344:B1 41.6929 -11 *1483:10 *25233:B1 15.9964 -12 *1483:7 *25204:B1 33.1214 -*END - -*D_NET *1484 0.0508701 -*CONN -*I *25164:C1 I *D sky130_fd_sc_hd__a221o_2 -*I *25163:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *25164:C1 0.000263997 -2 *25163:X 0.000849058 -3 *1484:23 0.0109389 -4 *1484:13 0.011524 -5 *25164:C1 *25164:B2 0.000144427 -6 *25164:C1 *28626:CLK 9.12695e-05 -7 *25164:C1 *5625:52 0.00028991 -8 *1484:13 *25248:C1 6.24874e-05 -9 *1484:13 *25251:B 8.6229e-06 -10 *1484:13 *3305:8 1.97086e-05 -11 *1484:13 *3678:89 8.25843e-06 -12 *1484:13 *3700:72 0.000127822 -13 *1484:13 *5601:166 1.31942e-05 -14 *1484:23 *25266:C 0.00153853 -15 *1484:23 *25891:S 4.37111e-05 -16 *1484:23 *27636:C 3.32477e-05 -17 *1484:23 *30871:A 8.07185e-06 -18 *1484:23 *1529:10 0.000110498 -19 *1484:23 *1535:55 2.71873e-05 -20 *1484:23 *1585:19 4.75671e-06 -21 *1484:23 *1659:31 0.000896078 -22 *1484:23 *2853:141 1.35412e-05 -23 *1484:23 *2853:164 7.19969e-05 -24 *1484:23 *3164:56 0.000447427 -25 *1484:23 *3666:35 0 -26 *1484:23 *3907:76 0.000154703 -27 *1484:23 *4076:87 0.000376911 -28 *1484:23 *4133:77 0.0018342 -29 *1484:23 *5467:14 0 -30 *1484:23 *5583:58 1.74477e-05 -31 *1484:23 *5587:31 0.000683885 -32 *1484:23 *5601:162 0.000358453 -33 *1484:23 *5601:166 0.000106898 -34 *1484:23 *5605:34 6.98092e-06 -35 *1484:23 *5609:89 0.00022598 -36 *1484:23 *5634:26 9.07431e-05 -37 *1484:23 *5635:34 0.000324659 -38 *1484:23 *5713:130 0 -39 *1484:23 *6258:12 0.00296759 -40 *25133:C1 *1484:23 0.00182222 -41 *25235:A2 *1484:13 1.92905e-05 -42 *28842:A *1484:23 0.0102119 -43 *74:11 *1484:23 0 -44 *1241:10 *1484:23 0.000173055 -45 *1244:129 *1484:23 0 -46 *1249:67 *1484:23 0.000103347 -47 *1256:31 *1484:23 0.00158973 -48 *1265:35 *1484:23 0.00154229 -49 *1271:104 *1484:23 9.48465e-06 -50 *1274:77 *1484:13 4.72781e-05 -51 *1277:53 *25164:C1 8.1877e-05 -52 *1282:68 *1484:23 4.73891e-05 -53 *1293:65 *1484:13 0.000178425 -54 *1410:71 *1484:13 1.65169e-05 -55 *1476:157 *1484:23 0.000342068 -*RES -1 *25163:X *1484:13 30.0334 -2 *1484:13 *1484:23 48.4676 -3 *1484:23 *25164:C1 22.9511 -*END - -*D_NET *1485 0.0527826 -*CONN -*I *25165:D I *D sky130_fd_sc_hd__or4_1 -*I *25164:X O *D sky130_fd_sc_hd__a221o_2 -*CAP -1 *25165:D 0 -2 *25164:X 0.00333541 -3 *1485:39 0.00997671 -4 *1485:23 0.0133121 -5 *1485:23 *25164:A1 1.6068e-05 -6 *1485:23 *25164:B1 0.000179069 -7 *1485:23 *25274:A1 0.00011845 -8 *1485:23 *25890:S 0.000288026 -9 *1485:23 *25893:S 2.72228e-05 -10 *1485:23 *27963:C1 0.000689599 -11 *1485:23 *1535:39 0.000100261 -12 *1485:23 *1593:12 0.000254152 -13 *1485:23 *1704:26 0.000172457 -14 *1485:23 *2780:61 7.77264e-05 -15 *1485:23 *2850:37 0.00017971 -16 *1485:23 *2860:150 0.000160905 -17 *1485:23 *2860:154 0.00027085 -18 *1485:23 *3790:53 3.9987e-05 -19 *1485:23 *3972:24 0.000734289 -20 *1485:23 *5635:15 0.000375989 -21 *1485:23 *5655:36 0 -22 *1485:23 *5745:135 0.000124868 -23 *1485:39 *25346:C 0 -24 *1485:39 *25347:A 0.000255631 -25 *1485:39 *25394:B2 0 -26 *1485:39 *28841:A 3.6467e-05 -27 *1485:39 *3183:77 0.000109425 -28 *1485:39 *3183:169 0.000581286 -29 *1485:39 *3314:26 0.000334123 -30 *1485:39 *3706:45 0.000454089 -31 *1485:39 *3849:50 0.000111243 -32 *1485:39 *3849:69 0.001011 -33 *1485:39 *3875:51 1.02849e-05 -34 *1485:39 *5610:31 1.29752e-05 -35 *1485:39 *5610:54 0.000382454 -36 *1485:39 *5620:30 0.000106109 -37 *1485:39 *5656:173 3.9461e-05 -38 *25164:A2 *1485:23 1.46576e-05 -39 *25165:A *1485:39 0 -40 *25357:B1 *1485:39 0.000140417 -41 *28842:A *1485:39 0 -42 *31014:A *1485:39 3.634e-05 -43 *282:21 *1485:23 2.49292e-05 -44 *1218:62 *1485:23 8.1524e-06 -45 *1224:19 *1485:39 0.000705062 -46 *1224:23 *1485:39 0.000217105 -47 *1224:26 *1485:39 0.000348521 -48 *1235:52 *1485:39 0.00304732 -49 *1235:113 *1485:39 7.06634e-05 -50 *1250:163 *1485:23 3.92939e-05 -51 *1253:34 *1485:39 0.000108426 -52 *1253:98 *1485:23 3.71663e-05 -53 *1257:46 *1485:39 0.00232199 -54 *1268:37 *1485:39 0.000126965 -55 *1271:70 *1485:23 3.36355e-06 -56 *1271:88 *1485:23 0.0010146 -57 *1273:68 *1485:23 2.04745e-05 -58 *1273:68 *1485:39 0.000216092 -59 *1274:59 *1485:39 3.39684e-05 -60 *1276:43 *1485:39 0.000169059 -61 *1276:47 *1485:39 0.000200642 -62 *1280:6 *1485:39 0.000270368 -63 *1288:20 *1485:39 0.000977222 -64 *1326:95 *1485:39 0.000199736 -65 *1326:97 *1485:39 0.000398122 -66 *1420:22 *1485:23 0.000346608 -67 *1453:17 *1485:39 0.00315212 -68 *1455:93 *1485:39 0.0037778 -69 *1479:17 *1485:23 8.88357e-06 -70 *1479:101 *1485:39 0.000393409 -71 *1482:8 *1485:39 0.000393759 -72 *1482:21 *1485:39 8.09499e-05 -*RES -1 *25164:X *1485:23 48.8555 -2 *1485:23 *1485:39 47.5321 -3 *1485:39 *25165:D 9.3 -*END - -*D_NET *1486 0.00587392 -*CONN -*I *25166:D I *D sky130_fd_sc_hd__or4_2 -*I *25165:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *25166:D 0 -2 *25165:X 0.0012132 -3 *1486:19 0.0012132 -4 *1486:19 *25347:A 1.58163e-05 -5 *1486:19 *1487:18 0.000502801 -6 *1486:19 *1664:16 0.000397028 -7 *1486:19 *3197:74 0.00151027 -8 *1486:19 *3216:203 0.000347985 -9 *1486:19 *3706:35 0.000193833 -10 *1486:19 *3849:50 0.000193111 -11 *25166:A *1486:19 0.000115069 -12 *25166:C *1486:19 0.000118298 -13 *1460:41 *1486:19 5.33005e-05 -*RES -1 *25165:X *1486:19 47.2643 -2 *1486:19 *25166:D 9.3 -*END - -*D_NET *1487 0.00385821 -*CONN -*I *25167:C I *D sky130_fd_sc_hd__or3_2 -*I *25166:X O *D sky130_fd_sc_hd__or4_2 -*CAP -1 *25167:C 0 -2 *25166:X 0.000922301 -3 *1487:18 0.000922301 -4 *1487:18 *27953:A2 0.000300412 -5 *1487:18 *1665:20 1.24368e-05 -6 *1487:18 *1689:8 0.000218643 -7 *1487:18 *3197:85 0.000233868 -8 *1487:18 *4141:34 0.000100823 -9 *1487:18 *5600:50 0.000100823 -10 *1487:18 *5643:84 0.000290988 -11 *25167:A *1487:18 0.000194949 -12 *1395:133 *1487:18 4.58194e-05 -13 *1403:26 *1487:18 1.20465e-05 -14 *1486:19 *1487:18 0.000502801 -*RES -1 *25166:X *1487:18 45.7286 -2 *1487:18 *25167:C 9.3 -*END - -*D_NET *1488 0.0276017 -*CONN -*I *28840:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *25167:X O *D sky130_fd_sc_hd__or3_2 -*CAP -1 *28840:A 0 -2 *25167:X 0.00122911 -3 *1488:33 0.00419743 -4 *1488:24 0.00743246 -5 *1488:14 0.00446414 -6 *1488:14 *27946:C1 0.00047229 -7 *1488:14 *27954:A2 0.00104667 -8 *1488:14 *1685:40 4.25652e-05 -9 *1488:14 *3197:85 8.20109e-05 -10 *1488:14 *3542:27 0.000474005 -11 *1488:14 *3920:51 1.90303e-05 -12 *1488:24 *24882:A1 0.000426985 -13 *1488:24 *24884:A1 0.000339346 -14 *1488:24 *24887:S 5.33005e-05 -15 *1488:24 *27565:A1 0.000161391 -16 *1488:24 *27565:A2 0.000238077 -17 *1488:24 *3541:38 4.93379e-05 -18 *1488:24 *3542:21 1.28239e-05 -19 *1488:24 *3675:19 4.75969e-05 -20 *1488:24 *3949:28 0.000505335 -21 *1488:24 *5301:27 0.000287118 -22 *1488:24 *5836:104 1.90936e-05 -23 *1488:24 *5844:17 0.000327346 -24 *1488:24 *5866:17 4.48128e-05 -25 *1488:24 *5867:5 1.48207e-05 -26 *1488:33 *25431:C 4.45573e-05 -27 *1488:33 *27908:A2 0.000490604 -28 *1488:33 *28283:CLK 2.50047e-05 -29 *1488:33 *28283:RESET_B 0.000605314 -30 *1488:33 *29886:A 0.000129584 -31 *1488:33 *1743:8 5.39629e-06 -32 *1488:33 *3539:7 0.000166394 -33 *1488:33 *4157:9 9.41642e-05 -34 *1488:33 *4157:31 0.000911888 -35 *1488:33 *5209:30 0.000157707 -36 *1488:33 *5611:34 1.90936e-05 -37 *1488:33 *5653:104 0.000199455 -38 *1488:33 *5836:104 0.00227281 -39 *1488:33 *5836:131 8.99355e-05 -40 *25167:B *1488:14 9.41642e-05 -41 *30302:A *1488:24 7.48301e-06 -42 *30535:A *1488:24 3.36355e-06 -43 *1210:19 *1488:24 0.00012062 -44 *1396:40 *1488:33 6.75883e-05 -45 *1423:37 *1488:14 0.000109425 -*RES -1 *25167:X *1488:14 41.8 -2 *1488:14 *1488:24 47.7582 -3 *1488:24 *1488:33 49.6777 -4 *1488:33 *28840:A 9.3 -*END - -*D_NET *1489 0.00202492 -*CONN -*I *25169:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25168:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *25169:A0 0.000535998 -2 *25168:X 0.000535998 -3 *25169:A0 *25168:A0 5.65955e-05 -4 *25169:A0 *1730:87 0.000266473 -5 *25169:A0 *3564:71 0.000605605 -6 *25073:A0 *25169:A0 2.05612e-05 -7 *25169:S *25169:A0 3.69047e-06 -*RES -1 *25168:X *25169:A0 28.6 -*END - -*D_NET *1490 0.107273 -*CONN -*I *25381:B1 I *D sky130_fd_sc_hd__a221o_2 -*I *25319:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25192:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *26829:A I *D sky130_fd_sc_hd__and2_1 -*I *6563:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6596:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25228:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25170:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *25381:B1 0.000110439 -2 *25319:B1 0 -3 *25192:B1 0 -4 *26829:A 5.22121e-05 -5 *6563:DIODE 0 -6 *6596:DIODE 0 -7 *25228:B1 0.00012316 -8 *25170:Y 0.00224588 -9 *1490:166 0.00239447 -10 *1490:164 0.00349713 -11 *1490:147 0.0015932 -12 *1490:146 0.00275816 -13 *1490:128 0.00483001 -14 *1490:102 0.00287515 -15 *1490:84 5.22121e-05 -16 *1490:82 0.00139782 -17 *1490:72 0.00381282 -18 *1490:61 0.00445959 -19 *1490:35 0.000830269 -20 *1490:28 0.0027517 -21 *1490:26 0.00321552 -22 *1490:24 0.00369451 -23 *1490:21 0.00187508 -24 *1490:17 0.00321879 -25 *25228:B1 *5461:7 1.38323e-05 -26 *25228:B1 *5530:58 0.000172688 -27 *25228:B1 *5606:44 0.000138351 -28 *25381:B1 *25381:B2 2.84269e-05 -29 *25381:B1 *25381:C1 5.33005e-05 -30 *26829:A *5625:15 0.000139907 -31 *26829:A *5667:271 8.19593e-05 -32 *1490:17 *6504:DIODE 6.46031e-05 -33 *1490:17 *27019:A0 0.000220108 -34 *1490:17 *27348:A1 0.000464549 -35 *1490:17 *27348:B1 1.21258e-05 -36 *1490:17 *27348:B2 0.000129147 -37 *1490:17 *27686:B2 0.0001269 -38 *1490:17 *2877:187 0.000133676 -39 *1490:21 *27693:A 0.00038021 -40 *1490:21 *2780:158 0.000752744 -41 *1490:21 *5596:47 0.00109007 -42 *1490:24 *2760:10 9.91086e-05 -43 *1490:24 *2760:12 0.000735569 -44 *1490:24 *2791:102 0.000182395 -45 *1490:24 *2845:211 6.30931e-05 -46 *1490:24 *2867:193 3.21796e-05 -47 *1490:24 *5632:52 0.00014927 -48 *1490:24 *5718:345 8.8105e-05 -49 *1490:26 *26896:A0 0.000108103 -50 *1490:26 *27147:A1 0.000144086 -51 *1490:26 *27318:C 0.000616151 -52 *1490:26 *27769:A1 7.60306e-05 -53 *1490:26 *28803:RESET_B 0 -54 *1490:26 *1597:10 0.000297469 -55 *1490:26 *2760:12 0.000218999 -56 *1490:26 *2760:26 3.78008e-05 -57 *1490:26 *2791:102 0.000877221 -58 *1490:26 *2791:117 0.000802474 -59 *1490:26 *2845:172 0 -60 *1490:26 *2860:209 0.000165807 -61 *1490:26 *2867:193 0.000549148 -62 *1490:26 *2872:160 0.000426824 -63 *1490:26 *2894:188 0.00110718 -64 *1490:26 *3559:14 0.00166633 -65 *1490:26 *3559:21 0.00311492 -66 *1490:26 *3627:12 0.000867208 -67 *1490:26 *3627:23 0.000197378 -68 *1490:26 *3946:18 1.90936e-05 -69 *1490:26 *3946:29 0.000261669 -70 *1490:26 *3964:48 0.000194933 -71 *1490:26 *5718:313 4.55325e-05 -72 *1490:26 *5754:46 0 -73 *1490:35 *27288:C 9.87983e-06 -74 *1490:35 *27445:B1 0.000454426 -75 *1490:35 *2842:43 0.000151405 -76 *1490:35 *2844:153 0.000994411 -77 *1490:35 *2882:22 0.000370621 -78 *1490:35 *3174:29 0.000103192 -79 *1490:35 *5606:44 8.57913e-05 -80 *1490:35 *5643:39 0.000332308 -81 *1490:61 *27445:B1 0.000737242 -82 *1490:61 *27801:A2 0.000258936 -83 *1490:61 *27801:B1 0.000627825 -84 *1490:61 *2844:153 0.000386653 -85 *1490:61 *2866:17 6.26186e-05 -86 *1490:61 *3174:29 0.000172418 -87 *1490:61 *3178:133 1.60253e-05 -88 *1490:61 *3204:18 0.000156484 -89 *1490:61 *5632:5 0.00101493 -90 *1490:61 *5638:16 0.000279321 -91 *1490:61 *5653:19 0.000154769 -92 *1490:61 *5700:250 4.13496e-05 -93 *1490:72 *3864:23 0.000381191 -94 *1490:72 *5606:78 0.000376227 -95 *1490:72 *5753:177 1.09232e-05 -96 *1490:82 *25632:A1 0 -97 *1490:82 *27034:S 0.000103842 -98 *1490:82 *28608:RESET_B 0.000295851 -99 *1490:82 *28657:CLK 0.000175519 -100 *1490:82 *29808:A 0.00012501 -101 *1490:82 *2776:10 0.000232783 -102 *1490:82 *3572:81 0.000388876 -103 *1490:82 *3572:95 0.0015102 -104 *1490:82 *3634:13 0.000151333 -105 *1490:82 *5503:8 0 -106 *1490:82 *5583:178 0 -107 *1490:82 *5606:78 2.87566e-05 -108 *1490:82 *5667:286 0.00209174 -109 *1490:82 *5753:170 0.000171368 -110 *1490:82 *5753:177 0.000103267 -111 *1490:102 *25192:B2 3.8274e-05 -112 *1490:102 *25192:C1 5.71472e-05 -113 *1490:102 *2867:193 4.30584e-06 -114 *1490:102 *2888:198 1.25099e-05 -115 *1490:102 *5649:120 7.04145e-05 -116 *1490:102 *5654:65 0.00014183 -117 *1490:102 *5718:345 0.000194072 -118 *1490:102 *5718:354 0.000110432 -119 *1490:128 *25192:B2 0.00259568 -120 *1490:128 *27480:A1 9.87421e-06 -121 *1490:128 *27480:B1 2.59355e-05 -122 *1490:128 *27480:B2 8.43535e-06 -123 *1490:128 *27480:C1 9.88805e-05 -124 *1490:128 *27830:A1 7.68394e-05 -125 *1490:128 *27830:B1 0.000115702 -126 *1490:128 *27830:B2 0.000162435 -127 *1490:128 *27831:D1 0.000434576 -128 *1490:128 *1512:7 0.000104488 -129 *1490:128 *2844:265 0.000261801 -130 *1490:128 *2888:194 5.96516e-05 -131 *1490:128 *3034:16 0.000342302 -132 *1490:146 *27026:A0 0.000113277 -133 *1490:146 *27480:A1 0.000146698 -134 *1490:146 *27480:A2 1.89695e-05 -135 *1490:146 *2774:180 0.000319017 -136 *1490:146 *2776:144 6.07328e-05 -137 *1490:146 *5602:100 0.000216481 -138 *1490:147 *2775:44 0.000561696 -139 *1490:147 *2775:50 0.000578233 -140 *1490:147 *3786:60 0.000295953 -141 *1490:147 *5528:47 0.000412623 -142 *1490:147 *5528:53 5.56646e-05 -143 *1490:164 *2767:20 0.00150082 -144 *1490:164 *2775:44 0.00219851 -145 *1490:164 *3618:74 0.000102726 -146 *1490:164 *3618:84 9.62555e-05 -147 *1490:164 *3786:60 0.00122375 -148 *1490:164 *5602:100 0.000248256 -149 *1490:166 *25381:B2 1.50181e-05 -150 *1490:166 *28616:RESET_B 0.000218019 -151 *1490:166 *29071:A 0.000310165 -152 *1490:166 *2764:94 0.00314058 -153 *1490:166 *2764:99 0.000336788 -154 *1490:166 *2767:18 0.000187401 -155 *1490:166 *2767:20 8.34842e-05 -156 *1490:166 *3786:35 0.000504706 -157 *1490:166 *4466:10 0.000484673 -158 *1490:166 *5528:55 0.000445564 -159 *1490:166 *5602:100 0.000104417 -160 *1490:166 *5680:129 0.000461061 -161 *1490:166 *5680:134 0.000409896 -162 *1490:166 *5910:80 0.00360106 -163 *25013:A2 *1490:146 0.000190281 -164 *25170:B *1490:17 0 -165 *25192:A2 *1490:128 7.13226e-06 -166 *25228:A2 *25228:B1 7.37323e-05 -167 *28803:D *1490:26 8.00505e-05 -168 *29335:A *1490:17 0.000175892 -169 *30064:A *1490:164 0.000342495 -170 *30079:A *1490:146 0.000345257 -171 *30504:A *1490:82 3.06406e-05 -172 *529:11 *1490:72 0.000881358 -173 *826:27 *25381:B1 4.87953e-05 -174 *1178:123 *1490:24 0.000325906 -175 *1178:123 *1490:102 0.000362978 -176 *1185:88 *1490:17 0.000277564 -177 *1185:88 *1490:21 0.00302364 -178 *1256:54 *25228:B1 0.000178847 -179 *1256:54 *1490:35 0.000178425 -180 *1262:172 *1490:26 6.35864e-05 -181 *1268:79 *1490:146 0.000132428 -182 *1274:172 *1490:146 0.000233267 -183 *1275:164 *1490:166 0.000135968 -184 *1275:178 *1490:166 0.000103609 -185 *1294:170 *1490:61 0.000933192 -186 *1326:65 *1490:72 0.00027711 -*RES -1 *25170:Y *1490:17 38.6929 -2 *1490:17 *1490:21 34.6071 -3 *1490:21 *1490:24 14.6875 -4 *1490:24 *1490:26 98.2679 -5 *1490:26 *1490:28 3.41 -6 *1490:28 *1490:35 16.1974 -7 *1490:35 *25228:B1 13.1393 -8 *1490:35 *6596:DIODE 9.3 -9 *1490:28 *1490:61 39.2363 -10 *1490:61 *1490:72 40.1607 -11 *1490:72 *1490:82 48.8036 -12 *1490:82 *1490:84 4.5 -13 *1490:84 *6563:DIODE 9.3 -14 *1490:84 *26829:A 11.0857 -15 *1490:21 *1490:102 14.9286 -16 *1490:102 *25192:B1 9.3 -17 *1490:102 *1490:128 46.0089 -18 *1490:128 *1490:146 40.0683 -19 *1490:146 *1490:147 14.5446 -20 *1490:147 *25319:B1 13.8 -21 *1490:147 *1490:164 44.4375 -22 *1490:164 *1490:166 76.4732 -23 *1490:166 *25381:B1 15.5679 -*END - -*D_NET *1491 0.0132028 -*CONN -*I *25273:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25336:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25209:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25394:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25171:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *25273:B1 0.00017222 -2 *25336:A2 0.000123973 -3 *25209:B1 0.00055737 -4 *25394:B1 0.000428937 -5 *25171:Y 0 -6 *1491:39 0.000408313 -7 *1491:22 0.00283139 -8 *1491:4 0.00259084 -9 *25209:B1 *25209:A1 5.92721e-05 -10 *25209:B1 *25209:B2 2.82569e-05 -11 *25209:B1 *25209:C1 0.000263419 -12 *25209:B1 *1529:10 1.07719e-05 -13 *25209:B1 *1844:104 0.000259318 -14 *25209:B1 *5583:58 4.5789e-05 -15 *25209:B1 *5585:56 1.94945e-05 -16 *25209:B1 *5655:150 0.000689927 -17 *25209:B1 *5861:53 2.23393e-05 -18 *25273:B1 *25273:A2 5.33005e-05 -19 *25273:B1 *3667:41 2.95495e-05 -20 *25273:B1 *3939:33 5.23296e-05 -21 *25273:B1 *5604:34 0.000216755 -22 *25336:A2 *25283:B 3.97677e-05 -23 *25336:A2 *1653:47 7.75521e-05 -24 *25336:A2 *5600:86 9.41642e-05 -25 *25336:A2 *5687:73 0.000105211 -26 *25394:B1 *3182:55 0.000265545 -27 *25394:B1 *3814:69 2.59355e-05 -28 *1491:22 *2854:16 0.000256672 -29 *1491:22 *2877:51 0.000126999 -30 *1491:22 *3183:46 0.000305473 -31 *1491:22 *3183:60 0.000599677 -32 *1491:22 *5517:30 0.000653475 -33 *1491:22 *5623:89 8.55871e-05 -34 *1491:39 *2854:16 3.47465e-05 -35 *1491:39 *2877:51 1.04707e-05 -36 *1491:39 *3667:41 2.1069e-05 -37 *1491:39 *5517:30 0.000198178 -38 *25119:B *1491:22 4.58194e-05 -39 *25133:C1 *25273:B1 0.000112193 -40 *25171:A *1491:22 0.000127822 -41 *25258:B1 *1491:22 0.00038021 -42 *25394:A2 *25394:B1 7.37323e-05 -43 *1256:31 *25209:B1 3.1343e-05 -44 *1256:31 *25273:B1 0.000179173 -45 *1256:31 *1491:39 0.000153776 -46 *1440:19 *25394:B1 0.0002077 -47 *1440:19 *1491:22 0.00012697 -*RES -1 *25171:Y *1491:4 9.3 -2 *1491:4 *25394:B1 18.1214 -3 *1491:4 *1491:22 35.5804 -4 *1491:22 *25209:B1 25.4183 -5 *1491:22 *1491:39 3.3125 -6 *1491:39 *25336:A2 16.8357 -7 *1491:39 *25273:B1 18.5321 -*END - -*D_NET *1492 0.000722818 -*CONN -*I *25186:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25172:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25186:C1 0.00018094 -2 *25172:X 0.00018094 -3 *25186:C1 *25172:A1 3.51393e-05 -4 *25186:C1 *25186:B2 0.00025291 -5 *25186:C1 *5607:82 8.25843e-06 -6 *25186:C1 *5607:92 5.21937e-05 -7 *25172:A2 *25186:C1 1.24368e-05 -*RES -1 *25172:X *25186:C1 22.4571 -*END - -*D_NET *1493 0.00819652 -*CONN -*I *25187:C1 I *D sky130_fd_sc_hd__a221o_2 -*I *25173:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25187:C1 0.000453643 -2 *25173:X 0.00200947 -3 *1493:14 0.00246311 -4 *25187:C1 *25187:A1 0 -5 *25187:C1 *25187:B2 5.58927e-05 -6 *25187:C1 *25367:A1 0.000268068 -7 *25187:C1 *1677:8 0.000126439 -8 *25187:C1 *3957:22 0.000129868 -9 *1493:14 *25129:B2 2.14757e-05 -10 *1493:14 *25173:A2 3.58774e-05 -11 *1493:14 *1683:7 0.000262504 -12 *1493:14 *4090:34 0.000101545 -13 *1493:14 *5250:8 0.000103267 -14 *25173:B1 *1493:14 0.000175892 -15 *25187:B1 *25187:C1 0 -16 *25367:A2 *1493:14 0.000301438 -17 *25367:B1 *25187:C1 2.89016e-05 -18 *1448:46 *25187:C1 1.24368e-05 -19 *1449:9 *1493:14 0.000548128 -20 *1449:20 *1493:14 0.00089318 -21 *1450:16 *1493:14 0.000165613 -22 *1483:25 *25187:C1 3.97677e-05 -*RES -1 *25173:X *1493:14 46.1929 -2 *1493:14 *25187:C1 25.55 -*END - -*D_NET *1494 0.0424639 -*CONN -*I *25211:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25174:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *25211:C1 0.000290344 -2 *25174:X 0.00301533 -3 *1494:48 0.00139245 -4 *1494:38 0.00461256 -5 *1494:22 0.00769147 -6 *1494:5 0.00719635 -7 *25211:C1 *6474:DIODE 0.000316237 -8 *25211:C1 *5491:69 8.34819e-06 -9 *1494:5 *25174:B2 6.81459e-05 -10 *1494:5 *3623:12 0.00136652 -11 *1494:5 *3623:52 9.41642e-05 -12 *1494:5 *4133:133 0.00116844 -13 *1494:5 *5643:148 3.97677e-05 -14 *1494:22 *24960:A 1.77707e-05 -15 *1494:22 *25344:B2 0.00137107 -16 *1494:22 *25346:A 0 -17 *1494:22 *27019:S 1.54449e-05 -18 *1494:22 *27311:B1 0 -19 *1494:22 *27689:B1 0.00014699 -20 *1494:22 *29044:A 9.34324e-05 -21 *1494:22 *1661:19 0.000244558 -22 *1494:22 *2768:56 0.0002277 -23 *1494:22 *2778:121 8.87557e-05 -24 *1494:22 *2874:227 0 -25 *1494:22 *2876:19 1.60961e-05 -26 *1494:22 *2877:274 0 -27 *1494:22 *3758:43 9.08128e-05 -28 *1494:22 *4054:44 1.44355e-05 -29 *1494:22 *4132:51 6.90381e-06 -30 *1494:22 *4133:46 0 -31 *1494:22 *4133:133 0.00017693 -32 *1494:22 *5609:183 4.65519e-05 -33 *1494:22 *5610:22 2.74931e-05 -34 *1494:22 *5616:19 9.5375e-05 -35 *1494:22 *5634:150 3.42301e-05 -36 *1494:22 *5643:221 0 -37 *1494:22 *5652:12 3.80966e-05 -38 *1494:22 *5777:9 0.000771301 -39 *1494:38 *25104:A1 0.00229889 -40 *1494:38 *1693:22 0.0018768 -41 *1494:38 *3173:158 0.000115858 -42 *1494:38 *3413:41 0 -43 *1494:38 *3784:79 5.34954e-05 -44 *1494:38 *3927:20 0.00011221 -45 *1494:38 *4022:75 0.000283724 -46 *1494:48 *25211:A1 0.000278614 -47 *1494:48 *1648:24 0.000236123 -48 *1494:48 *1693:22 2.2628e-05 -49 *1494:48 *1730:13 0.000329648 -50 *1494:48 *3461:26 0.000245742 -51 *1494:48 *4022:75 6.5919e-05 -52 *1494:48 *4022:76 0.00153123 -53 *1494:48 *5597:21 0.000180501 -54 *1494:48 *5621:17 9.0145e-05 -55 *1233:21 *1494:38 1.86424e-05 -56 *1242:104 *1494:5 0.000132437 -57 *1242:128 *1494:5 9.41642e-05 -58 *1248:81 *1494:48 0.000735333 -59 *1262:19 *1494:38 2.6847e-05 -60 *1262:25 *1494:38 0.000121549 -61 *1265:95 *1494:22 0.000205314 -62 *1268:11 *1494:38 0.000255874 -63 *1281:75 *1494:48 0.000528348 -64 *1282:159 *1494:38 1.91414e-05 -65 *1420:40 *1494:38 0.000107807 -66 *1451:26 *1494:38 0.00117302 -67 *1451:26 *1494:48 0.000280024 -68 *1456:116 *1494:48 0.000208246 -69 *1471:140 *1494:48 5.1588e-05 -*RES -1 *25174:X *1494:5 45.9786 -2 *1494:5 *1494:22 49.2687 -3 *1494:22 *1494:38 44.2477 -4 *1494:38 *1494:48 49.3661 -5 *1494:48 *25211:C1 13.3357 -*END - -*D_NET *1495 0.00433495 -*CONN -*I *25213:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25175:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25213:C1 0.000749052 -2 *25175:X 0.000749052 -3 *25213:C1 *25175:B2 3.69047e-06 -4 *25213:C1 *25185:B2 1.40034e-05 -5 *25213:C1 *25210:C1 2.06178e-05 -6 *25213:C1 *25212:C 2.09826e-05 -7 *25213:C1 *3699:40 0.00142137 -8 *25213:C1 *3874:41 3.97677e-05 -9 *25213:C1 *5491:59 0.000307163 -10 *25175:B1 *25213:C1 9.41642e-05 -11 *25177:A2 *25213:C1 2.22043e-05 -12 *1239:14 *25213:C1 0.000267374 -13 *1395:126 *25213:C1 0.000172405 -14 *1407:108 *25213:C1 0.000453104 -*RES -1 *25175:X *25213:C1 48.8321 -*END - -*D_NET *1496 0.0168371 -*CONN -*I *25209:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25176:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25209:C1 0.000901745 -2 *25176:X 0.000306643 -3 *1496:11 0.00509023 -4 *1496:10 0.00449513 -5 *25209:C1 *27932:A2 9.75031e-05 -6 *25209:C1 *1529:10 0.000124597 -7 *25209:C1 *1594:35 9.90929e-05 -8 *25209:C1 *1653:47 0.00019367 -9 *25209:C1 *1844:104 7.56493e-05 -10 *25209:C1 *2778:83 6.32026e-05 -11 *25209:C1 *3834:33 0.000195187 -12 *25209:C1 *5583:37 0.000218409 -13 *25209:C1 *5583:58 0.000154638 -14 *25209:C1 *5655:150 7.93704e-05 -15 *25209:C1 *5869:74 6.62859e-05 -16 *1496:10 *2754:18 0.000372508 -17 *1496:10 *2786:112 0.000135028 -18 *1496:10 *5598:56 0.000368676 -19 *1496:11 *28721:RESET_B 5.9547e-05 -20 *1496:11 *2870:43 0.000218685 -21 *1496:11 *2877:52 0.000218404 -22 *1496:11 *2889:28 0.000475522 -23 *1496:11 *2889:151 0.000238428 -24 *1496:11 *3184:72 3.4016e-05 -25 *1496:11 *3667:28 0.000159169 -26 *1496:11 *3667:41 0.0017911 -27 *1496:11 *5638:62 0.000295426 -28 *25209:B1 *25209:C1 0.000263419 -29 *1289:27 *25209:C1 4.58194e-05 -*RES -1 *25176:X *1496:10 24.6393 -2 *1496:10 *1496:11 50.6429 -3 *1496:11 *25209:C1 34.6963 -*END - -*D_NET *1497 0.0024179 -*CONN -*I *25210:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25177:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25210:C1 0.000762138 -2 *25177:X 0.000762138 -3 *25210:C1 *25177:A1 9.41642e-05 -4 *25210:C1 *25210:B2 9.60939e-05 -5 *25210:C1 *1689:21 6.71336e-05 -6 *25210:C1 *3215:57 0.000171916 -7 *25210:C1 *3473:19 0.000102819 -8 *25177:A2 *25210:C1 2.63501e-05 -9 *25213:C1 *25210:C1 2.06178e-05 -10 *1239:14 *25210:C1 1.90936e-05 -11 *1401:95 *25210:C1 0.000135757 -12 *1430:65 *25210:C1 9.60939e-05 -13 *1440:85 *25210:C1 6.35864e-05 -*RES -1 *25177:X *25210:C1 38.8178 -*END - -*D_NET *1498 0.0255363 -*CONN -*I *25216:C1 I *D sky130_fd_sc_hd__a221o_2 -*I *25178:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25216:C1 0.00102533 -2 *25178:X 0.000993158 -3 *1498:49 0.00323265 -4 *1498:34 0.00444753 -5 *1498:13 0.00323337 -6 *25216:C1 *25216:B2 5.90008e-05 -7 *25216:C1 *25590:B 0.000643462 -8 *25216:C1 *25596:A0 1.8584e-05 -9 *25216:C1 *26839:A0 6.31629e-05 -10 *25216:C1 *28113:D 1.32293e-05 -11 *25216:C1 *30939:A 0.00018691 -12 *25216:C1 *30947:A 0.000187595 -13 *25216:C1 *1815:7 0.000734886 -14 *25216:C1 *6070:13 0.00174261 -15 *1498:13 *25620:A0 6.87574e-05 -16 *1498:13 *25922:A0 0.000317082 -17 *1498:13 *28117:CLK 5.52302e-05 -18 *1498:13 *28117:D 6.13217e-05 -19 *1498:13 *28796:CLK 0.000407601 -20 *1498:13 *3791:126 1.90936e-05 -21 *1498:13 *3792:10 2.00751e-05 -22 *1498:13 *5483:96 0.000342851 -23 *1498:13 *5510:34 0 -24 *1498:13 *5691:201 0.00060627 -25 *1498:13 *5734:31 0.00014285 -26 *1498:13 *5734:37 0 -27 *1498:13 *5734:39 0 -28 *1498:13 *5738:31 6.43126e-05 -29 *1498:34 *25612:A1 0.000123259 -30 *1498:34 *25624:A0 0.000368919 -31 *1498:34 *28110:D 9.60939e-05 -32 *1498:34 *4263:9 5.52302e-05 -33 *1498:34 *5483:96 0.00020854 -34 *1498:34 *5483:120 9.7496e-05 -35 *1498:34 *5500:41 4.15592e-05 -36 *1498:34 *5519:49 0.000286048 -37 *1498:34 *5680:308 0.000158228 -38 *1498:34 *5680:320 0.00023733 -39 *1498:34 *6055:23 2.89016e-05 -40 *1498:49 *25406:B2 0.000476606 -41 *1498:49 *25592:A0 2.11273e-05 -42 *1498:49 *25592:A1 2.85321e-05 -43 *1498:49 *25592:S 0.000394866 -44 *1498:49 *25596:A0 1.55695e-05 -45 *1498:49 *25596:A1 0.000233756 -46 *1498:49 *28113:CLK 0.000214338 -47 *1498:49 *28113:D 0.00018288 -48 *1498:49 *5491:18 0.000181107 -49 *1498:49 *5491:30 8.74903e-05 -50 *1498:49 *5506:7 0.000438306 -51 *1498:49 *5675:245 4.88315e-05 -52 pll90_sel[2] *1498:13 0.000364578 -53 pll_trim[10] *1498:34 0.00013199 -54 pll_trim[22] *25216:C1 0.000441021 -55 *28795:D *1498:13 0.000165709 -56 *28796:D *1498:13 0 -57 *28797:D *1498:13 0.00013906 -58 *29743:A *25216:C1 0.000177591 -59 *348:16 *25216:C1 0.000182804 -60 *450:10 *1498:49 0.000351483 -61 *459:10 *1498:34 0.00013355 -62 *1240:96 *25216:C1 0.000441008 -63 *1240:102 *25216:C1 0.000127806 -64 *1240:130 *25216:C1 0.000167764 -*RES -1 *25178:X *1498:13 43.6393 -2 *1498:13 *1498:34 46.1923 -3 *1498:34 *1498:49 36.3036 -4 *1498:49 *25216:C1 42.6036 -*END - -*D_NET *1499 0.00242325 -*CONN -*I *25218:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25179:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25218:C1 0.000338439 -2 *25179:X 0.000338439 -3 *25218:C1 *25218:B2 0.000135028 -4 *25218:C1 *25327:C1 0.00011047 -5 *25218:C1 *1644:36 9.44566e-05 -6 *25218:C1 *5600:47 0.00047687 -7 *25218:B1 *25218:C1 0.000135028 -8 *1327:83 *25218:C1 0.000794519 -*RES -1 *25179:X *25218:C1 39.4036 -*END - -*D_NET *1500 0.0166549 -*CONN -*I *25219:C1 I *D sky130_fd_sc_hd__a221o_2 -*I *25180:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25219:C1 0.000778325 -2 *25180:X 0.000104254 -3 *1500:11 0.00488414 -4 *1500:10 0.00421007 -5 *25219:C1 *27045:A 0.000603801 -6 *25219:C1 *1539:11 0.0013886 -7 *25219:C1 *2777:11 0.000137983 -8 *25219:C1 *2777:49 0.000432038 -9 *25219:C1 *3820:69 0.000241935 -10 *25219:C1 *5602:21 1.02936e-05 -11 *1500:10 *3820:38 7.6644e-05 -12 *1500:10 *3999:17 7.83587e-05 -13 *1500:11 *26854:A1 0.000354069 -14 *1500:11 *27045:A 1.21258e-05 -15 *1500:11 *28391:RESET_B 0.000172629 -16 *1500:11 *28626:CLK 9.90431e-05 -17 *1500:11 *3801:109 0.000550802 -18 *1500:11 *5052:19 0.00148035 -19 *1500:11 *5602:13 2.67426e-05 -20 *1500:11 *5602:21 1.82657e-05 -21 *1245:72 *25219:C1 0 -22 *1271:16 *1500:11 0.000801211 -23 *1281:12 *1500:11 1.39841e-05 -24 *1455:11 *1500:11 8.34489e-05 -25 *1457:15 *1500:10 9.58126e-05 -*RES -1 *25180:X *1500:10 20.2821 -2 *1500:10 *1500:11 52.2857 -3 *1500:11 *25219:C1 39.2643 -*END - -*D_NET *1501 0.0306952 -*CONN -*I *25220:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *6597:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25181:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25220:C1 1.24817e-05 -2 *6597:DIODE 4.82055e-05 -3 *25181:X 0.000990866 -4 *1501:43 0.000818687 -5 *1501:40 0.00320854 -6 *1501:30 0.00321396 -7 *1501:22 0.00233305 -8 *1501:20 0.00256049 -9 *6597:DIODE *3857:91 9.41642e-05 -10 *25220:C1 *3857:91 4.08637e-05 -11 *1501:20 *25181:A1 0.000344413 -12 *1501:20 *1520:19 0 -13 *1501:20 *1526:8 3.46899e-05 -14 *1501:20 *2782:127 4.91452e-05 -15 *1501:20 *2875:302 4.37712e-06 -16 *1501:20 *3861:8 0.00017096 -17 *1501:20 *3861:17 0.000148903 -18 *1501:20 *3874:16 0.00118606 -19 *1501:20 *3874:18 0 -20 *1501:20 *3976:73 0.000830498 -21 *1501:20 *4030:47 2.53864e-05 -22 *1501:22 *25200:B2 4.00349e-05 -23 *1501:22 *25207:A 0.000125724 -24 *1501:22 *25333:A1 1.09315e-05 -25 *1501:22 *1527:6 0.00243045 -26 *1501:22 *2864:264 0.000101307 -27 *1501:22 *2864:266 0.000131247 -28 *1501:22 *2864:292 4.60451e-05 -29 *1501:22 *3236:13 0.000312585 -30 *1501:22 *3259:25 0.000403961 -31 *1501:22 *3874:18 0.000609921 -32 *1501:22 *3976:55 0.00118676 -33 *1501:22 *3976:73 1.09026e-05 -34 *1501:22 *4145:30 0.00261156 -35 *1501:22 *5873:232 6.98971e-05 -36 *1501:30 *25200:B2 0.000144646 -37 *1501:30 *25333:A1 0.0017866 -38 *1501:30 *1651:9 7.83659e-05 -39 *1501:30 *2859:199 2.90068e-05 -40 *1501:30 *2866:248 0.000107157 -41 *1501:30 *2936:11 0.00110543 -42 *1501:30 *3259:25 0.000153078 -43 *1501:30 *5630:144 0.000107157 -44 *1501:30 *5654:65 0.000337098 -45 *1501:40 *27674:A2 5.49489e-05 -46 *1501:40 *27683:C1 0.000136958 -47 *1501:40 *1651:9 0.000572444 -48 *1501:40 *2758:125 0.000384819 -49 *1501:40 *2875:232 7.10751e-05 -50 *1501:40 *3197:52 0.00019384 -51 *1501:40 *5748:28 0.000195547 -52 *1501:43 *3835:73 0.000961168 -53 *1501:43 *3857:91 1.72823e-05 -54 *25220:B1 *1501:43 3.15907e-05 -55 *1276:120 *1501:20 1.98839e-05 -*RES -1 *25181:X *1501:20 41.6481 -2 *1501:20 *1501:22 55.9821 -3 *1501:22 *1501:30 36.6808 -4 *1501:30 *1501:40 42.2143 -5 *1501:40 *1501:43 12.3571 -6 *1501:43 *6597:DIODE 10.2464 -7 *1501:43 *25220:C1 9.83571 -*END - -*D_NET *1502 0.00103052 -*CONN -*I *25207:A I *D sky130_fd_sc_hd__or4_2 -*I *25182:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25207:A 0.000260724 -2 *25182:X 0.000260724 -3 *25207:A *2864:264 0.000125724 -4 *1274:126 *25207:A 0.000257619 -5 *1501:22 *25207:A 0.000125724 -*RES -1 *25182:X *25207:A 31.8321 -*END - -*D_NET *1503 0.00128382 -*CONN -*I *25208:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25183:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25208:C1 0.000210259 -2 *25183:X 0.000210259 -3 *25208:C1 *25183:A1 9.99092e-05 -4 *25208:C1 *25276:B2 0.000242691 -5 *25208:C1 *2784:65 0.000168586 -6 *25208:C1 *3830:95 0.000135028 -7 *25208:C1 *3992:42 0.000168586 -8 *25276:B1 *25208:C1 4.85033e-05 -*RES -1 *25183:X *25208:C1 33.2607 -*END - -*D_NET *1504 0.0202219 -*CONN -*I *25215:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25184:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25215:C1 0 -2 *25184:X 0.000223656 -3 *1504:16 0.0017284 -4 *1504:8 0.00370454 -5 *1504:7 0.0021998 -6 *1504:7 *3570:27 2.08036e-05 -7 *1504:8 *25351:A 0.000329341 -8 *1504:8 *25957:S 0.000164403 -9 *1504:8 *28436:CLK 4.41457e-05 -10 *1504:8 *1560:16 0.000984579 -11 *1504:8 *1668:15 0.000157822 -12 *1504:8 *1721:35 2.70725e-06 -13 *1504:8 *3647:8 4.14142e-05 -14 *1504:8 *3647:39 0.000401816 -15 *1504:8 *3782:26 9.65182e-05 -16 *1504:8 *3988:33 0.000239614 -17 *1504:8 *3988:44 0.000234752 -18 *1504:8 *5605:33 0.0017316 -19 *1504:8 *5626:109 0.000364603 -20 *1504:16 *25215:B2 1.02504e-05 -21 *1504:16 *25270:A1 0.00020503 -22 *1504:16 *25405:C 0.000149543 -23 *1504:16 *25957:S 7.18952e-05 -24 *1504:16 *27919:A2 4.98055e-06 -25 *1504:16 *28396:D 7.44888e-05 -26 *1504:16 *1588:18 0.000348161 -27 *1504:16 *1718:12 4.98055e-06 -28 *1504:16 *1815:34 5.32956e-05 -29 *1504:16 *3647:8 0.000208359 -30 *1504:16 *5605:17 9.56446e-06 -31 *1504:16 *5622:74 0.000121411 -32 *1504:16 *5622:79 0.00114037 -33 *1504:16 *5622:106 0.000107133 -34 *1504:16 *5665:268 0.000567093 -35 *1504:16 *5698:203 0.00197169 -36 *1504:16 *5706:242 7.6644e-05 -37 *24922:A *1504:16 7.76017e-05 -38 *25184:A2 *1504:7 2.51845e-05 -39 *25184:B1 *1504:7 1.21258e-05 -40 *29326:A *1504:16 6.56976e-05 -41 *30550:A *1504:8 0.000100474 -42 *1235:52 *1504:16 0.000112932 -43 *1257:69 *1504:8 0.000143652 -44 *1264:51 *1504:16 5.99103e-05 -45 *1273:23 *1504:16 0.000242893 -46 *1273:47 *1504:16 1.53411e-05 -47 *1416:53 *1504:7 2.59355e-05 -48 *1441:115 *1504:8 0.00154473 -*RES -1 *25184:X *1504:7 16.3893 -2 *1504:7 *1504:8 52.3393 -3 *1504:8 *1504:16 48.0714 -4 *1504:16 *25215:C1 13.8 -*END - -*D_NET *1505 0.00467549 -*CONN -*I *25214:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25185:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25214:C1 0 -2 *25185:X 0.000740995 -3 *1505:16 0.000740995 -4 *1505:16 *1538:37 8.78763e-05 -5 *1505:16 *1834:84 0.00122982 -6 *1505:16 *1864:116 0.000135028 -7 *1505:16 *3988:44 0.000238573 -8 *1505:16 *4133:92 0.000192776 -9 *1505:16 *5605:99 0.000135028 -10 *1505:16 *5626:99 0.000700949 -11 *1253:50 *1505:16 0.000119924 -12 *1279:61 *1505:16 0.000353528 -*RES -1 *25185:X *1505:16 48.7821 -2 *1505:16 *25214:C1 9.3 -*END - -*D_NET *1506 0.0207917 -*CONN -*I *25188:B1 I *D sky130_fd_sc_hd__a211o_1 -*I *25186:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25188:B1 0 -2 *25186:X 0.00079495 -3 *1506:18 0.00243543 -4 *1506:17 0.00323038 -5 *1506:17 *28695:D 7.17259e-05 -6 *1506:17 *2761:53 0.000177162 -7 *1506:17 *2848:369 0.000415795 -8 *1506:17 *3215:305 4.48427e-05 -9 *1506:17 *3291:17 4.79453e-05 -10 *1506:17 *3552:44 0.000196015 -11 *1506:17 *3565:10 0.000512532 -12 *1506:17 *3965:35 6.54393e-05 -13 *1506:17 *5609:245 4.33002e-05 -14 *1506:17 *5644:94 0.00018688 -15 *1506:17 *5644:99 0.000152838 -16 *1506:17 *5650:335 0.000184287 -17 *1506:17 *5777:215 4.44376e-05 -18 *1506:17 *5777:223 5.83906e-05 -19 *1506:18 *25188:C1 1.02504e-05 -20 *1506:18 *27381:B1 0.00105983 -21 *1506:18 *27390:A2 0.000582018 -22 *1506:18 *27390:B1 1.76352e-05 -23 *1506:18 *27743:D 0.00186311 -24 *1506:18 *28695:CLK 4.65944e-05 -25 *1506:18 *28695:D 6.24939e-05 -26 *1506:18 *2760:113 0.000453916 -27 *1506:18 *2855:219 0.000374168 -28 *1506:18 *2883:226 7.83659e-05 -29 *1506:18 *2943:11 0.000184636 -30 *1506:18 *3285:16 7.6644e-05 -31 *1506:18 *3718:92 0.00391049 -32 *1506:18 *4108:58 0.000191634 -33 *1506:18 *4147:46 1.00037e-05 -34 *1506:18 *5595:32 1.94879e-05 -35 *1506:18 *5829:76 0.00116699 -36 *1185:175 *1506:18 0.00164698 -37 *1261:238 *1506:17 5.33005e-05 -38 *1275:195 *1506:17 5.33005e-05 -39 *1286:168 *1506:18 0.000267459 -40 *1293:173 *1506:17 0 -*RES -1 *25186:X *1506:17 35.4514 -2 *1506:17 *1506:18 84.0625 -3 *1506:18 *25188:B1 13.8 -*END - -*D_NET *1507 0.0367208 -*CONN -*I *25188:C1 I *D sky130_fd_sc_hd__a211o_1 -*I *6598:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25187:X O *D sky130_fd_sc_hd__a221o_2 -*CAP -1 *25188:C1 0.000278283 -2 *6598:DIODE 0 -3 *25187:X 0.00180176 -4 *1507:29 0.000929728 -5 *1507:25 0.00276169 -6 *1507:20 0.00383951 -7 *1507:15 0.00313394 -8 *1507:11 0.00320642 -9 *25188:C1 *25188:A2 0.000180496 -10 *25188:C1 *25207:B 9.0145e-05 -11 *25188:C1 *3718:92 2.26424e-05 -12 *25188:C1 *5829:76 4.46689e-05 -13 *25188:C1 *5829:85 5.41797e-06 -14 *1507:11 *24889:A1 0.000256642 -15 *1507:11 *3165:355 0.00129253 -16 *1507:15 *25346:A 7.44262e-05 -17 *1507:15 *25346:D 0.000118205 -18 *1507:15 *30780:A 2.59355e-05 -19 *1507:15 *1643:15 0.000386918 -20 *1507:15 *3784:79 0.000553777 -21 *1507:15 *5634:156 0.00364942 -22 *1507:15 *5808:67 0.000178955 -23 *1507:20 *25346:D 0.000642177 -24 *1507:20 *27351:A1 9.41642e-05 -25 *1507:20 *27351:A2 0.000242231 -26 *1507:20 *27351:B1 1.42347e-05 -27 *1507:20 *27351:B2 2.01e-05 -28 *1507:20 *27351:C1 0.000464893 -29 *1507:20 *1643:15 0.000215579 -30 *1507:20 *2913:8 0.00015134 -31 *1507:20 *3233:26 0.000153054 -32 *1507:20 *3678:89 0.00356025 -33 *1507:20 *3797:85 0.000145239 -34 *1507:25 *27672:B1 0.00107996 -35 *1507:25 *27672:B2 2.89016e-05 -36 *1507:25 *27689:A1 1.81887e-05 -37 *1507:25 *27689:A2 0.000499894 -38 *1507:25 *27689:B1 1.92789e-05 -39 *1507:25 *27689:B2 9.65702e-05 -40 *1507:25 *27689:C1 0.000199983 -41 *1507:25 *27692:C 0.000218685 -42 *1507:25 *3963:87 3.69047e-06 -43 *1507:29 *25207:B 0.000332543 -44 *1507:29 *2871:277 0.000219289 -45 *1507:29 *5632:53 0.000745916 -46 *1507:29 *5649:120 0.00074433 -47 *25090:B *1507:15 4.98323e-05 -48 *1269:213 *1507:20 0.00047423 -49 *1274:126 *25188:C1 1.51029e-05 -50 *1284:55 *1507:11 9.94976e-05 -51 *1284:55 *1507:15 0.00244366 -52 *1411:7 *1507:15 2.22618e-05 -53 *1483:48 *1507:11 0.000863945 -54 *1506:18 *25188:C1 1.02504e-05 -*RES -1 *25187:X *1507:11 43.2643 -2 *1507:11 *1507:15 42.8571 -3 *1507:15 *1507:20 49.6964 -4 *1507:20 *1507:25 42.3929 -5 *1507:25 *1507:29 21.0357 -6 *1507:29 *6598:DIODE 9.3 -7 *1507:29 *25188:C1 23.2643 -*END - -*D_NET *1508 0.00317081 -*CONN -*I *25207:B I *D sky130_fd_sc_hd__or4_2 -*I *25188:X O *D sky130_fd_sc_hd__a211o_1 -*CAP -1 *25207:B 0.000955191 -2 *25188:X 0.000955191 -3 *25207:B *25207:C 0.000174796 -4 *25207:B *26958:S 0 -5 *25207:B *3913:43 6.81786e-05 -6 *25207:B *5718:360 0.000425261 -7 *25188:C1 *25207:B 9.0145e-05 -8 *1274:126 *25207:B 0.000169503 -9 *1507:29 *25207:B 0.000332543 -*RES -1 *25188:X *25207:B 36.6357 -*END - -*D_NET *1509 0.0266342 -*CONN -*I *25190:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25189:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25190:C1 0.00394027 -2 *25189:X 0.000497232 -3 *1509:16 0.00719493 -4 *1509:10 0.00375189 -5 *25190:C1 *25147:A2 0 -6 *25190:C1 *27021:S 0.00194232 -7 *25190:C1 *27122:S 4.83837e-05 -8 *25190:C1 *27415:C1 0.000322278 -9 *25190:C1 *27416:C 0.000733894 -10 *25190:C1 *27701:B1 0.000174728 -11 *25190:C1 *28596:RESET_B 6.90381e-06 -12 *25190:C1 *1574:21 7.06773e-06 -13 *25190:C1 *1834:49 1.67647e-05 -14 *25190:C1 *2778:152 0.000100767 -15 *25190:C1 *2845:286 0.00163409 -16 *25190:C1 *3177:101 0.000805308 -17 *25190:C1 *3753:93 0.000186442 -18 *25190:C1 *3848:38 1.08359e-05 -19 *25190:C1 *3924:62 0.000165742 -20 *25190:C1 *3963:60 0.000297688 -21 *25190:C1 *4107:31 0.000226335 -22 *25190:C1 *5601:175 0 -23 *25190:C1 *5630:250 5.08999e-05 -24 *25190:C1 *5630:264 0.00114909 -25 *25190:C1 *5702:58 0.000264606 -26 *25190:C1 *5765:58 0.000204484 -27 *25190:C1 *5879:98 5.96516e-05 -28 *25190:C1 *6351:24 0.000196514 -29 *1509:10 *3576:15 0.000510642 -30 *1509:16 *25146:B2 6.05161e-06 -31 *1509:16 *25913:A1 3.69047e-06 -32 *1509:16 *25913:S 1.15281e-05 -33 *1509:16 *27281:B1 0.000142313 -34 *1509:16 *1698:25 9.60939e-05 -35 *1509:16 *2844:318 0.000176698 -36 *1509:16 *3177:136 7.84621e-06 -37 *1509:16 *3810:47 0.000107644 -38 *1509:16 *5601:175 0.000162911 -39 *1509:16 *5630:274 0.000102327 -40 *1509:16 *5643:237 9.41642e-05 -41 *1509:16 *5836:284 0.000164994 -42 *25146:B1 *25190:C1 0.0001094 -43 *25190:B1 *25190:C1 0.000423607 -44 *1267:80 *25190:C1 0.000122321 -45 *1271:191 *25190:C1 0 -46 *1434:76 *1509:10 0.000136735 -47 *1463:32 *1509:10 0.000266085 -*RES -1 *25189:X *1509:10 26.8179 -2 *1509:10 *1509:16 45.0439 -3 *1509:16 *25190:C1 39.2845 -*END - -*D_NET *1510 0.012602 -*CONN -*I *25197:A I *D sky130_fd_sc_hd__or4_1 -*I *25190:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25197:A 0.000683551 -2 *25190:X 0.00104639 -3 *1510:27 0.00114787 -4 *1510:8 0.00151071 -5 *25197:A *25197:C 0.000982791 -6 *25197:A *1826:154 9.10431e-06 -7 *25197:A *2860:242 0.000418465 -8 *25197:A *3913:43 0.00192703 -9 *1510:8 *27737:B2 0 -10 *1510:8 *31010:A 0.000343301 -11 *1510:8 *1514:18 0.000564921 -12 *1510:8 *2853:292 0.000716895 -13 *1510:8 *3913:36 0.000716222 -14 *1510:8 *3913:43 0.000150618 -15 *1510:8 *5595:22 2.33437e-05 -16 *1510:8 *5595:32 6.19641e-05 -17 *1510:8 *5643:166 0.00141904 -18 *1510:8 *5649:128 0.000102658 -19 *1510:27 *25197:C 4.88232e-05 -20 *1510:27 *1514:18 9.13908e-05 -21 *1510:27 *2781:162 5.10079e-05 -22 *1510:27 *2845:247 5.3758e-05 -23 *1510:27 *2852:108 1.708e-05 -24 *1510:27 *2859:260 2.40503e-05 -25 *1510:27 *2860:242 1.84474e-05 -26 *1510:27 *3580:11 5.49544e-05 -27 *1510:27 *3913:43 2.16689e-05 -28 *1510:27 *4095:67 7.42422e-05 -29 *1510:27 *5630:246 0.000286732 -30 *1510:27 *5649:128 6.03923e-06 -31 *25190:A2 *1510:8 2.89016e-05 -*RES -1 *25190:X *1510:8 47.2464 -2 *1510:8 *1510:27 25.6441 -3 *1510:27 *25197:A 38.6661 -*END - -*D_NET *1511 0.0342093 -*CONN -*I *25192:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25191:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25192:C1 0.000612591 -2 *25191:X 0 -3 *1511:39 0.00210644 -4 *1511:22 0.00508293 -5 *1511:18 0.00477112 -6 *1511:10 0.00351597 -7 *1511:4 0.00233393 -8 *25192:C1 *2888:198 0.000396174 -9 *25192:C1 *5654:65 0.000372409 -10 *1511:10 *27580:A2 0.000175892 -11 *1511:10 *28985:A 0.000348586 -12 *1511:10 *1647:46 2.06112e-05 -13 *1511:10 *5640:64 0 -14 *1511:10 *5653:104 0.00277478 -15 *1511:10 *5653:145 0.000267731 -16 *1511:10 *5836:104 0.00119829 -17 *1511:18 *27946:A2 5.56329e-05 -18 *1511:18 *27948:A1 0.000329376 -19 *1511:18 *28841:A 0 -20 *1511:18 *1627:12 1.90936e-05 -21 *1511:18 *3176:202 7.27952e-05 -22 *1511:18 *5216:12 0.000217665 -23 *1511:18 *5845:25 0.00143344 -24 *1511:18 *5848:20 4.73891e-05 -25 *1511:22 *2877:194 0.0002406 -26 *1511:22 *3829:8 0 -27 *1511:22 *4104:49 9.20635e-06 -28 *1511:22 *5653:145 0.00128399 -29 *1511:22 *5816:10 2.47753e-05 -30 *1511:39 *26894:A0 0.000135028 -31 *1511:39 *27686:A1 0.000216755 -32 *1511:39 *2888:198 0.000104087 -33 *1511:39 *3886:67 0.000522175 -34 *1511:39 *4104:50 0.00182235 -35 *1511:39 *5653:146 0.00234952 -36 *25167:B *1511:18 2.06112e-05 -37 *282:34 *1511:39 0.00017754 -38 *1265:76 *1511:22 0.000135028 -39 *1396:120 *1511:18 0.000361978 -40 *1396:127 *1511:22 0.000595691 -41 *1490:102 *25192:C1 5.71472e-05 -*RES -1 *25191:X *1511:4 9.3 -2 *1511:4 *1511:10 47.2232 -3 *1511:10 *1511:18 14.8868 -4 *1511:18 *1511:22 48.0357 -5 *1511:22 *1511:39 45.3214 -6 *1511:39 *25192:C1 21.7464 -*END - -*D_NET *1512 0.00899169 -*CONN -*I *25197:B I *D sky130_fd_sc_hd__or4_1 -*I *25192:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25197:B 0 -2 *25192:X 0.000131342 -3 *1512:11 0.00152793 -4 *1512:7 0.00165928 -5 *1512:11 *25197:C 5.03772e-05 -6 *1512:11 *2760:109 0.000196517 -7 *1512:11 *3293:14 0.000285694 -8 *1512:11 *3939:51 2.52223e-05 -9 *1512:11 *5647:62 1.58163e-05 -10 *1512:11 *5647:70 0.0021621 -11 *1512:11 *5647:82 8.15095e-05 -12 *1512:11 *5718:360 0.000217877 -13 *1178:124 *1512:11 0.00233721 -14 *1178:158 *1512:11 0.000196329 -15 *1490:128 *1512:7 0.000104488 -*RES -1 *25192:X *1512:7 15.5679 -2 *1512:7 *1512:11 46.5179 -3 *1512:11 *25197:B 9.3 -*END - -*D_NET *1513 0.00957141 -*CONN -*I *25194:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25193:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25194:C1 0 -2 *25193:X 0.000260783 -3 *1513:10 0.00129173 -4 *1513:7 0.00155251 -5 *1513:7 *25193:A1 9.41642e-05 -6 *1513:7 *25193:B2 2.59355e-05 -7 *1513:7 *2764:30 4.48128e-05 -8 *1513:10 *4134:6 0.00324833 -9 *1513:10 *5631:72 3.10819e-05 -10 *1513:10 *5631:113 0.00269777 -11 *1513:10 *5829:68 0.000324293 -*RES -1 *25193:X *1513:7 16.8 -2 *1513:7 *1513:10 47.7321 -3 *1513:10 *25194:C1 9.3 -*END - -*D_NET *1514 0.0226941 -*CONN -*I *25197:C I *D sky130_fd_sc_hd__or4_1 -*I *25194:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25197:C 0.000949392 -2 *25194:X 0.000641042 -3 *1514:18 0.00268964 -4 *1514:16 0.00255503 -5 *1514:13 0.00145583 -6 *25197:C *25207:C 1.56e-05 -7 *25197:C *1826:154 0.00166782 -8 *25197:C *2781:162 0.000133103 -9 *25197:C *2860:242 0.000125162 -10 *25197:C *3913:43 5.66471e-06 -11 *25197:C *4095:67 0.000117829 -12 *25197:C *5630:161 0.000110498 -13 *25197:C *5643:160 0.000376185 -14 *25197:C *5781:42 3.27463e-05 -15 *1514:13 *25194:A1 1.81887e-05 -16 *1514:13 *27392:A1 0.000290382 -17 *1514:13 *27431:B1 9.28687e-05 -18 *1514:13 *3692:45 2.83129e-05 -19 *1514:13 *4069:33 0.000310794 -20 *1514:13 *4134:6 1.90936e-05 -21 *1514:13 *5582:159 1.28239e-05 -22 *1514:13 *5582:183 2.54304e-06 -23 *1514:13 *5654:131 4.18413e-05 -24 *1514:13 *5654:157 0.000252046 -25 *1514:13 *5695:133 7.69776e-06 -26 *1514:16 *25190:A1 0.000189281 -27 *1514:16 *3913:26 0.00207469 -28 *1514:16 *3913:33 2.02292e-05 -29 *1514:16 *5628:189 0.00156275 -30 *1514:16 *5692:41 0.000192154 -31 *1514:18 *25190:A1 0.00014064 -32 *1514:18 *27378:B1 0.000195547 -33 *1514:18 *31010:A 0 -34 *1514:18 *1826:170 1.48489e-05 -35 *1514:18 *2781:162 1.74156e-05 -36 *1514:18 *2786:38 3.73407e-05 -37 *1514:18 *2786:55 0.00139716 -38 *1514:18 *2787:161 2.04825e-05 -39 *1514:18 *2891:288 3.28967e-05 -40 *1514:18 *2892:259 0.000218734 -41 *1514:18 *3913:33 0.000606633 -42 *1514:18 *3913:43 5.90443e-06 -43 *1514:18 *5630:246 6.53397e-05 -44 *1514:18 *5643:160 0.000533785 -45 *1514:18 *5643:166 0.00141099 -46 *1514:18 *5660:198 9.15304e-05 -47 *25190:A2 *1514:18 8.22793e-06 -48 *25194:A2 *1514:13 3.83528e-05 -49 *25197:A *25197:C 0.000982791 -50 *1262:97 *1514:18 7.83587e-05 -51 *1274:126 *25197:C 5.03772e-05 -52 *1510:8 *1514:18 0.000564921 -53 *1510:27 *25197:C 4.88232e-05 -54 *1510:27 *1514:18 9.13908e-05 -55 *1512:11 *25197:C 5.03772e-05 -*RES -1 *25194:X *1514:13 24.5637 -2 *1514:13 *1514:16 28.4375 -3 *1514:16 *1514:18 50.6696 -4 *1514:18 *25197:C 49.4319 -*END - -*D_NET *1515 0.00154582 -*CONN -*I *25196:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25195:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25196:C1 0.000451933 -2 *25195:X 0.000451933 -3 *25196:C1 *25076:A1 7.6612e-06 -4 *25196:C1 *25196:B2 6.03996e-05 -5 *25196:C1 *1693:14 7.6612e-06 -6 *25196:C1 *2874:278 0.00014239 -7 *25196:C1 *3875:100 1.78132e-05 -8 *25196:C1 *5614:37 0.000382579 -9 *25196:B1 *25196:C1 1.0033e-05 -10 *1262:25 *25196:C1 1.34223e-05 -*RES -1 *25195:X *25196:C1 34.5821 -*END - -*D_NET *1516 0.018318 -*CONN -*I *25197:D I *D sky130_fd_sc_hd__or4_1 -*I *25196:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25197:D 3.99504e-05 -2 *25196:X 0.000872843 -3 *1516:21 0.00405162 -4 *1516:17 0.00494217 -5 *1516:10 0.00180335 -6 *25197:D *2853:224 0.00015304 -7 *25197:D *5632:53 0.00015304 -8 *1516:10 *24943:A 6.57032e-05 -9 *1516:10 *25196:A1 0.000505522 -10 *1516:10 *3740:41 0.00233409 -11 *1516:10 *3952:68 0.000264608 -12 *1516:10 *5764:22 4.78056e-05 -13 *1516:17 *27086:A1 9.35114e-06 -14 *1516:17 *28654:D 0.000105128 -15 *1516:17 *28654:RESET_B 2.14658e-05 -16 *1516:17 *3862:21 5.52302e-05 -17 *1516:17 *5764:36 5.49248e-05 -18 *1516:21 *26958:S 5.33005e-05 -19 *1516:21 *27086:A1 4.46231e-06 -20 *1516:21 *2767:66 0.000944932 -21 *1516:21 *5708:147 0.000354894 -22 *1516:21 *5708:164 2.05612e-05 -23 *30174:A *1516:17 0.000638005 -24 *1265:112 *1516:10 9.91086e-05 -25 *1269:10 *1516:10 6.81704e-05 -26 *1286:11 *1516:21 0.000440726 -27 *1286:168 *1516:21 0.00012839 -28 *1406:38 *1516:10 8.55871e-05 -*RES -1 *25196:X *1516:10 42.7643 -2 *1516:10 *1516:17 12.6071 -3 *1516:17 *1516:21 49.8036 -4 *1516:21 *25197:D 15.7464 -*END - -*D_NET *1517 0.00253978 -*CONN -*I *25207:C I *D sky130_fd_sc_hd__or4_2 -*I *25197:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *25207:C 0.000709486 -2 *25197:X 0.000709486 -3 *25207:C *1520:8 0.000148903 -4 *25207:C *3874:18 0.000150625 -5 *25197:C *25207:C 1.56e-05 -6 *25207:B *25207:C 0.000174796 -7 *1274:126 *25207:C 0.000630881 -*RES -1 *25197:X *25207:C 38.4214 -*END - -*D_NET *1518 0.0309875 -*CONN -*I *25199:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25198:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25199:C1 2.08205e-05 -2 *25198:X 0.00981949 -3 *1518:15 0.00984031 -4 *25199:C1 *1869:29 2.06827e-05 -5 *25199:C1 *1871:12 4.32309e-05 -6 *1518:15 *26516:A2 0 -7 *1518:15 *26520:C 5.27137e-05 -8 *1518:15 *26551:A2 0 -9 *1518:15 *26665:C1 0.000111335 -10 *1518:15 *26672:C 0.000100494 -11 *1518:15 *26728:A 0.000122509 -12 *1518:15 *26728:B 0.000337598 -13 *1518:15 *26763:B 0.000126206 -14 *1518:15 *26772:B 0.000144747 -15 *1518:15 *26791:B1 0.000135947 -16 *1518:15 *26806:C1 0 -17 *1518:15 *27910:B2 0 -18 *1518:15 *28886:A 0.000207706 -19 *1518:15 *30047:A 0.000101391 -20 *1518:15 *2021:10 0.000181142 -21 *1518:15 *2022:39 0.000137276 -22 *1518:15 *2526:18 0 -23 *1518:15 *2544:14 0.000118315 -24 *1518:15 *2608:26 0.000239981 -25 *1518:15 *2696:8 0.00017733 -26 *1518:15 *3413:25 0.0060201 -27 *1518:15 *3541:38 0.000141019 -28 *1518:15 *3553:26 0.000248367 -29 *1518:15 *3581:38 0.000101402 -30 *1518:15 *3581:45 0.000115473 -31 *1518:15 *3688:69 0.000206726 -32 *1518:15 *3700:40 2.06112e-05 -33 *1518:15 *3713:119 0.000129086 -34 *1518:15 *3713:130 0.000138255 -35 *1518:15 *3984:73 9.02928e-05 -36 *1518:15 *4152:60 2.06112e-05 -37 *1518:15 *5669:101 0.000530836 -38 *1518:15 *5669:111 8.94556e-05 -39 *1518:15 *5709:112 0.000148348 -40 *1518:15 *6352:31 0.000145452 -41 *1472:25 *1518:15 0.000802281 -*RES -1 *25198:X *1518:15 49.5427 -2 *1518:15 *25199:C1 17.7904 -*END - -*D_NET *1519 0.0252508 -*CONN -*I *25206:A I *D sky130_fd_sc_hd__or4_1 -*I *25199:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25206:A 0.000721072 -2 *25199:X 0.00197996 -3 *1519:26 0.00203078 -4 *1519:13 0.00426994 -5 *1519:5 0.00494019 -6 *25206:A *25206:C 0.000459937 -7 *25206:A *25206:D 9.41642e-05 -8 *25206:A *1523:7 8.25843e-06 -9 *25206:A *2769:31 1.39726e-05 -10 *25206:A *3809:32 0.00233203 -11 *25206:A *3898:11 3.28686e-05 -12 *1519:5 *25199:A1 0.00013537 -13 *1519:5 *25366:A2 0.000220035 -14 *1519:5 *27920:A2 0.000103348 -15 *1519:5 *27920:B1 2.89016e-05 -16 *1519:5 *27920:B2 0.000264579 -17 *1519:5 *3461:7 0.00101847 -18 *1519:5 *3809:32 5.09754e-05 -19 *1519:5 *3810:26 5.47731e-05 -20 *1519:5 *3959:45 9.26907e-05 -21 *1519:5 *5641:123 0.000959465 -22 *1519:5 *5642:172 0.000298483 -23 *1519:13 *27766:C 0.000168197 -24 *1519:13 *28755:CLK 0.00021015 -25 *1519:13 *2893:7 0.000314004 -26 *1519:13 *3810:26 0.000241976 -27 *1519:13 *3901:63 8.75574e-05 -28 *1519:13 *4133:28 4.82865e-05 -29 *1519:26 *26978:S 0.00110691 -30 *1519:26 *28629:CLK 0.00034188 -31 *1519:26 *28755:D 0.000301438 -32 *1519:26 *28755:RESET_B 9.96264e-05 -33 *1519:26 *2759:111 0.000222594 -34 *1519:26 *3162:18 0.000213115 -35 *1519:26 *4003:20 0.000275965 -36 *1519:26 *4042:19 9.41642e-05 -37 *29672:A *1519:26 5.33005e-05 -38 *1225:144 *1519:26 0 -39 *1256:154 *1519:13 5.56469e-05 -40 *1261:177 *25206:A 0.00121437 -41 *1393:23 *1519:13 6.61173e-05 -42 *1393:25 *1519:13 2.52274e-05 -*RES -1 *25199:X *1519:5 48.0321 -2 *1519:5 *1519:13 49.1429 -3 *1519:13 *1519:26 36.8214 -4 *1519:26 *25206:A 32.8536 -*END - -*D_NET *1520 0.0254374 -*CONN -*I *25201:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25200:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25201:C1 2.2024e-05 -2 *25200:X 0 -3 *1520:20 0.00174265 -4 *1520:19 0.00247349 -5 *1520:8 0.00188362 -6 *1520:5 0.00113075 -7 *25201:C1 *25201:A1 5.33005e-05 -8 *25201:C1 *27144:B 6.05161e-06 -9 *1520:8 *2853:224 0.000135723 -10 *1520:8 *2880:30 0.000210142 -11 *1520:8 *3874:18 0.000106043 -12 *1520:8 *3952:51 0.00230039 -13 *1520:8 *3952:63 1.90936e-05 -14 *1520:8 *3952:67 0.000569224 -15 *1520:8 *4030:47 4.34543e-05 -16 *1520:8 *4030:48 0.00324423 -17 *1520:8 *5596:51 1.17921e-05 -18 *1520:19 *2788:22 0.000140953 -19 *1520:19 *2852:108 1.85278e-05 -20 *1520:19 *2853:236 9.17039e-05 -21 *1520:19 *3835:38 9.41571e-05 -22 *1520:19 *3835:45 0.00114669 -23 *1520:19 *4030:47 0.000101171 -24 *1520:19 *5596:51 0.00112531 -25 *1520:20 *27736:B2 2.06178e-05 -26 *1520:20 *2788:22 0.000158172 -27 *1520:20 *2950:10 0.000198102 -28 *1520:20 *3153:204 0.000345069 -29 *1520:20 *3177:100 0.0011708 -30 *1520:20 *3281:8 0.00140834 -31 *1520:20 *3796:82 0.000106403 -32 *1520:20 *3796:108 0.000639195 -33 *1520:20 *3835:36 0.00150409 -34 *1520:20 *3835:38 0.00293147 -35 *25207:C *1520:8 0.000148903 -36 *1258:75 *1520:19 0.000123605 -37 *1393:11 *25201:C1 1.21258e-05 -38 *1501:20 *1520:19 0 -*RES -1 *25200:X *1520:5 13.8 -2 *1520:5 *1520:8 43.3125 -3 *1520:8 *1520:19 25.8016 -4 *1520:19 *1520:20 58.5625 -5 *1520:20 *25201:C1 14.3357 -*END - -*D_NET *1521 0.0028162 -*CONN -*I *25206:B I *D sky130_fd_sc_hd__or4_1 -*I *25201:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25206:B 0.00089542 -2 *25201:X 0.00089542 -3 *25206:B *25201:A1 0.000236084 -4 *25206:B *27144:B 4.49725e-05 -5 *25206:B *1525:14 0.000118982 -6 *25206:B *2788:9 0.000175892 -7 *25206:B *2894:308 3.48139e-05 -8 *25206:B *3861:40 0.000189615 -9 *25201:B1 *25206:B 0.000175892 -10 *27144:A *25206:B 4.91065e-05 -*RES -1 *25201:X *25206:B 39.725 -*END - -*D_NET *1522 0.00322869 -*CONN -*I *25203:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25202:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25203:C1 0.000732136 -2 *25202:X 0.000732136 -3 *25203:C1 *25202:A1 9.91086e-05 -4 *25203:C1 *28558:D 4.6672e-05 -5 *25203:C1 *3979:19 8.6874e-05 -6 *25203:C1 *4031:28 0.000117478 -7 *25203:C1 *4083:31 0.000319068 -8 *25203:C1 *5590:107 0.000869513 -9 *25203:C1 *5641:162 9.66977e-05 -10 *25203:C1 *6247:18 0 -11 *1256:154 *25203:C1 0.000129009 -*RES -1 *25202:X *25203:C1 44.7607 -*END - -*D_NET *1523 0.00943211 -*CONN -*I *25206:C I *D sky130_fd_sc_hd__or4_1 -*I *25203:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25206:C 0.000655675 -2 *25203:X 0.00174669 -3 *1523:7 0.00240237 -4 *25206:C *25206:D 5.33005e-05 -5 *25206:C *2769:31 0.00213024 -6 *25206:C *3898:11 3.4517e-05 -7 *1523:7 *25203:A1 0.00010186 -8 *1523:7 *27200:A1 5.98611e-05 -9 *1523:7 *28675:CLK 0.000151537 -10 *1523:7 *3809:32 2.61593e-05 -11 *1523:7 *3898:32 1.65169e-05 -12 *1523:7 *5708:46 4.10926e-05 -13 *25203:A2 *1523:7 2.79421e-05 -14 *25206:A *25206:C 0.000459937 -15 *25206:A *1523:7 8.25843e-06 -16 *1261:177 *25206:C 0.00121602 -17 *1361:45 *1523:7 0.000300131 -*RES -1 *25203:X *1523:7 29.4607 -2 *1523:7 *25206:C 31.1929 -*END - -*D_NET *1524 0.0455796 -*CONN -*I *25205:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *6599:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25204:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *25205:C1 0.000119351 -2 *6599:DIODE 7.70325e-05 -3 *25204:X 0.0030893 -4 *1524:38 0.00203736 -5 *1524:37 0.0027108 -6 *1524:23 0.00545923 -7 *1524:22 0.00520277 -8 *1524:15 0.00370267 -9 *25205:C1 *2888:269 1.64583e-05 -10 *25205:C1 *2892:266 5.41794e-05 -11 *25205:C1 *3251:12 4.73656e-05 -12 *25205:C1 *5635:187 6.86792e-05 -13 *1524:15 *28444:D 0.000168915 -14 *1524:15 *4109:53 5.21937e-05 -15 *1524:15 *5761:192 0.00246149 -16 *1524:15 *5761:194 8.92795e-05 -17 *1524:15 *5761:209 5.71225e-05 -18 *1524:22 *25257:B 0.00117075 -19 *1524:22 *25257:C 7.83659e-05 -20 *1524:22 *28490:D 0.000480404 -21 *1524:22 *2780:196 1.08359e-05 -22 *1524:22 *2872:279 0.000112069 -23 *1524:22 *3758:41 6.59118e-05 -24 *1524:22 *3758:43 1.53472e-05 -25 *1524:22 *3959:63 0.000927054 -26 *1524:22 *4076:59 9.41642e-05 -27 *1524:22 *4076:72 0.000148189 -28 *1524:22 *5761:209 0.000121228 -29 *1524:23 *25249:C1 0.000112959 -30 *1524:23 *1574:27 0.00393526 -31 *1524:23 *4069:89 0.000138954 -32 *1524:37 *27085:A0 6.14836e-06 -33 *1524:37 *27336:A2 0.000133706 -34 *1524:37 *27371:C1 0.000935696 -35 *1524:37 *2782:127 4.53109e-06 -36 *1524:37 *2848:265 2.46739e-05 -37 *1524:37 *2860:259 0.000831559 -38 *1524:37 *3250:39 6.60633e-06 -39 *1524:37 *5824:219 9.51343e-06 -40 *1524:37 *6225:135 0.00126002 -41 *1524:37 *6351:26 0.00109326 -42 *1524:38 *27094:A1 5.4826e-05 -43 *1524:38 *27701:A1 7.53238e-05 -44 *1524:38 *2855:219 0.000243173 -45 *1524:38 *2860:315 0.000524444 -46 *1524:38 *2892:266 0.00234922 -47 *1524:38 *3251:12 0.00270704 -48 *1524:38 *3730:8 0.000399324 -49 *1524:38 *3770:48 0.0016274 -50 *1524:38 *4068:16 1.90936e-05 -51 *1524:38 *5819:10 8.67567e-05 -52 *1524:38 *5824:242 1.04707e-05 -53 *29313:A *1524:22 5.49489e-05 -54 *1272:113 *6599:DIODE 9.41642e-05 -55 *1272:113 *25205:C1 0.000201973 -*RES -1 *25204:X *1524:15 47.925 -2 *1524:15 *1524:22 28.625 -3 *1524:22 *1524:23 55.1607 -4 *1524:23 *1524:37 34.6559 -5 *1524:37 *1524:38 58.5625 -6 *1524:38 *6599:DIODE 14.7464 -7 *1524:38 *25205:C1 17.1214 -*END - -*D_NET *1525 0.00592195 -*CONN -*I *25206:D I *D sky130_fd_sc_hd__or4_1 -*I *25205:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25206:D 2.88921e-05 -2 *25205:X 0.00105888 -3 *1525:14 0.00108777 -4 *25206:D *2769:31 2.28598e-05 -5 *1525:14 *25205:A1 0.000298483 -6 *1525:14 *2773:94 0.000298483 -7 *1525:14 *2894:308 0.000590483 -8 *1525:14 *3835:36 0.000726086 -9 *1525:14 *3861:40 0.00015333 -10 *1525:14 *4204:12 0.000726086 -11 *1525:14 *5587:130 1.90936e-05 -12 *1525:14 *5607:82 0.000512325 -13 *25206:A *25206:D 9.41642e-05 -14 *25206:B *1525:14 0.000118982 -15 *25206:C *25206:D 5.33005e-05 -16 *1272:101 *1525:14 0.00013273 -*RES -1 *25205:X *1525:14 48.4071 -2 *1525:14 *25206:D 14.7464 -*END - -*D_NET *1526 0.0171845 -*CONN -*I *25207:D I *D sky130_fd_sc_hd__or4_2 -*I *25206:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *25207:D 0 -2 *25206:X 7.70325e-05 -3 *1526:8 0.00260653 -4 *1526:7 0.00268356 -5 *1526:7 *2769:31 9.41642e-05 -6 *1526:8 *27396:C1 0.000239417 -7 *1526:8 *2754:20 3.93348e-05 -8 *1526:8 *2754:26 0.000580994 -9 *1526:8 *2754:28 0.000826197 -10 *1526:8 *2864:264 0.000510981 -11 *1526:8 *2864:266 6.71103e-05 -12 *1526:8 *2866:270 0.00158815 -13 *1526:8 *2894:303 0.00126596 -14 *1526:8 *2895:289 0.000425453 -15 *1526:8 *3170:251 0.000161518 -16 *1526:8 *3259:25 0.000226849 -17 *1526:8 *3679:55 0.000653885 -18 *1526:8 *3783:66 0.000429262 -19 *1526:8 *3822:18 0.00027509 -20 *1526:8 *3848:20 0.00017966 -21 *1526:8 *3848:30 0.000616302 -22 *1526:8 *3861:8 0.000172607 -23 *1526:8 *3861:17 0.00017152 -24 *1526:8 *3861:21 0.000144752 -25 *1526:8 *3861:32 2.10583e-05 -26 *1526:8 *3976:55 0.000218877 -27 *1526:8 *3976:73 0.00129874 -28 *1526:8 *5584:263 0.000360823 -29 *1526:8 *5633:97 0.000114847 -30 *1526:8 *5633:152 0.0010533 -31 *25047:A2 *1526:8 4.58764e-05 -32 *1501:20 *1526:8 3.46899e-05 -*RES -1 *25206:X *1526:7 14.7464 -2 *1526:7 *1526:8 86.3393 -3 *1526:8 *25207:D 13.8 -*END - -*D_NET *1527 0.0332419 -*CONN -*I *25225:A I *D sky130_fd_sc_hd__or4_4 -*I *25207:X O *D sky130_fd_sc_hd__or4_2 -*CAP -1 *25225:A 0.0015141 -2 *25207:X 0 -3 *1527:39 0.00309785 -4 *1527:25 0.00300618 -5 *1527:6 0.0038025 -6 *1527:5 0.00238007 -7 *25225:A *6398:DIODE 0.000298483 -8 *25225:A *25225:D 0.000300131 -9 *25225:A *3547:11 0.000810525 -10 *25225:A *3965:95 0.000142519 -11 *25225:A *5585:56 5.05056e-05 -12 *25225:A *5861:53 5.20298e-05 -13 *1527:6 *25200:B2 1.84018e-05 -14 *1527:6 *2864:257 0.000309022 -15 *1527:6 *2936:11 3.54492e-05 -16 *1527:6 *3259:25 0.000244268 -17 *1527:6 *3665:38 0.00289149 -18 *1527:6 *3874:18 0.00537678 -19 *1527:6 *4030:48 0 -20 *1527:6 *5627:38 3.32997e-05 -21 *1527:6 *5654:65 0.00103054 -22 *1527:25 *27710:B2 0 -23 *1527:25 *2754:20 0.000160143 -24 *1527:25 *2777:94 0.000104997 -25 *1527:25 *2782:115 2.98296e-05 -26 *1527:25 *2782:116 0.000159428 -27 *1527:25 *2850:179 0.000498229 -28 *1527:25 *2894:210 9.49752e-06 -29 *1527:25 *2920:8 0.000500059 -30 *1527:25 *3185:52 1.72844e-05 -31 *1527:25 *5583:126 6.57032e-05 -32 *1527:25 *5641:23 1.92066e-05 -33 *1527:39 *25224:B 0.000414747 -34 *1527:39 *28620:D 0.000257619 -35 *1527:39 *2856:137 0.000428633 -36 *1527:39 *2877:179 7.95959e-05 -37 *1527:39 *3820:87 0.000139907 -38 *1527:39 *3965:85 0.000849078 -39 *1527:39 *3965:95 0.000469601 -40 *1527:39 *3992:42 9.41642e-05 -41 *1527:39 *5655:174 7.80167e-05 -42 *1527:39 *5700:77 0.000709551 -43 *25223:B1 *25225:A 0.000129245 -44 *1252:134 *1527:6 0.000202774 -45 *1439:16 *1527:25 0 -46 *1501:22 *1527:6 0.00243045 -*RES -1 *25207:X *1527:5 13.8 -2 *1527:5 *1527:6 84.8214 -3 *1527:6 *1527:25 34.9077 -4 *1527:25 *1527:39 40.7321 -5 *1527:39 *25225:A 38.5857 -*END - -*D_NET *1528 0.00706179 -*CONN -*I *25212:A I *D sky130_fd_sc_hd__or4_1 -*I *25208:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25212:A 0 -2 *25208:X 0.00223195 -3 *1528:9 0.00223195 -4 *1528:9 *6469:DIODE 2.47753e-05 -5 *1528:9 *25208:B2 1.94107e-05 -6 *1528:9 *1603:13 0.000178955 -7 *1528:9 *3848:61 0.000135028 -8 *1528:9 *4052:36 0.000250779 -9 *25159:A2 *1528:9 0.000976849 -10 *25223:B1 *1528:9 0.00100058 -11 *1290:23 *1528:9 1.15172e-05 -*RES -1 *25208:X *1528:9 47.6571 -2 *1528:9 *25212:A 9.3 -*END - -*D_NET *1529 0.00544093 -*CONN -*I *25212:B I *D sky130_fd_sc_hd__or4_1 -*I *25209:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25212:B 0 -2 *25209:X 0.00127674 -3 *1529:10 0.00127674 -4 *1529:10 *25209:B2 0.000235279 -5 *1529:10 *1535:55 0.000123163 -6 *1529:10 *3305:33 0.000216359 -7 *1529:10 *4063:59 0.000560714 -8 *1529:10 *5583:37 0.000586177 -9 *25209:B1 *1529:10 1.07719e-05 -10 *25209:C1 *1529:10 0.000124597 -11 *1241:10 *1529:10 0.000919888 -12 *1484:23 *1529:10 0.000110498 -*RES -1 *25209:X *1529:10 41.7821 -2 *1529:10 *25212:B 9.3 -*END - -*D_NET *1530 0.00746359 -*CONN -*I *25212:C I *D sky130_fd_sc_hd__or4_1 -*I *25210:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25212:C 0.00166956 -2 *25210:X 0.00166956 -3 *25212:C *25177:A1 1.83136e-05 -4 *25212:C *1603:13 5.33005e-05 -5 *25212:C *1603:15 0.000382744 -6 *25212:C *3473:19 0.000344444 -7 *25212:C *3845:59 0.000848735 -8 *25212:C *3988:44 2.06112e-05 -9 *25212:C *4133:92 1.90936e-05 -10 *25159:A2 *25212:C 1.58163e-05 -11 *25159:B1 *25212:C 0.000596177 -12 *25177:A2 *25212:C 0.000450587 -13 *25177:B1 *25212:C 6.43196e-05 -14 *25213:C1 *25212:C 2.09826e-05 -15 *25223:B1 *25212:C 0.000338179 -16 *29447:A *25212:C 0.000756137 -17 *1239:14 *25212:C 1.94879e-05 -18 *1253:50 *25212:C 0.000148569 -19 *1282:136 *25212:C 2.69757e-05 -*RES -1 *25210:X *25212:C 43.5576 -*END - -*D_NET *1531 0.00392457 -*CONN -*I *25212:D I *D sky130_fd_sc_hd__or4_1 -*I *25211:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25212:D 0.0014538 -2 *25211:X 0.0014538 -3 *25212:D *25211:A1 5.33005e-05 -4 *25212:D *3215:50 5.95249e-05 -5 *25212:D *3988:44 9.96264e-05 -6 *25212:D *4133:92 9.96264e-05 -7 *25211:A2 *25212:D 6.12335e-05 -8 *1282:136 *25212:D 0.000643671 -*RES -1 *25211:X *25212:D 45.9036 -*END - -*D_NET *1532 0.00155153 -*CONN -*I *25225:B I *D sky130_fd_sc_hd__or4_4 -*I *25212:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *25225:B 0.000417076 -2 *25212:X 0.000417076 -3 *25225:B *25225:D 3.32141e-05 -4 *25225:B *25284:A 6.5919e-05 -5 *25225:B *1576:27 6.74943e-05 -6 *25225:B *1603:13 0.000300412 -7 *25225:B *2778:120 0.000113119 -8 *25225:B *3547:11 1.64818e-05 -9 *25225:B *5604:20 7.48409e-06 -10 *1289:19 *25225:B 7.65049e-05 -11 *1289:27 *25225:B 3.67462e-05 -*RES -1 *25212:X *25225:B 35.0018 -*END - -*D_NET *1533 0.0049154 -*CONN -*I *25217:A I *D sky130_fd_sc_hd__or4_1 -*I *25213:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25217:A 0 -2 *25213:X 0.00101688 -3 *1533:9 0.00101688 -4 *1533:9 *25217:C 5.33005e-05 -5 *1533:9 *25217:D 0.000175892 -6 *1533:9 *25283:D 7.48005e-05 -7 *1533:9 *1644:36 0.000143769 -8 *1533:9 *1689:21 0.000143571 -9 *1533:9 *3176:69 0.00188562 -10 *1235:23 *1533:9 0.000259267 -11 *1252:26 *1533:9 0.000145429 -*RES -1 *25213:X *1533:9 42.1393 -2 *1533:9 *25217:A 9.3 -*END - -*D_NET *1534 0.000570011 -*CONN -*I *25217:B I *D sky130_fd_sc_hd__or4_1 -*I *25214:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25217:B 0.000140085 -2 *25214:X 0.000140085 -3 *25217:B *27634:A1 7.74068e-05 -4 *25217:B *3305:33 7.74068e-05 -5 *25217:B *3939:33 0.000135028 -*RES -1 *25214:X *25217:B 29.9929 -*END - -*D_NET *1535 0.0308835 -*CONN -*I *25217:C I *D sky130_fd_sc_hd__or4_1 -*I *25215:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25217:C 5.54853e-05 -2 *25215:X 0.000423719 -3 *1535:55 0.00153071 -4 *1535:39 0.00359006 -5 *1535:14 0.0037311 -6 *1535:13 0.00203998 -7 *25217:C *25283:D 1.21258e-05 -8 *1535:13 *27594:A1 0 -9 *1535:13 *3146:17 9.25014e-06 -10 *1535:13 *3568:110 0.000136951 -11 *1535:13 *3875:35 7.90803e-05 -12 *1535:13 *5499:34 0.000299831 -13 *1535:14 *1588:18 1.55479e-05 -14 *1535:14 *1901:41 0.00052401 -15 *1535:14 *5499:59 3.77381e-05 -16 *1535:14 *5508:44 0.00108129 -17 *1535:14 *6317:85 0.00115888 -18 *1535:39 *26837:C1 0.000131834 -19 *1535:39 *27962:B2 2.85551e-05 -20 *1535:39 *27963:C1 7.05143e-06 -21 *1535:39 *1594:23 0.000968862 -22 *1535:39 *1721:14 1.90936e-05 -23 *1535:39 *1723:27 0.000131038 -24 *1535:39 *2850:50 6.33468e-05 -25 *1535:39 *3196:52 9.41642e-05 -26 *1535:39 *3216:53 4.87854e-05 -27 *1535:39 *3777:51 0.000547454 -28 *1535:39 *3782:26 0.000300412 -29 *1535:39 *3843:17 2.22043e-05 -30 *1535:39 *3988:33 0.000210862 -31 *1535:39 *3988:44 2.95642e-05 -32 *1535:55 *25184:A1 0.000520004 -33 *1535:55 *1659:31 4.8817e-05 -34 *1535:55 *3183:70 2.032e-05 -35 *1535:55 *3305:33 0.00104792 -36 *1535:55 *5386:11 3.88378e-06 -37 *1535:55 *5605:33 2.21184e-05 -38 *1535:55 *5626:105 0.00123804 -39 *25133:C1 *1535:55 0.000914834 -40 *25184:A2 *1535:55 2.94676e-06 -41 *25241:B1 *1535:39 2.01997e-05 -42 *28842:A *1535:55 0.000267897 -43 *30004:A *1535:13 6.57032e-05 -44 *30450:A *1535:14 0.00037868 -45 *1240:47 *1535:13 0 -46 *1241:10 *1535:55 0.000121425 -47 *1248:14 *1535:39 0 -48 *1248:22 *1535:39 0.000505571 -49 *1248:33 *1535:39 0.000147803 -50 *1248:56 *1535:55 4.31261e-05 -51 *1253:98 *1535:39 0.00218871 -52 *1253:98 *1535:55 0.000759089 -53 *1253:105 *1535:14 0.000822391 -54 *1253:105 *1535:39 0.000127238 -55 *1253:112 *1535:14 0.00206216 -56 *1257:46 *1535:39 0.000356841 -57 *1257:46 *1535:55 4.73953e-05 -58 *1257:69 *1535:39 4.89544e-06 -59 *1271:131 *1535:55 0 -60 *1273:47 *1535:39 0.000157383 -61 *1294:19 *1535:14 6.73217e-05 -62 *1400:95 *1535:55 0.00013684 -63 *1420:22 *1535:39 1.32041e-05 -64 *1441:99 *1535:14 0.000686734 -65 *1441:99 *1535:39 4.18985e-05 -66 *1479:17 *1535:39 0.000257797 -67 *1479:59 *1535:14 0.000151355 -68 *1484:23 *1535:55 2.71873e-05 -69 *1485:23 *1535:39 0.000100261 -70 *1529:10 *1535:55 0.000123163 -71 *1533:9 *25217:C 5.33005e-05 -*RES -1 *25215:X *1535:13 29.5857 -2 *1535:13 *1535:14 50.2143 -3 *1535:14 *1535:39 47.3654 -4 *1535:39 *1535:55 49.6367 -5 *1535:55 *25217:C 10.2464 -*END - -*D_NET *1536 0.0391704 -*CONN -*I *25217:D I *D sky130_fd_sc_hd__or4_1 -*I *25216:X O *D sky130_fd_sc_hd__a221o_2 -*CAP -1 *25217:D 0.00221325 -2 *25216:X 9.11761e-05 -3 *1536:27 0.00483021 -4 *1536:21 0.00587099 -5 *1536:13 0.0049232 -6 *1536:8 0.00176034 -7 *25217:D *25283:D 0.000175892 -8 *25217:D *25395:A1 0.000115902 -9 *25217:D *27634:B1 0.000543764 -10 *25217:D *1844:104 0.00012357 -11 *25217:D *3176:62 9.15842e-06 -12 *25217:D *3907:76 0.000177893 -13 *25217:D *4129:74 0.00011502 -14 *25217:D *4186:20 0.0002057 -15 *25217:D *5655:150 0.000137548 -16 *1536:13 *27047:A1 0.000174451 -17 *1536:13 *27056:S 5.4695e-05 -18 *1536:13 *28619:D 0.000128161 -19 *1536:13 *28627:SET_B 0.000730488 -20 *1536:13 *29031:A 0 -21 *1536:13 *30871:A 9.45697e-05 -22 *1536:13 *2778:55 0.000282835 -23 *1536:13 *3872:9 2.11419e-05 -24 *1536:13 *3872:28 0.000446765 -25 *1536:13 *5481:6 0.000862394 -26 *1536:13 *5486:22 0.000122099 -27 *1536:13 *5678:267 7.70626e-05 -28 *1536:13 *5687:153 1.0267e-05 -29 *1536:13 *5746:31 0.000305083 -30 *1536:13 *5944:17 8.5552e-05 -31 *1536:13 *6225:92 9.65172e-05 -32 *1536:13 *6317:70 0.000279691 -33 *1536:21 *2848:33 4.32018e-05 -34 *1536:21 *2875:63 1.88878e-05 -35 *1536:21 *3205:158 0.000134969 -36 *1536:21 *3388:17 0 -37 *1536:21 *3569:26 0.00177668 -38 *1536:21 *3794:85 0.00165317 -39 *1536:21 *3872:28 0.000318565 -40 *1536:27 *25407:A1 0 -41 *1536:27 *26875:A0 7.47029e-06 -42 *1536:27 *27634:B1 0.00194383 -43 *1536:27 *27670:A 3.58934e-05 -44 *1536:27 *27671:A3 0.000137912 -45 *1536:27 *27716:B1 7.3528e-05 -46 *1536:27 *28466:CLK 0 -47 *1536:27 *28470:RESET_B 6.60111e-05 -48 *1536:27 *29035:A 0.000132435 -49 *1536:27 *1723:27 8.65622e-05 -50 *1536:27 *2870:65 0 -51 *1536:27 *2875:46 0.000227035 -52 *1536:27 *2883:29 6.57603e-05 -53 *1536:27 *3165:201 1.77247e-05 -54 *1536:27 *3205:180 2.59093e-05 -55 *1536:27 *3206:84 0.0020195 -56 *1536:27 *3206:95 0.000183276 -57 *1536:27 *3660:22 3.45158e-05 -58 *1536:27 *3667:28 8.21056e-05 -59 *1536:27 *3847:41 0.000367623 -60 *1536:27 *3847:64 0.000145177 -61 *1536:27 *4186:20 4.39391e-05 -62 *1536:27 *5591:16 4.33002e-05 -63 *1536:27 *5629:139 0.000440072 -64 *1536:27 *5687:87 0.00084891 -65 *1536:27 *5687:129 4.09393e-05 -66 *25125:C1 *1536:13 0.000308137 -67 *25258:B1 *25217:D 0.000470299 -68 *25258:B1 *1536:27 0.000100571 -69 *25321:A2 *1536:21 0 -70 *28807:D *1536:13 0.000347651 -71 *29186:A *1536:27 6.98542e-05 -72 *30662:A *1536:8 5.50052e-05 -73 *1228:73 *1536:21 0.000946162 -74 *1242:206 *1536:27 6.69937e-05 -75 *1246:36 *25217:D 5.00194e-05 -76 *1248:81 *25217:D 0.00025226 -77 *1280:6 *25217:D 0.0001363 -78 *1282:68 *1536:13 8.75887e-07 -79 *1328:98 *1536:13 0.000110072 -80 *1533:9 *25217:D 0.000175892 -*RES -1 *25216:X *1536:8 14.8714 -2 *1536:8 *1536:13 46.6455 -3 *1536:13 *1536:21 19.4185 -4 *1536:21 *1536:27 46.587 -5 *1536:27 *25217:D 29.0026 -*END - -*D_NET *1537 0.0036513 -*CONN -*I *25225:C I *D sky130_fd_sc_hd__or4_4 -*I *25217:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *25225:C 0.000615805 -2 *25217:X 0.000615805 -3 *25225:C *25225:D 3.85655e-05 -4 *25225:C *25283:D 9.20635e-06 -5 *25225:C *1545:28 3.63775e-05 -6 *25225:C *3547:11 0.000200863 -7 *25225:C *5817:16 0.00105939 -8 *25225:C *5892:124 0.00106207 -9 *1271:131 *25225:C 1.32293e-05 -*RES -1 *25217:X *25225:C 45.0598 -*END - -*D_NET *1538 0.0116327 -*CONN -*I *25224:A I *D sky130_fd_sc_hd__or4_1 -*I *25218:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25224:A 0.00100637 -2 *25218:X 0.00253824 -3 *1538:37 0.00354461 -4 *25224:A *25225:D 0.000779462 -5 *25224:A *25273:B2 2.01997e-05 -6 *25224:A *1700:37 3.44695e-06 -7 *25224:A *2860:164 4.28249e-05 -8 *25224:A *3987:58 0.000170917 -9 *25224:A *5609:107 0.000511752 -10 *1538:37 *25225:D 0.000107157 -11 *1538:37 *27932:A1 0.000418652 -12 *1538:37 *27932:A2 4.18518e-06 -13 *1538:37 *3183:169 0.000118824 -14 *1538:37 *3987:58 8.80625e-05 -15 *1538:37 *3988:44 8.60466e-05 -16 *1538:37 *5621:34 1.70955e-05 -17 *1538:37 *5861:52 9.34324e-05 -18 *1538:37 *5869:74 8.67228e-05 -19 *25183:B1 *1538:37 0 -20 *1178:82 *1538:37 1.45271e-05 -21 *1218:29 *1538:37 8.17613e-06 -22 *1218:187 *25224:A 6.59103e-06 -23 *1228:47 *25224:A 0.000381302 -24 *1243:58 *1538:37 0.000135624 -25 *1250:20 *25224:A 4.65519e-05 -26 *1256:31 *25224:A 8.69554e-05 -27 *1271:161 *25224:A 1.1392e-05 -28 *1271:161 *1538:37 0 -29 *1279:61 *1538:37 0.000873513 -30 *1449:84 *1538:37 2.24813e-05 -31 *1476:183 *1538:37 0.000199404 -32 *1482:8 *1538:37 0.000120342 -33 *1505:16 *1538:37 8.78763e-05 -*RES -1 *25218:X *1538:37 49.1216 -2 *1538:37 *25224:A 20.7044 -*END - -*D_NET *1539 0.0311427 -*CONN -*I *25224:B I *D sky130_fd_sc_hd__or4_1 -*I *25219:X O *D sky130_fd_sc_hd__a221o_2 -*CAP -1 *25224:B 0.000748709 -2 *25219:X 0.00135958 -3 *1539:22 0.00247991 -4 *1539:21 0.00290766 -5 *1539:14 0.00214491 -6 *1539:11 0.00232803 -7 *25224:B *25133:A1 0 -8 *25224:B *25133:B1 0 -9 *25224:B *1642:14 0.000105462 -10 *25224:B *1642:20 0.000449728 -11 *25224:B *3306:6 1.53129e-05 -12 *25224:B *3913:57 9.58126e-05 -13 *25224:B *3965:85 0 -14 *25224:B *3965:95 9.78144e-05 -15 *25224:B *5584:76 8.2702e-05 -16 *25224:B *5694:57 7.29646e-05 -17 *1539:11 *2777:49 0.000204909 -18 *1539:11 *3847:25 0.000221678 -19 *1539:11 *5535:14 8.59117e-05 -20 *1539:14 *29816:A 0.000267099 -21 *1539:14 *3894:30 0 -22 *1539:14 *3894:34 0.00047957 -23 *1539:14 *5504:56 0.000517682 -24 *1539:14 *5504:66 6.20566e-05 -25 *1539:14 *5526:16 0 -26 *1539:14 *5581:39 0.000101545 -27 *1539:21 *27322:A1 0.000175892 -28 *1539:21 *3894:34 0.0018541 -29 *1539:21 *3894:36 1.36825e-05 -30 *1539:21 *3992:28 0.000675212 -31 *1539:21 *5504:66 0.00253306 -32 *1539:21 *5657:29 8.85532e-05 -33 *1539:22 *25133:A1 0.000126439 -34 *1539:22 *1642:14 0.00025061 -35 *1539:22 *3197:45 0.000665486 -36 *1539:22 *3299:29 0.00114419 -37 *1539:22 *3306:6 0.000141587 -38 *1539:22 *3797:65 0.00155517 -39 *1539:22 *3992:28 7.47029e-06 -40 *1539:22 *5604:34 0.000319297 -41 *1539:22 *5638:68 0.000221349 -42 *25133:A2 *1539:22 0.000206702 -43 *25219:C1 *1539:11 0.0013886 -44 *1218:188 *25224:B 9.02648e-05 -45 *1244:122 *1539:14 0.0006329 -46 *1446:12 *1539:22 0.00311449 -47 *1454:10 *25224:B 0.00069383 -48 *1527:39 *25224:B 0.000414747 -*RES -1 *25219:X *1539:11 42.2107 -2 *1539:11 *1539:14 23.8839 -3 *1539:14 *1539:21 45.3482 -4 *1539:21 *1539:22 55.0714 -5 *1539:22 *25224:B 41.0679 -*END - -*D_NET *1540 0.00762568 -*CONN -*I *25224:C I *D sky130_fd_sc_hd__or4_1 -*I *25220:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25224:C 0.0007446 -2 *25220:X 0.000609643 -3 *1540:14 0.00135424 -4 *25224:C *25225:D 6.47405e-05 -5 *25224:C *2860:164 0.000180079 -6 *25224:C *2879:61 0.000610329 -7 *25224:C *3797:68 0.000262921 -8 *25224:C *5597:20 4.53834e-05 -9 *25224:C *5661:196 0.00113133 -10 *1540:14 *27298:B1 2.98626e-05 -11 *1540:14 *2855:175 0.000724857 -12 *1540:14 *2860:164 2.04825e-05 -13 *1540:14 *2877:187 3.8333e-05 -14 *1540:14 *3574:86 0.00103591 -15 *1540:14 *3686:89 3.46512e-05 -16 *1540:14 *3797:68 1.39762e-05 -17 *1540:14 *3835:73 1.21258e-05 -18 *25220:A2 *1540:14 6.05161e-06 -19 *1262:135 *25224:C 0.000490699 -20 *1262:139 *25224:C 0.000215455 -*RES -1 *25220:X *1540:14 29.7732 -2 *1540:14 *25224:C 37.7554 -*END - -*D_NET *1541 0.00131201 -*CONN -*I *25222:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25221:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25222:C1 0.000339623 -2 *25221:X 0.000339623 -3 *25222:C1 *27635:B2 5.88363e-05 -4 *25222:C1 *3547:12 0.000107232 -5 *25222:C1 *3844:75 0.000219289 -6 *25222:C1 *3998:50 0.000203489 -7 *25222:C1 *4052:36 9.54798e-06 -8 *25222:C1 *5936:40 3.43655e-05 -*RES -1 *25221:X *25222:C1 34.4125 -*END - -*D_NET *1542 0.00143344 -*CONN -*I *25223:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25222:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25223:C1 0.00023961 -2 *25222:X 0.00023961 -3 *25223:C1 *3667:41 0.000237139 -4 *25223:A2 *25223:C1 0.000135028 -5 *25223:B1 *25223:C1 0.000582049 -*RES -1 *25222:X *25223:C1 34.1714 -*END - -*D_NET *1543 0.000633659 -*CONN -*I *25224:D I *D sky130_fd_sc_hd__or4_1 -*I *25223:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25224:D 0.000249115 -2 *25223:X 0.000249115 -3 *25224:D *3965:95 0.000135428 -*RES -1 *25223:X *25224:D 21.6179 -*END - -*D_NET *1544 0.00615265 -*CONN -*I *25225:D I *D sky130_fd_sc_hd__or4_4 -*I *25224:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *25225:D 0.00158753 -2 *25224:X 0.00158753 -3 *25225:D *1545:28 0.000342307 -4 *25225:D *1700:37 4.88232e-05 -5 *25225:D *2860:164 3.99939e-05 -6 *25225:D *2879:61 9.96717e-06 -7 *25225:D *3544:9 8.40344e-05 -8 *25225:D *3547:11 5.83865e-05 -9 *25225:D *3867:80 8.76591e-06 -10 *25225:D *3965:95 0.000137983 -11 *25225:D *5584:43 0.000327453 -12 *25225:D *5585:56 8.40344e-05 -13 *25224:A *25225:D 0.000779462 -14 *25224:C *25225:D 6.47405e-05 -15 *25225:A *25225:D 0.000300131 -16 *25225:B *25225:D 3.32141e-05 -17 *25225:C *25225:D 3.85655e-05 -18 *1178:82 *25225:D 2.3968e-05 -19 *1218:187 *25225:D 6.2862e-05 -20 *1228:47 *25225:D 0.000384183 -21 *1250:20 *25225:D 4.15526e-05 -22 *1538:37 *25225:D 0.000107157 -*RES -1 *25224:X *25225:D 47.5627 -*END - -*D_NET *1545 0.061748 -*CONN -*I *25226:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25793:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27976:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25808:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25817:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25225:X O *D sky130_fd_sc_hd__or4_4 -*CAP -1 *25226:A0 0.000236697 -2 *25793:A1 7.56882e-05 -3 *27976:A1 0.000600984 -4 *25808:A1 0.000940935 -5 *25817:A1 3.78402e-05 -6 *25225:X 0.00639443 -7 *1545:96 0.00170432 -8 *1545:95 0.00156795 -9 *1545:65 0.00167775 -10 *1545:62 0.00145656 -11 *1545:51 0.00379407 -12 *1545:48 0.00609907 -13 *1545:28 0.00976062 -14 *25226:A0 *4171:21 0.000120126 -15 *25793:A1 *30984:A 0.000127039 -16 *25793:A1 *2179:27 0.000247786 -17 *25808:A1 *25227:A1 0.000140088 -18 *25808:A1 *25448:B2 0.0005255 -19 *25808:A1 *25792:A1 0.000112869 -20 *25808:A1 *25808:A0 5.52238e-05 -21 *25808:A1 *25808:S 7.04159e-05 -22 *25808:A1 *1603:102 0.000319169 -23 *25808:A1 *5549:34 0.000137983 -24 *25817:A1 *1874:82 0.000115364 -25 *25817:A1 *5541:8 6.27457e-05 -26 *27976:A1 *27976:S 8.99899e-05 -27 *27976:A1 *3510:137 8.42163e-05 -28 *1545:28 *25218:B2 0.000726589 -29 *1545:28 *27552:B1 0.00115031 -30 *1545:28 *27568:A2 0.000184187 -31 *1545:28 *2845:109 6.94999e-05 -32 *1545:28 *2860:132 6.91215e-06 -33 *1545:28 *2872:134 1.09611e-05 -34 *1545:28 *2880:197 0.000142265 -35 *1545:28 *2886:89 2.15327e-05 -36 *1545:28 *2892:94 1.94879e-05 -37 *1545:28 *3473:19 0.000249243 -38 *1545:28 *3547:11 4.58129e-05 -39 *1545:28 *3662:43 8.17706e-06 -40 *1545:28 *3776:54 0.000339417 -41 *1545:28 *3857:49 0.000503772 -42 *1545:28 *3907:76 1.90936e-05 -43 *1545:28 *3987:58 0.000283548 -44 *1545:28 *5626:69 9.3313e-05 -45 *1545:28 *5626:99 2.55806e-05 -46 *1545:28 *5694:31 0.000135937 -47 *1545:48 *28022:A 2.90187e-05 -48 *1545:48 *28717:RESET_B 9.24351e-05 -49 *1545:48 *29173:A 0.000108912 -50 *1545:48 *1739:34 0.000532853 -51 *1545:48 *1740:22 2.18262e-05 -52 *1545:48 *1795:19 2.89481e-05 -53 *1545:48 *1872:31 4.65519e-05 -54 *1545:48 *3112:9 0.000766224 -55 *1545:48 *3156:124 1.50542e-05 -56 *1545:48 *3697:57 0.000254858 -57 *1545:48 *4130:77 0.00013512 -58 *1545:48 *4154:27 0.000100807 -59 *1545:48 *4189:41 0 -60 *1545:48 *4195:36 0.00157163 -61 *1545:48 *4548:15 2.09e-05 -62 *1545:48 *5545:59 0.000220616 -63 *1545:48 *5728:205 0.000258594 -64 *1545:51 *25439:A0 2.66789e-06 -65 *1545:51 *25476:A1 0 -66 *1545:51 *29580:A 0.000110039 -67 *1545:51 *1603:76 2.45805e-05 -68 *1545:51 *1730:41 0 -69 *1545:51 *1730:114 0 -70 *1545:51 *1744:46 0 -71 *1545:51 *4160:38 0 -72 *1545:51 *4161:41 0.000224392 -73 *1545:51 *4170:20 5.43438e-05 -74 *1545:51 *4194:111 0 -75 *1545:51 *4195:67 1.04954e-05 -76 *1545:62 *31005:A 0.000351162 -77 *1545:62 *1874:80 0.000593828 -78 *1545:62 *1874:82 0.000595406 -79 *1545:62 *4171:21 0.000370423 -80 *1545:62 *5541:8 0.00113196 -81 *1545:65 *25806:S 0.000606632 -82 *1545:65 *31007:A 4.43046e-05 -83 *1545:65 *1874:68 0.000701356 -84 *1545:95 *25790:A0 0.000587734 -85 *1545:95 *31007:A 5.08577e-05 -86 *1545:95 *1874:68 0.000838039 -87 *1545:95 *5542:44 0.000182723 -88 *1545:95 *6158:24 1.23991e-05 -89 *1545:96 *25791:A0 7.83587e-05 -90 *1545:96 *25791:A1 0.00022117 -91 *1545:96 *26238:B 0.000230139 -92 *1545:96 *27977:A0 8.92071e-05 -93 *1545:96 *29576:A 2.14378e-05 -94 *1545:96 *30984:A 0.000388402 -95 *1545:96 *1665:98 0.000592166 -96 *1545:96 *2179:27 0.000479171 -97 *1545:96 *5555:8 5.91439e-05 -98 *1545:96 *5560:33 0.000123623 -99 *1545:96 *5564:8 0.000105028 -100 *1545:96 *5564:32 0.000287889 -101 wb_dat_o[4] *1545:51 6.23972e-05 -102 wb_dat_o[5] *1545:62 2.11419e-05 -103 *24872:B *1545:96 0.000698547 -104 *25225:C *1545:28 3.63775e-05 -105 *25225:D *1545:28 0.000342307 -106 *25227:S *25808:A1 5.56205e-05 -107 *28265:D *1545:95 0.000344925 -108 *28266:D *25808:A1 0.000293502 -109 *28268:D *1545:96 0.000342495 -110 *28269:D *1545:96 0.000148196 -111 *28412:RESET_B *1545:96 0.000843059 -112 *28413:RESET_B *1545:62 0.000389591 -113 *28815:D *1545:96 9.97669e-05 -114 *28816:D *1545:95 5.2064e-05 -115 *30307:A *1545:48 8.49116e-06 -116 *30307:A *1545:51 0 -117 *30788:A *1545:28 0 -118 *374:18 *1545:48 1.58163e-05 -119 *408:20 *1545:48 0.000900916 -120 *412:14 *1545:48 0.00227849 -121 *743:11 *25808:A1 0.000139202 -122 *1194:14 *1545:51 0.000108471 -123 *1253:34 *1545:28 2.06178e-05 -124 *1257:26 *1545:28 4.65519e-05 -125 *1279:61 *1545:28 0.000177545 -126 *1323:33 *1545:51 2.73671e-05 -127 *1323:80 *25226:A0 5.49489e-05 -128 *1324:104 *25808:A1 8.31427e-05 -129 *1393:132 *1545:96 0 -130 *1395:126 *1545:28 9.09539e-05 -131 *1455:93 *1545:28 8.69554e-05 -132 *1455:96 *1545:28 1.40034e-05 -*RES -1 *25225:X *1545:28 48.241 -2 *1545:28 *1545:48 49.688 -3 *1545:48 *1545:51 9.15027 -4 *1545:51 *25817:A1 15.2911 -5 *1545:51 *1545:62 37.5625 -6 *1545:62 *1545:65 13.4286 -7 *1545:65 *25808:A1 32.8714 -8 *1545:65 *1545:95 25.0714 -9 *1545:95 *1545:96 37.4643 -10 *1545:96 *27976:A1 20.9071 -11 *1545:96 *25793:A1 16.9607 -12 *1545:62 *25226:A0 13.1214 -*END - -*D_NET *1546 0.00353449 -*CONN -*I *25227:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25226:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *25227:A0 0.000799426 -2 *25226:X 0.000799426 -3 *25227:A0 *25792:A1 4.25619e-05 -4 *25227:A0 *31007:A 1.18451e-05 -5 *25227:A0 *5243:8 0.000479012 -6 *25227:A0 *5542:41 0.000255043 -7 *25227:A0 *5549:34 0.000261214 -8 *28266:D *25227:A0 0.000735345 -9 *1324:88 *25227:A0 0.000150618 -*RES -1 *25226:X *25227:A0 44.5464 -*END - -*D_NET *1547 0.038931 -*CONN -*I *25244:C1 I *D sky130_fd_sc_hd__a221o_2 -*I *25228:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25244:C1 0.00115225 -2 *25228:X 0.000821424 -3 *1547:51 0.00235693 -4 *1547:36 0.00263502 -5 *1547:29 0.00398464 -6 *1547:26 0.00296277 -7 *1547:12 0.00122989 -8 *25244:C1 *25244:A1 0 -9 *25244:C1 *27530:B2 3.07351e-06 -10 *25244:C1 *27879:B2 4.70095e-05 -11 *25244:C1 *1844:26 6.92285e-05 -12 *25244:C1 *1897:19 0.00131215 -13 *25244:C1 *2853:91 7.08477e-05 -14 *25244:C1 *2853:107 2.35985e-05 -15 *25244:C1 *3124:15 1.75319e-05 -16 *25244:C1 *3209:85 0.000199086 -17 *25244:C1 *3209:102 0.000414967 -18 *25244:C1 *3726:29 0.000272617 -19 *25244:C1 *3776:90 6.47631e-05 -20 *25244:C1 *4116:51 9.54798e-06 -21 *25244:C1 *5706:176 6.30931e-05 -22 *25244:C1 *5718:174 0.000827946 -23 *25244:C1 *5718:183 9.48459e-05 -24 *25244:C1 *5744:102 0.000375297 -25 *25244:C1 *5744:114 0.000297949 -26 *1547:12 *27084:A1 0.000633837 -27 *1547:12 *29820:A 0.000565338 -28 *1547:12 *2781:65 0.000293184 -29 *1547:12 *2839:38 0.000143446 -30 *1547:12 *4015:22 4.58194e-05 -31 *1547:12 *5448:26 0.000145154 -32 *1547:12 *5504:67 0.00224718 -33 *1547:12 *5530:58 9.67203e-05 -34 *1547:12 *5651:21 7.14912e-05 -35 *1547:12 *5657:29 3.69047e-06 -36 *1547:12 *5694:94 9.67203e-05 -37 *1547:26 *28719:CLK 0.000170661 -38 *1547:26 *2859:28 0.000141654 -39 *1547:26 *3560:18 0.000505757 -40 *1547:26 *3987:29 5.41794e-05 -41 *1547:26 *5211:8 5.58941e-05 -42 *1547:26 *5461:7 0.000216755 -43 *1547:26 *5606:33 0.00101151 -44 *1547:26 *5750:196 0.000168939 -45 *1547:29 *25095:B 2.12087e-05 -46 *1547:29 *27322:B2 3.82242e-05 -47 *1547:29 *2859:34 0.00303837 -48 *1547:29 *5657:38 8.43535e-06 -49 *1547:36 *25410:A1 0.000311992 -50 *1547:36 *25410:B2 7.52819e-05 -51 *1547:36 *25410:C1 0.000549154 -52 *1547:36 *27856:B 0.000162154 -53 *1547:36 *28610:D 0.000347716 -54 *1547:36 *30786:A 0.000216755 -55 *1547:36 *2888:71 0.00137445 -56 *1547:36 *3424:26 7.0793e-05 -57 *1547:36 *5620:76 5.33005e-05 -58 *1547:36 *5651:39 0.000173518 -59 *1547:36 *5651:47 0.00022497 -60 *1547:36 *5657:38 0.0002431 -61 *1547:51 *27903:B2 0.000350243 -62 *1547:51 *1619:25 0.000177023 -63 *1547:51 *1899:31 0.000171876 -64 *1547:51 *2845:80 0.000746588 -65 *1547:51 *2853:61 0.000551224 -66 *1547:51 *3124:15 0.000743299 -67 *1547:51 *3457:22 9.00639e-05 -68 *1547:51 *3752:56 3.09674e-05 -69 *1547:51 *3779:45 0.00047103 -70 *1547:51 *3831:53 8.77988e-05 -71 *1547:51 *5461:7 0.000584645 -72 *1547:51 *5909:85 0.00171552 -73 *25410:A2 *1547:36 7.37323e-05 -74 *25410:B1 *1547:36 8.25843e-06 -75 *29339:A *1547:36 5.49489e-05 -76 *1276:21 *1547:36 0.000136682 -77 *1416:7 *1547:36 5.33005e-05 -*RES -1 *25228:X *1547:12 48.3893 -2 *1547:12 *1547:26 35.5893 -3 *1547:26 *1547:29 32.5893 -4 *1547:29 *1547:36 41.7679 -5 *1547:36 *1547:51 43.5518 -6 *1547:51 *25244:C1 47.5232 -*END - -*D_NET *1548 0.000658868 -*CONN -*I *25278:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25229:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25278:C1 0.000159071 -2 *25229:X 0.000159071 -3 *25278:C1 *25278:B2 0.000234624 -4 *25278:C1 *3822:49 6.05161e-06 -5 *25278:C1 *4185:60 9.50025e-05 -6 *25229:A2 *25278:C1 5.04841e-06 -*RES -1 *25229:X *25278:C1 22.3634 -*END - -*D_NET *1549 0.0196001 -*CONN -*I *25253:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25230:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25253:C1 0 -2 *25230:X 0.000857391 -3 *1549:38 0.00206263 -4 *1549:24 0.00293536 -5 *1549:14 0.00173012 -6 *1549:14 *2759:120 0.000949137 -7 *1549:14 *2787:161 0.000372702 -8 *1549:14 *2853:262 0.00047097 -9 *1549:14 *2885:175 6.74867e-05 -10 *1549:14 *3886:79 0.000202537 -11 *1549:14 *5589:57 0.000103977 -12 *1549:14 *5905:124 0.000884044 -13 *1549:24 *1558:14 0.000135028 -14 *1549:24 *2759:120 0.000475166 -15 *1549:24 *2904:11 0.000142879 -16 *1549:24 *3242:6 0.000519192 -17 *1549:24 *3886:79 0.00134251 -18 *1549:24 *5629:166 1.58533e-05 -19 *1549:24 *5633:164 4.00349e-05 -20 *1549:24 *5652:20 0.000121805 -21 *1549:38 *25287:A1 0.000257619 -22 *1549:38 *28491:CLK 0.000136428 -23 *1549:38 *28491:RESET_B 2.29097e-05 -24 *1549:38 *28925:A 9.60939e-05 -25 *1549:38 *1576:8 0.000124655 -26 *1549:38 *2761:121 0.00100734 -27 *1549:38 *2882:210 0.00109352 -28 *1549:38 *2882:260 0.000534184 -29 *1549:38 *3299:13 6.8377e-06 -30 *1549:38 *4067:7 5.33005e-05 -31 *1549:38 *5764:65 0.000273105 -32 *1549:38 *6280:18 0.000122933 -33 *1262:80 *1549:14 0.000962007 -34 *1262:97 *1549:14 0.000187103 -35 *1270:33 *1549:38 0.000119303 -36 *1270:41 *1549:24 0.00069928 -37 *1272:40 *1549:38 3.43295e-05 -38 *1282:198 *1549:38 6.86792e-05 -39 *1287:184 *1549:24 0.000371659 -*RES -1 *25230:X *1549:14 41.7018 -2 *1549:14 *1549:24 41.6696 -3 *1549:24 *1549:38 46.375 -4 *1549:38 *25253:C1 9.3 -*END - -*D_NET *1550 0.0244087 -*CONN -*I *25271:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *26849:A I *D sky130_fd_sc_hd__and2_4 -*I *25407:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25321:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25231:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *25271:B1 0.000706596 -2 *26849:A 0.000815634 -3 *25407:B1 0.000326205 -4 *25321:B1 0 -5 *25231:Y 0.00139361 -6 *1550:41 0.00119773 -7 *1550:34 0.00273908 -8 *1550:11 0.00478339 -9 *25271:B1 *28660:D 5.52238e-05 -10 *25271:B1 *29829:A 0.000175892 -11 *25271:B1 *4574:47 5.52302e-05 -12 *25407:B1 *25407:B2 3.14193e-05 -13 *25407:B1 *2781:65 5.33005e-05 -14 *25407:B1 *2875:46 7.80417e-05 -15 *25407:B1 *3538:39 9.41642e-05 -16 *26849:A *26849:B 6.27495e-05 -17 *26849:A *27354:B1 0.000123126 -18 *26849:A *28787:RESET_B 0.000293918 -19 *26849:A *2777:59 0.000102502 -20 *26849:A *2877:25 5.69459e-05 -21 *26849:A *3782:66 0.000336883 -22 *1550:11 *25950:A1 0.00118809 -23 *1550:11 *27046:A1 9.25014e-06 -24 *1550:11 *1590:7 5.63951e-05 -25 *1550:11 *1590:15 0.000178847 -26 *1550:11 *2839:33 0.000102235 -27 *1550:11 *5625:33 0.000123861 -28 *1550:11 *5707:137 0.000232768 -29 *1550:11 *5707:138 5.71472e-05 -30 *1550:11 *5749:47 0.000466364 -31 *1550:34 *28660:CLK 0.000337892 -32 *1550:34 *28739:RESET_B 8.42932e-05 -33 *1550:34 *2776:48 1.90936e-05 -34 *1550:34 *2777:58 0.000417644 -35 *1550:34 *2777:59 2.01525e-05 -36 *1550:34 *2782:71 0.00110981 -37 *1550:34 *2792:145 0 -38 *1550:34 *2886:26 0.000268789 -39 *1550:34 *2886:164 0 -40 *1550:34 *3178:43 1.94945e-05 -41 *1550:34 *3196:30 0.000110564 -42 *1550:34 *3572:34 7.28643e-05 -43 *1550:34 *3642:99 0.000124774 -44 *1550:34 *3782:45 0.000417385 -45 *1550:34 *3782:66 0.000391871 -46 *1550:34 *3820:69 0.000137788 -47 *1550:34 *3860:38 0.000507441 -48 *1550:34 *3938:17 0.000112777 -49 *1550:34 *4907:28 2.79665e-05 -50 *1550:34 *5442:51 1.14338e-05 -51 *1550:34 *5687:129 2.71873e-05 -52 *1550:34 *5687:212 0 -53 *1550:34 *5757:48 6.64337e-05 -54 *1550:34 *6319:25 4.34785e-05 -55 *1550:41 *2777:59 7.83174e-05 -56 *1550:41 *3782:66 0.000138158 -57 *25407:A2 *25407:B1 0.000556137 -58 *29830:A *25271:B1 4.28106e-05 -59 *29830:A *1550:34 2.30124e-05 -60 *1218:109 *25271:B1 0.000139913 -61 *1218:123 *25271:B1 0.00029336 -62 *1252:52 *1550:34 1.4477e-06 -63 *1252:61 *1550:34 0.00143914 -64 *1252:232 *1550:34 7.75431e-05 -65 *1265:228 *25407:B1 0.000551687 -66 *1282:68 *25271:B1 9.12207e-05 -67 *1282:68 *1550:11 0.000189899 -68 *1446:10 *1550:11 0.000560366 -*RES -1 *25231:Y *1550:11 45.9429 -2 *1550:11 *1550:34 38.6244 -3 *1550:34 *25321:B1 13.8 -4 *1550:34 *1550:41 1.94643 -5 *1550:41 *25407:B1 22.55 -6 *1550:41 *26849:A 26.5679 -7 *1550:11 *25271:B1 21.4786 -*END - -*D_NET *1551 0.00475976 -*CONN -*I *25255:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25232:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25255:C1 0 -2 *25232:X 0.000965627 -3 *1551:10 0.000965627 -4 *1551:10 *27609:A2 0.000193678 -5 *1551:10 *28476:RESET_B 0.00036968 -6 *1551:10 *3808:8 0.000137224 -7 *1551:10 *3808:13 0.000354046 -8 *1551:10 *5590:161 6.24758e-05 -9 *1551:10 *5647:125 0.00112195 -10 *1551:10 *5647:133 4.75764e-05 -11 *1551:10 *5702:29 0.000279373 -12 *1551:10 *5768:161 0.000262504 -*RES -1 *25232:X *1551:10 41.5857 -2 *1551:10 *25255:C1 9.3 -*END - -*D_NET *1552 0.00313927 -*CONN -*I *25252:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25233:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25252:C1 0.0010968 -2 *25233:X 0.0010968 -3 *25252:C1 *25252:A1 2.49484e-05 -4 *25252:C1 *3684:72 0.000670967 -5 *25252:C1 *5250:8 0.000148196 -6 *1422:100 *25252:C1 0.000101545 -*RES -1 *25233:X *25252:C1 42.4036 -*END - -*D_NET *1553 0.00095223 -*CONN -*I *25254:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25234:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25254:C1 0.000216252 -2 *25234:X 0.000216252 -3 *25254:C1 *3752:14 0.000137931 -4 *25254:C1 *3752:19 1.02504e-05 -5 *25254:C1 *3947:33 0.000149904 -6 *25254:C1 *5715:125 0.000221641 -*RES -1 *25234:X *25254:C1 31.725 -*END - -*D_NET *1554 0.000430477 -*CONN -*I *25248:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25235:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25248:C1 0.000175065 -2 *25235:X 0.000175065 -3 *25235:A2 *25248:C1 1.04232e-05 -4 *25248:B1 *25248:C1 0 -5 *1293:65 *25248:C1 7.43578e-06 -6 *1484:13 *25248:C1 6.24874e-05 -*RES -1 *25235:X *25248:C1 21.5107 -*END - -*D_NET *1555 0.0155973 -*CONN -*I *25247:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25236:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25247:C1 0.00065541 -2 *25236:X 0.0016723 -3 *1555:20 0.0016542 -4 *1555:11 0.00267109 -5 *25247:C1 *25247:A1 2.14318e-05 -6 *25247:C1 *25247:B2 1.63076e-05 -7 *25247:C1 *5778:190 5.74499e-06 -8 *1555:11 *1609:17 0.000135028 -9 *1555:11 *3704:45 0.000135028 -10 *1555:11 *4952:14 0.000146284 -11 *1555:11 *5936:111 0.000106992 -12 *1555:20 *24899:A 0.000339346 -13 *1555:20 *1611:13 0.000207189 -14 *1555:20 *2893:43 0.000872801 -15 *1555:20 *3667:55 0.00130215 -16 *1555:20 *3768:27 0.00130215 -17 *1555:20 *4129:96 0.000688926 -18 *1555:20 *5616:20 8.79057e-05 -19 *25247:A2 *25247:C1 0.000266541 -20 *25247:B1 *25247:C1 7.07306e-05 -21 *1225:15 *1555:20 0.000755121 -22 *1256:6 *1555:11 0.000879815 -23 *1260:20 *1555:11 0.00017309 -24 *1260:35 *1555:11 0.000500549 -25 *1262:128 *1555:11 0.000502016 -26 *1279:102 *1555:20 0.00011994 -27 *1285:26 *1555:11 0.000135028 -28 *1419:11 *1555:11 0.000171608 -29 *1419:24 *1555:11 2.54558e-06 -*RES -1 *25236:X *1555:11 45.8179 -2 *1555:11 *1555:20 49.5 -3 *1555:20 *25247:C1 22.05 -*END - -*D_NET *1556 0.0171156 -*CONN -*I *25249:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25237:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25249:C1 0.000942933 -2 *25237:X 0.00101185 -3 *1556:26 0.00232567 -4 *1556:23 0.00239458 -5 *25249:C1 *25249:B2 0.000245747 -6 *25249:C1 *26885:S 2.34059e-05 -7 *25249:C1 *27101:S 1.00073e-05 -8 *25249:C1 *27344:A1 1.00023e-05 -9 *25249:C1 *28475:SET_B 9.86478e-05 -10 *25249:C1 *1574:27 6.05161e-06 -11 *25249:C1 *2872:260 0.000165123 -12 *25249:C1 *2877:313 6.9692e-05 -13 *25249:C1 *3704:14 0.000616824 -14 *25249:C1 *3807:16 5.84969e-05 -15 *25249:C1 *3807:49 0.000170923 -16 *25249:C1 *3977:22 0.000114171 -17 *25249:C1 *5645:108 9.00983e-05 -18 *25249:C1 *5652:12 3.65485e-05 -19 *25249:C1 *5652:20 9.34324e-05 -20 *25249:C1 *5708:141 0.000425309 -21 *25249:C1 *5873:232 1.14338e-05 -22 *1556:23 *25237:A1 0.00010326 -23 *1556:23 *27021:A0 0.000237624 -24 *1556:23 *27120:A1 9.54798e-06 -25 *1556:23 *30388:A 5.05056e-05 -26 *1556:23 *30390:A 9.41642e-05 -27 *1556:23 *2768:90 7.6644e-05 -28 *1556:23 *3177:117 0.000196794 -29 *1556:23 *3678:60 2.89698e-05 -30 *1556:23 *3756:13 0 -31 *1556:23 *5702:135 0.000505335 -32 *1556:26 *25238:B2 9.19176e-05 -33 *1556:26 *27120:A1 2.63878e-05 -34 *1556:26 *27336:B1 1.08359e-05 -35 *1556:26 *27343:A 0.000873256 -36 *1556:26 *28684:D 5.47819e-05 -37 *1556:26 *2761:168 6.8646e-06 -38 *1556:26 *2768:90 0 -39 *1556:26 *2889:48 1.74503e-05 -40 *1556:26 *2907:13 0.000172519 -41 *1556:26 *3756:13 0.00204817 -42 *1556:26 *3821:19 2.61827e-05 -43 *1556:26 *3899:38 0 -44 *1556:26 *5630:264 0 -45 *1556:26 *5702:84 0.00206682 -46 *1556:26 *5764:239 0.000558261 -47 *30383:A *1556:26 0 -48 *1261:168 *1556:23 0.000189676 -49 *1271:211 *1556:26 8.9249e-05 -50 *1272:47 *25249:C1 0.00015587 -51 *1286:26 *1556:26 0.000390617 -52 *1524:23 *25249:C1 0.000112959 -*RES -1 *25237:X *1556:23 47.3 -2 *1556:23 *1556:26 49.25 -3 *1556:26 *25249:C1 45.7965 -*END - -*D_NET *1557 0.0123291 -*CONN -*I *25250:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25238:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25250:C1 0 -2 *25238:X 0.00426164 -3 *1557:16 0.00426164 -4 *1557:16 *25130:A1 7.39316e-05 -5 *1557:16 *25250:A1 0 -6 *1557:16 *27140:A0 4.65519e-05 -7 *1557:16 *28683:SET_B 0.000253744 -8 *1557:16 *1834:61 8.96293e-05 -9 *1557:16 *2761:168 0 -10 *1557:16 *2778:152 0 -11 *1557:16 *2883:231 0.0010884 -12 *1557:16 *2885:175 0.000104587 -13 *1557:16 *2891:200 2.3608e-05 -14 *1557:16 *3552:22 0.000182324 -15 *1557:16 *3901:79 1.50181e-05 -16 *1557:16 *3977:16 0.000110694 -17 *1557:16 *5582:70 8.36199e-06 -18 *1557:16 *5584:139 6.90381e-06 -19 *1557:16 *5629:166 0.000112416 -20 *1557:16 *5632:115 1.31781e-06 -21 *1557:16 *5661:245 4.18469e-05 -22 *1557:16 *5661:267 0.000376465 -23 *1557:16 *5836:284 0 -24 *1557:16 *6280:17 7.56168e-05 -25 *1262:80 *1557:16 0.000146266 -26 *1269:110 *1557:16 0.00081697 -27 *1275:234 *1557:16 0 -28 *1292:65 *1557:16 8.40746e-05 -29 *1292:81 *1557:16 0.000147085 -*RES -1 *25238:X *1557:16 47.734 -2 *1557:16 *25250:C1 9.3 -*END - -*D_NET *1558 0.0216254 -*CONN -*I *25245:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25239:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25245:C1 0.00138567 -2 *25239:X 0.0013491 -3 *1558:48 0.0037022 -4 *1558:33 0.00489445 -5 *1558:14 0.00392702 -6 *25245:C1 *28587:CLK 0.000274538 -7 *25245:C1 *28587:D 0.000272301 -8 *25245:C1 *28587:SET_B 1.13412e-05 -9 *25245:C1 *1873:21 7.70717e-05 -10 *25245:C1 *3765:28 1.00887e-05 -11 *1558:14 *2761:114 0.000215472 -12 *1558:14 *2886:335 0.000118997 -13 *1558:14 *3550:11 8.8175e-05 -14 *1558:14 *3846:34 0.000217119 -15 *1558:33 *27059:A1 4.95925e-05 -16 *1558:33 *28571:CLK 0.000753128 -17 *1558:33 *28571:SET_B 0.000184084 -18 *1558:33 *28603:D 8.43535e-06 -19 *1558:33 *28630:CLK 1.07719e-05 -20 *1558:33 *28630:D 0.000235194 -21 *1558:33 *28630:RESET_B 8.93463e-05 -22 *1558:33 *28731:D 1.32056e-05 -23 *1558:33 *30201:A 6.98817e-05 -24 *1558:33 *2844:290 0.000975731 -25 *1558:33 *3595:18 5.20359e-06 -26 *1558:33 *3595:22 7.66627e-05 -27 *1558:33 *3716:7 5.57613e-05 -28 *1558:33 *3823:17 3.59177e-06 -29 *1558:33 *4005:8 0.000175892 -30 *1558:48 *26847:A 0.000421074 -31 *1558:48 *28490:CLK 0.000154005 -32 *1558:48 *28587:D 8.16995e-05 -33 *1558:48 *28731:D 0.000235181 -34 *1558:48 *3484:20 0.000104036 -35 *1558:48 *3595:22 1.02197e-05 -36 *1558:48 *3742:11 4.91141e-05 -37 *1558:48 *4014:22 2.37944e-05 -38 *29854:A *1558:33 0.000385355 -39 *1270:41 *1558:14 0.00056488 -40 *1270:66 *1558:14 0.000167764 -41 *1475:36 *25245:C1 4.32166e-05 -42 *1549:24 *1558:14 0.000135028 -*RES -1 *25239:X *1558:14 39.4964 -2 *1558:14 *1558:33 43.7321 -3 *1558:33 *1558:48 41.75 -4 *1558:48 *25245:C1 35.5679 -*END - -*D_NET *1559 0.0109737 -*CONN -*I *25246:B1 I *D sky130_fd_sc_hd__a2111o_1 -*I *25240:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25246:B1 0.0024783 -2 *25240:X 0.00149817 -3 *1559:10 0.00397647 -4 *25246:B1 *27011:A1 0.000549576 -5 *25246:B1 *1687:9 0.000267631 -6 *25246:B1 *5669:173 0.00069558 -7 *25246:B1 *5709:182 0.000247837 -8 *1559:10 *25240:B2 0.000242068 -9 *1559:10 *28490:D 4.25652e-05 -10 *1559:10 *2775:156 9.25014e-06 -11 *1559:10 *2874:290 0.000205401 -12 *1559:10 *3742:36 1.55843e-05 -13 *1559:10 *3927:20 2.07441e-05 -14 *1559:10 *4022:47 6.05161e-06 -15 *1559:10 *4063:13 0.000178425 -16 *6525:DIODE *1559:10 1.22751e-05 -17 *1436:13 *25246:B1 0.000265909 -18 *1451:6 *1559:10 0.000261887 -*RES -1 *25240:X *1559:10 37.0857 -2 *1559:10 *25246:B1 49.5321 -*END - -*D_NET *1560 0.00579713 -*CONN -*I *25282:B I *D sky130_fd_sc_hd__or4_1 -*I *25241:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25282:B 0.000265033 -2 *25241:X 0.000783966 -3 *1560:16 0.001049 -4 *25282:B *25389:A1 0.000429096 -5 *1560:16 *1721:35 9.54536e-05 -6 *1560:16 *2844:27 0.000302558 -7 *1560:16 *3183:86 9.70394e-05 -8 *1560:16 *3205:55 0.000344232 -9 *1560:16 *3557:30 4.13595e-05 -10 *1560:16 *3988:44 0.000984579 -11 *1560:16 *5711:193 0.000259542 -12 *1257:69 *1560:16 0.000160692 -13 *1504:8 *1560:16 0.000984579 -*RES -1 *25241:X *1560:16 48.2286 -2 *1560:16 *25282:B 13.9607 -*END - -*D_NET *1561 0.0017818 -*CONN -*I *25243:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25242:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25243:C1 0.000435966 -2 *25242:X 0.000435966 -3 *25243:C1 *6537:DIODE 4.56405e-05 -4 *25243:C1 *25242:A1 3.77147e-05 -5 *25243:C1 *28574:CLK 0 -6 *25243:C1 *28574:RESET_B 0.000168845 -7 *25243:C1 *28686:RESET_B 2.11419e-05 -8 *25243:C1 *1562:19 4.43256e-05 -9 *25243:C1 *2774:74 6.33321e-05 -10 *25243:C1 *3836:21 0.000116333 -11 *25243:C1 *4120:47 0 -12 *25243:C1 *5627:116 0.000285421 -13 *25243:C1 *5879:66 0 -14 *25243:B1 *25243:C1 6.71403e-05 -15 *29307:A *25243:C1 5.01876e-06 -16 *1258:33 *25243:C1 5.49544e-05 -*RES -1 *25242:X *25243:C1 37.225 -*END - -*D_NET *1562 0.0194221 -*CONN -*I *25257:A I *D sky130_fd_sc_hd__or4_2 -*I *25243:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25257:A 0.000607921 -2 *25243:X 0.00103367 -3 *1562:45 0.00152683 -4 *1562:33 0.00184829 -5 *1562:19 0.00196305 -6 *25257:A *27083:A1 1.76039e-05 -7 *25257:A *28603:D 0.000327926 -8 *25257:A *28897:A 3.65141e-05 -9 *25257:A *1576:7 2.59355e-05 -10 *25257:A *2771:111 5.55449e-05 -11 *25257:A *2872:279 0.000841104 -12 *25257:A *3998:44 7.56124e-06 -13 *25257:A *3998:46 0.000711433 -14 *25257:A *5836:55 0.000151317 -15 *1562:19 *27403:A2 4.73953e-05 -16 *1562:19 *27605:A2 4.70487e-05 -17 *1562:19 *27749:A2 2.6847e-05 -18 *1562:19 *28574:CLK 4.38296e-05 -19 *1562:19 *2771:97 1.65157e-05 -20 *1562:19 *2791:209 0.000557487 -21 *1562:19 *3719:13 4.33002e-05 -22 *1562:19 *4031:28 3.10885e-05 -23 *1562:19 *5589:73 0.000411145 -24 *1562:19 *5590:98 0.00011271 -25 *1562:19 *5708:46 9.60875e-05 -26 *1562:19 *6269:12 4.58941e-05 -27 *1562:33 *1700:6 0.000623625 -28 *1562:33 *2771:104 0.000830531 -29 *1562:33 *3177:121 0.000302946 -30 *1562:33 *3966:11 0.000105696 -31 *1562:33 *3998:41 1.82029e-05 -32 *1562:33 *5589:73 7.42321e-05 -33 *1562:33 *5605:151 9.41642e-05 -34 *1562:45 *25093:B2 0.000215372 -35 *1562:45 *27083:A0 5.50171e-05 -36 *1562:45 *1626:8 6.77303e-05 -37 *1562:45 *1700:6 0.00119089 -38 *1562:45 *1700:16 1.53262e-05 -39 *1562:45 *2771:111 2.59355e-05 -40 *1562:45 *3215:218 5.83304e-05 -41 *1562:45 *3940:28 0.000720441 -42 *1562:45 *3998:44 6.16941e-05 -43 *1562:45 *5649:151 4.58194e-05 -44 *25083:A2 *1562:33 0.000562346 -45 *25085:A2 *1562:19 0.000128031 -46 *25243:C1 *1562:19 4.43256e-05 -47 *29861:A *1562:45 0.000135028 -48 *30211:A *1562:19 0.00021994 -49 *1225:130 *1562:19 0.000180355 -50 *1256:95 *1562:45 0.000781949 -51 *1256:96 *1562:45 0.000123605 -52 *1258:33 *1562:19 0.000268278 -53 *1258:49 *1562:19 9.38731e-05 -54 *1260:86 *1562:33 9.77956e-05 -55 *1265:112 *25257:A 0.000193361 -56 *1271:162 *1562:45 0.000786086 -57 *1279:109 *1562:33 0.000268644 -58 *1279:109 *1562:45 1.53191e-05 -59 *1406:38 *25257:A 0.000383166 -*RES -1 *25243:X *1562:19 39.4984 -2 *1562:19 *1562:33 41.5982 -3 *1562:33 *1562:45 47.8929 -4 *1562:45 *25257:A 32.5857 -*END - -*D_NET *1563 0.0293525 -*CONN -*I *25246:C1 I *D sky130_fd_sc_hd__a2111o_1 -*I *6601:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25244:X O *D sky130_fd_sc_hd__a221o_2 -*CAP -1 *25246:C1 0.000206673 -2 *6601:DIODE 0 -3 *25244:X 0.00102402 -4 *1563:29 0.000253951 -5 *1563:26 0.00351384 -6 *1563:25 0.00372694 -7 *1563:17 0.0012844 -8 *1563:17 *25210:B2 0 -9 *1563:17 *28379:RESET_B 6.20441e-06 -10 *1563:17 *3765:30 4.16148e-05 -11 *1563:17 *3776:90 5.39895e-05 -12 *1563:17 *3779:59 5.80117e-05 -13 *1563:17 *3832:43 2.09606e-05 -14 *1563:17 *3896:86 0.000177545 -15 *1563:17 *3910:51 4.00349e-05 -16 *1563:17 *3923:32 0.00127142 -17 *1563:17 *4023:18 0.0015258 -18 *1563:17 *5687:58 0.000132219 -19 *1563:25 *27960:B1 0.000179614 -20 *1563:25 *28379:RESET_B 0.000169 -21 *1563:25 *3802:58 8.92267e-05 -22 *1563:25 *3923:32 0.000140758 -23 *1563:25 *5614:88 1.26222e-05 -24 *1563:26 *25329:C1 0.000619373 -25 *1563:26 *27574:C1 0.000287214 -26 *1563:26 *27930:A1 0.000341754 -27 *1563:26 *27930:C1 0.000264181 -28 *1563:26 *27954:A1 3.5626e-05 -29 *1563:26 *27954:B2 1.65348e-05 -30 *1563:26 *27955:C 0.000171368 -31 *1563:26 *27960:B1 0.000110498 -32 *1563:26 *27964:A1 8.44271e-06 -33 *1563:26 *3676:34 7.95834e-05 -34 *1563:26 *3711:93 6.62911e-05 -35 *1563:26 *3728:20 0.000172729 -36 *1563:26 *3728:31 0.00134599 -37 *1563:26 *3819:16 5.36308e-05 -38 *1563:26 *3832:43 4.47162e-05 -39 *1563:26 *3858:28 6.5919e-05 -40 *1563:26 *4023:10 0.00401057 -41 *1563:26 *4023:16 0.00027932 -42 *1563:26 *4023:18 0.00314295 -43 *1563:26 *4036:38 0.00150787 -44 *1563:26 *4036:40 0 -45 *1563:26 *4078:16 0.000172829 -46 *1563:26 *4092:65 0.00031841 -47 *1563:26 *4105:78 0.000232063 -48 *1563:26 *5630:110 7.85484e-05 -49 *1563:26 *5778:103 0 -50 *1563:26 *5836:89 0.000307607 -51 *25245:A2 *25246:C1 0.000347096 -52 *25245:A2 *1563:29 1.21258e-05 -53 *510:26 *1563:17 2.81529e-05 -54 *1210:19 *1563:26 1.18064e-05 -55 *1362:15 *1563:26 0.000571767 -56 *1396:189 *1563:26 1.90936e-05 -57 *1422:52 *1563:26 0.000387532 -58 *1423:86 *1563:17 0.000113816 -59 *1435:14 *1563:26 2.23987e-05 -60 *1440:40 *1563:17 0.000175892 -*RES -1 *25244:X *1563:17 47.3896 -2 *1563:17 *1563:25 11.1778 -3 *1563:25 *1563:26 110.17 -4 *1563:26 *1563:29 5.03571 -5 *1563:29 *6601:DIODE 9.3 -6 *1563:29 *25246:C1 13.4429 -*END - -*D_NET *1564 0.0011653 -*CONN -*I *25246:D1 I *D sky130_fd_sc_hd__a2111o_1 -*I *25245:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25246:D1 0.000107747 -2 *25245:X 0.000107747 -3 *25246:D1 *5669:173 0.000474902 -4 *25245:A2 *25246:D1 0.000474902 -*RES -1 *25245:X *25246:D1 23.2429 -*END - -*D_NET *1565 0.012195 -*CONN -*I *25257:B I *D sky130_fd_sc_hd__or4_2 -*I *25246:X O *D sky130_fd_sc_hd__a2111o_1 -*CAP -1 *25257:B 0.00190147 -2 *25246:X 0.0022226 -3 *1565:20 0.00412407 -4 *25257:B *25377:C1 9.41642e-05 -5 *25257:B *26902:A0 0.000278744 -6 *25257:B *26902:A1 4.35597e-05 -7 *25257:B *3959:63 0.000421138 -8 *25257:B *4122:43 0.000187276 -9 *1565:20 *25126:A 9.19756e-05 -10 *1565:20 *25142:A 0.000874113 -11 *1565:20 *25142:B 2.63501e-05 -12 *1565:20 *25296:A1 2.02794e-05 -13 *1565:20 *25377:A1 9.1377e-05 -14 *1565:20 *26848:S 1.90936e-05 -15 *1565:20 *29059:A 0 -16 *1565:20 *3766:53 7.89362e-05 -17 *1565:20 *4026:26 3.75959e-05 -18 *25077:A2 *1565:20 2.01997e-05 -19 *1287:132 *1565:20 6.72921e-05 -20 *1415:27 *1565:20 2.06112e-05 -21 *1435:81 *1565:20 1.90936e-05 -22 *1447:15 *1565:20 0.000384324 -23 *1463:23 *1565:20 0 -24 *1524:22 *25257:B 0.00117075 -*RES -1 *25246:X *1565:20 49.2263 -2 *1565:20 *25257:B 43.2821 -*END - -*D_NET *1566 0.00643617 -*CONN -*I *25251:A I *D sky130_fd_sc_hd__or4_1 -*I *25247:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25251:A 0 -2 *25247:X 0.00119137 -3 *1566:20 0.00119137 -4 *1566:20 *2780:184 4.65132e-05 -5 *1566:20 *2780:196 6.26305e-05 -6 *1566:20 *2877:299 0.000261447 -7 *1566:20 *4076:72 7.4528e-05 -8 *1566:20 *5587:44 0.000867343 -9 *1566:20 *5601:162 5.65205e-05 -10 *1566:20 *5601:166 0.00183814 -11 *1566:20 *6258:12 0.000111243 -12 *24935:A *1566:20 0.000381318 -13 *1249:67 *1566:20 0.000245907 -14 *1249:78 *1566:20 4.68689e-05 -15 *1410:89 *1566:20 6.09762e-05 -*RES -1 *25247:X *1566:20 48.0396 -2 *1566:20 *25251:A 13.8 -*END - -*D_NET *1567 0.00414275 -*CONN -*I *25251:B I *D sky130_fd_sc_hd__or4_1 -*I *25248:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25251:B 0.000631273 -2 *25248:X 0.000631273 -3 *25251:B *25248:A1 1.69906e-05 -4 *25251:B *25251:D 8.25098e-05 -5 *25251:B *2780:195 0.000411581 -6 *25251:B *2873:17 0.000228255 -7 *25251:B *3305:8 1.57981e-05 -8 *25251:B *3862:42 0.000218549 -9 *25251:B *5601:166 0.00149347 -10 *25248:A2 *25251:B 6.59012e-07 -11 *1293:65 *25251:B 1.32056e-05 -12 *1293:75 *25251:B 0.000390568 -13 *1484:13 *25251:B 8.6229e-06 -*RES -1 *25248:X *25251:B 48.1 -*END - -*D_NET *1568 0.0100275 -*CONN -*I *25251:C I *D sky130_fd_sc_hd__or4_1 -*I *25249:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25251:C 0.0010745 -2 *25249:X 0.00215611 -3 *1568:15 0.00323061 -4 *25251:C *25257:C 0.000139485 -5 *25251:C *27101:A1 5.19775e-05 -6 *25251:C *30418:A 0.000298483 -7 *25251:C *1633:45 0.00170644 -8 *25251:C *1633:49 9.66977e-05 -9 *25251:C *3596:7 0.000142014 -10 *25251:C *3768:19 0.000134461 -11 *25251:C *5617:92 0.000105471 -12 *1568:15 *27101:A1 9.9471e-06 -13 *1568:15 *28475:CLK 0.000333309 -14 *1568:15 *2768:69 0.000136676 -15 *1568:15 *2872:260 0.000178425 -16 *1568:15 *3807:15 3.12655e-05 -17 *1568:15 *3912:35 0.000100823 -18 *1266:104 *1568:15 0.000100823 -*RES -1 *25249:X *1568:15 44.1393 -2 *1568:15 *25251:C 33.2464 -*END - -*D_NET *1569 0.00731032 -*CONN -*I *25251:D I *D sky130_fd_sc_hd__or4_1 -*I *25250:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25251:D 0.00107149 -2 *25250:X 8.9266e-05 -3 *1569:7 0.00116076 -4 *25251:D *27308:A2 0.000195167 -5 *25251:D *1573:22 2.06112e-05 -6 *25251:D *1626:27 0.000497163 -7 *25251:D *1834:64 0 -8 *25251:D *2873:17 0.000705096 -9 *25251:D *2882:246 0.000135968 -10 *25251:D *3305:8 0.000242885 -11 *25251:D *5601:166 0.000314509 -12 *25251:D *5601:175 0.00199643 -13 *25251:D *5688:320 4.26825e-05 -14 *25251:D *5688:325 0.000412687 -15 *25251:D *5836:284 0.000298368 -16 *25250:A2 *1569:7 2.18792e-05 -17 *25251:B *25251:D 8.25098e-05 -18 *1274:221 *25251:D 2.28541e-05 -*RES -1 *25250:X *1569:7 14.7464 -2 *1569:7 *25251:D 49.7464 -*END - -*D_NET *1570 0.00229317 -*CONN -*I *25257:C I *D sky130_fd_sc_hd__or4_2 -*I *25251:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *25257:C 0.000310544 -2 *25251:X 0.000310544 -3 *25257:C *1633:45 0.000135028 -4 *25257:C *2872:279 1.04232e-05 -5 *25257:C *3758:43 0.000656158 -6 *25257:C *4076:72 2.99773e-05 -7 *25257:C *5836:78 0.000518585 -8 *25257:C *6258:12 0.000104056 -9 *25251:C *25257:C 0.000139485 -10 *1524:22 *25257:C 7.83659e-05 -*RES -1 *25251:X *25257:C 38.3143 -*END - -*D_NET *1571 0.0167549 -*CONN -*I *25256:A I *D sky130_fd_sc_hd__or4_1 -*I *25252:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25256:A 0.00180574 -2 *25252:X 0 -3 *1571:5 0.00594738 -4 *1571:4 0.00414164 -5 *25256:A *1574:27 6.94952e-05 -6 *25256:A *1627:12 0.000561489 -7 *25256:A *2761:134 0.000207747 -8 *25256:A *3771:28 0.000557223 -9 *25256:A *4122:43 0 -10 *25256:A *5652:45 0.00054891 -11 *25256:A *5656:137 0.000216504 -12 *1571:5 *5605:127 0.000261799 -13 *1571:5 *5617:39 2.54304e-06 -14 *1235:148 *25256:A 0.000174261 -15 *1239:90 *25256:A 0.000289285 -16 *1282:174 *25256:A 6.50477e-05 -17 *1405:49 *25256:A 2.7778e-05 -18 *1422:115 *1571:5 0.00162046 -19 *1456:18 *1571:5 0.000135028 -20 *1456:125 *1571:5 0.000122591 -*RES -1 *25252:X *1571:4 9.3 -2 *1571:4 *1571:5 48.1786 -3 *1571:5 *25256:A 49.1184 -*END - -*D_NET *1572 0.00243878 -*CONN -*I *25256:B I *D sky130_fd_sc_hd__or4_1 -*I *25253:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25256:B 0.00074693 -2 *25253:X 0.00074693 -3 *25256:B *25253:A2 6.05161e-06 -4 *25256:B *2761:134 9.99644e-06 -5 *25256:B *2893:26 0.0002678 -6 *25256:B *4043:70 0.000266085 -7 *25256:B *5652:27 7.88288e-05 -8 *25256:B *5652:45 5.33005e-05 -9 *25256:B *5836:78 0.00012783 -10 *1282:198 *25256:B 0.000135028 -*RES -1 *25253:X *25256:B 38.7071 -*END - -*D_NET *1573 0.0138621 -*CONN -*I *25256:C I *D sky130_fd_sc_hd__or4_1 -*I *25254:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25256:C 0 -2 *25254:X 0.00485761 -3 *1573:22 0.00485761 -4 *1573:22 *25142:A 0 -5 *1573:22 *25204:A1 0.000137983 -6 *1573:22 *25377:A1 0.00125685 -7 *1573:22 *1615:14 4.65519e-05 -8 *1573:22 *2886:295 0 -9 *1573:22 *3484:31 2.12591e-06 -10 *1573:22 *3688:78 0.000137983 -11 *1573:22 *3738:41 2.04745e-05 -12 *1573:22 *4014:24 6.39808e-05 -13 *1573:22 *4078:19 0.000296836 -14 *1573:22 *5601:166 2.06112e-05 -15 *1573:22 *5761:192 1.6704e-05 -16 *1573:22 *5808:95 0.000129769 -17 *1573:22 *5836:284 0 -18 *1573:22 *5848:82 6.39808e-05 -19 *25204:B1 *1573:22 2.50355e-05 -20 *25251:D *1573:22 2.06112e-05 -21 *25254:A2 *1573:22 3.97677e-05 -22 *1327:28 *1573:22 0 -23 *1396:189 *1573:22 0.000368042 -24 *1405:49 *1573:22 0.00110029 -25 *1435:76 *1573:22 0.000175333 -26 *1450:28 *1573:22 0.000117997 -27 *1463:23 *1573:22 0.000105918 -*RES -1 *25254:X *1573:22 48.322 -2 *1573:22 *25256:C 13.8 -*END - -*D_NET *1574 0.0330134 -*CONN -*I *25256:D I *D sky130_fd_sc_hd__or4_1 -*I *25255:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25256:D 0 -2 *25255:X 0 -3 *1574:27 0.00138329 -4 *1574:26 0.00145262 -5 *1574:21 0.00160909 -6 *1574:6 0.00326687 -7 *1574:5 0.00172712 -8 *1574:6 *25255:A1 1.89507e-06 -9 *1574:6 *27367:A 0 -10 *1574:6 *27679:A2 0.000107753 -11 *1574:6 *27703:A2 0.000181878 -12 *1574:6 *28596:RESET_B 4.19624e-06 -13 *1574:6 *2768:90 0.000129462 -14 *1574:6 *2855:198 2.06178e-05 -15 *1574:6 *3165:103 0.000140784 -16 *1574:6 *3874:79 0.00387566 -17 *1574:6 *3963:42 0.000718953 -18 *1574:6 *4081:34 0.0022526 -19 *1574:6 *5663:138 0.000157256 -20 *1574:6 *5759:18 0.00019746 -21 *1574:6 *5879:97 8.6985e-06 -22 *1574:21 *27342:A1 0.000466401 -23 *1574:21 *28588:RESET_B 1.02849e-05 -24 *1574:21 *2768:89 9.30965e-05 -25 *1574:21 *2778:152 4.73953e-05 -26 *1574:21 *2853:251 2.28378e-05 -27 *1574:21 *2859:242 0 -28 *1574:21 *3912:27 5.11642e-05 -29 *1574:21 *3912:35 0.00168287 -30 *1574:21 *5630:264 1.4477e-06 -31 *1574:21 *5702:84 0.00172961 -32 *1574:21 *5759:18 0.00169607 -33 *1574:21 *5764:230 0.000173055 -34 *1574:21 *5764:239 0.000208732 -35 *1574:21 *5765:95 0.000819944 -36 *1574:21 *5836:45 0.000464471 -37 *1574:26 *3704:14 0.000196262 -38 *1574:26 *3977:16 5.7616e-05 -39 *1574:26 *3977:22 7.252e-05 -40 *1574:27 *2872:260 9.33369e-05 -41 *1574:27 *5652:27 0.00365024 -42 *1574:27 *5652:45 8.43535e-06 -43 *25190:C1 *1574:21 7.06773e-06 -44 *25249:C1 *1574:27 6.05161e-06 -45 *25256:A *1574:27 6.94952e-05 -46 *1265:142 *1574:6 2.04825e-05 -47 *1286:26 *1574:21 0.000193066 -48 *1524:23 *1574:27 0.00393526 -*RES -1 *25255:X *1574:5 13.8 -2 *1574:5 *1574:6 55.5268 -3 *1574:6 *1574:21 49.1276 -4 *1574:21 *1574:26 11.5536 -5 *1574:26 *1574:27 42.4286 -6 *1574:27 *25256:D 9.3 -*END - -*D_NET *1575 0.00048401 -*CONN -*I *25257:D I *D sky130_fd_sc_hd__or4_2 -*I *25256:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *25257:D 9.16631e-05 -2 *25256:X 9.16631e-05 -3 *25257:D *2780:196 0.00010326 -4 *25257:D *2872:279 9.41642e-05 -5 *25257:D *3823:17 0.00010326 -*RES -1 *25256:X *25257:D 29.8857 -*END - -*D_NET *1576 0.0253553 -*CONN -*I *25284:A I *D sky130_fd_sc_hd__or3_4 -*I *25257:X O *D sky130_fd_sc_hd__or4_2 -*CAP -1 *25284:A 0.000241627 -2 *25257:X 4.70928e-05 -3 *1576:27 0.00191328 -4 *1576:8 0.00386541 -5 *1576:7 0.00224086 -6 *25284:A *25284:B 5.33005e-05 -7 *25284:A *2778:120 0.00034485 -8 *25284:A *3176:62 5.49489e-05 -9 *1576:8 *2778:120 5.26224e-05 -10 *1576:8 *2778:121 0.00432946 -11 *1576:8 *2778:132 1.53472e-05 -12 *1576:8 *3685:89 1.53191e-05 -13 *1576:8 *3758:43 9.01055e-06 -14 *1576:8 *4133:46 0.00108423 -15 *1576:8 *5585:47 0.000233048 -16 *1576:8 *5616:30 0.000698746 -17 *1576:8 *5616:34 0.000215021 -18 *1576:8 *5616:44 2.84664e-05 -19 *1576:8 *5795:96 2.22043e-05 -20 *1576:8 *5836:78 0.000144038 -21 *1576:8 *6280:18 0.00140672 -22 *1576:27 *2778:120 0.000128155 -23 *1576:27 *3913:70 2.88045e-05 -24 *1576:27 *5795:96 7.01638e-05 -25 *1576:27 *5869:74 0.000184063 -26 *1576:27 *5869:85 0.00267679 -27 *1576:27 *6280:18 1.34436e-05 -28 *6591:DIODE *1576:27 1.90936e-05 -29 *25098:B *1576:8 4.11218e-05 -30 *25139:D *1576:27 0.000286032 -31 *25183:B1 *1576:27 3.91627e-05 -32 *25223:B1 *25284:A 4.04292e-05 -33 *25225:B *25284:A 6.5919e-05 -34 *25225:B *1576:27 6.74943e-05 -35 *25257:A *1576:7 2.59355e-05 -36 *29814:A *1576:8 7.05143e-06 -37 *29814:A *1576:27 0.000183617 -38 *30777:A *1576:8 0.000190689 -39 *1225:15 *1576:8 8.07879e-05 -40 *1225:89 *1576:8 0.00150256 -41 *1271:161 *1576:27 3.77365e-05 -42 *1288:10 *1576:8 0.000216297 -43 *1289:19 *1576:27 0.00145429 -44 *1289:27 *25284:A 0.000487816 -45 *1289:27 *1576:27 4.23791e-05 -46 *1406:38 *1576:8 0.000193125 -47 *1421:100 *1576:27 0.000132124 -48 *1549:38 *1576:8 0.000124655 -*RES -1 *25257:X *1576:7 14.3357 -2 *1576:7 *1576:8 77.3839 -3 *1576:8 *1576:27 47.0695 -4 *1576:27 *25284:A 24.3975 -*END - -*D_NET *1577 0.00276219 -*CONN -*I *25259:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25258:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25259:C1 0.000432696 -2 *25258:X 0.000432696 -3 *25259:C1 *3794:86 0.000880102 -4 *25259:C1 *5707:100 0.000471992 -5 *25259:C1 *5707:103 1.10856e-05 -6 *25259:B1 *25259:C1 0.000177821 -7 *1277:138 *25259:C1 0.000355792 -*RES -1 *25258:X *25259:C1 41.0286 -*END - -*D_NET *1578 0.0130534 -*CONN -*I *25266:A I *D sky130_fd_sc_hd__or4_1 -*I *25259:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25266:A 0 -2 *25259:X 0.000813604 -3 *1578:18 0.00153749 -4 *1578:10 0.0023511 -5 *1578:10 *25259:A1 0.000137983 -6 *1578:10 *27332:A 0.000114258 -7 *1578:10 *1691:14 0.000793756 -8 *1578:10 *3992:28 0.000795471 -9 *1578:10 *5634:113 0.000876063 -10 *1578:18 *27331:B2 0.000886619 -11 *1578:18 *2853:141 0.000110498 -12 *1578:18 *2893:72 0.000221819 -13 *1578:18 *2895:34 0.000651948 -14 *1578:18 *3547:12 0.00161178 -15 *1578:18 *3972:44 0.000474358 -16 *1578:18 *5583:58 2.88381e-05 -17 *25259:B1 *1578:10 0.000344232 -18 *1277:131 *1578:10 0.00038021 -19 *1416:67 *1578:18 0.000923403 -*RES -1 *25259:X *1578:10 40.7821 -2 *1578:10 *1578:18 49.8214 -3 *1578:18 *25266:A 9.3 -*END - -*D_NET *1579 0.00255825 -*CONN -*I *25261:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25260:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25261:C1 0.000767018 -2 *25260:X 0.000767018 -3 *25261:C1 *25261:B2 9.54798e-06 -4 *25261:C1 *28393:RESET_B 0.000139765 -5 *25261:C1 *3569:86 0.000137515 -6 *25261:C1 *3639:8 0.000563309 -7 *25261:C1 *5609:33 0.000136193 -8 *25261:B1 *25261:C1 3.78797e-05 -*RES -1 *25260:X *25261:C1 39.6 -*END - -*D_NET *1580 0.0214753 -*CONN -*I *25266:B I *D sky130_fd_sc_hd__or4_1 -*I *25261:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25266:B 0.000746341 -2 *25261:X 0.000998382 -3 *1580:12 0.0032789 -4 *1580:11 0.00353094 -5 *25266:B *25097:A1 4.28249e-05 -6 *25266:B *25266:C 0.000311849 -7 *25266:B *25358:B2 8.76591e-05 -8 *25266:B *26828:S 6.67536e-05 -9 *25266:B *2848:33 2.08447e-05 -10 *25266:B *2889:211 9.64113e-06 -11 *25266:B *3164:56 2.44189e-05 -12 *25266:B *3314:41 5.46906e-05 -13 *25266:B *3816:51 0.000149985 -14 *25266:B *5483:151 2.07441e-05 -15 *25266:B *5606:27 2.79421e-05 -16 *25266:B *5691:108 0.000179578 -17 *1580:11 *25952:A0 4.18377e-05 -18 *1580:11 *28940:A 0.000137983 -19 *1580:11 *3569:86 7.25293e-05 -20 *1580:11 *3987:8 0.000252145 -21 *1580:11 *5526:16 0.000136986 -22 *1580:11 *5745:83 0.000266473 -23 *1580:12 *25590:B 0.000242641 -24 *1580:12 *26828:S 0.0001238 -25 *1580:12 *30554:A 0.00012501 -26 *1580:12 *3196:42 5.41794e-05 -27 *1580:12 *3844:41 0.000821557 -28 *1580:12 *5505:42 0.0059563 -29 *1580:12 *5667:136 0.000399817 -30 *25260:A2 *1580:11 0.000348523 -31 *25261:A2 *1580:11 2.06112e-05 -32 *25289:A2 *1580:12 0.000271106 -33 *1326:35 *25266:B 0.000276737 -34 *1419:53 *1580:12 0.000742005 -35 *1455:12 *1580:12 0.000220463 -36 *1455:19 *1580:12 0.00141306 -*RES -1 *25261:X *1580:11 38.175 -2 *1580:11 *1580:12 79.2054 -3 *1580:12 *25266:B 25.0809 -*END - -*D_NET *1581 0.009949 -*CONN -*I *25263:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25262:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25263:C1 0.000279882 -2 *25262:X 0.00169682 -3 *1581:11 0.0019767 -4 *25263:C1 *25099:A1 8.43535e-06 -5 *25263:C1 *27164:A0 8.43535e-06 -6 *25263:C1 *28341:RESET_B 0.000130739 -7 *25263:C1 *1582:25 0.000112995 -8 *25263:C1 *2892:17 0.000151329 -9 *25263:C1 *3992:11 0.000301438 -10 *25263:C1 *3992:25 0.000301438 -11 *25263:C1 *5750:110 0.000453482 -12 *1581:11 *25099:A1 0.000892522 -13 *1581:11 *28341:CLK 9.41642e-05 -14 *1581:11 *30352:A 9.0145e-05 -15 *1581:11 *2865:57 0.000759383 -16 *1581:11 *2892:17 0.000232844 -17 *1581:11 *3816:43 0.000136661 -18 *1581:11 *5442:27 0.000462492 -19 *29252:A *1581:11 5.49489e-05 -20 *1218:62 *1581:11 0.00151213 -21 *1455:28 *1581:11 0.000292014 -*RES -1 *25262:X *1581:11 49.8357 -2 *1581:11 *25263:C1 18.05 -*END - -*D_NET *1582 0.014011 -*CONN -*I *25266:C I *D sky130_fd_sc_hd__or4_1 -*I *25263:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25266:C 0.00172911 -2 *25263:X 0.00119104 -3 *1582:25 0.00292015 -4 *25266:C *25358:B2 0.000126311 -5 *25266:C *26828:S 4.38296e-05 -6 *25266:C *2848:33 0.000415122 -7 *25266:C *2848:44 5.23496e-05 -8 *25266:C *2860:154 0.000630002 -9 *25266:C *3205:43 0.000390002 -10 *25266:C *3206:27 0.000389376 -11 *25266:C *5583:71 3.41367e-05 -12 *25266:C *5606:27 0.000380763 -13 *25266:C *5609:89 8.28045e-05 -14 *25266:C *5635:34 0.00129564 -15 *25266:C *5655:36 9.27892e-05 -16 *25266:C *5655:96 8.89037e-05 -17 *25266:C *5655:113 0.00019361 -18 *1582:25 *25263:A1 0.000141067 -19 *1582:25 *25263:B2 8.43535e-06 -20 *1582:25 *27164:A0 1.24368e-05 -21 *1582:25 *28736:CLK 1.02504e-05 -22 *1582:25 *2784:57 0.000384509 -23 *1582:25 *2834:40 0.000884307 -24 *1582:25 *2892:17 1.13272e-05 -25 *1582:25 *3992:11 8.6229e-06 -26 *1582:25 *5592:55 0.000259549 -27 *1582:25 *5707:113 6.78252e-05 -28 *1582:25 *5750:110 1.68854e-05 -29 *1582:25 *5873:142 8.25114e-05 -30 *25263:B1 *1582:25 8.25843e-06 -31 *25263:C1 *1582:25 0.000112995 -32 *25266:B *25266:C 0.000311849 -33 *282:21 *25266:C 0 -34 *1244:128 *1582:25 5.18322e-05 -35 *1271:88 *25266:C 9.25014e-06 -36 *1420:22 *25266:C 3.46269e-05 -37 *1484:23 *25266:C 0.00153853 -*RES -1 *25263:X *1582:25 45.6036 -2 *1582:25 *25266:C 46.6986 -*END - -*D_NET *1583 0.00145726 -*CONN -*I *25265:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25264:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25265:C1 0.000341329 -2 *25264:X 0.000341329 -3 *25265:C1 *27619:A2 0.000155095 -4 *25265:C1 *1723:27 9.83936e-06 -5 *25265:C1 *3547:12 3.87636e-05 -6 *25265:C1 *3777:51 0.000109422 -7 *25265:C1 *3921:77 2.99155e-05 -8 *25265:C1 *5483:151 2.22043e-05 -9 *25265:C1 *5691:108 0.000245795 -10 *1277:44 *25265:C1 4.65519e-05 -11 *1277:107 *25265:C1 6.06053e-05 -12 *1400:95 *25265:C1 5.64097e-05 -*RES -1 *25264:X *25265:C1 45.8659 -*END - -*D_NET *1584 0.00145987 -*CONN -*I *25266:D I *D sky130_fd_sc_hd__or4_1 -*I *25265:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25266:D 0.000243075 -2 *25265:X 0.000243075 -3 *25266:D *1585:19 0.000221815 -4 *25266:D *5517:20 0.00027335 -5 *25266:D *5583:71 0.00027335 -6 *25266:D *5606:27 0.000205203 -*RES -1 *25265:X *25266:D 34.3679 -*END - -*D_NET *1585 0.013624 -*CONN -*I *25284:B I *D sky130_fd_sc_hd__or3_4 -*I *25266:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *25284:B 0.000914312 -2 *25266:X 0.00226045 -3 *1585:19 0.00317477 -4 *25284:B *2778:83 4.36464e-05 -5 *25284:B *3176:62 4.10926e-05 -6 *25284:B *5600:14 0.000522009 -7 *25284:B *5621:34 0.000216861 -8 *25284:B *5694:43 0.000135028 -9 *1585:19 *25411:B2 1.90936e-05 -10 *1585:19 *1653:43 0.000393966 -11 *1585:19 *2853:48 0.000300524 -12 *1585:19 *4952:14 0 -13 *1585:19 *5467:14 2.97009e-05 -14 *1585:19 *5606:14 0.000307995 -15 *1585:19 *5606:27 0.000119303 -16 *1585:19 *5936:70 6.78682e-05 -17 *25107:C1 *1585:19 0.00213851 -18 *25258:B1 *25284:B 0.000587502 -19 *25266:D *1585:19 0.000221815 -20 *25284:A *25284:B 5.33005e-05 -21 *1218:38 *1585:19 2.06112e-05 -22 *1282:119 *1585:19 0.000109422 -23 *1289:27 *25284:B 0.000586569 -24 *1421:100 *25284:B 0.00133738 -25 *1476:157 *1585:19 1.75318e-05 -26 *1484:23 *1585:19 4.75671e-06 -*RES -1 *25266:X *1585:19 37.8022 -2 *1585:19 *25284:B 39.4161 -*END - -*D_NET *1586 0.000719273 -*CONN -*I *25268:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25267:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25268:C1 0.000189165 -2 *25267:X 0.000189165 -3 *25268:C1 *3064:13 5.49489e-05 -4 *25268:C1 *3666:77 0.000145753 -5 *25268:C1 *3892:37 7.17836e-05 -6 *25268:C1 *3908:66 6.84575e-05 -*RES -1 *25267:X *25268:C1 30.9036 -*END - -*D_NET *1587 0.0206772 -*CONN -*I *25275:A I *D sky130_fd_sc_hd__or4_1 -*I *25268:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25275:A 0.00022515 -2 *25268:X 0.00188162 -3 *1587:36 0.00180977 -4 *1587:22 0.00242061 -5 *1587:16 0.00271761 -6 *25275:A *25275:B 8.24573e-05 -7 *25275:A *25275:C 4.50543e-06 -8 *25275:A *25275:D 2.67701e-05 -9 *25275:A *2865:44 3.92096e-05 -10 *25275:A *3173:35 0.000112667 -11 *25275:A *3212:44 0.00011502 -12 *1587:16 *25753:B 2.30116e-06 -13 *1587:16 *27515:C 5.33005e-05 -14 *1587:16 *27927:A1 0.000378686 -15 *1587:16 *29549:A 7.69776e-06 -16 *1587:16 *2860:64 0 -17 *1587:16 *3067:12 0.000278051 -18 *1587:16 *3145:32 6.14836e-06 -19 *1587:16 *3568:17 0 -20 *1587:16 *3788:15 2.07158e-05 -21 *1587:16 *3884:88 6.91457e-05 -22 *1587:16 *3910:28 0.000404677 -23 *1587:16 *3919:46 0.000262752 -24 *1587:16 *4140:47 2.88105e-05 -25 *1587:16 *5013:14 4.11218e-05 -26 *1587:16 *5063:15 3.61629e-06 -27 *1587:16 *5657:174 1.74795e-05 -28 *1587:22 *27511:A1 0.00101595 -29 *1587:22 *3854:11 0.00128661 -30 *1587:22 *5063:5 0.00231175 -31 *1587:22 *5581:55 0.000226706 -32 *1587:36 *25275:B 0.00010719 -33 *1587:36 *25311:A1 0.000598837 -34 *1587:36 *25311:C1 4.58194e-05 -35 *1587:36 *25957:A1 2.86427e-05 -36 *1587:36 *25957:S 9.35225e-05 -37 *1587:36 *28397:CLK 4.19624e-06 -38 *1587:36 *28397:D 0.000202917 -39 *1587:36 *29250:A 0.000175892 -40 *1587:36 *1588:18 6.33204e-05 -41 *1587:36 *1591:11 0.000310249 -42 *1587:36 *2865:57 7.48301e-06 -43 *1587:36 *3158:43 0.00059881 -44 *1587:36 *3173:35 0.000644121 -45 *1587:36 *3880:50 7.70134e-06 -46 *1587:36 *3996:21 0.000186782 -47 *1587:36 *5605:17 0.000136951 -48 *1363:62 *1587:16 0.000127067 -49 *1417:10 *25275:A 9.74473e-05 -50 *1427:71 *1587:36 2.56047e-05 -51 *1442:14 *1587:22 0.000478371 -52 *1481:17 *1587:36 0.000885346 -*RES -1 *25268:X *1587:16 35.6249 -2 *1587:16 *1587:22 38.2143 -3 *1587:22 *1587:36 47.3125 -4 *1587:36 *25275:A 24.6951 -*END - -*D_NET *1588 0.00999021 -*CONN -*I *25270:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25269:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25270:C1 0 -2 *25269:X 0.000798208 -3 *1588:18 0.0014677 -4 *1588:11 0.0022659 -5 *1588:11 *25282:C 9.6535e-06 -6 *1588:11 *2776:65 6.20441e-06 -7 *1588:11 *3568:178 0.000155761 -8 *1588:11 *6317:100 0 -9 *1588:18 *25275:B 9.35511e-05 -10 *1588:18 *25275:C 2.11419e-05 -11 *1588:18 *25957:S 0.000139923 -12 *1588:18 *27962:A2 0.000266854 -13 *1588:18 *27962:B1 3.29037e-05 -14 *1588:18 *28396:CLK 6.57032e-05 -15 *1588:18 *2865:44 5.56856e-05 -16 *1588:18 *3160:48 0.000124486 -17 *1588:18 *3178:43 7.43916e-05 -18 *1588:18 *3854:10 0.000300137 -19 *1588:18 *5591:42 6.92389e-05 -20 *1588:18 *5605:17 0.000102258 -21 *1588:18 *5655:36 5.42873e-05 -22 *1588:18 *5717:74 0.000211027 -23 *25282:A *1588:11 5.30882e-05 -24 *25391:A2 *1588:11 7.18838e-05 -25 *25410:A2 *1588:11 1.49579e-05 -26 *1248:22 *1588:18 1.92451e-05 -27 *1248:33 *1588:18 0.000433468 -28 *1250:187 *1588:18 0.000115555 -29 *1253:98 *1588:18 0.00013035 -30 *1257:69 *1588:11 0.000138041 -31 *1417:15 *1588:18 0.000130034 -32 *1441:99 *1588:18 0.00115996 -33 *1449:115 *1588:11 0.000830401 -34 *1455:93 *1588:11 0.00013169 -35 *1479:59 *1588:18 1.94945e-05 -36 *1504:16 *1588:18 0.000348161 -37 *1535:14 *1588:18 1.55479e-05 -38 *1587:36 *1588:18 6.33204e-05 -*RES -1 *25269:X *1588:11 24.4948 -2 *1588:11 *1588:18 46.0982 -3 *1588:18 *25270:C1 13.8 -*END - -*D_NET *1589 0.00261192 -*CONN -*I *25275:B I *D sky130_fd_sc_hd__or4_1 -*I *25270:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25275:B 0.000628069 -2 *25270:X 0.000628069 -3 *25275:B *25275:C 6.05026e-05 -4 *25275:B *2865:44 9.30603e-06 -5 *25275:B *3191:37 9.60939e-05 -6 *25275:B *3988:33 0.000441449 -7 *25275:B *5625:52 7.40571e-05 -8 *25275:A *25275:B 8.24573e-05 -9 *1250:187 *25275:B 0.000384374 -10 *1417:10 *25275:B 6.80342e-06 -11 *1587:36 *25275:B 0.00010719 -12 *1588:18 *25275:B 9.35511e-05 -*RES -1 *25270:X *25275:B 41.35 -*END - -*D_NET *1590 0.0201648 -*CONN -*I *25272:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25271:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25272:C1 0.00178523 -2 *25271:X 0.000610238 -3 *1590:15 0.00403674 -4 *1590:7 0.00286175 -5 *25272:C1 *25272:B2 4.97573e-06 -6 *25272:C1 *25385:A1 0.000397462 -7 *25272:C1 *25385:B2 9.66977e-05 -8 *25272:C1 *25585:A 2.13481e-06 -9 *25272:C1 *29574:A 1.09669e-05 -10 *25272:C1 *1591:11 0.000270045 -11 *25272:C1 *3973:83 6.33819e-05 -12 *1590:7 *28618:D 5.33005e-05 -13 *1590:7 *5585:92 0.00211701 -14 *1590:15 *24911:A 0.000424029 -15 *1590:15 *25164:B1 0.000517579 -16 *1590:15 *25385:A1 1.25377e-05 -17 *1590:15 *27046:A0 0.00022459 -18 *1590:15 *27046:A1 0.000391006 -19 *1590:15 *27046:S 0.00042994 -20 *1590:15 *27055:A0 0.00143916 -21 *1590:15 *28618:D 2.14973e-05 -22 *1590:15 *29574:A 0.000241927 -23 *1590:15 *1701:11 0.000167577 -24 *1590:15 *2778:66 0.00025233 -25 *1590:15 *5609:10 4.58194e-05 -26 *1590:15 *5691:149 0.000307343 -27 *24911:B *1590:15 0.000468909 -28 *24914:B *25272:C1 0.00015432 -29 *25272:A2 *25272:C1 2.49299e-05 -30 *25272:B1 *25272:C1 6.19382e-06 -31 *29276:A *1590:15 0.000104295 -32 *30779:A *1590:15 9.60939e-05 -33 *1218:109 *1590:7 3.5298e-05 -34 *1218:123 *1590:7 0.000897798 -35 *1218:136 *1590:7 2.65105e-05 -36 *1237:5 *1590:15 0.00014051 -37 *1239:25 *25272:C1 8.80543e-05 -38 *1257:120 *25272:C1 1.24368e-05 -39 *1257:120 *1590:15 0.000112962 -40 *1282:68 *1590:7 0.000859026 -41 *1395:35 *25272:C1 9.2521e-05 -42 *1407:89 *25272:C1 1.12667e-05 -43 *1407:89 *1590:15 1.32056e-05 -44 *1550:11 *1590:7 5.63951e-05 -45 *1550:11 *1590:15 0.000178847 -*RES -1 *25271:X *1590:7 31.8179 -2 *1590:7 *1590:15 48.6429 -3 *1590:15 *25272:C1 33.5679 -*END - -*D_NET *1591 0.0102608 -*CONN -*I *25275:C I *D sky130_fd_sc_hd__or4_1 -*I *25272:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25275:C 0.000687448 -2 *25272:X 0.00103714 -3 *1591:11 0.00172459 -4 *25275:C *25275:D 1.92905e-05 -5 *25275:C *25893:A1 0.00125665 -6 *25275:C *1657:19 1.61405e-05 -7 *25275:C *2865:44 3.19247e-05 -8 *25275:C *4139:53 0.00125422 -9 *1591:11 *28397:D 0.000137561 -10 *1591:11 *2865:57 0.000284568 -11 *1591:11 *3173:35 0.00114753 -12 *1591:11 *3999:17 0.000199085 -13 *1591:11 *4129:61 0.000556988 -14 *1591:11 *5491:44 0.00025478 -15 *1591:11 *5666:216 0.000302946 -16 *25272:A2 *1591:11 7.20217e-06 -17 *25272:C1 *1591:11 0.000270045 -18 *25275:A *25275:C 4.50543e-06 -19 *25275:B *25275:C 6.05026e-05 -20 *1252:21 *1591:11 9.43861e-05 -21 *1395:35 *1591:11 0.000134274 -22 *1407:58 *1591:11 0.00041273 -23 *1452:27 *1591:11 3.49315e-05 -24 *1587:36 *1591:11 0.000310249 -25 *1588:18 *25275:C 2.11419e-05 -*RES -1 *25272:X *1591:11 48.7821 -2 *1591:11 *25275:C 29.4891 -*END - -*D_NET *1592 0.0192818 -*CONN -*I *25274:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25273:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25274:C1 0 -2 *25273:X 0.000872844 -3 *1592:20 0.00183223 -4 *1592:19 0.00258404 -5 *1592:13 0.00162465 -6 *1592:13 *27636:D 0.00049222 -7 *1592:13 *27768:A2 9.41642e-05 -8 *1592:13 *1659:34 0.00014833 -9 *1592:13 *3829:29 0.00107443 -10 *1592:13 *3844:41 3.90513e-05 -11 *1592:13 *5505:42 0.00165161 -12 *1592:13 *5604:34 0.000135028 -13 *1592:19 *28650:CLK 0.000136951 -14 *1592:19 *2878:147 0.00156009 -15 *1592:19 *3170:21 6.57032e-05 -16 *1592:19 *5505:42 0.00155936 -17 *1592:20 *26827:A 0.000209601 -18 *1592:20 *26828:S 2.06178e-05 -19 *1592:20 *28341:CLK 0.000131898 -20 *1592:20 *28342:RESET_B 5.7133e-05 -21 *1592:20 *28343:SET_B 0.000281954 -22 *1592:20 *2856:38 0.000875125 -23 *1592:20 *3413:62 0.00164536 -24 *1592:20 *3790:32 4.46186e-06 -25 *1592:20 *5442:51 0.00015329 -26 *1592:20 *5635:34 0.000320403 -27 *1592:20 *5750:137 0.000317569 -28 *29932:A *1592:20 0.000119636 -29 *282:21 *1592:20 0.000801608 -30 *1277:53 *1592:20 0.000162369 -31 *1419:43 *1592:20 0.000310124 -*RES -1 *25273:X *1592:13 47.0857 -2 *1592:13 *1592:19 31.4286 -3 *1592:19 *1592:20 48.6964 -4 *1592:20 *25274:C1 13.8 -*END - -*D_NET *1593 0.006499 -*CONN -*I *25275:D I *D sky130_fd_sc_hd__or4_1 -*I *25274:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25275:D 0.000344377 -2 *25274:X 0.00117649 -3 *1593:12 0.00152087 -4 *25275:D *25893:A1 0.000177815 -5 *25275:D *2865:44 6.54938e-06 -6 *1593:12 *2860:150 9.41871e-05 -7 *1593:12 *3158:36 0.000259549 -8 *1593:12 *3178:43 0.00191927 -9 *1593:12 *5483:145 9.58181e-05 -10 *1593:12 *5861:69 0.000276231 -11 *25275:A *25275:D 2.67701e-05 -12 *25275:C *25275:D 1.92905e-05 -13 *1271:70 *1593:12 5.31101e-05 -14 *1282:20 *1593:12 0.000274517 -15 *1485:23 *1593:12 0.000254152 -*RES -1 *25274:X *1593:12 48.6393 -2 *1593:12 *25275:D 18.175 -*END - -*D_NET *1594 0.0210129 -*CONN -*I *25283:A I *D sky130_fd_sc_hd__or4_1 -*I *25275:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *25283:A 0 -2 *25275:X 0.00281801 -3 *1594:35 0.00140087 -4 *1594:23 0.00421888 -5 *1594:23 *25893:A1 0.000187207 -6 *1594:23 *28340:RESET_B 1.90936e-05 -7 *1594:23 *2778:66 0.000259549 -8 *1594:23 *2865:44 0.000421074 -9 *1594:23 *2867:39 2.35126e-05 -10 *1594:23 *3173:35 0.000284138 -11 *1594:23 *3777:51 0.000716172 -12 *1594:23 *4129:61 0.000152364 -13 *1594:23 *4139:53 8.94556e-05 -14 *1594:23 *5606:14 0.000287121 -15 *1594:23 *5621:69 5.00194e-05 -16 *1594:23 *5691:93 0.000121376 -17 *1594:35 *24956:A 0.00021785 -18 *1594:35 *25283:B 9.68258e-05 -19 *1594:35 *25283:C 0.000201192 -20 *1594:35 *27932:A2 0.000396768 -21 *1594:35 *2893:72 0.000301113 -22 *1594:35 *3183:167 0.000950418 -23 *1594:35 *5606:14 0.000288178 -24 *1594:35 *5621:42 0.000233456 -25 *1594:35 *5621:69 1.68244e-05 -26 *1594:35 *5655:150 8.91941e-06 -27 *1594:35 *5817:16 0.00121807 -28 *1594:35 *5869:8 4.48462e-05 -29 *25107:C1 *1594:23 3.37696e-05 -30 *25133:C1 *1594:23 0.000129749 -31 *25209:C1 *1594:35 9.90929e-05 -32 *1182:32 *1594:35 2.04825e-05 -33 *1243:67 *1594:23 1.90936e-05 -34 *1248:14 *1594:23 3.29117e-05 -35 *1248:22 *1594:23 0.00102797 -36 *1271:131 *1594:35 0.000257495 -37 *1401:77 *1594:23 6.35864e-05 -38 *1417:15 *1594:23 0.00014653 -39 *1421:100 *1594:35 1.90936e-05 -40 *1426:14 *1594:23 0.000837811 -41 *1449:84 *1594:35 1.90936e-05 -42 *1449:101 *1594:23 0.00201926 -43 *1449:101 *1594:35 0.000294761 -44 *1535:39 *1594:23 0.000968862 -*RES -1 *25275:X *1594:23 48.7743 -2 *1594:23 *1594:35 47.5774 -3 *1594:35 *25283:A 9.3 -*END - -*D_NET *1595 0.00121123 -*CONN -*I *25277:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25276:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25277:C1 0.00031686 -2 *25276:X 0.00031686 -3 *25277:C1 *3872:72 0.000138035 -4 *25277:C1 *5600:114 0.000301438 -5 *25277:C1 *5634:122 0.000138035 -*RES -1 *25276:X *25277:C1 32.5464 -*END - -*D_NET *1596 0.0120705 -*CONN -*I *25283:B I *D sky130_fd_sc_hd__or4_1 -*I *25277:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25283:B 0.00204297 -2 *25277:X 0.00137374 -3 *1596:16 0.00341671 -4 *25283:B *25283:C 0.000274313 -5 *25283:B *25284:C 5.49489e-05 -6 *25283:B *1653:47 0.000339346 -7 *25283:B *5583:37 2.47753e-05 -8 *25283:B *5600:86 0.00150976 -9 *25283:B *5687:73 0.000473033 -10 *1596:16 *25133:A1 7.51427e-05 -11 *1596:16 *25277:A1 5.33005e-05 -12 *1596:16 *27356:B2 7.64454e-05 -13 *1596:16 *1642:14 0.000234236 -14 *1596:16 *3783:110 0.000553073 -15 *1596:16 *3912:82 0.000188252 -16 *1596:16 *3992:28 0.00018314 -17 *1596:16 *5605:56 0.000872504 -18 *1596:16 *5629:139 0.000188252 -19 *25336:A2 *25283:B 3.97677e-05 -20 *1594:35 *25283:B 9.68258e-05 -*RES -1 *25277:X *1596:16 49.8357 -2 *1596:16 *25283:B 38.425 -*END - -*D_NET *1597 0.016823 -*CONN -*I *25283:C I *D sky130_fd_sc_hd__or4_1 -*I *25278:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25283:C 0.00129306 -2 *25278:X 0.000178042 -3 *1597:11 0.0034563 -4 *1597:10 0.00234128 -5 *25283:C *25273:A2 4.54032e-05 -6 *25283:C *25283:D 1.00733e-05 -7 *25283:C *25336:A1 6.02062e-05 -8 *25283:C *27932:A2 0.00088403 -9 *25283:C *1654:17 4.65519e-05 -10 *25283:C *2879:61 6.30931e-05 -11 *25283:C *3162:40 6.46107e-05 -12 *25283:C *3176:62 9.95605e-05 -13 *25283:C *3546:6 6.25562e-05 -14 *25283:C *3797:65 2.63501e-05 -15 *25283:C *3841:68 6.09762e-05 -16 *25283:C *4185:59 0.00021991 -17 *25283:C *5583:37 7.52911e-05 -18 *1597:10 *2894:188 0.000299191 -19 *1597:11 *3170:167 0.00146576 -20 *1597:11 *3176:49 0.00318742 -21 *1597:11 *3206:95 0.000177596 -22 *1597:11 *5600:86 0.000257543 -23 *1597:11 *5687:73 9.41642e-05 -24 *25133:C1 *25283:C 0.000578073 -25 *25283:B *25283:C 0.000274313 -26 *1243:58 *25283:C 8.55871e-05 -27 *1246:230 *1597:10 5.33005e-05 -28 *1290:23 *1597:11 0.000206785 -29 *1290:132 *1597:11 0.000657321 -30 *1490:26 *1597:10 0.000297469 -31 *1594:35 *25283:C 0.000201192 -*RES -1 *25278:X *1597:10 22.9071 -2 *1597:10 *1597:11 49.4107 -3 *1597:11 *25283:C 36.7773 -*END - -*D_NET *1598 0.000513514 -*CONN -*I *25280:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25279:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25280:C1 0.000132563 -2 *25279:X 0.000132563 -3 *25280:C1 *25280:B2 0.000171006 -4 *25280:C1 *5510:116 2.35581e-05 -5 *1452:114 *25280:C1 5.38242e-05 -*RES -1 *25279:X *25280:C1 21.4616 -*END - -*D_NET *1599 0.0119143 -*CONN -*I *25282:C I *D sky130_fd_sc_hd__or4_1 -*I *25280:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25282:C 0.00129543 -2 *25280:X 0.00222979 -3 *1599:9 0.00352522 -4 *25282:C *25282:D 9.41642e-05 -5 *25282:C *25389:A1 0.000428667 -6 *25282:C *2855:32 0.000173872 -7 *25282:C *3209:59 0.000369941 -8 *25282:C *5619:17 0.000157101 -9 *25282:C *5665:14 0.000155264 -10 *25282:C *6317:100 2.52824e-05 -11 *1599:9 *27540:A 3.43988e-06 -12 *1599:9 *3129:18 3.48023e-05 -13 *25410:A2 *25282:C 9.86332e-05 -14 *1421:26 *25282:C 0.000357185 -15 *1421:53 *1599:9 2.70189e-05 -16 *1449:115 *25282:C 9.26697e-05 -17 *1449:121 *1599:9 0.00283615 -18 *1588:11 *25282:C 9.6535e-06 -*RES -1 *25280:X *1599:9 47.3893 -2 *1599:9 *25282:C 34.3118 -*END - -*D_NET *1600 0.0347714 -*CONN -*I *25282:D I *D sky130_fd_sc_hd__or4_1 -*I *25281:X O *D sky130_fd_sc_hd__a2bb2o_1 -*CAP -1 *25282:D 0.000939053 -2 *25281:X 0.0023724 -3 *1600:41 0.00310176 -4 *1600:19 0.00615363 -5 *1600:17 0.00509755 -6 *1600:9 0.00347903 -7 *25282:D *25389:A1 1.38323e-05 -8 *25282:D *27905:A2 0.000121573 -9 *25282:D *1721:14 0.000304927 -10 *25282:D *1721:35 0.00024913 -11 *25282:D *2855:32 0.000175892 -12 *25282:D *3183:77 0.000463445 -13 *25282:D *3647:8 0.000121716 -14 *25282:D *3647:39 9.09249e-05 -15 *25282:D *5629:23 0.00017754 -16 *25282:D *5711:193 0.00044379 -17 *1600:9 *25831:A0 2.89114e-05 -18 *1600:9 *25831:A1 0.000307349 -19 *1600:9 *28836:D 0.000857799 -20 *1600:9 *3568:59 6.72598e-05 -21 *1600:9 *4139:10 0.000187892 -22 *1600:9 *5698:106 0.000137983 -23 *1600:9 *5733:90 0.000101198 -24 *1600:17 *25907:S 0.000208126 -25 *1600:17 *28018:S 4.86671e-05 -26 *1600:17 *28020:A0 1.90303e-05 -27 *1600:17 *28020:A1 0.000262114 -28 *1600:17 *28833:CLK 0.000263524 -29 *1600:17 *28835:RESET_B 0.000222666 -30 *1600:17 *3651:65 9.91883e-05 -31 *1600:17 *3919:12 2.28598e-05 -32 *1600:17 *5493:18 0.000168822 -33 *1600:19 *25907:S 0.000565096 -34 *1600:19 *28359:D 0.000680776 -35 *1600:19 *1624:55 0.000593395 -36 *1600:19 *1725:7 9.60939e-05 -37 *1600:19 *3780:7 1.65169e-05 -38 *1600:19 *5735:18 7.34553e-05 -39 *1600:19 *6225:79 2.71447e-05 -40 *1600:41 *25957:A0 0.000768426 -41 *1600:41 *28397:SET_B 2.28966e-05 -42 *1600:41 *29150:A 0.000154556 -43 *1600:41 *1721:14 0.000755749 -44 *1600:41 *3216:53 9.41642e-05 -45 *1600:41 *3843:8 0.000115446 -46 *1600:41 *3843:17 0.000314362 -47 *1600:41 *3845:9 0.000323681 -48 *1600:41 *5488:86 0.00014153 -49 *1600:41 *5599:115 0.000282608 -50 *1600:41 *5665:24 2.0203e-05 -51 *1600:41 *5711:67 0.000258206 -52 *1600:41 *6317:85 0.000173144 -53 *24910:A *1600:41 2.90724e-05 -54 *25241:B1 *25282:D 6.30931e-05 -55 *25282:A *25282:D 5.33005e-05 -56 *25282:C *25282:D 9.41642e-05 -57 *25409:A2 *1600:19 0.000298483 -58 *25409:A3 *1600:19 1.21258e-05 -59 *29149:A *1600:19 0.000221641 -60 *29177:A *1600:19 1.92905e-05 -61 *29261:A *1600:17 5.52238e-05 -62 *30062:A *1600:17 5.52238e-05 -63 *1235:52 *25282:D 0.000569028 -64 *1257:69 *1600:41 0.000140025 -65 *1257:99 *1600:41 0.000398946 -66 *1263:62 *1600:41 0.000127359 -67 *1401:37 *1600:19 0.000193787 -68 *1430:36 *1600:9 2.42516e-05 -69 *1441:21 *1600:41 0.000553949 -70 *1457:51 *1600:9 2.53584e-05 -71 *1457:99 *1600:41 5.00194e-05 -*RES -1 *25281:X *1600:9 48.9071 -2 *1600:9 *1600:17 28.8482 -3 *1600:17 *1600:19 49.2054 -4 *1600:19 *1600:41 47.5401 -5 *1600:41 *25282:D 46.3179 -*END - -*D_NET *1601 0.0136547 -*CONN -*I *25283:D I *D sky130_fd_sc_hd__or4_1 -*I *25282:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *25283:D 0.00072496 -2 *25282:X 0 -3 *1601:6 0.00261548 -4 *1601:5 0.00189052 -5 *25283:D *3176:69 0.000136682 -6 *1601:6 *26837:C1 2.04825e-05 -7 *1601:6 *1705:13 0.00125698 -8 *1601:6 *1705:17 2.23987e-05 -9 *1601:6 *2859:34 0.000116395 -10 *1601:6 *3164:56 0.000162407 -11 *1601:6 *3183:77 0.00183914 -12 *1601:6 *3314:26 0.0023009 -13 *1601:6 *3777:10 1.94945e-05 -14 *1601:6 *5626:137 0.000135795 -15 *25217:C *25283:D 1.21258e-05 -16 *25217:D *25283:D 0.000175892 -17 *25225:C *25283:D 9.20635e-06 -18 *25283:C *25283:D 1.00733e-05 -19 *25351:B *1601:6 0.000325067 -20 *1224:26 *1601:6 0.000849611 -21 *1224:30 *1601:6 0.00058454 -22 *1224:36 *1601:6 7.35655e-05 -23 *1271:131 *25283:D 1.90303e-05 -24 *1479:17 *1601:6 6.71336e-05 -25 *1479:101 *1601:6 0.000212056 -26 *1533:9 *25283:D 7.48005e-05 -*RES -1 *25282:X *1601:5 13.8 -2 *1601:5 *1601:6 56.8929 -3 *1601:6 *25283:D 22.1571 -*END - -*D_NET *1602 0.00136304 -*CONN -*I *25284:C I *D sky130_fd_sc_hd__or3_4 -*I *25283:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *25284:C 0.000236305 -2 *25283:X 0.000236305 -3 *25284:C *27932:A2 0.000109428 -4 *25284:C *2778:120 0.00030942 -5 *25284:C *5869:74 0.000290384 -6 *25133:C1 *25284:C 0.000107157 -7 *25283:B *25284:C 5.49489e-05 -8 *1289:27 *25284:C 1.90936e-05 -*RES -1 *25283:X *25284:C 39.3433 -*END - -*D_NET *1603 0.052684 -*CONN -*I *27975:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25807:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25792:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25285:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25816:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25284:X O *D sky130_fd_sc_hd__or3_4 -*CAP -1 *27975:A1 0.000139283 -2 *25807:A1 0 -3 *25792:A1 0.000338694 -4 *25285:A0 0.000214171 -5 *25816:A1 4.09919e-05 -6 *25284:X 0.000222839 -7 *1603:102 0.000454603 -8 *1603:96 0.0022146 -9 *1603:76 0.00523913 -10 *1603:47 0.00476283 -11 *1603:36 0.00433797 -12 *1603:15 0.00713045 -13 *1603:13 0.00648921 -14 *1603:10 0.00226327 -15 *25285:A0 *25285:A1 6.05161e-06 -16 *25285:A0 *28064:B 1.65169e-05 -17 *25285:A0 *28413:CLK_N 8.94332e-05 -18 *25285:A0 *3461:26 8.69554e-05 -19 *25285:A0 *5334:28 0 -20 *25792:A1 *25790:A0 0.00024674 -21 *25792:A1 *25807:A0 5.33005e-05 -22 *25792:A1 *31007:A 0.000200413 -23 *25792:A1 *1871:81 0.000147485 -24 *25792:A1 *1874:59 0.000135028 -25 *25792:A1 *5542:41 0.000542996 -26 *25792:A1 *5564:8 5.41794e-05 -27 *25816:A1 *25815:A0 1.9926e-05 -28 *25816:A1 *25816:S 3.84842e-05 -29 *25816:A1 *5348:17 3.45371e-05 -30 *27975:A1 *27975:A0 2.84026e-05 -31 *27975:A1 *5542:41 0 -32 *27975:A1 *6169:113 0.000155857 -33 *1603:10 *3176:62 6.86792e-05 -34 *1603:10 *3544:9 0.00038886 -35 *1603:10 *5585:56 0.00038886 -36 *1603:10 *5694:43 0.000135028 -37 *1603:13 *25159:A1 1.46148e-05 -38 *1603:13 *25159:B2 7.1959e-05 -39 *1603:13 *25177:A1 0.00038642 -40 *1603:13 *5655:150 0.000180066 -41 *1603:15 *25177:A1 6.92747e-06 -42 *1603:15 *25210:B2 0.000244768 -43 *1603:15 *27556:B1 6.06291e-05 -44 *1603:15 *27559:C 0.000339346 -45 *1603:15 *2872:112 0.000838142 -46 *1603:15 *2872:134 7.49716e-05 -47 *1603:15 *3209:102 6.57032e-05 -48 *1603:15 *3646:9 9.01775e-06 -49 *1603:15 *3818:32 0.000185006 -50 *1603:15 *4035:59 0.000135028 -51 *1603:15 *5687:29 8.55871e-05 -52 *1603:15 *5694:31 0.000108093 -53 *1603:15 *5694:42 0.000161941 -54 *1603:15 *5729:276 0.000135028 -55 *1603:36 *25675:A0 0.000146532 -56 *1603:36 *25823:A1 0.000160964 -57 *1603:36 *27557:B2 4.31187e-05 -58 *1603:36 *28022:A 4.75671e-06 -59 *1603:36 *1887:70 6.78454e-05 -60 *1603:36 *2789:27 9.69108e-05 -61 *1603:36 *2877:69 2.0044e-05 -62 *1603:36 *2894:99 9.48994e-05 -63 *1603:36 *3192:107 9.31015e-05 -64 *1603:36 *3697:57 4.12538e-05 -65 *1603:36 *3710:28 0.000239221 -66 *1603:36 *3723:25 5.22746e-05 -67 *1603:36 *3749:46 4.70253e-05 -68 *1603:36 *3883:31 0.000118293 -69 *1603:36 *3984:33 9.23805e-05 -70 *1603:36 *4126:39 0.000146018 -71 *1603:36 *4130:77 8.76591e-06 -72 *1603:36 *5657:88 0.000128972 -73 *1603:47 *24816:A 0.000154443 -74 *1603:47 *25824:A1 5.33005e-05 -75 *1603:47 *30280:A 0.000158016 -76 *1603:47 *30491:A 0.000298483 -77 *1603:47 *1738:92 6.05161e-06 -78 *1603:47 *1738:106 0.000152851 -79 *1603:47 *1740:22 0.000241509 -80 *1603:47 *1745:38 0.000167003 -81 *1603:47 *1795:19 0.000336462 -82 *1603:47 *1875:52 0.000304387 -83 *1603:47 *4160:38 0.000168527 -84 *1603:47 *4194:111 0.0011773 -85 *1603:47 *4195:45 0.000383166 -86 *1603:76 *25815:A0 0.000139372 -87 *1603:76 *1740:22 4.58194e-05 -88 *1603:76 *3558:59 9.25014e-06 -89 *1603:76 *3558:71 0.000149641 -90 *1603:76 *4170:20 0 -91 *1603:76 *5728:64 0.000171986 -92 *1603:76 *6169:126 0.000323873 -93 *1603:102 *25807:A0 6.2127e-05 -94 *1603:102 *6169:113 1.94945e-05 -95 *25159:A2 *1603:13 0.000391294 -96 *25210:A2 *1603:15 0.000135028 -97 *25210:B1 *1603:15 7.09477e-05 -98 *25212:C *1603:13 5.33005e-05 -99 *25212:C *1603:15 0.000382744 -100 *25223:B1 *1603:13 0.000421074 -101 *25225:B *1603:13 0.000300412 -102 *25227:A0 *25792:A1 4.25619e-05 -103 *25461:A1 *1603:36 0.00143284 -104 *25474:B *1603:47 0.000426978 -105 *25808:A1 *25792:A1 0.000112869 -106 *25808:A1 *1603:102 0.000319169 -107 *28265:D *25792:A1 9.95404e-05 -108 *28266:D *25792:A1 4.43256e-05 -109 *28266:D *1603:102 6.12668e-05 -110 *28273:D *1603:76 0 -111 *28412:RESET_B *25792:A1 1.17921e-05 -112 *412:14 *1603:36 0.00185332 -113 *495:15 *1603:36 3.98893e-05 -114 *1175:31 *1603:47 0.00010933 -115 *1324:146 *25285:A0 5.99759e-06 -116 *1423:71 *1603:36 9.06586e-05 -117 *1430:65 *1603:15 0.000132889 -118 *1480:17 *1603:13 2.77413e-05 -119 *1528:9 *1603:13 0.000178955 -120 *1545:51 *1603:76 2.45805e-05 -*RES -1 *25284:X *1603:10 24.9429 -2 *1603:10 *1603:13 32.9955 -3 *1603:13 *1603:15 52.2902 -4 *1603:15 *1603:36 35.3664 -5 *1603:36 *1603:47 44.9107 -6 *1603:47 *25816:A1 10.6929 -7 *1603:47 *1603:76 32.6347 -8 *1603:76 *25285:A0 22.909 -9 *1603:76 *1603:96 9.8985 -10 *1603:96 *1603:102 8.20536 -11 *1603:102 *25792:A1 31.1571 -12 *1603:102 *25807:A1 9.3 -13 *1603:96 *27975:A1 16.4339 -*END - -*D_NET *1604 0.00118945 -*CONN -*I *25286:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25285:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *25286:A0 0.000228602 -2 *25285:X 0.000228602 -3 *25286:A0 *28064:A 0.000182592 -4 *25286:A0 *28064:B 0.000184246 -5 *25286:A0 *28413:CLK_N 0.000148911 -6 *25286:A0 *28413:D 0.000148911 -7 *25286:A0 *5244:17 1.93007e-05 -8 *25000:S *25286:A0 4.82865e-05 -*RES -1 *25285:X *25286:A0 33.0821 -*END - -*D_NET *1605 0.0100843 -*CONN -*I *25293:A I *D sky130_fd_sc_hd__or4_1 -*I *25287:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25293:A 0.00122482 -2 *25287:X 0.000711078 -3 *1605:13 0.00193589 -4 *25293:A *25293:C 0.000473318 -5 *25293:A *27350:B2 1.32511e-05 -6 *25293:A *1611:13 4.58194e-05 -7 *25293:A *2877:194 0.000779576 -8 *25293:A *2879:88 0.000933422 -9 *25293:A *3185:92 4.97121e-06 -10 *25293:A *3950:25 0.000149892 -11 *25293:A *5627:75 0.00202261 -12 *1605:13 *25340:B2 0.000127424 -13 *1605:13 *27101:A1 7.83587e-05 -14 *1605:13 *1660:42 0.000337354 -15 *1605:13 *1660:48 2.27016e-05 -16 *1605:13 *2779:96 0.000160427 -17 *1605:13 *2879:88 0.000250826 -18 *1605:13 *2879:118 6.95883e-05 -19 *1605:13 *5644:218 7.58292e-05 -20 *1605:13 *5795:96 0.000348361 -21 *25340:A2 *25293:A 5.41797e-06 -22 *25340:A2 *1605:13 0.000168788 -23 *1225:15 *25293:A 0.000144561 -*RES -1 *25287:X *1605:13 40.1929 -2 *1605:13 *25293:A 48.5857 -*END - -*D_NET *1606 0.00903416 -*CONN -*I *25293:B I *D sky130_fd_sc_hd__or4_1 -*I *25288:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25293:B 0 -2 *25288:X 0.000167542 -3 *1606:14 0.00129237 -4 *1606:11 0.00145991 -5 *1606:11 *1654:20 0.00021223 -6 *1606:11 *3667:53 0.000115218 -7 *1606:11 *5604:57 2.79435e-05 -8 *1606:14 *27305:D 0.000144903 -9 *1606:14 *29334:A 0.00063538 -10 *1606:14 *1654:20 0.000619698 -11 *1606:14 *3712:38 0.00202732 -12 *1606:14 *3768:27 1.50087e-05 -13 *1606:14 *5584:103 0.000462821 -14 *1606:14 *5713:45 2.04825e-05 -15 *1606:14 *6247:36 0.000524056 -16 *25332:B1 *1606:14 0.000213146 -17 *25362:B1 *1606:11 2.47761e-06 -18 *1228:47 *1606:14 0.000424441 -19 *1265:69 *1606:14 0.000286052 -20 *1419:11 *1606:14 0.000383161 -*RES -1 *25288:X *1606:11 23.5165 -2 *1606:11 *1606:14 46.6696 -3 *1606:14 *25293:B 9.3 -*END - -*D_NET *1607 0.036705 -*CONN -*I *25290:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25289:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *25290:C1 0.000137736 -2 *25289:X 1.45667e-05 -3 *1607:8 0.00551027 -4 *1607:7 0.0053871 -5 *25290:C1 *3197:74 8.55871e-05 -6 *1607:7 *28344:CLK 5.33005e-05 -7 *1607:7 *3997:19 5.49489e-05 -8 *1607:8 *25374:A1 0.000204949 -9 *1607:8 *25889:A0 7.52065e-05 -10 *1607:8 *27019:A0 0.00033533 -11 *1607:8 *27636:D 1.17921e-05 -12 *1607:8 *28342:CLK 0.000130773 -13 *1607:8 *1609:17 0.000209357 -14 *1607:8 *1659:34 0.00014833 -15 *1607:8 *2781:88 0.00759241 -16 *1607:8 *2855:142 0.00338242 -17 *1607:8 *2874:32 7.14469e-05 -18 *1607:8 *2878:20 4.66521e-05 -19 *1607:8 *2878:138 9.3972e-05 -20 *1607:8 *3178:144 6.46402e-05 -21 *1607:8 *3299:14 0.000277383 -22 *1607:8 *3641:8 0.00011062 -23 *1607:8 *3712:28 0.00112346 -24 *1607:8 *3829:29 0.000559359 -25 *1607:8 *3844:41 0.005276 -26 *1607:8 *3844:59 5.82662e-05 -27 *1607:8 *4052:20 0.00279859 -28 *25099:B1 *1607:8 1.97897e-05 -29 *25290:B1 *25290:C1 0.000136951 -30 *1228:30 *1607:8 0.000179717 -31 *1228:37 *1607:8 0.000998969 -32 *1254:144 *1607:8 0.00114852 -33 *1270:22 *25290:C1 0.000386121 -34 *1275:15 *1607:8 2.04825e-05 -*RES -1 *25289:X *1607:7 14.3357 -2 *1607:7 *1607:8 172.857 -3 *1607:8 *25290:C1 17.6214 -*END - -*D_NET *1608 0.00333814 -*CONN -*I *25293:C I *D sky130_fd_sc_hd__or4_1 -*I *25290:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25293:C 0.000528809 -2 *25290:X 0.000528809 -3 *25293:C *25290:A1 0.000137561 -4 *25293:C *1611:13 1.21258e-05 -5 *25293:C *2879:83 0.000514015 -6 *25293:C *3540:8 0.000267665 -7 *25293:C *3540:23 0.000257124 -8 *25290:A2 *25293:C 8.43535e-06 -9 *25290:B1 *25293:C 9.41642e-05 -10 *25293:A *25293:C 0.000473318 -11 *1225:15 *25293:C 0.000516112 -*RES -1 *25290:X *25293:C 42.0464 -*END - -*D_NET *1609 0.00747004 -*CONN -*I *25292:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25291:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25292:C1 0.000684393 -2 *25291:X 0.000557249 -3 *1609:17 0.00124164 -4 *25292:C1 *1661:19 0.000148431 -5 *25292:C1 *2775:174 0.000254815 -6 *25292:C1 *4054:66 0.0016233 -7 *25292:C1 *5936:111 0.000137298 -8 *25292:C1 *5936:125 0.000854705 -9 *1609:17 *25291:A1 0.000488723 -10 *1609:17 *3299:14 0.000209357 -11 *1609:17 *5667:25 0.000548732 -12 *25291:A2 *1609:17 0 -13 *1256:6 *25292:C1 1.62539e-05 -14 *1275:15 *1609:17 0.000231374 -15 *1285:26 *1609:17 0.000129384 -16 *1555:11 *1609:17 0.000135028 -17 *1607:8 *1609:17 0.000209357 -*RES -1 *25291:X *1609:17 37.4429 -2 *1609:17 *25292:C1 36.0143 -*END - -*D_NET *1610 0.00089934 -*CONN -*I *25293:D I *D sky130_fd_sc_hd__or4_1 -*I *25292:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25293:D 0.000159351 -2 *25292:X 0.000159351 -3 *25293:D *25292:B2 5.52238e-05 -4 *25293:D *28841:A 2.11419e-05 -5 *25293:D *1611:13 5.52238e-05 -6 *25293:D *5584:103 0.000137369 -7 *25293:D *6247:36 0.000289915 -8 *1225:15 *25293:D 2.17647e-05 -*RES -1 *25292:X *25293:D 32.4393 -*END - -*D_NET *1611 0.00752326 -*CONN -*I *25347:A I *D sky130_fd_sc_hd__or3_4 -*I *25293:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *25347:A 0.000644496 -2 *25293:X 0.00143491 -3 *1611:13 0.00207941 -4 *25347:A *1665:20 9.83442e-05 -5 *25347:A *5643:84 0.0013037 -6 *25347:A *5892:119 0.000137561 -7 *1611:13 *24899:A 5.33005e-05 -8 *1611:13 *3841:68 0.000126958 -9 *1611:13 *5607:13 0.000257619 -10 *1611:13 *5612:12 0.000389591 -11 *25165:A *25347:A 6.17358e-05 -12 *25293:A *1611:13 4.58194e-05 -13 *25293:C *1611:13 1.21258e-05 -14 *25293:D *1611:13 5.52238e-05 -15 *30777:A *25347:A 1.24368e-05 -16 *30777:A *1611:13 1.51571e-05 -17 *1225:15 *1611:13 4.87753e-05 -18 *1265:95 *1611:13 0.000226021 -19 *1271:162 *1611:13 2.15469e-05 -20 *1482:21 *25347:A 1.98839e-05 -21 *1485:39 *25347:A 0.000255631 -22 *1486:19 *25347:A 1.58163e-05 -23 *1555:20 *1611:13 0.000207189 -*RES -1 *25293:X *1611:13 38.3357 -2 *1611:13 *25347:A 24.7643 -*END - -*D_NET *1612 0.00680639 -*CONN -*I *25295:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25294:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25295:C1 0 -2 *25294:X 0.00111405 -3 *1612:8 0.00111405 -4 *1612:8 *27916:C 0.000619262 -5 *1612:8 *1713:26 0.000105199 -6 *1612:8 *3459:8 0.000997586 -7 *1612:8 *5500:57 0.00215878 -8 *1612:8 *5591:63 0.000263254 -9 *1612:8 *5685:52 5.11553e-05 -10 *1612:8 *5717:74 3.75868e-05 -11 *25294:B1 *1612:8 0.000345458 -*RES -1 *25294:X *1612:8 45.8714 -2 *1612:8 *25295:C1 13.8 -*END - -*D_NET *1613 0.0103772 -*CONN -*I *25303:A I *D sky130_fd_sc_hd__or4_1 -*I *25295:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25303:A 2.3932e-05 -2 *25295:X 0 -3 *1613:6 0.00174564 -4 *1613:5 0.00172171 -5 *25303:A *25694:A2 2.59355e-05 -6 *25303:A *1844:26 2.59355e-05 -7 *1613:6 *25947:S 6.74867e-05 -8 *1613:6 *28389:D 0.000161538 -9 *1613:6 *28389:RESET_B 6.75178e-05 -10 *1613:6 *3182:61 0.000191403 -11 *1613:6 *3506:25 0.000781883 -12 *1613:6 *3778:77 0.00103806 -13 *1613:6 *5623:66 9.15842e-06 -14 *1613:6 *5623:83 0.000100462 -15 *1613:6 *5685:39 0.002688 -16 *1613:6 *5744:76 0.000320169 -17 *1277:16 *1613:6 0.000714911 -18 *1277:33 *1613:6 0.000648237 -19 *1442:14 *1613:6 4.52141e-05 -*RES -1 *25295:X *1613:5 13.8 -2 *1613:5 *1613:6 52.9464 -3 *1613:6 *25303:A 14.3357 -*END - -*D_NET *1614 0.000529294 -*CONN -*I *25297:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25296:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25297:C1 8.55284e-05 -2 *25296:X 8.55284e-05 -3 *25297:C1 *25296:A1 0.000128154 -4 *25297:C1 *4014:24 0.000129868 -5 *25297:B1 *25297:C1 6.05161e-06 -6 *1396:189 *25297:C1 9.41642e-05 -*RES -1 *25296:X *25297:C1 30.1893 -*END - -*D_NET *1615 0.0302532 -*CONN -*I *25303:B I *D sky130_fd_sc_hd__or4_1 -*I *25297:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25303:B 7.43846e-05 -2 *25297:X 0.00583511 -3 *1615:14 0.00590949 -4 *25303:B *3662:79 2.01997e-05 -5 *25303:B *4186:20 0 -6 *1615:14 *27946:A2 6.11094e-06 -7 *1615:14 *1685:46 0.000233048 -8 *1615:14 *2851:24 0 -9 *1615:14 *3176:202 0.00103304 -10 *1615:14 *3506:19 0.00112565 -11 *1615:14 *3738:41 0.0001219 -12 *1615:14 *3762:41 0.000234937 -13 *1615:14 *3868:73 0 -14 *1615:14 *3881:56 0.000302271 -15 *1615:14 *3920:40 3.91344e-05 -16 *1615:14 *4027:20 1.58163e-05 -17 *1615:14 *4113:125 7.40526e-05 -18 *1615:14 *5590:47 5.75573e-05 -19 *1615:14 *5590:50 0.000211432 -20 *1615:14 *5607:27 0.00113904 -21 *1615:14 *5641:70 0.00175264 -22 *1615:14 *5761:192 2.47753e-05 -23 *1615:14 *5848:20 7.37503e-05 -24 *1615:14 *5848:63 0.00141327 -25 *24892:B *1615:14 0.000165754 -26 *25123:B *1615:14 0.000407939 -27 *1217:24 *1615:14 0.000111243 -28 *1263:28 *1615:14 0.000889055 -29 *1263:36 *1615:14 0.00226739 -30 *1277:33 *25303:B 0.000138157 -31 *1288:54 *1615:14 0.000407225 -32 *1294:95 *25303:B 0.000137393 -33 *1327:28 *1615:14 0.00307363 -34 *1400:30 *1615:14 0.000106126 -35 *1401:117 *1615:14 0.000185417 -36 *1439:49 *1615:14 0.00250545 -37 *1448:160 *1615:14 0.000103262 -38 *1450:28 *1615:14 1.09611e-05 -39 *1573:22 *1615:14 4.65519e-05 -*RES -1 *25297:X *1615:14 47.4142 -2 *1615:14 *25303:B 22.4977 -*END - -*D_NET *1616 0.0275545 -*CONN -*I *25406:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25299:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *26808:A I *D sky130_fd_sc_hd__nand2_1 -*I *25298:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *25406:B1 0.000119628 -2 *25299:B1 3.4708e-05 -3 *26808:A 6.77349e-05 -4 *25298:Y 0.000993871 -5 *1616:46 0.00226171 -6 *1616:34 0.003944 -7 *1616:23 0.00264419 -8 *1616:8 0.00204237 -9 *25299:B1 *25299:A1 8.6229e-06 -10 *25299:B1 *5483:18 7.17565e-05 -11 *25299:B1 *5738:31 3.77022e-05 -12 *25406:B1 *25406:A1 0.000128701 -13 *26808:A *26823:A0 9.76771e-05 -14 *26808:A *28417:CLK 0.000189241 -15 *1616:8 *1722:21 2.21972e-05 -16 *1616:8 *5153:8 0.000317784 -17 *1616:8 *5488:79 0.000290792 -18 *1616:8 *5491:30 0.000473592 -19 *1616:8 *5685:66 0.000729862 -20 *1616:8 *5685:76 1.90936e-05 -21 *1616:23 *28390:D 4.75956e-06 -22 *1616:23 *28950:A 0.000434426 -23 *1616:23 *5153:8 2.93957e-05 -24 *1616:23 *5485:104 0.000304357 -25 *1616:23 *5491:30 0.000357184 -26 *1616:23 *5698:165 4.00349e-05 -27 *1616:23 *5711:94 0.000289245 -28 *1616:23 *5926:221 3.4689e-05 -29 *1616:34 *25299:A1 4.00349e-05 -30 *1616:34 *27571:A2 0.00064266 -31 *1616:34 *29533:A 0.00120339 -32 *1616:34 *29577:A 3.56563e-05 -33 *1616:34 *30952:A 3.27359e-05 -34 *1616:34 *30954:A 0.000642737 -35 *1616:34 *30958:A 0.000384526 -36 *1616:34 *1675:10 0.00019761 -37 *1616:34 *5483:18 4.1611e-05 -38 *1616:34 *5524:40 0.000107374 -39 *1616:34 *5698:156 0.00157843 -40 *1616:34 *5698:165 0.000204202 -41 *1616:34 *5926:221 6.92966e-06 -42 *1616:46 *3652:82 6.89649e-05 -43 *1616:46 *3652:87 0.000233895 -44 *1616:46 *3791:10 1.21258e-05 -45 *1616:46 *4945:15 9.48639e-05 -46 *1616:46 *5483:44 0.00120613 -47 *1616:46 *5487:63 0.000274961 -48 *1616:46 *5685:173 0.000134271 -49 *1616:46 *5730:43 0.000134271 -50 *1616:46 *5738:11 0.000117394 -51 *1616:46 *5926:235 0.000812326 -52 pll_trim[3] *1616:34 7.73844e-05 -53 pll_trim[5] *1616:34 1.52217e-05 -54 *25359:A2 *1616:34 9.446e-05 -55 *28808:D *1616:23 0.000191763 -56 *1139:12 *1616:23 5.24959e-05 -57 *1139:12 *1616:34 0.00207206 -58 *1327:101 *1616:8 0.000383131 -59 *1457:15 *1616:8 0.000471607 -*RES -1 *25298:Y *1616:8 36.7821 -2 *1616:8 *1616:23 31.8571 -3 *1616:23 *1616:34 42.4455 -4 *1616:34 *1616:46 48.5714 -5 *1616:46 *26808:A 11.4563 -6 *1616:34 *25299:B1 14.8357 -7 *1616:8 *25406:B1 15.8893 -*END - -*D_NET *1617 0.0308335 -*CONN -*I *25300:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25299:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25300:C1 0 -2 *25299:X 0.00796011 -3 *1617:30 0.00291677 -4 *1617:17 0.0108769 -5 *1617:17 *25109:A 0.000619151 -6 *1617:17 *27571:B1 0 -7 *1617:17 *29533:A 5.46816e-05 -8 *1617:17 *2860:92 0.000113079 -9 *1617:17 *2886:50 0 -10 *1617:17 *2886:89 0 -11 *1617:17 *2889:221 0.000242875 -12 *1617:17 *2895:97 0.000101872 -13 *1617:17 *3101:29 3.59407e-05 -14 *1617:17 *3192:60 0.000661086 -15 *1617:17 *3791:126 9.02925e-05 -16 *1617:17 *3801:56 0.000103363 -17 *1617:17 *5510:34 2.06112e-05 -18 *1617:17 *5510:87 0.00010283 -19 *1617:17 *5657:49 4.7485e-05 -20 *1617:17 *5738:37 0.000105594 -21 *1617:30 *27547:B 2.06178e-05 -22 *1617:30 *1899:31 4.11218e-05 -23 *1617:30 *2848:64 0.000960907 -24 *1617:30 *2848:85 6.82017e-05 -25 *1617:30 *2853:90 0.000196393 -26 *1617:30 *2856:76 0.00126132 -27 *1617:30 *2856:97 0.00050071 -28 *1617:30 *2875:85 0.000319669 -29 *1617:30 *2877:58 0.000998295 -30 *1617:30 *2878:75 0.000362887 -31 *1617:30 *3124:15 0.000134586 -32 *1617:30 *3145:14 2.11419e-05 -33 *1617:30 *3209:85 0.0012294 -34 *1617:30 *3457:22 6.79325e-05 -35 *1617:30 *3841:25 0 -36 *1617:30 *3960:86 3.17367e-05 -37 *1617:30 *4023:28 2.11419e-05 -38 *1617:30 *4186:20 1.94318e-05 -39 *1617:30 *5744:37 5.42887e-05 -40 *1617:30 *5744:114 0.000448899 -41 *1363:23 *1617:17 2.21972e-05 -*RES -1 *25299:X *1617:17 43.1798 -2 *1617:17 *1617:30 48.8654 -3 *1617:30 *25300:C1 13.8 -*END - -*D_NET *1618 0.00332988 -*CONN -*I *25303:C I *D sky130_fd_sc_hd__or4_1 -*I *25300:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25303:C 0.000713232 -2 *25300:X 0.000713232 -3 *25303:C *25694:A2 0.000181634 -4 *25303:C *28159:D 3.17684e-05 -5 *25303:C *1844:26 0.00150158 -6 *25303:C *3173:94 6.23198e-05 -7 *25300:A2 *25303:C 0.000126121 -*RES -1 *25300:X *25303:C 44.1536 -*END - -*D_NET *1619 0.0247206 -*CONN -*I *25302:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25301:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25302:C1 0 -2 *25301:X 0.000985629 -3 *1619:25 0.00478686 -4 *1619:10 0.00577249 -5 *1619:10 *25301:B2 1.74352e-05 -6 *1619:10 *25672:A1 2.34204e-05 -7 *1619:10 *25943:A0 0.00014491 -8 *1619:10 *1833:11 2.83893e-05 -9 *1619:10 *3815:59 0.000296121 -10 *1619:10 *4140:61 0 -11 *1619:10 *5710:13 0.000570959 -12 *1619:25 *25302:B2 6.05161e-06 -13 *1619:25 *27495:A1 0.000435356 -14 *1619:25 *27499:B1 9.34324e-05 -15 *1619:25 *27859:B1 0.000387832 -16 *1619:25 *27860:A2 3.2209e-06 -17 *1619:25 *27860:C1 0.000361736 -18 *1619:25 *27862:B2 1.60089e-05 -19 *1619:25 *27881:A 9.84282e-05 -20 *1619:25 *27881:C 0.000192294 -21 *1619:25 *1899:31 0.00201419 -22 *1619:25 *2834:97 0.000164928 -23 *1619:25 *2872:90 8.69554e-05 -24 *1619:25 *2883:53 0.000499821 -25 *1619:25 *2891:30 0.000281313 -26 *1619:25 *3055:16 0.000204854 -27 *1619:25 *3153:38 4.39649e-05 -28 *1619:25 *3153:55 0.000183084 -29 *1619:25 *3165:297 2.74485e-05 -30 *1619:25 *3192:67 4.78117e-05 -31 *1619:25 *3205:72 2.11533e-05 -32 *1619:25 *3503:19 0.000180536 -33 *1619:25 *3762:61 8.44271e-06 -34 *1619:25 *3805:59 4.37133e-05 -35 *1619:25 *3892:75 0.00193483 -36 *1619:25 *3960:28 1.1984e-05 -37 *1619:25 *3970:40 0.000406969 -38 *1619:25 *4035:60 0.000782651 -39 *1619:25 *4153:62 1.10422e-05 -40 *1619:25 *5457:21 0.000403732 -41 *1619:25 *5646:38 8.34418e-05 -42 *1619:25 *5646:63 2.06112e-05 -43 *1619:25 *5706:196 1.36825e-05 -44 *1619:25 *5738:100 0.00017965 -45 *1619:25 *5738:115 0.000711778 -46 *25301:A2 *1619:10 0.000154939 -47 *1362:174 *1619:10 0.00145321 -48 *1449:138 *1619:10 0.000346278 -49 *1547:51 *1619:25 0.000177023 -*RES -1 *25301:X *1619:10 45.1475 -2 *1619:10 *1619:25 41.6331 -3 *1619:25 *25302:C1 9.3 -*END - -*D_NET *1620 0.0056558 -*CONN -*I *25303:D I *D sky130_fd_sc_hd__or4_1 -*I *25302:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25303:D 0 -2 *25302:X 0.000790515 -3 *1620:10 0.000790515 -4 *1620:10 *2883:53 9.55638e-05 -5 *1620:10 *5500:65 0.0019909 -6 *1294:95 *1620:10 0.0019883 -*RES -1 *25302:X *1620:10 45.4786 -2 *1620:10 *25303:D 9.3 -*END - -*D_NET *1621 0.00255173 -*CONN -*I *25313:A I *D sky130_fd_sc_hd__or2_1 -*I *25303:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *25313:A 0.000803211 -2 *25303:X 0.000803211 -3 *25313:A *25313:B 9.41642e-05 -4 *25313:A *25694:A2 6.17864e-05 -5 *25313:A *1631:7 9.41642e-05 -6 *25313:A *1844:26 0.000669609 -7 *25074:B *25313:A 2.55806e-05 -*RES -1 *25303:X *25313:A 29.7429 -*END - -*D_NET *1622 0.0183167 -*CONN -*I *25305:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25304:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25305:C1 0.00203097 -2 *25304:X 0.000469351 -3 *1622:17 0.00334343 -4 *1622:13 0.0017818 -5 *25305:C1 *27880:A1 0.000260574 -6 *25305:C1 *27880:A2 3.54964e-05 -7 *25305:C1 *3699:40 5.41797e-06 -8 *25305:C1 *3814:69 0.00208699 -9 *25305:C1 *5491:59 0.000109274 -10 *25305:C1 *5706:188 2.40107e-05 -11 *1622:13 *25800:A1 0.00068226 -12 *1622:13 *27524:B2 1.54153e-06 -13 *1622:13 *3570:43 0.000113252 -14 *1622:13 *3867:24 0.000373077 -15 *1622:17 *25932:A0 5.33005e-05 -16 *1622:17 *25932:A1 0.000507686 -17 *1622:17 *27519:A1 3.52121e-05 -18 *1622:17 *27519:A2 0.000384814 -19 *1622:17 *27519:B1 5.33005e-05 -20 *1622:17 *27520:C1 5.74499e-06 -21 *1622:17 *27524:A2 0.00205292 -22 *1622:17 *27524:B1 5.64578e-05 -23 *1622:17 *1897:22 2.59355e-05 -24 *1622:17 *1897:31 6.57032e-05 -25 *1622:17 *2856:97 8.43535e-06 -26 *1622:17 *3570:43 0.00316661 -27 *1622:17 *3867:24 4.89698e-05 -28 *1622:17 *3867:37 0.000137506 -29 *1622:17 *5706:188 0.000126064 -30 *6592:DIODE *1622:13 1.85443e-05 -31 *1239:14 *25305:C1 0.000120506 -32 *1456:82 *1622:13 1.24368e-05 -33 *1476:75 *1622:13 8.43535e-06 -34 *1476:77 *1622:13 0.000110706 -*RES -1 *25304:X *1622:13 21.1036 -2 *1622:13 *1622:17 39.5714 -3 *1622:17 *25305:C1 45.1214 -*END - -*D_NET *1623 0.00092943 -*CONN -*I *25312:A I *D sky130_fd_sc_hd__or4_1 -*I *25305:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25312:A 0.000292464 -2 *25305:X 0.000292464 -3 *25312:A *6397:DIODE 0 -4 *25312:A *25312:C 5.33005e-05 -5 *25312:A *25312:D 3.97478e-05 -6 *25312:A *3919:82 0.000198153 -7 *25312:A *3963:129 5.33005e-05 -*RES -1 *25305:X *25312:A 23.6 -*END - -*D_NET *1624 0.0731586 -*CONN -*I *6602:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25307:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25306:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *6602:DIODE 0 -2 *25307:C1 0.000647784 -3 *25306:X 0.00136508 -4 *1624:85 0.00179228 -5 *1624:84 0.00186015 -6 *1624:76 0.00350853 -7 *1624:55 0.00385748 -8 *1624:43 0.00325014 -9 *1624:35 0.00775825 -10 *1624:33 0.00779139 -11 *1624:26 0.00311353 -12 *1624:16 0.00225993 -13 *25307:C1 *27516:A2 6.42122e-06 -14 *25307:C1 *1887:22 4.41623e-05 -15 *25307:C1 *2882:114 2.21972e-05 -16 *25307:C1 *3081:8 2.06112e-05 -17 *25307:C1 *3909:31 0.000147561 -18 *25307:C1 *4152:50 0.000143979 -19 *25307:C1 *4152:51 1.90936e-05 -20 *25307:C1 *5467:14 6.7281e-05 -21 *25307:C1 *5493:40 1.90936e-05 -22 *25307:C1 *5661:117 2.63501e-05 -23 *25307:C1 *5744:30 6.87811e-05 -24 *1624:16 *27108:B 5.41797e-06 -25 *1624:16 *27226:B1 5.22638e-05 -26 *1624:16 *27230:A 6.24939e-05 -27 *1624:16 *2797:19 2.14302e-05 -28 *1624:16 *2809:24 4.77979e-05 -29 *1624:16 *5129:18 0.000236796 -30 *1624:16 *5538:28 1.76649e-05 -31 *1624:16 *5609:65 7.69776e-06 -32 *1624:26 *27108:B 0.00014239 -33 *1624:26 *27440:A0 0.000174832 -34 *1624:26 *30522:A 0 -35 *1624:26 *2787:17 0.000124987 -36 *1624:26 *3825:14 4.46186e-06 -37 *1624:26 *5129:30 0.000562716 -38 *1624:26 *5536:11 5.16445e-05 -39 *1624:26 *5602:21 0.000124429 -40 *1624:26 *5667:244 0.0007216 -41 *1624:26 *5675:187 0.000204016 -42 *1624:33 *27261:B 0.000178874 -43 *1624:33 *28632:RESET_B 0.00015733 -44 *1624:33 *29607:A 7.47337e-05 -45 *1624:33 *30453:A 8.81471e-06 -46 *1624:33 *2827:16 0.00144526 -47 *1624:33 *3575:83 0.000222666 -48 *1624:33 *5751:148 2.60984e-05 -49 *1624:33 *6302:8 0.000138881 -50 *1624:35 *28627:D 0.000174326 -51 *1624:35 *28767:CLK 0.000116049 -52 *1624:35 *29235:A 1.94146e-05 -53 *1624:35 *3575:83 0.000328532 -54 *1624:35 *3820:25 0.00345321 -55 *1624:35 *5039:11 0.000936504 -56 *1624:35 *6317:70 0.00192088 -57 *1624:43 *6607:DIODE 7.16452e-05 -58 *1624:43 *25120:A 4.87854e-05 -59 *1624:43 *25404:A1 0.000219711 -60 *1624:43 *25404:B2 7.70134e-06 -61 *1624:43 *25405:D 0.000111143 -62 *1624:43 *28382:CLK 0.000170473 -63 *1624:43 *28382:SET_B 9.04793e-05 -64 *1624:43 *3648:91 0.000254712 -65 *1624:43 *3999:8 8.13895e-06 -66 *1624:43 *5040:7 5.49544e-05 -67 *1624:43 *5354:17 0.000258673 -68 *1624:55 *27928:B2 0.000288587 -69 *1624:55 *27928:C1 0.000323392 -70 *1624:55 *29394:A 2.59355e-05 -71 *1624:55 *1725:8 9.25014e-06 -72 *1624:55 *3663:56 0 -73 *1624:55 *4088:79 9.71197e-05 -74 *1624:55 *4381:19 1.86129e-05 -75 *1624:55 *5500:41 0.000392803 -76 *1624:55 *5666:231 0.000388154 -77 *1624:76 *25294:A1 0.00012026 -78 *1624:76 *25390:B2 0.000148693 -79 *1624:76 *27867:B1 0.000116831 -80 *1624:76 *1713:26 0.00181174 -81 *1624:76 *3176:110 0 -82 *1624:76 *3205:72 0.000756274 -83 *1624:76 *3482:37 0.000873085 -84 *1624:76 *3506:19 0.000456356 -85 *1624:76 *3556:20 0.00180454 -86 *1624:76 *3661:36 0.000173258 -87 *1624:76 *3663:56 0.000225618 -88 *1624:76 *3778:44 6.90533e-05 -89 *1624:76 *3843:51 5.21897e-05 -90 *1624:76 *3892:75 4.39952e-05 -91 *1624:76 *5691:93 0.000474335 -92 *1624:76 *5718:88 2.22043e-05 -93 *1624:76 *5718:95 0.000949994 -94 *1624:76 *5718:106 0.000160772 -95 *1624:84 *27905:C1 0 -96 *1624:84 *3153:80 9.42236e-05 -97 *1624:84 *3174:83 0.000109549 -98 *1624:84 *3841:25 0 -99 *1624:84 *4035:60 0.000230466 -100 *1624:85 *28871:A 0.00196511 -101 *1624:85 *3168:66 0.000581031 -102 *1624:85 *3194:108 0.00362288 -103 *1624:85 *5744:30 4.85033e-05 -104 *24974:C1 *1624:16 0.000247481 -105 *24985:A2 *1624:16 2.04825e-05 -106 *24985:A2 *1624:26 0.000135655 -107 *25006:A2 *1624:16 0.000342273 -108 *25006:B1 *1624:16 0.00031763 -109 *25015:A2 *1624:26 8.98352e-05 -110 *25018:A2 *1624:16 0.000115005 -111 *25018:C1 *1624:16 1.02277e-05 -112 *25063:B1 *1624:16 9.76892e-05 -113 *25180:B1 *1624:55 0.000240683 -114 *25294:A2 *1624:55 2.20282e-05 -115 *25294:A2 *1624:76 1.43563e-05 -116 *25307:A2 *25307:C1 5.42547e-05 -117 *25307:B1 *25307:C1 5.33005e-05 -118 *25398:A2 *1624:55 0.000229887 -119 *25404:B1 *1624:43 5.33005e-05 -120 *25753:A *1624:55 9.90367e-05 -121 *29269:A *1624:43 0.000101895 -122 *30314:A *1624:55 0.000234257 -123 *30523:A *1624:33 8.11174e-05 -124 *30670:A *1624:16 1.15058e-05 -125 *459:10 *1624:55 9.37282e-05 -126 *1102:18 *1624:16 9.80173e-05 -127 *1140:10 *1624:55 9.42015e-05 -128 *1254:67 *1624:16 0.00020256 -129 *1278:41 *1624:26 1.14483e-05 -130 *1278:41 *1624:33 1.56051e-05 -131 *1327:98 *1624:43 1.82657e-05 -132 *1363:85 *1624:76 0.00147498 -133 *1396:83 *25307:C1 2.25101e-05 -134 *1401:37 *1624:55 0.00017174 -135 *1441:41 *1624:55 0.000125653 -136 *1441:48 *1624:55 0.000283194 -137 *1481:18 *1624:55 7.58653e-05 -138 *1600:19 *1624:55 0.000593395 -*RES -1 *25306:X *1624:16 38.8268 -2 *1624:16 *1624:26 25.5845 -3 *1624:26 *1624:33 30.2679 -4 *1624:33 *1624:35 74.875 -5 *1624:35 *1624:43 40.9107 -6 *1624:43 *1624:55 47.2321 -7 *1624:55 *1624:76 47.7186 -8 *1624:76 *1624:84 15.4209 -9 *1624:84 *1624:85 35.8571 -10 *1624:85 *25307:C1 28.8408 -11 *1624:85 *6602:DIODE 9.3 -*END - -*D_NET *1625 0.0168774 -*CONN -*I *25312:B I *D sky130_fd_sc_hd__or4_1 -*I *25307:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25312:B 0.000290882 -2 *25307:X 0 -3 *1625:5 0.00477181 -4 *1625:4 0.00448092 -5 *25312:B *25312:D 0.000628765 -6 *25312:B *1627:12 7.44888e-05 -7 *25312:B *1648:24 1.58533e-05 -8 *1625:5 *3194:108 0.00587657 -9 *1411:62 *25312:B 0.000738155 -*RES -1 *25307:X *1625:4 9.3 -2 *1625:4 *1625:5 58.0357 -3 *1625:5 *25312:B 28.1393 -*END - -*D_NET *1626 0.0167631 -*CONN -*I *25309:C1 I *D sky130_fd_sc_hd__a221o_2 -*I *25308:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25309:C1 0 -2 *25308:X 0.00203304 -3 *1626:27 0.00173532 -4 *1626:8 0.00376837 -5 *1626:8 *1700:6 6.40065e-05 -6 *1626:8 *5605:151 0.0019537 -7 *1626:8 *5605:170 0.00016641 -8 *1626:27 *1627:10 5.33005e-05 -9 *1626:27 *1834:64 1.09026e-05 -10 *1626:27 *2871:354 8.83449e-05 -11 *1626:27 *2877:299 0.000213669 -12 *1626:27 *3305:8 0.000146474 -13 *1626:27 *3576:57 0.00054559 -14 *1626:27 *3576:63 0.00030267 -15 *1626:27 *3859:29 0.00120059 -16 *1626:27 *4088:39 4.07672e-05 -17 *1626:27 *4088:54 1.93512e-05 -18 *1626:27 *5644:237 8.92991e-05 -19 *1626:27 *5688:97 0.000506409 -20 *1626:27 *5836:284 1.46617e-05 -21 *25251:D *1626:27 0.000497163 -22 *25309:A2 *1626:27 0.00061018 -23 *1267:65 *1626:27 0.000468633 -24 *1271:174 *1626:8 4.15592e-05 -25 *1271:174 *1626:27 2.7399e-05 -26 *1271:191 *1626:8 0.000908695 -27 *1274:221 *1626:27 4.18505e-05 -28 *1279:109 *1626:8 0.00114392 -29 *1464:56 *1626:27 3.06878e-06 -30 *1562:45 *1626:8 6.77303e-05 -*RES -1 *25308:X *1626:8 49.7196 -2 *1626:8 *1626:27 42.587 -3 *1626:27 *25309:C1 9.3 -*END - -*D_NET *1627 0.0322576 -*CONN -*I *25312:C I *D sky130_fd_sc_hd__or4_1 -*I *25309:X O *D sky130_fd_sc_hd__a221o_2 -*CAP -1 *25312:C 2.61595e-05 -2 *25309:X 0.000558402 -3 *1627:12 0.00407078 -4 *1627:10 0.00460303 -5 *25312:C *25312:D 1.32056e-05 -6 *1627:10 *26847:B 1.28411e-05 -7 *1627:10 *26848:S 0.000702227 -8 *1627:10 *3771:28 0.00136 -9 *1627:10 *4022:47 0.000191925 -10 *1627:10 *4199:12 3.9513e-05 -11 *1627:10 *5891:18 0.000127059 -12 *1627:12 *25163:B2 0.000116971 -13 *1627:12 *25331:C1 0 -14 *1627:12 *25376:B1 0.000332431 -15 *1627:12 *1631:8 0.00354202 -16 *1627:12 *1648:24 0.00201715 -17 *1627:12 *1719:12 0.000292315 -18 *1627:12 *3771:28 0.000420314 -19 *1627:12 *3888:12 4.06087e-07 -20 *1627:12 *4199:12 0.00358833 -21 *1627:12 *5600:37 6.09762e-05 -22 *1627:12 *5621:17 0.000240477 -23 *1627:12 *5860:26 0.000258503 -24 *1627:12 *5891:28 2.06112e-05 -25 *25167:B *1627:12 0.000141725 -26 *25195:B1 *1627:12 0.000158621 -27 *25256:A *1627:12 0.000561489 -28 *25312:A *25312:C 5.33005e-05 -29 *25312:B *1627:12 7.44888e-05 -30 *1239:90 *1627:12 0.000132129 -31 *1242:37 *1627:12 0.000367461 -32 *1242:41 *1627:12 0.00127224 -33 *1242:61 *1627:12 0.000152955 -34 *1252:37 *1627:12 0.000121573 -35 *1269:40 *1627:12 1.55479e-05 -36 *1269:86 *1627:10 0.000276554 -37 *1411:60 *1627:12 0.00134816 -38 *1411:62 *1627:12 0.000199634 -39 *1415:27 *1627:10 2.29998e-05 -40 *1415:38 *1627:12 0.00290083 -41 *1429:18 *1627:12 0.000677492 -42 *1448:127 *1627:12 0.000733385 -43 *1473:14 *1627:12 0.000378992 -44 *1511:18 *1627:12 1.90936e-05 -45 *1626:27 *1627:10 5.33005e-05 -*RES -1 *25309:X *1627:10 32.7554 -2 *1627:10 *1627:12 137.188 -3 *1627:12 *25312:C 14.3357 -*END - -*D_NET *1628 0.000593856 -*CONN -*I *25311:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25310:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25311:C1 0.000109311 -2 *25310:X 0.000109311 -3 *25311:C1 *4088:65 0.000140971 -4 *1427:71 *25311:C1 4.58194e-05 -5 *1452:13 *25311:C1 0.000142625 -6 *1587:36 *25311:C1 4.58194e-05 -*RES -1 *25310:X *25311:C1 30.4929 -*END - -*D_NET *1629 0.0149924 -*CONN -*I *25312:D I *D sky130_fd_sc_hd__or4_1 -*I *25311:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25312:D 0.000991071 -2 *25311:X 0.000113109 -3 *1629:14 0.00279829 -4 *1629:7 0.00192033 -5 *25312:D *25408:A1 0.000711678 -6 *25312:D *1648:24 0.00119055 -7 *25312:D *3845:59 0.000108872 -8 *25312:D *3881:10 0.000140142 -9 *25312:D *3881:30 7.57946e-05 -10 *25312:D *4022:94 1.37595e-05 -11 *25312:D *4088:56 6.38445e-05 -12 *1629:7 *25311:A1 2.06778e-05 -13 *1629:14 *25161:B2 8.69449e-05 -14 *1629:14 *25396:C1 7.55769e-05 -15 *1629:14 *25413:B 0.000994688 -16 *1629:14 *27858:A1 0.000363408 -17 *1629:14 *27906:A1 5.88172e-05 -18 *1629:14 *1899:13 6.30931e-05 -19 *1629:14 *2856:48 2.09826e-05 -20 *1629:14 *2872:41 0.000144348 -21 *1629:14 *2875:63 8.96276e-05 -22 *1629:14 *3185:268 1.90936e-05 -23 *1629:14 *3214:48 0.000703036 -24 *1629:14 *3425:27 0.000122941 -25 *1629:14 *3884:112 0.000153032 -26 *1629:14 *4001:27 0.000341582 -27 *1629:14 *4022:94 0.000227013 -28 *1629:14 *4088:56 0.000164341 -29 *1629:14 *5624:28 0.00118447 -30 *25312:A *25312:D 3.97478e-05 -31 *25312:B *25312:D 0.000628765 -32 *25312:C *25312:D 1.32056e-05 -33 *1250:187 *1629:7 7.37323e-05 -34 *1281:64 *25312:D 8.51194e-05 -35 *1327:98 *1629:14 0.000104657 -36 *1400:54 *25312:D 0.000686402 -37 *1411:62 *25312:D 0.000100297 -38 *1481:78 *1629:14 0.000299349 -*RES -1 *25311:X *1629:7 15.1571 -2 *1629:7 *1629:14 46.8571 -3 *1629:14 *25312:D 43.1214 -*END - -*D_NET *1630 0.00225915 -*CONN -*I *25313:B I *D sky130_fd_sc_hd__or2_1 -*I *25312:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *25313:B 0.000364775 -2 *25312:X 0.000364775 -3 *25313:B *25694:A2 0.000216755 -4 *25313:B *1631:7 3.97677e-05 -5 *25313:A *25313:B 9.41642e-05 -6 *25323:B1 *25313:B 6.53397e-05 -7 *1248:81 *25313:B 0.000384008 -8 *1281:64 *25313:B 8.67147e-05 -9 *1471:140 *25313:B 0.000642848 -*RES -1 *25312:X *25313:B 38.4036 -*END - -*D_NET *1631 0.0201382 -*CONN -*I *25347:B I *D sky130_fd_sc_hd__or3_4 -*I *25313:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *25347:B 0.00032376 -2 *25313:X 0.000103221 -3 *1631:16 0.00159267 -4 *1631:13 0.00133999 -5 *1631:8 0.00140432 -6 *1631:7 0.00143646 -7 *25347:B *1665:20 0.000555423 -8 *1631:13 *3184:124 0.000262498 -9 *1631:13 *5707:29 0.000260574 -10 *1631:16 *24901:B 0.000229547 -11 *1631:16 *24942:A 0.000142044 -12 *1631:16 *24954:A 0.000148911 -13 *1631:16 *1781:16 0.000293445 -14 *1631:16 *3888:12 0.000311298 -15 *1631:16 *4022:75 0.000224121 -16 *1631:16 *5216:12 0.000178735 -17 *1631:16 *5216:29 0.000121423 -18 *1631:16 *5832:8 0.00124756 -19 *1631:16 *5832:25 0.000218041 -20 *25108:D *1631:16 0.000701826 -21 *25117:A2 *1631:16 0.000347738 -22 *25313:A *1631:7 9.41642e-05 -23 *25313:B *1631:7 3.97677e-05 -24 *1185:102 *1631:16 0.000122032 -25 *1248:120 *1631:16 7.85244e-05 -26 *1269:40 *1631:16 7.92343e-06 -27 *1282:158 *1631:8 0.000271935 -28 *1411:62 *1631:8 0.0035378 -29 *1415:38 *1631:16 2.16719e-05 -30 *1420:40 *1631:16 0.000438098 -31 *1451:6 *1631:16 1.4663e-05 -32 *1451:26 *1631:16 0.000526044 -33 *1627:12 *1631:8 0.00354202 -*RES -1 *25313:X *1631:7 15.5679 -2 *1631:7 *1631:8 46.875 -3 *1631:8 *1631:13 11.5893 -4 *1631:13 *1631:16 45 -5 *1631:16 *25347:B 14.7643 -*END - -*D_NET *1632 0.00305144 -*CONN -*I *25315:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25314:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25315:C1 0.000953907 -2 *25314:X 0.000953907 -3 *25315:C1 *25314:B2 0.000714567 -4 *25315:C1 *2856:364 0.000160277 -5 *25315:C1 *2888:258 2.84109e-05 -6 *25315:C1 *4080:29 4.80278e-05 -7 *25315:C1 *4080:41 1.24368e-05 -8 *25315:C1 *5582:113 3.8423e-05 -9 *25315:C1 *5708:34 9.82379e-05 -10 *25315:C1 *5795:70 4.3244e-05 -*RES -1 *25314:X *25315:C1 41.1357 -*END - -*D_NET *1633 0.0295651 -*CONN -*I *25316:B1 I *D sky130_fd_sc_hd__a211o_1 -*I *6603:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25315:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25316:B1 2.04856e-05 -2 *6603:DIODE 8.57299e-05 -3 *25315:X 0.000326261 -4 *1633:49 0.000650301 -5 *1633:45 0.00430859 -6 *1633:29 0.00492713 -7 *1633:16 0.00223652 -8 *1633:13 0.00140016 -9 *6603:DIODE *25316:A1 2.36643e-05 -10 *6603:DIODE *5617:92 2.84109e-05 -11 *25316:B1 *25316:A1 5.38444e-06 -12 *1633:13 *25315:A1 5.33005e-05 -13 *1633:13 *2784:112 0.000466547 -14 *1633:13 *4119:13 0.000347644 -15 *1633:13 *5590:121 0.000464893 -16 *1633:13 *5638:136 0.000347644 -17 *1633:16 *27122:A1 2.2504e-05 -18 *1633:16 *28574:RESET_B 0.000307274 -19 *1633:16 *28635:SET_B 7.00629e-05 -20 *1633:16 *28755:RESET_B 0.000102784 -21 *1633:16 *3162:8 0.000495414 -22 *1633:16 *3162:18 0.000185779 -23 *1633:16 *4080:41 2.03615e-05 -24 *1633:16 *5708:46 0.000188513 -25 *1633:16 *5708:54 0.000838364 -26 *1633:16 *5879:66 3.98524e-05 -27 *1633:29 *1660:31 0.00046533 -28 *1633:29 *2845:300 0.000298739 -29 *1633:29 *2883:250 0.00011389 -30 *1633:29 *2891:200 2.11419e-05 -31 *1633:29 *3552:22 0.000177815 -32 *1633:29 *3797:101 0.00101221 -33 *1633:29 *4080:41 0.00063731 -34 *1633:29 *4080:44 0.000461037 -35 *1633:29 *5708:54 2.30116e-06 -36 *1633:29 *5708:56 7.57798e-05 -37 *1633:29 *5795:78 1.79205e-05 -38 *1633:29 *5795:82 0.00115754 -39 *1633:45 *25340:A1 0.000142939 -40 *1633:45 *1660:31 3.35973e-05 -41 *1633:45 *2774:110 0.000685695 -42 *1633:45 *2855:183 0.000105594 -43 *1633:45 *2891:200 0.000110498 -44 *1633:45 *4044:14 0.000114258 -45 *1633:45 *4132:38 0.00017871 -46 *1633:45 *5609:189 0.000686946 -47 *1633:45 *5627:90 0.000113781 -48 *1633:45 *5708:80 0.000318174 -49 *1633:45 *5764:51 9.60875e-05 -50 *1633:49 *5617:92 0.000237312 -51 *25251:C *1633:45 0.00170644 -52 *25251:C *1633:49 9.66977e-05 -53 *25257:C *1633:45 0.000135028 -54 *29672:A *1633:16 0.000118971 -55 *1235:124 *6603:DIODE 0.000181777 -56 *1235:124 *1633:49 0.000596304 -57 *1267:65 *1633:29 2.35126e-05 -58 *1272:47 *1633:45 0 -59 *1275:225 *1633:45 8.94491e-05 -60 *1287:184 *1633:45 0 -61 *1326:122 *1633:49 0.000113043 -62 *1361:30 *1633:29 9.95912e-06 -63 *1361:30 *1633:45 0.000123605 -64 *1361:45 *1633:16 0.000914542 -65 *1464:135 *6603:DIODE 0.000227636 -*RES -1 *25315:X *1633:13 32.6571 -2 *1633:13 *1633:16 26.4196 -3 *1633:16 *1633:29 48.278 -4 *1633:29 *1633:45 44.8917 -5 *1633:45 *1633:49 11.2321 -6 *1633:49 *6603:DIODE 12.7107 -7 *1633:49 *25316:B1 9.72857 -*END - -*D_NET *1634 0.00544546 -*CONN -*I *25346:A I *D sky130_fd_sc_hd__or4_1 -*I *25316:X O *D sky130_fd_sc_hd__a211o_1 -*CAP -1 *25346:A 0.000410728 -2 *25316:X 0.00102481 -3 *1634:16 0.00143553 -4 *25346:A *25163:A1 6.12933e-05 -5 *25346:A *25346:C 9.54798e-06 -6 *25346:A *25346:D 0.000140856 -7 *25346:A *1693:18 0.000674249 -8 *25346:A *5634:150 7.8327e-05 -9 *1634:16 *25163:A1 1.80201e-05 -10 *1634:16 *25196:B2 0 -11 *1634:16 *1693:14 6.52971e-06 -12 *1634:16 *1693:18 0 -13 *1634:16 *2874:278 1.08936e-05 -14 *1634:16 *4070:50 0 -15 *1634:16 *4122:43 0 -16 *1634:16 *4128:52 0.000175549 -17 *1634:16 *5656:137 0.000820088 -18 *25344:B1 *25346:A 0 -19 *1276:76 *1634:16 0 -20 *1288:48 *1634:16 1.90936e-05 -21 *1449:44 *1634:16 0.000458503 -22 *1483:68 *25346:A 2.70189e-05 -23 *1494:22 *25346:A 0 -24 *1507:15 *25346:A 7.44262e-05 -*RES -1 *25316:X *1634:16 42.1036 -2 *1634:16 *25346:A 24.5143 -*END - -*D_NET *1635 0.00841983 -*CONN -*I *25318:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25317:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25318:C1 0.000187259 -2 *25317:X 0.00241831 -3 *1635:14 0.00260556 -4 *25318:C1 *4041:19 0.00012783 -5 *1635:14 *25317:A1 0.00174458 -6 *1635:14 *27683:A1 2.01997e-05 -7 *1635:14 *28752:CLK 5.34121e-05 -8 *1635:14 *2877:221 0.000383167 -9 *1635:14 *3756:18 0.00015831 -10 *1635:14 *3847:87 0.000157596 -11 *1635:14 *5629:166 8.84829e-05 -12 *1635:14 *5652:12 9.03127e-05 -13 *1242:104 *25318:C1 0.000384819 -*RES -1 *25317:X *1635:14 49.6358 -2 *1635:14 *25318:C1 18.0321 -*END - -*D_NET *1636 0.000985985 -*CONN -*I *25325:A I *D sky130_fd_sc_hd__or4_1 -*I *25318:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25325:A 0.000269756 -2 *25318:X 0.000269756 -3 *25325:A *25318:A1 5.74499e-06 -4 *25325:A *1643:14 7.56452e-06 -5 *25325:A *2877:221 9.00271e-06 -6 *25325:A *2958:34 5.44577e-05 -7 *25325:A *4107:40 0.000121418 -8 *1261:41 *25325:A 1.90936e-05 -9 *1269:231 *25325:A 0.000135028 -10 *1285:37 *25325:A 9.41642e-05 -*RES -1 *25318:X *25325:A 31.9884 -*END - -*D_NET *1637 0.00162936 -*CONN -*I *25320:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25319:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25320:C1 0.000434156 -2 *25319:X 0.000434156 -3 *25320:C1 *25014:B1 3.99614e-06 -4 *25320:C1 *25320:A1 0 -5 *25320:C1 *26975:A1 0.00022266 -6 *25320:C1 *1638:18 5.38242e-05 -7 *25320:C1 *3690:17 0.000347181 -8 *25320:C1 *3690:23 0.000133382 -9 *25320:C1 *3690:46 0 -*RES -1 *25319:X *25320:C1 26.6893 -*END - -*D_NET *1638 0.0233342 -*CONN -*I *25325:B I *D sky130_fd_sc_hd__or4_1 -*I *25320:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25325:B 0 -2 *25320:X 0.00177314 -3 *1638:36 0.00420072 -4 *1638:18 0.00597386 -5 *1638:18 *25320:A1 0.000139913 -6 *1638:18 *25320:B2 6.26774e-06 -7 *1638:18 *26975:A1 5.74499e-06 -8 *1638:18 *27465:B2 0.000966877 -9 *1638:18 *3164:281 1.54493e-05 -10 *1638:18 *3386:22 2.94059e-05 -11 *1638:18 *3690:17 0.000450825 -12 *1638:18 *3690:23 0.000142374 -13 *1638:18 *5586:165 1.21258e-05 -14 *1638:36 *6608:DIODE 5.4076e-05 -15 *1638:36 *25325:C 2.22043e-05 -16 *1638:36 *26880:S 4.11218e-05 -17 *1638:36 *27197:A0 0.000122602 -18 *1638:36 *27465:A1 4.88232e-05 -19 *1638:36 *27467:A2 0.00144394 -20 *1638:36 *27467:B1 0.000301438 -21 *1638:36 *1826:117 0.00034185 -22 *1638:36 *2774:162 0.000456518 -23 *1638:36 *2786:89 4.28365e-05 -24 *1638:36 *2848:233 0.000997351 -25 *1638:36 *3760:10 6.7848e-05 -26 *1638:36 *4073:39 0.000127359 -27 *1638:36 *4107:40 2.06178e-05 -28 *1638:36 *4125:42 4.47e-05 -29 *1638:36 *4146:27 1.20475e-05 -30 *1638:36 *5631:36 6.57603e-05 -31 *1638:36 *5708:179 0.000186457 -32 *1638:36 *5764:156 2.63501e-05 -33 *25319:A2 *1638:18 0.000853991 -34 *25320:C1 *1638:18 5.38242e-05 -35 *1261:41 *1638:36 0.000344615 -36 *1261:53 *1638:36 0.0023587 -37 *1269:238 *1638:36 0.00158247 -*RES -1 *25320:X *1638:18 48.0761 -2 *1638:18 *1638:36 45.3413 -3 *1638:36 *25325:B 9.3 -*END - -*D_NET *1639 0.0274647 -*CONN -*I *25322:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25321:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25322:C1 0 -2 *25321:X 0.00108231 -3 *1639:35 0.00119324 -4 *1639:28 0.00204816 -5 *1639:25 0.0020259 -6 *1639:14 0.00225331 -7 *1639:14 *25321:A1 5.71472e-05 -8 *1639:14 *27695:A2 0.000415956 -9 *1639:14 *2777:71 0.000128532 -10 *1639:14 *3782:75 0.000370806 -11 *1639:14 *3796:62 0.0012521 -12 *1639:14 *3860:38 0.00100192 -13 *1639:14 *3873:73 0.00110889 -14 *1639:25 *27710:B2 1.09611e-05 -15 *1639:25 *27716:B1 0.000427955 -16 *1639:25 *2777:71 0.00059125 -17 *1639:25 *2777:94 0.000606888 -18 *1639:25 *2875:207 2.63501e-05 -19 *1639:25 *3782:75 0.000885222 -20 *1639:25 *3796:62 0.000126045 -21 *1639:25 *3796:68 0.00170313 -22 *1639:25 *3873:90 0.000135994 -23 *1639:25 *5700:98 9.77143e-06 -24 *1639:28 *27366:C1 0.000120267 -25 *1639:28 *2852:28 0.00111386 -26 *1639:28 *2875:207 0.000260812 -27 *1639:28 *3796:68 0.0015635 -28 *1639:28 *3796:70 0.000141103 -29 *1639:28 *3873:90 0.00070466 -30 *1639:28 *5609:144 1.4396e-05 -31 *1639:35 *25322:B2 6.05161e-06 -32 *1639:35 *27366:A2 2.06112e-05 -33 *1639:35 *2844:246 0.000120865 -34 *1639:35 *2852:28 0.000501957 -35 *1639:35 *2875:232 5.23533e-05 -36 *1639:35 *3730:42 0.000331947 -37 *1639:35 *3796:70 0.00309987 -38 *1639:35 *5609:144 4.40834e-05 -39 *1639:35 *5609:152 0.00088284 -40 *1639:35 *5609:165 0.000117717 -41 *1639:35 *5639:124 0.000854111 -42 *1277:176 *1639:35 2.1842e-05 -43 *1285:37 *1639:35 2.99773e-05 -*RES -1 *25321:X *1639:14 46.1661 -2 *1639:14 *1639:25 38.9426 -3 *1639:25 *1639:28 26.7679 -4 *1639:28 *1639:35 46.4196 -5 *1639:35 *25322:C1 9.3 -*END - -*D_NET *1640 0.000892978 -*CONN -*I *25325:C I *D sky130_fd_sc_hd__or4_1 -*I *25322:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25325:C 0.00016884 -2 *25322:X 0.00016884 -3 *25325:C *25322:A2 2.84109e-05 -4 *25325:C *2958:34 0.000309238 -5 *25325:C *4107:40 7.74678e-05 -6 *25325:C *5764:156 0.000117977 -7 *1638:36 *25325:C 2.22043e-05 -*RES -1 *25322:X *25325:C 32.2071 -*END - -*D_NET *1641 0.00467781 -*CONN -*I *25324:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25323:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25324:C1 0.00111529 -2 *25323:X 0.00111529 -3 *25324:C1 *25323:A1 0.000747983 -4 *25324:C1 *25324:B2 0.0004408 -5 *25324:C1 *27669:C 8.80543e-05 -6 *25324:C1 *3220:51 0.00070135 -7 *25324:C1 *3220:57 3.41332e-05 -8 *25324:C1 *3221:15 4.55338e-05 -9 *25324:C1 *5600:86 0.000129235 -10 *25324:B1 *25324:C1 0.000260152 -*RES -1 *25323:X *25324:C1 40.9393 -*END - -*D_NET *1642 0.0262521 -*CONN -*I *25325:D I *D sky130_fd_sc_hd__or4_1 -*I *25324:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25325:D 0.00083657 -2 *25324:X 0.000807244 -3 *1642:37 0.00204308 -4 *1642:20 0.00314274 -5 *1642:14 0.00274347 -6 *25325:D *2958:34 0.000380546 -7 *25325:D *2958:38 7.47995e-05 -8 *25325:D *3730:42 9.76767e-05 -9 *25325:D *5609:144 0.00015327 -10 *25325:D *5609:152 1.0751e-05 -11 *1642:14 *25133:A1 6.7174e-05 -12 *1642:14 *3221:15 9.60939e-05 -13 *1642:14 *3306:6 0 -14 *1642:14 *3783:110 8.90785e-05 -15 *1642:14 *3992:28 0.000785205 -16 *1642:14 *5638:68 0.000219769 -17 *1642:14 *5694:57 7.14469e-05 -18 *1642:20 *25183:A2 5.91872e-05 -19 *1642:20 *26965:A0 8.81622e-05 -20 *1642:20 *26965:S 6.61248e-05 -21 *1642:20 *27297:B2 0.000260983 -22 *1642:20 *1691:24 3.14539e-05 -23 *1642:20 *2775:187 0.000220594 -24 *1642:20 *3306:6 0.00123698 -25 *1642:20 *3673:10 0.000303613 -26 *1642:20 *3783:110 0.000217278 -27 *1642:20 *3907:45 7.80096e-05 -28 *1642:20 *4132:58 1.80952e-05 -29 *1642:20 *5583:112 0.000182237 -30 *1642:20 *5604:57 3.74019e-05 -31 *1642:20 *5638:110 0.000429925 -32 *1642:20 *5754:183 0.000149096 -33 *1642:20 *5754:189 5.2764e-05 -34 *1642:37 *27332:A 0.00012384 -35 *1642:37 *27683:B2 0.000167598 -36 *1642:37 *27694:C1 0.000533728 -37 *1642:37 *1650:16 0.000339346 -38 *1642:37 *2760:98 0.00128512 -39 *1642:37 *2852:40 4.58615e-05 -40 *1642:37 *2875:243 0.000521512 -41 *1642:37 *3664:48 2.01997e-05 -42 *1642:37 *3739:128 0.000112777 -43 *1642:37 *3820:91 0.00011069 -44 *1642:37 *5596:26 0 -45 *1642:37 *5667:40 0.000104697 -46 *1642:37 *5748:16 0.00051979 -47 *25133:A2 *1642:14 0.000249062 -48 *25224:B *1642:14 0.000105462 -49 *25224:B *1642:20 0.000449728 -50 *25318:A2 *25325:D 0.000105594 -51 *1225:33 *25325:D 0.000214154 -52 *1277:176 *25325:D 0.00171725 -53 *1285:26 *1642:37 0.00128678 -54 *1285:37 *25325:D 0.00218214 -55 *1416:89 *1642:20 0.000329922 -56 *1454:10 *1642:20 0.000191167 -57 *1539:22 *1642:14 0.00025061 -58 *1596:16 *1642:14 0.000234236 -*RES -1 *25324:X *1642:14 33.9786 -2 *1642:14 *1642:20 48.3571 -3 *1642:20 *1642:37 47.5291 -4 *1642:37 *25325:D 48.1475 -*END - -*D_NET *1643 0.0165476 -*CONN -*I *25346:B I *D sky130_fd_sc_hd__or4_1 -*I *25325:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *25346:B 0 -2 *25325:X 0.000980333 -3 *1643:15 0.00348618 -4 *1643:14 0.00446651 -5 *1643:14 *25322:B2 0.00180636 -6 *1643:14 *27683:A1 0.000135028 -7 *1643:14 *2877:221 0.00112203 -8 *1643:14 *3846:42 7.90803e-05 -9 *1643:14 *3992:54 0.000238769 -10 *1643:14 *4041:19 5.33005e-05 -11 *1643:14 *5748:16 1.41029e-05 -12 *1643:15 *3678:89 0.00355583 -13 *25325:A *1643:14 7.56452e-06 -14 *1507:15 *1643:15 0.000386918 -15 *1507:20 *1643:15 0.000215579 -*RES -1 *25325:X *1643:14 42.1571 -2 *1643:14 *1643:15 43.6607 -3 *1643:15 *25346:B 9.3 -*END - -*D_NET *1644 0.0215544 -*CONN -*I *25327:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25326:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25327:C1 0.000917675 -2 *25326:X 0.00103181 -3 *1644:36 0.00299232 -4 *1644:19 0.00310646 -5 *25327:C1 *3389:20 0.000113585 -6 *25327:C1 *5600:47 0.00195571 -7 *1644:19 *25390:B2 6.34436e-05 -8 *1644:19 *25947:A0 0.000513315 -9 *1644:19 *27847:B1 2.59355e-05 -10 *1644:19 *27847:B2 1.21258e-05 -11 *1644:19 *27850:C1 0.000175892 -12 *1644:19 *1713:26 4.00349e-05 -13 *1644:19 *1899:31 0.000749429 -14 *1644:19 *2875:63 0.000385089 -15 *1644:19 *3153:38 0.000756057 -16 *1644:19 *3170:51 1.55885e-05 -17 *1644:19 *3556:29 4.18834e-05 -18 *1644:19 *3778:77 6.53397e-05 -19 *1644:19 *3840:46 0.000178425 -20 *1644:19 *3970:40 1.149e-05 -21 *1644:19 *5685:39 4.27935e-05 -22 *1644:19 *5685:52 0.000161158 -23 *1644:36 *27905:C1 1.75318e-05 -24 *1644:36 *1844:16 1.94945e-05 -25 *1644:36 *2867:99 0.000619969 -26 *1644:36 *3220:44 6.19423e-05 -27 *1644:36 *3921:54 0.000116595 -28 *1644:36 *4186:20 0.000391598 -29 *1644:36 *5491:59 0.000284346 -30 *25179:A2 *25327:C1 4.10725e-06 -31 *25179:A2 *1644:36 5.7616e-05 -32 *25218:C1 *25327:C1 0.00011047 -33 *25218:C1 *1644:36 9.44566e-05 -34 *29376:A *1644:36 0.000124662 -35 *1239:14 *1644:36 0.000895453 -36 *1252:26 *1644:36 0.000298966 -37 *1252:37 *25327:C1 0.00207157 -38 *1252:37 *1644:36 1.53472e-05 -39 *1257:14 *25327:C1 5.74562e-05 -40 *1257:26 *1644:36 1.08716e-05 -41 *1257:46 *1644:36 0.00022486 -42 *1263:36 *1644:36 0 -43 *1273:81 *1644:36 0.00139044 -44 *1273:203 *1644:36 0.000612701 -45 *1294:95 *1644:36 4.38243e-05 -46 *1327:83 *1644:36 0.000293821 -47 *1427:104 *1644:36 0.000236939 -48 *1533:9 *1644:36 0.000143769 -*RES -1 *25326:X *1644:19 44.1064 -2 *1644:19 *1644:36 49.8174 -3 *1644:36 *25327:C1 43.1482 -*END - -*D_NET *1645 0.00435212 -*CONN -*I *25334:A I *D sky130_fd_sc_hd__or4_1 -*I *25327:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25334:A 0 -2 *25327:X 0.000725382 -3 *1645:16 0.000725382 -4 *1645:16 *25331:C1 1.25544e-05 -5 *1645:16 *25334:C 2.51512e-05 -6 *1645:16 *2856:176 0.00018684 -7 *1645:16 *2864:182 0.000635941 -8 *1645:16 *2869:5 0.000294099 -9 *1645:16 *3875:73 1.19387e-05 -10 *25117:A2 *1645:16 0.000492255 -11 *25331:A2 *1645:16 6.93944e-05 -12 *25331:B1 *1645:16 0.00020396 -13 *1242:41 *1645:16 0.000854313 -14 *1456:38 *1645:16 1.90936e-05 -15 *1457:117 *1645:16 9.58181e-05 -*RES -1 *25327:X *1645:16 48.4429 -2 *1645:16 *25334:A 9.3 -*END - -*D_NET *1646 0.00601533 -*CONN -*I *25329:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25328:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25329:C1 0.000234424 -2 *25328:X 0.00158842 -3 *1646:11 0.00182285 -4 *25329:C1 *5778:103 0.00017052 -5 *1646:11 *25113:A 5.57914e-05 -6 *1646:11 *5231:7 5.33005e-05 -7 *1646:11 *5231:21 0.000653998 -8 *1646:11 *5669:57 4.25809e-05 -9 *1646:11 *5808:36 0.000265408 -10 *1646:11 *5808:46 0.000380521 -11 *25204:B1 *1646:11 0 -12 *1435:14 *25329:C1 0.000128146 -13 *1483:10 *1646:11 0 -14 *1563:26 *25329:C1 0.000619373 -*RES -1 *25328:X *1646:11 46.8536 -2 *1646:11 *25329:C1 21.8179 -*END - -*D_NET *1647 0.0235135 -*CONN -*I *25334:B I *D sky130_fd_sc_hd__or4_1 -*I *25329:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25334:B 0.0012287 -2 *25329:X 0.000626797 -3 *1647:46 0.00255521 -4 *1647:29 0.00246728 -5 *1647:19 0.00176756 -6 *25334:B *2867:149 5.97623e-05 -7 *25334:B *2880:180 0.000792149 -8 *25334:B *3857:80 0.000217003 -9 *25334:B *3871:51 0.00165543 -10 *25334:B *5795:113 0.0012893 -11 *1647:19 *27895:B2 0.000136676 -12 *1647:19 *3437:14 0.000100831 -13 *1647:19 *3740:41 0.000424029 -14 *1647:19 *3975:44 9.40164e-05 -15 *1647:19 *4025:32 9.60808e-05 -16 *1647:19 *4026:26 9.77884e-05 -17 *1647:19 *4036:25 4.58194e-05 -18 *1647:19 *5196:25 4.11173e-05 -19 *1647:19 *5640:64 4.14434e-05 -20 *1647:19 *5640:90 5.49544e-05 -21 *1647:19 *5646:175 0.000342302 -22 *1647:19 *5646:182 7.79781e-06 -23 *1647:29 *27953:A2 1.40034e-05 -24 *1647:29 *27956:A 6.05161e-06 -25 *1647:29 *3170:106 1.40849e-05 -26 *1647:29 *3216:199 5.33005e-05 -27 *1647:29 *3496:7 0.000137561 -28 *1647:29 *3496:13 3.06511e-05 -29 *1647:29 *3686:83 0.000362853 -30 *1647:29 *3738:58 0.00199843 -31 *1647:29 *3776:44 0.00199538 -32 *1647:29 *4092:65 0.000177545 -33 *1647:29 *5646:161 5.41797e-06 -34 *1647:46 *24890:A_N 0 -35 *1647:46 *27948:A1 5.00087e-06 -36 *1647:46 *27948:B1 0.000377137 -37 *1647:46 *27964:C1 1.94879e-05 -38 *1647:46 *2856:196 0.00104578 -39 *1647:46 *3170:106 7.34085e-05 -40 *1647:46 *3174:102 1.30327e-05 -41 *1647:46 *3762:42 0.000330761 -42 *1647:46 *5640:64 0 -43 *1647:46 *5651:156 0.000144903 -44 *1647:46 *5653:70 0.000462923 -45 *1647:46 *5729:252 0.000493512 -46 *1647:46 *5857:18 5.17342e-05 -47 *1647:46 *5857:33 4.19624e-06 -48 *1647:46 *5867:20 5.72597e-05 -49 *1647:46 *5867:30 0.00015364 -50 *25107:B1 *25334:B 9.35114e-06 -51 *25329:A2 *1647:19 0.000196166 -52 *30313:A *1647:46 2.04825e-05 -53 *1211:12 *1647:46 9.59532e-06 -54 *1327:19 *1647:29 0.00022586 -55 *1327:78 *25334:B 0.000173797 -56 *1399:93 *1647:29 7.78689e-05 -57 *1399:93 *1647:46 0.0006096 -58 *1511:10 *1647:46 2.06112e-05 -*RES -1 *25329:X *1647:19 46.2464 -2 *1647:19 *1647:29 47.1429 -3 *1647:29 *1647:46 44.3036 -4 *1647:46 *25334:B 45.0321 -*END - -*D_NET *1648 0.0175797 -*CONN -*I *25331:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25330:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25331:C1 0.000748499 -2 *25330:X 0.00100245 -3 *1648:24 0.0022603 -4 *1648:17 0.00251425 -5 *25331:C1 *25376:A1 2.16603e-05 -6 *25331:C1 *1730:13 0.000289436 -7 *25331:C1 *3461:26 0.00106965 -8 *25331:C1 *3828:77 0.000178425 -9 *1648:17 *25330:A1 3.69047e-06 -10 *1648:17 *27100:A1 4.22349e-05 -11 *1648:17 *2883:39 7.62571e-05 -12 *1648:17 *2894:44 0.000189208 -13 *1648:17 *2894:54 0.000310222 -14 *1648:17 *3875:73 5.86836e-05 -15 *1648:17 *4022:94 0.000260152 -16 *1648:24 *1730:13 1.8441e-05 -17 *1648:24 *3845:59 0.000121787 -18 *1648:24 *5621:17 6.61411e-05 -19 *25312:B *1648:24 1.58533e-05 -20 *25312:D *1648:24 0.00119055 -21 *25331:B1 *25331:C1 0.000558329 -22 *30788:A *1648:24 0.000108511 -23 *1182:42 *1648:17 0.000127707 -24 *1242:37 *25331:C1 5.58624e-06 -25 *1248:81 *1648:24 0.000125359 -26 *1273:203 *25331:C1 1.08601e-05 -27 *1279:75 *1648:24 0.000669796 -28 *1281:64 *1648:24 0.00227293 -29 *1281:75 *25331:C1 2.00842e-05 -30 *1448:136 *25331:C1 1.94945e-05 -31 *1448:141 *25331:C1 0.000721536 -32 *1451:26 *25331:C1 0.000190062 -33 *1456:38 *25331:C1 4.57636e-05 -34 *1494:48 *1648:24 0.000236123 -35 *1627:12 *25331:C1 0 -36 *1627:12 *1648:24 0.00201715 -37 *1645:16 *25331:C1 1.25544e-05 -*RES -1 *25330:X *1648:17 38.2464 -2 *1648:17 *1648:24 48.4375 -3 *1648:24 *25331:C1 36.7911 -*END - -*D_NET *1649 0.00191439 -*CONN -*I *25334:C I *D sky130_fd_sc_hd__or4_1 -*I *25331:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25334:C 0.000558594 -2 *25331:X 0.000558594 -3 *25334:C *1657:56 0.000461769 -4 *25334:C *2856:176 0.000155521 -5 *25334:C *3558:189 0.000140936 -6 *25334:C *5623:137 0 -7 *25334:C *5861:34 1.38247e-05 -8 *25331:A2 *25334:C 0 -9 *1645:16 *25334:C 2.51512e-05 -*RES -1 *25331:X *25334:C 38.35 -*END - -*D_NET *1650 0.00900202 -*CONN -*I *25333:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25332:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25333:C1 0.000791945 -2 *25332:X 0.00170647 -3 *1650:16 0.00249841 -4 *25333:C1 *2886:191 0.000198816 -5 *25333:C1 *3835:73 0.000670865 -6 *1650:16 *25332:A1 0.00107556 -7 *1650:16 *27683:B2 7.82077e-05 -8 *1650:16 *27684:C1 9.58126e-05 -9 *1650:16 *3820:91 1.856e-05 -10 *1650:16 *3835:73 0.000292286 -11 *1650:16 *3912:66 8.73634e-05 -12 *1650:16 *3977:24 4.1879e-05 -13 *1650:16 *4106:37 0.000190434 -14 *1650:16 *5582:19 0 -15 *1650:16 *5640:35 0.000473331 -16 *1650:16 *5640:53 2.79171e-05 -17 *1650:16 *5641:38 0.000264504 -18 *1650:16 *5652:12 4.1879e-05 -19 *1650:16 *5754:213 0.000108437 -20 *1642:37 *1650:16 0.000339346 -*RES -1 *25332:X *1650:16 45.5622 -2 *1650:16 *25333:C1 27.0679 -*END - -*D_NET *1651 0.0167166 -*CONN -*I *25334:D I *D sky130_fd_sc_hd__or4_1 -*I *25333:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25334:D 0 -2 *25333:X 0.00144658 -3 *1651:15 0.00181156 -4 *1651:14 0.00187931 -5 *1651:9 0.00151432 -6 *1651:9 *2758:125 0.000106018 -7 *1651:9 *2859:199 0.00017309 -8 *1651:9 *2875:232 0.00137529 -9 *1651:9 *2936:11 4.98872e-05 -10 *1651:14 *4015:37 0.000195555 -11 *1651:14 *5643:58 0.000193833 -12 *1651:15 *24967:A 0.000218679 -13 *1651:15 *2880:180 0.000759484 -14 *1651:15 *5713:37 0.00107972 -15 *24967:B *1651:15 0.000257619 -16 *25331:A2 *1651:15 0.00105108 -17 *1243:34 *1651:15 8.33813e-05 -18 *1293:21 *1651:15 0.00034188 -19 *1293:217 *1651:15 0.00352845 -20 *1501:30 *1651:9 7.83659e-05 -21 *1501:40 *1651:9 0.000572444 -*RES -1 *25333:X *1651:9 46.1393 -2 *1651:9 *1651:14 11.5536 -3 *1651:14 *1651:15 50.6429 -4 *1651:15 *25334:D 9.3 -*END - -*D_NET *1652 0.00902736 -*CONN -*I *25346:C I *D sky130_fd_sc_hd__or4_1 -*I *25334:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *25346:C 0.00116773 -2 *25334:X 0.0012236 -3 *1652:18 0.00239133 -4 *25346:C *1657:70 8.81313e-05 -5 *25346:C *1664:16 0 -6 *25346:C *1693:18 0.00178191 -7 *25346:C *5610:22 0 -8 *25346:C *5610:31 0 -9 *1652:18 *24896:A_N 0.000204476 -10 *1652:18 *24901:B 1.15916e-05 -11 *1652:18 *24929:B 0.000218173 -12 *1652:18 *25107:A1 0 -13 *1652:18 *1693:18 0.000430756 -14 *1652:18 *2880:180 5.33005e-05 -15 *1652:18 *3875:93 0.000367247 -16 *1652:18 *4070:63 0 -17 *1652:18 *5216:29 0.000155094 -18 *1652:18 *5251:15 0.000212736 -19 *1652:18 *5251:20 0 -20 *1652:18 *5861:34 0.000144038 -21 *24954:B *25346:C 3.04394e-05 -22 *25346:A *25346:C 9.54798e-06 -23 *29791:A *25346:C 0 -24 *1224:19 *25346:C 0 -25 *1224:92 *25346:C 0 -26 *1233:24 *1652:18 0.000136676 -27 *1234:10 *1652:18 0.000143967 -28 *1253:23 *1652:18 0 -29 *1274:14 *1652:18 0 -30 *1429:18 *1652:18 0.000256622 -31 *1482:21 *25346:C 0 -32 *1485:39 *25346:C 0 -*RES -1 *25334:X *1652:18 49.8893 -2 *1652:18 *25346:C 48.2107 -*END - -*D_NET *1653 0.0283938 -*CONN -*I *25336:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25388:A2 I *D sky130_fd_sc_hd__a211o_1 -*I *26824:A I *D sky130_fd_sc_hd__nand2_1 -*I *25335:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *25336:B1 0 -2 *25388:A2 6.3004e-05 -3 *26824:A 0.00115501 -4 *25335:Y 0 -5 *1653:47 0.00125016 -6 *1653:43 0.00292532 -7 *1653:35 0.00367054 -8 *1653:17 0.00218934 -9 *1653:5 0.00128596 -10 *25388:A2 *25388:A1 0.000132257 -11 *25388:A2 *5522:56 1.55885e-05 -12 *25388:A2 *5618:85 0.000139907 -13 *26824:A *25096:A 0.000129015 -14 *26824:A *25260:B2 0.000421968 -15 *26824:A *3412:62 2.11068e-05 -16 *26824:A *3568:110 0.000103267 -17 *26824:A *4952:14 0.000270153 -18 *26824:A *5483:135 0.00108067 -19 *26824:A *5505:28 2.35087e-05 -20 *26824:A *5516:20 0 -21 *26824:A *5518:62 0.000132608 -22 *26824:A *5624:136 0.000361799 -23 *26824:A *5749:23 7.76124e-05 -24 *26824:A *5749:32 0 -25 *1653:17 *5483:135 0.000145467 -26 *1653:17 *5749:32 3.10486e-05 -27 *1653:35 *24926:B 0 -28 *1653:35 *25374:B2 3.9667e-05 -29 *1653:35 *25388:B1 0.000140521 -30 *1653:35 *25893:A0 0.000147125 -31 *1653:35 *25958:A1 9.33141e-05 -32 *1653:35 *27619:A2 0.000148999 -33 *1653:35 *29031:A 1.97086e-05 -34 *1653:35 *2778:66 0.000122892 -35 *1653:35 *3816:27 3.52444e-05 -36 *1653:35 *3816:43 0.000169274 -37 *1653:35 *3844:40 0 -38 *1653:35 *4053:12 2.18827e-05 -39 *1653:35 *4873:22 7.75022e-05 -40 *1653:35 *5483:135 0.000828361 -41 *1653:35 *5483:145 0.0018517 -42 *1653:35 *5599:91 6.54117e-05 -43 *1653:35 *5749:32 8.42311e-05 -44 *1653:43 *1670:20 0.000271841 -45 *1653:43 *1700:65 0.000180762 -46 *1653:43 *4952:14 4.53109e-06 -47 *1653:47 *27634:B1 0.000177489 -48 *1653:47 *27635:A1 0.000371331 -49 *1653:47 *1700:65 0.00061348 -50 *1653:47 *3220:51 0.00012401 -51 *1653:47 *3547:12 0.00268991 -52 *1653:47 *3834:33 0.000252682 -53 *1653:47 *3841:67 0.00056481 -54 *1653:47 *5687:73 4.12921e-05 -55 *1653:47 *5691:108 4.51403e-05 -56 *25107:C1 *1653:43 0.00047181 -57 *25209:C1 *1653:47 0.00019367 -58 *25283:B *1653:47 0.000339346 -59 *25336:A2 *1653:47 7.75521e-05 -60 *29767:A *26824:A 2.07265e-05 -61 *1218:46 *1653:35 4.73953e-05 -62 *1250:163 *1653:35 6.91215e-06 -63 *1257:120 *1653:35 3.15517e-05 -64 *1277:44 *1653:43 0.000283593 -65 *1277:53 *1653:43 0.000538979 -66 *1277:107 *1653:43 0.000131842 -67 *1294:6 *26824:A 9.56112e-07 -68 *1294:19 *26824:A 4.0715e-05 -69 *1418:15 *1653:35 0.00100635 -70 *1585:19 *1653:43 0.000393966 -*RES -1 *25335:Y *1653:5 13.8 -2 *1653:5 *26824:A 42.7643 -3 *1653:5 *1653:17 3.21429 -4 *1653:17 *25388:A2 15.8893 -5 *1653:17 *1653:35 47.9701 -6 *1653:35 *1653:43 15.411 -7 *1653:43 *1653:47 43.7054 -8 *1653:47 *25336:B1 9.3 -*END - -*D_NET *1654 0.0164441 -*CONN -*I *25337:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25336:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25337:C1 0 -2 *25336:X 0.000303913 -3 *1654:27 0.00108991 -4 *1654:20 0.00250751 -5 *1654:17 0.00172151 -6 *1654:17 *25209:B2 4.1611e-05 -7 *1654:17 *25273:A2 0.000132862 -8 *1654:17 *27932:A2 5.16445e-05 -9 *1654:17 *2781:88 4.19535e-05 -10 *1654:17 *4185:59 0.000135743 -11 *1654:17 *5609:89 0.000198301 -12 *1654:17 *5609:107 4.66486e-05 -13 *1654:20 *25273:B2 0.000735509 -14 *1654:20 *25378:A1 6.74684e-05 -15 *1654:20 *1694:13 4.1249e-05 -16 *1654:20 *2855:151 2.70899e-05 -17 *1654:20 *3667:41 0.000159322 -18 *1654:20 *3667:53 6.66983e-05 -19 *1654:20 *3673:23 0.0017848 -20 *1654:20 *3712:38 0.000189874 -21 *1654:20 *3900:66 0.00014759 -22 *1654:20 *6269:12 0.00014833 -23 *1654:27 *1659:34 0.00010972 -24 *1654:27 *2851:36 0.000412308 -25 *1654:27 *2869:5 9.41642e-05 -26 *1654:27 *2978:22 0.000101738 -27 *1654:27 *3751:30 0.0007071 -28 *1654:27 *3829:8 0.00205793 -29 *6400:DIODE *1654:27 5.33005e-05 -30 *25220:B1 *1654:27 0.000406482 -31 *25283:C *1654:17 4.65519e-05 -32 *25362:B1 *1654:20 0.000554787 -33 *29335:A *1654:27 7.05143e-06 -34 *1228:47 *1654:20 0.000417281 -35 *1246:36 *1654:17 0.000117583 -36 *1250:11 *1654:20 0.000155419 -37 *1265:40 *1654:27 6.82091e-06 -38 *1265:69 *1654:27 0.000543012 -39 *1268:43 *1654:27 0.000181374 -40 *1290:8 *1654:20 0 -41 *1606:11 *1654:20 0.00021223 -42 *1606:14 *1654:20 0.000619698 -*RES -1 *25336:X *1654:17 28.9102 -2 *1654:17 *1654:20 46.9732 -3 *1654:20 *1654:27 41.7321 -4 *1654:27 *25337:C1 9.3 -*END - -*D_NET *1655 0.0053565 -*CONN -*I *25345:A I *D sky130_fd_sc_hd__or4_1 -*I *25337:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25345:A 0 -2 *25337:X 0.000880186 -3 *1655:9 0.000880186 -4 *1655:9 *6604:DIODE 0.000175892 -5 *1655:9 *1657:76 0.000329989 -6 *1655:9 *3162:34 0.000601619 -7 *1655:9 *3797:79 0.000412018 -8 *1655:9 *5627:75 0.00198856 -9 *1655:9 *5643:119 8.80543e-05 -*RES -1 *25337:X *1655:9 46.3 -2 *1655:9 *25345:A 9.3 -*END - -*D_NET *1656 0.0067202 -*CONN -*I *25339:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *25385:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *26853:A I *D sky130_fd_sc_hd__nand2_1 -*I *25338:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *25339:B1 0 -2 *25385:B1 0.000130168 -3 *26853:A 0.000462196 -4 *25338:Y 0.000456144 -5 *1656:13 0.000631566 -6 *1656:11 0.000495346 -7 *25385:B1 *25339:B2 9.47762e-05 -8 *25385:B1 *25385:A1 7.44262e-05 -9 *25385:B1 *29574:A 8.67797e-05 -10 *25385:B1 *3996:19 0 -11 *25385:B1 *4201:10 0 -12 *25385:B1 *4201:21 5.49544e-05 -13 *26853:A *24880:A 5.52302e-05 -14 *26853:A *25339:A1 0.000143675 -15 *26853:A *2755:11 6.05161e-06 -16 *26853:A *3191:47 0.00102016 -17 *26853:A *5063:5 0.000304101 -18 *26853:A *5488:86 1.46717e-05 -19 *26853:A *5665:14 0.000354871 -20 *26853:A *5665:24 1.02504e-05 -21 *26853:A *5711:67 6.05161e-06 -22 *1656:11 *25338:B 2.39313e-05 -23 *1656:11 *25339:A1 5.49544e-05 -24 *1656:11 *28398:CLK 1.34548e-05 -25 *1656:11 *3191:47 0.00041978 -26 *1656:11 *5618:6 0.00037743 -27 *1656:11 *5618:8 7.5779e-05 -28 *1656:11 *5620:44 0.00045355 -29 *1656:11 *5622:74 0.000247227 -30 *1656:11 *5738:223 9.41642e-05 -31 *1656:13 *25339:A1 7.42113e-05 -32 *1656:13 *25339:B2 2.13202e-05 -33 *1656:13 *3191:47 0.000125125 -34 *25339:A2 *1656:11 3.20708e-05 -35 *1407:89 *26853:A 0.000305784 -*RES -1 *25338:Y *1656:11 30.4964 -2 *1656:11 *1656:13 1.35714 -3 *1656:13 *26853:A 33.4964 -4 *1656:13 *25385:B1 21.3 -5 *1656:11 *25339:B1 9.3 -*END - -*D_NET *1657 0.0530785 -*CONN -*I *25340:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25339:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *25340:C1 0.000300945 -2 *25339:X 0.00185086 -3 *1657:76 0.00335225 -4 *1657:70 0.00642495 -5 *1657:56 0.00627272 -6 *1657:54 0.00345905 -7 *1657:40 0.00176214 -8 *1657:19 0.00305302 -9 *25340:C1 *25340:B2 6.78955e-06 -10 *25340:C1 *1660:48 0.00029744 -11 *25340:C1 *3540:23 0.000300083 -12 *25340:C1 *5663:87 3.55471e-05 -13 *1657:19 *25391:A1 0 -14 *1657:19 *25959:A0 1.48029e-05 -15 *1657:19 *25959:A1 6.19639e-06 -16 *1657:19 *27905:B1 0.000118086 -17 *1657:19 *28397:CLK 0.00015865 -18 *1657:19 *28397:D 0.000143301 -19 *1657:19 *3158:36 9.87983e-06 -20 *1657:19 *3568:93 0 -21 *1657:19 *3568:99 0 -22 *1657:19 *3568:168 0 -23 *1657:19 *3845:31 9.74665e-05 -24 *1657:19 *3853:45 1.90936e-05 -25 *1657:19 *3996:21 0 -26 *1657:19 *5622:74 7.42784e-05 -27 *1657:19 *5629:23 0.000220937 -28 *1657:19 *5655:65 4.8071e-05 -29 *1657:19 *6317:100 0.00120915 -30 *1657:40 *25391:A1 0.000159118 -31 *1657:40 *25396:A1 1.09026e-05 -32 *1657:40 *25413:A 0.000227814 -33 *1657:40 *1659:31 0.000980424 -34 *1657:40 *2845:31 0.000136676 -35 *1657:40 *2874:35 0.000135028 -36 *1657:40 *2888:71 8.69554e-05 -37 *1657:40 *3214:36 3.698e-05 -38 *1657:40 *3875:63 0 -39 *1657:40 *5622:48 0.000635895 -40 *1657:40 *5624:18 1.08706e-05 -41 *1657:40 *5634:52 0.00021084 -42 *1657:40 *5661:42 0.000236783 -43 *1657:40 *5661:64 0.000167762 -44 *1657:54 *25390:C1 0.000175892 -45 *1657:54 *1729:10 0.000132607 -46 *1657:54 *3174:56 0.000128549 -47 *1657:54 *3985:33 0.000177545 -48 *1657:54 *5217:14 9.57806e-05 -49 *1657:54 *5622:26 0.000150854 -50 *1657:54 *5622:48 0.000144556 -51 *1657:56 *25107:A1 0.00114068 -52 *1657:56 *27932:C1 0.000740755 -53 *1657:56 *1693:22 1.30241e-05 -54 *1657:56 *1695:10 1.79038e-05 -55 *1657:56 *3845:62 0 -56 *1657:56 *3875:93 2.65243e-05 -57 *1657:56 *5217:14 0.00320252 -58 *1657:56 *5622:26 1.74156e-05 -59 *1657:56 *5623:137 0 -60 *1657:56 *5626:30 0 -61 *1657:56 *5666:262 0.00213484 -62 *1657:56 *5861:34 0.000162531 -63 *1657:70 *1664:16 7.47104e-05 -64 *1657:70 *1693:18 0.000121549 -65 *1657:70 *3875:93 0.000256364 -66 *1657:70 *5656:137 0.000175745 -67 *1657:70 *5890:68 1.52749e-05 -68 *1657:76 *25317:A1 0.000176466 -69 *1657:76 *2879:83 0.000136682 -70 *1657:76 *3162:34 0.000558999 -71 *1657:76 *5627:75 1.50904e-05 -72 *1657:76 *5643:119 0.000127446 -73 *1657:76 *5643:221 6.956e-05 -74 *1657:76 *5778:181 0.000186411 -75 *25117:A2 *1657:56 0 -76 *25117:B1 *1657:56 0.00108186 -77 *25275:C *1657:19 1.61405e-05 -78 *25330:A2 *1657:54 2.0953e-05 -79 *25334:C *1657:56 0.000461769 -80 *25339:A2 *1657:19 0.00010326 -81 *25340:B1 *25340:C1 7.56452e-06 -82 *25346:C *1657:70 8.81313e-05 -83 *29252:A *1657:19 0 -84 *1242:76 *1657:76 0.000119484 -85 *1262:19 *1657:70 2.63501e-05 -86 *1276:21 *1657:40 5.77488e-05 -87 *1276:21 *1657:54 0.000170312 -88 *1282:159 *1657:56 0.00221079 -89 *1328:50 *1657:40 0.000757265 -90 *1401:52 *1657:40 0.00090712 -91 *1401:77 *1657:54 1.50171e-05 -92 *1410:89 *1657:76 0.0011495 -93 *1455:55 *1657:19 5.00809e-05 -94 *1457:111 *1657:56 0.00184368 -95 *1457:117 *1657:56 0.000941952 -96 *1480:35 *1657:40 7.14469e-05 -97 *1655:9 *1657:76 0.000329989 -*RES -1 *25339:X *1657:19 49.9964 -2 *1657:19 *1657:40 47.1489 -3 *1657:40 *1657:54 29.5625 -4 *1657:54 *1657:56 97.875 -5 *1657:56 *1657:70 27.1642 -6 *1657:70 *1657:76 49.8036 -7 *1657:76 *25340:C1 24.4205 -*END - -*D_NET *1658 0.00198565 -*CONN -*I *25345:B I *D sky130_fd_sc_hd__or4_1 -*I *25340:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25345:B 0.000469933 -2 *25340:X 0.000469933 -3 *25345:B *25340:B2 2.40747e-05 -4 *25345:B *1660:48 0.000414239 -5 *25345:B *3162:34 0.000449408 -6 *25345:B *3540:23 2.60202e-05 -7 *25345:B *5627:75 7.57673e-05 -8 *25345:B *5643:119 5.49489e-05 -9 *25340:A2 *25345:B 1.32327e-06 -*RES -1 *25340:X *25345:B 37.975 -*END - -*D_NET *1659 0.0922204 -*CONN -*I *25342:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25341:X O *D sky130_fd_sc_hd__a22o_4 -*CAP -1 *25342:C1 0.00057407 -2 *25341:X 0.000541805 -3 *1659:34 0.0131218 -4 *1659:31 0.0189345 -5 *1659:18 0.00773506 -6 *1659:10 0.00189003 -7 *25342:C1 *2759:68 0 -8 *25342:C1 *4502:21 1.73942e-05 -9 *25342:C1 *5641:180 0.000151192 -10 *25342:C1 *5646:258 0.000415814 -11 *25342:C1 *5863:98 2.07552e-05 -12 *1659:10 *25949:A0 0.000507492 -13 *1659:10 *3996:8 0.000921478 -14 *1659:10 *3996:19 2.24646e-05 -15 *1659:10 *5485:104 0.000478756 -16 *1659:10 *5506:24 0.00013743 -17 *1659:10 *5624:63 2.91255e-05 -18 *1659:18 *25404:A1 9.91086e-05 -19 *1659:18 *28394:RESET_B 0.000511914 -20 *1659:18 *28448:RESET_B 1.20729e-05 -21 *1659:18 *3986:40 0.00117512 -22 *1659:18 *3996:19 0.000259643 -23 *1659:18 *5624:58 0.000251069 -24 *1659:18 *5624:63 0.000147121 -25 *1659:31 *25396:A1 4.51161e-05 -26 *1659:31 *27905:B2 9.78939e-05 -27 *1659:31 *27905:C1 4.17137e-05 -28 *1659:31 *2864:40 4.28249e-05 -29 *1659:31 *3986:40 0.000276885 -30 *1659:31 *5467:14 0 -31 *1659:31 *5605:33 0.000519932 -32 *1659:31 *5622:48 9.67903e-05 -33 *1659:31 *5666:216 0.00150821 -34 *1659:31 *5666:262 0.000756878 -35 *1659:31 *5936:70 0 -36 *1659:34 *2774:74 0.000155338 -37 *1659:34 *2778:152 0.00156556 -38 *1659:34 *2781:88 0.000137113 -39 *1659:34 *2784:121 0.000202825 -40 *1659:34 *2875:275 0.00271702 -41 *1659:34 *2879:118 0.0026154 -42 *1659:34 *2879:127 0.000799946 -43 *1659:34 *2891:200 0.000538831 -44 *1659:34 *2978:22 0.0022083 -45 *1659:34 *2978:36 7.52837e-05 -46 *1659:34 *3299:14 0.000453058 -47 *1659:34 *3413:57 0.00472102 -48 *1659:34 *3712:14 0.000190081 -49 *1659:34 *3712:22 3.94539e-05 -50 *1659:34 *3829:8 0.000613136 -51 *1659:34 *5609:89 0.00200297 -52 *1659:34 *5609:107 0.000912785 -53 *1659:34 *5609:183 0.00016755 -54 *1659:34 *5650:250 0.000121501 -55 *1659:34 *5688:53 0.000192889 -56 *1659:34 *5713:49 0.000943777 -57 *1659:34 *5713:336 0.000109207 -58 *1659:34 *5765:37 0.00022034 -59 *1659:34 *5765:49 0.00114606 -60 *1659:34 *5765:111 0.000344337 -61 *1659:34 *5765:129 0.000884449 -62 *1659:34 *5778:190 0.000112287 -63 *1659:34 *5795:96 0.00559707 -64 *1659:34 *5863:98 0 -65 *1659:34 *6247:36 0.000126716 -66 *1659:34 *6269:12 0.000433863 -67 *25341:B1 *1659:10 0.000139208 -68 *25404:A2 *1659:18 0.000329136 -69 *30071:A *1659:18 0.000116395 -70 *30441:A *25342:C1 1.08359e-05 -71 *74:11 *1659:31 0.000298164 -72 *1218:62 *1659:31 2.30116e-06 -73 *1225:149 *1659:34 0.000308508 -74 *1258:14 *1659:34 0.00109144 -75 *1265:36 *1659:34 0.000112427 -76 *1275:54 *1659:34 0.000689962 -77 *1275:225 *1659:34 0.00100433 -78 *1286:72 *25342:C1 3.9702e-05 -79 *1294:39 *1659:10 0.000441432 -80 *1326:95 *1659:31 9.36399e-05 -81 *1328:46 *1659:18 0.00135942 -82 *1328:50 *1659:18 1.71381e-05 -83 *1328:50 *1659:31 0.000147149 -84 *1361:45 *25342:C1 0 -85 *1361:45 *1659:34 0 -86 *1361:54 *25342:C1 0.000133011 -87 *1401:52 *1659:31 0.000416267 -88 *1401:95 *1659:31 0.000194958 -89 *1437:84 *1659:31 0.000328686 -90 *1449:101 *1659:31 2.20394e-05 -91 *1449:115 *1659:31 2.62977e-05 -92 *1471:12 *1659:18 2.30116e-06 -93 *1471:121 *1659:18 2.30116e-06 -94 *1471:127 *1659:31 0 -95 *1480:35 *1659:31 0.000872023 -96 *1484:23 *1659:31 0.000896078 -97 *1535:55 *1659:31 4.8817e-05 -98 *1592:13 *1659:34 0.00014833 -99 *1607:8 *1659:34 0.00014833 -100 *1654:27 *1659:34 0.00010972 -101 *1657:40 *1659:31 0.000980424 -*RES -1 *25341:X *1659:10 31.55 -2 *1659:10 *1659:18 35.9286 -3 *1659:18 *1659:31 49.3202 -4 *1659:31 *1659:34 49.5859 -5 *1659:34 *25342:C1 20.5443 -*END - -*D_NET *1660 0.0336201 -*CONN -*I *6604:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25345:C I *D sky130_fd_sc_hd__or4_1 -*I *25342:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *6604:DIODE 0.000124558 -2 *25345:C 0 -3 *25342:X 0.00127115 -4 *1660:48 0.000599669 -5 *1660:42 0.00194856 -6 *1660:31 0.00290817 -7 *1660:19 0.00369737 -8 *1660:11 0.0035338 -9 *6604:DIODE *25345:D 5.04841e-06 -10 *6604:DIODE *1663:16 0.000136951 -11 *6604:DIODE *5643:119 8.39889e-05 -12 *1660:11 *25342:A1 0.000221634 -13 *1660:11 *27748:A2 4.75671e-06 -14 *1660:11 *2788:97 0.000530289 -15 *1660:11 *2856:364 0.000226209 -16 *1660:11 *2879:156 0.000276158 -17 *1660:11 *3226:8 0.000164897 -18 *1660:11 *3822:108 0.00186902 -19 *1660:11 *4080:22 0.000432056 -20 *1660:11 *5768:70 0.000381724 -21 *1660:11 *5768:79 0.000397217 -22 *1660:19 *2774:74 0 -23 *1660:19 *2779:83 0.000419219 -24 *1660:19 *2891:270 8.34787e-05 -25 *1660:19 *4132:33 0 -26 *1660:19 *4132:38 0.00109979 -27 *1660:19 *5593:15 0.000170256 -28 *1660:19 *5641:180 0.000144943 -29 *1660:31 *27119:S 0.000232889 -30 *1660:31 *27122:S 0.000571768 -31 *1660:31 *27414:B2 0.0010769 -32 *1660:31 *4080:44 0.000155975 -33 *1660:31 *5661:232 2.85501e-05 -34 *1660:31 *5661:267 0 -35 *1660:31 *5708:80 0.000266723 -36 *1660:42 *27101:A1 7.72966e-05 -37 *1660:42 *27681:C1 0.000142371 -38 *1660:42 *28571:D 0.000309647 -39 *1660:42 *2779:96 0.000955135 -40 *1660:42 *2779:107 0.000772845 -41 *1660:42 *3162:20 0.00171856 -42 *1660:42 *3729:44 0.000206823 -43 *1660:42 *5627:90 0.000122091 -44 *1660:42 *5627:103 2.11419e-05 -45 *1660:42 *5764:76 0.000236526 -46 *1660:42 *5764:106 0.000303408 -47 *1660:48 *25340:A1 3.4323e-06 -48 *1660:48 *2779:107 0.000186793 -49 *1660:48 *3540:23 0.000114904 -50 *1660:48 *5627:75 0 -51 *1660:48 *5644:218 0.00024312 -52 *1660:48 *5777:9 0.000133066 -53 *25340:C1 *1660:48 0.00029744 -54 *25345:B *1660:48 0.000414239 -55 *1248:140 *1660:42 7.53309e-05 -56 *1248:150 *1660:42 0.000231084 -57 *1267:65 *1660:31 0.000195884 -58 *1267:100 *1660:19 0 -59 *1286:85 *1660:11 9.94194e-05 -60 *1361:30 *1660:31 0.0026383 -61 *1361:45 *1660:19 2.26554e-05 -62 *1605:13 *1660:42 0.000337354 -63 *1605:13 *1660:48 2.27016e-05 -64 *1633:29 *1660:31 0.00046533 -65 *1633:45 *1660:31 3.35973e-05 -66 *1655:9 *6604:DIODE 0.000175892 -*RES -1 *25342:X *1660:11 46.8804 -2 *1660:11 *1660:19 15.6898 -3 *1660:19 *1660:31 49.9099 -4 *1660:31 *1660:42 43.1161 -5 *1660:42 *1660:48 16.3929 -6 *1660:48 *25345:C 9.3 -7 *1660:48 *6604:DIODE 12.3179 -*END - -*D_NET *1661 0.00442546 -*CONN -*I *25344:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25343:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25344:C1 0 -2 *25343:X 0.00102186 -3 *1661:19 0.00102186 -4 *1661:19 *24960:A 0.000134215 -5 *1661:19 *25344:B2 8.42903e-06 -6 *1661:19 *2775:174 0.00116648 -7 *1661:19 *2779:121 0.000113562 -8 *1661:19 *2893:43 0.000100626 -9 *1661:19 *4043:70 1.65046e-05 -10 *1661:19 *4054:66 2.44286e-05 -11 *1661:19 *5616:19 5.00194e-05 -12 *1661:19 *5616:20 5.23541e-05 -13 *1661:19 *5890:81 0.000144619 -14 *25292:C1 *1661:19 0.000148431 -15 *25344:B1 *1661:19 1.00316e-05 -16 *1265:76 *1661:19 1.92905e-05 -17 *1360:101 *1661:19 0.000148189 -18 *1494:22 *1661:19 0.000244558 -*RES -1 *25343:X *1661:19 43.9202 -2 *1661:19 *25344:C1 9.3 -*END - -*D_NET *1662 0.0020522 -*CONN -*I *25345:D I *D sky130_fd_sc_hd__or4_1 -*I *25344:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25345:D 0.000505023 -2 *25344:X 0.000505023 -3 *25345:D *25344:A1 0.000149204 -4 *25345:D *29044:A 2.89016e-05 -5 *25345:D *1663:16 0.000402509 -6 *25345:D *2779:121 9.41642e-05 -7 *25345:D *5643:119 0.000362328 -8 *6604:DIODE *25345:D 5.04841e-06 -*RES -1 *25344:X *25345:D 29.975 -*END - -*D_NET *1663 0.00815938 -*CONN -*I *25346:D I *D sky130_fd_sc_hd__or4_1 -*I *25345:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *25346:D 0.00090593 -2 *25345:X 0.00153122 -3 *1663:16 0.00243715 -4 *25346:D *1664:16 5.48341e-05 -5 *1663:16 *25253:B2 0.000170661 -6 *1663:16 *25344:A1 0.000270206 -7 *1663:16 *25344:B2 0.000216755 -8 *1663:16 *29044:A 5.31101e-05 -9 *1663:16 *2775:174 3.87393e-05 -10 *1663:16 *2779:121 9.25014e-06 -11 *1663:16 *2893:43 0.000168939 -12 *1663:16 *3667:55 3.1423e-05 -13 *1663:16 *5643:119 1.57523e-05 -14 *6604:DIODE *1663:16 0.000136951 -15 *25344:A2 *1663:16 9.99635e-05 -16 *25344:B1 *1663:16 2.13481e-06 -17 *25345:D *1663:16 0.000402509 -18 *25346:A *25346:D 0.000140856 -19 *1410:89 *25346:D 0.000712609 -20 *1507:15 *25346:D 0.000118205 -21 *1507:20 *25346:D 0.000642177 -*RES -1 *25345:X *1663:16 47.8714 -2 *1663:16 *25346:D 27.0857 -*END - -*D_NET *1664 0.00284281 -*CONN -*I *25347:C I *D sky130_fd_sc_hd__or3_4 -*I *25346:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *25347:C 0 -2 *25346:X 0.000669633 -3 *1664:16 0.000669633 -4 *1664:16 *1693:18 7.94864e-05 -5 *1664:16 *3216:203 0.000207712 -6 *1664:16 *5610:22 0.000269514 -7 *1664:16 *5610:31 0.000420257 -8 *25346:C *1664:16 0 -9 *25346:D *1664:16 5.48341e-05 -10 *1486:19 *1664:16 0.000397028 -11 *1657:70 *1664:16 7.47104e-05 -*RES -1 *25346:X *1664:16 43.1393 -2 *1664:16 *25347:C 9.3 -*END - -*D_NET *1665 0.0502352 -*CONN -*I *25791:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27974:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25806:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25348:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25815:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25347:X O *D sky130_fd_sc_hd__or3_4 -*CAP -1 *25791:A1 8.84395e-05 -2 *27974:A1 0.00015429 -3 *25806:A1 2.5733e-05 -4 *25348:A0 0.000446509 -5 *25815:A1 0.000529117 -6 *25347:X 0.00187285 -7 *1665:98 0.000453968 -8 *1665:63 0.00183823 -9 *1665:56 0.00163009 -10 *1665:49 0.0018725 -11 *1665:33 0.00199613 -12 *1665:29 0.00362019 -13 *1665:28 0.00545358 -14 *1665:20 0.00436039 -15 *25348:A0 *25285:A1 5.49544e-05 -16 *25348:A0 *1731:19 0.000867119 -17 *25348:A0 *5236:25 0.000152091 -18 *25348:A0 *5343:14 0.000412176 -19 *25348:A0 *5580:16 0.000402219 -20 *25791:A1 *25791:S 5.33005e-05 -21 *25791:A1 *1871:81 3.19109e-05 -22 *25791:A1 *5555:8 0.000125731 -23 *25791:A1 *5566:11 5.33005e-05 -24 *25806:A1 *28064:A 9.90431e-05 -25 *25806:A1 *5345:11 5.71472e-05 -26 *25815:A1 *29563:A 0.000128154 -27 *25815:A1 *5239:13 0.000104179 -28 *25815:A1 *5343:14 0.000311356 -29 *25815:A1 *5345:11 4.06782e-05 -30 *25815:A1 *5574:8 0.000332629 -31 *25815:A1 *5580:16 0.000145618 -32 *27974:A1 *27974:A0 5.49489e-05 -33 *27974:A1 *28063:A 9.66977e-05 -34 *1665:20 *27946:A2 4.00349e-05 -35 *1665:20 *27946:B2 0.000117074 -36 *1665:20 *27948:A1 1.78465e-05 -37 *1665:20 *27953:A2 3.17684e-05 -38 *1665:20 *27956:B 9.66977e-05 -39 *1665:20 *28841:A 0.000504534 -40 *1665:20 *3197:85 0.000145239 -41 *1665:20 *4069:132 1.1311e-06 -42 *1665:20 *4141:34 6.77303e-05 -43 *1665:20 *5590:47 6.00563e-05 -44 *1665:20 *5600:50 6.60156e-05 -45 *1665:20 *5643:84 0.000372352 -46 *1665:20 *5649:176 5.32506e-05 -47 *1665:20 *5848:63 0.000295968 -48 *1665:20 *5890:24 2.99066e-05 -49 *1665:28 *25104:B2 2.48798e-05 -50 *1665:28 *27937:A2 4.29471e-05 -51 *1665:28 *27946:B2 0.000699797 -52 *1665:28 *28841:A 0.00014511 -53 *1665:28 *2864:230 2.01997e-05 -54 *1665:28 *3197:99 0.000177921 -55 *1665:28 *3452:6 4.11218e-05 -56 *1665:28 *4092:45 0.0012 -57 *1665:28 *4113:116 9.34324e-05 -58 *1665:28 *5614:76 2.01997e-05 -59 *1665:28 *5643:92 9.47029e-05 -60 *1665:28 *5729:295 0.000137954 -61 *1665:29 *25822:B 0.000219711 -62 *1665:29 *25844:A1 0.000385699 -63 *1665:29 *25860:A0 0.00014801 -64 *1665:29 *25860:S 5.52302e-05 -65 *1665:29 *25863:A1 0.000160355 -66 *1665:29 *27909:B1 0.000242561 -67 *1665:29 *28297:D 0.000219289 -68 *1665:29 *28309:D 0.000139907 -69 *1665:29 *29012:A 0.000688881 -70 *1665:29 *30245:A 0.000260574 -71 *1665:29 *3216:184 0.000165988 -72 *1665:29 *3577:7 0.000112584 -73 *1665:29 *3871:94 0.000727765 -74 *1665:29 *5657:132 0.00067765 -75 *1665:29 *5728:5 1.21289e-05 -76 *1665:29 *5728:10 4.35421e-05 -77 *1665:29 *5850:13 0.000774545 -78 *1665:29 *5850:30 0.000345257 -79 *1665:33 *28254:CLK 1.01108e-05 -80 *1665:49 *30978:A 0.000110029 -81 *1665:49 *30980:A 7.83659e-05 -82 *1665:49 *5566:8 0 -83 *1665:49 *6158:24 0.000642559 -84 *1665:56 *28064:B 2.24646e-05 -85 *1665:56 *29580:A 4.51691e-05 -86 *1665:56 *30978:A 0.000764263 -87 *1665:56 *31005:A 1.17968e-05 -88 *1665:56 *31006:A 0.000140368 -89 *1665:56 *5547:13 0.000207327 -90 *1665:56 *5578:19 0.000125405 -91 *1665:63 *25819:A0 5.75147e-05 -92 *1665:63 *28064:B 0.00012401 -93 *1665:63 *29560:A 0.000247771 -94 *1665:63 *31004:A 6.36841e-05 -95 *1665:63 *1874:75 0.000173804 -96 *1665:63 *5244:17 0.000522133 -97 *1665:63 *5547:13 0 -98 *1665:63 *5576:8 0.000148047 -99 *1665:63 *5577:20 0.000111313 -100 *1665:63 *5578:19 0.000107612 -101 *1665:98 *1871:81 5.67727e-05 -102 *1665:98 *5564:32 0.00041304 -103 wb_dat_o[10] *1665:56 0.00019933 -104 wb_dat_o[6] *1665:63 0.000134322 -105 wb_dat_o[7] *1665:56 0.000160306 -106 *25347:A *1665:20 9.83442e-05 -107 *25347:B *1665:20 0.000555423 -108 *25349:S *25348:A0 0.000137561 -109 *25415:A *25348:A0 0.000259304 -110 *25821:A1 *1665:63 4.11173e-05 -111 *28077:RESET_B *25815:A1 0.000453962 -112 *28250:D *1665:49 0.000521001 -113 *28254:D *1665:29 0.000251326 -114 *28254:D *1665:33 0.000189914 -115 *28263:D *1665:56 1.92889e-05 -116 *28264:D *1665:56 8.82396e-05 -117 *28273:D *25815:A1 9.41642e-05 -118 *30535:A *1665:28 1.58886e-05 -119 *30535:A *1665:29 0.00018077 -120 *413:8 *25815:A1 0 -121 *593:19 *1665:49 4.35306e-05 -122 *1145:11 *1665:49 0.000590568 -123 *1287:11 *1665:29 0.000709732 -124 *1287:97 *1665:29 0.000140471 -125 *1287:109 *1665:28 0.00046435 -126 *1323:80 *1665:49 6.47434e-05 -127 *1323:80 *1665:56 0.0012373 -128 *1323:96 *1665:49 0.000543277 -129 *1327:19 *1665:20 2.54095e-05 -130 *1358:85 *27974:A1 8.70362e-05 -131 *1393:165 *1665:63 7.63396e-05 -132 *1472:25 *1665:20 0 -133 *1487:18 *1665:20 1.24368e-05 -134 *1545:96 *25791:A1 0.00022117 -135 *1545:96 *1665:98 0.000592166 -*RES -1 *25347:X *1665:20 48.3979 -2 *1665:20 *1665:28 18.6496 -3 *1665:28 *1665:29 66.6607 -4 *1665:29 *1665:33 7.94643 -5 *1665:33 *1665:49 48.2143 -6 *1665:49 *1665:56 34.7857 -7 *1665:56 *1665:63 28.5357 -8 *1665:63 *25815:A1 28.5857 -9 *1665:63 *25348:A0 29.1036 -10 *1665:56 *25806:A1 14.7464 -11 *1665:33 *1665:98 12.2143 -12 *1665:98 *27974:A1 16.8 -13 *1665:98 *25791:A1 17.1929 -*END - -*D_NET *1666 0.000965703 -*CONN -*I *25349:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25348:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *25349:A0 0.000288946 -2 *25348:X 0.000288946 -3 *25349:A0 *1731:19 0.00038781 -*RES -1 *25348:X *25349:A0 22.4214 -*END - -*D_NET *1667 0.00407942 -*CONN -*I *26847:A I *D sky130_fd_sc_hd__nand2_1 -*I *25377:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25350:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26847:A 0.000559041 -2 *25377:B1 9.71112e-05 -3 *25350:Y 0.000311225 -4 *1667:8 0.000967377 -5 *25377:B1 *25377:A1 1.25867e-05 -6 *25377:B1 *25377:B2 2.98478e-05 -7 *25377:B1 *25377:C1 3.06878e-06 -8 *26847:A *25377:C1 0.000358401 -9 *26847:A *28490:CLK 0.000193093 -10 *26847:A *28490:D 6.84398e-05 -11 *26847:A *1672:32 4.65017e-06 -12 *26847:A *1693:14 1.55485e-05 -13 *26847:A *3927:20 0.000808811 -14 *1667:8 *25350:A 4.82865e-05 -15 *1667:8 *1693:14 3.69697e-05 -16 *1667:8 *2874:290 4.58194e-05 -17 *1667:8 *3927:20 7.6644e-05 -18 *1405:49 *26847:A 1.31525e-05 -19 *1476:238 *1667:8 8.27238e-06 -20 *1558:48 *26847:A 0.000421074 -*RES -1 *25350:Y *1667:8 17.8357 -2 *1667:8 *25377:B1 15.3714 -3 *1667:8 *26847:A 28.7821 -*END - -*D_NET *1668 0.00445461 -*CONN -*I *25411:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *26837:A2 I *D sky130_fd_sc_hd__o211a_1 -*I *25351:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *25411:B1 0.00041187 -2 *26837:A2 0.000207887 -3 *25351:Y 0.000340006 -4 *1668:15 0.000959763 -5 *25411:B1 *25411:A1 3.51368e-05 -6 *25411:B1 *25411:B2 1.28809e-05 -7 *25411:B1 *25411:C1 0.000137561 -8 *25411:B1 *1727:19 0.000242312 -9 *25411:B1 *5947:56 0.000880756 -10 *26837:A2 *26837:A1 9.28259e-06 -11 *26837:A2 *26837:B1 9.81246e-06 -12 *26837:A2 *26837:C1 2.78369e-06 -13 *26837:A2 *1727:19 8.59659e-05 -14 *26837:A2 *3170:41 1.22052e-05 -15 *26837:A2 *3921:77 1.89507e-06 -16 *1668:15 *25095:B 3.43988e-06 -17 *1668:15 *25351:A 5.49544e-05 -18 *1668:15 *28436:CLK 5.49544e-05 -19 *1668:15 *28436:RESET_B 0.000151614 -20 *1668:15 *1721:35 5.88922e-05 -21 *1668:15 *1727:19 5.96516e-05 -22 *1668:15 *2848:51 9.78144e-05 -23 *1668:15 *5624:18 9.41642e-05 -24 *30550:A *25411:B1 0.000135403 -25 *30550:A *1668:15 0.000125355 -26 *1248:56 *26837:A2 0 -27 *1253:98 *26837:A2 0.000110427 -28 *1504:8 *1668:15 0.000157822 -*RES -1 *25351:Y *1668:15 26.2821 -2 *1668:15 *26837:A2 22.2911 -3 *1668:15 *25411:B1 21.0857 -*END - -*D_NET *1669 0.00114514 -*CONN -*I *25382:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25352:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25382:C1 0.000209832 -2 *25352:X 0.000209832 -3 *25382:C1 *25352:A1 9.58126e-05 -4 *25382:C1 *2775:156 0.00011094 -5 *25382:C1 *3927:20 2.07441e-05 -6 *25382:C1 *4088:39 0.000169804 -7 *1451:6 *25382:C1 0.000328173 -*RES -1 *25352:X *25382:C1 32.9214 -*END - -*D_NET *1670 0.00593552 -*CONN -*I *26827:A I *D sky130_fd_sc_hd__nand2_1 -*I *25358:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25353:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26827:A 0.000261145 -2 *25358:B1 8.7719e-05 -3 *25353:Y 0.000912983 -4 *1670:20 0.00126185 -5 *25358:B1 *25358:A1 1.21258e-05 -6 *25358:B1 *25358:A2 4.6141e-05 -7 *25358:B1 *25411:C1 0.000177545 -8 *25358:B1 *5606:27 8.25843e-06 -9 *26827:A *25358:A1 0.000119303 -10 *26827:A *25358:A2 5.49489e-05 -11 *1670:20 *1723:27 8.83488e-05 -12 *1670:20 *2853:48 4.38296e-05 -13 *1670:20 *2888:59 0.00090597 -14 *1670:20 *3314:41 0.000107365 -15 *1670:20 *3844:41 2.06112e-05 -16 *1670:20 *5483:151 2.06178e-05 -17 *1670:20 *5505:42 7.69776e-06 -18 *1670:20 *5691:108 2.06178e-05 -19 *29136:A *26827:A 2.59355e-05 -20 *282:21 *26827:A 0.000209601 -21 *1265:11 *1670:20 3.77315e-05 -22 *1277:53 *1670:20 0.000504924 -23 *1326:56 *1670:20 0.000518811 -24 *1592:20 *26827:A 0.000209601 -25 *1653:43 *1670:20 0.000271841 -*RES -1 *25353:Y *1670:20 35.2854 -2 *1670:20 *25358:B1 11.4964 -3 *1670:20 *26827:A 24.1571 -*END - -*D_NET *1671 0.0219387 -*CONN -*I *25359:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *26834:A I *D sky130_fd_sc_hd__nand2_1 -*I *25355:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *25359:B2 0 -2 *26834:A 0.00032361 -3 *25355:Y 0.00155591 -4 *1671:52 0.0017104 -5 *1671:37 0.00256335 -6 *1671:24 0.00273247 -7 *26834:A *26815:A0 9.61553e-05 -8 *26834:A *26815:A1 0.000140933 -9 *26834:A *26818:A1 0.000262498 -10 *26834:A *2745:13 9.71197e-05 -11 *26834:A *2745:23 3.5298e-05 -12 *26834:A *3791:36 0.0001399 -13 *26834:A *5483:44 0.000913378 -14 *26834:A *5484:44 7.34831e-05 -15 *26834:A *5491:97 3.83601e-05 -16 *1671:24 *25400:B2 3.61886e-05 -17 *1671:24 *25756:A1 0 -18 *1671:24 *26822:A1 0.000115499 -19 *1671:24 *26822:S 2.16719e-05 -20 *1671:24 *27528:A1 0.000472419 -21 *1671:24 *27571:A2 0.000306616 -22 *1671:24 *27918:A2 0.000346218 -23 *1671:24 *27918:B1_N 0.000189429 -24 *1671:24 *28798:RESET_B 0 -25 *1671:24 *3145:32 1.43349e-05 -26 *1671:24 *5313:11 0 -27 *1671:24 *5523:41 3.54915e-05 -28 *1671:24 *5599:158 0.000158823 -29 *1671:24 *5685:142 2.95746e-06 -30 *1671:24 *5706:219 0.000392305 -31 *1671:24 *5734:175 0 -32 *1671:24 *5933:17 7.52389e-06 -33 *1671:24 *6225:48 9.70394e-05 -34 *1671:24 *6332:39 0.000518602 -35 *1671:24 *6385:70 6.94177e-05 -36 *1671:37 *25359:B1 3.60469e-05 -37 *1671:37 *29577:A 0 -38 *1671:37 *5483:18 0.00030892 -39 *1671:37 *5485:8 0 -40 *1671:37 *5485:102 2.88045e-05 -41 *1671:37 *5524:40 0.000842717 -42 *1671:37 *5926:235 0.000781716 -43 *1671:52 *25359:B1 0.00268711 -44 *1671:52 *25621:A1 5.4315e-05 -45 *1671:52 *28417:D 0.000306138 -46 *1671:52 *28417:RESET_B 6.93704e-06 -47 *1671:52 *29057:A 9.23883e-05 -48 *1671:52 *1895:36 5.27358e-05 -49 *1671:52 *5483:21 0.00178867 -50 *1671:52 *5488:34 3.72472e-05 -51 pll90_sel[0] *1671:52 9.58632e-05 -52 pll90_sel[2] *1671:37 0.000262834 -53 *25359:A2 *1671:37 0.000181906 -54 *460:33 *1671:24 0.000614185 -55 *753:13 *26834:A 0.00022266 -56 *1257:99 *1671:24 7.00591e-05 -*RES -1 *25355:Y *1671:24 45.9618 -2 *1671:24 *1671:37 31.8929 -3 *1671:37 *1671:52 48 -4 *1671:52 *26834:A 25.9964 -5 *1671:37 *25359:B2 9.3 -*END - -*D_NET *1672 0.0364955 -*CONN -*I *25377:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25356:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *25377:C1 0.00050079 -2 *25356:X 0.000991527 -3 *1672:32 0.00218148 -4 *1672:16 0.00401988 -5 *1672:15 0.00397247 -6 *1672:11 0.00262481 -7 *25377:C1 *25377:A1 7.4048e-05 -8 *25377:C1 *25377:B2 3.93083e-05 -9 *25377:C1 *26902:A0 9.58181e-05 -10 *25377:C1 *28490:D 0.000170011 -11 *25377:C1 *5656:137 0 -12 *1672:11 *28902:A 2.24079e-05 -13 *1672:11 *3601:55 0.000682951 -14 *1672:11 *3699:13 0.000277794 -15 *1672:11 *5584:178 0.000595046 -16 *1672:11 *5716:67 9.91086e-05 -17 *1672:11 *5716:214 0.000166269 -18 *1672:11 *5879:32 0.000261227 -19 *1672:15 *3699:13 1.5424e-05 -20 *1672:15 *3699:15 0.00220416 -21 *1672:16 *27605:A2 0.000418056 -22 *1672:16 *28478:RESET_B 0.000759279 -23 *1672:16 *30297:A 0.000390653 -24 *1672:16 *2759:80 9.39227e-05 -25 *1672:16 *2767:188 0.00162367 -26 *1672:16 *3562:16 0.00151645 -27 *1672:16 *3562:25 0.00347865 -28 *1672:16 *3803:11 0.000142357 -29 *1672:16 *3803:40 0.000141908 -30 *1672:16 *3959:20 0.000203769 -31 *1672:16 *3959:45 0.00146775 -32 *1672:16 *4088:26 0 -33 *1672:16 *5613:46 0.000245411 -34 *1672:16 *5709:32 0.00183436 -35 *1672:16 *5762:163 9.91086e-05 -36 *1672:16 *5832:8 0 -37 *1672:16 *5891:76 3.65015e-05 -38 *1672:32 *27753:A1 0 -39 *1672:32 *28490:CLK 0.000169123 -40 *1672:32 *28490:D 0.000143967 -41 *1672:32 *2972:10 0.000545371 -42 *1672:32 *3173:158 0.000682574 -43 *1672:32 *3562:16 0.000484393 -44 *1672:32 *3576:57 0.000157309 -45 *1672:32 *3784:54 0.000818986 -46 *1672:32 *3810:47 0.000884175 -47 *1672:32 *3927:20 6.30932e-05 -48 *1672:32 *3959:45 1.44954e-05 -49 *1672:32 *3959:63 0.000178445 -50 *1672:32 *4012:22 7.83659e-05 -51 *1672:32 *5649:176 3.67326e-05 -52 *1672:32 *5656:153 0 -53 *25257:B *25377:C1 9.41642e-05 -54 *25377:B1 *25377:C1 3.06878e-06 -55 *26847:A *25377:C1 0.000358401 -56 *26847:A *1672:32 4.65017e-06 -57 *29313:A *25377:C1 0 -58 *1262:66 *1672:32 0.000222885 -59 *1435:143 *1672:32 0.000108901 -*RES -1 *25356:X *1672:11 38.3357 -2 *1672:11 *1672:15 26.3929 -3 *1672:15 *1672:16 87.0982 -4 *1672:16 *1672:32 46.0089 -5 *1672:32 *25377:C1 32.2821 -*END - -*D_NET *1673 0.00409967 -*CONN -*I *25376:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *25357:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25376:B1 0.00116161 -2 *25357:X 0.00116161 -3 *25376:B1 *25357:A1 0.000137561 -4 *25376:B1 *25357:B2 0.00017467 -5 *25376:B1 *27945:A1 0.000760629 -6 *25376:B1 *5650:67 2.05612e-05 -7 *25357:B1 *25376:B1 1.98839e-05 -8 *1242:37 *25376:B1 0.000330709 -9 *1627:12 *25376:B1 0.000332431 -*RES -1 *25357:X *25376:B1 45.2429 -*END - -*D_NET *1674 0.00274851 -*CONN -*I *25411:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25358:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25411:C1 0.000656894 -2 *25358:X 0.000656894 -3 *25411:C1 *25358:B2 2.84026e-05 -4 *25411:C1 *25411:A1 0.000469596 -5 *25411:C1 *25411:B2 1.02504e-05 -6 *25411:C1 *28650:CLK 2.70725e-06 -7 *25411:C1 *1727:19 0.00030355 -8 *25411:C1 *3641:61 3.9489e-05 -9 *25411:C1 *5483:151 1.85502e-05 -10 *25411:C1 *5583:71 0.000164403 -11 *25411:C1 *5606:27 1.80721e-05 -12 *25411:C1 *5947:56 9.77264e-06 -13 *25358:B1 *25411:C1 0.000177545 -14 *25411:B1 *25411:C1 0.000137561 -15 *1218:38 *25411:C1 5.48198e-05 -*RES -1 *25358:X *25411:C1 48.8679 -*END - -*D_NET *1675 0.0122193 -*CONN -*I *25406:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25359:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25406:C1 0.000615091 -2 *25359:X 0 -3 *1675:10 0.00189277 -4 *1675:4 0.00127768 -5 *25406:C1 *28950:A 1.48555e-05 -6 *25406:C1 *30958:A 0.000207556 -7 *25406:C1 *3104:13 0.000777092 -8 *25406:C1 *3412:62 0.000385757 -9 *25406:C1 *3649:79 8.44271e-06 -10 *25406:C1 *5691:190 0.000113917 -11 *25406:C1 *6337:17 7.90803e-05 -12 *25406:C1 *6347:12 0.000167565 -13 *1675:10 *27571:A2 0.000280748 -14 *1675:10 *27869:A1 0.00107645 -15 *1675:10 *29649:A 3.26888e-05 -16 *1675:10 *30954:A 1.38323e-05 -17 *1675:10 *30958:A 0.000163048 -18 *1675:10 *5485:12 0.000561915 -19 *1675:10 *5485:102 0.000257182 -20 *1675:10 *5524:40 0.00192257 -21 *1675:10 *5926:221 0.00169033 -22 pll_trim[3] *1675:10 9.67754e-05 -23 pll_trim[5] *1675:10 0.000265438 -24 pll_trim[9] *1675:10 5.33005e-05 -25 *1139:12 *1675:10 6.75721e-05 -26 *1616:34 *1675:10 0.00019761 -*RES -1 *25359:X *1675:4 9.3 -2 *1675:4 *1675:10 49.9904 -3 *1675:10 *25406:C1 29.5925 -*END - -*D_NET *1676 0.00830329 -*CONN -*I *25390:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25360:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25390:C1 0.00043134 -2 *25360:X 0.00129905 -3 *1676:14 0.00173039 -4 *25390:C1 *25390:B2 3.61357e-05 -5 *25390:C1 *3985:33 0.000435917 -6 *1676:14 *25264:B2 0.000556206 -7 *1676:14 *25353:A 0.000385277 -8 *1676:14 *26837:A1 0.000385277 -9 *1676:14 *2867:39 0.00108597 -10 *1676:14 *2891:30 0.000136676 -11 *1676:14 *5626:137 0.000216755 -12 *25390:A2 *25390:C1 1.46576e-05 -13 *1227:30 *1676:14 0.000233015 -14 *1244:141 *25390:C1 0.000213983 -15 *1401:77 *25390:C1 0.000561363 -16 *1416:53 *1676:14 0.000136682 -17 *1437:13 *25390:C1 1.21258e-05 -18 *1437:15 *25390:C1 2.41198e-05 -19 *1482:8 *1676:14 0.000232448 -20 *1657:54 *25390:C1 0.000175892 -*RES -1 *25360:X *1676:14 45.1393 -2 *1676:14 *25390:C1 21.3536 -*END - -*D_NET *1677 0.0406945 -*CONN -*I *25383:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25361:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *25383:C1 0.00320793 -2 *25361:X 0.000119524 -3 *1677:26 0.0112219 -4 *1677:8 0.0092833 -5 *1677:7 0.0013889 -6 *25383:C1 *1834:37 4.50033e-05 -7 *25383:C1 *2759:68 0.00133561 -8 *25383:C1 *2780:259 0 -9 *25383:C1 *3959:20 0 -10 *25383:C1 *4076:24 4.50033e-05 -11 *25383:C1 *5715:37 0.00195521 -12 *25383:C1 *5715:44 0.00190693 -13 *1677:8 *25187:B2 0.000183453 -14 *1677:8 *2483:28 0.000133389 -15 *1677:8 *3957:22 0.000398098 -16 *1677:8 *4100:14 9.84565e-05 -17 *1677:8 *5215:12 4.06087e-07 -18 *1677:8 *5611:47 8.6229e-06 -19 *1677:8 *5715:137 0.00151251 -20 *1677:8 *5715:195 0.000802118 -21 *1677:8 *6355:24 0.00194715 -22 *1677:8 *6356:24 1.27625e-05 -23 *1677:8 *6356:41 0.00127821 -24 *1677:26 *26604:A 9.1235e-05 -25 *1677:26 *26668:C 0.0001826 -26 *1677:26 *28067:CLK 0.000111676 -27 *1677:26 *28354:CLK 0.000149646 -28 *1677:26 *28893:A 0.000129735 -29 *1677:26 *1883:83 0.000905722 -30 *1677:26 *2483:14 0.000119276 -31 *1677:26 *2483:28 0.000248217 -32 *1677:26 *2526:18 7.68055e-06 -33 *1677:26 *2528:10 0.000113647 -34 *1677:26 *3567:17 0.000248367 -35 *1677:26 *3656:42 0.000145196 -36 *1677:26 *3659:14 0 -37 *1677:26 *4078:16 0.000117723 -38 *1677:26 *5457:22 0 -39 *1677:26 *5729:67 0.000236976 -40 *1677:26 *5778:113 0.00013725 -41 *1677:26 *5938:31 0.000134186 -42 *1677:26 *6355:24 0.000132617 -43 *25187:C1 *1677:8 0.000126439 -44 *25361:A2 *1677:7 8.55871e-05 -45 *1174:51 *1677:26 0.00018798 -46 *1448:46 *1677:8 0.000198317 -*RES -1 *25361:X *1677:7 15.5679 -2 *1677:7 *1677:8 47.6339 -3 *1677:8 *1677:26 49.5655 -4 *1677:26 *25383:C1 30.3638 -*END - -*D_NET *1678 0.00338728 -*CONN -*I *25378:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25362:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25378:C1 0.00108071 -2 *25362:X 0.00108071 -3 *25378:C1 *25378:A1 3.21613e-05 -4 *25378:C1 *25378:B2 6.26774e-06 -5 *25378:C1 *3829:8 0.000124525 -6 *25362:B1 *25378:C1 0.000424029 -7 *25378:A2 *25378:C1 3.58774e-05 -8 *1265:36 *25378:C1 0.000122946 -9 *1439:23 *25378:C1 0.000439808 -10 *1456:116 *25378:C1 4.02418e-05 -*RES -1 *25362:X *25378:C1 42.1357 -*END - -*D_NET *1679 0.000982679 -*CONN -*I *25380:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25363:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25380:C1 0.000214961 -2 *25363:X 0.000214961 -3 *25380:C1 *25363:A2 2.59355e-05 -4 *25380:C1 *25363:B1 7.2754e-05 -5 *25380:C1 *25363:B2 1.56e-05 -6 *25380:C1 *5584:163 0.000103266 -7 *25380:C1 *5589:74 0.000335201 -*RES -1 *25363:X *25380:C1 32.9214 -*END - -*D_NET *1680 0.0293789 -*CONN -*I *25381:C1 I *D sky130_fd_sc_hd__a221o_2 -*I *25364:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25381:C1 0.00173272 -2 *25364:X 0.00121688 -3 *1680:29 0.00378062 -4 *1680:28 0.00280168 -5 *1680:17 0.00197065 -6 *25381:C1 *4045:50 0.000232885 -7 *25381:C1 *5696:77 0.000549154 -8 *25381:C1 *5773:20 0.000169769 -9 *25381:C1 *5873:5 4.13496e-05 -10 *25381:C1 *5873:26 0.000285895 -11 *1680:17 *27697:A1 6.06291e-05 -12 *1680:17 *27697:A2 2.14658e-05 -13 *1680:17 *27698:C1 8.25843e-06 -14 *1680:17 *27699:A1 0.000415145 -15 *1680:17 *2761:80 1.21955e-05 -16 *1680:17 *3162:8 0.000104974 -17 *1680:17 *4042:19 0.00154658 -18 *1680:17 *5646:235 5.4985e-05 -19 *1680:17 *5646:252 0.000177291 -20 *1680:17 *5708:34 1.09026e-05 -21 *1680:28 *27699:A1 0.000155336 -22 *1680:28 *3717:30 4.15526e-05 -23 *1680:28 *3899:26 4.34627e-05 -24 *1680:28 *3900:28 3.78386e-05 -25 *1680:28 *5654:99 0.000256005 -26 *1680:28 *5655:232 0.000351621 -27 *1680:28 *5663:179 0.00020286 -28 *1680:29 *5645:235 1.98839e-05 -29 *1680:29 *5647:116 0.00100709 -30 *1680:29 *5652:204 0.00250731 -31 *1680:29 *5810:17 9.25287e-05 -32 *1680:29 *5810:34 0.000776158 -33 *25055:B *1680:29 9.41642e-05 -34 *25140:B1 *1680:17 0.00138031 -35 *25381:B1 *25381:C1 5.33005e-05 -36 *29081:A *25381:C1 0.000159394 -37 *826:27 *25381:C1 0.000965203 -38 *1269:134 *1680:29 0.00309038 -39 *1269:145 *25381:C1 7.93583e-05 -40 *1269:145 *1680:29 0.00138875 -41 *1269:154 *25381:C1 0.00135036 -42 *1272:101 *1680:28 8.65688e-05 -43 *1361:45 *1680:17 4.53834e-05 -*RES -1 *25364:X *1680:17 46.7464 -2 *1680:17 *1680:28 19.6527 -3 *1680:28 *1680:29 54.3393 -4 *1680:29 *25381:C1 49.6571 -*END - -*D_NET *1681 0.000544306 -*CONN -*I *25366:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25365:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25366:C1 0.00011042 -2 *25365:X 0.00011042 -3 *25365:A2 *25366:C1 0.000104781 -4 *1288:77 *25366:C1 0.000218685 -*RES -1 *25365:X *25366:C1 21.5107 -*END - -*D_NET *1682 0.00822246 -*CONN -*I *25373:A I *D sky130_fd_sc_hd__or4_2 -*I *25366:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25373:A 0.000473969 -2 *25366:X 0.00102645 -3 *1682:12 0.00150042 -4 *25373:A *25370:B2 2.84269e-05 -5 *25373:A *25370:C1 3.63775e-05 -6 *25373:A *25373:C 0.000483614 -7 *25373:A *25373:D 0.000149797 -8 *25373:A *3576:10 0.000105471 -9 *25373:A *3868:74 0.00012401 -10 *25373:A *4087:63 0.000125731 -11 *1682:12 *28606:CLK 0.000138946 -12 *1682:12 *28670:D 0.000127255 -13 *1682:12 *2848:300 0.000362182 -14 *1682:12 *3389:20 0.000118812 -15 *1682:12 *3593:51 0.000219835 -16 *1682:12 *4022:27 0.000408189 -17 *1682:12 *4141:34 0.000697572 -18 *25370:B1 *25373:A 7.43578e-06 -19 *30192:A *1682:12 0.000125731 -20 *1257:153 *1682:12 0.000983099 -21 *1257:162 *1682:12 0.000677706 -22 *1261:199 *1682:12 0.000301438 -*RES -1 *25366:X *1682:12 46.7286 -2 *1682:12 *25373:A 32.5143 -*END - -*D_NET *1683 0.0165924 -*CONN -*I *25368:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25367:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25368:C1 0.00248466 -2 *25367:X 0.000201365 -3 *1683:10 0.00361539 -4 *1683:7 0.00133209 -5 *25368:C1 *28579:CLK 0.000385104 -6 *25368:C1 *1896:32 0.000279501 -7 *25368:C1 *3753:75 0.000177821 -8 *25368:C1 *3762:17 0.000177821 -9 *25368:C1 *4012:22 0.000150625 -10 *25368:C1 *4026:24 0.000208923 -11 *25368:C1 *5196:8 2.14474e-05 -12 *25368:C1 *5729:35 4.10253e-05 -13 *1683:10 *29801:A 0.000501397 -14 *1683:10 *2864:230 0.000758019 -15 *1683:10 *3958:10 0.00192521 -16 *1683:10 *3958:14 0.000163605 -17 *1683:10 *5669:48 0.0011747 -18 *1683:10 *5669:57 0.000295845 -19 *1683:10 *5669:81 0.0010155 -20 *25204:B1 *1683:10 6.27952e-05 -21 *25328:B1 *1683:10 0.000148911 -22 *1464:56 *25368:C1 0.000334648 -23 *1483:25 *1683:10 0.0008735 -24 *1493:14 *1683:7 0.000262504 -*RES -1 *25367:X *1683:7 16.3893 -2 *1683:7 *1683:10 49.8296 -3 *1683:10 *25368:C1 29.8823 -*END - -*D_NET *1684 0.00112643 -*CONN -*I *25373:B I *D sky130_fd_sc_hd__or4_2 -*I *25368:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25373:B 0.000247959 -2 *25368:X 0.000247959 -3 *25373:B *25368:A1 0.000265031 -4 *25373:B *4027:11 0.000202835 -5 *25373:B *5607:47 0.000162645 -*RES -1 *25368:X *25373:B 32.7429 -*END - -*D_NET *1685 0.0316251 -*CONN -*I *25370:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *6605:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25369:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25370:C1 0.000186707 -2 *6605:DIODE 0 -3 *25369:X 0.00173003 -4 *1685:49 0.00023031 -5 *1685:46 0.00142977 -6 *1685:44 0.00190371 -7 *1685:40 0.00358618 -8 *1685:22 0.00479867 -9 *25370:C1 *25370:A1 0 -10 *25370:C1 *25373:D 5.33005e-05 -11 *1685:22 *27573:A1 9.13964e-05 -12 *1685:22 *27581:B 6.57893e-06 -13 *1685:22 *27592:B1 1.90936e-05 -14 *1685:22 *3819:41 0.00143491 -15 *1685:22 *3936:51 3.84095e-05 -16 *1685:22 *4064:40 0.00145347 -17 *1685:22 *4105:32 0.000195977 -18 *1685:22 *4144:35 0.000118948 -19 *1685:22 *4144:47 0.00062247 -20 *1685:22 *5795:113 0.000790777 -21 *1685:40 *24890:B 7.40571e-05 -22 *1685:40 *25075:A 3.17148e-05 -23 *1685:40 *25104:B2 0 -24 *1685:40 *27955:A 4.00349e-05 -25 *1685:40 *1689:8 1.10866e-05 -26 *1685:40 *2851:24 1.50181e-05 -27 *1685:40 *2867:149 3.47669e-05 -28 *1685:40 *3762:41 1.51028e-05 -29 *1685:40 *3881:56 0.000647151 -30 *1685:40 *3910:73 0 -31 *1685:40 *3920:63 0.000830454 -32 *1685:40 *4065:53 0 -33 *1685:40 *5614:76 0 -34 *1685:40 *5656:189 0 -35 *1685:40 *5729:249 7.70689e-05 -36 *1685:40 *5846:23 4.15161e-05 -37 *1685:44 *25075:A 6.38303e-05 -38 *1685:44 *4079:46 0.000200465 -39 *1685:44 *5590:47 0.000198724 -40 *1685:46 *24941:A 0.000113782 -41 *1685:46 *25368:A1 0.000103267 -42 *1685:46 *25372:A2 7.05143e-06 -43 *1685:46 *25372:B2 0.000468888 -44 *1685:46 *29855:A 0.000248493 -45 *1685:46 *3738:41 0.000455068 -46 *1685:46 *4027:20 0.0015244 -47 *1685:46 *4079:26 0.00014279 -48 *1685:46 *4079:43 0.0011193 -49 *1685:46 *4079:46 8.39982e-05 -50 *1685:46 *5808:95 0.00017644 -51 *1685:49 *25373:D 5.33005e-05 -52 *25123:A *1685:40 0.000112926 -53 *25123:A *1685:44 0.000330349 -54 *25372:B1 *1685:46 0.000292391 -55 *25373:A *25370:C1 3.63775e-05 -56 *30313:A *1685:40 0.000312528 -57 *1233:21 *1685:40 0.000155961 -58 *1263:28 *1685:40 1.57693e-05 -59 *1287:113 *1685:44 0.00023685 -60 *1287:132 *1685:44 0.000656359 -61 *1288:54 *1685:44 4.32506e-05 -62 *1288:54 *1685:46 0.00165636 -63 *1288:57 *1685:46 1.50181e-05 -64 *1360:72 *1685:40 0.000288973 -65 *1403:16 *1685:40 0.000104323 -66 *1403:26 *1685:40 0.000543278 -67 *1406:51 *1685:44 0.000169811 -68 *1423:54 *1685:22 0.000140341 -69 *1435:33 *1685:40 0.00013799 -70 *1439:49 *1685:40 0.000290252 -71 *1460:41 *1685:40 0.00032505 -72 *1476:209 *1685:40 1.68846e-05 -73 *1488:14 *1685:40 4.25652e-05 -74 *1615:14 *1685:46 0.000233048 -*RES -1 *25369:X *1685:22 46.3317 -2 *1685:22 *1685:40 43.3751 -3 *1685:40 *1685:44 15.3661 -4 *1685:44 *1685:46 50.0804 -5 *1685:46 *1685:49 5.03571 -6 *1685:49 *6605:DIODE 9.3 -7 *1685:49 *25370:C1 11.8 -*END - -*D_NET *1686 0.00172826 -*CONN -*I *25373:C I *D sky130_fd_sc_hd__or4_2 -*I *25370:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25373:C 0.000586069 -2 *25370:X 0.000586069 -3 *25373:C *25370:A1 2.59355e-05 -4 *25373:C *25373:D 1.55885e-05 -5 *25370:A2 *25373:C 2.18792e-05 -6 *25370:B1 *25373:C 9.09879e-06 -7 *25373:A *25373:C 0.000483614 -*RES -1 *25370:X *25373:C 27.1 -*END - -*D_NET *1687 0.0057181 -*CONN -*I *25372:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25371:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25372:C1 0 -2 *25371:X 0.000960891 -3 *1687:9 0.000960891 -4 *1687:9 *25352:B2 3.63775e-05 -5 *1687:9 *27002:A0 0.000148911 -6 *1687:9 *3686:83 0.000121787 -7 *1687:9 *3776:42 9.90115e-06 -8 *1687:9 *3776:44 0.00105585 -9 *1687:9 *3957:67 0.000105471 -10 *25246:B1 *1687:9 0.000267631 -11 *1396:189 *1687:9 0.000418937 -12 *1435:81 *1687:9 0.00091806 -13 *1436:13 *1687:9 0.000123392 -14 *1450:28 *1687:9 0.000341107 -15 *1463:23 *1687:9 0.000248893 -*RES -1 *25371:X *1687:9 47.9429 -2 *1687:9 *25372:C1 9.3 -*END - -*D_NET *1688 0.00621161 -*CONN -*I *25373:D I *D sky130_fd_sc_hd__or4_2 -*I *25372:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25373:D 0.000225667 -2 *25372:X 0.000917204 -3 *1688:16 0.00114287 -4 *25373:D *1689:7 0.000135028 -5 *1688:16 *25352:B2 0.000484734 -6 *1688:16 *25372:A1 9.60939e-05 -7 *1688:16 *3576:39 0.000135028 -8 *1688:16 *3790:81 0.000122431 -9 *1688:16 *4035:90 0.000177821 -10 *1688:16 *4079:26 0.000173797 -11 *1688:16 *4079:43 0.000987936 -12 *1688:16 *4141:34 0.000122431 -13 *25370:C1 *25373:D 5.33005e-05 -14 *25373:A *25373:D 0.000149797 -15 *25373:C *25373:D 1.55885e-05 -16 *1288:57 *1688:16 0.00115078 -17 *1464:56 *1688:16 6.78054e-05 -18 *1685:49 *25373:D 5.33005e-05 -*RES -1 *25372:X *1688:16 49.4607 -2 *1688:16 *25373:D 18.0321 -*END - -*D_NET *1689 0.0372416 -*CONN -*I *25414:A I *D sky130_fd_sc_hd__or4_4 -*I *25373:X O *D sky130_fd_sc_hd__or4_2 -*CAP -1 *25414:A 0.000241066 -2 *25373:X 0.000110462 -3 *1689:21 0.00217337 -4 *1689:8 0.00585826 -5 *1689:7 0.00403641 -6 *25414:A *25414:C 5.3168e-05 -7 *25414:A *1730:13 6.43196e-05 -8 *1689:8 *25082:A1 1.87955e-05 -9 *1689:8 *25102:A 1.02603e-05 -10 *1689:8 *25297:A1 0.00169116 -11 *1689:8 *28579:SET_B 0.000122431 -12 *1689:8 *3176:181 0.00230903 -13 *1689:8 *3176:202 9.65515e-05 -14 *1689:8 *3205:98 0.000623749 -15 *1689:8 *3686:83 0.00035904 -16 *1689:8 *3738:47 0.000835139 -17 *1689:8 *3802:71 0.000193833 -18 *1689:8 *3881:62 0.0024324 -19 *1689:8 *3920:40 4.27398e-05 -20 *1689:8 *3920:51 0.0015824 -21 *1689:8 *3920:63 0.00199122 -22 *1689:8 *4113:125 0.00199511 -23 *1689:8 *5607:27 0.00126363 -24 *1689:8 *5607:39 0.000173977 -25 *1689:8 *5607:47 0.000114999 -26 *1689:8 *5623:41 0.000305557 -27 *1689:8 *5808:95 2.51744e-05 -28 *1689:21 *25086:A 5.73414e-05 -29 *1689:21 *25218:B2 6.51414e-05 -30 *1689:21 *27931:B1 0.000257226 -31 *1689:21 *3176:69 0.000336007 -32 *1689:21 *3176:145 0.000165872 -33 *1689:21 *3176:175 1.08716e-05 -34 *1689:21 *3176:181 1.90323e-05 -35 *1689:21 *3506:19 0.000108889 -36 *24937:B *1689:8 0.000182749 -37 *25123:B *1689:8 1.11654e-05 -38 *25166:A *1689:8 8.99595e-05 -39 *25167:A *1689:8 9.84332e-05 -40 *25210:C1 *1689:21 6.71336e-05 -41 *25244:B1 *1689:21 8.43535e-06 -42 *25373:D *1689:7 0.000135028 -43 *1233:8 *1689:8 0.000694575 -44 *1248:81 *25414:A 0.000121592 -45 *1360:18 *1689:8 0.00176981 -46 *1360:20 *1689:8 0.000188571 -47 *1360:20 *1689:21 0.00180622 -48 *1398:11 *1689:8 0.00106884 -49 *1400:40 *1689:21 7.60716e-05 -50 *1403:26 *1689:8 4.04691e-06 -51 *1436:13 *1689:8 6.057e-07 -52 *1440:38 *1689:21 6.05161e-06 -53 *1440:85 *1689:21 0.000616992 -54 *1443:12 *1689:8 5.74395e-05 -55 *1471:140 *25414:A 0.000119925 -56 *1487:18 *1689:8 0.000218643 -57 *1533:9 *1689:21 0.000143571 -58 *1685:40 *1689:8 1.10866e-05 -*RES -1 *25373:X *1689:7 15.1571 -2 *1689:7 *1689:8 135.67 -3 *1689:8 *1689:21 48.6518 -4 *1689:21 *25414:A 18.4429 -*END - -*D_NET *1690 0.00375653 -*CONN -*I *25375:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25374:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25375:C1 0.000952407 -2 *25374:X 0.000952407 -3 *25375:C1 *25375:B1 0.00018094 -4 *25375:C1 *25891:A0 4.29806e-05 -5 *25375:C1 *25891:S 2.89016e-05 -6 *25375:C1 *29716:A 6.05161e-06 -7 *25375:C1 *2860:154 5.57227e-05 -8 *25375:C1 *3156:59 0.000549154 -9 *25375:C1 *3160:26 0.000132267 -10 *25375:C1 *3160:33 3.20731e-05 -11 *25375:C1 *4062:22 0.000178257 -12 *25375:C1 *5583:71 0.000214487 -13 *25375:C1 *5634:26 0.000314482 -14 *25375:C1 *5750:130 0.000116395 -*RES -1 *25374:X *25375:C1 44.2786 -*END - -*D_NET *1691 0.0283512 -*CONN -*I *25379:A I *D sky130_fd_sc_hd__or4_1 -*I *25375:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25379:A 0 -2 *25375:X 4.10014e-05 -3 *1691:25 0.00225203 -4 *1691:24 0.00363091 -5 *1691:14 0.00197938 -6 *1691:10 0.0021345 -7 *1691:7 0.00157501 -8 *1691:7 *3160:26 5.50052e-05 -9 *1691:10 *27325:C1 0.00014704 -10 *1691:10 *2855:12 6.47471e-05 -11 *1691:10 *3197:45 0.000906785 -12 *1691:10 *3855:21 6.55155e-05 -13 *1691:10 *3855:30 0.000321098 -14 *1691:10 *3989:40 0.000187711 -15 *1691:10 *3989:66 0.000268153 -16 *1691:10 *5504:66 0.00144052 -17 *1691:10 *5873:164 0.00153513 -18 *1691:14 *27332:A 0.000137803 -19 *1691:14 *3855:21 0.000124897 -20 *1691:14 *3989:66 1.64535e-05 -21 *1691:14 *3989:68 0 -22 *1691:14 *3992:28 0.000178803 -23 *1691:14 *5687:87 4.58835e-05 -24 *1691:14 *5713:84 4.55783e-05 -25 *1691:24 *2856:146 0.000650008 -26 *1691:24 *3185:92 5.13169e-05 -27 *1691:24 *3299:29 3.28681e-06 -28 *1691:24 *3306:6 0.000110841 -29 *1691:24 *3783:110 9.90395e-05 -30 *1691:24 *3989:68 5.9575e-05 -31 *1691:24 *5597:20 4.42685e-05 -32 *1691:24 *5638:104 4.10533e-05 -33 *1691:24 *5687:87 1.16258e-05 -34 *1691:25 *1694:13 0.00271643 -35 *1691:25 *1695:10 5.20669e-05 -36 *1691:25 *3946:45 9.41642e-05 -37 *1691:25 *4043:81 0.000168915 -38 *1691:25 *5505:57 5.96516e-05 -39 *1691:25 *5635:72 0.000347791 -40 *1691:25 *5661:196 6.94952e-05 -41 *25276:B1 *1691:24 1.1594e-05 -42 *1294:147 *1691:10 0.000817728 -43 *1416:72 *1691:14 0.00104906 -44 *1416:72 *1691:24 0.00168787 -45 *1446:20 *1691:24 0.00222623 -46 *1578:10 *1691:14 0.000793756 -47 *1642:20 *1691:24 3.14539e-05 -*RES -1 *25375:X *1691:7 14.3357 -2 *1691:7 *1691:10 42.8571 -3 *1691:10 *1691:14 18.5268 -4 *1691:14 *1691:24 46.3453 -5 *1691:24 *1691:25 41.6071 -6 *1691:25 *25379:A 9.3 -*END - -*D_NET *1692 0.00164552 -*CONN -*I *25379:B I *D sky130_fd_sc_hd__or4_1 -*I *25376:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *25379:B 0.000510065 -2 *25376:X 0.000510065 -3 *25379:B *3749:113 0.000625392 -*RES -1 *25376:X *25379:B 24.8857 -*END - -*D_NET *1693 0.0208826 -*CONN -*I *25379:C I *D sky130_fd_sc_hd__or4_1 -*I *25377:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25379:C 8.11808e-05 -2 *25377:X 0.00110332 -3 *1693:22 0.00147591 -4 *1693:18 0.00335177 -5 *1693:14 0.00306036 -6 *25379:C *1694:13 5.49544e-05 -7 *25379:C *1695:10 4.85033e-05 -8 *25379:C *3749:113 0.000218409 -9 *1693:14 *25076:A1 0.000158207 -10 *1693:14 *25196:B2 4.9663e-07 -11 *1693:14 *2874:278 1.96817e-05 -12 *1693:14 *2874:290 0.000500545 -13 *1693:14 *3927:20 1.40849e-05 -14 *1693:14 *5656:137 0.000148702 -15 *1693:18 *25127:A 0 -16 *1693:18 *25196:B2 0.000121664 -17 *1693:18 *2874:278 3.17299e-05 -18 *1693:18 *3700:72 2.25243e-05 -19 *1693:18 *3784:79 0.00018433 -20 *1693:18 *3875:100 0 -21 *1693:18 *5251:15 0.000305839 -22 *1693:22 *4022:76 0.00115455 -23 *1693:22 *5700:29 2.89979e-05 -24 *24923:A *1693:18 1.42165e-05 -25 *24923:A *1693:22 0.000264325 -26 *25117:B1 *1693:22 0.000954024 -27 *25196:B1 *1693:14 0.000101227 -28 *25196:C1 *1693:14 7.6612e-06 -29 *25247:B1 *1693:18 1.90936e-05 -30 *25346:A *1693:18 0.000674249 -31 *25346:C *1693:18 0.00178191 -32 *26847:A *1693:14 1.55485e-05 -33 *29791:A *1693:18 0 -34 *1224:19 *1693:18 0 -35 *1233:21 *1693:18 0 -36 *1282:159 *1693:22 0.00210256 -37 *1282:174 *1693:14 7.99801e-05 -38 *1405:49 *1693:14 2.84109e-05 -39 *1429:18 *1693:18 0.000165866 -40 *1494:38 *1693:22 0.0018768 -41 *1494:48 *1693:22 2.2628e-05 -42 *1634:16 *1693:14 6.52971e-06 -43 *1634:16 *1693:18 0 -44 *1652:18 *1693:18 0.000430756 -45 *1657:56 *1693:22 1.30241e-05 -46 *1657:70 *1693:18 0.000121549 -47 *1664:16 *1693:18 7.94864e-05 -48 *1667:8 *1693:14 3.69697e-05 -*RES -1 *25377:X *1693:14 35.9875 -2 *1693:14 *1693:18 49.0804 -3 *1693:18 *1693:22 49.125 -4 *1693:22 *25379:C 15.9786 -*END - -*D_NET *1694 0.00729375 -*CONN -*I *25379:D I *D sky130_fd_sc_hd__or4_1 -*I *25378:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25379:D 0 -2 *25378:X 0.000882141 -3 *1694:13 0.000882141 -4 *1694:13 *1695:10 6.3162e-05 -5 *1694:13 *3749:113 0.00252829 -6 *25379:C *1694:13 5.49544e-05 -7 *1290:8 *1694:13 0.000125372 -8 *1654:20 *1694:13 4.1249e-05 -9 *1691:25 *1694:13 0.00271643 -*RES -1 *25378:X *1694:13 48.55 -2 *1694:13 *25379:D 9.3 -*END - -*D_NET *1695 0.00537053 -*CONN -*I *25414:B I *D sky130_fd_sc_hd__or4_4 -*I *25379:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *25414:B 0 -2 *25379:X 0.000892717 -3 *1695:10 0.000892717 -4 *1695:10 *4022:76 0.00164993 -5 *25117:B1 *1695:10 0.000202866 -6 *25379:C *1695:10 4.85033e-05 -7 *1457:111 *1695:10 0.00155066 -8 *1657:56 *1695:10 1.79038e-05 -9 *1691:25 *1695:10 5.20669e-05 -10 *1694:13 *1695:10 6.3162e-05 -*RES -1 *25379:X *1695:10 43.8 -2 *1695:10 *25414:B 9.3 -*END - -*D_NET *1696 0.0076027 -*CONN -*I *25384:A I *D sky130_fd_sc_hd__or4_4 -*I *25380:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25384:A 0.000127372 -2 *25380:X 0.000922798 -3 *1696:10 0.00105017 -4 *25384:A *1697:55 0.000515514 -5 *25384:A *3157:15 0.000513585 -6 *1696:10 *1835:6 0.000261964 -7 *1696:10 *4031:18 4.10514e-05 -8 *1696:10 *5585:8 0.00129116 -9 *1696:10 *5725:24 3.44406e-05 -10 *1696:10 *5777:94 0.000506137 -11 *1696:10 *6269:12 0.000263954 -12 *25380:A2 *1696:10 2.89016e-05 -13 *25677:B2 *1696:10 0.000347422 -14 *1258:14 *1696:10 0.00150637 -15 *1258:158 *1696:10 0.00019187 -*RES -1 *25380:X *1696:10 49.925 -2 *1696:10 *25384:A 14.3536 -*END - -*D_NET *1697 0.0350685 -*CONN -*I *25384:B I *D sky130_fd_sc_hd__or4_4 -*I *6606:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25381:X O *D sky130_fd_sc_hd__a221o_2 -*CAP -1 *25384:B 0 -2 *6606:DIODE 9.17415e-05 -3 *25381:X 0.00125835 -4 *1697:55 0.00163148 -5 *1697:50 0.00441645 -6 *1697:44 0.00492313 -7 *1697:32 0.00402597 -8 *1697:20 0.00323791 -9 *6606:DIODE *25384:C 0.000204151 -10 *6606:DIODE *3157:15 0.000137427 -11 *1697:20 *27107:A1 0.000562056 -12 *1697:20 *29420:A 1.90936e-05 -13 *1697:20 *1853:77 0.000147081 -14 *1697:20 *2764:92 1.09026e-05 -15 *1697:20 *2764:94 0.000269438 -16 *1697:20 *2767:118 0.000280043 -17 *1697:20 *2775:99 8.22439e-05 -18 *1697:20 *3757:13 0.00158099 -19 *1697:20 *3891:13 0.000140904 -20 *1697:20 *4238:16 0.000146876 -21 *1697:20 *5589:135 1.27529e-05 -22 *1697:20 *5801:19 0 -23 *1697:20 *5863:143 1.90936e-05 -24 *1697:32 *29617:A 0.000421074 -25 *1697:32 *2774:10 0.000304082 -26 *1697:32 *2791:45 0.000109339 -27 *1697:32 *2791:66 0.000191554 -28 *1697:32 *3153:300 0.00020379 -29 *1697:32 *3185:229 0.000219465 -30 *1697:32 *3916:20 4.1067e-05 -31 *1697:32 *3930:70 0.000133362 -32 *1697:32 *5639:199 0.00031361 -33 *1697:32 *5795:221 0.000527315 -34 *1697:32 *5829:149 0.00121956 -35 *1697:32 *5862:35 2.1645e-05 -36 *1697:44 *27123:S 5.52302e-05 -37 *1697:44 *27704:B1 3.90809e-05 -38 *1697:44 *27730:A2 6.55493e-05 -39 *1697:44 *27733:C 6.29308e-05 -40 *1697:44 *28607:RESET_B 4.85033e-05 -41 *1697:44 *1826:226 0.000816049 -42 *1697:44 *2763:185 4.10599e-05 -43 *1697:44 *2784:162 0.0014566 -44 *1697:44 *2788:93 0.000110642 -45 *1697:44 *2788:158 0 -46 *1697:44 *3538:16 1.88175e-05 -47 *1697:44 *3694:63 0.000634252 -48 *1697:44 *3900:21 0 -49 *1697:44 *3900:26 1.90936e-05 -50 *1697:44 *4069:162 8.71761e-06 -51 *1697:44 *4095:33 0.00046787 -52 *1697:44 *5627:251 0.00022209 -53 *1697:44 *5628:189 5.74499e-06 -54 *1697:44 *5639:199 5.68421e-05 -55 *1697:44 *5903:35 2.04825e-05 -56 *1697:50 *28524:D 8.25843e-06 -57 *1697:50 *28524:RESET_B 1.77736e-05 -58 *1697:50 *28729:CLK 0.000209448 -59 *1697:50 *2772:63 3.99747e-05 -60 *1697:50 *2791:163 0.000619758 -61 *1697:50 *2791:199 7.6644e-05 -62 *1697:50 *3599:39 6.94952e-05 -63 *1697:50 *4119:8 7.83587e-05 -64 *1697:50 *4120:11 6.57032e-05 -65 *1697:55 *28523:CLK 5.33334e-05 -66 *1697:55 *28523:D 0.000287779 -67 *1697:55 *3157:15 9.96221e-05 -68 *25384:A *1697:55 0.000515514 -69 *29068:A *1697:44 0.000548544 -70 *826:27 *1697:20 0.000435039 -71 *890:19 *1697:32 0.000259273 -72 *1242:159 *1697:32 0.000214408 -73 *1288:112 *1697:55 4.61038e-05 -74 *1361:147 *1697:44 1.46617e-05 -75 *1372:12 *1697:32 0.000355257 -*RES -1 *25381:X *1697:20 38.8685 -2 *1697:20 *1697:32 40.7242 -3 *1697:32 *1697:44 49.5768 -4 *1697:44 *1697:50 42.1964 -5 *1697:50 *1697:55 19.9821 -6 *1697:55 *6606:DIODE 11.8893 -7 *1697:55 *25384:B 9.3 -*END - -*D_NET *1698 0.0233282 -*CONN -*I *25384:C I *D sky130_fd_sc_hd__or4_4 -*I *25382:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25384:C 0.00131281 -2 *25382:X 0.00115303 -3 *1698:25 0.00309051 -4 *1698:8 0.00293073 -5 *25384:C *3157:15 0.000913323 -6 *25384:C *3590:7 0.00051022 -7 *25384:C *3706:24 4.97322e-05 -8 *25384:C *4148:24 0.00156461 -9 *25384:C *5643:282 0.00146534 -10 *1698:8 *25094:A1 0.000804429 -11 *1698:8 *27402:B1 5.90553e-05 -12 *1698:8 *2872:299 0.00160032 -13 *1698:8 *2882:246 0.000326666 -14 *1698:8 *3680:34 0.000723821 -15 *1698:8 *3849:48 0.000338754 -16 *1698:8 *4128:52 0.000395382 -17 *1698:8 *5643:237 0.000361623 -18 *1698:25 *27404:A2 7.72509e-05 -19 *1698:25 *27605:A2 0.00136217 -20 *1698:25 *27750:B1 0 -21 *1698:25 *27763:A1 3.80537e-05 -22 *1698:25 *2780:223 0.000167384 -23 *1698:25 *2844:318 9.41642e-05 -24 *1698:25 *3314:25 0.000341716 -25 *1698:25 *3680:32 0.000109425 -26 *1698:25 *3680:34 0.00143846 -27 *1698:25 *3706:35 0.00143929 -28 *1698:25 *3849:30 4.4316e-05 -29 *1698:25 *5641:162 0 -30 *1698:25 *5645:156 0 -31 *1698:25 *5704:18 3.3297e-05 -32 *6606:DIODE *25384:C 0.000204151 -33 *25382:A2 *1698:8 0.000282126 -34 *1509:16 *1698:25 9.60939e-05 -*RES -1 *25382:X *1698:8 46.5321 -2 *1698:8 *1698:25 46.3506 -3 *1698:25 *25384:C 48.0321 -*END - -*D_NET *1699 0.00103226 -*CONN -*I *25384:D I *D sky130_fd_sc_hd__or4_4 -*I *25383:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25384:D 0.000107165 -2 *25383:X 0.000107165 -3 *25384:D *3157:15 0.00030824 -4 *25384:D *4133:12 0.000102545 -5 *25384:D *5582:113 0.000100831 -6 *1288:112 *25384:D 0.000306317 -*RES -1 *25383:X *25384:D 31.9393 -*END - -*D_NET *1700 0.0616178 -*CONN -*I *25389:B1 I *D sky130_fd_sc_hd__a2111o_1 -*I *25384:X O *D sky130_fd_sc_hd__or4_4 -*CAP -1 *25389:B1 0 -2 *25384:X 0 -3 *1700:65 0.00193934 -4 *1700:44 0.00328538 -5 *1700:37 0.00234561 -6 *1700:24 0.00235474 -7 *1700:20 0.00239327 -8 *1700:16 0.00225716 -9 *1700:6 0.00360322 -10 *1700:5 0.00238415 -11 *1700:6 *25083:A1 0.000215028 -12 *1700:6 *27408:C1 0.000425035 -13 *1700:6 *28710:D 0.0001055 -14 *1700:6 *3179:186 1.90936e-05 -15 *1700:6 *3215:218 2.59045e-05 -16 *1700:6 *3312:19 0.0003638 -17 *1700:6 *3686:50 0.00077374 -18 *1700:6 *3719:18 0.000552325 -19 *1700:6 *3940:28 2.07506e-05 -20 *1700:6 *3966:11 3.34687e-05 -21 *1700:6 *3966:37 0.000204601 -22 *1700:6 *3998:41 0.00214852 -23 *1700:6 *4043:58 0 -24 *1700:6 *4083:20 0.000325886 -25 *1700:6 *4083:31 6.73462e-05 -26 *1700:6 *5584:163 0.00252036 -27 *1700:6 *5688:85 0.000173063 -28 *1700:16 *28491:D 0.000173083 -29 *1700:16 *28651:D 0.00022217 -30 *1700:16 *3215:218 0.000318175 -31 *1700:16 *3998:44 9.32656e-05 -32 *1700:16 *3998:46 0.000823429 -33 *1700:20 *24960:A 1.21955e-05 -34 *1700:20 *25317:B2 0.000358394 -35 *1700:20 *28634:CLK 0.000208424 -36 *1700:20 *28654:D 1.05524e-05 -37 *1700:20 *3998:46 0.000204846 -38 *1700:20 *4069:112 9.49949e-05 -39 *1700:20 *4952:14 0.000147837 -40 *1700:20 *5612:12 0.000148865 -41 *1700:24 *25291:B2 0.000685017 -42 *1700:24 *2856:176 9.46395e-05 -43 *1700:24 *3841:68 0.000148976 -44 *1700:24 *3998:50 0.00352237 -45 *1700:24 *5584:13 9.73169e-05 -46 *1700:24 *5585:47 0.00014833 -47 *1700:24 *5612:12 0.00051473 -48 *1700:24 *5612:18 0.000701679 -49 *1700:24 *5612:22 1.31516e-05 -50 *1700:24 *5861:14 0.000613553 -51 *1700:37 *28845:A 0.00078451 -52 *1700:37 *3841:68 0.000496058 -53 *1700:37 *3867:80 0.000235956 -54 *1700:37 *3987:58 6.90187e-05 -55 *1700:37 *3998:50 0.000282258 -56 *1700:37 *5584:20 0.000118982 -57 *1700:44 *27635:B2 0.00083095 -58 *1700:44 *3816:55 0.000767896 -59 *1700:44 *3841:68 0.00108204 -60 *1700:44 *3867:80 1.34355e-05 -61 *1700:44 *3939:33 9.41642e-05 -62 *1700:44 *5483:151 0.00179754 -63 *1700:44 *5583:58 2.02794e-05 -64 *1700:44 *5583:88 0.000913996 -65 *1700:65 *25389:A1 5.33005e-05 -66 *1700:65 *27331:A1 4.58194e-05 -67 *1700:65 *28340:CLK 4.29471e-05 -68 *1700:65 *28343:CLK 4.52779e-05 -69 *1700:65 *2855:32 5.49489e-05 -70 *1700:65 *2867:39 3.77315e-05 -71 *1700:65 *2882:46 2.42516e-05 -72 *1700:65 *3641:28 9.21053e-05 -73 *1700:65 *3834:33 0.000253636 -74 *1700:65 *5386:11 0 -75 *1700:65 *5691:108 0.00011313 -76 *1700:65 *5873:175 6.05161e-06 -77 *25097:B1 *1700:65 9.27418e-05 -78 *25107:C1 *1700:65 0.000378307 -79 *25224:A *1700:37 3.44695e-06 -80 *25225:D *1700:37 4.88232e-05 -81 *29843:A *1700:16 6.9253e-05 -82 *1178:69 *1700:37 4.11173e-05 -83 *1218:37 *1700:37 0.000369988 -84 *1218:38 *1700:44 0.000102015 -85 *1218:187 *1700:37 3.44647e-06 -86 *1242:206 *1700:44 6.39829e-05 -87 *1249:34 *1700:37 8.40344e-05 -88 *1256:89 *1700:20 0.00147495 -89 *1256:95 *1700:16 0.00185746 -90 *1256:95 *1700:20 0.000759896 -91 *1256:96 *1700:6 0.000114258 -92 *1256:135 *1700:6 2.23987e-05 -93 *1260:73 *1700:20 2.04702e-05 -94 *1261:11 *1700:20 7.05867e-05 -95 *1265:95 *1700:20 6.8172e-05 -96 *1269:203 *1700:20 0.00018777 -97 *1269:213 *1700:20 0.000213269 -98 *1271:161 *1700:37 0.000459077 -99 *1271:162 *1700:20 1.40893e-05 -100 *1271:174 *1700:6 4.00349e-05 -101 *1271:191 *1700:6 0.000948462 -102 *1277:44 *1700:65 8.41485e-05 -103 *1279:109 *1700:6 1.09232e-05 -104 *1406:24 *1700:16 0.000897219 -105 *1421:93 *1700:65 0.00114291 -106 *1449:101 *1700:65 0.00186057 -107 *1449:115 *1700:65 6.20441e-06 -108 *1454:16 *1700:37 0.00125013 -109 *1562:33 *1700:6 0.000623625 -110 *1562:45 *1700:6 0.00119089 -111 *1562:45 *1700:16 1.53262e-05 -112 *1626:8 *1700:6 6.40065e-05 -113 *1653:43 *1700:65 0.000180762 -114 *1653:47 *1700:65 0.00061348 -*RES -1 *25384:X *1700:5 13.8 -2 *1700:5 *1700:6 77.9911 -3 *1700:6 *1700:16 35.9196 -4 *1700:16 *1700:20 31.625 -5 *1700:20 *1700:24 46.7411 -6 *1700:24 *1700:37 40.5102 -7 *1700:37 *1700:44 48.9018 -8 *1700:44 *1700:65 44.3248 -9 *1700:65 *25389:B1 9.3 -*END - -*D_NET *1701 0.00681169 -*CONN -*I *25388:B1 I *D sky130_fd_sc_hd__a211o_1 -*I *25385:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25388:B1 8.28402e-05 -2 *25385:X 0.000890383 -3 *1701:11 0.000973223 -4 *25388:B1 *25388:A1 5.52238e-05 -5 *25388:B1 *5483:135 0.000142039 -6 *25388:B1 *5522:56 5.33005e-05 -7 *1701:11 *25955:A1 0.000171368 -8 *1701:11 *29325:A 5.33005e-05 -9 *1701:11 *29574:A 8.80543e-05 -10 *1701:11 *1900:17 0.000776059 -11 *1701:11 *3844:13 0.000126566 -12 *1701:11 *3844:20 5.71472e-05 -13 *1701:11 *5711:186 0.00021906 -14 *1701:11 *5861:75 0.000554175 -15 *1701:11 *6225:79 0.000280592 -16 *24911:B *1701:11 0.00042895 -17 *1237:5 *1701:11 2.59355e-05 -18 *1257:120 *1701:11 0.00133776 -19 *1417:10 *1701:11 7.81933e-05 -20 *1426:14 *1701:11 0.000109425 -21 *1590:15 *1701:11 0.000167577 -22 *1653:35 *25388:B1 0.000140521 -*RES -1 *25385:X *1701:11 46.4964 -2 *1701:11 *25388:B1 20.7821 -*END - -*D_NET *1702 0.00320758 -*CONN -*I *25387:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25386:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25387:C1 0.000848008 -2 *25386:X 0.000848008 -3 *25387:C1 *25270:B2 6.24758e-05 -4 *25387:C1 *28398:RESET_B 5.33005e-05 -5 *25387:C1 *2780:72 0.000473785 -6 *25387:C1 *5517:18 0.000472291 -7 *25289:B1 *25387:C1 0.000265453 -8 *25387:B1 *25387:C1 2.84026e-05 -9 *1250:163 *25387:C1 0.000155855 -*RES -1 *25386:X *25387:C1 42.1536 -*END - -*D_NET *1703 0.0019177 -*CONN -*I *25388:C1 I *D sky130_fd_sc_hd__a211o_1 -*I *25387:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25388:C1 0.000291119 -2 *25387:X 0.000291119 -3 *25388:C1 *25388:A1 0.000139625 -4 *25388:C1 *5522:56 0.00037032 -5 *25388:C1 *5522:61 0.000122323 -6 *25388:C1 *5618:85 1.02936e-05 -7 *1254:116 *25388:C1 0.000309905 -8 *1289:55 *25388:C1 0.000226894 -9 *1455:19 *25388:C1 0.000156101 -*RES -1 *25387:X *25388:C1 37.5464 -*END - -*D_NET *1704 0.0122577 -*CONN -*I *25389:C1 I *D sky130_fd_sc_hd__a2111o_1 -*I *25388:X O *D sky130_fd_sc_hd__a211o_1 -*CAP -1 *25389:C1 0.0010003 -2 *25388:X 0.00256029 -3 *1704:26 0.00356059 -4 *25389:C1 *25389:A1 9.41642e-05 -5 *25389:C1 *25892:A1 6.09762e-05 -6 *25389:C1 *1890:35 2.49484e-05 -7 *25389:C1 *1890:39 0.000477098 -8 *25389:C1 *2855:32 1.21258e-05 -9 *25389:C1 *3641:28 0.00034188 -10 *25389:C1 *3972:44 4.30584e-06 -11 *25389:C1 *5483:145 0.000335732 -12 *25389:C1 *5585:70 3.47826e-05 -13 *25389:C1 *5629:20 0.000109196 -14 *25389:C1 *5691:109 0.000101271 -15 *1704:26 *25893:S 2.72226e-05 -16 *1704:26 *30833:A 0.000189165 -17 *1704:26 *1890:39 0.000110318 -18 *1704:26 *1890:47 0.000260952 -19 *1704:26 *2850:37 1.52588e-05 -20 *1704:26 *4952:14 0.00225488 -21 *1704:26 *5483:135 5.7836e-06 -22 *1704:26 *5483:145 0.000260371 -23 *1704:26 *5869:32 2.21972e-05 -24 *25099:B1 *1704:26 6.58659e-05 -25 *25263:A2 *1704:26 0 -26 *25389:A2 *25389:C1 9.99644e-06 -27 *1218:46 *1704:26 0.000145575 -28 *1426:14 *1704:26 0 -29 *1485:23 *1704:26 0.000172457 -*RES -1 *25388:X *1704:26 49.4155 -2 *1704:26 *25389:C1 32.7554 -*END - -*D_NET *1705 0.0167812 -*CONN -*I *25414:C I *D sky130_fd_sc_hd__or4_4 -*I *25389:X O *D sky130_fd_sc_hd__a2111o_1 -*CAP -1 *25414:C 0.000754541 -2 *25389:X 0.000923875 -3 *1705:17 0.00218345 -4 *1705:13 0.00235278 -5 *25414:C *1730:13 9.93653e-06 -6 *25414:C *3662:79 0.000156591 -7 *25414:C *5687:73 5.75573e-05 -8 *1705:13 *25095:B 0.000122856 -9 *1705:13 *25351:A 0.000203112 -10 *1705:13 *25389:A1 0.000641001 -11 *1705:13 *26837:C1 2.63501e-05 -12 *1705:13 *1723:27 5.59084e-05 -13 *1705:13 *2855:32 0.000640398 -14 *1705:13 *3183:77 8.41284e-06 -15 *1705:13 *5634:52 0.000149826 -16 *1705:13 *5711:193 0.000664291 -17 *1705:17 *24950:B 0.000163062 -18 *1705:17 *2882:46 9.91086e-05 -19 *1705:17 *2891:24 7.40526e-05 -20 *1705:17 *3176:69 0.000216755 -21 *1705:17 *3183:77 0.00150853 -22 *1705:17 *5618:6 4.00559e-05 -23 *1705:17 *5626:151 0.000110179 -24 *25097:A2 *1705:13 4.43299e-05 -25 *25282:A *1705:13 4.00349e-05 -26 *25351:B *1705:13 0.000128164 -27 *25414:A *25414:C 5.3168e-05 -28 *1224:26 *1705:17 0.000839458 -29 *1224:30 *1705:17 0.00058454 -30 *1227:26 *25414:C 0.000192997 -31 *1235:35 *1705:17 0.00190892 -32 *1276:43 *25414:C 0.000191475 -33 *1416:53 *1705:17 0.000345888 -34 *1482:8 *1705:17 1.02433e-05 -35 *1601:6 *1705:13 0.00125698 -36 *1601:6 *1705:17 2.23987e-05 -*RES -1 *25389:X *1705:13 45.3564 -2 *1705:13 *1705:17 46.4196 -3 *1705:17 *25414:C 28.867 -*END - -*D_NET *1706 0.0020382 -*CONN -*I *25413:A I *D sky130_fd_sc_hd__or4_1 -*I *25390:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25413:A 0.000502812 -2 *25390:X 0.000502812 -3 *25413:A *25413:C 5.49489e-05 -4 *25413:A *25942:A 5.33005e-05 -5 *25413:A *3875:63 0 -6 *25413:A *3985:33 0.000218404 -7 *1276:21 *25413:A 0.000473918 -8 *1401:77 *25413:A 4.19624e-06 -9 *1657:40 *25413:A 0.000227814 -*RES -1 *25390:X *25413:A 36.8143 -*END - -*D_NET *1707 0.00169829 -*CONN -*I *25396:B1 I *D sky130_fd_sc_hd__a2111o_1 -*I *25391:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25396:B1 0.00022716 -2 *25391:X 0.00022716 -3 *25396:B1 *25269:B2 0.000559259 -4 *25396:B1 *3209:52 0.00047308 -5 *25396:B1 *3875:63 9.97552e-05 -6 *25396:B1 *6317:100 9.97552e-05 -7 *25269:B1 *25396:B1 1.21258e-05 -*RES -1 *25391:X *25396:B1 34.8143 -*END - -*D_NET *1708 0.0185746 -*CONN -*I *25393:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25392:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25393:C1 0.00239823 -2 *25392:X 0.00193303 -3 *1708:14 0.00433125 -4 *25393:C1 *25391:B2 0.000262498 -5 *25393:C1 *27539:B2 4.88511e-05 -6 *25393:C1 *27540:A 0.000190941 -7 *25393:C1 *2834:68 0 -8 *25393:C1 *2845:37 1.02975e-05 -9 *25393:C1 *2845:45 0.000199879 -10 *25393:C1 *2855:39 0.00061128 -11 *25393:C1 *3663:56 0.000139491 -12 *25393:C1 *3778:44 0.000104243 -13 *25393:C1 *3780:34 0.00020049 -14 *25393:C1 *3827:24 0.000198769 -15 *25393:C1 *3853:72 0.000136951 -16 *25393:C1 *4074:59 7.95355e-05 -17 *25393:C1 *5629:71 9.60939e-05 -18 *25393:C1 *5698:26 0.000373137 -19 *1708:14 *27849:B1 0.000300406 -20 *1708:14 *27849:B2 2.89114e-05 -21 *1708:14 *27865:B 0.000472902 -22 *1708:14 *27865:C 0.000513322 -23 *1708:14 *2850:72 4.29471e-05 -24 *1708:14 *3409:13 0.000177815 -25 *1708:14 *3724:39 4.11173e-05 -26 *1708:14 *4074:23 0.000112949 -27 *1708:14 *4074:34 0.000135836 -28 *1421:33 *25393:C1 0.00168117 -29 *1421:53 *1708:14 0.000598579 -30 *1427:41 *25393:C1 0.00244257 -31 *1427:59 *25393:C1 0.000694641 -32 *1449:135 *1708:14 1.64621e-05 -*RES -1 *25392:X *1708:14 47.6296 -2 *1708:14 *25393:C1 43.4917 -*END - -*D_NET *1709 0.0019176 -*CONN -*I *25396:C1 I *D sky130_fd_sc_hd__a2111o_1 -*I *25393:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25396:C1 0.000585799 -2 *25393:X 0.000585799 -3 *25396:C1 *25269:B2 8.93791e-05 -4 *25396:C1 *27906:A1 7.40526e-05 -5 *25396:C1 *2855:39 0.000506989 -6 *1629:14 *25396:C1 7.55769e-05 -*RES -1 *25393:X *25396:C1 35.4571 -*END - -*D_NET *1710 0.00277371 -*CONN -*I *25395:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25394:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25395:C1 0.000640252 -2 *25394:X 0.000640252 -3 *25395:C1 *3182:55 0.000471581 -4 *1281:64 *25395:C1 0.000681076 -5 *1471:140 *25395:C1 0.00034055 -*RES -1 *25394:X *25395:C1 41.1714 -*END - -*D_NET *1711 0.00740969 -*CONN -*I *25396:D1 I *D sky130_fd_sc_hd__a2111o_1 -*I *25395:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25396:D1 0 -2 *25395:X 0.00132155 -3 *1711:8 0.00132155 -4 *1711:8 *25412:B 0.000541768 -5 *1711:8 *25413:B 4.00228e-05 -6 *1711:8 *27906:A1 0.000139435 -7 *1711:8 *2886:41 4.04292e-05 -8 *1711:8 *4022:94 0.000973624 -9 *1711:8 *5651:39 0.000165495 -10 *1281:64 *1711:8 0.000142266 -11 *1363:88 *1711:8 0.000262686 -12 *1471:127 *1711:8 0.000144447 -13 *1471:140 *1711:8 0.00231642 -*RES -1 *25395:X *1711:8 49.6036 -2 *1711:8 *25396:D1 13.8 -*END - -*D_NET *1712 0.0039147 -*CONN -*I *25413:B I *D sky130_fd_sc_hd__or4_1 -*I *25396:X O *D sky130_fd_sc_hd__a2111o_1 -*CAP -1 *25413:B 0.000837779 -2 *25396:X 0.000837779 -3 *25413:B *25412:B 6.46584e-05 -4 *25413:B *27906:A1 0.000490122 -5 *25413:B *1722:21 4.21517e-05 -6 *25413:B *3170:51 0.000426133 -7 *25413:B *3546:22 2.04825e-05 -8 *25413:B *4022:94 0.000160883 -9 *1629:14 *25413:B 0.000994688 -10 *1711:8 *25413:B 4.00228e-05 -*RES -1 *25396:X *25413:B 45.3143 -*END - -*D_NET *1713 0.0249541 -*CONN -*I *25398:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25397:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25398:C1 0 -2 *25397:X 0.00105866 -3 *1713:26 0.00489319 -4 *1713:13 0.00595185 -5 *1713:13 *27874:A2 0.000221815 -6 *1713:13 *2852:191 0.000191587 -7 *1713:13 *2882:53 1.24368e-05 -8 *1713:13 *2882:64 8.43535e-06 -9 *1713:13 *3153:80 7.69776e-06 -10 *1713:13 *3174:83 0.000511534 -11 *1713:13 *3663:65 0.000152676 -12 *1713:13 *3971:59 0.000264596 -13 *1713:13 *5653:165 0.000602643 -14 *1713:26 *25390:B2 0.000107024 -15 *1713:26 *27856:B 0.000131026 -16 *1713:26 *27892:A2 0.000220704 -17 *1713:26 *27892:B1 0.000202142 -18 *1713:26 *27904:C 0.000134892 -19 *1713:26 *29394:A 0.000117089 -20 *1713:26 *29430:A 0.0003419 -21 *1713:26 *3153:55 0.00101466 -22 *1713:26 *3205:72 0.000749519 -23 *1713:26 *3441:24 0.00149857 -24 *1713:26 *3460:21 9.09604e-05 -25 *1713:26 *3469:8 0.000148319 -26 *1713:26 *3482:38 0.000220315 -27 *1713:26 *3506:19 6.57893e-06 -28 *1713:26 *3556:20 0.000289312 -29 *1713:26 *3556:29 0.000101808 -30 *1713:26 *3661:31 8.85341e-05 -31 *1713:26 *3661:36 0.000226869 -32 *1713:26 *5500:57 0.000113898 -33 *1713:26 *5661:64 0.000172701 -34 *1713:26 *5718:88 0.00212568 -35 *1713:26 *5718:95 0.000209665 -36 *1713:26 *5947:74 0.000170765 -37 *458:10 *1713:26 0.000218616 -38 *473:29 *1713:26 8.77988e-05 -39 *1140:10 *1713:26 0.000216706 -40 *1294:81 *1713:26 0.000113898 -41 *1470:64 *1713:26 0 -42 *1612:8 *1713:26 0.000105199 -43 *1624:76 *1713:26 0.00181174 -44 *1644:19 *1713:26 4.00349e-05 -*RES -1 *25397:X *1713:13 41.7643 -2 *1713:13 *1713:26 48.7553 -3 *1713:26 *25398:C1 13.8 -*END - -*D_NET *1714 0.00845615 -*CONN -*I *25405:A I *D sky130_fd_sc_hd__or4_1 -*I *25398:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25405:A 0 -2 *25398:X 0.00233061 -3 *1714:23 0.00233061 -4 *1714:23 *25405:C 3.89847e-05 -5 *1714:23 *25405:D 0.000565134 -6 *1714:23 *25939:A0 7.40571e-05 -7 *1714:23 *28382:CLK 0.000288218 -8 *1714:23 *1716:11 0.000486049 -9 *1714:23 *1721:10 7.61603e-05 -10 *1714:23 *3460:21 0.00164663 -11 *1714:23 *3568:99 2.58693e-05 -12 *1714:23 *3805:47 1.18927e-05 -13 *1714:23 *3986:26 0 -14 *1714:23 *3999:8 0.000106325 -15 *25398:A2 *1714:23 3.69047e-06 -16 *1257:99 *1714:23 0.000465379 -17 *1263:88 *1714:23 0 -18 *1471:37 *1714:23 6.5458e-06 -*RES -1 *25398:X *1714:23 46.7984 -2 *1714:23 *25405:A 9.3 -*END - -*D_NET *1715 0.0138423 -*CONN -*I *25400:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25399:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25400:C1 0.00102576 -2 *25399:X 0.00300757 -3 *1715:18 0.00403332 -4 *25400:C1 *27918:A1 2.8078e-05 -5 *25400:C1 *29615:A 1.98839e-05 -6 *25400:C1 *5037:11 0.000209156 -7 *25400:C1 *5491:30 9.21418e-06 -8 *25400:C1 *5520:48 0.000486984 -9 *1715:18 *25400:B2 0.000874819 -10 *1715:18 *25617:A0 5.33005e-05 -11 *1715:18 *26822:A1 9.41642e-05 -12 *1715:18 *28365:D 7.54055e-05 -13 *1715:18 *3125:10 4.38243e-05 -14 *1715:18 *3145:32 8.92205e-05 -15 *1715:18 *3918:83 0.000640157 -16 *1715:18 *5421:10 6.25005e-05 -17 *1715:18 *5488:64 1.82241e-05 -18 *1715:18 *5520:48 0.000260769 -19 *1715:18 *5599:158 0.00038599 -20 *1715:18 *5685:126 8.51512e-05 -21 *1715:18 *5685:142 6.62161e-05 -22 *1715:18 *5705:139 0.000137983 -23 *28809:D *25400:C1 3.51393e-05 -24 *28809:D *1715:18 6.25005e-05 -25 *460:33 *1715:18 0.000104768 -26 *723:24 *25400:C1 0.000947124 -27 *1264:50 *1715:18 0.000985073 -*RES -1 *25399:X *1715:18 47.7311 -2 *1715:18 *25400:C1 41.5321 -*END - -*D_NET *1716 0.00495201 -*CONN -*I *25405:B I *D sky130_fd_sc_hd__or4_1 -*I *25400:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25405:B 0 -2 *25400:X 0.00142537 -3 *1716:11 0.00142537 -4 *1716:11 *25150:B 8.43368e-05 -5 *1716:11 *25400:A1 0.000285141 -6 *1716:11 *3568:99 0.00072719 -7 *1716:11 *3986:26 0.000121842 -8 *1716:11 *5040:13 0 -9 *1716:11 *6338:23 0.000396704 -10 *1327:141 *1716:11 0 -11 *1714:23 *1716:11 0.000486049 -*RES -1 *25400:X *1716:11 41.1214 -2 *1716:11 *25405:B 9.3 -*END - -*D_NET *1717 0.0130234 -*CONN -*I *25402:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25401:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25402:C1 8.64433e-05 -2 *25401:X 0.0013978 -3 *1717:25 0.00198441 -4 *1717:14 0.00329577 -5 *25402:C1 *5636:10 0.000170646 -6 *1717:14 *25037:A1 3.19294e-05 -7 *1717:14 *25401:A1 1.74352e-05 -8 *1717:14 *25401:B2 1.21258e-05 -9 *1717:14 *25952:A1 0.000129586 -10 *1717:14 *27095:A0 3.97677e-05 -11 *1717:14 *28441:D 0.00014652 -12 *1717:14 *28441:RESET_B 0.000156087 -13 *1717:14 *28783:D 4.49983e-05 -14 *1717:14 *28783:RESET_B 7.81424e-05 -15 *1717:14 *2751:20 0.000199786 -16 *1717:14 *2751:22 5.7066e-05 -17 *1717:14 *3569:78 0.0010896 -18 *1717:14 *4352:19 0 -19 *1717:14 *5310:18 0.000297839 -20 *1717:14 *5352:22 0.000141174 -21 *1717:14 *5512:50 5.52238e-05 -22 *1717:14 *5548:16 9.50088e-05 -23 *1717:14 *5570:23 0 -24 *1717:14 *5680:276 5.95527e-06 -25 *1717:14 *5680:278 2.44318e-05 -26 *1717:14 *5718:70 8.81911e-05 -27 *1717:14 *5746:132 2.18938e-05 -28 *1717:14 *5926:174 9.47364e-06 -29 *1717:25 *27050:A0 3.68799e-05 -30 *1717:25 *27050:A1 9.25014e-06 -31 *1717:25 *27050:S 9.90431e-05 -32 *1717:25 *27056:A1 0.000420985 -33 *1717:25 *28122:D 0.000181796 -34 *1717:25 *2751:14 9.41642e-05 -35 *1717:25 *2778:35 0.000386121 -36 *1717:25 *2784:54 0.000101136 -37 *1717:25 *3820:18 0.00018077 -38 *1717:25 *4352:35 0.000899078 -39 *1717:25 *5448:21 4.52563e-05 -40 *1717:25 *5687:153 0.000238536 -41 *1717:25 *5707:172 9.41642e-05 -42 *25401:A2 *1717:14 0.00010219 -43 *29878:A *1717:25 5.74499e-06 -44 *282:19 *25402:C1 5.4061e-05 -45 *770:24 *1717:14 0.000396905 -*RES -1 *25401:X *1717:14 46.9786 -2 *1717:14 *1717:25 48.3393 -3 *1717:25 *25402:C1 16.05 -*END - -*D_NET *1718 0.00794452 -*CONN -*I *25405:C I *D sky130_fd_sc_hd__or4_1 -*I *25402:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25405:C 0.000187487 -2 *25402:X 0.0012646 -3 *1718:12 0.00145208 -4 *25405:C *25134:A 5.52238e-05 -5 *25405:C *25270:A1 0.000124649 -6 *25405:C *25404:A1 2.14757e-05 -7 *25405:C *25405:D 5.04841e-06 -8 *25405:C *1721:10 1.82549e-05 -9 *25405:C *5508:44 5.52238e-05 -10 *25405:C *5605:10 4.98055e-06 -11 *25405:C *5622:93 5.33005e-05 -12 *1718:12 *25402:B2 1.02936e-05 -13 *1718:12 *26846:B1 0.000476702 -14 *1718:12 *29268:A 9.41642e-05 -15 *1718:12 *3820:25 0.000429072 -16 *1718:12 *3972:13 0.000136951 -17 *1718:12 *5605:10 0.000233896 -18 *1718:12 *5622:106 0.000213146 -19 *1718:12 *5624:132 0.00137133 -20 *1278:23 *1718:12 0.00154313 -21 *1504:16 *25405:C 0.000149543 -22 *1504:16 *1718:12 4.98055e-06 -23 *1714:23 *25405:C 3.89847e-05 -*RES -1 *25402:X *1718:12 45.9786 -2 *1718:12 *25405:C 27.4786 -*END - -*D_NET *1719 0.0700324 -*CONN -*I *25404:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *6607:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25403:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *25404:C1 0 -2 *6607:DIODE 7.01279e-05 -3 *25403:X 0.000264887 -4 *1719:20 0.000112869 -5 *1719:12 0.0123087 -6 *1719:11 0.0125308 -7 *1719:11 *3790:106 0.000343758 -8 *1719:11 *5650:297 2.87385e-05 -9 *1719:12 *25196:B2 0.000301293 -10 *1719:12 *27281:A1 0.000289865 -11 *1719:12 *27905:C1 0.00351427 -12 *1719:12 *1722:21 0.000686133 -13 *1719:12 *1781:16 0.00015461 -14 *1719:12 *2874:305 0.000927965 -15 *1719:12 *3173:158 0.000765426 -16 *1719:12 *3771:28 0.000508314 -17 *1719:12 *3784:36 0 -18 *1719:12 *3784:79 0.000484842 -19 *1719:12 *3845:59 0.00786636 -20 *1719:12 *3888:10 0.000111243 -21 *1719:12 *3888:12 0.00120905 -22 *1719:12 *4088:26 0.000130203 -23 *1719:12 *5613:46 7.3897e-05 -24 *1719:12 *5665:24 0.00029205 -25 *1719:12 *5688:115 0.000273034 -26 *1719:12 *5891:18 0.00448348 -27 *1719:12 *5891:28 0.000607202 -28 *1719:20 *25404:B2 4.26825e-05 -29 *1719:20 *5711:86 4.48128e-05 -30 *24924:A *1719:12 9.68667e-05 -31 *30788:A *1719:12 0.00158194 -32 *1235:165 *1719:11 0.000345841 -33 *1239:90 *1719:12 0.00230233 -34 *1242:61 *1719:12 0.00216901 -35 *1257:99 *1719:12 0.00013875 -36 *1257:99 *1719:20 9.02925e-05 -37 *1262:53 *1719:12 0.0037373 -38 *1269:86 *1719:12 1.65282e-05 -39 *1281:30 *1719:12 0.00134033 -40 *1281:75 *1719:12 0.000106106 -41 *1282:158 *1719:12 0.00114535 -42 *1327:98 *6607:DIODE 7.43578e-06 -43 *1401:95 *1719:12 0.000332837 -44 *1411:50 *1719:12 0.000408335 -45 *1411:60 *1719:12 0.00242174 -46 *1415:38 *1719:12 0.000113602 -47 *1420:40 *1719:12 0.000661244 -48 *1437:84 *1719:12 4.61648e-06 -49 *1448:100 *1719:12 0.00025254 -50 *1456:38 *1719:12 0.00252232 -51 *1457:99 *1719:12 0.00119462 -52 *1471:37 *1719:20 9.98011e-05 -53 *1471:140 *1719:12 0.000152031 -54 *1624:43 *6607:DIODE 7.16452e-05 -55 *1627:12 *1719:12 0.000292315 -*RES -1 *25403:X *1719:11 25.446 -2 *1719:11 *1719:12 54.0594 -3 *1719:12 *1719:20 12.0555 -4 *1719:20 *6607:DIODE 10.6571 -5 *1719:20 *25404:C1 9.3 -*END - -*D_NET *1720 0.00268958 -*CONN -*I *25405:D I *D sky130_fd_sc_hd__or4_1 -*I *25404:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25405:D 0.000829915 -2 *25404:X 0.000829915 -3 *25405:D *25120:A 9.60875e-05 -4 *25405:D *5040:7 1.28239e-05 -5 *25120:B *25405:D 5.33005e-05 -6 *25405:C *25405:D 5.04841e-06 -7 *29269:A *25405:D 0.000160273 -8 *1441:14 *25405:D 2.59355e-05 -9 *1624:43 *25405:D 0.000111143 -10 *1714:23 *25405:D 0.000565134 -*RES -1 *25404:X *25405:D 32.3321 -*END - -*D_NET *1721 0.0181018 -*CONN -*I *25413:C I *D sky130_fd_sc_hd__or4_1 -*I *25405:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *25413:C 0.000163038 -2 *25405:X 0.00022494 -3 *1721:35 0.00205743 -4 *1721:14 0.00321665 -5 *1721:10 0.00154721 -6 *25413:C *25330:A1 0.000112777 -7 *25413:C *25942:A 8.71161e-05 -8 *25413:C *26837:B1 4.25569e-05 -9 *25413:C *3170:51 0.000392856 -10 *25413:C *3921:77 9.83001e-05 -11 *1721:10 *5698:203 6.5919e-05 -12 *1721:14 *25957:A0 1.02366e-05 -13 *1721:14 *27919:A2 0.000680203 -14 *1721:14 *28394:D 5.92328e-05 -15 *1721:14 *29150:A 0.000167872 -16 *1721:14 *3648:98 0 -17 *1721:14 *3843:17 3.82587e-05 -18 *1721:14 *3845:9 0.000321075 -19 *1721:14 *5430:12 2.07339e-05 -20 *1721:14 *5599:91 7.915e-05 -21 *1721:14 *5620:46 6.36411e-05 -22 *1721:14 *5691:157 0.000453809 -23 *1721:14 *5698:203 2.52223e-05 -24 *1721:35 *25095:B 0.000494533 -25 *1721:35 *25241:B2 5.1588e-05 -26 *1721:35 *27905:A2 0.000486066 -27 *1721:35 *28436:CLK 9.63528e-05 -28 *1721:35 *2853:48 7.15555e-05 -29 *1721:35 *2855:32 0.000137983 -30 *1721:35 *2875:63 0.000583181 -31 *1721:35 *3183:86 6.52093e-05 -32 *1721:35 *3647:39 0.000836616 -33 *1721:35 *3843:17 6.54117e-05 -34 *1721:35 *3921:77 6.06448e-05 -35 *1721:35 *5624:18 6.90187e-05 -36 *1721:35 *5634:52 1.22185e-05 -37 *1721:35 *5711:193 0.00114818 -38 *1721:35 *5947:56 0.000543818 -39 *25241:B1 *1721:35 6.28203e-05 -40 *25282:D *1721:14 0.000304927 -41 *25282:D *1721:35 0.00024913 -42 *25405:C *1721:10 1.82549e-05 -43 *25413:A *25413:C 5.49489e-05 -44 *1235:52 *1721:14 0.00038767 -45 *1235:54 *1721:14 0.000321768 -46 *1273:47 *1721:14 0.000196834 -47 *1453:17 *1721:35 0.000159968 -48 *1455:11 *1721:10 2.70899e-05 -49 *1455:11 *1721:14 4.53834e-05 -50 *1455:28 *1721:14 0.000614357 -51 *1504:8 *1721:35 2.70725e-06 -52 *1535:39 *1721:14 1.90936e-05 -53 *1560:16 *1721:35 9.54536e-05 -54 *1600:41 *1721:14 0.000755749 -55 *1668:15 *1721:35 5.88922e-05 -56 *1714:23 *1721:10 7.61603e-05 -*RES -1 *25405:X *1721:10 16.9875 -2 *1721:10 *1721:14 36.4821 -3 *1721:14 *1721:35 49.5296 -4 *1721:35 *25413:C 19.1125 -*END - -*D_NET *1722 0.0172208 -*CONN -*I *25412:A I *D sky130_fd_sc_hd__or4_1 -*I *25406:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25412:A 0 -2 *25406:X 0.00528096 -3 *1722:21 0.00528096 -4 *1722:21 *25097:A1 8.20082e-05 -5 *1722:21 *25412:B 4.00349e-05 -6 *1722:21 *28857:A 2.59355e-05 -7 *1722:21 *2848:51 1.24368e-05 -8 *1722:21 *2848:53 2.12087e-05 -9 *1722:21 *3845:59 0.00176996 -10 *1722:21 *5153:8 2.06112e-05 -11 *1722:21 *5498:54 0.000398864 -12 *1722:21 *5619:22 0.000114258 -13 *1722:21 *5646:34 0.000297775 -14 *1722:21 *5665:14 0.00010856 -15 *1722:21 *5665:24 0 -16 *1722:21 *5685:76 0.000659626 -17 *1722:21 *5705:169 0 -18 *1722:21 *5718:77 2.01997e-05 -19 *25413:B *1722:21 4.21517e-05 -20 *1264:50 *1722:21 5.19509e-05 -21 *1328:46 *1722:21 0 -22 *1420:22 *1722:21 0.00218168 -23 *1452:103 *1722:21 0.000103262 -24 *1616:8 *1722:21 2.21972e-05 -25 *1719:12 *1722:21 0.000686133 -*RES -1 *25406:X *1722:21 47.8277 -2 *1722:21 *25412:A 9.3 -*END - -*D_NET *1723 0.0193191 -*CONN -*I *25408:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25407:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25408:C1 0 -2 *25407:X 0.00418209 -3 *1723:27 0.00418209 -4 *1723:27 *25407:A1 2.95076e-06 -5 *1723:27 *25408:B2 0.000257619 -6 *1723:27 *26837:B1 0.000371886 -7 *1723:27 *26837:C1 0.000446649 -8 *1723:27 *2875:46 7.60915e-05 -9 *1723:27 *2891:24 0.00214329 -10 *1723:27 *3164:56 8.94556e-05 -11 *1723:27 *3174:56 0.00125651 -12 *1723:27 *3777:51 0.000135471 -13 *1723:27 *5634:52 0.000232323 -14 *1723:27 *5661:21 0.000754713 -15 *1723:27 *5665:6 2.26973e-05 -16 *1723:27 *5666:250 0.000787879 -17 *25265:C1 *1723:27 9.83936e-06 -18 *25408:B1 *1723:27 0.000127822 -19 *1252:26 *1723:27 1.94879e-05 -20 *1265:11 *1723:27 0.000105387 -21 *1265:27 *1723:27 0.000424042 -22 *1265:228 *1723:27 0.000983613 -23 *1277:16 *1723:27 0.00205531 -24 *1277:44 *1723:27 1.06307e-05 -25 *1416:31 *1723:27 8.83449e-05 -26 *1453:17 *1723:27 0.00019107 -27 *1535:39 *1723:27 0.000131038 -28 *1536:27 *1723:27 8.65622e-05 -29 *1670:20 *1723:27 8.83488e-05 -30 *1705:13 *1723:27 5.59084e-05 -*RES -1 *25407:X *1723:27 41.1366 -2 *1723:27 *25408:C1 9.3 -*END - -*D_NET *1724 0.00195691 -*CONN -*I *25412:B I *D sky130_fd_sc_hd__or4_1 -*I *25408:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25412:B 0.0004229 -2 *25408:X 0.0004229 -3 *25412:B *25408:A1 5.33005e-05 -4 *25412:B *2848:53 5.49544e-05 -5 *25412:B *3546:22 1.90936e-05 -6 *25412:B *4022:94 0.000337299 -7 *25413:B *25412:B 6.46584e-05 -8 *1711:8 *25412:B 0.000541768 -9 *1722:21 *25412:B 4.00349e-05 -*RES -1 *25408:X *25412:B 36.6179 -*END - -*D_NET *1725 0.0113511 -*CONN -*I *25410:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25409:X O *D sky130_fd_sc_hd__a32o_1 -*CAP -1 *25410:C1 0.000161492 -2 *25409:X 2.8557e-05 -3 *1725:8 0.00190003 -4 *1725:7 0.00176709 -5 *25410:C1 *25410:B2 0.000546198 -6 *1725:8 *25272:B2 6.68069e-05 -7 *1725:8 *27858:B2 2.06178e-05 -8 *1725:8 *27901:A1 0.00125399 -9 *1725:8 *27901:B1 0.000729521 -10 *1725:8 *27902:B2 4.6387e-05 -11 *1725:8 *27902:C1 0.000149414 -12 *1725:8 *27916:B 7.55747e-05 -13 *1725:8 *27917:B2 9.87489e-05 -14 *1725:8 *3459:8 0 -15 *1725:8 *3778:77 0.00093703 -16 *1725:8 *3788:49 5.04925e-05 -17 *1725:8 *3973:83 0.000129688 -18 *1725:8 *5581:55 0.00053498 -19 *1725:8 *5666:231 0.000102583 -20 *1725:8 *5685:52 0.000785122 -21 *25294:B1 *1725:8 9.44538e-05 -22 *1401:37 *1725:7 3.4879e-05 -23 *1481:18 *1725:8 0.00118292 -24 *1547:36 *25410:C1 0.000549154 -25 *1600:19 *1725:7 9.60939e-05 -26 *1624:55 *1725:8 9.25014e-06 -*RES -1 *25409:X *1725:7 14.7464 -2 *1725:7 *1725:8 50.8214 -3 *1725:8 *25410:C1 19.2643 -*END - -*D_NET *1726 0.00132247 -*CONN -*I *25412:C I *D sky130_fd_sc_hd__or4_1 -*I *25410:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25412:C 0.000216821 -2 *25410:X 0.000216821 -3 *25412:C *28857:A 6.06291e-05 -4 *25412:C *2848:53 0.000218409 -5 *25412:C *3389:28 0.000301008 -6 *25412:C *5661:64 6.05161e-06 -7 *1252:24 *25412:C 0.00030273 -*RES -1 *25410:X *25412:C 33.85 -*END - -*D_NET *1727 0.00831707 -*CONN -*I *25412:D I *D sky130_fd_sc_hd__or4_1 -*I *25411:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *25412:D 0.000979924 -2 *25411:X 0.00177479 -3 *1727:19 0.00275472 -4 *25412:D *28436:RESET_B 0.000349608 -5 *25412:D *2853:48 0.000142979 -6 *25412:D *4022:94 0.000233198 -7 *25412:D *4088:56 9.82379e-05 -8 *25412:D *5624:18 1.48556e-05 -9 *25412:D *5947:74 0.000122032 -10 *1727:19 *5624:18 0.000707183 -11 *25411:B1 *1727:19 0.000242312 -12 *25411:C1 *1727:19 0.00030355 -13 *26837:A2 *1727:19 8.59659e-05 -14 *30550:A *1727:19 0.000301948 -15 *1253:98 *1727:19 0.000123804 -16 *1257:46 *1727:19 2.231e-05 -17 *1668:15 *1727:19 5.96516e-05 -*RES -1 *25411:X *1727:19 42.2464 -2 *1727:19 *25412:D 31.2643 -*END - -*D_NET *1728 0.00177077 -*CONN -*I *25413:D I *D sky130_fd_sc_hd__or4_1 -*I *25412:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *25413:D 0.000396608 -2 *25412:X 0.000396608 -3 *25413:D *25942:A 5.33005e-05 -4 *25413:D *28610:CLK 5.49544e-05 -5 *25413:D *2848:51 7.74391e-05 -6 *25413:D *3170:51 2.59355e-05 -7 *25413:D *3546:22 9.58126e-05 -8 *25413:D *5622:48 0.000334761 -9 *25330:A2 *25413:D 0.000335354 -*RES -1 *25412:X *25413:D 36.2429 -*END - -*D_NET *1729 0.00932718 -*CONN -*I *25414:D I *D sky130_fd_sc_hd__or4_4 -*I *25413:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *25414:D 0 -2 *25413:X 0.000302586 -3 *1729:10 0.00151483 -4 *1729:7 0.00181741 -5 *1729:7 *25942:A 0.000333121 -6 *1729:10 *25394:B2 0.000198599 -7 *1729:10 *2894:54 0.000429857 -8 *1729:10 *3153:32 7.29712e-05 -9 *1729:10 *3174:56 6.46107e-05 -10 *1729:10 *4022:76 0.000170128 -11 *1729:10 *5622:26 3.58828e-05 -12 *1729:10 *5622:48 1.54952e-05 -13 *25390:A2 *1729:10 4.04359e-05 -14 *25395:A2 *1729:10 0.00182699 -15 *1244:141 *1729:10 4.33002e-05 -16 *1281:40 *1729:10 0.000116964 -17 *1281:60 *1729:10 7.35637e-05 -18 *1420:40 *1729:10 0.000819658 -19 *1437:13 *1729:10 0.000165653 -20 *1437:69 *1729:10 0.00022378 -21 *1437:84 *1729:10 0.000285979 -22 *1471:140 *1729:10 0.000642766 -23 *1657:54 *1729:10 0.000132607 -*RES -1 *25413:X *1729:7 17.6214 -2 *1729:7 *1729:10 47.7321 -3 *1729:10 *25414:D 9.3 -*END - -*D_NET *1730 0.0593039 -*CONN -*I *25416:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25814:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25790:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27973:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25805:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25414:X O *D sky130_fd_sc_hd__or4_4 -*CAP -1 *25416:B1 0 -2 *25814:A1 0.000952836 -3 *25790:A1 4.52269e-05 -4 *27973:A1 0.000409446 -5 *25805:A1 0.000242611 -6 *25414:X 0.00269475 -7 *1730:114 0.00205582 -8 *1730:87 0.00135508 -9 *1730:76 0.0016454 -10 *1730:56 0.00263897 -11 *1730:41 0.00681772 -12 *1730:19 0.00662346 -13 *1730:13 0.0053453 -14 *25790:A1 *25790:A0 5.33005e-05 -15 *25790:A1 *28090:CLK 2.28598e-05 -16 *25790:A1 *5742:207 6.05161e-06 -17 *25805:A1 *3561:19 0.000298483 -18 *25814:A1 *28078:CLK 0.000640564 -19 *25814:A1 *5326:11 0.000596344 -20 *27973:A1 *25794:A0 0.000437059 -21 *27973:A1 *25809:A1 0.000139009 -22 *27973:A1 *27973:S 5.52238e-05 -23 *27973:A1 *28855:A 5.33005e-05 -24 *1730:13 *25211:A1 0.000278614 -25 *1730:13 *27932:C1 2.83129e-05 -26 *1730:13 *3176:175 0.000218799 -27 *1730:13 *3206:125 0 -28 *1730:13 *3220:44 7.85461e-05 -29 *1730:13 *3776:54 0.000128752 -30 *1730:13 *3857:74 4.65519e-05 -31 *1730:13 *5605:99 6.8304e-05 -32 *1730:19 *25437:A1 0.000135028 -33 *1730:19 *27925:C1 0.000187959 -34 *1730:19 *28379:D 0.000342302 -35 *1730:19 *2845:127 0.000142979 -36 *1730:19 *2886:102 0.000136958 -37 *1730:19 *3185:320 0.000132238 -38 *1730:19 *3819:16 0.000588985 -39 *1730:19 *4126:85 6.77971e-05 -40 *1730:19 *5653:61 0.000112823 -41 *1730:19 *5691:61 0.000572141 -42 *1730:19 *5729:252 0.000185907 -43 *1730:41 *25416:B2 0.00192677 -44 *1730:41 *25437:A1 1.55885e-05 -45 *1730:41 *25439:A0 0 -46 *1730:41 *1744:46 0.00026752 -47 *1730:41 *1744:112 0.000149133 -48 *1730:41 *3452:6 2.04825e-05 -49 *1730:41 *3711:21 6.8839e-05 -50 *1730:41 *3724:20 1.90936e-05 -51 *1730:41 *3831:92 0.000120835 -52 *1730:41 *4126:84 0.00253949 -53 *1730:41 *4159:56 8.08438e-05 -54 *1730:41 *4160:38 0.000260688 -55 *1730:41 *4161:17 0.000242229 -56 *1730:41 *4161:80 0.00187922 -57 *1730:41 *5545:50 0.000656387 -58 *1730:41 *5691:61 5.33005e-05 -59 *1730:41 *5902:22 0.000310049 -60 *1730:56 *25441:A1 2.01997e-05 -61 *1730:56 *31002:A 0.000706775 -62 *1730:56 *4159:14 2.04825e-05 -63 *1730:56 *4195:67 0 -64 *1730:56 *5247:10 1.90936e-05 -65 *1730:56 *5542:41 4.37712e-06 -66 *1730:56 *5728:36 0.000278059 -67 *1730:56 *5728:53 0.000162116 -68 *1730:56 *5835:11 1.68244e-05 -69 *1730:76 *25790:A0 9.41642e-05 -70 *1730:76 *4159:14 4.49293e-05 -71 *1730:76 *5242:18 0.000122171 -72 *1730:76 *5247:10 0.000847368 -73 *1730:76 *5248:11 4.58194e-05 -74 *1730:76 *5575:30 0.000485384 -75 *1730:87 *25794:S 0 -76 *1730:87 *1760:13 7.62718e-05 -77 *1730:87 *1874:36 0 -78 *1730:87 *3564:22 0.000166411 -79 *1730:87 *5575:30 0.000401642 -80 *1730:114 *25476:A1 0.000158552 -81 *1730:114 *28048:A 7.19919e-05 -82 *1730:114 *29481:A 0.000133209 -83 *1730:114 *5236:20 0.000323351 -84 *1730:114 *5341:12 0 -85 *1730:114 *5545:59 7.06302e-05 -86 *1730:114 *6169:144 9.67805e-05 -87 *25073:A0 *1730:87 6.05161e-06 -88 *25169:A0 *1730:87 0.000266473 -89 *25169:S *1730:87 0.000136979 -90 *25331:C1 *1730:13 0.000289436 -91 *25395:A2 *1730:13 3.25078e-05 -92 *25414:A *1730:13 6.43196e-05 -93 *25414:C *1730:13 9.93653e-06 -94 *25416:A2 *1730:19 1.89695e-05 -95 *28087:D *1730:87 0.000102545 -96 *28165:SET_B *1730:41 0.000210452 -97 *28407:RESET_B *1730:114 0.000368659 -98 *28408:RESET_B *1730:56 0.000729712 -99 *28411:D *1730:87 4.02652e-05 -100 *29477:A *1730:76 0.000109492 -101 *411:25 *1730:114 0.000341161 -102 *414:22 *1730:87 0.000751851 -103 *740:19 *1730:41 1.4873e-05 -104 *1169:10 *1730:87 0.00016635 -105 *1173:82 *1730:41 0.000862489 -106 *1191:11 *1730:114 2.23987e-05 -107 *1191:76 *1730:76 0.000105993 -108 *1192:34 *1730:41 0.000179578 -109 *1194:14 *1730:41 0.000134265 -110 *1202:43 *25814:A1 8.43535e-06 -111 *1202:43 *1730:56 1.44868e-05 -112 *1202:43 *1730:114 0.00101399 -113 *1251:21 *1730:56 7.59402e-06 -114 *1273:203 *1730:13 0.00014014 -115 *1277:33 *1730:13 5.00194e-05 -116 *1281:75 *1730:13 0.00152504 -117 *1287:21 *1730:41 7.30203e-05 -118 *1323:24 *1730:114 0.000616122 -119 *1323:33 *1730:56 3.70868e-05 -120 *1324:23 *1730:56 2.04825e-05 -121 *1324:23 *1730:114 4.24287e-05 -122 *1324:113 *1730:87 0.000217665 -123 *1327:78 *1730:13 5.5659e-05 -124 *1358:69 *1730:87 0.00011927 -125 *1396:64 *1730:41 0 -126 *1420:40 *1730:13 0.000185417 -127 *1471:140 *1730:13 0.000305279 -128 *1494:48 *1730:13 0.000329648 -129 *1545:51 *1730:41 0 -130 *1545:51 *1730:114 0 -131 *1648:24 *1730:13 1.8441e-05 -*RES -1 *25414:X *1730:13 48.6007 -2 *1730:13 *1730:19 47.5982 -3 *1730:19 *1730:41 24.0548 -4 *1730:41 *1730:56 27.0617 -5 *1730:56 *25805:A1 16.8 -6 *1730:56 *1730:76 24.0027 -7 *1730:76 *1730:87 42.6964 -8 *1730:87 *27973:A1 17.6393 -9 *1730:76 *25790:A1 10.2464 -10 *1730:41 *1730:114 43.8115 -11 *1730:114 *25814:A1 21.7464 -12 *1730:19 *25416:B1 9.3 -*END - -*D_NET *1731 0.0200817 -*CONN -*I *25416:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25415:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *25416:B2 0.00234391 -2 *25415:Y 0.00187383 -3 *1731:19 0.00421774 -4 *25416:B2 *25437:A1 2.55806e-05 -5 *25416:B2 *27534:A1 2.11419e-05 -6 *25416:B2 *28139:D 1.24368e-05 -7 *25416:B2 *30243:A 0.0001438 -8 *25416:B2 *2871:110 2.06178e-05 -9 *25416:B2 *3831:92 0.00175469 -10 *25416:B2 *3962:13 3.97677e-05 -11 *25416:B2 *4127:75 0.00250384 -12 *25416:B2 *4160:63 0.000822915 -13 *25416:B2 *4160:77 0.000158552 -14 *25416:B2 *4161:10 2.04825e-05 -15 *25416:B2 *5686:35 0.000454809 -16 *25416:B2 *5691:61 0.000141447 -17 *25416:B2 *5699:41 0.000319548 -18 *25416:B2 *5743:74 0.000519172 -19 *1731:19 *25443:A1 2.07402e-05 -20 *1731:19 *28093:CLK 5.37473e-05 -21 *1731:19 *1742:12 2.11419e-05 -22 *1731:19 *1746:17 2.73539e-05 -23 *1731:19 *3558:38 0.000534594 -24 *1731:19 *4160:15 1.58163e-05 -25 *1731:19 *4160:27 4.85033e-05 -26 *25348:A0 *1731:19 0.000867119 -27 *25349:A0 *1731:19 0.00038781 -28 *25415:A *1731:19 5.49544e-05 -29 *25415:B *1731:19 0.000257619 -30 *28093:RESET_B *1731:19 4.27935e-05 -31 *28094:RESET_B *1731:19 0.000131843 -32 *30276:A *25416:B2 1.90936e-05 -33 *740:19 *25416:B2 7.41094e-05 -34 *1251:21 *25416:B2 0.00013964 -35 *1324:37 *25416:B2 6.37703e-05 -36 *1730:41 *25416:B2 0.00192677 -*RES -1 *25415:Y *1731:19 49.7464 -2 *1731:19 *25416:B2 47.0863 -*END - -*D_NET *1732 0.025099 -*CONN -*I *25467:A1 I *D sky130_fd_sc_hd__a211oi_1 -*I *25466:A2 I *D sky130_fd_sc_hd__a21oi_1 -*I *25418:B I *D sky130_fd_sc_hd__or2_2 -*I *25417:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *25467:A1 0.00243231 -2 *25466:A2 0.000587154 -3 *25418:B 0.000537903 -4 *25417:X 0.000100461 -5 *1732:29 0.00506541 -6 *1732:7 0.00268431 -7 *25418:B *25462:A 5.49544e-05 -8 *25418:B *25465:B1 0.000298007 -9 *25418:B *25494:B1 0.000800071 -10 *25418:B *25569:A1 0.000127489 -11 *25418:B *1733:41 0.000146788 -12 *25418:B *4163:17 9.0005e-05 -13 *25418:B *6169:144 0 -14 *25466:A2 *25466:B1 0.000351664 -15 *25466:A2 *28080:CLK 1.58163e-05 -16 *25466:A2 *1766:24 4.82865e-05 -17 *25466:A2 *1772:29 0.000208166 -18 *25466:A2 *5412:27 6.09762e-05 -19 *25466:A2 *5728:137 3.53443e-05 -20 *25466:A2 *6169:144 4.93833e-05 -21 *25467:A1 *25461:A2 0.0013458 -22 *25467:A1 *25466:B1 0.000176005 -23 *25467:A1 *25652:B 9.63279e-05 -24 *25467:A1 *25675:A0 0.00013949 -25 *25467:A1 *28164:D 0.000137656 -26 *25467:A1 *1772:29 8.45062e-05 -27 *25467:A1 *1795:26 3.37219e-05 -28 *25467:A1 *1830:15 0.000456684 -29 *25467:A1 *1877:53 0.000126866 -30 *25467:A1 *3830:36 0.00012839 -31 *25467:A1 *4194:32 4.79905e-05 -32 *25467:A1 *4194:51 0.000174007 -33 *25467:A1 *5291:29 0.00139773 -34 *25467:A1 *5614:100 0.000219711 -35 *25467:A1 *5614:114 0.000386088 -36 *25467:A1 *5666:101 9.23367e-05 -37 *25467:A1 *5728:137 0.000497416 -38 *25467:A1 *5728:151 1.63806e-05 -39 *1732:7 *25417:B 0.000139202 -40 *1732:29 *25494:B1 0.000121842 -41 *1732:29 *1772:29 0.000189519 -42 *1732:29 *3561:104 7.11699e-05 -43 *1732:29 *4163:17 0.00015497 -44 *1732:29 *4163:55 8.59851e-05 -45 *1732:29 *4164:82 0.000198284 -46 *1732:29 *4165:13 0.000444041 -47 *1732:29 *4195:196 0 -48 *1732:29 *6147:31 0.00116877 -49 *28080:D *25466:A2 7.20217e-06 -50 *28082:RESET_B *25467:A1 0.00012963 -51 *28082:RESET_B *1732:29 6.81065e-05 -52 *30400:A *25467:A1 0.000276807 -53 *361:20 *25467:A1 0.000175804 -54 *361:20 *1732:29 0.000292943 -55 *411:19 *1732:29 2.79435e-05 -56 *623:22 *1732:29 0.000235716 -57 *1173:20 *25467:A1 0.00100959 -58 *1175:21 *1732:29 0.000142263 -59 *1287:25 *25467:A1 0.000278762 -60 *1472:11 *25466:A2 3.88048e-05 -61 *1472:11 *25467:A1 0.000590026 -*RES -1 *25417:X *1732:7 15.1571 -2 *1732:7 *25418:B 27.5679 -3 *1732:7 *1732:29 27.1507 -4 *1732:29 *25466:A2 24.3951 -5 *1732:29 *25467:A1 39.6122 -*END - -*D_NET *1733 0.00782997 -*CONN -*I *25423:A2 I *D sky130_fd_sc_hd__a21oi_1 -*I *25425:B I *D sky130_fd_sc_hd__xor2_1 -*I *25420:C I *D sky130_fd_sc_hd__and3_1 -*I *25419:A I *D sky130_fd_sc_hd__inv_2 -*I *25418:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *25423:A2 0.000971403 -2 *25425:B 0 -3 *25420:C 0.000213621 -4 *25419:A 0.000330204 -5 *25418:X 0.000116382 -6 *1733:41 0.00171465 -7 *1733:8 0.000669594 -8 *1733:7 0.000985393 -9 *25419:A *28038:B 8.2717e-05 -10 *25419:A *28050:A 0.000184522 -11 *25419:A *5732:114 0.000203375 -12 *25419:A *6125:21 0.000270507 -13 *25420:C *25420:B 0.000140815 -14 *25420:C *25421:B 0.000128923 -15 *25420:C *28051:A 1.5872e-05 -16 *25423:A2 *25423:B1 3.47641e-06 -17 *25423:A2 *3571:22 4.91171e-05 -18 *25423:A2 *4213:15 0.000414148 -19 *25423:A2 *5541:8 0.000149414 -20 *1733:7 *25462:A 3.17684e-05 -21 *1733:7 *4192:79 1.21258e-05 -22 *1733:8 *25420:B 2.81946e-05 -23 *1733:8 *25494:B1 4.93875e-05 -24 *1733:8 *28038:B 1.39782e-05 -25 *1733:8 *5727:46 9.97242e-06 -26 *1733:41 *25425:A 0.000370441 -27 *1733:41 *25465:B1 0.000118899 -28 *1733:41 *25494:B1 4.61169e-05 -29 *1733:41 *5892:163 1.77065e-05 -30 *25418:B *1733:41 0.000146788 -31 *28095:RESET_B *1733:41 0.000258115 -32 *410:17 *25423:A2 2.00238e-05 -33 *410:17 *1733:41 6.23206e-05 -*RES -1 *25418:X *1733:7 15.1571 -2 *1733:7 *1733:8 2.55357 -3 *1733:8 *25419:A 21.4429 -4 *1733:8 *25420:C 16.6839 -5 *1733:7 *1733:41 27.375 -6 *1733:41 *25425:B 9.3 -7 *1733:41 *25423:A2 31.3714 -*END - -*D_NET *1734 0.00201742 -*CONN -*I *25465:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *25419:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *25465:A2 0.000464699 -2 *25419:Y 0.000464699 -3 *25465:A2 *25465:A1 0.000225616 -4 *25465:A2 *25730:A1 5.52238e-05 -5 *25465:A2 *29281:A 0.000130011 -6 *25465:A2 *5732:138 0.000262285 -7 *25465:A2 *5947:100 0.000120077 -8 *28084:D *25465:A2 2.8266e-06 -9 *1323:24 *25465:A2 0.000291979 -*RES -1 *25419:Y *25465:A2 40.1714 -*END - -*D_NET *1735 0.00576044 -*CONN -*I *25422:B I *D sky130_fd_sc_hd__xor2_1 -*I *25424:A I *D sky130_fd_sc_hd__nor2_1 -*I *25420:X O *D sky130_fd_sc_hd__and3_1 -*CAP -1 *25422:B 0.000770402 -2 *25424:A 4.61053e-05 -3 *25420:X 0.00054839 -4 *1735:8 0.0013649 -5 *25422:B *25546:S 0.000135028 -6 *25422:B *28033:A 9.41642e-05 -7 *25422:B *28033:B 0.000223118 -8 *25422:B *30964:A 0.000116481 -9 *25422:B *5467:8 0.000336534 -10 *25422:B *5728:101 0.00013372 -11 *25422:B *5739:69 2.24646e-05 -12 *25422:B *5739:71 0.000237521 -13 *25422:B *5739:73 0.000166983 -14 *25422:B *5739:78 0.000224599 -15 *25422:B *6158:28 5.53592e-05 -16 *1735:8 *25420:B 9.71197e-05 -17 *1735:8 *28051:A 0.000116134 -18 *1735:8 *30964:A 0.000177941 -19 *1735:8 *1764:11 9.71197e-05 -20 *1735:8 *4164:29 5.89615e-05 -21 *1735:8 *6158:28 6.35892e-05 -22 *25493:A1 *25422:B 0.000132933 -23 *28079:RESET_B *25422:B 0.00012401 -24 *30754:A *25422:B 0.00032078 -25 *448:11 *25424:A 9.60875e-05 -*RES -1 *25420:X *1735:8 24.3893 -2 *1735:8 *25424:A 14.7464 -3 *1735:8 *25422:B 44.4786 -*END - -*D_NET *1736 0.00594432 -*CONN -*I *25427:B I *D sky130_fd_sc_hd__nand2_1 -*I *25462:B I *D sky130_fd_sc_hd__nand2_1 -*I *25421:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *25427:B 0.000858343 -2 *25462:B 2.2846e-05 -3 *25421:X 0.000299358 -4 *1736:7 0.00118055 -5 *25427:B *28032:A 7.02611e-05 -6 *25427:B *28058:B 2.62395e-05 -7 *25427:B *1739:10 0.000139913 -8 *25427:B *4164:55 9.12632e-05 -9 *25427:B *4213:15 6.8646e-06 -10 *25427:B *5728:101 5.5671e-05 -11 *25427:B *6158:28 0.00113795 -12 *1736:7 *25421:A 0.000146689 -13 *1736:7 *25421:B 6.7e-06 -14 *1736:7 *25462:A 0.000190182 -15 *1736:7 *28050:B 2.89016e-05 -16 *1736:7 *1764:11 0.000609404 -17 *28082:RESET_B *25427:B 0.000149904 -18 *385:18 *25427:B 0.000347187 -19 *448:11 *25427:B 0.000510669 -20 *448:11 *25462:B 5.33005e-05 -21 *448:11 *1736:7 1.21289e-05 -*RES -1 *25421:X *1736:7 17.9607 -2 *1736:7 *25462:B 9.83571 -3 *1736:7 *25427:B 41.7821 -*END - -*D_NET *1737 0.00220686 -*CONN -*I *25424:B I *D sky130_fd_sc_hd__nor2_1 -*I *25423:Y O *D sky130_fd_sc_hd__a21oi_1 -*CAP -1 *25424:B 0.000726257 -2 *25423:Y 0.000726257 -3 *25424:B *25423:B1 1.92905e-05 -4 *25424:B *25553:S 0.000182915 -5 *25424:B *25582:B 0.00018246 -6 *25424:B *28033:A 1.15172e-05 -7 *25424:B *28033:B 6.05161e-06 -8 *25424:B *5467:8 0.000170654 -9 *25424:B *6136:16 0 -10 *25424:B *6236:8 0.00012105 -11 *30754:A *25424:B 2.89114e-05 -12 *386:10 *25424:B 3.1496e-05 -*RES -1 *25423:Y *25424:B 41.2964 -*END - -*D_NET *1738 0.0242399 -*CONN -*I *25539:B1 I *D sky130_fd_sc_hd__a2bb2o_1 -*I *25498:C1 I *D sky130_fd_sc_hd__o211a_1 -*I *25458:B I *D sky130_fd_sc_hd__nand2_1 -*I *25429:A2 I *D sky130_fd_sc_hd__a31o_1 -*I *25475:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *25538:B1 I *D sky130_fd_sc_hd__o32ai_1 -*I *25536:A4 I *D sky130_fd_sc_hd__a41o_1 -*I *25537:A3 I *D sky130_fd_sc_hd__a31o_1 -*I *25426:X O *D sky130_fd_sc_hd__and3_2 -*CAP -1 *25539:B1 0 -2 *25498:C1 7.32289e-05 -3 *25458:B 0 -4 *25429:A2 0 -5 *25475:B1 8.86687e-05 -6 *25538:B1 5.39264e-05 -7 *25536:A4 0 -8 *25537:A3 2.23854e-05 -9 *25426:X 0.00017777 -10 *1738:106 0.00109746 -11 *1738:92 0.00275515 -12 *1738:59 0.00199965 -13 *1738:54 0.000464365 -14 *1738:50 0.000646417 -15 *1738:40 0.000844708 -16 *1738:26 0.000774749 -17 *1738:15 0.000497705 -18 *1738:5 0.000459637 -19 *25475:B1 *25475:A1 2.55982e-05 -20 *25475:B1 *25475:A2 9.5425e-06 -21 *25475:B1 *1772:29 1.24368e-05 -22 *25498:C1 *25438:A3 6.46308e-05 -23 *25498:C1 *25498:B1 1.46576e-05 -24 *25498:C1 *5256:23 2.36503e-05 -25 *25538:B1 *5304:13 0.000178847 -26 *25538:B1 *5739:37 9.3111e-05 -27 *1738:5 *4164:13 5.49544e-05 -28 *1738:5 *4165:13 8.79095e-05 -29 *1738:15 *25536:A3 0.000112806 -30 *1738:15 *25536:B1 0.000135028 -31 *1738:15 *25537:A1 8.85532e-05 -32 *1738:15 *25537:A2 1.39841e-05 -33 *1738:15 *4192:73 8.43535e-06 -34 *1738:26 *25546:S 0.000144038 -35 *1738:26 *28166:D 0.000101978 -36 *1738:26 *4187:18 8.50152e-05 -37 *1738:26 *5739:37 0 -38 *1738:26 *5836:211 3.57844e-05 -39 *1738:40 *25471:A1 5.95472e-05 -40 *1738:40 *25539:A2_N 8.36572e-05 -41 *1738:40 *28166:D 0.000711201 -42 *1738:40 *1769:17 9.60939e-05 -43 *1738:40 *4160:57 7.6644e-05 -44 *1738:40 *5727:36 0.000172375 -45 *1738:40 *5836:211 0.000195662 -46 *1738:50 *25438:A3 1.37693e-05 -47 *1738:50 *25459:A0 0.000306411 -48 *1738:50 *25471:A1 0 -49 *1738:50 *25539:A2_N 5.49489e-05 -50 *1738:50 *28169:D 0.000173804 -51 *1738:50 *29625:A 3.32442e-05 -52 *1738:50 *1769:17 5.33005e-05 -53 *1738:50 *4194:51 4.24752e-05 -54 *1738:50 *4194:65 0.000474713 -55 *1738:54 *25438:A3 0.000178129 -56 *1738:54 *25476:A1 9.58181e-05 -57 *1738:54 *25498:A1 0.000114596 -58 *1738:54 *5256:23 3.29506e-06 -59 *1738:59 *4168:20 0.000517813 -60 *1738:92 *28280:RESET_B 0.000297316 -61 *1738:92 *30306:A 0.000180777 -62 *1738:92 *1745:38 0.000403565 -63 *1738:92 *4127:7 0.000137983 -64 *1738:92 *4160:38 0.000690797 -65 *1738:92 *4192:28 0.000259542 -66 *1738:92 *4525:11 0.000219389 -67 *1738:92 *5412:27 9.37304e-05 -68 *1738:106 *25429:A3 0.000469581 -69 *1738:106 *25650:A0 0.000216755 -70 *1738:106 *25650:S 9.60875e-05 -71 *1738:106 *25823:A0 1.32552e-05 -72 *1738:106 *29361:A 4.58194e-05 -73 *1738:106 *30317:A 0.000138916 -74 *1738:106 *1739:26 0.000151623 -75 *1738:106 *1741:13 2.59355e-05 -76 *1738:106 *1795:19 0.000847431 -77 *1738:106 *1827:54 0.000161693 -78 *1738:106 *1875:52 0.000175892 -79 *1738:106 *4126:11 0.000128331 -80 *1738:106 *4126:39 0.000117553 -81 *1738:106 *4194:111 2.24195e-05 -82 *1738:106 *4195:36 0.000358579 -83 *1738:106 *5253:44 0.000851901 -84 *1738:106 *5693:87 7.96236e-05 -85 *1738:106 *5693:97 2.89016e-05 -86 *1738:106 *5728:172 8.25843e-06 -87 *1738:106 *5740:175 5.41797e-06 -88 *25438:A1 *1738:54 0.000151596 -89 *25474:B *1738:92 4.89032e-05 -90 *25538:B2 *1738:50 0.000306098 -91 *29522:A *1738:15 1.21289e-05 -92 *29522:A *1738:26 0.000126629 -93 *29586:A *1738:5 9.60939e-05 -94 *29586:A *1738:15 0.000556378 -95 *30305:A *1738:92 0.00027348 -96 *399:18 *1738:59 0.000516839 -97 *418:8 *1738:40 7.17836e-05 -98 *622:20 *1738:92 0.000298851 -99 *1173:22 *1738:50 9.67025e-05 -100 *1175:31 *1738:92 0.000321322 -101 *1175:56 *1738:92 0.000199237 -102 *1192:25 *1738:92 2.47753e-05 -103 *1194:37 *1738:92 4.87854e-05 -104 *1603:47 *1738:92 6.05161e-06 -105 *1603:47 *1738:106 0.000152851 -*RES -1 *25426:X *1738:5 12.7107 -2 *1738:5 *25537:A3 9.72857 -3 *1738:5 *1738:15 7.125 -4 *1738:15 *25536:A4 9.3 -5 *1738:15 *1738:26 10.4821 -6 *1738:26 *25538:B1 15.5679 -7 *1738:26 *1738:40 17.9464 -8 *1738:40 *1738:50 20.2455 -9 *1738:50 *1738:54 5.05804 -10 *1738:54 *1738:59 16.1071 -11 *1738:59 *25475:B1 11.1929 -12 *1738:59 *1738:92 45.5357 -13 *1738:92 *1738:106 47.9286 -14 *1738:106 *25429:A2 9.3 -15 *1738:54 *25458:B 9.3 -16 *1738:50 *25498:C1 11.3491 -17 *1738:40 *25539:B1 9.3 -*END - -*D_NET *1739 0.0256154 -*CONN -*I *25467:A2 I *D sky130_fd_sc_hd__a211oi_1 -*I *25514:A I *D sky130_fd_sc_hd__nor2_1 -*I *25515:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25427:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *25467:A2 0.000511066 -2 *25514:A 9.64063e-05 -3 *25515:A2 1.69531e-05 -4 *25427:Y 0.000814882 -5 *1739:34 0.00104616 -6 *1739:26 0.00407207 -7 *1739:22 0.00402837 -8 *1739:10 0.00122682 -9 *25467:A2 *25461:A2 9.83388e-05 -10 *25467:A2 *28717:CLK 9.60939e-05 -11 *25467:A2 *1877:53 0.000487777 -12 *25467:A2 *3749:18 0.000152858 -13 *25467:A2 *3749:46 0.000110179 -14 *25467:A2 *4194:111 0.00015273 -15 *25467:A2 *4195:36 0.000729303 -16 *25467:A2 *5614:100 9.71197e-05 -17 *25514:A *4195:36 8.11273e-05 -18 *25514:A *5693:87 7.95355e-05 -19 *25515:A2 *25515:A1 5.33005e-05 -20 *25515:A2 *25515:B2 5.33005e-05 -21 *1739:10 *25478:B 1.69222e-05 -22 *1739:10 *1774:16 0.000108662 -23 *1739:10 *4161:49 0.00119039 -24 *1739:10 *5541:8 0.00221357 -25 *1739:10 *6136:16 0.000672311 -26 *1739:22 *25515:A1 0.000170368 -27 *1739:22 *25515:B2 0.000181107 -28 *1739:22 *25814:S 0.000240096 -29 *1739:22 *4161:49 0.000176118 -30 *1739:22 *4166:10 0.000999889 -31 *1739:26 *24998:B 3.97677e-05 -32 *1739:26 *28133:CLK 0.000365275 -33 *1739:26 *1808:11 0.00113246 -34 *1739:26 *1827:54 7.08552e-05 -35 *1739:26 *5236:20 0.000175892 -36 *1739:26 *5237:29 0.000221822 -37 *1739:26 *5740:166 0.000168769 -38 *1739:26 *5740:175 0.000129944 -39 *1739:34 *4195:36 0.000726788 -40 *1739:34 *5699:41 2.06112e-05 -41 *25427:B *1739:10 0.000139913 -42 *25540:B1 *1739:26 2.59355e-05 -43 *28272:D *1739:26 0.000137074 -44 *29363:A *25467:A2 8.89464e-05 -45 *29363:A *25514:A 1.74852e-05 -46 *408:20 *1739:34 0.00130825 -47 *495:15 *1739:26 2.16992e-05 -48 *1324:7 *1739:26 5.33005e-05 -49 *1427:143 *1739:34 1.90936e-05 -50 *1456:82 *25467:A2 9.32426e-05 -51 *1545:48 *1739:34 0.000532853 -52 *1738:106 *1739:26 0.000151623 -*RES -1 *25427:Y *1739:10 48.3179 -2 *1739:10 *25515:A2 9.83571 -3 *1739:10 *1739:22 23.6429 -4 *1739:22 *1739:26 48.7946 -5 *1739:26 *1739:34 13.7337 -6 *1739:34 *25514:A 11.4964 -7 *1739:34 *25467:A2 33.1571 -*END - -*D_NET *1740 0.0232731 -*CONN -*I *25514:B I *D sky130_fd_sc_hd__nor2_1 -*I *25429:A3 I *D sky130_fd_sc_hd__a31o_1 -*I *25428:X O *D sky130_fd_sc_hd__or3b_1 -*CAP -1 *25514:B 6.59907e-05 -2 *25429:A3 0.000945604 -3 *25428:X 0.000386798 -4 *1740:26 0.00243109 -5 *1740:22 0.00475447 -6 *1740:16 0.00392472 -7 *1740:13 0.000976541 -8 *25429:A3 *25823:A0 0.000680001 -9 *25429:A3 *29361:A 9.41642e-05 -10 *25429:A3 *30317:A 3.27033e-05 -11 *25429:A3 *1741:13 5.52238e-05 -12 *25429:A3 *1829:41 5.25862e-06 -13 *25429:A3 *4126:11 0.000261472 -14 *25429:A3 *4126:39 0.000211255 -15 *25429:A3 *5712:49 0.000227582 -16 *25429:A3 *5728:172 1.24368e-05 -17 *25514:B *1795:19 7.25241e-05 -18 *25514:B *5693:87 9.41642e-05 -19 *1740:13 *25428:A 8.55871e-05 -20 *1740:13 *25428:B 5.33005e-05 -21 *1740:13 *25477:A 2.89114e-05 -22 *1740:13 *25482:B 0.00012401 -23 *1740:13 *29550:A 1.21258e-05 -24 *1740:13 *4161:49 0.00012401 -25 *1740:16 *6136:8 0.0022071 -26 *1740:22 *25474:C 2.42516e-05 -27 *1740:22 *28079:CLK 0.000164152 -28 *1740:22 *28148:D 9.41642e-05 -29 *1740:22 *31001:A 0.000275132 -30 *1740:22 *4168:12 1.32091e-05 -31 *1740:22 *4195:67 2.28499e-05 -32 *1740:26 *25823:A1 0.000148303 -33 *1740:26 *28279:RESET_B 5.37908e-05 -34 *1740:26 *30317:A 4.70842e-05 -35 *1740:26 *1741:13 0.000178847 -36 *1740:26 *5136:11 0.000105559 -37 *1740:26 *5545:59 0.000843456 -38 *1740:26 *5712:49 0.00011594 -39 *25474:B *1740:22 0.000675116 -40 *28078:RESET_B *1740:16 0.00120259 -41 *30307:A *1740:22 0.00013976 -42 *1251:24 *1740:16 5.79472e-05 -43 *1472:12 *1740:16 0.000465158 -44 *1545:48 *1740:22 2.18262e-05 -45 *1603:47 *1740:22 0.000241509 -46 *1603:76 *1740:22 4.58194e-05 -47 *1738:106 *25429:A3 0.000469581 -*RES -1 *25428:X *1740:13 29.2107 -2 *1740:13 *1740:16 32.5536 -3 *1740:16 *1740:22 47.5357 -4 *1740:22 *1740:26 23.1071 -5 *1740:26 *25429:A3 33.5321 -6 *1740:26 *25514:B 15.9875 -*END - -*D_NET *1741 0.013516 -*CONN -*I *25430:B I *D sky130_fd_sc_hd__nand2b_4 -*I *25429:X O *D sky130_fd_sc_hd__a31o_1 -*CAP -1 *25430:B 0.00178246 -2 *25429:X 0.000742603 -3 *1741:13 0.00252507 -4 *25430:B *25430:A_N 9.41642e-05 -5 *25430:B *25808:A0 5.41794e-05 -6 *25430:B *1744:46 0.000951024 -7 *25430:B *1744:112 0.00180614 -8 *25430:B *1829:34 0.000543465 -9 *25430:B *3616:67 0 -10 *25430:B *4154:6 0.000168939 -11 *25430:B *4154:27 9.27418e-05 -12 *25430:B *4159:33 0 -13 *25430:B *5545:50 0.0004063 -14 *25430:B *5743:60 0.000263166 -15 *25430:B *5778:49 0.00023247 -16 *1741:13 *25823:A1 0.00022266 -17 *1741:13 *25877:A1 0.000168379 -18 *1741:13 *1888:27 8.44071e-05 -19 *1741:13 *1888:39 5.52302e-05 -20 *1741:13 *3697:57 0.000269678 -21 *1741:13 *3739:36 0.000349162 -22 *1741:13 *4065:91 9.3858e-05 -23 *1741:13 *4065:107 0.000549154 -24 *1741:13 *4103:18 1.53262e-05 -25 *1741:13 *4113:25 0.000216755 -26 *1741:13 *5693:82 0.000474808 -27 *1741:13 *5712:31 0.000416471 -28 *25429:A3 *1741:13 5.52238e-05 -29 *28093:RESET_B *25430:B 4.58194e-05 -30 *29363:A *1741:13 0.000136958 -31 *412:14 *1741:13 4.32957e-05 -32 *418:8 *25430:B 0.000101748 -33 *1251:21 *25430:B 0 -34 *1324:37 *25430:B 8.89449e-05 -35 *1396:64 *1741:13 0.000260574 -36 *1738:106 *1741:13 2.59355e-05 -37 *1740:26 *1741:13 0.000178847 -*RES -1 *25429:X *1741:13 44.5679 -2 *1741:13 *25430:B 38.7059 -*END - -*D_NET *1742 0.0197218 -*CONN -*I *25436:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *25452:S I *D sky130_fd_sc_hd__mux2_1 -*I *25457:S I *D sky130_fd_sc_hd__mux2_1 -*I *25454:B I *D sky130_fd_sc_hd__nor2_1 -*I *25449:S I *D sky130_fd_sc_hd__mux2_1 -*I *25446:S I *D sky130_fd_sc_hd__mux2_1 -*I *25443:S I *D sky130_fd_sc_hd__mux2_1 -*I *25439:S I *D sky130_fd_sc_hd__mux2_1 -*I *25434:B I *D sky130_fd_sc_hd__nand2_1 -*I *25430:Y O *D sky130_fd_sc_hd__nand2b_4 -*CAP -1 *25436:A1 6.15143e-05 -2 *25452:S 0.000197381 -3 *25457:S 0.000143291 -4 *25454:B 0.000126135 -5 *25449:S 0.000198222 -6 *25446:S 0 -7 *25443:S 0 -8 *25439:S 0.000102976 -9 *25434:B 0.000585818 -10 *25430:Y 0.000527904 -11 *1742:77 0.000532313 -12 *1742:74 0.000569968 -13 *1742:65 0.000743784 -14 *1742:55 0.000660702 -15 *1742:44 0.000530615 -16 *1742:37 0.000449614 -17 *1742:15 0.000868224 -18 *1742:12 0.000789658 -19 *25434:B *25434:A 6.78048e-05 -20 *25434:B *29173:A 0 -21 *25434:B *4189:41 7.5407e-05 -22 *25434:B *4192:218 0.00052936 -23 *25434:B *4192:239 0.000422136 -24 *25434:B *5888:16 0.00051443 -25 *25434:B *5902:8 5.63704e-05 -26 *25436:A1 *5900:10 0.000135028 -27 *25439:S *25439:A0 5.33005e-05 -28 *25439:S *25439:A1 1.56e-05 -29 *25449:S *25449:A0 0.000475683 -30 *25449:S *25646:B 0.000815415 -31 *25449:S *1829:16 2.61099e-05 -32 *25452:S *25457:A1 0.000143918 -33 *25452:S *1760:13 0.000304394 -34 *25452:S *4158:46 0.000101687 -35 *25454:B *25169:A1 0.000433209 -36 *25457:S *25457:A0 0.000182105 -37 *25457:S *25457:A1 5.52238e-05 -38 *25457:S *25794:S 6.56489e-05 -39 *25457:S *1760:13 7.48091e-05 -40 *25457:S *4158:46 1.69961e-05 -41 *1742:12 *24877:A1 0.000134422 -42 *1742:12 *25441:A1 3.06626e-05 -43 *1742:12 *25443:A1 0.000146474 -44 *1742:12 *28093:CLK 2.11419e-05 -45 *1742:12 *1746:17 1.50225e-05 -46 *1742:12 *1752:19 0.000102981 -47 *1742:12 *4160:27 0.000170256 -48 *1742:12 *4160:63 0.000264703 -49 *1742:12 *4195:67 1.27819e-05 -50 *1742:15 *5542:21 9.60875e-05 -51 *1742:37 *25443:A1 0.000216304 -52 *1742:37 *1752:19 3.98428e-05 -53 *1742:44 *25443:A1 0.000186476 -54 *1742:44 *1752:19 0.000491696 -55 *1742:44 *5742:170 0.000244835 -56 *1742:55 *1771:29 3.43988e-06 -57 *1742:55 *4159:9 2.12521e-05 -58 *1742:55 *5542:41 0.000284686 -59 *1742:55 *5742:170 4.27935e-05 -60 *1742:65 *25446:A0 5.33433e-05 -61 *1742:65 *25446:A1 0.000185643 -62 *1742:65 *25448:A1 0.00054919 -63 *1742:65 *1771:29 0 -64 *1742:65 *4159:9 4.57538e-05 -65 *1742:65 *4195:90 5.26184e-05 -66 *1742:65 *4195:105 5.90443e-06 -67 *1742:74 *25448:A1 0.000170496 -68 *1742:74 *25457:A1 8.73074e-05 -69 *1742:77 *6461:DIODE 1.21258e-05 -70 *1742:77 *25169:A1 4.17702e-05 -71 *1742:77 *25456:S 7.51484e-05 -72 *24870:B1 *25434:B 5.52302e-05 -73 *25435:A1 *25436:A1 0.000127427 -74 *25456:A0 *25454:B 0.000349784 -75 *25456:A0 *1742:77 0.000140243 -76 *28093:D *1742:12 1.3142e-05 -77 *28094:D *1742:12 9.25014e-06 -78 *28094:D *1742:37 0.000116481 -79 *28094:RESET_B *25439:S 3.97677e-05 -80 *28094:RESET_B *1742:15 0.00023389 -81 *28167:RESET_B *25434:B 5.71472e-05 -82 *28411:D *25454:B 3.97677e-05 -83 *29107:A *1742:12 0.000132365 -84 *29118:A *1742:44 6.87834e-06 -85 *29118:A *1742:55 0.000505342 -86 *29118:A *1742:65 1.21258e-05 -87 *373:12 *25452:S 0.000184239 -88 *373:12 *1742:44 3.64664e-05 -89 *373:12 *1742:65 0.00095941 -90 *373:12 *1742:74 0.000328552 -91 *414:22 *25457:S 4.13027e-05 -92 *1194:14 *25434:B 0.000283569 -93 *1202:17 *25439:S 0.000137437 -94 *1202:17 *1742:15 0.000185104 -95 *1251:21 *1742:12 0.000113241 -96 *1427:161 *25449:S 0.000134539 -97 *1731:19 *1742:12 2.11419e-05 -*RES -1 *25430:Y *1742:12 26.4795 -2 *1742:12 *1742:15 9.14286 -3 *1742:15 *25434:B 34.4964 -4 *1742:15 *25439:S 11.8893 -5 *1742:12 *1742:37 2.85714 -6 *1742:37 *1742:44 13.5 -7 *1742:44 *25443:S 9.3 -8 *1742:44 *1742:55 16.6429 -9 *1742:55 *25446:S 9.3 -10 *1742:55 *1742:65 19.375 -11 *1742:65 *25449:S 21.7286 -12 *1742:65 *1742:74 4.375 -13 *1742:74 *1742:77 9.14286 -14 *1742:77 *25454:B 13.9607 -15 *1742:77 *25457:S 22.5143 -16 *1742:74 *25452:S 19.7821 -17 *1742:37 *25436:A1 15.5857 -*END - -*D_NET *1743 0.0117909 -*CONN -*I *25444:B I *D sky130_fd_sc_hd__nor2_1 -*I *25447:B I *D sky130_fd_sc_hd__or2_1 -*I *25432:B I *D sky130_fd_sc_hd__and2_1 -*I *25431:X O *D sky130_fd_sc_hd__and3_1 -*CAP -1 *25444:B 0 -2 *25447:B 0 -3 *25432:B 0.000400159 -4 *25431:X 0.000125333 -5 *1743:54 0.00205796 -6 *1743:30 0.00296764 -7 *1743:8 0.00143517 -8 *25432:B *25431:B 0.000385829 -9 *25432:B *28308:RESET_B 0.000120999 -10 *25432:B *29908:A 0.000119695 -11 *25432:B *1829:25 5.52302e-05 -12 *25432:B *4156:60 0.000271607 -13 *25432:B *5742:240 3.68066e-05 -14 *1743:8 *25431:B 0.000188734 -15 *1743:8 *28308:RESET_B 6.72715e-05 -16 *1743:30 *6460:DIODE 0.000180259 -17 *1743:30 *24888:A0 9.71197e-05 -18 *1743:30 *25440:B 8.64349e-05 -19 *1743:30 *25451:B1 1.74352e-05 -20 *1743:30 *4155:15 0.000151139 -21 *1743:54 *25447:A 0.00114616 -22 *1743:54 *25451:B1 5.52302e-05 -23 *1743:54 *28275:CLK 8.95404e-05 -24 *1743:54 *28849:A 0.000388235 -25 *1743:54 *3564:19 0.000135053 -26 *1743:54 *4155:15 4.05236e-05 -27 *1743:54 *5575:8 0.000178847 -28 *24872:B *1743:54 0.000150632 -29 *28087:RESET_B *1743:54 0.000176033 -30 *28275:D *1743:54 0.000139025 -31 *29909:A *25432:B 0.000306309 -32 *440:29 *25432:B 0.000155551 -33 *1214:11 *1743:30 5.95659e-05 -34 *1488:33 *1743:8 5.39629e-06 -*RES -1 *25431:X *1743:8 16.8893 -2 *1743:8 *25432:B 26.3536 -3 *1743:8 *1743:30 17.7143 -4 *1743:30 *1743:54 43.2857 -5 *1743:54 *25447:B 9.3 -6 *1743:30 *25444:B 9.3 -*END - -*D_NET *1744 0.0446295 -*CONN -*I *25445:S I *D sky130_fd_sc_hd__mux2_1 -*I *25437:A3 I *D sky130_fd_sc_hd__a31o_1 -*I *25433:D I *D sky130_fd_sc_hd__nand4_1 -*I *25441:A2 I *D sky130_fd_sc_hd__o21a_1 -*I *25440:B I *D sky130_fd_sc_hd__nor2_1 -*I *25432:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *25445:S 0 -2 *25437:A3 0 -3 *25433:D 0.00342398 -4 *25441:A2 0.000996264 -5 *25440:B 0.000754256 -6 *25432:X 0.000558339 -7 *1744:112 0.00297327 -8 *1744:46 0.00654676 -9 *1744:38 0.00610747 -10 *1744:25 0.0012788 -11 *1744:5 0.00158371 -12 *25433:D *25473:A1 1.33343e-05 -13 *25433:D *25493:A2 8.94491e-05 -14 *25433:D *29563:A 0.000185417 -15 *25433:D *1745:11 2.59355e-05 -16 *25433:D *1770:42 0.000510852 -17 *25433:D *4159:100 2.59355e-05 -18 *25433:D *4160:57 0.000143969 -19 *25433:D *4161:49 0.000123733 -20 *25433:D *5332:20 0.000691359 -21 *25433:D *5333:14 0.000165754 -22 *25433:D *5467:8 4.58835e-05 -23 *25433:D *6147:14 0 -24 *25440:B *24881:A0 0 -25 *25440:B *24881:A1 0 -26 *25440:B *24883:S 0 -27 *25440:B *25440:A 3.34295e-05 -28 *25440:B *1750:15 0.000114871 -29 *25440:B *1757:23 3.26118e-05 -30 *25440:B *1829:25 7.48091e-05 -31 *25440:B *4155:15 7.02611e-05 -32 *25440:B *5855:8 0 -33 *25441:A2 *29580:A 0.000289248 -34 *25441:A2 *3461:26 0 -35 *25441:A2 *4159:80 9.41642e-05 -36 *25441:A2 *4159:91 4.22349e-05 -37 *25441:A2 *5244:17 2.26973e-05 -38 *25441:A2 *5332:20 0.000179531 -39 *25441:A2 *5547:13 1.94879e-05 -40 *25441:A2 *5549:34 0.000635601 -41 *1744:5 *1829:25 0.000249314 -42 *1744:25 *24881:A0 0.000338359 -43 *1744:25 *28043:B 5.7903e-06 -44 *1744:25 *1750:15 0 -45 *1744:25 *4156:22 1.90936e-05 -46 *1744:38 *24873:A1 0.000148993 -47 *1744:38 *24881:A0 4.88223e-05 -48 *1744:38 *29173:A 0.00163577 -49 *1744:38 *4192:239 0 -50 *1744:38 *5888:16 0.000340194 -51 *1744:38 *5902:8 0.000245517 -52 *1744:46 *29580:A 2.84528e-05 -53 *1744:46 *4161:17 2.83129e-05 -54 *1744:46 *4170:20 4.29744e-06 -55 *1744:46 *5236:20 3.08304e-05 -56 *1744:46 *5237:29 0.000102772 -57 *1744:46 *5549:34 0.000216478 -58 *1744:46 *5728:53 9.09539e-05 -59 *1744:46 *5902:22 0.000162927 -60 *1744:112 *25437:A2 0.000399402 -61 *1744:112 *25874:A0 8.25843e-06 -62 *1744:112 *25874:A1 0.0012497 -63 *1744:112 *3479:7 0.00017754 -64 *1744:112 *3727:32 8.11174e-05 -65 *1744:112 *3871:17 2.06112e-05 -66 *1744:112 *4161:17 0.000223442 -67 *1744:112 *4161:80 0.00190283 -68 *1744:112 *5545:50 0.000194065 -69 wb_dat_o[0] *25433:D 0 -70 *24873:B1_N *1744:38 0.000175561 -71 *25430:B *1744:46 0.000951024 -72 *25430:B *1744:112 0.00180614 -73 *25445:A0 *1744:25 0 -74 *25821:A1 *25441:A2 0.000303298 -75 *28079:RESET_B *25433:D 0.00204468 -76 *28088:D *1744:25 8.22793e-06 -77 *28088:RESET_B *1744:25 2.06112e-05 -78 *28094:RESET_B *1744:38 0.000101545 -79 *29680:A *1744:38 0.000972547 -80 *30289:A *1744:112 1.28099e-05 -81 *413:8 *25433:D 0.000113898 -82 *430:9 *25433:D 0.000234009 -83 *1173:130 *25440:B 0.000649094 -84 *1173:130 *1744:25 0 -85 *1191:11 *1744:46 1.20192e-05 -86 *1192:34 *1744:46 9.20104e-05 -87 *1196:23 *1744:38 9.34707e-05 -88 *1251:21 *1744:46 0 -89 *1251:29 *25433:D 0.000324467 -90 *1323:33 *1744:46 5.21154e-05 -91 *1324:81 *25441:A2 0.000104996 -92 *1427:149 *1744:112 1.90936e-05 -93 *1427:161 *1744:5 0.000227526 -94 *1545:51 *1744:46 0 -95 *1730:41 *1744:46 0.00026752 -96 *1730:41 *1744:112 0.000149133 -97 *1743:30 *25440:B 8.64349e-05 -*RES -1 *25432:X *1744:5 16.4071 -2 *1744:5 *25440:B 34.6214 -3 *1744:5 *1744:25 14.3214 -4 *1744:25 *1744:38 39.8654 -5 *1744:38 *1744:46 8.3591 -6 *1744:46 *25441:A2 25.3452 -7 *1744:46 *25433:D 39.0809 -8 *1744:38 *1744:112 44.1247 -9 *1744:112 *25437:A3 9.3 -10 *1744:25 *25445:S 9.3 -*END - -*D_NET *1745 0.0194789 -*CONN -*I *25435:S I *D sky130_fd_sc_hd__mux2_1 -*I *25438:A2 I *D sky130_fd_sc_hd__a31o_1 -*I *25433:Y O *D sky130_fd_sc_hd__nand4_1 -*CAP -1 *25435:S 0.00075271 -2 *25438:A2 0 -3 *25433:Y 0.0017603 -4 *1745:38 0.002722 -5 *1745:16 0.00202606 -6 *1745:11 0.00181707 -7 *25435:S *25436:A2 5.33005e-05 -8 *25435:S *1746:17 0.00104417 -9 *25435:S *1771:29 0.000326111 -10 *25435:S *5542:41 0.000100823 -11 *25435:S *5900:10 4.46231e-06 -12 *1745:11 *25438:A3 3.06878e-06 -13 *1745:11 *30976:A 0.000278206 -14 *1745:11 *1749:21 1.48543e-05 -15 *1745:11 *4159:100 0.000234388 -16 *1745:16 *25476:B1_N 1.34532e-05 -17 *1745:16 *25483:B1 9.11048e-06 -18 *1745:38 *24870:A1 0.000122935 -19 *1745:38 *25438:A3 0.000341322 -20 *1745:38 *25515:B1 0.00163084 -21 *1745:38 *25540:A2 0.000212417 -22 *1745:38 *29485:A 0.000768459 -23 *1745:38 *1746:17 8.41284e-06 -24 *1745:38 *1766:24 8.45926e-06 -25 *1745:38 *3561:88 4.65519e-05 -26 *1745:38 *3857:21 0.000561468 -27 *1745:38 *4160:38 0.000164358 -28 *1745:38 *4196:18 0.000485983 -29 *1745:38 *5341:12 0 -30 *1745:38 *5412:27 9.87962e-06 -31 *1745:38 *6169:144 0.000178929 -32 *25433:D *1745:11 2.59355e-05 -33 *25435:A1 *25435:S 6.595e-05 -34 *25438:A1 *1745:38 1.59373e-05 -35 *25438:B1 *1745:38 0.000308309 -36 *28078:RESET_B *1745:11 0.000936044 -37 *28093:RESET_B *25435:S 0.000211938 -38 *30305:A *1745:38 0.000639131 -39 *429:31 *1745:16 7.83699e-05 -40 *623:22 *1745:38 3.31163e-05 -41 *1194:14 *1745:38 0.000159421 -42 *1194:37 *1745:38 6.36841e-05 -43 *1196:23 *25435:S 1.18358e-05 -44 *1196:23 *1745:38 0.00014576 -45 *1251:24 *1745:11 0.000512807 -46 *1603:47 *1745:38 0.000167003 -47 *1738:92 *1745:38 0.000403565 -*RES -1 *25433:Y *1745:11 46.9429 -2 *1745:11 *1745:16 10.0357 -3 *1745:16 *25438:A2 9.3 -4 *1745:16 *1745:38 45.802 -5 *1745:38 *25435:S 31.3179 -*END - -*D_NET *1746 0.00459027 -*CONN -*I *25436:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *25434:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *25436:B1 0 -2 *25434:Y 0.000854645 -3 *1746:17 0.000854645 -4 *1746:17 *24870:A2 0.000306317 -5 *1746:17 *25436:A2 0.000137983 -6 *1746:17 *25443:A1 0.00011915 -7 *1746:17 *1771:29 0.000188369 -8 *1746:17 *3558:38 6.09762e-05 -9 *1746:17 *3558:54 0.000560611 -10 *1746:17 *5542:41 2.26327e-05 -11 *25435:A1 *1746:17 0.000137983 -12 *25435:S *1746:17 0.00104417 -13 *28093:D *1746:17 0.000103253 -14 *28165:SET_B *1746:17 9.60875e-05 -15 *1194:14 *1746:17 5.26533e-05 -16 *1731:19 *1746:17 2.73539e-05 -17 *1742:12 *1746:17 1.50225e-05 -18 *1745:38 *1746:17 8.41284e-06 -*RES -1 *25434:Y *1746:17 48.9429 -2 *1746:17 *25436:B1 9.3 -*END - -*D_NET *1747 0.000609434 -*CONN -*I *25436:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *25435:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *25436:A2 0.000161557 -2 *25435:X 0.000161557 -3 *25435:A1 *25436:A2 9.50364e-05 -4 *25435:S *25436:A2 5.33005e-05 -5 *1746:17 *25436:A2 0.000137983 -*RES -1 *25435:X *25436:A2 21.6 -*END - -*D_NET *1748 0.0234394 -*CONN -*I *25438:A3 I *D sky130_fd_sc_hd__a31o_1 -*I *25437:X O *D sky130_fd_sc_hd__a31o_1 -*CAP -1 *25438:A3 0.00330089 -2 *25437:X 0.00294954 -3 *1748:26 0.00357773 -4 *1748:20 0.00322639 -5 *25438:A3 *25476:A1 0.000306036 -6 *25438:A3 *25476:B1_N 3.18747e-05 -7 *25438:A3 *28133:D 6.11448e-05 -8 *25438:A3 *1772:29 1.83136e-05 -9 *25438:A3 *3934:28 0.000230482 -10 *25438:A3 *5583:11 3.30309e-05 -11 *1748:20 *25472:B1 0 -12 *1748:20 *25645:A0 4.64974e-05 -13 *1748:20 *27529:A1 8.96293e-05 -14 *1748:20 *27871:B1 1.53282e-05 -15 *1748:20 *27922:A1 0.000160747 -16 *1748:20 *1844:44 0.000313436 -17 *1748:20 *1887:22 0.000540013 -18 *1748:20 *2834:142 2.48858e-05 -19 *1748:20 *2865:133 0.000239498 -20 *1748:20 *2877:69 4.7707e-05 -21 *1748:20 *2882:114 0 -22 *1748:20 *3164:125 0 -23 *1748:20 *3165:312 2.02794e-05 -24 *1748:20 *3192:107 6.28804e-05 -25 *1748:20 *3197:138 0.00012681 -26 *1748:20 *3216:142 6.63587e-05 -27 *1748:20 *3452:32 5.08267e-05 -28 *1748:20 *3713:39 0.000179916 -29 *1748:20 *3723:25 0.000780124 -30 *1748:20 *3724:20 4.28365e-05 -31 *1748:20 *3749:105 0.000111449 -32 *1748:20 *3883:31 0.000787809 -33 *1748:20 *4116:51 0 -34 *1748:20 *4142:9 0.00114485 -35 *1748:20 *4165:122 0 -36 *1748:20 *5253:44 0.000238947 -37 *1748:20 *5699:66 1.30914e-05 -38 *1748:20 *5699:106 0 -39 *1748:20 *5706:63 1.09611e-05 -40 *1748:26 *25698:A0 0.000554102 -41 *1748:26 *28291:D 0.000182804 -42 *1748:26 *1829:48 0.000145119 -43 *1748:26 *1844:49 0.00012197 -44 *1748:26 *3710:28 4.46543e-05 -45 *25438:A1 *25438:A3 3.12768e-05 -46 *25438:B1 *25438:A3 2.12005e-05 -47 *25498:C1 *25438:A3 6.46308e-05 -48 *28085:RESET_B *1748:20 0.00143499 -49 *30305:A *25438:A3 7.02611e-05 -50 *1287:25 *25438:A3 6.77968e-05 -51 *1287:38 *25438:A3 0.000363583 -52 *1396:83 *1748:20 0.000887158 -53 *1427:143 *1748:20 6.32026e-05 -54 *1738:50 *25438:A3 1.37693e-05 -55 *1738:54 *25438:A3 0.000178129 -56 *1745:11 *25438:A3 3.06878e-06 -57 *1745:38 *25438:A3 0.000341322 -*RES -1 *25437:X *1748:20 46.068 -2 *1748:20 *1748:26 16.4107 -3 *1748:26 *25438:A3 49.175 -*END - -*D_NET *1749 0.0145024 -*CONN -*I *25439:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25438:X O *D sky130_fd_sc_hd__a31o_1 -*CAP -1 *25439:A0 0.00126671 -2 *25438:X 0.00254446 -3 *1749:21 0.00381117 -4 *25439:A0 *25439:A1 0.000155712 -5 *25439:A0 *28148:CLK 0.000504616 -6 *25439:A0 *29173:A 0.00096883 -7 *25439:A0 *3935:16 0.00019384 -8 *25439:A0 *4194:111 2.18527e-05 -9 *25439:A0 *5865:53 0 -10 *25439:A0 *5902:22 5.4028e-05 -11 *1749:21 *25515:B1 0.00014616 -12 *1749:21 *28133:D 0 -13 *1749:21 *1762:16 6.53483e-05 -14 *1749:21 *1810:19 6.2589e-06 -15 *1749:21 *1830:15 6.15175e-05 -16 *1749:21 *3857:21 0 -17 *1749:21 *4154:27 4.83837e-05 -18 *1749:21 *4164:153 2.35005e-06 -19 *1749:21 *4167:14 1.94879e-05 -20 *1749:21 *4168:20 0.00101331 -21 *1749:21 *4186:20 4.2985e-06 -22 *1749:21 *4192:35 0.000757975 -23 *1749:21 *4192:168 0 -24 *1749:21 *4194:51 0.000171761 -25 *1749:21 *4195:36 4.58615e-05 -26 *1749:21 *4195:179 2.99989e-05 -27 *1749:21 *4548:15 4.28159e-05 -28 *1749:21 *4759:8 0.000817041 -29 *1749:21 *5348:17 2.26973e-05 -30 *1749:21 *5742:38 0.000297543 -31 *1749:21 *5742:102 7.74433e-05 -32 *25438:B1 *1749:21 0.000526039 -33 *25439:S *25439:A0 5.33005e-05 -34 *25540:B1 *1749:21 6.60111e-05 -35 *30276:A *25439:A0 0 -36 *30307:A *25439:A0 6.76337e-05 -37 *622:20 *1749:21 0.000196784 -38 *1173:82 *25439:A0 1.6959e-05 -39 *1175:21 *1749:21 0.000345705 -40 *1175:56 *1749:21 6.09762e-05 -41 *1430:59 *1749:21 0 -42 *1545:51 *25439:A0 2.66789e-06 -43 *1730:41 *25439:A0 0 -44 *1745:11 *1749:21 1.48543e-05 -*RES -1 *25438:X *1749:21 47.2264 -2 *1749:21 *25439:A0 42.9855 -*END - -*D_NET *1750 0.00390995 -*CONN -*I *25448:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25440:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *25448:B1 0 -2 *25440:Y 0.000906777 -3 *1750:15 0.000906777 -4 *1750:15 *25440:A 3.07555e-05 -5 *1750:15 *25448:A2 5.52238e-05 -6 *1750:15 *25448:B2 5.7661e-06 -7 *1750:15 *25449:A0 4.01768e-05 -8 *1750:15 *28043:B 5.7903e-06 -9 *25440:B *1750:15 0.000114871 -10 *25445:A0 *1750:15 9.25014e-06 -11 *28090:D *1750:15 9.78027e-05 -12 *1173:130 *1750:15 0.000346697 -13 *1198:11 *1750:15 0.00139006 -14 *1744:25 *1750:15 0 -*RES -1 *25440:Y *1750:15 41.7643 -2 *1750:15 *25448:B1 9.3 -*END - -*D_NET *1751 0.00557206 -*CONN -*I *25442:B I *D sky130_fd_sc_hd__xnor2_1 -*I *25441:X O *D sky130_fd_sc_hd__o21a_1 -*CAP -1 *25442:B 0 -2 *25441:X 0.000657536 -3 *1751:8 0.000657536 -4 *1751:8 *29578:A 0.000200406 -5 *1751:8 *29580:A 0.000100275 -6 *1751:8 *4159:91 1.34195e-05 -7 *1751:8 *5244:17 0.00069683 -8 *1751:8 *5331:10 0.00171304 -9 *1751:8 *5547:13 0.000462964 -10 *1751:8 *5571:8 0.000315887 -11 *1751:8 *5577:20 0.000558052 -12 *1751:8 *5580:16 0.000177941 -13 *1751:8 *6158:24 1.81805e-05 -*RES -1 *25441:X *1751:8 46.6571 -2 *1751:8 *25442:B 9.3 -*END - -*D_NET *1752 0.0118552 -*CONN -*I *25443:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25442:Y O *D sky130_fd_sc_hd__xnor2_1 -*CAP -1 *25443:A0 0 -2 *25442:Y 0.00149111 -3 *1752:19 0.00115257 -4 *1752:15 0.00264368 -5 *1752:15 *28048:A 0.00032925 -6 *1752:15 *3558:71 1.09611e-05 -7 *1752:15 *4154:27 0 -8 *1752:15 *4194:32 4.34506e-05 -9 *1752:15 *5237:29 0 -10 *1752:15 *5332:20 0.000242062 -11 *1752:15 *5545:59 0 -12 *1752:15 *5727:20 0.000478691 -13 *1752:19 *28093:CLK 0.00010663 -14 *1752:19 *3561:49 0.000194691 -15 *1752:19 *3561:53 0.000729199 -16 *1752:19 *4159:33 0.000256923 -17 *1752:19 *4160:38 0.000178813 -18 *1752:19 *4192:14 9.91086e-05 -19 *1752:19 *5742:170 6.05161e-06 -20 *28094:D *1752:19 0.000125731 -21 *373:10 *1752:19 8.57858e-05 -22 *373:12 *1752:19 0.00150413 -23 *385:39 *1752:15 0.000138605 -24 *429:31 *1752:15 0.000164787 -25 *501:10 *1752:19 0.000950283 -26 *623:22 *1752:15 2.39368e-05 -27 *1196:23 *1752:19 2.88881e-05 -28 *1202:43 *1752:15 0.000184288 -29 *1472:11 *1752:15 5.1052e-05 -30 *1742:12 *1752:19 0.000102981 -31 *1742:37 *1752:19 3.98428e-05 -32 *1742:44 *1752:19 0.000491696 -*RES -1 *25442:Y *1752:15 29.2206 -2 *1752:15 *1752:19 40.9375 -3 *1752:19 *25443:A0 9.3 -*END - -*D_NET *1753 0.00102963 -*CONN -*I *25451:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25444:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *25451:B1 0.000274836 -2 *25444:Y 0.000274836 -3 *25451:B1 *25451:A1 7.25873e-06 -4 *25451:B1 *25452:A0 0.000149339 -5 *25451:B1 *25452:A1 1.83819e-05 -6 *25451:B1 *25456:S 7.30227e-05 -7 *25451:B1 *25457:A1 9.44215e-05 -8 *25451:B1 *1757:23 2.64325e-05 -9 *25451:B1 *4195:123 3.84385e-05 -10 *1743:30 *25451:B1 1.74352e-05 -11 *1743:54 *25451:B1 5.52302e-05 -*RES -1 *25444:Y *25451:B1 33.5821 -*END - -*D_NET *1754 0.0011912 -*CONN -*I *25446:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25445:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *25446:A0 0.000288359 -2 *25445:X 0.000288359 -3 *25446:A0 *1771:29 0.000272665 -4 *25446:A0 *4189:41 0.000272665 -5 *29118:A *25446:A0 1.58029e-05 -6 *1742:65 *25446:A0 5.33433e-05 -*RES -1 *25445:X *25446:A0 33.6536 -*END - -*D_NET *1755 0.00883557 -*CONN -*I *25448:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25447:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *25448:B2 0.000785356 -2 *25447:X 0.00167363 -3 *1755:10 0.00245899 -4 *25448:B2 *25808:A0 0.000137515 -5 *1755:10 *24872:A 3.96861e-05 -6 *1755:10 *25072:A1 0.000250859 -7 *1755:10 *25447:A 0.000973391 -8 *1755:10 *25818:S 4.10843e-05 -9 *1755:10 *29476:A 0.000123288 -10 *1755:10 *1873:61 0.000624234 -11 *1755:10 *3548:5 9.37376e-05 -12 *1755:10 *3548:17 1.38323e-05 -13 *1755:10 *4158:46 1.02937e-05 -14 *1755:10 *5356:11 0.000310249 -15 *1755:10 *5899:20 8.69063e-05 -16 *1755:10 *6169:107 0.000189265 -17 *25168:S *1755:10 0.000164823 -18 *25808:A1 *25448:B2 0.0005255 -19 *28266:D *25448:B2 9.60875e-05 -20 *1191:85 *1755:10 0.00023108 -21 *1750:15 *25448:B2 5.7661e-06 -*RES -1 *25447:X *1755:10 47.2107 -2 *1755:10 *25448:B2 19.6036 -*END - -*D_NET *1756 0.00231387 -*CONN -*I *25449:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25448:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25449:A0 0.000424441 -2 *25448:X 0.000424441 -3 *25449:A0 *1771:29 0.000240186 -4 *25449:A0 *1829:16 6.79938e-05 -5 *25449:A0 *4156:22 4.43299e-05 -6 *25449:A0 *4195:105 7.19022e-05 -7 *25449:S *25449:A0 0.000475683 -8 *28088:RESET_B *25449:A0 4.90306e-05 -9 *1427:161 *25449:A0 0.000475683 -10 *1750:15 *25449:A0 4.01768e-05 -*RES -1 *25448:X *25449:A0 39.6893 -*END - -*D_NET *1757 0.00527499 -*CONN -*I *25451:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25450:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *25451:B2 0 -2 *25450:X 0.00136145 -3 *1757:23 0.00136145 -4 *1757:23 *24881:A1 0.000132631 -5 *1757:23 *24883:S 3.7557e-05 -6 *1757:23 *25451:A1 0.000596665 -7 *1757:23 *25456:S 3.54605e-05 -8 *1757:23 *25826:A0 0.000425953 -9 *1757:23 *25826:A1 7.66995e-05 -10 *1757:23 *25826:S 8.43535e-06 -11 *1757:23 *28282:CLK 0.000136958 -12 *1757:23 *29908:A 0.000224577 -13 *1757:23 *4157:45 0.000630084 -14 *1757:23 *5865:85 4.58194e-05 -15 *25440:B *1757:23 3.26118e-05 -16 *25451:B1 *1757:23 2.64325e-05 -17 *1207:11 *1757:23 0.000142197 -*RES -1 *25450:X *1757:23 43.6214 -2 *1757:23 *25451:B2 9.3 -*END - -*D_NET *1758 0.000594481 -*CONN -*I *25452:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25451:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *25452:A0 0.000135317 -2 *25451:X 0.000135317 -3 *25452:A0 *25452:A1 0.000122923 -4 *25452:A0 *25456:S 1.32293e-05 -5 *25452:A0 *4195:123 3.83545e-05 -6 *25451:B1 *25452:A0 0.000149339 -*RES -1 *25451:X *25452:A0 30.4929 -*END - -*D_NET *1759 0.00458758 -*CONN -*I *25455:A I *D sky130_fd_sc_hd__xnor2_1 -*I *25453:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *25455:A 0 -2 *25453:Y 0.000933903 -3 *1759:11 0.000933903 -4 *1759:11 *25453:A2 1.15281e-05 -5 *1759:11 *25826:A1 1.0562e-05 -6 *1759:11 *28333:CLK 9.15186e-06 -7 *1759:11 *1827:61 0.00053088 -8 *1759:11 *3626:8 0 -9 *1759:11 *3626:21 0 -10 *1759:11 *5666:55 0.000107754 -11 *1759:11 *5719:98 0 -12 *25453:A1 *1759:11 3.14048e-05 -13 *440:29 *1759:11 7.32272e-05 -14 *1195:6 *1759:11 0.00129728 -15 *1207:11 *1759:11 0 -16 *1209:13 *1759:11 0.000647985 -*RES -1 *25453:Y *1759:11 43.175 -2 *1759:11 *25455:A 9.3 -*END - -*D_NET *1760 0.0050363 -*CONN -*I *25455:B I *D sky130_fd_sc_hd__xnor2_1 -*I *25454:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *25455:B 0 -2 *25454:Y 0.00175031 -3 *1760:13 0.00175031 -4 *1760:13 *25457:A0 1.21258e-05 -5 *1760:13 *25457:A1 0.000114577 -6 *1760:13 *3564:22 7.79937e-05 -7 *1760:13 *4158:46 0.000767522 -8 *25452:S *1760:13 0.000304394 -9 *25457:S *1760:13 7.48091e-05 -10 *28411:D *1760:13 4.84159e-05 -11 *1209:13 *1760:13 5.95659e-05 -12 *1730:87 *1760:13 7.62718e-05 -*RES -1 *25454:Y *1760:13 41.2643 -2 *1760:13 *25455:B 9.3 -*END - -*D_NET *1761 0.00059452 -*CONN -*I *25457:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25456:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *25457:A0 7.47386e-05 -2 *25456:X 7.47386e-05 -3 *25457:A0 *25794:S 0 -4 *25457:A0 *4158:46 9.90431e-05 -5 *25457:S *25457:A0 0.000182105 -6 *414:22 *25457:A0 0.000151769 -7 *1760:13 *25457:A0 1.21258e-05 -*RES -1 *25456:X *25457:A0 30.4929 -*END - -*D_NET *1762 0.00664553 -*CONN -*I *25459:S I *D sky130_fd_sc_hd__mux2_1 -*I *25538:A3 I *D sky130_fd_sc_hd__o32ai_1 -*I *25458:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *25459:S 1.67213e-05 -2 *25538:A3 0.000539 -3 *25458:Y 0.000782017 -4 *1762:16 0.00133774 -5 *25459:S *25459:A1 2.89016e-05 -6 *25459:S *4163:93 5.33005e-05 -7 *25538:A3 *28168:D 6.9019e-05 -8 *25538:A3 *28213:D 0.000700758 -9 *25538:A3 *29585:A 0.000796226 -10 *25538:A3 *4160:38 0.000187622 -11 *25538:A3 *4160:57 1.30241e-05 -12 *25538:A3 *4187:10 0.000148196 -13 *25538:A3 *5304:13 2.89016e-05 -14 *25538:A3 *5357:16 8.56812e-05 -15 *25538:A3 *5727:36 1.87004e-05 -16 *25538:A3 *5739:37 5.71472e-05 -17 *1762:16 *25477:B 3.22243e-05 -18 *1762:16 *28168:D 0.000205951 -19 *1762:16 *4160:38 0.000100041 -20 *1762:16 *4164:153 0.000848903 -21 *1762:16 *4192:35 0.000225946 -22 *1762:16 *5256:23 2.98296e-05 -23 *25438:A1 *1762:16 5.33005e-05 -24 *25538:B2 *25538:A3 9.32015e-05 -25 *30526:A *25538:A3 6.19181e-05 -26 *399:18 *1762:16 6.59118e-05 -27 *1749:21 *1762:16 6.53483e-05 -*RES -1 *25458:Y *1762:16 26.6751 -2 *1762:16 *25538:A3 31.9964 -3 *1762:16 *25459:S 14.3357 -*END - -*D_NET *1763 0.015611 -*CONN -*I *25461:A3 I *D sky130_fd_sc_hd__a31o_1 -*I *25460:Y O *D sky130_fd_sc_hd__nor3_1 -*CAP -1 *25461:A3 0.000726867 -2 *25460:Y 0.00227421 -3 *1763:22 0.00300108 -4 *25461:A3 *2874:85 0.00011089 -5 *25461:A3 *3457:10 7.36771e-05 -6 *25461:A3 *3896:17 0.000221894 -7 *25461:A3 *3896:86 0.000422728 -8 *25461:A3 *5727:281 0.000143625 -9 *1763:22 *25460:B 0.000218679 -10 *1763:22 *25461:A2 7.25076e-05 -11 *1763:22 *25824:A0 0.00043038 -12 *1763:22 *27871:B1 3.47641e-06 -13 *1763:22 *1827:36 3.75293e-05 -14 *1763:22 *1844:44 0.000418739 -15 *1763:22 *3455:17 4.00349e-05 -16 *1763:22 *3857:21 0.000148083 -17 *1763:22 *3897:32 0.0004159 -18 *1763:22 *4103:18 6.90348e-06 -19 *1763:22 *4154:27 0 -20 *1763:22 *4189:40 0.000267167 -21 *1763:22 *4192:168 0.00210602 -22 *1763:22 *4546:20 1.58281e-05 -23 *1763:22 *5614:114 0.000288791 -24 *1763:22 *5727:20 0.000136406 -25 *1763:22 *5728:151 0.00198802 -26 *1763:22 *5740:162 0.000178969 -27 *25461:A1 *25461:A3 3.10885e-05 -28 *28085:RESET_B *1763:22 3.23958e-05 -29 *373:10 *1763:22 6.76337e-05 -30 *408:20 *25461:A3 0.000479592 -31 *622:20 *1763:22 0.000135624 -32 *623:22 *1763:22 0.000516075 -33 *1173:11 *1763:22 0.000132862 -34 *1173:82 *1763:22 0.000415871 -35 *1440:56 *1763:22 4.51771e-05 -36 *1472:11 *1763:22 6.30086e-06 -*RES -1 *25460:Y *1763:22 48.8638 -2 *1763:22 *25461:A3 31.0857 -*END - -*D_NET *1764 0.00740348 -*CONN -*I *25463:B I *D sky130_fd_sc_hd__nor2_1 -*I *25494:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *25462:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *25463:B 0.000169049 -2 *25494:B1 0.00072147 -3 *25462:Y 0.0006614 -4 *1764:11 0.00155192 -5 *25463:B *25420:B 0.000137151 -6 *25463:B *28051:B 4.17433e-05 -7 *25463:B *1765:8 1.33343e-05 -8 *25494:B1 *25465:B1 1.21258e-05 -9 *25494:B1 *25494:A2 0.000228124 -10 *25494:B1 *25569:A1 0.000256434 -11 *25494:B1 *29592:A 5.33005e-05 -12 *25494:B1 *1780:13 0.000346932 -13 *25494:B1 *3561:104 0.00017309 -14 *25494:B1 *3561:113 6.2216e-05 -15 *25494:B1 *3561:115 4.00025e-05 -16 *25494:B1 *4163:17 1.51571e-05 -17 *25494:B1 *4163:24 4.58194e-05 -18 *25494:B1 *5727:46 0.000224592 -19 *25494:B1 *5727:73 6.81083e-05 -20 *1764:11 *25420:B 7.85891e-05 -21 *1764:11 *28051:A 0.000308415 -22 *1764:11 *28051:B 1.32056e-05 -23 *1764:11 *6169:148 5.86416e-05 -24 *25418:B *25494:B1 0.000800071 -25 *28096:RESET_B *25494:B1 0.000304552 -26 *410:17 *25494:B1 9.41642e-05 -27 *1732:29 *25494:B1 0.000121842 -28 *1733:8 *25494:B1 4.93875e-05 -29 *1733:41 *25494:B1 4.61169e-05 -30 *1735:8 *1764:11 9.71197e-05 -31 *1736:7 *1764:11 0.000609404 -*RES -1 *25462:Y *1764:11 29.6393 -2 *1764:11 *25494:B1 49.8179 -3 *1764:11 *25463:B 12.7286 -*END - -*D_NET *1765 0.00576817 -*CONN -*I *25495:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25464:S I *D sky130_fd_sc_hd__mux2_1 -*I *25463:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *25495:A2 0.000946805 -2 *25464:S 0 -3 *25463:Y 0.000478083 -4 *1765:8 0.00142489 -5 *25495:A2 *25546:A1 5.41794e-05 -6 *25495:A2 *25575:A1 0.000246653 -7 *25495:A2 *4187:20 0.000488191 -8 *1765:8 *25420:B 3.48705e-05 -9 *1765:8 *28199:D 9.90367e-05 -10 *1765:8 *4187:20 8.00806e-05 -11 *1765:8 *4187:22 0.000290279 -12 *25463:B *1765:8 1.33343e-05 -13 *28068:D *25495:A2 0.000808892 -14 *29282:A *25495:A2 1.02504e-05 -15 *29282:A *1765:8 0.000716137 -16 *719:17 *25495:A2 7.64885e-05 -*RES -1 *25463:Y *1765:8 25.175 -2 *1765:8 *25464:S 13.8 -3 *1765:8 *25495:A2 41.4339 -*END - -*D_NET *1766 0.0147104 -*CONN -*I *25467:B1 I *D sky130_fd_sc_hd__a211oi_1 -*I *25466:Y O *D sky130_fd_sc_hd__a21oi_1 -*CAP -1 *25467:B1 0 -2 *25466:Y 0.00204083 -3 *1766:33 0.00205848 -4 *1766:24 0.0040993 -5 *1766:24 *25466:B1 4.15411e-05 -6 *1766:24 *25555:A0 0.000189342 -7 *1766:24 *28133:D 3.17444e-05 -8 *1766:24 *1772:29 7.1858e-05 -9 *1766:24 *1830:15 9.20464e-06 -10 *1766:24 *3558:71 2.26029e-05 -11 *1766:24 *3558:76 5.15952e-05 -12 *1766:24 *3857:21 0.000429542 -13 *1766:24 *4192:168 0.000393633 -14 *1766:24 *4548:15 0.000162747 -15 *1766:24 *5256:23 4.34863e-05 -16 *1766:24 *5412:27 0.000442606 -17 *1766:24 *5728:137 0.00151878 -18 *1766:24 *5742:102 0.000203235 -19 *1766:33 *6538:DIODE 5.49166e-05 -20 *1766:33 *24814:A 5.49544e-05 -21 *1766:33 *25555:A0 9.41642e-05 -22 *1766:33 *28237:D 9.04729e-05 -23 *1766:33 *3710:28 0 -24 *1766:33 *5614:114 0.00022459 -25 *1766:33 *5740:162 0.00038214 -26 *25466:A2 *1766:24 4.82865e-05 -27 *30400:A *1766:33 0.000164409 -28 *359:14 *1766:24 0.000115384 -29 *411:25 *1766:24 7.85178e-05 -30 *429:31 *1766:24 1.92492e-05 -31 *434:13 *1766:33 0.000106584 -32 *437:23 *1766:33 0.00134899 -33 *623:22 *1766:24 0.000108765 -34 *1745:38 *1766:24 8.45926e-06 -*RES -1 *25466:Y *1766:24 48.8618 -2 *1766:24 *1766:33 43.5179 -3 *1766:33 *25467:B1 9.3 -*END - -*D_NET *1767 0.0036647 -*CONN -*I *25469:S I *D sky130_fd_sc_hd__mux2_1 -*I *25468:X O *D sky130_fd_sc_hd__or4b_1 -*CAP -1 *25469:S 0.000896303 -2 *25468:X 0.000896303 -3 *25469:S *25498:A1 3.34366e-05 -4 *25469:S *29521:A 0.000517344 -5 *25469:S *29625:A 5.58515e-05 -6 *25469:S *4164:87 0.000141729 -7 *25469:S *4192:112 4.16473e-05 -8 *28080:RESET_B *25469:S 0.000161109 -9 *28169:RESET_B *25469:S 0.000542492 -10 *1175:21 *25469:S 0.00037848 -*RES -1 *25468:X *25469:S 44.35 -*END - -*D_NET *1768 0.00439845 -*CONN -*I *25471:S I *D sky130_fd_sc_hd__mux2_1 -*I *25470:X O *D sky130_fd_sc_hd__or4bb_1 -*CAP -1 *25471:S 0.00106153 -2 *25470:X 0.00106153 -3 *25471:S *25471:A1 0.000731156 -4 *25471:S *28048:A 5.74309e-05 -5 *25471:S *28169:CLK 0.000216755 -6 *25471:S *3558:112 0.000181803 -7 *28169:RESET_B *25471:S 0.000214967 -8 *418:8 *25471:S 0.000873274 -*RES -1 *25470:X *25471:S 47.6 -*END - -*D_NET *1769 0.0160299 -*CONN -*I *25473:C1 I *D sky130_fd_sc_hd__o211a_1 -*I *25472:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *25473:C1 0.000246244 -2 *25472:Y 0.00123027 -3 *1769:17 0.00246611 -4 *1769:16 0.00345013 -5 *25473:C1 *25473:A2 5.52238e-05 -6 *25473:C1 *25480:B 0.000136682 -7 *25473:C1 *28046:A 0.00014388 -8 *25473:C1 *4160:57 0.000285237 -9 *25473:C1 *5728:72 2.30218e-05 -10 *25473:C1 *5728:88 4.98055e-06 -11 *1769:16 *25472:A2 0.000257619 -12 *1769:16 *1888:39 0.000398449 -13 *1769:16 *2789:27 7.6644e-05 -14 *1769:16 *3594:7 1.40017e-05 -15 *1769:16 *3737:19 9.20581e-06 -16 *1769:16 *4103:18 3.69697e-05 -17 *1769:16 *4163:95 0.000505757 -18 *1769:16 *5706:170 5.52302e-05 -19 *1769:16 *5727:228 7.58075e-05 -20 *1769:16 *5727:243 5.035e-05 -21 *1769:16 *5740:99 0.000185782 -22 *1769:16 *5740:112 5.41794e-05 -23 *1769:17 *6609:DIODE 1.21258e-05 -24 *1769:17 *25428:B 5.49544e-05 -25 *1769:17 *25477:A 0.000983518 -26 *1769:17 *25539:A2_N 0.000710227 -27 *1769:17 *25697:A0 9.41642e-05 -28 *1769:17 *25878:A0 3.37161e-05 -29 *1769:17 *25878:A1 0.000219711 -30 *1769:17 *28179:D 0.000387622 -31 *1769:17 *28885:A 6.57032e-05 -32 *1769:17 *28906:A 2.59355e-05 -33 *1769:17 *1864:89 6.96405e-05 -34 *1769:17 *1888:39 0.000554455 -35 *1769:17 *3605:7 9.90431e-05 -36 *1769:17 *4167:24 0.000337901 -37 *1769:17 *4195:196 5.87969e-05 -38 *1769:17 *5316:23 5.49489e-05 -39 *1769:17 *5706:82 0.000181796 -40 *1769:17 *5706:88 0.00026129 -41 *28166:RESET_B *25473:C1 0.000117809 -42 *29108:A *1769:17 0.000200519 -43 *361:20 *1769:17 0.000136676 -44 *389:8 *25473:C1 0.000215432 -45 *399:17 *1769:17 8.25843e-06 -46 *409:10 *1769:17 0.00118116 -47 *1175:21 *1769:17 5.33005e-05 -48 *1738:40 *1769:17 9.60939e-05 -49 *1738:50 *1769:17 5.33005e-05 -*RES -1 *25472:Y *1769:16 45.7821 -2 *1769:16 *1769:17 48.1786 -3 *1769:17 *25473:C1 25.3893 -*END - -*D_NET *1770 0.0123069 -*CONN -*I *25476:B1_N I *D sky130_fd_sc_hd__o21ba_1 -*I *25483:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25479:A2 I *D sky130_fd_sc_hd__o22a_1 -*I *25480:B I *D sky130_fd_sc_hd__nor2_1 -*I *25478:A I *D sky130_fd_sc_hd__nor2_1 -*I *25473:X O *D sky130_fd_sc_hd__o211a_1 -*CAP -1 *25476:B1_N 0.000282644 -2 *25483:A2 0 -3 *25479:A2 0.000165311 -4 *25480:B 0.000629809 -5 *25478:A 0.00043038 -6 *25473:X 0 -7 *1770:67 0.000821817 -8 *1770:42 0.000925533 -9 *1770:6 0.00108991 -10 *1770:5 0.000250766 -11 *25476:B1_N *24865:B 6.05161e-06 -12 *25476:B1_N *25483:B1 0.000185411 -13 *25476:B1_N *3558:76 0.000164316 -14 *25478:A *25473:A1 8.32171e-05 -15 *25478:A *25478:B 0.00061713 -16 *25478:A *25582:B 8.06106e-05 -17 *25478:A *28034:A 3.77323e-05 -18 *25478:A *1774:16 0.000226538 -19 *25478:A *4163:28 0.000262504 -20 *25478:A *5467:8 0.000374754 -21 *25478:A *5728:93 3.34366e-05 -22 *25479:A2 *25479:A1 1.58163e-05 -23 *25479:A2 *5316:23 2.47753e-05 -24 *25480:B *25473:A1 6.24874e-05 -25 *25480:B *25473:A2 0.000262498 -26 *25480:B *25480:A 0.000139491 -27 *25480:B *25493:A2 0.000148196 -28 *25480:B *4160:57 0.000653625 -29 *25480:B *4192:117 0.000347187 -30 *25480:B *5742:51 0.000135242 -31 *1770:6 *25473:A1 0.000103267 -32 *1770:6 *1774:16 9.4724e-05 -33 *1770:42 *25473:A1 1.02504e-05 -34 *1770:42 *25482:B 0.000477148 -35 *1770:42 *1774:16 0.000212627 -36 *1770:42 *5467:8 2.14378e-05 -37 *1770:42 *6114:39 3.34295e-05 -38 *1770:67 *25482:A_N 0.000219711 -39 *1770:67 *25482:B 6.8646e-06 -40 *1770:67 *25483:A1 6.9264e-05 -41 *1770:67 *25483:B1 2.98065e-05 -42 *1770:67 *25483:B2 2.67132e-05 -43 *1770:67 *25483:C1 0.000418831 -44 *1770:67 *5316:23 7.49387e-06 -45 *1770:67 *6114:39 0.000131874 -46 *25433:D *1770:42 0.000510852 -47 *25438:A1 *25476:B1_N 0.000307715 -48 *25438:A3 *25476:B1_N 3.18747e-05 -49 *25438:B1 *25476:B1_N 0.000220935 -50 *25473:C1 *25480:B 0.000136682 -51 *28166:RESET_B *25480:B 0.000599997 -52 *389:8 *25480:B 5.41797e-06 -53 *430:9 *1770:42 3.53525e-05 -54 *430:9 *1770:67 0.00012401 -55 *1745:16 *25476:B1_N 1.34532e-05 -*RES -1 *25473:X *1770:5 13.8 -2 *1770:5 *1770:6 1.33929 -3 *1770:6 *25478:A 28.2286 -4 *1770:6 *25480:B 40.7464 -5 *1770:5 *1770:42 13.4286 -6 *1770:42 *25479:A2 11.0679 -7 *1770:42 *1770:67 19.9688 -8 *1770:67 *25483:A2 9.3 -9 *1770:67 *25476:B1_N 25.7062 -*END - -*D_NET *1771 0.0781088 -*CONN -*I *25490:S I *D sky130_fd_sc_hd__mux2_1 -*I *25484:S I *D sky130_fd_sc_hd__mux2_1 -*I *25485:S I *D sky130_fd_sc_hd__mux2_1 -*I *25487:S I *D sky130_fd_sc_hd__mux2_1 -*I *25486:S I *D sky130_fd_sc_hd__mux2_1 -*I *25488:S I *D sky130_fd_sc_hd__mux2_1 -*I *25489:S I *D sky130_fd_sc_hd__mux2_1 -*I *25476:A1 I *D sky130_fd_sc_hd__o21ba_1 -*I *25474:X O *D sky130_fd_sc_hd__or3_4 -*CAP -1 *25490:S 8.10066e-05 -2 *25484:S 0.000138092 -3 *25485:S 0 -4 *25487:S 0 -5 *25486:S 0.000188621 -6 *25488:S 0 -7 *25489:S 0 -8 *25476:A1 0.00127962 -9 *25474:X 0.000279438 -10 *1771:95 0.000318225 -11 *1771:89 0.000326353 -12 *1771:76 0.000547785 -13 *1771:68 0.000612869 -14 *1771:63 0.000442009 -15 *1771:58 0.00192788 -16 *1771:48 0.00429526 -17 *1771:43 0.00408565 -18 *1771:29 0.00895121 -19 *1771:8 0.00891509 -20 *25476:A1 *5545:59 0.000257885 -21 *25484:S *25484:A1 1.92789e-05 -22 *25484:S *25485:A1 0.00027032 -23 *25484:S *1817:16 4.70078e-05 -24 *25486:S *25486:A0 9.58689e-05 -25 *25486:S *3567:84 0.000263634 -26 *25486:S *5672:8 7.46736e-05 -27 *25486:S *5878:12 0.000219468 -28 *25490:S *25490:A0 2.22999e-05 -29 *1771:8 *3935:16 4.32957e-05 -30 *1771:8 *4195:45 0.000145239 -31 *1771:8 *5542:21 4.32957e-05 -32 *1771:29 *24894:B 0.000625639 -33 *1771:29 *25452:A1 5.19522e-05 -34 *1771:29 *26207:B 1.90936e-05 -35 *1771:29 *26208:A2 0.000387558 -36 *1771:29 *26224:D1 1.94945e-05 -37 *1771:29 *26225:B1 0.00102985 -38 *1771:29 *26418:C 0.000191072 -39 *1771:29 *26419:A 4.37327e-05 -40 *1771:29 *26539:A 0 -41 *1771:29 *26801:B 5.41794e-05 -42 *1771:29 *28043:A 0.000207024 -43 *1771:29 *30191:A 0.000315165 -44 *1771:29 *1945:47 0.000118143 -45 *1771:29 *2099:49 0 -46 *1771:29 *2113:18 0.000891257 -47 *1771:29 *2113:23 0.00017096 -48 *1771:29 *2129:43 1.90936e-05 -49 *1771:29 *2165:10 0.000688533 -50 *1771:29 *2165:14 0.00146859 -51 *1771:29 *2165:31 6.90348e-06 -52 *1771:29 *2175:11 0.000186008 -53 *1771:29 *2186:12 0 -54 *1771:29 *2194:52 0 -55 *1771:29 *2197:8 0 -56 *1771:29 *2221:8 0.00733314 -57 *1771:29 *2358:20 2.06112e-05 -58 *1771:29 *2359:6 0.00031957 -59 *1771:29 *2359:13 0.000883531 -60 *1771:29 *2360:8 7.77686e-05 -61 *1771:29 *2672:10 9.8958e-05 -62 *1771:29 *3510:41 0 -63 *1771:29 *3935:16 0.000330599 -64 *1771:29 *4157:9 0.00022287 -65 *1771:29 *4157:15 0.000803878 -66 *1771:29 *4159:9 0 -67 *1771:29 *4189:41 0.00337902 -68 *1771:29 *4195:90 0 -69 *1771:29 *4195:105 0 -70 *1771:29 *4195:123 0.000383431 -71 *1771:29 *4195:133 0.000195555 -72 *1771:29 *4508:144 0.000168959 -73 *1771:29 *4552:132 0.000109742 -74 *1771:29 *5542:21 0.00151499 -75 *1771:29 *5542:41 0.00126162 -76 *1771:29 *5664:10 0.000108516 -77 *1771:29 *5664:20 0.000305586 -78 *1771:29 *5728:286 0 -79 *1771:29 *5728:296 0 -80 *1771:43 *26123:A3 0.000587214 -81 *1771:43 *26166:B 0.000412885 -82 *1771:43 *26175:A1 9.09094e-05 -83 *1771:43 *26482:C1 0.000696498 -84 *1771:43 *26789:C 0.000148901 -85 *1771:43 *1907:22 0.00012888 -86 *1771:43 *1907:27 0.000694549 -87 *1771:43 *1907:30 0.000114759 -88 *1771:43 *1937:22 0.000100017 -89 *1771:43 *1944:23 1.90936e-05 -90 *1771:43 *1959:61 6.81083e-05 -91 *1771:43 *1959:65 5.59013e-05 -92 *1771:43 *2032:76 9.11365e-06 -93 *1771:43 *2136:51 7.9463e-05 -94 *1771:43 *2250:94 3.48139e-05 -95 *1771:43 *2500:26 0 -96 *1771:43 *4508:116 5.59885e-05 -97 *1771:43 *6291:54 1.18889e-06 -98 *1771:43 *6291:56 7.3563e-05 -99 *1771:43 *6291:60 1.41295e-05 -100 *1771:43 *6291:67 0.000153479 -101 *1771:48 *26008:B 8.40933e-05 -102 *1771:48 *26009:B 0.000645043 -103 *1771:48 *26125:A3 1.39726e-05 -104 *1771:48 *26126:B 0.000124934 -105 *1771:48 *1933:11 6.12767e-05 -106 *1771:48 *1950:10 9.55111e-05 -107 *1771:48 *1950:181 3.54031e-05 -108 *1771:48 *1966:137 1.21371e-05 -109 *1771:48 *2066:9 0.000129913 -110 *1771:48 *2067:5 8.33853e-05 -111 *1771:48 *2244:48 0.000765697 -112 *1771:58 *25490:A0 2.51343e-06 -113 *1771:58 *26095:A 0 -114 *1771:58 *26095:B 0.00183976 -115 *1771:58 *26137:A 0.000265453 -116 *1771:58 *26145:A 5.33005e-05 -117 *1771:58 *26148:A 0.000151587 -118 *1771:58 *26148:B 0.000136951 -119 *1771:58 *26151:A2 2.28598e-05 -120 *1771:58 *29412:A 0.00024426 -121 *1771:58 *1933:17 0.000321182 -122 *1771:58 *1950:181 0.000136951 -123 *1771:58 *1966:137 4.43256e-05 -124 *1771:58 *2011:157 0.000114587 -125 *1771:58 *2079:8 1.58163e-05 -126 *1771:58 *2087:13 3.30352e-05 -127 *1771:58 *2090:8 2.77258e-05 -128 *1771:58 *5782:192 0.000186669 -129 *1771:58 *5782:203 0.000437756 -130 *1771:63 *25489:A0 0.00015134 -131 *1771:63 *6378:41 0.000153047 -132 *1771:68 *25488:A0 1.98839e-05 -133 *1771:68 *25489:A0 5.52238e-05 -134 *1771:68 *25489:A1 2.14658e-05 -135 *1771:76 *25488:A1 1.84523e-06 -136 *1771:76 *5836:324 9.7659e-05 -137 *1771:89 *25487:A0 5.52302e-05 -138 *1771:89 *25487:A1 5.33005e-05 -139 *1771:89 *25591:S 0.000219711 -140 *1771:89 *1817:16 3.45337e-05 -141 *1771:89 *5672:8 5.34835e-05 -142 *1771:89 *5878:12 0.000171375 -143 *1771:95 *25485:A1 5.71472e-05 -144 *1771:95 *25487:A1 9.71197e-05 -145 *1771:95 *25591:S 0.00021023 -146 *1771:95 *1817:16 0.000133894 -147 *25435:S *1771:29 0.000326111 -148 *25438:A1 *25476:A1 8.56815e-05 -149 *25438:A3 *25476:A1 0.000306036 -150 *25446:A0 *1771:29 0.000272665 -151 *25449:A0 *1771:29 0.000240186 -152 *25541:A *25476:A1 0.00109409 -153 *26123:A1 *1771:43 0.000181724 -154 *28073:D *1771:76 0.000100965 -155 *28073:RESET_B *1771:68 0.000762992 -156 *28073:RESET_B *1771:76 0.000346862 -157 *28087:RESET_B *1771:29 0 -158 *28088:D *1771:29 2.09897e-05 -159 *28089:RESET_B *1771:29 0 -160 *28093:RESET_B *1771:29 0.000210223 -161 *29524:A *25484:S 0.000555866 -162 *29524:A *1771:95 0.000265453 -163 *30307:A *25476:A1 0.000897962 -164 *399:18 *25476:A1 0.00134979 -165 *1186:19 *1771:43 0.0011009 -166 *1186:40 *1771:43 7.23484e-05 -167 *1192:25 *25476:A1 5.21057e-05 -168 *1194:14 *1771:29 1.27784e-05 -169 *1194:37 *1771:29 3.14008e-05 -170 *1324:23 *25476:A1 0.000259467 -171 *1545:51 *25476:A1 0 -172 *1730:114 *25476:A1 0.000158552 -173 *1738:54 *25476:A1 9.58181e-05 -174 *1742:55 *1771:29 3.43988e-06 -175 *1742:65 *1771:29 0 -176 *1746:17 *1771:29 0.000188369 -*RES -1 *25474:X *1771:8 17.3804 -2 *1771:8 *25476:A1 44.6609 -3 *1771:8 *1771:29 224.768 -4 *1771:29 *1771:43 48.7404 -5 *1771:43 *1771:48 36.0536 -6 *1771:48 *1771:58 38.0714 -7 *1771:58 *1771:63 10.9464 -8 *1771:63 *25489:S 9.3 -9 *1771:63 *1771:68 8.75 -10 *1771:68 *25488:S 9.3 -11 *1771:68 *1771:76 10.7857 -12 *1771:76 *25486:S 19.2464 -13 *1771:76 *1771:89 8.92857 -14 *1771:89 *25487:S 9.3 -15 *1771:89 *1771:95 5.46429 -16 *1771:95 *25485:S 9.3 -17 *1771:95 *25484:S 14.7643 -18 *1771:58 *25490:S 10.675 -*END - -*D_NET *1772 0.00387585 -*CONN -*I *25476:A2 I *D sky130_fd_sc_hd__o21ba_1 -*I *25475:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *25476:A2 0 -2 *25475:Y 0.0010477 -3 *1772:29 0.0010477 -4 *1772:29 *25466:B1 8.1303e-05 -5 *1772:29 *25475:A1 6.8377e-06 -6 *1772:29 *25475:A2 0.000100479 -7 *1772:29 *3857:21 0.000159105 -8 *1772:29 *4168:20 5.73665e-05 -9 *1772:29 *5341:12 4.26825e-05 -10 *1772:29 *5728:137 0.000292652 -11 *25438:A1 *1772:29 5.49544e-05 -12 *25438:A3 *1772:29 1.83136e-05 -13 *25466:A2 *1772:29 0.000208166 -14 *25467:A1 *1772:29 8.45062e-05 -15 *25475:B1 *1772:29 1.24368e-05 -16 *359:14 *1772:29 0.000200751 -17 *411:25 *1772:29 1.38247e-05 -18 *1175:21 *1772:29 0.000185703 -19 *1732:29 *1772:29 0.000189519 -20 *1766:24 *1772:29 7.1858e-05 -*RES -1 *25475:Y *1772:29 43.9759 -2 *1772:29 *25476:A2 9.3 -*END - -*D_NET *1773 0.00386518 -*CONN -*I *25477:B I *D sky130_fd_sc_hd__nor2_1 -*I *25483:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25476:X O *D sky130_fd_sc_hd__o21ba_1 -*CAP -1 *25477:B 0.000681219 -2 *25483:B1 0.000389827 -3 *25476:X 0 -4 *1773:4 0.00107105 -5 *25477:B *28086:CLK 0.000184729 -6 *25477:B *4165:92 0.000109165 -7 *25477:B *4165:103 0.000163347 -8 *25477:B *4192:58 0.000100009 -9 *25483:B1 *25483:A1 5.65955e-05 -10 *25483:B1 *4167:14 5.25862e-06 -11 *25438:A1 *25477:B 0.000437819 -12 *25438:A1 *25483:B1 0.000304564 -13 *25476:B1_N *25483:B1 0.000185411 -14 *399:17 *25477:B 1.02415e-05 -15 *399:18 *25477:B 1.09232e-05 -16 *411:25 *25477:B 0 -17 *429:31 *25483:B1 8.38835e-05 -18 *1745:16 *25483:B1 9.11048e-06 -19 *1762:16 *25477:B 3.22243e-05 -20 *1770:67 *25483:B1 2.98065e-05 -*RES -1 *25476:X *1773:4 9.3 -2 *1773:4 *25483:B1 24.8179 -3 *1773:4 *25477:B 31.7643 -*END - -*D_NET *1774 0.00861479 -*CONN -*I *25482:B I *D sky130_fd_sc_hd__and2b_1 -*I *25481:A I *D sky130_fd_sc_hd__xnor2_1 -*I *25478:B I *D sky130_fd_sc_hd__nor2_1 -*I *25477:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *25482:B 0.000267656 -2 *25481:A 0 -3 *25478:B 0.000422328 -4 *25477:Y 0.000740076 -5 *1774:16 0.000601184 -6 *1774:15 0.00118659 -7 *25478:B *25479:B1 6.92144e-05 -8 *25478:B *25582:B 0.00010326 -9 *25478:B *28034:A 5.33005e-05 -10 *25478:B *6136:16 0.000206073 -11 *25482:B *25428:A 0.000166345 -12 *25482:B *25482:A_N 0.000175892 -13 *25482:B *4161:49 5.2066e-05 -14 *25482:B *4168:20 0.000171368 -15 *25482:B *6114:39 0.000208399 -16 *1774:15 *25477:A 0.00112289 -17 *1774:15 *28046:A 6.48327e-05 -18 *1774:15 *4164:115 9.58181e-05 -19 *1774:16 *4161:49 0.000114121 -20 *25478:A *25478:B 0.00061713 -21 *25478:A *1774:16 0.000226538 -22 *385:18 *1774:15 0.000135028 -23 *399:17 *1774:15 0.000773728 -24 *1739:10 *25478:B 1.69222e-05 -25 *1739:10 *1774:16 0.000108662 -26 *1740:13 *25482:B 0.00012401 -27 *1770:6 *1774:16 9.4724e-05 -28 *1770:42 *25482:B 0.000477148 -29 *1770:42 *1774:16 0.000212627 -30 *1770:67 *25482:B 6.8646e-06 -*RES -1 *25477:Y *1774:15 31.4607 -2 *1774:15 *1774:16 6.80357 -3 *1774:16 *25478:B 25.3 -4 *1774:16 *25481:A 13.8 -5 *1774:15 *25482:B 22.9786 -*END - -*D_NET *1775 0.00349472 -*CONN -*I *25479:B1 I *D sky130_fd_sc_hd__o22a_1 -*I *25478:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *25479:B1 0.000593707 -2 *25478:Y 0.000593707 -3 *25479:B1 *25428:A 0.000200923 -4 *25479:B1 *3571:22 9.84606e-05 -5 *25479:B1 *5541:8 0.000664258 -6 *25479:B1 *5545:75 0.000314195 -7 *25478:B *25479:B1 6.92144e-05 -8 *30667:A *25479:B1 0.000807207 -9 *30737:A *25479:B1 0.000153047 -*RES -1 *25478:Y *25479:B1 47.1893 -*END - -*D_NET *1776 0.00337644 -*CONN -*I *25481:B I *D sky130_fd_sc_hd__xnor2_1 -*I *25480:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *25481:B 0.000906551 -2 *25480:Y 0.000906551 -3 *25481:B *29534:A 0.000169698 -4 *25481:B *29559:A 0.000135028 -5 *25481:B *4165:50 4.21278e-05 -6 *25481:B *4165:92 0.000170661 -7 *25481:B *4194:65 0.00031275 -8 *25481:B *5304:7 0.000438739 -9 *25481:B *6114:39 0.00019421 -10 *29369:A *25481:B 0.000100126 -*RES -1 *25480:Y *25481:B 47.4214 -*END - -*D_NET *1777 0.00169666 -*CONN -*I *25483:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *25482:X O *D sky130_fd_sc_hd__and2b_1 -*CAP -1 *25483:C1 0.000469506 -2 *25482:X 0.000469506 -3 *25483:C1 *25482:A_N 7.48301e-06 -4 *25483:C1 *25483:B2 0.000216755 -5 *25483:C1 *4166:17 0.000114577 -6 *1770:67 *25483:C1 0.000418831 -*RES -1 *25482:X *25483:C1 26.5643 -*END - -*D_NET *1778 0.0158588 -*CONN -*I *25493:A3 I *D sky130_fd_sc_hd__a31o_1 -*I *25492:B I *D sky130_fd_sc_hd__nor2_1 -*I *25491:X O *D sky130_fd_sc_hd__and3_1 -*CAP -1 *25493:A3 0.000175375 -2 *25492:B 0.000364623 -3 *25491:X 4.6275e-05 -4 *1778:25 0.00188426 -5 *1778:16 0.00299029 -6 *1778:8 0.0016923 -7 *25492:B *25493:B1 0.000589779 -8 *25492:B *4164:29 4.00121e-05 -9 *25493:A3 *25493:A2 0.000207606 -10 *25493:A3 *25493:B1 5.78522e-05 -11 *25493:A3 *5007:10 0.000216755 -12 *25493:A3 *5742:51 4.038e-06 -13 *1778:8 *3428:14 9.78027e-05 -14 *1778:8 *3749:46 9.78027e-05 -15 *1778:16 *25654:A1 5.33005e-05 -16 *1778:16 *25654:S 0.000136951 -17 *1778:16 *28024:B 0.000268922 -18 *1778:16 *28169:D 0.000173797 -19 *1778:16 *29588:A 5.52302e-05 -20 *1778:16 *30505:A 0.00056227 -21 *1778:16 *3561:93 9.25014e-06 -22 *1778:16 *5007:10 6.05161e-06 -23 *1778:16 *5304:13 7.4826e-05 -24 *1778:16 *5727:202 0.00126954 -25 *1778:25 *25546:S 7.4536e-05 -26 *1778:25 *28096:CLK 0.000265205 -27 *1778:25 *4164:5 5.91336e-05 -28 *1778:25 *4164:13 8.2238e-05 -29 *1778:25 *4188:25 0.000135028 -30 *1778:25 *5304:13 9.90367e-05 -31 *1778:25 *5739:37 7.77751e-05 -32 *25493:A1 *25493:A3 1.21258e-05 -33 *28069:RESET_B *1778:16 0.00286321 -34 *28096:RESET_B *1778:25 8.79458e-05 -35 *28169:RESET_B *1778:16 0 -36 *385:18 *25492:B 6.08824e-05 -37 *385:18 *25493:A3 0.000158322 -38 *394:14 *1778:16 0.000182694 -39 *448:11 *25492:B 0.000565152 -40 *448:11 *1778:25 6.06291e-05 -*RES -1 *25491:X *1778:8 19.6393 -2 *1778:8 *1778:16 46.4464 -3 *1778:16 *1778:25 26.1071 -4 *1778:25 *25492:B 24.55 -5 *1778:25 *25493:A3 18.6571 -*END - -*D_NET *1779 0.00238494 -*CONN -*I *25493:B1 I *D sky130_fd_sc_hd__a31o_1 -*I *25492:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *25493:B1 0.000455723 -2 *25492:Y 0.000455723 -3 *25493:B1 *25493:A2 0.000136958 -4 *25493:B1 *4164:24 4.78762e-05 -5 *25493:B1 *4164:29 0.000101545 -6 *25493:B1 *5007:10 1.39726e-05 -7 *25493:B1 *5742:51 0.000354746 -8 *25492:B *25493:B1 0.000589779 -9 *25493:A3 *25493:B1 5.78522e-05 -10 *28069:D *25493:B1 9.0145e-05 -11 *389:8 *25493:B1 8.0618e-05 -*RES -1 *25492:Y *25493:B1 39.6536 -*END - -*D_NET *1780 0.00531032 -*CONN -*I *25495:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25494:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *25495:B1 0 -2 *25494:X 0.0016955 -3 *1780:13 0.0016955 -4 *1780:13 *25494:A2 5.74499e-06 -5 *1780:13 *25495:A1 1.73088e-05 -6 *1780:13 *25495:B2 0.000161868 -7 *1780:13 *28386:D 0.000539961 -8 *1780:13 *29287:A 6.05161e-06 -9 *1780:13 *4163:24 3.05522e-05 -10 *1780:13 *4187:18 0 -11 *1780:13 *4187:43 0.000318101 -12 *25494:B1 *1780:13 0.000346932 -13 *25536:A2 *1780:13 1.08359e-05 -14 *28068:D *1780:13 0.000243986 -15 *719:17 *1780:13 0.000203171 -16 *1170:6 *1780:13 3.48139e-05 -*RES -1 *25494:X *1780:13 45.7464 -2 *1780:13 *25495:B1 9.3 -*END - -*D_NET *1781 0.0151488 -*CONN -*I *29013:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *25496:Y O *D sky130_fd_sc_hd__nor3_2 -*CAP -1 *29013:A 0 -2 *25496:Y 0.00106031 -3 *1781:25 0.00112063 -4 *1781:16 0.00218095 -5 *1781:16 *25196:B2 1.1257e-05 -6 *1781:16 *3784:79 0.000345884 -7 *1781:16 *3888:12 0.00215114 -8 *1781:16 *5663:98 9.88255e-05 -9 *1781:16 *5832:8 0.000573835 -10 *1781:25 *25196:B2 0 -11 *1781:25 *3888:12 0.000997475 -12 *1781:25 *4022:59 0.000200406 -13 *1781:25 *5617:19 0.00158069 -14 *1781:25 *5617:31 0.000467426 -15 *1781:25 *5617:75 0.000466997 -16 *1781:25 *5832:8 0.000363356 -17 *25127:B *1781:16 0.000120724 -18 *25153:B1 *1781:16 0.00016515 -19 *25296:A2 *1781:25 0.00018077 -20 *1242:61 *1781:16 0.000182763 -21 *1262:25 *1781:25 2.35126e-05 -22 *1262:28 *1781:25 6.99087e-05 -23 *1262:53 *1781:25 0.000221984 -24 *1269:21 *1781:16 4.65519e-05 -25 *1269:21 *1781:25 6.20441e-06 -26 *1272:9 *1781:25 0.00173442 -27 *1411:50 *1781:16 4.28365e-05 -28 *1477:9 *1781:25 0.000286714 -29 *1631:16 *1781:16 0.000293445 -30 *1719:12 *1781:16 0.00015461 -*RES -1 *25496:Y *1781:16 49.6197 -2 *1781:16 *1781:25 48.9662 -3 *1781:25 *29013:A 9.3 -*END - -*D_NET *1782 0.00730151 -*CONN -*I *25516:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *25513:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25518:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *25517:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *25500:X O *D sky130_fd_sc_hd__o21a_1 -*CAP -1 *25516:A2 3.26018e-05 -2 *25513:A2 0.000460204 -3 *25518:A2 0 -4 *25517:A2 0.000605777 -5 *25500:X 0.000321309 -6 *1782:29 0.000857153 -7 *1782:23 0.000449172 -8 *1782:8 0.000946707 -9 *25513:A2 *27969:B1 0.000443011 -10 *25513:A2 *27971:A3 2.89016e-05 -11 *25513:A2 *28320:CLK 0.000181796 -12 *25513:A2 *3583:106 0 -13 *25513:A2 *3583:115 0 -14 *25513:A2 *5672:27 0.000384117 -15 *25513:A2 *5779:119 9.10431e-06 -16 *25513:A2 *6370:12 9.91086e-05 -17 *25517:A2 *25516:B1 9.4387e-05 -18 *25517:A2 *25854:A 3.52867e-05 -19 *25517:A2 *25854:C 0.000389077 -20 *1782:8 *25500:A2 0.000184944 -21 *1782:8 *1871:10 0 -22 *1782:8 *1874:8 0 -23 *1782:8 *5779:74 0.000222163 -24 *1782:8 *5798:12 0.000179873 -25 *1782:8 *6371:18 0.000150618 -26 *1782:23 *5779:74 4.73656e-05 -27 *1782:23 *5798:12 2.84494e-05 -28 *1782:29 *28322:CLK 3.90513e-05 -29 *1782:29 *3583:80 0 -30 *1782:29 *3583:85 0 -31 *1782:29 *5729:92 0 -32 *1782:29 *5779:74 0.000113038 -33 *1782:29 *5779:108 3.57163e-05 -34 *1782:29 *5779:119 0.000100913 -35 *1782:29 *5798:12 0.00024068 -36 *1782:29 *6376:14 4.09488e-06 -37 *28320:D *25513:A2 9.75059e-05 -38 *28322:D *25517:A2 5.33005e-05 -39 *28322:D *1782:8 0.000125717 -40 *28323:D *25517:A2 5.04705e-05 -41 *28323:D *1782:29 0.000100831 -42 *28324:D *1782:29 0.000128146 -43 *1172:18 *25513:A2 6.09233e-05 -*RES -1 *25500:X *1782:8 21.7643 -2 *1782:8 *25517:A2 24.3536 -3 *1782:8 *1782:23 0.732143 -4 *1782:23 *1782:29 10.5 -5 *1782:29 *25518:A2 13.8 -6 *1782:29 *25513:A2 25.9964 -7 *1782:23 *25516:A2 14.3357 -*END - -*D_NET *1783 0.00780059 -*CONN -*I *25586:B I *D sky130_fd_sc_hd__and2_1 -*I *25579:B I *D sky130_fd_sc_hd__and2_1 -*I *25578:B I *D sky130_fd_sc_hd__and2_1 -*I *25580:B I *D sky130_fd_sc_hd__and2_1 -*I *25501:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *25586:B 0.000247618 -2 *25579:B 6.04455e-05 -3 *25578:B 0.000151252 -4 *25580:B 1.40568e-05 -5 *25501:Y 0.000645882 -6 *1783:31 0.00040057 -7 *1783:20 0.000506515 -8 *1783:10 0.000922696 -9 *25578:B *6376:28 5.00917e-05 -10 *25578:B *6376:39 0.000178847 -11 *25579:B *25579:A 0.000183713 -12 *25580:B *6376:175 5.52238e-05 -13 *25580:B *6377:185 2.89016e-05 -14 *25586:B *25512:A 0.000266473 -15 *25586:B *6036:59 0.000600059 -16 *25586:B *6376:39 0.000592531 -17 *25586:B *6378:28 0.000178847 -18 *1783:10 *25500:A1 0.000142856 -19 *1783:10 *1930:76 8.86189e-05 -20 *1783:10 *2216:11 0.000107381 -21 *1783:10 *2293:16 4.00025e-05 -22 *1783:10 *3583:16 0.000123288 -23 *1783:10 *4189:72 0.000100855 -24 *1783:20 *1930:76 0 -25 *1783:20 *3583:45 0 -26 *1783:20 *6036:59 0.000408174 -27 *1783:20 *6376:39 3.34366e-05 -28 *1783:20 *6376:175 0.000299779 -29 *1783:20 *6377:185 0.00016345 -30 *1783:31 *6036:59 0.000368104 -31 *1783:31 *6376:39 0.000359561 -32 *28317:D *1783:10 0.000319316 -33 *28318:D *25579:B 4.87854e-05 -34 *28319:D *1783:20 0.000100823 -35 *1172:15 *25586:B 1.24368e-05 -*RES -1 *25501:Y *1783:10 30.2643 -2 *1783:10 *25580:B 9.83571 -3 *1783:10 *1783:20 12.7857 -4 *1783:20 *25578:B 16.8179 -5 *1783:20 *1783:31 4.67857 -6 *1783:31 *25579:B 15.5679 -7 *1783:31 *25586:B 24.1036 -*END - -*D_NET *1784 0.00121297 -*CONN -*I *25503:C I *D sky130_fd_sc_hd__or3_1 -*I *25502:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *25503:C 0.000299334 -2 *25502:X 0.000299334 -3 *25503:C *25502:A 6.12434e-05 -4 *25503:C *25502:C 0.00014285 -5 *25503:C *25503:A 7.32272e-05 -6 *25503:C *25511:A 2.22618e-05 -7 *25503:C *25963:B 0.000298875 -8 *25503:C *26329:C1 2.51343e-06 -9 *25503:C *4563:21 1.33343e-05 -*RES -1 *25502:X *25503:C 25.2071 -*END - -*D_NET *1785 0.00332024 -*CONN -*I *25511:A I *D sky130_fd_sc_hd__nor4_1 -*I *25503:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *25511:A 0.00105869 -2 *25503:X 0.00105869 -3 *25511:A *25502:A 4.27437e-05 -4 *25511:A *25502:C 0.000174884 -5 *25511:A *25502:D 9.41642e-05 -6 *25511:A *25503:A 2.89016e-05 -7 *25511:A *25511:D 0.000164345 -8 *25511:A *25964:A 0.000219711 -9 *25511:A *25964:C 0.000114457 -10 *25511:A *25978:A 2.43958e-05 -11 *25511:A *26559:B 0.000116006 -12 *25511:A *1793:22 0.000122948 -13 *25511:A *1906:14 7.80417e-05 -14 *25503:C *25511:A 2.22618e-05 -*RES -1 *25503:X *25511:A 36.2607 -*END - -*D_NET *1786 0.00131745 -*CONN -*I *25511:B I *D sky130_fd_sc_hd__nor4_1 -*I *25504:X O *D sky130_fd_sc_hd__or4b_1 -*CAP -1 *25511:B 0.000187515 -2 *25504:X 0.000187515 -3 *25511:B *25504:B 0.000186669 -4 *25511:B *1793:22 0.000569084 -5 *25511:B *4286:15 0.000186662 -*RES -1 *25504:X *25511:B 24.6179 -*END - -*D_NET *1787 0.00103866 -*CONN -*I *25511:C I *D sky130_fd_sc_hd__nor4_1 -*I *25505:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *25511:C 0.000254196 -2 *25505:X 0.000254196 -3 *25511:C *26332:C 5.03772e-05 -4 *25511:C *1906:14 0.000248998 -5 *25511:C *4330:8 0.000138634 -6 *30598:A *25511:C 3.48235e-05 -7 *30599:A *25511:C 5.74364e-05 -*RES -1 *25505:X *25511:C 32.9214 -*END - -*D_NET *1788 0.00572995 -*CONN -*I *25510:A I *D sky130_fd_sc_hd__or4_1 -*I *26091:A I *D sky130_fd_sc_hd__or3_2 -*I *26015:A3 I *D sky130_fd_sc_hd__a31oi_2 -*I *26016:A3 I *D sky130_fd_sc_hd__a31o_2 -*I *25506:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *25510:A 0.00046854 -2 *26091:A 0.000238583 -3 *26015:A3 1.69531e-05 -4 *26016:A3 0.000313194 -5 *25506:X 0.000189943 -6 *1788:28 0.000291271 -7 *1788:17 0.000783876 -8 *1788:5 0.00109343 -9 *25510:A *25506:A 0.000122221 -10 *25510:A *25510:B 4.75381e-05 -11 *25510:A *26015:B1 2.70758e-05 -12 *25510:A *1790:17 0.000140933 -13 *25510:A *1791:19 0.000137983 -14 *25510:A *1793:22 0.000112352 -15 *25510:A *1941:9 0 -16 *25510:A *2258:41 2.95076e-06 -17 *25510:A *4353:181 2.30116e-06 -18 *25510:A *4364:34 0 -19 *25510:A *4375:45 4.5147e-05 -20 *25510:A *4397:49 0 -21 *25510:A *4419:20 7.69776e-06 -22 *26015:A3 *26016:A1 5.33005e-05 -23 *26015:A3 *1957:12 5.33005e-05 -24 *26016:A3 *26016:A1 1.38262e-05 -25 *26016:A3 *1957:12 0.000307349 -26 *26016:A3 *6203:23 8.25843e-06 -27 *26091:A *26016:A2 0.000228558 -28 *26091:A *26332:C 0.000274288 -29 *26091:A *1902:8 0.000118313 -30 *26091:A *2227:85 5.6711e-05 -31 *26091:A *4297:15 0 -32 *26091:A *4375:16 0 -33 *26091:A *4375:37 0 -34 *1788:5 *25506:A 7.16452e-05 -35 *1788:5 *25506:B 5.62995e-05 -36 *1788:5 *6203:23 3.82503e-05 -37 *1788:17 *1957:12 0.000232148 -38 *1788:17 *2258:41 4.21517e-05 -39 *1788:17 *4297:15 1.90936e-05 -40 *1788:17 *6203:23 4.16984e-05 -41 *1788:28 *1902:8 2.22674e-05 -42 *1788:28 *2227:85 5.05056e-05 -*RES -1 *25506:X *1788:5 12.7107 -2 *1788:5 *1788:17 14.75 -3 *1788:17 *26016:A3 13.7464 -4 *1788:17 *1788:28 5.23214 -5 *1788:28 *26015:A3 14.3357 -6 *1788:28 *26091:A 19.6036 -7 *1788:5 *25510:A 27.3714 -*END - -*D_NET *1789 0.00545888 -*CONN -*I *25510:B I *D sky130_fd_sc_hd__or4_1 -*I *26278:B I *D sky130_fd_sc_hd__or3b_4 -*I *26091:B I *D sky130_fd_sc_hd__or3_2 -*I *25507:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *25510:B 0.000204877 -2 *26278:B 2.86511e-05 -3 *26091:B 0.000285451 -4 *25507:X 0.000193382 -5 *1789:7 0.000349723 -6 *1789:5 0.00043388 -7 *25510:B *1793:22 5.89454e-05 -8 *25510:B *4364:8 0 -9 *25510:B *4364:10 0 -10 *25510:B *4364:34 6.05538e-06 -11 *25510:B *4419:20 8.77729e-05 -12 *26091:B *25504:A 3.24517e-05 -13 *26091:B *25999:C_N 8.43535e-06 -14 *26091:B *26012:A 0.000212956 -15 *26091:B *26012:B 5.33005e-05 -16 *26091:B *26091:C 0.000115446 -17 *26091:B *26278:A 5.71472e-05 -18 *26091:B *26332:C 2.89016e-05 -19 *26091:B *2046:51 4.29414e-05 -20 *26091:B *2220:19 4.43256e-05 -21 *26091:B *4364:60 6.61005e-05 -22 *26278:B *2046:51 9.35228e-06 -23 *26278:B *2220:19 0 -24 *1789:5 *2046:51 0.00108024 -25 *1789:7 *2046:51 0.000161382 -26 *25510:A *25510:B 4.75381e-05 -27 *255:24 *26091:B 0.000605543 -28 *255:24 *1789:5 0.00107177 -29 *255:24 *1789:7 0.000172309 -*RES -1 *25507:X *1789:5 19.6929 -2 *1789:5 *1789:7 1.76786 -3 *1789:7 *26091:B 26.9071 -4 *1789:7 *26278:B 9.72857 -5 *1789:5 *25510:B 22.0679 -*END - -*D_NET *1790 0.00582549 -*CONN -*I *25510:C I *D sky130_fd_sc_hd__or4_1 -*I *25508:X O *D sky130_fd_sc_hd__or4bb_1 -*CAP -1 *25510:C 0 -2 *25508:X 0.00119055 -3 *1790:17 0.00119055 -4 *1790:17 *25509:B 5.41797e-05 -5 *1790:17 *25509:D_N 6.56976e-05 -6 *1790:17 *25511:D 0.00014183 -7 *1790:17 *26785:A2 3.80953e-06 -8 *1790:17 *26786:D 0.000746375 -9 *1790:17 *30827:A 4.57198e-05 -10 *1790:17 *1791:19 0.00109244 -11 *1790:17 *1793:22 7.48927e-06 -12 *1790:17 *1941:9 0.000479652 -13 *1790:17 *2046:51 2.27416e-05 -14 *1790:17 *4386:8 0.000186662 -15 *25510:A *1790:17 0.000140933 -16 *30596:A *1790:17 0.000447622 -17 *30611:A *1790:17 9.25014e-06 -*RES -1 *25508:X *1790:17 45.4071 -2 *1790:17 *25510:C 9.3 -*END - -*D_NET *1791 0.0049402 -*CONN -*I *25510:D I *D sky130_fd_sc_hd__or4_1 -*I *25509:X O *D sky130_fd_sc_hd__or4bb_1 -*CAP -1 *25510:D 0 -2 *25509:X 0.000530235 -3 *1791:19 0.000530235 -4 *1791:19 *25509:A 0.000142307 -5 *1791:19 *25509:B 2.05938e-05 -6 *1791:19 *25509:C_N 0.000174844 -7 *1791:19 *25511:D 1.02936e-05 -8 *1791:19 *1793:22 6.40409e-05 -9 *1791:19 *4286:15 0.00181212 -10 *1791:19 *4386:8 0.000186662 -11 *25510:A *1791:19 0.000137983 -12 *30596:A *1791:19 8.41815e-05 -13 *30606:A *1791:19 0.00010096 -14 *30611:A *1791:19 5.33005e-05 -15 *1790:17 *1791:19 0.00109244 -*RES -1 *25509:X *1791:19 42.8179 -2 *1791:19 *25510:D 9.3 -*END - -*D_NET *1792 0.00270798 -*CONN -*I *25511:D I *D sky130_fd_sc_hd__nor4_1 -*I *25510:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *25511:D 0.00089378 -2 *25510:X 0.00089378 -3 *25511:D *25504:D_N 0.000267377 -4 *25511:D *1793:22 0.000283272 -5 *25511:D *1906:14 5.33005e-05 -6 *25511:A *25511:D 0.000164345 -7 *1790:17 *25511:D 0.00014183 -8 *1791:19 *25511:D 1.02936e-05 -*RES -1 *25510:X *25511:D 31.1893 -*END - -*D_NET *1793 0.00528826 -*CONN -*I *31018:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *25511:Y O *D sky130_fd_sc_hd__nor4_1 -*CAP -1 *31018:A 6.51628e-05 -2 *25511:Y 0.000869882 -3 *1793:22 0.000935045 -4 *31018:A *26314:B 0.000169383 -5 *31018:A *26455:A2 0.000312215 -6 *1793:22 *25504:D_N 0.000137427 -7 *1793:22 *25506:A 0.000118982 -8 *1793:22 *25999:C_N 0.000310298 -9 *1793:22 *26015:B1 0 -10 *1793:22 *1912:17 4.23556e-05 -11 *1793:22 *2046:51 0.000312215 -12 *1793:22 *4286:15 0.000173854 -13 *1793:22 *4353:183 0.000570194 -14 *1793:22 *4375:45 5.31158e-05 -15 *25510:A *1793:22 0.000112352 -16 *25510:B *1793:22 5.89454e-05 -17 *25511:A *1793:22 0.000122948 -18 *25511:B *1793:22 0.000569084 -19 *25511:D *1793:22 0.000283272 -20 *1790:17 *1793:22 7.48927e-06 -21 *1791:19 *1793:22 6.40409e-05 -*RES -1 *25511:Y *1793:22 47.2643 -2 *1793:22 *31018:A 16.8 -*END - -*D_NET *1794 0.00307932 -*CONN -*I *27971:A2 I *D sky130_fd_sc_hd__a31o_1 -*I *25512:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *27971:A2 0.000870954 -2 *25512:Y 0.000870954 -3 *27971:A2 *25512:A 5.52302e-05 -4 *27971:A2 *27971:A1 1.56e-05 -5 *27971:A2 *27971:A3 4.13496e-05 -6 *27971:A2 *27971:B1 1.97695e-05 -7 *27971:A2 *5778:123 3.34687e-05 -8 *27971:A2 *6036:59 0.000197991 -9 *27971:A2 *6376:39 0.000267821 -10 *28813:D *27971:A2 0.000706186 -*RES -1 *25512:Y *27971:A2 41.9036 -*END - -*D_NET *1795 0.0218947 -*CONN -*I *25515:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25539:A2_N I *D sky130_fd_sc_hd__a2bb2o_1 -*I *25514:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *25515:B1 0.00209947 -2 *25539:A2_N 0.000652851 -3 *25514:Y 0.000975867 -4 *1795:26 0.00344228 -5 *1795:19 0.00166582 -6 *25515:B1 *25515:A1 9.47262e-05 -7 *25515:B1 *25515:B2 1.37223e-05 -8 *25515:B1 *3558:76 4.04359e-05 -9 *25515:B1 *3857:21 5.62233e-05 -10 *25539:A2_N *25540:A1 0.000906812 -11 *25539:A2_N *4195:179 0.000298305 -12 *25539:A2_N *4195:196 0.000136682 -13 *25539:A2_N *5542:12 0.000465117 -14 *25539:A2_N *5902:22 0.000135968 -15 *1795:19 *25824:A0 0.000136951 -16 *1795:19 *25824:A1 5.52238e-05 -17 *1795:19 *1875:52 0.000226923 -18 *1795:19 *4194:111 2.23401e-05 -19 *1795:19 *4195:36 0.000541559 -20 *1795:19 *4548:15 6.27272e-06 -21 *1795:19 *5693:87 8.43535e-06 -22 *1795:19 *5865:7 2.59355e-05 -23 *1795:26 *25540:A1 0.00049941 -24 *1795:26 *28280:CLK 0.000602478 -25 *1795:26 *3857:21 0.000371092 -26 *1795:26 *4195:19 1.82896e-05 -27 *1795:26 *4759:8 0.000103091 -28 *1795:26 *5542:12 3.88495e-05 -29 *1795:26 *5542:21 0.00041845 -30 *1795:26 *5545:59 0.000191487 -31 *25438:B1 *25515:B1 0.00150154 -32 *25467:A1 *1795:26 3.37219e-05 -33 *25474:B *1795:26 0.0001361 -34 *25514:B *1795:19 7.25241e-05 -35 *29108:A *25539:A2_N 0.000387622 -36 *30307:A *1795:19 0.000195218 -37 *359:14 *25515:B1 0.0010993 -38 *623:22 *25515:B1 2.25351e-05 -39 *623:22 *1795:19 2.59355e-05 -40 *1173:20 *1795:26 0.000132862 -41 *1472:11 *1795:26 0.000197555 -42 *1545:48 *1795:19 2.89481e-05 -43 *1603:47 *1795:19 0.000336462 -44 *1738:40 *25539:A2_N 8.36572e-05 -45 *1738:50 *25539:A2_N 5.49489e-05 -46 *1738:106 *1795:19 0.000847431 -47 *1745:38 *25515:B1 0.00163084 -48 *1749:21 *25515:B1 0.00014616 -49 *1769:17 *25539:A2_N 0.000710227 -*RES -1 *25514:Y *1795:19 40.1071 -2 *1795:19 *1795:26 24.4053 -3 *1795:26 *25539:A2_N 35.3268 -4 *1795:26 *25515:B1 38.1166 -*END - -*D_NET *1796 0.00945025 -*CONN -*I *25534:B2 I *D sky130_fd_sc_hd__a2bb2o_1 -*I *25520:B I *D sky130_fd_sc_hd__or2_1 -*I *25519:X O *D sky130_fd_sc_hd__or4b_1 -*CAP -1 *25534:B2 6.41511e-05 -2 *25520:B 0 -3 *25519:X 0.000810924 -4 *1796:25 0.00151787 -5 *1796:8 0.00239295 -6 *25534:B2 *25534:A2_N 5.33005e-05 -7 *25534:B2 *2782:170 5.48376e-05 -8 *25534:B2 *5680:257 0.000107313 -9 *25534:B2 *6387:96 5.33005e-05 -10 *1796:8 *27078:S 3.52953e-05 -11 *1796:8 *27273:A1_N 0.000282019 -12 *1796:8 *5680:257 0.00065927 -13 *1796:8 *6381:120 4.08637e-05 -14 *1796:8 *6383:21 0.000111239 -15 *1796:8 *6383:25 0.000175892 -16 *1796:25 *27273:A1_N 4.16955e-06 -17 *1796:25 *28189:CLK 5.52302e-05 -18 *1796:25 *1797:11 2.58706e-05 -19 *1796:25 *2782:170 8.6229e-06 -20 *1796:25 *3636:16 1.61382e-05 -21 *1796:25 *5399:17 0.000743169 -22 *1796:25 *5718:56 8.6229e-06 -23 *1796:25 *5718:70 0.000602187 -24 *1796:25 *5718:233 5.05056e-05 -25 *1796:25 *6319:70 7.61603e-05 -26 *1796:25 *6345:45 3.17479e-05 -27 *1796:25 *6387:10 5.05056e-05 -28 *1796:25 *6387:96 0.00065785 -29 *25520:A *1796:25 0.000177821 -30 *28189:D *1796:25 0.000246338 -31 *1264:92 *1796:8 4.11218e-05 -32 *1290:89 *1796:8 0.000294965 -*RES -1 *25519:X *1796:8 29.1304 -2 *1796:8 *1796:25 41.9196 -3 *1796:25 *25520:B 9.3 -4 *1796:8 *25534:B2 15.8268 -*END - -*D_NET *1797 0.0055215 -*CONN -*I *25521:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *27214:B1 I *D sky130_fd_sc_hd__o311a_1 -*I *25520:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *25521:B1 0.000583274 -2 *27214:B1 0.000145173 -3 *25520:X 0.000688524 -4 *1797:11 0.00141697 -5 *25521:B1 *25533:B1 2.02003e-05 -6 *25521:B1 *28792:CLK 5.33005e-05 -7 *25521:B1 *1826:46 0.000202301 -8 *25521:B1 *2831:17 5.62032e-05 -9 *25521:B1 *3572:64 0.000128852 -10 *25521:B1 *5536:11 0.000113407 -11 *25521:B1 *5678:247 0.000258648 -12 *25521:B1 *6320:71 1.90936e-05 -13 *27214:B1 *27214:A3 0.000207346 -14 *27214:B1 *2798:34 0.000194629 -15 *27214:B1 *2798:60 3.24055e-05 -16 *27214:B1 *6320:71 0 -17 *27214:B1 *6386:105 1.20893e-05 -18 *1797:11 *28188:CLK 0.000161723 -19 *1797:11 *28189:CLK 2.89114e-05 -20 *1797:11 *1826:46 9.02627e-05 -21 *1797:11 *2831:17 0.000122735 -22 *1797:11 *2840:12 2.53281e-05 -23 *1797:11 *2840:53 5.3526e-05 -24 *1797:11 *6100:17 8.23185e-05 -25 *1797:11 *6320:71 1.52985e-05 -26 *1797:11 *6345:45 0.000135028 -27 *1797:11 *6387:54 0.000115139 -28 *25521:A1 *25521:B1 2.89114e-05 -29 *27214:A1 *25521:B1 0.000337297 -30 *27214:A1 *27214:B1 2.74981e-06 -31 *27214:A1 *1797:11 0.000163987 -32 *371:15 *1797:11 0 -33 *1796:25 *1797:11 2.58706e-05 -*RES -1 *25520:X *1797:11 26.0918 -2 *1797:11 *27214:B1 20.8261 -3 *1797:11 *25521:B1 26.1804 -*END - -*D_NET *1798 0.00699066 -*CONN -*I *25523:B I *D sky130_fd_sc_hd__and4b_1 -*I *25522:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *25523:B 0 -2 *25522:Y 0.00136666 -3 *1798:19 0.00136666 -4 *1798:19 *24974:A1 7.14469e-05 -5 *1798:19 *25522:B 3.27359e-05 -6 *1798:19 *25523:D 4.43081e-05 -7 *1798:19 *25524:B 2.06178e-05 -8 *1798:19 *27116:S 0.000133091 -9 *1798:19 *27217:A 0.00144063 -10 *1798:19 *1799:19 7.00408e-05 -11 *1798:19 *2810:15 0.000118052 -12 *1798:19 *2838:31 0.00029725 -13 *1798:19 *3040:41 0.000424362 -14 *1798:19 *3878:22 0.000358119 -15 *1798:19 *4907:10 2.09698e-05 -16 *1798:19 *5352:22 5.42303e-05 -17 *1798:19 *5426:19 9.22677e-05 -18 *1798:19 *5585:115 0.000626061 -19 *1798:19 *5675:213 3.62863e-05 -20 *1798:19 *5751:83 1.30747e-05 -21 *30613:A *1798:19 0.000205761 -22 *15:18 *1798:19 3.18411e-05 -23 *18:20 *1798:19 0.000140088 -24 *1104:16 *1798:19 2.61076e-05 -*RES -1 *25522:Y *1798:19 42.6919 -2 *1798:19 *25523:B 9.3 -*END - -*D_NET *1799 0.00857446 -*CONN -*I *25533:A2 I *D sky130_fd_sc_hd__a32o_1 -*I *25532:B I *D sky130_fd_sc_hd__nand2_1 -*I *25524:B I *D sky130_fd_sc_hd__nor2_1 -*I *25523:X O *D sky130_fd_sc_hd__and4b_1 -*CAP -1 *25533:A2 0 -2 *25532:B 0 -3 *25524:B 0.000470252 -4 *25523:X 0 -5 *1799:51 0.00116296 -6 *1799:19 0.00151279 -7 *1799:5 0.000820081 -8 *25524:B *25523:D 0.000216869 -9 *25524:B *27231:B1_N 0.000337978 -10 *25524:B *28647:D 0.000287567 -11 *25524:B *2803:20 0.000102859 -12 *25524:B *5513:53 1.90936e-05 -13 *25524:B *6383:41 5.49489e-05 -14 *1799:19 *25044:A1 0 -15 *1799:19 *25534:A2_N 0.000112467 -16 *1799:19 *27483:A2 0.000101288 -17 *1799:19 *28647:D 9.54798e-06 -18 *1799:19 *3020:15 2.84494e-05 -19 *1799:19 *5751:83 0 -20 *1799:19 *6386:35 1.69115e-05 -21 *1799:51 *25533:A1 5.52238e-05 -22 *1799:51 *25533:B1 1.67664e-05 -23 *1799:51 *25533:B2 6.54385e-05 -24 *1799:51 *28792:D 9.77956e-05 -25 *1799:51 *28793:D 0.000586452 -26 *1799:51 *29009:A 5.33005e-05 -27 *1799:51 *3020:15 2.96948e-05 -28 *1799:51 *3041:15 0.00104744 -29 *1799:51 *5485:131 0.000319325 -30 *1799:51 *6350:47 6.05161e-06 -31 *1799:51 *6386:35 6.3254e-05 -32 *25015:A2 *1799:19 0.000123277 -33 *25015:A2 *1799:51 4.21078e-05 -34 *27213:A2 *1799:51 5.89845e-05 -35 *28771:D *25524:B 5.33005e-05 -36 *1244:53 *1799:51 0.00018372 -37 *1273:265 *1799:51 0.000372847 -38 *1278:29 *1799:51 5.4766e-05 -39 *1798:19 *25524:B 2.06178e-05 -40 *1798:19 *1799:19 7.00408e-05 -*RES -1 *25523:X *1799:5 13.8 -2 *1799:5 *25524:B 23.5679 -3 *1799:5 *1799:19 6.66071 -4 *1799:19 *25532:B 13.8 -5 *1799:19 *1799:51 43.3214 -6 *1799:51 *25533:A2 9.3 -*END - -*D_NET *1800 0.0126881 -*CONN -*I *25525:B I *D sky130_fd_sc_hd__or2_1 -*I *27220:B I *D sky130_fd_sc_hd__or3_1 -*I *25524:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *25525:B 2.41001e-05 -2 *27220:B 0.000246797 -3 *25524:Y 0.00195232 -4 *1800:14 0.00222321 -5 *25525:B *5515:32 4.56535e-05 -6 *27220:B *27220:A 1.24368e-05 -7 *27220:B *28767:RESET_B 9.60875e-05 -8 *27220:B *2797:38 7.50757e-05 -9 *27220:B *6100:17 2.42516e-05 -10 *1800:14 *27216:B 0.000314487 -11 *1800:14 *27231:B1_N 7.69776e-06 -12 *1800:14 *28786:CLK 0.000121334 -13 *1800:14 *29544:A 4.84704e-05 -14 *1800:14 *5515:32 0.0012533 -15 *1800:14 *5570:23 0.00157345 -16 *1800:14 *5680:276 0.00025675 -17 *1800:14 *5720:97 0.000388425 -18 *1800:14 *5969:19 9.23895e-06 -19 *1800:14 *6314:51 0.00011802 -20 *1800:14 *6319:70 0.000138042 -21 *1800:14 *6348:6 1.61498e-05 -22 *1800:14 *6381:101 1.28443e-05 -23 *1800:14 *6383:25 5.49544e-05 -24 *1800:14 *6383:41 0.000166868 -25 *12:20 *1800:14 0.00304321 -26 *39:26 *1800:14 9.40668e-05 -27 *39:39 *1800:14 4.31531e-05 -28 *1178:33 *25525:B 2.50022e-05 -29 *1178:33 *1800:14 0.000302743 -*RES -1 *25524:Y *1800:14 45.8194 -2 *1800:14 *27220:B 17.6393 -3 *1800:14 *25525:B 14.5321 -*END - -*D_NET *1801 0.0208279 -*CONN -*I *27641:A_N I *D sky130_fd_sc_hd__and3b_4 -*I *27615:A_N I *D sky130_fd_sc_hd__and3b_2 -*I *27631:A I *D sky130_fd_sc_hd__nor2_8 -*I *27608:A I *D sky130_fd_sc_hd__nor2_4 -*I *27597:A I *D sky130_fd_sc_hd__nor2_2 -*I *27643:A I *D sky130_fd_sc_hd__nor2_4 -*I *25530:A I *D sky130_fd_sc_hd__or2_1 -*I *25526:Y O *D sky130_fd_sc_hd__nand2b_4 -*CAP -1 *27641:A_N 0.000311399 -2 *27615:A_N 0.000266688 -3 *27631:A 0 -4 *27608:A 0.000144704 -5 *27597:A 9.67892e-05 -6 *27643:A 0 -7 *25530:A 0.00138217 -8 *25526:Y 0.000335649 -9 *1801:79 0.00174904 -10 *1801:51 0.000536758 -11 *1801:47 0.000503546 -12 *1801:38 0.00199753 -13 *1801:20 0.000899774 -14 *1801:7 0.0019993 -15 *25530:A *25526:A_N 3.97677e-05 -16 *25530:A *25530:B 0.00112123 -17 *25530:A *5196:95 0.000111137 -18 *25530:A *5459:29 0.000177815 -19 *27597:A *27597:B 0.000148903 -20 *27597:A *2872:160 4.8476e-05 -21 *27597:A *3206:13 2.27416e-05 -22 *27597:A *3214:21 5.52238e-05 -23 *27597:A *3559:14 5.80706e-06 -24 *27608:A *27597:B 0.000214361 -25 *27608:A *2849:66 5.05056e-05 -26 *27608:A *3160:15 0.000135028 -27 *27608:A *3205:16 6.57032e-05 -28 *27608:A *3559:14 7.81998e-05 -29 *27615:A_N *2827:65 0.000661318 -30 *27615:A_N *3040:41 0.000108889 -31 *27615:A_N *3150:106 0.000177679 -32 *27615:A_N *3825:37 0.000108213 -33 *27615:A_N *3952:35 1.90936e-05 -34 *27641:A_N *2827:65 0.000232012 -35 *27641:A_N *3193:5 5.52302e-05 -36 *27641:A_N *3193:37 0.000205344 -37 *27641:A_N *5531:18 0.000232012 -38 *27641:A_N *5639:13 9.41642e-05 -39 *1801:7 *25526:A_N 0.000118369 -40 *1801:7 *5196:95 8.00431e-05 -41 *1801:20 *1802:38 9.86124e-05 -42 *1801:20 *3172:15 0.000133782 -43 *1801:20 *3204:18 0.000255041 -44 *1801:20 *5485:133 0.000618157 -45 *1801:38 *27643:B 0.000139907 -46 *1801:38 *2998:32 6.74279e-05 -47 *1801:38 *3147:57 0.000615791 -48 *1801:38 *3154:26 0.000125684 -49 *1801:38 *3204:18 0.000204151 -50 *1801:38 *3952:27 0.000196544 -51 *1801:38 *5485:133 6.53397e-05 -52 *1801:38 *5639:13 0.000456506 -53 *1801:38 *5981:38 0.000137983 -54 *1801:47 *27631:B 5.33005e-05 -55 *1801:47 *3214:149 0.000214558 -56 *1801:47 *5627:13 0.000420906 -57 *1801:51 *3214:149 0.000257619 -58 *1801:51 *3572:20 0.000260574 -59 *1801:51 *5627:13 0.000181253 -60 *1801:79 *2998:32 9.1144e-05 -61 *1801:79 *3160:84 8.40933e-05 -62 *1801:79 *5485:133 9.8045e-05 -63 *1801:79 *5639:13 0.00130201 -64 *1368:23 *1801:38 0.000158874 -*RES -1 *25526:Y *1801:7 13.9607 -2 *1801:7 *25530:A 27.5143 -3 *1801:7 *1801:20 17.3214 -4 *1801:20 *27643:A 9.3 -5 *1801:20 *1801:38 25.3743 -6 *1801:38 *1801:47 10.5357 -7 *1801:47 *1801:51 10.7857 -8 *1801:51 *27597:A 16.7107 -9 *1801:51 *27608:A 18.0143 -10 *1801:47 *27631:A 9.3 -11 *1801:38 *1801:79 20.3393 -12 *1801:79 *27615:A_N 26.925 -13 *1801:79 *27641:A_N 24.9964 -*END - -*D_NET *1802 0.0229902 -*CONN -*I *27620:A I *D sky130_fd_sc_hd__nand2_4 -*I *27638:A I *D sky130_fd_sc_hd__and3_1 -*I *27612:A I *D sky130_fd_sc_hd__and3_4 -*I *27627:A I *D sky130_fd_sc_hd__and3_4 -*I *27601:A I *D sky130_fd_sc_hd__and3_4 -*I *25529:A I *D sky130_fd_sc_hd__nand2_4 -*I *27254:A2 I *D sky130_fd_sc_hd__o32a_1 -*I *25527:X O *D sky130_fd_sc_hd__and2b_4 -*CAP -1 *27620:A 0 -2 *27638:A 0.000208178 -3 *27612:A 1.82907e-05 -4 *27627:A 5.47373e-05 -5 *27601:A 0.00104952 -6 *25529:A 0 -7 *27254:A2 0.000306681 -8 *25527:X 7.84844e-05 -9 *1802:82 0.000732141 -10 *1802:53 0.00110426 -11 *1802:51 0.00132125 -12 *1802:38 0.00156386 -13 *1802:22 0.00088898 -14 *1802:6 0.000525864 -15 *27254:A2 *25271:B2 0.000732821 -16 *27254:A2 *27254:B2 9.60337e-06 -17 *27254:A2 *30871:A 0.000153085 -18 *27254:A2 *2815:31 0.000250813 -19 *27254:A2 *2825:27 2.22043e-05 -20 *27601:A *27601:C 5.33005e-05 -21 *27601:A *2832:78 6.96405e-05 -22 *27601:A *2832:147 0.000636786 -23 *27601:A *2848:384 3.97677e-05 -24 *27601:A *3019:8 0.00146436 -25 *27601:A *3670:14 0.00146115 -26 *27601:A *5947:27 0.000887879 -27 *27612:A *27612:B 5.33005e-05 -28 *27612:A *2888:167 4.28106e-05 -29 *27627:A *2832:78 1.21258e-05 -30 *27627:A *3214:173 5.04841e-06 -31 *27638:A *27612:B 4.27935e-05 -32 *27638:A *27612:C 5.52238e-05 -33 *27638:A *27638:C 0.000107303 -34 *27638:A *2888:167 0.000511655 -35 *27638:A *3164:20 5.33005e-05 -36 *1802:6 *27268:A1 0.000177164 -37 *1802:6 *6311:62 0.000176763 -38 *1802:22 *27268:A1 0.00019184 -39 *1802:22 *3191:35 0.000139907 -40 *1802:22 *4907:28 7.32272e-05 -41 *1802:22 *6311:62 4.00349e-05 -42 *1802:22 *6311:74 0.000157058 -43 *1802:38 *27264:A_N 2.59045e-05 -44 *1802:38 *2823:8 7.73022e-05 -45 *1802:38 *2823:26 5.6876e-05 -46 *1802:38 *2834:27 0.00014051 -47 *1802:38 *3154:13 0.000121025 -48 *1802:38 *3154:26 1.70184e-05 -49 *1802:38 *3172:15 5.33072e-05 -50 *1802:38 *3191:35 0.000312209 -51 *1802:38 *3216:26 0.000110238 -52 *1802:38 *4907:28 0.000161937 -53 *1802:38 *5442:63 7.43767e-05 -54 *1802:38 *5485:131 0.000195346 -55 *1802:38 *5485:133 3.15439e-05 -56 *1802:38 *6312:8 0.000165911 -57 *1802:51 *2823:29 0.000186561 -58 *1802:51 *2823:38 0.000740972 -59 *1802:51 *2823:49 0.00014534 -60 *1802:51 *2823:63 0.000144348 -61 *1802:51 *2834:20 0.000148842 -62 *1802:51 *2834:27 0.000213276 -63 *1802:51 *3189:11 0.000144348 -64 *1802:51 *3214:173 6.57032e-05 -65 *1802:51 *5442:63 0.000699935 -66 *1802:51 *6385:141 0.00117314 -67 *1802:82 *27612:C 1.56445e-05 -68 *1802:82 *2823:63 0.000287221 -69 *1802:82 *2852:297 0.000162956 -70 *1802:82 *3149:41 0.000503104 -71 *1802:82 *3160:97 0.000803545 -72 *1802:82 *3189:11 0.0001599 -73 *1802:82 *3851:54 8.40344e-05 -74 *1802:82 *6385:141 5.57887e-05 -75 *1185:52 *1802:51 1.17921e-05 -76 *1185:54 *1802:51 9.20274e-05 -77 *1326:57 *27601:A 3.63775e-05 -78 *1801:20 *1802:38 9.86124e-05 -*RES -1 *25527:X *1802:6 16.2018 -2 *1802:6 *27254:A2 22.0969 -3 *1802:6 *1802:22 8.5625 -4 *1802:22 *25529:A 9.3 -5 *1802:22 *1802:38 27 -6 *1802:38 *1802:51 39.5357 -7 *1802:51 *1802:53 4.5 -8 *1802:53 *27601:A 49.6036 -9 *1802:53 *27627:A 10.2643 -10 *1802:51 *1802:82 17.7713 -11 *1802:82 *27612:A 9.83571 -12 *1802:82 *27638:A 15.0857 -13 *1802:38 *27620:A 9.3 -*END - -*D_NET *1803 0.017077 -*CONN -*I *27613:A I *D sky130_fd_sc_hd__and3_4 -*I *27624:A I *D sky130_fd_sc_hd__and3_4 -*I *27596:A I *D sky130_fd_sc_hd__nand2_2 -*I *27607:A I *D sky130_fd_sc_hd__nand2_4 -*I *25529:B I *D sky130_fd_sc_hd__nand2_4 -*I *27259:B I *D sky130_fd_sc_hd__and3_1 -*I *25528:X O *D sky130_fd_sc_hd__and2b_4 -*CAP -1 *27613:A 0.000362035 -2 *27624:A 0 -3 *27596:A 7.96907e-05 -4 *27607:A 5.98144e-05 -5 *25529:B 0 -6 *27259:B 0 -7 *25528:X 0.000462933 -8 *1803:62 0.000671795 -9 *1803:52 0.00124974 -10 *1803:34 0.00237109 -11 *1803:21 0.00199543 -12 *1803:11 0.00100738 -13 *27596:A *27596:B 5.33005e-05 -14 *27596:A *3148:9 0 -15 *27596:A *5504:67 2.23592e-05 -16 *27607:A *3152:9 0.0001399 -17 *27607:A *3159:7 5.33334e-05 -18 *27607:A *3209:21 5.74499e-06 -19 *27607:A *5646:10 6.05161e-06 -20 *27613:A *27624:B 2.298e-05 -21 *27613:A *27624:C 2.85715e-05 -22 *27613:A *2832:95 6.05161e-06 -23 *27613:A *3019:8 0.00012026 -24 *27613:A *3152:17 5.19775e-05 -25 *27613:A *5504:67 6.13757e-06 -26 *27613:A *5606:51 0.000177821 -27 *1803:11 *27252:C 2.06112e-05 -28 *1803:11 *27259:C 5.8258e-05 -29 *1803:11 *27260:A1 0.000141956 -30 *1803:11 *30833:A 0.000188866 -31 *1803:11 *2777:105 0.000434753 -32 *1803:11 *2828:10 3.20635e-05 -33 *1803:21 *27268:A1 0.00025972 -34 *1803:21 *5515:42 0.000190992 -35 *1803:21 *5718:240 0.000203476 -36 *1803:21 *6308:8 0.00023198 -37 *1803:21 *6308:18 0.000187234 -38 *1803:21 *6386:140 0.000145594 -39 *1803:21 *6386:149 8.16697e-05 -40 *1803:34 *27268:A1 0.000216935 -41 *1803:34 *27600:B 5.77249e-05 -42 *1803:34 *27608:B 0.000190995 -43 *1803:34 *27642:A 0.000189825 -44 *1803:34 *1804:6 0.000677309 -45 *1803:34 *1826:26 0 -46 *1803:34 *2833:6 0 -47 *1803:34 *2833:19 0.000954946 -48 *1803:34 *2833:26 0.000332764 -49 *1803:34 *3149:23 2.32837e-05 -50 *1803:34 *3151:35 0.000214612 -51 *1803:34 *3159:8 0.000316159 -52 *1803:34 *3160:84 0.000216755 -53 *1803:34 *3173:17 0.000107843 -54 *1803:34 *3178:133 0.00143778 -55 *1803:34 *3183:29 2.52741e-05 -56 *1803:34 *3185:6 5.58875e-06 -57 *1803:34 *3185:15 8.81622e-05 -58 *1803:34 *5718:240 5.67791e-05 -59 *1803:52 *27596:B 1.90111e-05 -60 *1803:52 *3148:9 0.000181263 -61 *1803:52 *3148:18 0.000160812 -62 *1803:52 *3159:8 2.6126e-05 -63 *1803:52 *3183:29 3.54321e-05 -64 *1803:52 *3185:15 0 -65 *1803:52 *5606:44 9.77884e-05 -66 *1803:52 *5646:10 0.000122697 -67 *1803:62 *5504:67 2.92224e-05 -68 *28779:D *1803:11 4.17747e-05 -69 *1185:54 *27613:A 0.000118545 -*RES -1 *25528:X *1803:11 25.2046 -2 *1803:11 *27259:B 13.8 -3 *1803:11 *1803:21 13.7857 -4 *1803:21 *25529:B 13.8 -5 *1803:21 *1803:34 49.9821 -6 *1803:34 *27607:A 15.5857 -7 *1803:34 *1803:52 16.5714 -8 *1803:52 *27596:A 11.2821 -9 *1803:52 *1803:62 3.41071 -10 *1803:62 *27624:A 9.3 -11 *1803:62 *27613:A 24.3 -*END - -*D_NET *1804 0.00543453 -*CONN -*I *27642:A I *D sky130_fd_sc_hd__nor2_8 -*I *27626:A I *D sky130_fd_sc_hd__nor2_8 -*I *25530:B I *D sky130_fd_sc_hd__or2_1 -*I *25529:Y O *D sky130_fd_sc_hd__nand2_4 -*CAP -1 *27642:A 0.000160211 -2 *27626:A 0 -3 *25530:B 0.000543172 -4 *25529:Y 0.000266532 -5 *1804:8 0.000543172 -6 *1804:6 0.000426743 -7 *25530:B *1805:7 4.58194e-05 -8 *25530:B *5196:95 0.00022152 -9 *27642:A *27642:B 9.60875e-05 -10 *27642:A *2834:27 6.57914e-05 -11 *27642:A *5442:63 0.00022266 -12 *27642:A *5718:240 0.000179575 -13 *1804:6 *5718:240 0.00067488 -14 *25530:A *25530:B 0.00112123 -15 *1803:34 *27642:A 0.000189825 -16 *1803:34 *1804:6 0.000677309 -*RES -1 *25529:Y *1804:6 22.7286 -2 *1804:6 *1804:8 4.5 -3 *1804:8 *25530:B 23.1393 -4 *1804:8 *27626:A 9.3 -5 *1804:6 *27642:A 18.9607 -*END - -*D_NET *1805 0.0155789 -*CONN -*I *25534:A1_N I *D sky130_fd_sc_hd__a2bb2o_1 -*I *25533:A3 I *D sky130_fd_sc_hd__a32o_1 -*I *25530:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *25534:A1_N 0.000861811 -2 *25533:A3 9.83853e-05 -3 *25530:X 6.51896e-05 -4 *1805:11 0.00282651 -5 *1805:7 0.0019315 -6 *25533:A3 *25533:A1 9.41642e-05 -7 *25533:A3 *3575:109 0.00010326 -8 *25533:A3 *5720:137 3.10819e-05 -9 *25533:A3 *5720:148 8.23185e-05 -10 *25533:A3 *6350:47 4.87854e-05 -11 *25534:A1_N *27235:A1 0.000461961 -12 *25534:A1_N *27270:A2 0.000792688 -13 *25534:A1_N *2784:26 7.32272e-05 -14 *25534:A1_N *2811:15 4.34131e-05 -15 *25534:A1_N *6386:8 0.000317521 -16 *25534:A1_N *6387:96 0.001005 -17 *1805:11 *27235:A1 0.000832526 -18 *1805:11 *27242:A 0.00075363 -19 *1805:11 *27300:A 0 -20 *1805:11 *28773:SET_B 0.00033505 -21 *1805:11 *2778:35 6.01539e-05 -22 *1805:11 *2813:11 5.04448e-05 -23 *1805:11 *2813:41 0.000188266 -24 *1805:11 *2815:31 0.000795047 -25 *1805:11 *2815:42 0.00022574 -26 *1805:11 *2818:26 0 -27 *1805:11 *2839:19 0.000109271 -28 *1805:11 *2858:6 0 -29 *1805:11 *5515:42 0.000824813 -30 *1805:11 *5746:188 0 -31 *1805:11 *5746:196 0 -32 *1805:11 *6302:19 0 -33 *1805:11 *6304:10 0 -34 *25530:B *1805:7 4.58194e-05 -35 *27213:A2 *25534:A1_N 0.000151439 -36 *27214:A1 *25534:A1_N 1.94945e-05 -37 *27239:A *25534:A1_N 1.98839e-05 -38 *28189:D *25534:A1_N 0.00051746 -39 *28772:D *1805:11 2.48255e-05 -40 *1176:13 *25534:A1_N 7.24613e-05 -41 *1244:53 *25534:A1_N 0.000591863 -42 *1244:53 *1805:11 0.00111849 -43 *1271:29 *1805:11 5.41797e-06 -*RES -1 *25530:X *1805:7 14.7464 -2 *1805:7 *1805:11 40.6777 -3 *1805:11 *25533:A3 19.6475 -4 *1805:11 *25534:A1_N 39.3801 -*END - -*D_NET *1806 0.00390186 -*CONN -*I *27275:A I *D sky130_fd_sc_hd__nor2_4 -*I *27271:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25531:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *27275:A 0.000328949 -2 *27271:A0 6.1665e-05 -3 *25531:Y 0.000656267 -4 *1806:8 0.00104688 -5 *27271:A0 *26839:A1 0.000266473 -6 *27271:A0 *27271:A1 0.000225609 -7 *27275:A *27225:A1 1.89507e-06 -8 *27275:A *27225:A2 0.000138603 -9 *27275:A *27271:S 0.000200296 -10 *27275:A *5675:223 1.80461e-05 -11 *1806:8 *27225:A2 8.6229e-06 -12 *1806:8 *27271:S 5.05056e-05 -13 *1806:8 *29500:A 0.000116971 -14 *1806:8 *6314:51 1.98839e-05 -15 *1806:8 *6314:75 1.98839e-05 -16 *1806:8 *6321:7 5.52238e-05 -17 *30727:A *1806:8 1.41805e-05 -18 *39:26 *1806:8 0.000659782 -19 *775:23 *27271:A0 1.21258e-05 -*RES -1 *25531:Y *1806:8 23.5679 -2 *1806:8 *27271:A0 16.3893 -3 *1806:8 *27275:A 19.1393 -*END - -*D_NET *1807 0.00210397 -*CONN -*I *25534:A2_N I *D sky130_fd_sc_hd__a2bb2o_1 -*I *25532:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *25534:A2_N 0.000245208 -2 *25532:Y 0.000245208 -3 *25534:A2_N *25044:A1 3.34366e-05 -4 *25534:A2_N *27217:A 0.000224583 -5 *25534:A2_N *27483:A2 0.000306076 -6 *25534:A2_N *2782:170 1.56e-05 -7 *25534:A2_N *2784:26 0.000754248 -8 *25534:A2_N *6331:13 1.38323e-05 -9 *25534:A2_N *6386:35 4.18505e-05 -10 *25534:A2_N *6387:96 5.81579e-05 -11 *25534:B2 *25534:A2_N 5.33005e-05 -12 *1799:19 *25534:A2_N 0.000112467 -*RES -1 *25532:Y *25534:A2_N 36.7607 -*END - -*D_NET *1808 0.00642436 -*CONN -*I *25541:B I *D sky130_fd_sc_hd__and2_2 -*I *25540:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *25541:B 0 -2 *25540:Y 0.0010339 -3 *1808:11 0.0010339 -4 *1808:11 *24998:A 0.000770401 -5 *1808:11 *24998:B 0.000137983 -6 *1808:11 *25498:A1 0.000166183 -7 *1808:11 *25540:A2 0.000342302 -8 *1808:11 *28086:CLK 5.33005e-05 -9 *1808:11 *29625:A 0.000468403 -10 *1808:11 *4165:122 5.52302e-05 -11 *1808:11 *5727:8 2.26327e-05 -12 *401:13 *1808:11 1.65169e-05 -13 *419:9 *1808:11 0.00109649 -14 *495:15 *1808:11 7.96918e-05 -15 *1175:21 *1808:11 1.496e-05 -16 *1739:26 *1808:11 0.00113246 -*RES -1 *25540:Y *1808:11 47.4786 -2 *1808:11 *25541:B 9.3 -*END - -*D_NET *1809 0.000896464 -*CONN -*I *25555:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25554:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *25555:A0 0.000210667 -2 *25554:X 0.000210667 -3 *25555:A0 *28133:D 0.00018762 -4 *1440:56 *25555:A0 4.00276e-06 -5 *1766:24 *25555:A0 0.000189342 -6 *1766:33 *25555:A0 9.41642e-05 -*RES -1 *25554:X *25555:A0 31.6357 -*END - -*D_NET *1810 0.0145038 -*CONN -*I *25556:A0 I *D sky130_fd_sc_hd__mux2_4 -*I *25555:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *25556:A0 0.00092112 -2 *25555:X 0.00195698 -3 *1810:36 0.00258095 -4 *1810:19 0.00361682 -5 *25556:A0 *25549:A0 3.84385e-05 -6 *25556:A0 *25708:A1 0.0001292 -7 *25556:A0 *25732:A1 0.000128154 -8 *25556:A0 *28132:CLK 0.000201127 -9 *25556:A0 *28132:D 0.000239954 -10 *25556:A0 *28218:D 0 -11 *25556:A0 *1844:66 0 -12 *25556:A0 *1844:68 0 -13 *25556:A0 *5680:371 0.000320521 -14 *25556:A0 *6014:26 1.02504e-05 -15 *25556:A0 *6058:26 0.000805473 -16 *25556:A0 *6103:34 4.5534e-05 -17 *1810:19 *24814:A 2.73871e-05 -18 *1810:19 *1830:15 4.8817e-05 -19 *1810:19 *4548:15 1.90936e-05 -20 *1810:19 *5467:14 2.61244e-05 -21 *1810:19 *5902:22 0 -22 *1810:36 *25495:A1 7.46489e-05 -23 *1810:36 *25546:A1 0.000227529 -24 *1810:36 *25547:A0 0.000313979 -25 *1810:36 *25654:A0 0 -26 *1810:36 *25654:A1 0 -27 *1810:36 *25697:A0 2.06112e-05 -28 *1810:36 *28199:CLK 2.04825e-05 -29 *1810:36 *4747:10 0.000169617 -30 *1810:36 *5739:170 0.000993609 -31 *1810:36 *5892:163 0 -32 *1810:36 *6036:27 2.04825e-05 -33 *1810:36 *6058:26 0.000566043 -34 *1810:36 *6103:34 1.70431e-05 -35 *28084:RESET_B *1810:36 0.000135253 -36 *29108:A *1810:36 1.0946e-05 -37 *622:20 *1810:19 0.000366128 -38 *719:17 *1810:36 0.000202055 -39 *721:24 *1810:36 0.000172681 -40 *1173:20 *1810:19 6.53009e-06 -41 *1251:29 *1810:19 1.60253e-05 -42 *1430:46 *25556:A0 0 -43 *1430:50 *1810:36 0 -44 *1472:11 *1810:19 4.79414e-05 -45 *1749:21 *1810:19 6.2589e-06 -*RES -1 *25555:X *1810:19 31.7336 -2 *1810:19 *1810:36 43.9068 -3 *1810:36 *25556:A0 39.0232 -*END - -*D_NET *1811 0.00335113 -*CONN -*I *25566:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *25565:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *25566:B2 0.000661561 -2 *25565:Y 0.000661561 -3 *25566:B2 *25565:A 6.05161e-06 -4 *25566:B2 *28354:CLK 0.000165269 -5 *25566:B2 *3587:7 0.000270394 -6 *25566:B2 *3592:50 0.000197276 -7 *25566:B2 *4088:15 0.000188957 -8 *25566:B2 *5780:91 0.00120006 -*RES -1 *25565:Y *25566:B2 45.1357 -*END - -*D_NET *1812 0.000968538 -*CONN -*I *29185:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25587:Y O *D sky130_fd_sc_hd__nand2b_1 -*CAP -1 *29185:A 0.000142784 -2 *25587:Y 0.000142784 -3 *29185:A *25587:A_N 1.92789e-05 -4 *29185:A *5366:28 0.000309266 -5 *29185:A *5961:10 0.000148196 -6 *29185:A *6374:36 5.80314e-05 -7 *29185:A *6374:61 0.000148196 -*RES -1 *25587:Y *29185:A 32.5464 -*END - -*D_NET *1813 0.00150212 -*CONN -*I *29473:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25588:X O *D sky130_fd_sc_hd__o21a_4 -*CAP -1 *29473:A 0.000393711 -2 *25588:X 0.000393711 -3 *29473:A *25589:B1 2.8266e-06 -4 *29473:A *1881:8 7.02611e-05 -5 *29473:A *5908:8 0.000256374 -6 *29473:A *5961:10 0.000385239 -*RES -1 *25588:X *29473:A 38.4929 -*END - -*D_NET *1814 0.00434971 -*CONN -*I *29395:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25589:Y O *D sky130_fd_sc_hd__o21ai_4 -*CAP -1 *29395:A 0.000796026 -2 *25589:Y 0.000796026 -3 *29395:A *25588:A1 2.42662e-05 -4 *29395:A *25589:B1 0.000291542 -5 *29395:A *5630:307 0.0001399 -6 *29395:A *5669:248 0.0015904 -7 *29395:A *5799:7 0.000527837 -8 *29395:A *5823:13 0.00018372 -*RES -1 *25589:Y *29395:A 43.5107 -*END - -*D_NET *1815 0.0169666 -*CONN -*I *25606:S I *D sky130_fd_sc_hd__mux2_1 -*I *25604:S I *D sky130_fd_sc_hd__mux2_1 -*I *25602:S I *D sky130_fd_sc_hd__mux2_1 -*I *25600:S I *D sky130_fd_sc_hd__mux2_1 -*I *25596:S I *D sky130_fd_sc_hd__mux2_1 -*I *25598:S I *D sky130_fd_sc_hd__mux2_1 -*I *25592:S I *D sky130_fd_sc_hd__mux2_1 -*I *25594:S I *D sky130_fd_sc_hd__mux2_1 -*I *25590:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *25606:S 9.41934e-05 -2 *25604:S 0 -3 *25602:S 0 -4 *25600:S 0 -5 *25596:S 0 -6 *25598:S 0 -7 *25592:S 0.000260998 -8 *25594:S 1.40479e-05 -9 *25590:X 0.000504901 -10 *1815:51 0.000480707 -11 *1815:49 0.000706863 -12 *1815:40 0.000674685 -13 *1815:37 0.000218566 -14 *1815:34 0.00118095 -15 *1815:19 0.00128921 -16 *1815:18 0.000510256 -17 *1815:7 0.000956004 -18 *25592:S *25592:A0 7.25873e-06 -19 *25592:S *30940:A 0.000167636 -20 *25592:S *5527:10 4.8667e-05 -21 *25592:S *5675:245 0.000163265 -22 *25594:S *5680:308 5.52302e-05 -23 *25606:S *25606:A1 1.65142e-05 -24 *25606:S *5526:16 2.87715e-05 -25 *1815:18 *25590:B 0.000111529 -26 *1815:18 *5609:33 0.000140137 -27 *1815:18 *6070:13 0.000115446 -28 *1815:19 *25604:A0 1.47872e-05 -29 *1815:19 *25604:A1 2.59355e-05 -30 *1815:19 *26825:S 0.000293872 -31 *1815:34 *25215:B2 2.59093e-05 -32 *1815:34 *25590:B 7.99289e-05 -33 *1815:34 *25596:A1 4.11173e-05 -34 *1815:34 *26825:A0 0 -35 *1815:34 *26825:S 0.000226266 -36 *1815:34 *1900:40 0.0020009 -37 *1815:34 *5485:104 0.00170602 -38 *1815:34 *5505:42 0.000147926 -39 *1815:34 *5698:203 0.000202165 -40 *1815:34 *5707:200 0.000202846 -41 *1815:37 *3791:148 0.000180777 -42 *1815:37 *5926:204 0.000178847 -43 *1815:40 *28392:SET_B 0.000219197 -44 *1815:40 *4310:10 0.000181692 -45 *1815:40 *5737:207 6.83857e-05 -46 *1815:49 *28101:CLK 2.89114e-05 -47 *1815:49 *4310:10 5.05461e-05 -48 *1815:49 *5483:120 9.15842e-06 -49 *1815:49 *5680:308 0.000346029 -50 *1815:49 *5737:207 5.48245e-05 -51 *1815:51 *5680:308 0.000549501 -52 *25216:C1 *1815:7 0.000734886 -53 *29562:A *1815:19 0.000137639 -54 *29562:A *1815:34 0.000224575 -55 *29743:A *1815:18 0.000138614 -56 *29839:A *1815:19 0.000394944 -57 *30068:A *25594:S 2.89016e-05 -58 *30068:A *1815:51 0.000132388 -59 *456:17 *1815:19 4.04683e-05 -60 *457:17 *25606:S 3.10819e-05 -61 *1240:96 *1815:18 7.35637e-05 -62 *1498:49 *25592:S 0.000394866 -63 *1504:16 *1815:34 5.32956e-05 -*RES -1 *25590:X *1815:7 20.9071 -2 *1815:7 *1815:18 19.8036 -3 *1815:18 *1815:19 5.46429 -4 *1815:19 *1815:34 46.6786 -5 *1815:34 *1815:37 6.26786 -6 *1815:37 *1815:40 9.48214 -7 *1815:40 *1815:49 12.875 -8 *1815:49 *1815:51 5.46429 -9 *1815:51 *25594:S 9.83571 -10 *1815:51 *25592:S 25.6036 -11 *1815:49 *25598:S 9.3 -12 *1815:40 *25596:S 13.8 -13 *1815:37 *25600:S 9.3 -14 *1815:19 *25602:S 9.3 -15 *1815:18 *25604:S 9.3 -16 *1815:7 *25606:S 15.4429 -*END - -*D_NET *1816 0.0195599 -*CONN -*I *30108:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25591:X O *D sky130_fd_sc_hd__mux2_8 -*CAP -1 *30108:A 0.00056419 -2 *25591:X 0.00122907 -3 *1816:26 0.00130354 -4 *1816:16 0.00227516 -5 *1816:12 0.0021482 -6 *1816:8 0.00184146 -7 *30108:A *26658:B1 0.000314132 -8 *30108:A *26658:B2 0.000508333 -9 *30108:A *28349:D 2.89016e-05 -10 *30108:A *28349:RESET_B 2.29097e-05 -11 *30108:A *3767:7 2.89114e-05 -12 *30108:A *5760:32 0.000111114 -13 *30108:A *5760:45 0.000112608 -14 *30108:A *5824:84 7.02611e-05 -15 *1816:8 *25512:A 0 -16 *1816:8 *25579:A 0 -17 *1816:8 *3583:130 0.000100969 -18 *1816:8 *3583:149 0.00057392 -19 *1816:8 *5420:6 0 -20 *1816:8 *5763:20 0.000115082 -21 *1816:8 *5778:123 0 -22 *1816:8 *5779:175 0 -23 *1816:8 *5779:181 0 -24 *1816:8 *6036:64 0 -25 *1816:12 *25579:A 0 -26 *1816:12 *25789:A 9.8645e-05 -27 *1816:12 *29492:A 0.000213408 -28 *1816:12 *3583:59 0.000178101 -29 *1816:12 *3583:68 0.000187742 -30 *1816:12 *3583:85 0 -31 *1816:12 *6376:175 0 -32 *1816:16 *25779:S 0 -33 *1816:16 *25789:A 6.80546e-05 -34 *1816:16 *28240:CLK 0.000288571 -35 *1816:16 *28241:CLK 0.000629089 -36 *1816:16 *28241:D 0.000172839 -37 *1816:16 *28830:CLK 2.8833e-05 -38 *1816:16 *28884:A 0.000324506 -39 *1816:16 *29310:A 0.000191877 -40 *1816:16 *1871:10 0 -41 *1816:16 *1873:8 0 -42 *1816:16 *3566:8 0.000815565 -43 *1816:16 *4087:12 0.00116749 -44 *1816:16 *5778:123 0 -45 *1816:16 *5779:35 0 -46 *1816:16 *5779:41 0 -47 *1816:16 *5779:56 0 -48 *1816:16 *5780:79 0 -49 *1816:16 *6376:175 0 -50 *1816:26 *25897:A0 5.33005e-05 -51 *1816:26 *25897:A1 0.000122682 -52 *1816:26 *25897:S 0.000168457 -53 *1816:26 *25898:A0 6.05161e-06 -54 *1816:26 *28347:D 0.000125717 -55 *1816:26 *29336:A 9.91086e-05 -56 *1816:26 *29573:A 2.16719e-05 -57 *1816:26 *3775:70 0.000246057 -58 *1816:26 *4087:12 1.10353e-05 -59 *1816:26 *4087:20 0.00106362 -60 *1816:26 *5780:79 0.000717703 -61 *1816:26 *5824:108 8.42484e-06 -62 *27970:A *1816:8 0.000202421 -63 *27970:A *1816:12 7.05143e-06 -64 *28072:D *1816:8 3.53073e-05 -65 *28316:D *1816:12 0.000340781 -66 *28318:D *1816:12 0.000103253 -67 *29728:A *1816:26 9.02837e-05 -68 *29889:A *1816:16 0 -69 *1172:8 *1816:12 1.41029e-05 -70 *1464:105 *1816:26 0.000409331 -*RES -1 *25591:X *1816:8 38.8982 -2 *1816:8 *1816:12 16.4107 -3 *1816:12 *1816:16 43.6071 -4 *1816:16 *1816:26 39.2411 -5 *1816:26 *30108:A 22.1571 -*END - -*D_NET *1817 0.00553724 -*CONN -*I *30368:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25593:X O *D sky130_fd_sc_hd__mux2_8 -*CAP -1 *30368:A 0.000645592 -2 *25593:X 0.00168518 -3 *1817:16 0.00233077 -4 *30368:A *3535:13 2.11419e-05 -5 *1817:16 *25485:A1 0.000166407 -6 *1817:16 *25487:A0 2.89114e-05 -7 *1817:16 *25487:A1 7.02611e-05 -8 *1817:16 *25591:A1 0 -9 *1817:16 *25591:S 8.10658e-05 -10 *1817:16 *25593:A0 0.000144702 -11 *1817:16 *25597:A1 4.35131e-05 -12 *1817:16 *25601:S 4.38243e-05 -13 *1817:16 *5836:324 4.46186e-06 -14 *1817:16 *6374:61 4.58764e-05 -15 *25484:S *1817:16 4.70078e-05 -16 *28073:D *1817:16 3.81416e-06 -17 *1174:68 *30368:A 6.27272e-06 -18 *1771:89 *1817:16 3.45337e-05 -19 *1771:95 *1817:16 0.000133894 -*RES -1 *25593:X *1817:16 49.5225 -2 *1817:16 *30368:A 18.6148 -*END - -*D_NET *1818 0.00180963 -*CONN -*I *30169:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25595:X O *D sky130_fd_sc_hd__mux2_4 -*CAP -1 *30169:A 0.000292183 -2 *25595:X 0.000292183 -3 *30169:A *25595:A0 7.32955e-05 -4 *30169:A *25595:S 0.000229456 -5 *30169:A *25597:A1 0.00022289 -6 *30169:A *5853:8 0.00069962 -*RES -1 *25595:X *30169:A 28.1893 -*END - -*D_NET *1819 0.00277957 -*CONN -*I *29657:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25597:X O *D sky130_fd_sc_hd__mux2_4 -*CAP -1 *29657:A 0.000413904 -2 *25597:X 0.000413904 -3 *29657:A *25593:S 0.00108846 -4 *29657:A *30402:A 0.000126409 -5 *29657:A *5457:22 0.000188553 -6 *29657:A *5934:20 0.000548341 -*RES -1 *25597:X *29657:A 42.225 -*END - -*D_NET *1820 0.00608474 -*CONN -*I *30413:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25599:X O *D sky130_fd_sc_hd__mux2_4 -*CAP -1 *30413:A 0.000356897 -2 *25599:X 0.00108458 -3 *1820:10 0.00144147 -4 *30413:A *28202:D 9.74907e-05 -5 *30413:A *5878:8 2.59355e-05 -6 *1820:10 *25599:A0 2.27416e-05 -7 *1820:10 *28004:A0 0 -8 *1820:10 *28828:CLK 0.000127971 -9 *1820:10 *29463:A 1.91512e-05 -10 *1820:10 *5458:46 0 -11 *1820:10 *5458:64 0.0010611 -12 *1820:10 *5780:125 0 -13 *1820:10 *5853:8 5.52302e-05 -14 *1820:10 *6036:95 0.000192766 -15 *1820:10 *6364:14 0.000164101 -16 *1820:10 *6365:10 0.000507042 -17 *30370:A *30413:A 5.52302e-05 -18 *30632:A *30413:A 0.000317082 -19 *287:27 *30413:A 0.000555957 -*RES -1 *25599:X *1820:10 45.3714 -2 *1820:10 *30413:A 18.6036 -*END - -*D_NET *1821 0.00817198 -*CONN -*I *29418:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25601:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *29418:A 0.00112086 -2 *25601:X 0.00107867 -3 *1821:18 0.00219953 -4 *29418:A *27998:A0 5.7616e-05 -5 *29418:A *5672:8 1.88048e-05 -6 *29418:A *5689:5 0.000653884 -7 *29418:A *5689:30 0.00093504 -8 *1821:18 *25603:A0 0.000429124 -9 *1821:18 *25603:S 0.000195078 -10 *1821:18 *25605:A0 1.02504e-05 -11 *1821:18 *25605:A1 9.6514e-05 -12 *1821:18 *28007:A1 0.00012501 -13 *1821:18 *30579:A 5.26091e-05 -14 *1821:18 *1823:12 0.000354105 -15 *1821:18 *1883:10 1.37292e-05 -16 *1821:18 *3532:10 0.000311111 -17 *1821:18 *5895:15 0.000449666 -18 *1821:18 *6366:8 7.03949e-05 -19 *1821:18 *6374:61 0 -*RES -1 *25601:X *1821:18 43.4071 -2 *1821:18 *29418:A 34.3536 -*END - -*D_NET *1822 0.00424957 -*CONN -*I *29475:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25603:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *29475:A 0 -2 *25603:X 0.00113331 -3 *1822:11 0.00113331 -4 *1822:11 *25484:A1 0.000434813 -5 *1822:11 *27178:A1 1.32552e-05 -6 *1822:11 *29489:A 9.60875e-05 -7 *1822:11 *29857:A 0.000486441 -8 *1822:11 *3535:13 0.000435497 -9 *1822:11 *5895:15 3.38171e-05 -10 *1822:11 *6367:8 4.58194e-05 -11 *1174:68 *1822:11 0.000437212 -*RES -1 *25603:X *1822:11 43.4429 -2 *1822:11 *29475:A 9.3 -*END - -*D_NET *1823 0.00474629 -*CONN -*I *30003:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25605:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30003:A 0 -2 *25605:X 0.00129041 -3 *1823:12 0.00129041 -4 *1823:12 *25601:S 0.000161815 -5 *1823:12 *25605:A1 9.90431e-05 -6 *1823:12 *25605:S 0.000111491 -7 *1823:12 *26948:A0 0 -8 *1823:12 *28735:D 5.17614e-05 -9 *1823:12 *3589:18 2.04558e-05 -10 *1823:12 *3589:23 2.59093e-05 -11 *1823:12 *3920:20 0.000820817 -12 *1823:12 *4141:21 4.98055e-06 -13 *1823:12 *5715:17 7.8327e-05 -14 *1823:12 *5832:8 0 -15 *1823:12 *5930:11 3.18676e-05 -16 *29097:A *1823:12 8.07313e-05 -17 *29859:A *1823:12 0.000324167 -18 *1821:18 *1823:12 0.000354105 -*RES -1 *25605:X *1823:12 47.0321 -2 *1823:12 *30003:A 9.3 -*END - -*D_NET *1824 0.0185726 -*CONN -*I *25613:S I *D sky130_fd_sc_hd__mux2_1 -*I *25615:S I *D sky130_fd_sc_hd__mux2_1 -*I *25610:S I *D sky130_fd_sc_hd__mux2_1 -*I *25611:S I *D sky130_fd_sc_hd__mux2_1 -*I *25612:S I *D sky130_fd_sc_hd__mux2_1 -*I *25609:S I *D sky130_fd_sc_hd__mux2_1 -*I *25608:S I *D sky130_fd_sc_hd__mux2_1 -*I *25614:S I *D sky130_fd_sc_hd__mux2_1 -*I *25607:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *25613:S 4.62909e-05 -2 *25615:S 0 -3 *25610:S 0 -4 *25611:S 0 -5 *25612:S 0 -6 *25609:S 0.000463282 -7 *25608:S 4.91968e-05 -8 *25614:S 0.000451136 -9 *25607:X 0.000815787 -10 *1824:51 0.000741251 -11 *1824:42 0.000422043 -12 *1824:40 0.00033205 -13 *1824:38 0.00174811 -14 *1824:23 0.00151093 -15 *1824:10 0.000544969 -16 *1824:8 0.00095591 -17 *25608:S *27869:B2 0.000136951 -18 *25608:S *28390:D 0.00018077 -19 *25609:S *25609:A0 9.80173e-05 -20 *25609:S *25609:A1 4.58349e-05 -21 *25609:S *4263:9 1.55485e-05 -22 *25609:S *4265:8 5.46971e-05 -23 *25609:S *5523:68 0.000244472 -24 *25609:S *5592:81 0.000158991 -25 *25609:S *5737:10 5.26198e-05 -26 *25609:S *5737:16 7.19675e-05 -27 *25609:S *5737:22 2.35649e-05 -28 *25609:S *5737:124 1.61405e-05 -29 *25613:S *25613:A0 5.33005e-05 -30 *25613:S *29182:A 1.58163e-05 -31 *25614:S *28113:D 9.18532e-05 -32 *25614:S *30939:A 0 -33 *25614:S *5483:130 0.000353086 -34 *25614:S *5665:285 3.2923e-05 -35 *25614:S *5680:282 1.98839e-05 -36 *25614:S *5680:308 0.000702221 -37 *25614:S *6071:10 0.000353083 -38 *1824:8 *24968:A 0 -39 *1824:8 *25105:A1 0 -40 *1824:8 *5665:285 0.000424617 -41 *1824:8 *6071:10 0.000592712 -42 *1824:10 *5665:285 0.000182743 -43 *1824:10 *6071:10 0.000173585 -44 *1824:38 *6634:DIODE 0.0004456 -45 *1824:38 *25600:A1 3.32386e-05 -46 *1824:38 *25615:A0 9.41642e-05 -47 *1824:38 *27593:A2 0.000108061 -48 *1824:38 *28390:D 6.05161e-06 -49 *1824:38 *28392:SET_B 1.94945e-05 -50 *1824:38 *3145:32 0.000314734 -51 *1824:38 *3412:62 0.00043752 -52 *1824:38 *5507:23 2.11419e-05 -53 *1824:38 *5508:5 1.59935e-05 -54 *1824:38 *5508:20 3.90718e-05 -55 *1824:38 *5675:235 1.58163e-05 -56 *1824:38 *5675:244 0.000372148 -57 *1824:38 *5698:179 2.05612e-05 -58 *1824:38 *6385:32 0.000300678 -59 *1824:40 *28390:D 5.52302e-05 -60 *1824:40 *5691:190 1.02821e-05 -61 *1824:40 *5698:165 0.000669211 -62 *1824:40 *5698:179 4.08637e-05 -63 *1824:40 *5711:112 0.000744407 -64 *1824:42 *28390:D 0.000345251 -65 *1824:42 *5711:112 0.00022266 -66 *1824:51 *3412:62 0.000214985 -67 *1824:51 *4263:9 0.000130038 -68 *1824:51 *4265:8 0.000513656 -69 *29767:A *25614:S 5.71472e-05 -70 *30004:A *1824:38 0.000271439 -71 *450:10 *1824:38 0.000109551 -72 *459:10 *25609:S 0.000233136 -73 *460:11 *25608:S 1.98839e-05 -74 *460:11 *1824:42 5.49894e-05 -75 *1253:112 *1824:8 0.000149885 -76 *1273:14 *1824:8 0.00026353 -77 *1294:19 *1824:8 4.58194e-05 -*RES -1 *25607:X *1824:8 28.5143 -2 *1824:8 *1824:10 2.55357 -3 *1824:10 *25614:S 26.0143 -4 *1824:10 *1824:23 4.5 -5 *1824:23 *1824:38 32.6393 -6 *1824:38 *1824:40 7.92857 -7 *1824:40 *1824:42 3.41071 -8 *1824:42 *25608:S 11.0679 -9 *1824:42 *1824:51 11.6071 -10 *1824:51 *25609:S 25.4607 -11 *1824:51 *25612:S 13.8 -12 *1824:40 *25611:S 9.3 -13 *1824:38 *25610:S 9.3 -14 *1824:23 *25615:S 9.3 -15 *1824:8 *25613:S 14.7643 -*END - -*D_NET *1825 0.0202538 -*CONN -*I *25624:S I *D sky130_fd_sc_hd__mux2_1 -*I *25617:S I *D sky130_fd_sc_hd__mux2_1 -*I *25623:S I *D sky130_fd_sc_hd__mux2_1 -*I *25622:S I *D sky130_fd_sc_hd__mux2_1 -*I *25620:S I *D sky130_fd_sc_hd__mux2_1 -*I *25621:S I *D sky130_fd_sc_hd__mux2_1 -*I *25618:S I *D sky130_fd_sc_hd__mux2_1 -*I *25619:S I *D sky130_fd_sc_hd__mux2_1 -*I *25616:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *25624:S 0 -2 *25617:S 0.000822148 -3 *25623:S 0.000348954 -4 *25622:S 0 -5 *25620:S 0 -6 *25621:S 2.37855e-05 -7 *25618:S 0.000649378 -8 *25619:S 0.000141625 -9 *25616:X 0 -10 *1825:60 0.000348954 -11 *1825:58 0.0010484 -12 *1825:55 0.00065873 -13 *1825:45 0.00100121 -14 *1825:34 0.00126104 -15 *1825:7 0.00088573 -16 *1825:4 0.000763246 -17 *25617:S *25400:B2 0.000306304 -18 *25617:S *3125:10 0.000694474 -19 *25617:S *3145:32 0.000688941 -20 *25617:S *3918:83 0.000127177 -21 *25618:S *28416:CLK 5.33005e-05 -22 *25618:S *28419:CLK 0.000390641 -23 *25618:S *28420:CLK 5.50052e-05 -24 *25618:S *28420:RESET_B 9.05161e-05 -25 *25618:S *2745:33 0.000184503 -26 *25618:S *4332:25 0.000527994 -27 *25618:S *5698:114 0.000128813 -28 *25618:S *5698:256 0.000267137 -29 *25619:S *5490:11 1.53943e-05 -30 *25619:S *5698:114 0.000164174 -31 *25619:S *5698:143 7.52065e-05 -32 *25623:S *6548:DIODE 0.000141975 -33 *25623:S *27869:A2 2.12733e-05 -34 *25623:S *27869:B1 2.24195e-05 -35 *25623:S *27869:B2 0.000696407 -36 *25623:S *5680:320 1.98839e-05 -37 *25623:S *5680:322 6.57914e-05 -38 *25623:S *5926:221 6.86693e-05 -39 *25623:S *5926:224 0.000304394 -40 *1825:7 *28425:D 0.000214309 -41 *1825:7 *4307:17 0.00052642 -42 *1825:7 *5519:19 0.000269428 -43 *1825:34 *25621:A0 2.77258e-05 -44 *1825:34 *25921:A1 0.000137546 -45 *1825:34 *28423:CLK 2.89016e-05 -46 *1825:34 *28425:D 0.000169366 -47 *1825:34 *28426:SET_B 9.90431e-05 -48 *1825:34 *3652:79 0.00022989 -49 *1825:34 *4307:17 0.000181803 -50 *1825:34 *5487:63 9.76258e-05 -51 *1825:34 *5496:10 0.000374992 -52 *1825:45 *28426:SET_B 0.000552904 -53 *1825:45 *5485:8 0.000117549 -54 *1825:45 *5734:39 6.10952e-05 -55 *1825:45 *5778:245 0.000902897 -56 *1825:55 *28796:RESET_B 2.49027e-05 -57 *1825:55 *29571:A 0.000170644 -58 *1825:55 *1895:13 0.000621556 -59 *1825:55 *5485:8 7.1073e-05 -60 *1825:55 *5734:37 3.14247e-05 -61 *1825:55 *5734:39 1.0311e-05 -62 *1825:55 *6334:39 0.000366303 -63 *1825:58 *25624:A0 1.52353e-05 -64 *1825:58 *27571:A2 3.12322e-05 -65 *1825:58 *3125:10 0.000361052 -66 *1825:58 *3145:32 6.46173e-05 -67 pll_div[3] *25618:S 3.28545e-05 -68 pll_div[3] *25619:S 4.07716e-05 -69 pll_trim[1] *1825:45 0.000259247 -70 pll_trim[3] *1825:58 0 -71 *470:14 *1825:34 5.33005e-05 -72 *471:9 *25623:S 0.000132889 -73 *473:13 *1825:58 0 -74 *701:15 *25618:S 0.000638033 -75 *701:29 *25618:S 0.000253811 -76 *1264:41 *1825:34 1.94945e-05 -*RES -1 *25616:X *1825:4 9.3 -2 *1825:4 *1825:7 9.55357 -3 *1825:7 *25619:S 16.9607 -4 *1825:7 *25618:S 35.175 -5 *1825:4 *1825:34 24.0536 -6 *1825:34 *25621:S 9.72857 -7 *1825:34 *1825:45 19.2857 -8 *1825:45 *25620:S 13.8 -9 *1825:45 *1825:55 18.375 -10 *1825:55 *1825:58 9.48214 -11 *1825:58 *1825:60 4.5 -12 *1825:60 *25622:S 9.3 -13 *1825:60 *25623:S 19.6929 -14 *1825:58 *25617:S 30.5411 -15 *1825:55 *25624:S 9.3 -*END - -*D_NET *1826 0.154667 -*CONN -*I *25629:S I *D sky130_fd_sc_hd__mux2_1 -*I *25628:S I *D sky130_fd_sc_hd__mux2_1 -*I *25630:S I *D sky130_fd_sc_hd__mux2_1 -*I *25631:S I *D sky130_fd_sc_hd__mux2_1 -*I *25627:S I *D sky130_fd_sc_hd__mux2_1 -*I *6608:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25632:S I *D sky130_fd_sc_hd__mux2_1 -*I *25633:S I *D sky130_fd_sc_hd__mux2_1 -*I *25626:S I *D sky130_fd_sc_hd__mux2_1 -*I *25625:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *25629:S 0.000263714 -2 *25628:S 6.07471e-05 -3 *25630:S 0 -4 *25631:S 0.00117927 -5 *25627:S 0 -6 *6608:DIODE 0.000344376 -7 *25632:S 5.11386e-05 -8 *25633:S 0.000224827 -9 *25626:S 0.000671213 -10 *25625:Y 0 -11 *1826:251 0.00103459 -12 *1826:238 0.0041322 -13 *1826:226 0.00416976 -14 *1826:189 0.00265095 -15 *1826:188 0.00162707 -16 *1826:178 0.00218447 -17 *1826:170 0.00357386 -18 *1826:154 0.00392711 -19 *1826:144 0.00169845 -20 *1826:132 0.000204201 -21 *1826:117 0.0124629 -22 *1826:93 0.00177564 -23 *1826:86 0.00188335 -24 *1826:85 0.00171361 -25 *1826:79 0.00219727 -26 *1826:61 0.00230029 -27 *1826:46 0.00353076 -28 *1826:26 0.00387269 -29 *1826:15 0.00246086 -30 *1826:5 0.0133546 -31 *6608:DIODE *3538:16 9.27418e-05 -32 *6608:DIODE *3913:50 9.09094e-05 -33 *6608:DIODE *5708:179 0.000191851 -34 *6608:DIODE *5764:179 6.43196e-05 -35 *25626:S *6332:29 0.000305766 -36 *25628:S *2767:167 5.33005e-05 -37 *25628:S *3206:304 1.58163e-05 -38 *25629:S *25629:A0 7.2394e-05 -39 *25629:S *25629:A1 5.71472e-05 -40 *25629:S *1853:38 0.000258137 -41 *25629:S *3607:98 2.11068e-05 -42 *25629:S *5638:195 0.000183726 -43 *25629:S *5683:106 0.00017987 -44 *25629:S *5905:16 4.9436e-05 -45 *25631:S *26923:A0 0.00046599 -46 *25631:S *27114:S 7.52635e-05 -47 *25631:S *28607:CLK 0.000252426 -48 *25631:S *28607:D 4.21517e-05 -49 *25631:S *3772:7 0.000178847 -50 *25631:S *4086:16 1.22751e-05 -51 *25631:S *4095:33 2.83129e-05 -52 *25631:S *5663:222 0.000292167 -53 *25631:S *5682:126 4.83263e-05 -54 *25631:S *5682:132 4.35421e-05 -55 *25632:S *5583:178 0.00022459 -56 *25632:S *5970:18 0.000255966 -57 *1826:15 *30793:A 1.03403e-05 -58 *1826:15 *2833:26 7.48409e-06 -59 *1826:15 *2840:98 0.00175347 -60 *1826:15 *2892:115 0.000789329 -61 *1826:15 *3178:133 0.000513363 -62 *1826:15 *3182:9 0.000374246 -63 *1826:15 *3192:20 9.41642e-05 -64 *1826:15 *5638:16 0.000632985 -65 *1826:15 *5718:256 0.00078844 -66 *1826:26 *27599:B 1.94941e-05 -67 *1826:26 *30798:A 0.000225314 -68 *1826:26 *2787:37 0 -69 *1826:26 *2833:6 0.000100009 -70 *1826:26 *2833:16 0.00032133 -71 *1826:26 *2892:111 1.27529e-05 -72 *1826:26 *2892:115 4.29471e-05 -73 *1826:26 *3147:15 0.000117892 -74 *1826:26 *3178:13 8.57023e-05 -75 *1826:26 *3178:15 8.66063e-05 -76 *1826:26 *3178:29 0.000280788 -77 *1826:26 *3178:133 0.000256599 -78 *1826:26 *5459:32 0.000221559 -79 *1826:26 *5643:9 0.00030926 -80 *1826:26 *5718:240 0.00106992 -81 *1826:46 *25533:B1 0.000196719 -82 *1826:46 *27241:B1 3.29544e-05 -83 *1826:46 *27243:A 0.000133323 -84 *1826:46 *27594:S 4.21517e-05 -85 *1826:46 *28960:A 0.000232823 -86 *1826:46 *30833:A 1.09611e-05 -87 *1826:46 *2777:105 4.70448e-05 -88 *1826:46 *2787:37 0 -89 *1826:46 *2840:97 0.000160526 -90 *1826:46 *3041:25 6.52967e-05 -91 *1826:46 *5515:42 4.98422e-05 -92 *1826:46 *5581:8 3.91559e-05 -93 *1826:46 *5678:247 0.00185055 -94 *1826:46 *5718:233 0.000393031 -95 *1826:46 *5718:240 0.00050268 -96 *1826:46 *6311:62 0.000137815 -97 *1826:46 *6319:70 2.30389e-05 -98 *1826:46 *6320:17 0.000559747 -99 *1826:46 *6320:71 2.86188e-05 -100 *1826:46 *6350:47 0.000127066 -101 *1826:46 *6384:44 0.000334955 -102 *1826:46 *6387:54 0.000169466 -103 *1826:61 *6531:DIODE 0.000366458 -104 *1826:61 *27484:S 0.000988254 -105 *1826:61 *28439:CLK 4.58976e-05 -106 *1826:61 *3041:25 0.00128623 -107 *1826:61 *3894:10 1.96517e-05 -108 *1826:61 *4796:30 6.27601e-05 -109 *1826:61 *5310:18 0.000605205 -110 *1826:61 *5535:14 0.000105689 -111 *1826:61 *5757:31 0 -112 *1826:61 *6100:17 0.000262825 -113 *1826:61 *6319:70 9.0145e-05 -114 *1826:61 *6332:29 0.000608141 -115 *1826:79 *24820:A 0.000242868 -116 *1826:79 *27238:B 5.7836e-06 -117 *1826:79 *30871:A 0.00025709 -118 *1826:79 *2823:8 0 -119 *1826:79 *5522:85 0 -120 *1826:79 *6309:39 2.11372e-05 -121 *1826:85 *24985:B2 0.000432883 -122 *1826:85 *3982:46 0 -123 *1826:85 *5625:15 0.00106558 -124 *1826:85 *5667:260 9.59532e-06 -125 *1826:85 *5753:32 6.55682e-05 -126 *1826:86 *5583:178 0.00123248 -127 *1826:86 *5970:18 0.00123248 -128 *1826:93 *28434:CLK 9.47456e-05 -129 *1826:93 *3634:25 0.000322185 -130 *1826:93 *3634:38 0.00022753 -131 *1826:93 *5240:8 0.00124547 -132 *1826:93 *5926:136 0.000148182 -133 *1826:117 *27351:B1 0.00010302 -134 *1826:117 *28649:RESET_B 0.000176334 -135 *1826:117 *28708:RESET_B 0.000165074 -136 *1826:117 *2787:128 0.000324493 -137 *1826:117 *2790:29 0.000156678 -138 *1826:117 *2840:98 1.19468e-05 -139 *1826:117 *2848:206 0 -140 *1826:117 *2852:17 0 -141 *1826:117 *2874:158 0.00136548 -142 *1826:117 *2874:190 0.000124184 -143 *1826:117 *2880:93 0.000161366 -144 *1826:117 *2892:126 0.000137276 -145 *1826:117 *3040:19 0 -146 *1826:117 *3165:192 9.34324e-05 -147 *1826:117 *3293:14 0 -148 *1826:117 *3537:73 0 -149 *1826:117 *3939:50 0 -150 *1826:117 *3952:16 0.000217088 -151 *1826:117 *3991:34 0.00011659 -152 *1826:117 *4082:76 0.000115026 -153 *1826:117 *4082:80 0.00022487 -154 *1826:117 *5459:51 0.00014854 -155 *1826:117 *5601:37 0.0016375 -156 *1826:117 *5601:40 0.000317948 -157 *1826:117 *5631:20 0 -158 *1826:117 *5638:16 2.06112e-05 -159 *1826:117 *5658:31 0.00011659 -160 *1826:117 *5658:44 0.00040372 -161 *1826:117 *5660:134 0.000126716 -162 *1826:117 *5694:283 0 -163 *1826:117 *5708:179 0.000173451 -164 *1826:117 *5829:85 0.000133937 -165 *1826:117 *5926:78 0.000177341 -166 *1826:132 *5632:53 4.28365e-05 -167 *1826:132 *5649:120 4.28365e-05 -168 *1826:144 *2871:259 9.60875e-05 -169 *1826:144 *2894:269 4.13595e-05 -170 *1826:144 *3690:63 0.000180777 -171 *1826:144 *5632:53 0.000342137 -172 *1826:144 *5649:120 0.000350666 -173 *1826:154 *27360:B1 8.77988e-05 -174 *1826:154 *2848:242 4.88232e-05 -175 *1826:154 *2859:283 3.6173e-05 -176 *1826:154 *2871:259 0.000585377 -177 *1826:154 *3887:60 0.000132072 -178 *1826:154 *3913:43 0 -179 *1826:154 *4108:123 0.000481858 -180 *1826:154 *5630:161 0.000110498 -181 *1826:154 *5630:176 0 -182 *1826:154 *5630:246 0.000925965 -183 *1826:154 *5643:160 0.00179623 -184 *1826:154 *5654:68 0.000196269 -185 *1826:154 *5654:86 8.40351e-06 -186 *1826:154 *5718:354 0.000760845 -187 *1826:154 *5781:42 0.000503111 -188 *1826:170 *27423:B1 0.000554723 -189 *1826:170 *27428:A2 8.65112e-05 -190 *1826:170 *27438:B1 2.8266e-06 -191 *1826:170 *2885:156 2.83129e-05 -192 *1826:170 *3215:199 0 -193 *1826:170 *3215:286 0 -194 *1826:170 *3283:8 2.59045e-05 -195 *1826:170 *3798:40 0.000145239 -196 *1826:170 *3913:33 8.6229e-06 -197 *1826:170 *4108:123 0.000441716 -198 *1826:170 *4121:62 0.000527103 -199 *1826:170 *5643:160 0.000519335 -200 *1826:170 *5654:88 1.5794e-05 -201 *1826:178 *27060:A0 0.000643257 -202 *1826:178 *27421:B1 7.69776e-06 -203 *1826:178 *27422:A2 4.64488e-06 -204 *1826:178 *27423:A1 0.000155623 -205 *1826:178 *27423:B1 3.4323e-06 -206 *1826:178 *27423:C1 5.52176e-05 -207 *1826:178 *27775:C1 0.000120697 -208 *1826:178 *28881:A 4.98232e-05 -209 *1826:178 *2785:10 8.53238e-05 -210 *1826:178 *2853:292 0.000191403 -211 *1826:178 *2895:283 0.000424029 -212 *1826:178 *3565:24 0 -213 *1826:178 *3746:22 0.000103 -214 *1826:178 *3928:20 4.19819e-05 -215 *1826:178 *4121:62 0.000835358 -216 *1826:178 *5642:141 0.000159286 -217 *1826:188 *3694:69 0.000143188 -218 *1826:188 *3694:77 0.000897658 -219 *1826:188 *3967:18 7.33494e-06 -220 *1826:188 *3967:29 0.00123603 -221 *1826:188 *5435:16 0.000514188 -222 *1826:188 *5631:58 0.000133159 -223 *1826:188 *5905:79 9.443e-05 -224 *1826:188 *5905:86 0.000604399 -225 *1826:189 *3320:18 0.00147216 -226 *1826:189 *4136:16 0.00146452 -227 *1826:226 *28607:RESET_B 4.13496e-05 -228 *1826:226 *1853:38 0.000155264 -229 *1826:226 *3185:229 0.000500418 -230 *1826:226 *3206:293 0.000110183 -231 *1826:226 *3582:121 8.30045e-05 -232 *1826:226 *3607:76 1.81805e-05 -233 *1826:226 *3694:69 5.31113e-05 -234 *1826:226 *3915:9 0.000219711 -235 *1826:226 *3915:19 1.38323e-05 -236 *1826:226 *5639:199 0.00018077 -237 *1826:226 *5683:106 0.000636587 -238 *1826:226 *5905:68 1.49682e-05 -239 *1826:226 *5905:79 2.8266e-06 -240 *1826:238 *28124:D 2.79421e-05 -241 *1826:238 *28124:RESET_B 8.79458e-05 -242 *1826:238 *2757:11 1.65442e-05 -243 *1826:238 *2757:21 2.27812e-05 -244 *1826:238 *2759:31 8.2547e-06 -245 *1826:238 *2767:167 0.00424272 -246 *1826:238 *3537:35 2.61978e-05 -247 *1826:238 *3757:75 6.82788e-05 -248 *1826:238 *3796:124 0.00130576 -249 *1826:238 *3796:163 0.000345311 -250 *1826:238 *3874:91 0.000111218 -251 *1826:238 *3977:7 0.000178847 -252 *1826:238 *3991:52 7.76075e-06 -253 *1826:238 *4054:8 8.92599e-05 -254 *1826:238 *5590:131 9.77884e-05 -255 *1826:238 *5628:202 0.000780288 -256 *1826:238 *5655:238 7.60332e-05 -257 *1826:238 *5682:84 0.000584577 -258 *1826:238 *5701:113 0.00011327 -259 *1826:238 *5768:169 0.000148293 -260 *1826:238 *5768:175 0.000143625 -261 *1826:251 *25630:A0 0.000108525 -262 *1826:251 *27038:A1 0.000630278 -263 *1826:251 *28523:D 0.000148196 -264 *1826:251 *28611:SET_B 0.00010015 -265 *1826:251 *30440:A 0.000163455 -266 *1826:251 *2774:31 0.000128467 -267 *1826:251 *2774:35 8.43535e-06 -268 *1826:251 *2775:111 0.00109472 -269 *1826:251 *2791:199 0.000429665 -270 *1826:251 *3599:16 9.31209e-05 -271 *1826:251 *3781:11 0.000175892 -272 *1826:251 *4054:8 0.000240999 -273 *1826:251 *4119:8 1.40849e-05 -274 *1826:251 *5590:131 0.000240999 -275 *1826:251 *5651:410 2.22618e-05 -276 *1826:251 *5748:6 0.00108171 -277 *1826:251 *5768:93 2.26327e-05 -278 *1826:251 *5810:86 0.000347181 -279 *24983:A2 *1826:85 0.000520568 -280 *25058:B1 *1826:188 6.9058e-05 -281 *25197:A *1826:154 9.10431e-06 -282 *25197:C *1826:154 0.00166782 -283 *25521:B1 *1826:46 0.000202301 -284 *26946:A *1826:238 6.81283e-05 -285 *26973:A *1826:188 0.000111369 -286 *27214:A1 *1826:46 0.00064949 -287 *27474:B *1826:117 2.04745e-05 -288 *29254:A *1826:93 4.48113e-05 -289 *29667:A *1826:251 0.000260574 -290 *29792:A *1826:93 0.000548884 -291 *30300:A *25633:S 0.000139331 -292 *30504:A *25632:S 2.44318e-05 -293 *244:65 *1826:93 0.000141455 -294 *282:15 *25626:S 7.02999e-05 -295 *474:15 *25626:S 7.02999e-05 -296 *1178:158 *1826:170 4.58764e-05 -297 *1185:125 *1826:117 0.00131271 -298 *1185:144 *1826:117 0.000171028 -299 *1244:71 *1826:85 0.000116009 -300 *1267:163 *1826:170 0.00108038 -301 *1269:137 *1826:188 0.000516118 -302 *1271:49 *1826:46 5.11566e-05 -303 *1273:181 *25631:S 4.38058e-05 -304 *1274:126 *1826:154 1.62539e-05 -305 *1277:76 *1826:46 0.000132341 -306 *1277:76 *1826:85 0.000904125 -307 *1277:98 *1826:85 0.000516715 -308 *1281:145 *1826:154 0.00027669 -309 *1307:23 *1826:93 0.000167871 -310 *1317:13 *1826:85 0.000940912 -311 *1361:114 *1826:251 0.000150177 -312 *1363:126 *1826:117 0 -313 *1368:23 *1826:117 0 -314 *1514:18 *1826:170 1.48489e-05 -315 *1638:36 *6608:DIODE 5.4076e-05 -316 *1638:36 *1826:117 0.00034185 -317 *1697:44 *1826:226 0.000816049 -318 *1797:11 *1826:46 9.02627e-05 -319 *1803:34 *1826:26 0 -*RES -1 *25625:Y *1826:5 13.8 -2 *1826:5 *1826:15 45.9464 -3 *1826:15 *1826:26 40.0804 -4 *1826:26 *1826:46 47.8962 -5 *1826:46 *1826:61 48 -6 *1826:61 *25626:S 27.7643 -7 *1826:26 *1826:79 13.6049 -8 *1826:79 *1826:85 48.5357 -9 *1826:85 *1826:86 12.0357 -10 *1826:86 *1826:93 47.2857 -11 *1826:93 *25633:S 17.6214 -12 *1826:86 *25632:S 11.8893 -13 *1826:5 *1826:117 35.1787 -14 *1826:117 *6608:DIODE 20.9113 -15 *1826:117 *1826:132 3.99036 -16 *1826:132 *25627:S 13.8 -17 *1826:132 *1826:144 15.4464 -18 *1826:144 *1826:154 48.9411 -19 *1826:154 *1826:170 48.0128 -20 *1826:170 *1826:178 38.1689 -21 *1826:178 *1826:188 40.0357 -22 *1826:188 *1826:189 19.7054 -23 *1826:189 *25631:S 34.5752 -24 *1826:189 *1826:226 39.2589 -25 *1826:226 *1826:238 38.3001 -26 *1826:238 *1826:251 48.2679 -27 *1826:251 *25630:S 9.3 -28 *1826:238 *25628:S 15.0679 -29 *1826:226 *25629:S 20.7554 -*END - -*D_NET *1827 0.0238052 -*CONN -*I *25636:S I *D sky130_fd_sc_hd__mux2_1 -*I *25639:S I *D sky130_fd_sc_hd__mux2_1 -*I *25638:S I *D sky130_fd_sc_hd__mux2_1 -*I *25635:S I *D sky130_fd_sc_hd__mux2_1 -*I *25637:S I *D sky130_fd_sc_hd__mux2_1 -*I *25634:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *25636:S 2.32277e-05 -2 *25639:S 0 -3 *25638:S 0.000104003 -4 *25635:S 0.000128508 -5 *25637:S 0.000165587 -6 *25634:X 0 -7 *1827:61 0.00143268 -8 *1827:54 0.00212488 -9 *1827:36 0.00212275 -10 *1827:31 0.00178174 -11 *1827:11 0.00183113 -12 *1827:4 0.001969 -13 *25635:S *3856:13 0.000300804 -14 *25636:S *25636:A1 4.27935e-05 -15 *25637:S *25637:A0 5.01117e-05 -16 *25637:S *3856:13 0 -17 *25637:S *5705:22 0 -18 *25638:S *25638:A0 9.41642e-05 -19 *25638:S *5742:102 7.37081e-05 -20 *1827:11 *25943:S 0 -21 *1827:11 *25946:A1 0 -22 *1827:11 *28039:B 0.000743532 -23 *1827:11 *28160:D 0 -24 *1827:11 *28161:CLK 0 -25 *1827:11 *28161:D 0 -26 *1827:11 *28325:D 0 -27 *1827:11 *28386:RESET_B 0 -28 *1827:11 *28885:A 0 -29 *1827:11 *1899:42 0 -30 *1827:11 *3856:13 0.000186662 -31 *1827:11 *5705:22 0 -32 *1827:11 *5710:22 0.000113372 -33 *1827:11 *5732:168 0 -34 *1827:11 *5732:195 0 -35 *1827:31 *25945:S 9.25014e-06 -36 *1827:31 *28039:B 0.00049707 -37 *1827:31 *3710:38 0.000301803 -38 *1827:31 *5727:170 0.000427503 -39 *1827:36 *25461:A2 0.000372581 -40 *1827:36 *25636:A0 1.98839e-05 -41 *1827:36 *25636:A1 1.24368e-05 -42 *1827:36 *25652:B 0.000106955 -43 *1827:36 *28145:RESET_B 6.05161e-06 -44 *1827:36 *28179:RESET_B 0.000119987 -45 *1827:36 *28281:CLK 0.000166674 -46 *1827:36 *28885:A 0 -47 *1827:36 *3570:79 0.000392453 -48 *1827:36 *3605:12 0.000219397 -49 *1827:36 *3605:28 7.6028e-05 -50 *1827:36 *3605:35 5.38243e-05 -51 *1827:36 *3605:96 2.94962e-05 -52 *1827:36 *3616:24 0.000181596 -53 *1827:36 *4546:20 0 -54 *1827:36 *5007:16 6.22596e-05 -55 *1827:36 *5355:10 0 -56 *1827:36 *5739:181 3.64821e-05 -57 *1827:36 *5740:162 5.41797e-06 -58 *1827:54 *3570:79 0.000156514 -59 *1827:54 *3570:86 8.67371e-05 -60 *1827:54 *3616:20 0.000181793 -61 *1827:54 *3616:22 0.000538289 -62 *1827:54 *3616:24 0.000212429 -63 *1827:54 *3657:7 0.000265447 -64 *1827:54 *3935:28 6.28355e-05 -65 *1827:54 *5545:50 0.000350872 -66 *1827:54 *5740:162 7.59288e-05 -67 *1827:54 *5740:166 2.16964e-05 -68 *1827:54 *5740:175 3.16773e-05 -69 *1827:61 *24871:A2 0.000387162 -70 *1827:61 *24903:A 0.000356816 -71 *1827:61 *25879:A0 0 -72 *1827:61 *28149:RESET_B 0.000493726 -73 *1827:61 *28332:CLK 4.81011e-05 -74 *1827:61 *30401:A 0.000125731 -75 *1827:61 *3616:11 0.000210812 -76 *1827:61 *3616:50 0.000139765 -77 *1827:61 *3616:59 0.000192207 -78 *1827:61 *3616:88 0.000173044 -79 *1827:61 *3616:99 0.000926778 -80 *1827:61 *3710:18 0 -81 *1827:61 *4156:46 0 -82 *1827:61 *4192:239 7.6644e-05 -83 *1827:61 *5719:98 0.000130283 -84 *29367:A *1827:11 0.000139913 -85 *29393:A *25637:S 0 -86 *29399:A *1827:11 0.000177847 -87 *30519:A *1827:36 9.60875e-05 -88 *437:23 *25638:S 0.000301438 -89 *1195:6 *1827:61 0.000337368 -90 *1251:21 *1827:61 0.000313663 -91 *1287:25 *1827:54 6.8646e-06 -92 *1738:106 *1827:54 0.000161693 -93 *1739:26 *1827:54 7.08552e-05 -94 *1759:11 *1827:61 0.00053088 -95 *1763:22 *1827:36 3.75293e-05 -*RES -1 *25634:X *1827:4 9.3 -2 *1827:4 *1827:11 39.5714 -3 *1827:11 *25637:S 21.1571 -4 *1827:11 *25635:S 12.3 -5 *1827:4 *1827:31 21.3929 -6 *1827:31 *1827:36 33.625 -7 *1827:36 *25638:S 16.8 -8 *1827:36 *1827:54 27.9643 -9 *1827:54 *1827:61 43.5357 -10 *1827:61 *25639:S 9.3 -11 *1827:31 *25636:S 9.83571 -*END - -*D_NET *1828 0.016217 -*CONN -*I *25643:S I *D sky130_fd_sc_hd__mux2_1 -*I *25645:S I *D sky130_fd_sc_hd__mux2_1 -*I *25644:S I *D sky130_fd_sc_hd__mux2_1 -*I *25642:S I *D sky130_fd_sc_hd__mux2_1 -*I *25641:S I *D sky130_fd_sc_hd__mux2_1 -*I *25640:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *25643:S 0 -2 *25645:S 0.000224096 -3 *25644:S 0 -4 *25642:S 0.000181097 -5 *25641:S 1.42796e-05 -6 *25640:Y 0.00049586 -7 *1828:47 0.00071336 -8 *1828:42 0.0020949 -9 *1828:12 0.000441914 -10 *1828:9 0.00185218 -11 *1828:7 0.00049586 -12 *25641:S *3944:25 5.33005e-05 -13 *25642:S *25641:A1 0.000238779 -14 *25642:S *3944:25 0.00043108 -15 *25645:S *24879:A 0.000595847 -16 *25645:S *25644:A1 0.000120628 -17 *25645:S *27534:A1 0.000303972 -18 *25645:S *5901:57 0 -19 *1828:7 *25643:A1 3.97677e-05 -20 *1828:7 *3960:62 0.000312573 -21 *1828:7 *3960:86 0.000537167 -22 *1828:12 *2872:93 0.000524355 -23 *1828:12 *3723:34 0.000526602 -24 *1828:42 *25675:A0 5.6467e-05 -25 *1828:42 *27524:A1 5.65154e-05 -26 *1828:42 *27529:A1 2.08241e-05 -27 *1828:42 *27534:B1 0.000145923 -28 *1828:42 *27568:B2 3.69047e-06 -29 *1828:42 *28055:A 0 -30 *1828:42 *1844:44 0.000110376 -31 *1828:42 *2872:93 0.00136847 -32 *1828:42 *2882:135 0 -33 *1828:42 *3112:9 0.000142146 -34 *1828:42 *3192:107 0.000515154 -35 *1828:42 *3452:32 0.000188473 -36 *1828:42 *3698:40 0.000580213 -37 *1828:42 *3723:25 1.94879e-05 -38 *1828:42 *3723:31 0 -39 *1828:42 *3723:34 0.00086386 -40 *1828:42 *3737:34 5.10822e-05 -41 *1828:42 *3897:32 6.30931e-05 -42 *1828:42 *4130:103 1.83136e-05 -43 *1828:42 *5657:90 0 -44 *1828:42 *5727:252 0 -45 *1828:42 *5727:281 0 -46 *1828:42 *6036:38 0.000175138 -47 *1828:47 *3688:35 0 -48 *1828:47 *3698:40 0.000634663 -49 *1828:47 *3723:25 0.000487935 -50 *1828:47 *4130:103 0.000125243 -51 *1828:47 *4160:98 7.21849e-05 -52 *1828:47 *4161:80 1.14166e-05 -53 *1828:47 *5691:61 3.10309e-05 -54 *25416:A2 *25645:S 1.46231e-05 -55 *29371:A *25641:S 5.52302e-05 -56 *29371:A *25642:S 0.000207878 -*RES -1 *25640:Y *1828:7 18.0679 -2 *1828:7 *1828:9 4.5 -3 *1828:9 *1828:12 11.6071 -4 *1828:12 *25641:S 9.83571 -5 *1828:12 *25642:S 15.3357 -6 *1828:9 *1828:42 46.9821 -7 *1828:42 *1828:47 21.5714 -8 *1828:47 *25644:S 9.3 -9 *1828:47 *25645:S 16.0321 -10 *1828:7 *25643:S 9.3 -*END - -*D_NET *1829 0.0368077 -*CONN -*I *25651:S I *D sky130_fd_sc_hd__mux2_1 -*I *25650:S I *D sky130_fd_sc_hd__mux2_1 -*I *25647:S I *D sky130_fd_sc_hd__mux2_1 -*I *25649:S I *D sky130_fd_sc_hd__mux2_1 -*I *25648:S I *D sky130_fd_sc_hd__mux2_1 -*I *25646:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *25651:S 0 -2 *25650:S 2.46213e-05 -3 *25647:S 0 -4 *25649:S 0 -5 *25648:S 0.000401377 -6 *25646:X 0.00152217 -7 *1829:56 0.000778494 -8 *1829:50 0.00123956 -9 *1829:48 0.00252193 -10 *1829:41 0.00182545 -11 *1829:34 0.00102379 -12 *1829:26 0.00191215 -13 *1829:25 0.00248319 -14 *1829:16 0.0029264 -15 *25648:S *25648:A0 0.00030926 -16 *25648:S *28142:D 6.65398e-05 -17 *25648:S *1876:8 6.09572e-05 -18 *25648:S *3654:6 0.000310314 -19 *25648:S *5698:285 0.00022123 -20 *25648:S *5732:13 0.000268403 -21 *25648:S *5733:126 0.000171809 -22 *25650:S *5693:87 9.60875e-05 -23 *1829:16 *24809:A 0.000268403 -24 *1829:16 *25646:B 0.00125579 -25 *1829:16 *25794:S 5.98502e-05 -26 *1829:16 *4195:105 0.000145154 -27 *1829:25 *25432:A 0.000220608 -28 *1829:25 *28090:CLK 7.3237e-05 -29 *1829:25 *1875:35 0.000108447 -30 *1829:25 *4156:60 7.62206e-05 -31 *1829:25 *4158:7 2.61099e-05 -32 *1829:26 *25776:S 0.000484458 -33 *1829:26 *28292:CLK 0.00019323 -34 *1829:26 *28292:D 0.000474154 -35 *1829:26 *3626:70 0.00032219 -36 *1829:26 *3711:21 0 -37 *1829:26 *3936:33 0 -38 *1829:26 *5806:8 1.46717e-05 -39 *1829:34 *25651:A0 0.000188361 -40 *1829:34 *25657:A1 0.000244387 -41 *1829:34 *25776:S 1.18451e-05 -42 *1829:34 *28144:D 0.000163062 -43 *1829:34 *28238:SET_B 0.000144933 -44 *1829:34 *30317:A 0.000197502 -45 *1829:34 *1868:49 0 -46 *1829:34 *3616:122 0.000210137 -47 *1829:34 *3870:8 0.00010302 -48 *1829:34 *3936:33 2.6949e-05 -49 *1829:34 *5778:47 9.60846e-05 -50 *1829:34 *5778:49 8.41284e-06 -51 *1829:41 *25650:A0 1.90303e-05 -52 *1829:41 *25823:A0 9.60939e-05 -53 *1829:41 *30317:A 0.000203106 -54 *1829:41 *5778:47 0.000224646 -55 *1829:48 *25872:A0 7.40526e-05 -56 *1829:48 *25945:A0 5.03624e-05 -57 *1829:48 *28995:A 0.000993255 -58 *1829:48 *1868:31 0.000150263 -59 *1829:48 *3710:28 0 -60 *1829:48 *3934:28 0 -61 *1829:48 *5706:81 0.000240221 -62 *1829:48 *5712:52 0 -63 *1829:48 *5712:67 0.000272693 -64 *1829:48 *5743:33 1.83485e-05 -65 *1829:48 *5743:60 5.21452e-05 -66 *1829:48 *5778:47 0.00217734 -67 *1829:50 *28290:CLK 0.000173804 -68 *1829:50 *1868:29 1.75287e-05 -69 *1829:50 *1868:31 0.000145686 -70 *1829:50 *3584:8 0.000325342 -71 *1829:50 *3584:13 0.000173804 -72 *1829:50 *3584:117 0.000260377 -73 *1829:50 *5698:292 0.000322521 -74 *1829:50 *5698:310 0.000950647 -75 *1829:50 *5705:16 0 -76 *1829:50 *5733:137 0 -77 *1829:56 *28142:D 7.8327e-05 -78 *1829:56 *5698:285 3.90513e-05 -79 *1829:56 *5698:292 0.000623692 -80 *1829:56 *5733:126 1.69115e-05 -81 *1829:56 *5733:137 1.09595e-05 -82 *25155:B *1829:25 8.85631e-05 -83 *25169:S *1829:16 5.15925e-05 -84 *25429:A3 *1829:41 5.25862e-06 -85 *25430:B *1829:34 0.000543465 -86 *25432:B *1829:25 5.52302e-05 -87 *25440:B *1829:25 7.48091e-05 -88 *25449:A0 *1829:16 6.79938e-05 -89 *25449:S *1829:16 2.61099e-05 -90 *28090:D *1829:25 6.86792e-05 -91 *28090:RESET_B *1829:25 6.77255e-05 -92 *29391:A *1829:56 0.000125153 -93 *29449:A *1829:48 0.000106826 -94 *29449:A *1829:50 1.09232e-05 -95 *30378:A *1829:48 0.000754454 -96 *30515:A *1829:48 0.000305701 -97 *30528:A *1829:48 0.000124655 -98 *406:8 *1829:34 0 -99 *1195:6 *1829:25 0.00012401 -100 *1259:8 *1829:25 0.000125724 -101 *1324:113 *1829:16 7.97198e-05 -102 *1421:71 *1829:48 0.000176069 -103 *1421:71 *1829:50 0.00165094 -104 *1427:161 *1829:16 9.60875e-05 -105 *1427:161 *1829:25 0.000596338 -106 *1738:106 *25650:S 9.60875e-05 -107 *1744:5 *1829:25 0.000249314 -108 *1748:26 *1829:48 0.000145119 -*RES -1 *25646:X *1829:16 48.8 -2 *1829:16 *1829:25 38.3929 -3 *1829:25 *1829:26 25.0179 -4 *1829:26 *1829:34 21.1607 -5 *1829:34 *1829:41 13.1071 -6 *1829:41 *1829:48 49.1339 -7 *1829:48 *1829:50 29.7232 -8 *1829:50 *1829:56 10.5 -9 *1829:56 *25648:S 26.7643 -10 *1829:56 *25649:S 13.8 -11 *1829:50 *25647:S 13.8 -12 *1829:34 *25650:S 14.7464 -13 *1829:26 *25651:S 13.8 -*END - -*D_NET *1830 0.0130674 -*CONN -*I *25657:S I *D sky130_fd_sc_hd__mux2_1 -*I *25656:S I *D sky130_fd_sc_hd__mux2_1 -*I *25655:S I *D sky130_fd_sc_hd__mux2_1 -*I *25653:S I *D sky130_fd_sc_hd__mux2_1 -*I *25654:S I *D sky130_fd_sc_hd__mux2_1 -*I *25652:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *25657:S 0.000245445 -2 *25656:S 2.17614e-05 -3 *25655:S 0 -4 *25653:S 0 -5 *25654:S 0.00013178 -6 *25652:X 0.000514969 -7 *1830:55 0.00093071 -8 *1830:33 0.000660359 -9 *1830:23 0.00112606 -10 *1830:15 0.00177595 -11 *25654:S *25653:A1 2.59355e-05 -12 *25654:S *1864:70 0.000170654 -13 *25656:S *24870:A2 9.71197e-05 -14 *25657:S *24868:B 0.000128419 -15 *25657:S *24870:A2 0.000352082 -16 *25657:S *25657:A1 1.92789e-05 -17 *25657:S *30790:A 0.000219711 -18 *25657:S *4127:75 4.60232e-06 -19 *25657:S *4525:11 9.56112e-07 -20 *1830:15 *6538:DIODE 0 -21 *1830:15 *4548:15 0.000225715 -22 *1830:23 *25554:A0 0.000120975 -23 *1830:23 *25554:S 6.69937e-05 -24 *1830:23 *25655:A0 2.28499e-05 -25 *1830:23 *25655:A1 5.33005e-05 -26 *1830:23 *29582:A 1.94105e-05 -27 *1830:23 *1844:59 0.0002383 -28 *1830:23 *4127:14 0.000111369 -29 *1830:23 *4164:153 0.000117543 -30 *1830:23 *4165:122 0.000117136 -31 *1830:33 *25653:A1 4.27437e-05 -32 *1830:33 *28885:A 0.000328348 -33 *1830:33 *29582:A 0.000725275 -34 *1830:33 *29588:A 0.000319968 -35 *1830:33 *3944:11 0.000221163 -36 *1830:33 *4646:13 0.000189444 -37 *1830:33 *5355:10 5.65064e-05 -38 *1830:55 *25555:S 0.000164714 -39 *1830:55 *25638:A1 8.17909e-05 -40 *1830:55 *25656:A1 9.86586e-05 -41 *1830:55 *1862:36 0 -42 *1830:55 *4127:14 2.84332e-05 -43 *1830:55 *4127:75 2.21885e-05 -44 *1830:55 *4154:27 3.87372e-05 -45 *1830:55 *4185:30 0.000180372 -46 *1830:55 *4525:11 9.18259e-05 -47 *1830:55 *5614:114 1.4811e-05 -48 *24870:B1 *25656:S 9.71197e-05 -49 *25467:A1 *1830:15 0.000456684 -50 *28069:RESET_B *25654:S 0.000138881 -51 *28085:RESET_B *1830:23 0.000109817 -52 *28085:RESET_B *1830:55 5.18176e-05 -53 *28094:RESET_B *25657:S 0.000366506 -54 *394:14 *1830:23 5.31158e-05 -55 *408:20 *1830:55 0.000315964 -56 *412:14 *1830:55 9.62956e-06 -57 *499:10 *1830:23 1.21289e-05 -58 *501:10 *25657:S 5.33005e-05 -59 *623:22 *1830:55 0.000647675 -60 *1287:60 *25654:S 0.000168939 -61 *1472:11 *1830:15 0.000204968 -62 *1749:21 *1830:15 6.15175e-05 -63 *1766:24 *1830:15 9.20464e-06 -64 *1778:16 *25654:S 0.000136951 -65 *1810:19 *1830:15 4.8817e-05 -*RES -1 *25652:X *1830:15 25.4859 -2 *1830:15 *1830:23 18.2411 -3 *1830:23 *1830:33 25.3036 -4 *1830:33 *25654:S 22.4429 -5 *1830:33 *25653:S 9.3 -6 *1830:23 *25655:S 9.3 -7 *1830:15 *1830:55 16.3661 -8 *1830:55 *25656:S 14.7464 -9 *1830:55 *25657:S 21.7821 -*END - -*D_NET *1831 0.00939716 -*CONN -*I *25661:S I *D sky130_fd_sc_hd__mux2_1 -*I *25660:S I *D sky130_fd_sc_hd__mux2_1 -*I *25659:S I *D sky130_fd_sc_hd__mux2_1 -*I *25662:S I *D sky130_fd_sc_hd__mux2_1 -*I *25663:S I *D sky130_fd_sc_hd__mux2_1 -*I *25658:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *25661:S 3.10188e-05 -2 *25660:S 0.00010266 -3 *25659:S 1.0212e-05 -4 *25662:S 0.000337985 -5 *25663:S 0.000145174 -6 *25658:X 0.000610608 -7 *1831:38 0.000598187 -8 *1831:26 0.000751334 -9 *1831:20 0.000576818 -10 *1831:18 0.000759617 -11 *25659:S *5691:293 1.22506e-05 -12 *25660:S *3568:49 8.32146e-05 -13 *25661:S *25661:A1 5.52302e-05 -14 *25661:S *3793:25 1.38963e-05 -15 *25661:S *4950:25 5.52302e-05 -16 *25662:S *3805:8 8.68976e-05 -17 *25662:S *5079:20 0 -18 *25662:S *5691:293 0.000510318 -19 *25662:S *5691:298 1.02504e-05 -20 *25663:S *5490:39 0.00036034 -21 *25663:S *5698:114 0.00036034 -22 *1831:18 *28832:CLK 7.60944e-05 -23 *1831:18 *29176:A 0.000269726 -24 *1831:18 *3671:28 5.33005e-05 -25 *1831:18 *3791:75 0.000200431 -26 *1831:18 *3918:16 0.000200581 -27 *1831:18 *4946:14 0.000819891 -28 *1831:18 *5490:39 0 -29 *1831:18 *5710:183 0.000307343 -30 *1831:18 *5731:8 5.60382e-05 -31 *1831:20 *28832:CLK 6.26079e-05 -32 *1831:20 *5490:39 0 -33 *1831:26 *28151:RESET_B 2.57472e-05 -34 *1831:26 *28832:CLK 9.30125e-06 -35 *1831:26 *3801:21 2.06178e-05 -36 *1831:26 *5490:39 0.000415879 -37 *1831:26 *5698:114 0.000141711 -38 *1831:26 *5705:122 7.13611e-05 -39 *1831:38 *28150:D 0.000475503 -40 *1831:38 *3892:18 0.000346634 -41 *1831:38 *5685:151 0.000115139 -42 *1831:38 *5698:109 2.3695e-05 -43 pll_div[1] *25662:S 5.80706e-06 -44 *29199:A *25660:S 0 -45 *460:33 *25661:S 0.000139913 -46 *504:19 *1831:18 3.32772e-05 -47 *506:24 *25662:S 0 -48 *698:11 *25662:S 0 -49 *1430:29 *1831:18 3.56987e-05 -50 *1452:57 *1831:18 1.92789e-05 -*RES -1 *25658:X *1831:18 39.4607 -2 *1831:18 *1831:20 1.94643 -3 *1831:20 *1831:26 7.52679 -4 *1831:26 *25663:S 18.6304 -5 *1831:26 *1831:38 7.87593 -6 *1831:38 *25662:S 20.7554 -7 *1831:38 *25659:S 14.0768 -8 *1831:20 *25660:S 15.9071 -9 *1831:18 *25661:S 15.1571 -*END - -*D_NET *1832 0.0144646 -*CONN -*I *25669:S I *D sky130_fd_sc_hd__mux2_1 -*I *25668:S I *D sky130_fd_sc_hd__mux2_1 -*I *25666:S I *D sky130_fd_sc_hd__mux2_1 -*I *25665:S I *D sky130_fd_sc_hd__mux2_1 -*I *25667:S I *D sky130_fd_sc_hd__mux2_1 -*I *25664:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *25669:S 0 -2 *25668:S 0.0002634 -3 *25666:S 4.30121e-05 -4 *25665:S 0.000296892 -5 *25667:S 0.000268349 -6 *25664:Y 1.37021e-05 -7 *1832:41 0.00118624 -8 *1832:25 0.001052 -9 *1832:16 0.000816547 -10 *1832:5 0.000715552 -11 *25665:S *27100:A0 0.000347444 -12 *25665:S *3971:42 0.000886619 -13 *25666:S *27874:B2 0.000137561 -14 *25666:S *2882:64 6.94952e-05 -15 *25667:S *2867:49 0.000887843 -16 *25667:S *5458:31 2.18985e-05 -17 *25667:S *5666:180 0.000156931 -18 *25667:S *5666:190 1.24368e-05 -19 *25667:S *5698:9 0.000497806 -20 *25668:S *28977:A 0.000204318 -21 *25668:S *3173:94 0.000179639 -22 *25668:S *3666:52 0.000630615 -23 *25668:S *5685:9 5.33005e-05 -24 *25668:S *5685:12 0.000166374 -25 *25668:S *5687:37 0.000301094 -26 *1832:5 *2867:49 4.17433e-05 -27 *1832:5 *5666:190 5.33005e-05 -28 *1832:16 *3173:94 3.4323e-06 -29 *1832:16 *3176:110 0.000751399 -30 *1832:16 *3931:26 0.000254661 -31 *1832:25 *3176:110 0.000217756 -32 *1832:25 *3931:26 0.000104768 -33 *1832:41 *25179:B2 0.000257619 -34 *1832:41 *1864:49 0.000387142 -35 *1832:41 *2877:58 2.06178e-05 -36 *1832:41 *3176:110 0.000719298 -37 *1832:41 *3185:320 0.000406316 -38 *1832:41 *3931:26 6.80677e-05 -39 *1832:41 *3960:86 0.000882024 -40 *1832:41 *5196:53 0 -41 *1832:41 *5646:93 0.000196745 -42 *1832:41 *5646:102 0.000558029 -43 *1832:41 *5685:35 7.33024e-05 -44 *1832:41 *5687:58 0.000259267 -*RES -1 *25664:Y *1832:5 9.83571 -2 *1832:5 *25667:S 18.4786 -3 *1832:5 *1832:16 14.6429 -4 *1832:16 *25665:S 22.55 -5 *1832:16 *1832:25 2.85714 -6 *1832:25 *25666:S 15.1571 -7 *1832:25 *1832:41 32.1607 -8 *1832:41 *25668:S 26.8357 -9 *1832:41 *25669:S 9.3 -*END - -*D_NET *1833 0.0160453 -*CONN -*I *25673:S I *D sky130_fd_sc_hd__mux2_1 -*I *25675:S I *D sky130_fd_sc_hd__mux2_1 -*I *25674:S I *D sky130_fd_sc_hd__mux2_1 -*I *25672:S I *D sky130_fd_sc_hd__mux2_1 -*I *25671:S I *D sky130_fd_sc_hd__mux2_1 -*I *25670:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *25673:S 0 -2 *25675:S 0.000381064 -3 *25674:S 3.40915e-05 -4 *25672:S 9.58861e-05 -5 *25671:S 0.00013828 -6 *25670:Y 0.000171135 -7 *1833:43 0.00217859 -8 *1833:36 0.00192161 -9 *1833:11 0.000500704 -10 *1833:7 0.000424714 -11 *1833:5 0.000171135 -12 *25671:S *5710:15 4.38136e-05 -13 *25672:S *28161:D 2.44318e-05 -14 *25672:S *3905:11 0.000139913 -15 *25672:S *5710:48 0.000146474 -16 *25672:S *5778:39 0.000146474 -17 *25674:S *25674:A1 2.85234e-05 -18 *25674:S *30326:A 0 -19 *25674:S *5740:135 7.25449e-05 -20 *25675:S *25674:A0 0.000557771 -21 *25675:S *25675:A1 5.33005e-05 -22 *25675:S *28163:RESET_B 5.25862e-06 -23 *25675:S *3711:34 0.000592943 -24 *25675:S *5742:111 8.40933e-05 -25 *1833:5 *25670:B 0.000306324 -26 *1833:5 *25673:A1 5.52302e-05 -27 *1833:5 *5461:7 0.000216294 -28 *1833:11 *28162:D 4.87854e-05 -29 *1833:11 *3866:35 0.00026546 -30 *1833:11 *4140:61 0.000242064 -31 *1833:11 *5710:13 0.000134811 -32 *1833:11 *5710:15 1.07719e-05 -33 *1833:36 *25673:A1 0.000100831 -34 *1833:36 *25943:A0 0.000265453 -35 *1833:36 *3895:29 8.6229e-06 -36 *1833:36 *4140:61 0.0001818 -37 *1833:36 *5710:13 3.91485e-05 -38 *1833:36 *5936:79 0.000134471 -39 *1833:43 *25674:A0 2.94956e-05 -40 *1833:43 *25836:A1 1.31516e-05 -41 *1833:43 *25945:A1 0.00108018 -42 *1833:43 *27884:B2 0.000117132 -43 *1833:43 *3711:34 0.000267095 -44 *1833:43 *3750:16 7.13346e-05 -45 *1833:43 *3750:20 0.000730257 -46 *1833:43 *4140:66 0 -47 *1833:43 *4877:10 0.000116372 -48 *1833:43 *5706:78 7.55769e-05 -49 *1833:43 *5739:10 8.59906e-05 -50 *1833:43 *5740:24 0.000175519 -51 *1833:43 *5740:86 0.000208627 -52 *1833:43 *5740:99 0.00020486 -53 *29159:A *25672:S 0.00018077 -54 *30220:A *25675:S 0.00022266 -55 *1427:143 *1833:43 0.00251505 -56 *1619:10 *1833:11 2.83893e-05 -*RES -1 *25670:Y *1833:5 13.9429 -2 *1833:5 *1833:7 4.5 -3 *1833:7 *1833:11 11.4821 -4 *1833:11 *25671:S 11.4964 -5 *1833:11 *25672:S 22.0143 -6 *1833:7 *1833:36 14.1429 -7 *1833:36 *1833:43 49.2857 -8 *1833:43 *25674:S 14.7643 -9 *1833:43 *25675:S 24.5321 -10 *1833:5 *25673:S 9.3 -*END - -*D_NET *1834 0.0598708 -*CONN -*I *25677:B1 I *D sky130_fd_sc_hd__o221a_4 -*I *25711:B1 I *D sky130_fd_sc_hd__o221a_4 -*I *25694:B1 I *D sky130_fd_sc_hd__o221a_4 -*I *25676:X O *D sky130_fd_sc_hd__and2b_4 -*CAP -1 *25677:B1 0.000436983 -2 *25711:B1 5.60135e-05 -3 *25694:B1 0 -4 *25676:X 0.000217253 -5 *1834:84 0.00142621 -6 *1834:70 0.0033198 -7 *1834:68 0.00317924 -8 *1834:64 0.00220598 -9 *1834:61 0.00147528 -10 *1834:49 0.00249876 -11 *1834:37 0.00340098 -12 *1834:14 0.00186923 -13 *1834:9 0.00101029 -14 *25677:B1 *2764:43 4.43256e-05 -15 *25677:B1 *5669:254 0.000681021 -16 *1834:9 *3953:6 0.000122951 -17 *1834:9 *5669:254 9.41642e-05 -18 *1834:9 *5715:32 0.000225314 -19 *1834:14 *28550:RESET_B 0 -20 *1834:14 *3578:118 0.000921873 -21 *1834:14 *5669:251 0.000281925 -22 *1834:14 *5914:48 8.08022e-05 -23 *1834:14 *5914:64 0.000287849 -24 *1834:14 *6258:12 0.000225188 -25 *1834:37 *28550:RESET_B 0.000187938 -26 *1834:37 *28901:A 8.5765e-05 -27 *1834:37 *29357:A 0.000289348 -28 *1834:37 *2759:68 1.90936e-05 -29 *1834:37 *2780:259 0.000892592 -30 *1834:37 *3578:118 0.000407894 -31 *1834:37 *3959:8 1.90936e-05 -32 *1834:37 *4049:38 0.000228721 -33 *1834:37 *4076:24 0.000178236 -34 *1834:37 *4076:48 0.00104509 -35 *1834:37 *6258:12 0.000378833 -36 *1834:49 *25146:B2 0.000997398 -37 *1834:49 *27400:B2 0 -38 *1834:49 *27409:A 0.000210439 -39 *1834:49 *27605:A2 0.000247229 -40 *1834:49 *27755:B1 0.000161614 -41 *1834:49 *28494:CLK 0.000259921 -42 *1834:49 *3179:186 0.000186462 -43 *1834:49 *3312:19 7.69776e-06 -44 *1834:49 *3849:30 0.000233307 -45 *1834:49 *3901:63 0.000594363 -46 *1834:49 *3914:34 2.66789e-06 -47 *1834:49 *3953:12 8.7509e-05 -48 *1834:49 *4076:48 0.000352301 -49 *1834:49 *5587:44 9.62329e-05 -50 *1834:49 *5601:175 2.01997e-05 -51 *1834:49 *5643:269 9.04937e-05 -52 *1834:49 *5644:237 6.37296e-05 -53 *1834:49 *5644:250 0.000217194 -54 *1834:49 *5645:156 1.30325e-05 -55 *1834:49 *5836:298 0.000414013 -56 *1834:49 *5836:300 4.45714e-05 -57 *1834:49 *6258:12 0.00142039 -58 *1834:61 *25130:A1 0.000156241 -59 *1834:61 *3552:22 4.70487e-05 -60 *1834:61 *3953:28 1.50087e-05 -61 *1834:61 *5587:44 0.000544203 -62 *1834:61 *5644:237 0.000362878 -63 *1834:64 *3215:242 0.000132954 -64 *1834:64 *3753:84 6.28248e-05 -65 *1834:64 *5587:44 0.000109443 -66 *1834:64 *5836:284 6.10402e-05 -67 *1834:68 *3305:8 6.15679e-05 -68 *1834:68 *4063:43 0.000236316 -69 *1834:68 *4133:77 0.000892537 -70 *1834:68 *5587:44 0.000147552 -71 *1834:70 *3305:8 5.33679e-05 -72 *1834:70 *3988:44 0.000764556 -73 *1834:70 *4063:43 3.0999e-05 -74 *1834:70 *4063:48 0.0030486 -75 *1834:70 *4133:92 0.00162944 -76 *1834:84 *25394:B2 0.000146877 -77 *1834:84 *1844:16 0.000237795 -78 *1834:84 *3183:167 0.000130949 -79 *1834:84 *3875:73 5.60463e-06 -80 *1834:84 *3907:76 0.00185925 -81 *1834:84 *5583:22 4.6025e-06 -82 *1834:84 *5626:99 0.000339871 -83 *1834:84 *5655:150 0.00025249 -84 *25190:C1 *1834:49 1.67647e-05 -85 *25250:B1 *1834:64 4.88277e-05 -86 *25251:D *1834:64 0 -87 *25383:C1 *1834:37 4.50033e-05 -88 *25677:B2 *25677:B1 1.97695e-05 -89 *28842:A *1834:68 0.000126736 -90 *1218:29 *1834:84 5.7811e-07 -91 *1249:67 *1834:68 0.000132532 -92 *1253:50 *1834:84 0.000119924 -93 *1267:65 *1834:64 2.16719e-05 -94 *1274:59 *1834:68 0.00114912 -95 *1274:59 *1834:70 4.75152e-06 -96 *1274:221 *1834:64 0.00121712 -97 *1279:44 *1834:70 6.42562e-05 -98 *1279:44 *1834:84 4.28249e-05 -99 *1279:61 *1834:84 8.74335e-05 -100 *1283:9 *25711:B1 4.58194e-05 -101 *1284:19 *1834:70 0.000182486 -102 *1284:43 *1834:70 0.000296293 -103 *1292:24 *1834:68 0.0012031 -104 *1292:35 *1834:64 9.12201e-05 -105 *1292:35 *1834:68 0.000416007 -106 *1292:65 *1834:61 5.11642e-05 -107 *1292:65 *1834:64 0.000475089 -108 *1292:81 *1834:61 0.00110444 -109 *1293:65 *1834:68 0.000795316 -110 *1293:75 *1834:64 0.00125074 -111 *1293:75 *1834:68 0.000405291 -112 *1433:29 *1834:61 0.000402328 -113 *1449:44 *1834:68 4.73471e-05 -114 *1449:62 *1834:68 6.10163e-05 -115 *1449:62 *1834:70 0.00151472 -116 *1449:74 *1834:70 0.0022492 -117 *1467:27 *1834:49 2.7496e-06 -118 *1505:16 *1834:84 0.00122982 -119 *1557:16 *1834:61 8.96293e-05 -120 *1626:27 *1834:64 1.09026e-05 -*RES -1 *25676:X *1834:9 23.3357 -2 *1834:9 *1834:14 17 -3 *1834:14 *1834:37 49.1473 -4 *1834:37 *1834:49 40.6205 -5 *1834:49 *1834:61 28.7602 -6 *1834:61 *1834:64 26.7679 -7 *1834:64 *1834:68 43.6161 -8 *1834:68 *1834:70 66.4554 -9 *1834:70 *1834:84 43.7557 -10 *1834:84 *25694:B1 9.3 -11 *1834:14 *25711:B1 14.7464 -12 *1834:9 *25677:B1 17.2464 -*END - -*D_NET *1835 0.0540056 -*CONN -*I *25689:S I *D sky130_fd_sc_hd__mux2_1 -*I *25685:S I *D sky130_fd_sc_hd__mux2_1 -*I *25683:S I *D sky130_fd_sc_hd__mux2_1 -*I *25679:S I *D sky130_fd_sc_hd__mux2_1 -*I *25681:S I *D sky130_fd_sc_hd__mux2_1 -*I *25693:S I *D sky130_fd_sc_hd__mux2_1 -*I *25691:S I *D sky130_fd_sc_hd__mux2_1 -*I *25687:S I *D sky130_fd_sc_hd__mux2_1 -*I *25677:X O *D sky130_fd_sc_hd__o221a_4 -*CAP -1 *25689:S 2.04426e-05 -2 *25685:S 0 -3 *25683:S 0 -4 *25679:S 0.00095448 -5 *25681:S 3.72884e-05 -6 *25693:S 7.09987e-05 -7 *25691:S 8.85408e-05 -8 *25687:S 0 -9 *25677:X 0 -10 *1835:104 0.00156716 -11 *1835:99 0.00183819 -12 *1835:90 0.00152837 -13 *1835:84 0.00333207 -14 *1835:54 0.00341163 -15 *1835:40 0.000991253 -16 *1835:35 0.00244449 -17 *1835:16 0.0021967 -18 *1835:6 0.00220471 -19 *1835:5 0.00180347 -20 *25679:S *25679:A1 3.69047e-06 -21 *25679:S *25736:S 0 -22 *25679:S *29589:A 0.000194928 -23 *25679:S *30841:A 0.000144224 -24 *25679:S *3538:92 0.000631519 -25 *25679:S *3796:177 0 -26 *25689:S *5397:35 2.59355e-05 -27 *25689:S *5458:67 5.33005e-05 -28 *25691:S *28176:D 4.53699e-05 -29 *25691:S *5175:25 8.15941e-05 -30 *25693:S *25693:A0 1.07928e-05 -31 *25693:S *26858:S 1.94879e-05 -32 *25693:S *4229:27 1.94879e-05 -33 *25693:S *5175:25 4.58194e-05 -34 *25693:S *6252:13 4.87953e-05 -35 *1835:6 *25544:S 0 -36 *1835:6 *27169:S 0.000933593 -37 *1835:6 *28174:D 0 -38 *1835:6 *28638:D 0.00012116 -39 *1835:6 *28751:D 1.08359e-05 -40 *1835:6 *28751:SET_B 0.000108881 -41 *1835:6 *2779:26 4.6392e-05 -42 *1835:6 *3602:35 0 -43 *1835:6 *3602:40 0 -44 *1835:6 *3907:101 2.45881e-05 -45 *1835:6 *4031:18 0.000944053 -46 *1835:6 *5397:23 0.00053661 -47 *1835:6 *5772:22 0.000397468 -48 *1835:6 *5772:34 0.000273838 -49 *1835:6 *5772:40 0.000384518 -50 *1835:6 *5777:94 3.97273e-05 -51 *1835:6 *5828:17 0 -52 *1835:6 *5879:188 0.00013059 -53 *1835:6 *6247:6 0 -54 *1835:6 *6269:12 0.000549773 -55 *1835:16 *25731:A1 0 -56 *1835:16 *28174:D 3.37173e-05 -57 *1835:16 *28208:D 0.000144215 -58 *1835:16 *3602:24 0.000268403 -59 *1835:16 *5397:23 6.24392e-05 -60 *1835:16 *5460:8 9.41642e-05 -61 *1835:35 *24836:A 0.000961599 -62 *1835:35 *25687:A1 0.000122494 -63 *1835:35 *28208:D 4.15526e-05 -64 *1835:35 *29904:A 0.00163153 -65 *1835:35 *30847:A 0.000228558 -66 *1835:35 *3874:102 0.000357054 -67 *1835:35 *5408:21 1.21289e-05 -68 *1835:35 *5457:30 0 -69 *1835:35 *5460:23 0.000121573 -70 *1835:35 *5463:14 0.000412464 -71 *1835:35 *5770:27 0.000103646 -72 *1835:35 *6269:11 0.00122623 -73 *1835:40 *25691:A1 0.000630922 -74 *1835:40 *3874:102 0 -75 *1835:40 *4229:27 0.000108891 -76 *1835:40 *5446:10 0 -77 *1835:40 *5460:23 7.90803e-05 -78 *1835:40 *5463:14 0.000814234 -79 *1835:54 *4229:27 0.000651784 -80 *1835:54 *5463:14 0.000739433 -81 *1835:84 *24832:A 6.25005e-05 -82 *1835:84 *26862:A1 1.15359e-05 -83 *1835:84 *26864:A0 7.48301e-06 -84 *1835:84 *28177:D 5.58004e-06 -85 *1835:84 *29409:A 0.00161779 -86 *1835:84 *29949:A 0.0019009 -87 *1835:84 *30889:A 2.51133e-05 -88 *1835:84 *4229:27 4.53351e-05 -89 *1835:84 *5274:20 0.00018372 -90 *1835:84 *5453:13 1.21317e-05 -91 *1835:84 *5463:14 0.00143333 -92 *1835:84 *5588:85 0.0012482 -93 *1835:84 *6040:20 0.00122029 -94 *1835:84 *6241:9 5.06983e-05 -95 *1835:84 *6252:13 0.000116749 -96 *1835:84 *6262:14 0.000655023 -97 *1835:84 *6285:21 0.00157078 -98 *1835:90 *25684:A1 0.000175512 -99 *1835:90 *25685:A1 5.52238e-05 -100 *1835:90 *25739:A0 0.000340114 -101 *1835:90 *30863:A 0.000417811 -102 *1835:90 *5847:8 0.00020785 -103 *1835:90 *5898:17 9.80173e-05 -104 *1835:90 *6228:10 0 -105 *1835:99 *3796:177 0.000101527 -106 *1835:99 *5461:32 0 -107 *1835:99 *5462:70 4.11218e-05 -108 *1835:99 *5463:14 0 -109 *1835:99 *5914:6 0 -110 *1835:104 *25683:A1 0.000376192 -111 *1835:104 *3796:177 0.000923567 -112 mgmt_gpio_oeb[17] *25679:S 4.70981e-05 -113 mgmt_gpio_oeb[19] *1835:99 0 -114 mgmt_gpio_oeb[22] *1835:35 0.000145019 -115 mgmt_gpio_oeb[23] *1835:35 4.43256e-05 -116 mgmt_gpio_oeb[24] *1835:35 0 -117 mgmt_gpio_out[17] *25679:S 0.000115688 -118 mgmt_gpio_out[18] *1835:104 4.50149e-05 -119 mgmt_gpio_out[22] *1835:35 0.000382291 -120 mgmt_gpio_out[23] *1835:35 0 -121 mgmt_gpio_out[23] *1835:40 0 -122 *29085:A *1835:84 8.28506e-05 -123 *29143:A *1835:6 0.000127446 -124 *29681:A *1835:6 0 -125 *29681:A *1835:16 0.000141907 -126 *29681:A *1835:35 3.39147e-05 -127 *29939:A *1835:84 8.9168e-05 -128 *30267:A *1835:6 0 -129 *30700:A *25679:S 9.5171e-05 -130 *30700:A *1835:104 0.000974331 -131 *30701:A *1835:99 0.000172657 -132 *30707:A *1835:35 0 -133 *30708:A *1835:35 8.6229e-06 -134 *523:10 *25691:S 5.33005e-05 -135 *523:10 *1835:84 0.000873974 -136 *1180:25 *1835:35 0.000243851 -137 *1696:10 *1835:6 0.000261964 -*RES -1 *25677:X *1835:5 13.8 -2 *1835:5 *1835:6 50.6696 -3 *1835:6 *1835:16 17.1875 -4 *1835:16 *25687:S 13.8 -5 *1835:16 *1835:35 37.779 -6 *1835:35 *1835:40 10.1196 -7 *1835:40 *25691:S 19.0582 -8 *1835:40 *1835:54 1.20007 -9 *1835:54 *25693:S 18.8618 -10 *1835:54 *1835:84 49.0936 -11 *1835:84 *1835:90 18.1332 -12 *1835:90 *1835:99 16.8715 -13 *1835:99 *1835:104 27.125 -14 *1835:104 *25681:S 9.83571 -15 *1835:104 *25679:S 41.1214 -16 *1835:99 *25683:S 13.8 -17 *1835:90 *25685:S 9.3 -18 *1835:35 *25689:S 14.3357 -*END - -*D_NET *1836 0.00129651 -*CONN -*I *25679:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25678:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *25679:A1 0.000479475 -2 *25678:X 0.000479475 -3 *25679:A1 *24841:A 8.00806e-05 -4 *25679:A1 *25679:A0 7.93335e-05 -5 *25679:A1 *28204:RESET_B 9.60875e-05 -6 *25679:A1 *29603:A 7.83659e-05 -7 *25679:S *25679:A1 3.69047e-06 -*RES -1 *25678:X *25679:A1 33.6893 -*END - -*D_NET *1837 0.000755503 -*CONN -*I *25681:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25680:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *25681:A1 0.000193116 -2 *25680:X 0.000193116 -3 *25681:A1 *25680:S 2.89114e-05 -4 *25681:A1 *25681:A0 0.000137983 -5 *25681:A1 *5461:32 0.000100831 -6 *25681:A1 *5893:113 0.000101545 -*RES -1 *25680:X *25681:A1 31.2429 -*END - -*D_NET *1838 0.00252743 -*CONN -*I *25683:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25682:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *25683:A1 0.000797201 -2 *25682:X 0.000797201 -3 *25683:A1 *6554:DIODE 8.53409e-05 -4 *25683:A1 *25682:S 0.000271345 -5 *25683:A1 *5461:32 0 -6 *25683:A1 *5893:113 0 -7 mgmt_gpio_out[18] *25683:A1 4.70981e-05 -8 *30564:A *25683:A1 0 -9 *30700:A *25683:A1 0.000153047 -10 *1835:104 *25683:A1 0.000376192 -*RES -1 *25682:X *25683:A1 43.6 -*END - -*D_NET *1839 0.000701756 -*CONN -*I *25685:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25684:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *25685:A1 0.000145492 -2 *25684:X 0.000145492 -3 *25685:A1 *25684:A0 0 -4 *25685:A1 *25739:A0 0.000229456 -5 *25685:A1 *25739:S 1.59312e-05 -6 *25685:A1 *30863:A 5.91336e-05 -7 *25685:A1 *5462:70 5.10282e-05 -8 *1835:90 *25685:A1 5.52238e-05 -*RES -1 *25684:X *25685:A1 31.725 -*END - -*D_NET *1840 0.00395262 -*CONN -*I *25687:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25686:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *25687:A1 0.00055636 -2 *25686:X 0.00055636 -3 *25687:A1 *25686:A0 3.67142e-05 -4 *25687:A1 *28175:CLK 0.000534229 -5 *25687:A1 *28175:RESET_B 0.000122874 -6 *25687:A1 *30424:A 0.000481562 -7 *25687:A1 *4786:21 1.51282e-05 -8 *25687:A1 *5769:149 4.78056e-05 -9 *25687:A1 *5769:163 0 -10 *25687:A1 *5770:30 0 -11 *25687:A1 *6269:11 3.43988e-06 -12 *30704:A *25687:A1 7.51399e-05 -13 *56:13 *25687:A1 2.56601e-05 -14 *247:17 *25687:A1 3.07347e-05 -15 *1180:25 *25687:A1 0.00134412 -16 *1835:35 *25687:A1 0.000122494 -*RES -1 *25686:X *25687:A1 46.0821 -*END - -*D_NET *1841 0.0044944 -*CONN -*I *25689:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25688:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *25689:A1 0.00137498 -2 *25688:X 0.00137498 -3 *25689:A1 *25741:A1 0.000188586 -4 *25689:A1 *28176:RESET_B 3.92854e-05 -5 *25689:A1 *30885:A 7.50601e-05 -6 *25689:A1 *3874:102 0 -7 *25689:A1 *5172:41 0.000839893 -8 *25689:A1 *5397:35 0.000573176 -9 *25689:A1 *5588:45 0 -10 mgmt_gpio_out[22] *25689:A1 2.84494e-05 -11 *30707:A *25689:A1 0 -*RES -1 *25688:X *25689:A1 48.5286 -*END - -*D_NET *1842 0.00239533 -*CONN -*I *25691:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25690:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *25691:A1 0.000449968 -2 *25690:X 0.000449968 -3 *25691:A1 *25742:A1 1.63058e-05 -4 *25691:A1 *3874:102 0 -5 *25691:A1 *4229:27 0.000625083 -6 *25691:A1 *5175:25 7.22263e-05 -7 *25691:A1 *5446:10 0 -8 *25691:A1 *5463:14 7.30877e-05 -9 *25691:A1 *5588:63 2.06178e-05 -10 *25691:A1 *6252:13 5.71472e-05 -11 *554:20 *25691:A1 0 -12 *1835:40 *25691:A1 0.000630922 -*RES -1 *25690:X *25691:A1 38.7451 -*END - -*D_NET *1843 0.00211472 -*CONN -*I *25693:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25692:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *25693:A1 0.000394148 -2 *25692:X 0.000394148 -3 *25693:A1 *25693:A0 6.24525e-05 -4 *25693:A1 *28451:RESET_B 1.94945e-05 -5 *25693:A1 *3848:95 0.000467605 -6 *25693:A1 *5172:23 9.41642e-05 -7 *25693:A1 *5175:25 0.000174621 -8 *25693:A1 *5829:19 5.71472e-05 -9 *25693:A1 *6011:17 0 -10 *25693:A1 *6252:13 0.000135943 -11 *29373:A *25693:A1 2.03997e-05 -12 *59:21 *25693:A1 0.000294597 -*RES -1 *25692:X *25693:A1 37.7786 -*END - -*D_NET *1844 0.0952197 -*CONN -*I *25706:S I *D sky130_fd_sc_hd__mux2_1 -*I *25700:S I *D sky130_fd_sc_hd__mux2_1 -*I *25702:S I *D sky130_fd_sc_hd__mux2_1 -*I *25710:S I *D sky130_fd_sc_hd__mux2_1 -*I *25704:S I *D sky130_fd_sc_hd__mux2_1 -*I *25698:S I *D sky130_fd_sc_hd__mux2_1 -*I *25708:S I *D sky130_fd_sc_hd__mux2_1 -*I *25696:S I *D sky130_fd_sc_hd__mux2_1 -*I *25694:X O *D sky130_fd_sc_hd__o221a_4 -*CAP -1 *25706:S 0.000583155 -2 *25700:S 0 -3 *25702:S 2.52056e-05 -4 *25710:S 0.000354682 -5 *25704:S 6.45944e-05 -6 *25698:S 0 -7 *25708:S 2.50978e-05 -8 *25696:S 0.00100474 -9 *25694:X 0 -10 *1844:189 0.00128899 -11 *1844:183 0.00143586 -12 *1844:163 0.00134151 -13 *1844:160 0.00301818 -14 *1844:146 0.00495508 -15 *1844:138 0.00549907 -16 *1844:120 0.00385271 -17 *1844:104 0.00389592 -18 *1844:68 0.00121879 -19 *1844:66 0.00156386 -20 *1844:59 0.00194639 -21 *1844:49 0.00207076 -22 *1844:44 0.00343438 -23 *1844:26 0.00474746 -24 *1844:16 0.00419951 -25 *1844:4 0.00365919 -26 *25696:S *25577:B 0.000530045 -27 *25696:S *25695:A1 1.41029e-05 -28 *25696:S *25745:A1 0.000202842 -29 *25696:S *28178:RESET_B 0 -30 *25696:S *29599:A 2.03439e-05 -31 *25696:S *5680:371 0.000425923 -32 *25696:S *5732:18 0 -33 *25696:S *6014:20 0.000566348 -34 *25696:S *6014:26 7.47029e-06 -35 *25696:S *6092:11 0.000174693 -36 *25696:S *6092:18 1.18358e-05 -37 *25696:S *6103:20 0.000170654 -38 *25696:S *6103:34 0.000120767 -39 *25702:S *1864:187 5.52302e-05 -40 *25706:S *6562:DIODE 0.000125877 -41 *25706:S *25750:S 5.49995e-05 -42 *25706:S *5625:8 0.000109472 -43 *25706:S *5936:6 0.000163906 -44 *25706:S *5999:5 0.000594966 -45 *25708:S *25577:B 5.49995e-05 -46 *25710:S *28185:D 0.000210583 -47 *25710:S *5583:170 0 -48 *25710:S *5592:10 0.000944728 -49 *25710:S *5700:271 0 -50 *1844:16 *25694:A2 5.33005e-05 -51 *1844:16 *3220:44 0.000332342 -52 *1844:16 *5583:37 0.000184327 -53 *1844:16 *5655:150 0.000112883 -54 *1844:26 *25074:A 0.000187594 -55 *1844:26 *25244:A1 7.46501e-05 -56 *1844:26 *25694:A2 2.66855e-05 -57 *1844:26 *27530:A1 4.82354e-05 -58 *1844:26 *27879:A2 0.000175892 -59 *1844:26 *28159:D 5.74499e-06 -60 *1844:26 *2848:85 0.000119552 -61 *1844:26 *2866:167 4.65519e-05 -62 *1844:26 *2877:58 0.000306289 -63 *1844:26 *2889:272 1.90936e-05 -64 *1844:26 *2891:60 2.02794e-05 -65 *1844:26 *3101:17 4.926e-05 -66 *1844:26 *3124:15 8.76591e-06 -67 *1844:26 *3666:68 2.98389e-05 -68 *1844:26 *3726:32 2.1051e-05 -69 *1844:26 *3776:90 2.63501e-05 -70 *1844:26 *3832:42 0.000262757 -71 *1844:26 *5650:122 9.41642e-05 -72 *1844:26 *5718:183 9.84174e-05 -73 *1844:44 *27529:A1 0.000651641 -74 *1844:44 *27529:B2 0.000177596 -75 *1844:44 *27871:B1 0.000806944 -76 *1844:44 *30431:A 0.000116226 -77 *1844:44 *1872:31 3.75199e-05 -78 *1844:44 *3414:20 9.15842e-06 -79 *1844:44 *3897:32 2.7651e-05 -80 *1844:44 *4142:9 2.23393e-05 -81 *1844:44 *5727:281 3.28024e-05 -82 *1844:49 *25698:A0 0.000555953 -83 *1844:49 *28291:CLK 0.000165461 -84 *1844:49 *28291:D 0.000207457 -85 *1844:49 *3594:53 0.00014436 -86 *1844:59 *25698:A0 2.85321e-05 -87 *1844:59 *25698:A1 0.000497466 -88 *1844:59 *1862:36 5.01707e-05 -89 *1844:59 *1864:70 0.000124875 -90 *1844:59 *1864:89 0.000206905 -91 *1844:59 *5007:16 4.88515e-05 -92 *1844:66 *25546:A1 0.000385012 -93 *1844:66 *25549:A0 0.000260256 -94 *1844:66 *25549:S 0.000169939 -95 *1844:66 *25653:A0 9.63838e-05 -96 *1844:66 *25654:A0 0.000456284 -97 *1844:66 *25654:A1 0.000387432 -98 *1844:66 *25732:A1 4.57445e-05 -99 *1844:66 *29368:A 1.17968e-05 -100 *1844:66 *1862:36 0.000459684 -101 *1844:66 *1864:70 0 -102 *1844:66 *5739:170 0 -103 *1844:66 *6069:42 0.000962205 -104 *1844:66 *6069:44 0.00036516 -105 *1844:66 *6092:18 8.81792e-05 -106 *1844:66 *6103:34 0.00159044 -107 *1844:68 *5680:371 8.5811e-05 -108 *1844:68 *6103:34 0.000200808 -109 *1844:104 *25209:B2 1.44522e-05 -110 *1844:104 *2877:51 0.00030754 -111 *1844:104 *5583:37 0.00032692 -112 *1844:120 *25258:A1 0.000157396 -113 *1844:120 *25258:A2 0.000833992 -114 *1844:120 *25258:B2 5.49248e-05 -115 *1844:120 *27357:B1 2.43153e-05 -116 *1844:120 *27357:B2 3.60756e-05 -117 *1844:120 *2877:51 0.000836728 -118 *1844:120 *2889:34 3.42184e-05 -119 *1844:120 *3751:44 0.000135028 -120 *1844:120 *5638:68 0.000589751 -121 *1844:138 *27357:A1 2.06778e-05 -122 *1844:138 *27357:A2 0.000466609 -123 *1844:138 *27357:B1 1.64271e-05 -124 *1844:138 *27357:B2 1.86552e-05 -125 *1844:138 *27716:B2 0.000468644 -126 *1844:138 *28696:D 0 -127 *1844:138 *28721:D 0.000298483 -128 *1844:138 *2889:151 0.000788356 -129 *1844:138 *3205:189 0.000339346 -130 *1844:138 *3538:24 4.09646e-05 -131 *1844:138 *3669:20 2.47508e-05 -132 *1844:138 *3968:20 2.02794e-05 -133 *1844:138 *3990:11 0.000205198 -134 *1844:138 *5644:158 0.00110783 -135 *1844:138 *6351:37 0.000594888 -136 *1844:146 *28733:D 9.37808e-05 -137 *1844:146 *28733:RESET_B 8.61957e-05 -138 *1844:146 *2885:66 6.20788e-05 -139 *1844:146 *2889:151 0.000726038 -140 *1844:146 *5755:78 2.10598e-05 -141 *1844:160 *25705:A0 3.97677e-05 -142 *1844:160 *25705:A1 1.00073e-05 -143 *1844:160 *25705:S 0.000137983 -144 *1844:160 *25706:A1 0.000385089 -145 *1844:160 *5606:81 3.40067e-05 -146 *1844:160 *5755:78 0.000553912 -147 *1844:160 *5755:92 0.000382821 -148 *1844:163 *5625:8 0.000233378 -149 *1844:163 *5936:6 0.00129853 -150 *1844:163 *5947:14 0.00193269 -151 *1844:183 *30864:A 5.58875e-06 -152 *1844:183 *1864:178 0.00010066 -153 *1844:183 *5583:156 0.000185077 -154 *1844:183 *5625:8 0.000593812 -155 *1844:183 *5936:6 0.000139727 -156 *1844:183 *5947:14 0.000609932 -157 *1844:189 *25699:A0 3.80904e-05 -158 *1844:189 *25700:A1 0.000653983 -159 *1844:189 *28181:RESET_B 0.00079406 -160 *1844:189 *1864:178 0.000112936 -161 *1844:189 *1864:187 0.000987555 -162 *1844:189 *4232:13 0 -163 *1844:189 *5583:156 9.11365e-06 -164 *1844:189 *5592:10 0.000377727 -165 *1844:189 *5753:119 9.4736e-05 -166 *1844:189 *5981:19 0.000202835 -167 *1844:189 *6150:18 2.16719e-05 -168 mgmt_gpio_oeb[1] *25710:S 2.62984e-05 -169 mgmt_gpio_oeb[2] *1844:189 0 -170 mgmt_gpio_oeb[3] *1844:183 0 -171 mgmt_gpio_oeb[4] *1844:163 0.000115485 -172 mgmt_gpio_out[1] *1844:189 6.20635e-05 -173 mgmt_gpio_out[2] *1844:189 4.70981e-05 -174 mgmt_gpio_out[4] *1844:163 4.50149e-05 -175 *25074:B *1844:26 1.98839e-05 -176 *25209:A2 *1844:104 8.42217e-05 -177 *25209:B1 *1844:104 0.000259318 -178 *25209:C1 *1844:104 7.56493e-05 -179 *25217:D *1844:104 0.00012357 -180 *25244:C1 *1844:26 6.92285e-05 -181 *25300:A2 *1844:26 0.000145288 -182 *25303:A *1844:26 2.59355e-05 -183 *25303:C *1844:26 0.00150158 -184 *25313:A *1844:26 0.000669609 -185 *25556:A0 *1844:66 0 -186 *25556:A0 *1844:68 0 -187 *28085:RESET_B *1844:44 0.000582002 -188 *28168:RESET_B *1844:49 0.000719054 -189 *28168:RESET_B *1844:59 5.50052e-05 -190 *29259:A *25696:S 0.000228558 -191 *29776:A *1844:138 9.58217e-05 -192 *29930:A *25706:S 5.4864e-05 -193 *30227:A *1844:26 2.84026e-05 -194 *30400:A *1844:49 5.66971e-05 -195 *30408:A *1844:138 0.000479502 -196 *30408:A *1844:146 7.57649e-05 -197 *30724:A *1844:183 4.04934e-05 -198 *394:14 *1844:59 0.000294972 -199 *1227:26 *1844:16 8.65588e-05 -200 *1252:26 *1844:16 2.02794e-05 -201 *1257:26 *1844:16 0.000107157 -202 *1263:36 *1844:16 0.000418985 -203 *1270:114 *1844:160 2.51343e-06 -204 *1276:43 *1844:16 8.49641e-05 -205 *1280:6 *1844:104 0.000125149 -206 *1287:51 *1844:59 0.000694599 -207 *1289:27 *1844:104 9.58181e-05 -208 *1339:34 *1844:160 0.000133783 -209 *1352:19 *1844:160 8.58427e-05 -210 *1362:96 *1844:26 4.82865e-05 -211 *1371:25 *1844:189 0 -212 *1395:8 *1844:26 0.000216755 -213 *1423:86 *1844:26 0.000202144 -214 *1456:82 *1844:44 0.000221842 -215 *1480:17 *1844:16 2.01997e-05 -216 *1644:36 *1844:16 1.94945e-05 -217 *1748:20 *1844:44 0.000313436 -218 *1748:26 *1844:49 0.00012197 -219 *1763:22 *1844:44 0.000418739 -220 *1828:42 *1844:44 0.000110376 -221 *1830:23 *1844:59 0.0002383 -222 *1834:84 *1844:16 0.000237795 -*RES -1 *25694:X *1844:4 9.3 -2 *1844:4 *1844:16 22.4429 -3 *1844:16 *1844:26 48.515 -4 *1844:26 *1844:44 43.3147 -5 *1844:44 *1844:49 25.2143 -6 *1844:49 *1844:59 32.2143 -7 *1844:59 *1844:66 44.9732 -8 *1844:66 *1844:68 5.04464 -9 *1844:68 *25696:S 39.925 -10 *1844:68 *25708:S 14.3357 -11 *1844:49 *25698:S 9.3 -12 *1844:4 *1844:104 36.4062 -13 *1844:104 *1844:120 29.6429 -14 *1844:120 *1844:138 43.0902 -15 *1844:138 *1844:146 39.0982 -16 *1844:146 *1844:160 41.8214 -17 *1844:160 *1844:163 36.8036 -18 *1844:163 *25704:S 10.2464 -19 *1844:163 *1844:183 25.4238 -20 *1844:183 *1844:189 32.6607 -21 *1844:189 *25710:S 25.7643 -22 *1844:189 *25702:S 14.3357 -23 *1844:183 *25700:S 13.8 -24 *1844:160 *25706:S 27.3536 -*END - -*D_NET *1845 0.00166929 -*CONN -*I *25696:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25695:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *25696:A1 0.000548417 -2 *25695:X 0.000548417 -3 *25696:A1 *4183:24 7.83659e-05 -4 *25696:A1 *4809:5 0.000255136 -5 *25696:A1 *6092:11 0.000139913 -6 *25696:A1 *6092:18 9.90431e-05 -*RES -1 *25695:X *25696:A1 35.4571 -*END - -*D_NET *1846 0.00239055 -*CONN -*I *25698:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25697:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *25698:A1 0.000480826 -2 *25697:X 0.000480826 -3 *25698:A1 *1862:36 0.000497466 -4 *25698:A1 *4163:93 0.000433968 -5 *1844:59 *25698:A1 0.000497466 -*RES -1 *25697:X *25698:A1 38.3321 -*END - -*D_NET *1847 0.00214499 -*CONN -*I *25700:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25699:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *25700:A1 0.000389136 -2 *25699:X 0.000389136 -3 *25700:A1 *25699:A0 0.000515037 -4 *25700:A1 *5583:156 4.87953e-05 -5 *25700:A1 *6150:18 0.000148903 -6 *1844:189 *25700:A1 0.000653983 -*RES -1 *25699:X *25700:A1 38.1 -*END - -*D_NET *1848 0.00154205 -*CONN -*I *25702:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25701:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *25702:A1 0.000347804 -2 *25701:X 0.000347804 -3 *25702:A1 *25701:A0 0.00014285 -4 *25702:A1 *25702:A0 5.52238e-05 -5 *25702:A1 *1864:187 1.98839e-05 -6 *25702:A1 *5592:10 0.000457962 -7 *25702:A1 *5659:32 0 -8 *25702:A1 *5753:138 0.000170523 -*RES -1 *25701:X *25702:A1 35.7964 -*END - -*D_NET *1849 0.000757445 -*CONN -*I *25704:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25703:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *25704:A1 0.000210592 -2 *25703:X 0.000210592 -3 *25704:A1 *25704:A0 0.000177815 -4 *25704:A1 *5465:37 7.83659e-05 -5 *25704:A1 *5659:32 8.00806e-05 -*RES -1 *25703:X *25704:A1 30.8143 -*END - -*D_NET *1850 0.00237771 -*CONN -*I *25706:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25705:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *25706:A1 0.000599445 -2 *25705:X 0.000599445 -3 *25706:A1 *28183:CLK 0.000146392 -4 *25706:A1 *3631:42 0.000126258 -5 *25706:A1 *5999:5 0.00026023 -6 *1326:72 *25706:A1 0.000260847 -7 *1844:160 *25706:A1 0.000385089 -*RES -1 *25705:X *25706:A1 37.475 -*END - -*D_NET *1851 0.000618304 -*CONN -*I *25708:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25707:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *25708:A1 0.000145683 -2 *25707:X 0.000145683 -3 *25708:A1 *6501:DIODE 2.59355e-05 -4 *25708:A1 *25707:A1 4.43256e-05 -5 *25708:A1 *5680:371 0.000127478 -6 *25556:A0 *25708:A1 0.0001292 -*RES -1 *25707:X *25708:A1 30.6 -*END - -*D_NET *1852 0.00378803 -*CONN -*I *25710:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25709:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *25710:A1 0.000669789 -2 *25709:X 0.000669789 -3 *25710:A1 *25710:A0 0.000224596 -4 *25710:A1 *28181:D 0.000513585 -5 *25710:A1 *5583:170 0.000263524 -6 *25710:A1 *5583:178 0.000262498 -7 *25710:A1 *5926:122 0.0007912 -8 *30320:A *25710:A1 0.000175519 -9 *1371:19 *25710:A1 0.000217525 -*RES -1 *25709:X *25710:A1 47.4393 -*END - -*D_NET *1853 0.0639163 -*CONN -*I *25723:S I *D sky130_fd_sc_hd__mux2_1 -*I *25727:S I *D sky130_fd_sc_hd__mux2_1 -*I *25715:S I *D sky130_fd_sc_hd__mux2_1 -*I *25713:S I *D sky130_fd_sc_hd__mux2_1 -*I *25719:S I *D sky130_fd_sc_hd__mux2_1 -*I *25721:S I *D sky130_fd_sc_hd__mux2_1 -*I *25717:S I *D sky130_fd_sc_hd__mux2_1 -*I *25725:S I *D sky130_fd_sc_hd__mux2_1 -*I *25711:X O *D sky130_fd_sc_hd__o221a_4 -*CAP -1 *25723:S 0 -2 *25727:S 0.000929454 -3 *25715:S 0 -4 *25713:S 0.000218228 -5 *25719:S 0.000728756 -6 *25721:S 0.000191569 -7 *25717:S 0.000762363 -8 *25725:S 0.000600318 -9 *25711:X 0.00278425 -10 *1853:130 0.000218228 -11 *1853:106 0.000937257 -12 *1853:96 0.000779294 -13 *1853:94 0.00185708 -14 *1853:77 0.00349736 -15 *1853:64 0.00594936 -16 *1853:38 0.00620669 -17 *1853:19 0.00501099 -18 *25713:S *1855:11 3.63587e-05 -19 *25713:S *5692:17 0.000510824 -20 *25713:S *5929:17 5.23296e-05 -21 *25717:S *2768:204 0.000117861 -22 *25717:S *3692:8 0.000969953 -23 *25717:S *5432:11 0.000304663 -24 *25717:S *5586:144 5.6912e-05 -25 *25717:S *5586:152 0.0010354 -26 *25719:S *28193:CLK 5.64097e-05 -27 *25719:S *28193:D 0.000239506 -28 *25719:S *30837:A 1.56e-05 -29 *25719:S *1857:17 8.85631e-05 -30 *25719:S *5432:11 0.000176234 -31 *25719:S *5586:144 8.89385e-05 -32 *25721:S *28516:RESET_B 0.000135028 -33 *25721:S *2776:201 1.39726e-05 -34 *25721:S *6206:11 5.33005e-05 -35 *25725:S *25725:A1 0.000258991 -36 *25725:S *28196:RESET_B 6.32129e-05 -37 *25725:S *28713:RESET_B 5.61874e-05 -38 *25725:S *2791:45 0 -39 *25725:S *3967:18 0.000223403 -40 *25725:S *3978:21 0 -41 *25725:S *5638:195 5.01876e-06 -42 *25725:S *5682:44 3.39451e-05 -43 *25725:S *5683:96 0.000388755 -44 *25725:S *5683:103 0.000321498 -45 *25725:S *5774:146 3.13225e-05 -46 *25725:S *5905:16 0 -47 *25727:S *28465:RESET_B 6.0038e-05 -48 *25727:S *28605:D 0.000170048 -49 *25727:S *2764:92 0.000137321 -50 *25727:S *2767:122 0.000172152 -51 *25727:S *4222:21 0.000209448 -52 *25727:S *5589:135 9.60669e-05 -53 *25727:S *5696:39 0.000112667 -54 *1853:19 *25112:A2 2.09826e-05 -55 *1853:19 *25711:A2 5.33005e-05 -56 *1853:19 *29293:A 9.41642e-05 -57 *1853:19 *2759:43 0.000310292 -58 *1853:19 *2768:107 0 -59 *1853:19 *2771:84 0.00274371 -60 *1853:19 *2788:97 0.000112483 -61 *1853:19 *2788:105 0.000764054 -62 *1853:19 *4043:54 1.94879e-05 -63 *1853:19 *5638:162 0.000531938 -64 *1853:19 *5702:29 2.11419e-05 -65 *1853:19 *5777:103 0 -66 *1853:38 *25629:A0 6.31055e-05 -67 *1853:38 *2759:31 0.00255505 -68 *1853:38 *2776:231 0.00051524 -69 *1853:38 *2779:67 6.25005e-05 -70 *1853:38 *3606:39 6.43445e-05 -71 *1853:38 *3607:76 0.000146508 -72 *1853:38 *3607:87 0.000147652 -73 *1853:38 *3607:98 0.000107894 -74 *1853:38 *3783:41 0.000329841 -75 *1853:38 *3967:18 2.15573e-05 -76 *1853:38 *5629:217 0 -77 *1853:38 *5629:229 0 -78 *1853:38 *5638:162 0.0010908 -79 *1853:38 *5646:294 0.00031605 -80 *1853:38 *5695:99 4.79414e-05 -81 *1853:38 *5777:160 0.000492285 -82 *1853:64 *25048:A1 0.000445698 -83 *1853:64 *26923:A0 4.88901e-05 -84 *1853:64 *27004:S 0 -85 *1853:64 *2763:169 0.00221271 -86 *1853:64 *2767:142 9.60875e-05 -87 *1853:64 *2776:231 6.95811e-05 -88 *1853:64 *3153:300 6.82569e-05 -89 *1853:64 *3206:256 0.000154964 -90 *1853:64 *3339:22 0.00042433 -91 *1853:64 *3733:15 0.000341898 -92 *1853:64 *3839:24 0 -93 *1853:64 *3930:55 0.000385268 -94 *1853:64 *3967:18 9.18765e-06 -95 *1853:64 *4006:51 4.18305e-05 -96 *1853:64 *5905:9 6.46107e-05 -97 *1853:64 *6087:19 0 -98 *1853:77 *28458:CLK 6.74751e-05 -99 *1853:77 *2764:92 0.00035638 -100 *1853:77 *2764:94 0.000123605 -101 *1853:77 *2767:118 0.000670565 -102 *1853:77 *2767:122 0.00075754 -103 *1853:77 *2769:30 0.000306202 -104 *1853:77 *2773:46 0.000335534 -105 *1853:77 *2776:207 0.000142846 -106 *1853:77 *3757:13 1.86743e-05 -107 *1853:77 *4214:20 0.000153072 -108 *1853:77 *5602:100 1.79574e-05 -109 *1853:77 *5680:106 0.00159704 -110 *1853:77 *5696:39 0.000209801 -111 *1853:77 *5801:19 1.10149e-05 -112 *1853:94 *25381:B2 0.000385717 -113 *1853:94 *25721:A1 0.000685892 -114 *1853:94 *28458:CLK 0.000211056 -115 *1853:94 *2767:18 0.000441515 -116 *1853:94 *2767:118 0.00046577 -117 *1853:94 *2773:46 0.000266957 -118 *1853:94 *3617:144 5.59013e-05 -119 *1853:94 *4097:11 0.000105471 -120 *1853:94 *5680:129 0.00089661 -121 *1853:94 *5766:196 0.000216755 -122 *1853:94 *5824:306 0 -123 *1853:94 *5910:80 0.000387439 -124 *1853:106 *5432:11 4.38243e-05 -125 *1853:106 *5586:144 1.65337e-05 -126 mgmt_gpio_oeb[12] *25717:S 0.000115485 -127 mgmt_gpio_oeb[13] *1853:94 0 -128 mgmt_gpio_out[12] *25717:S 4.50149e-05 -129 *6424:DIODE *25727:S 6.86693e-05 -130 *25629:S *1853:38 0.000258137 -131 *29941:A *25719:S 0 -132 *29943:A *1853:64 0.000636189 -133 *30415:A *1853:19 7.31062e-05 -134 *30695:A *1853:94 5.95878e-05 -135 *244:193 *25727:S 9.46929e-05 -136 *534:25 *25713:S 0.000133705 -137 *540:15 *25727:S 0.000236074 -138 *922:17 *1853:77 7.83587e-05 -139 *1275:164 *1853:77 0.000211639 -140 *1286:72 *1853:19 0.00053963 -141 *1293:181 *25725:S 1.58922e-05 -142 *1293:181 *1853:64 0.000112996 -143 *1361:68 *1853:19 2.89114e-05 -144 *1697:20 *1853:77 0.000147081 -145 *1826:226 *1853:38 0.000155264 -*RES -1 *25711:X *1853:19 46.4387 -2 *1853:19 *1853:38 38.64 -3 *1853:38 *25725:S 27.7018 -4 *1853:38 *1853:64 40.088 -5 *1853:64 *1853:77 35.1684 -6 *1853:77 *1853:94 48.3792 -7 *1853:94 *1853:96 3.41 -8 *1853:96 *25717:S 41.4339 -9 *1853:96 *1853:106 0.580357 -10 *1853:106 *25721:S 17.2107 -11 *1853:106 *25719:S 27.0143 -12 *1853:77 *1853:130 4.5 -13 *1853:130 *25713:S 15.2107 -14 *1853:130 *25715:S 9.3 -15 *1853:64 *25727:S 33.0946 -16 *1853:19 *25723:S 9.3 -*END - -*D_NET *1854 0.0034563 -*CONN -*I *25713:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25712:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *25713:A1 0.00082378 -2 *25712:X 0.00082378 -3 *25713:A1 *26944:A1 5.10628e-05 -4 *25713:A1 *26988:A1 0.00076425 -5 *25713:A1 *4214:20 0.000131156 -6 *25713:A1 *5084:20 0.000235476 -7 *25713:A1 *5692:17 5.25675e-05 -8 *244:65 *25713:A1 0.000480061 -9 *534:25 *25713:A1 9.41642e-05 -*RES -1 *25712:X *25713:A1 42.5643 -*END - -*D_NET *1855 0.00461075 -*CONN -*I *25715:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25714:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *25715:A1 0 -2 *25714:X 0.00103071 -3 *1855:11 0.00103071 -4 *1855:11 *26905:S 0.000129208 -5 *1855:11 *27031:S 6.28948e-05 -6 *1855:11 *2769:146 0.000112131 -7 *1855:11 *3692:8 0 -8 *1855:11 *4214:20 0.000229872 -9 *1855:11 *4239:17 0 -10 *1855:11 *5586:138 0.000221641 -11 *1855:11 *5692:13 9.23525e-05 -12 *1855:11 *5692:17 1.81887e-05 -13 *1855:11 *5800:108 0.00111934 -14 mgmt_gpio_oeb[14] *1855:11 0 -15 *25713:S *1855:11 3.63587e-05 -16 *1269:154 *1855:11 0.000527345 -*RES -1 *25714:X *1855:11 44.5857 -2 *1855:11 *25715:A1 9.3 -*END - -*D_NET *1856 0.000781644 -*CONN -*I *25717:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25716:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *25717:A1 0.000148874 -2 *25716:X 0.000148874 -3 *25717:A1 *25717:A0 0.000221641 -4 *25717:A1 *29729:A 0.000126943 -5 *25717:A1 *2768:204 3.55552e-05 -6 *25717:A1 *5461:28 9.97562e-05 -*RES -1 *25716:X *25717:A1 31.5286 -*END - -*D_NET *1857 0.00406227 -*CONN -*I *25719:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25718:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *25719:A1 0 -2 *25718:X 0.000917399 -3 *1857:17 0.000917399 -4 *1857:17 *25718:A1 9.41642e-05 -5 *1857:17 *28193:D 0.000175892 -6 *1857:17 *30837:A 4.61529e-05 -7 *1857:17 *3617:110 0.000121573 -8 *1857:17 *5432:36 0.000129863 -9 *1857:17 *5433:29 0.000103974 -10 *1857:17 *5659:18 0.000451581 -11 *1857:17 *5659:22 0.0001181 -12 *1857:17 *5911:115 0.000123295 -13 *1857:17 *6206:11 0.000774308 -14 *25719:S *1857:17 8.85631e-05 -*RES -1 *25718:X *1857:17 47.3714 -2 *1857:17 *25719:A1 9.3 -*END - -*D_NET *1858 0.00426692 -*CONN -*I *25721:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25720:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *25721:A1 0.00112041 -2 *25720:X 0.00112041 -3 *25721:A1 *25720:S 0.000136958 -4 *25721:A1 *26898:A1 0.000135028 -5 *25721:A1 *28516:RESET_B 0.000344232 -6 *25721:A1 *2759:149 2.06112e-05 -7 *25721:A1 *2761:184 3.97677e-05 -8 *25721:A1 *2776:201 2.61099e-05 -9 *25721:A1 *3733:59 2.04825e-05 -10 *25721:A1 *4107:17 0.000423607 -11 *25721:A1 *4124:43 5.00194e-05 -12 *25721:A1 *4360:11 4.99681e-05 -13 *25721:A1 *5637:19 0 -14 *25721:A1 *5681:16 1.90936e-05 -15 *25721:A1 *6206:11 5.52302e-05 -16 *29903:A *25721:A1 0 -17 *1275:164 *25721:A1 1.90936e-05 -18 *1853:94 *25721:A1 0.000685892 -*RES -1 *25720:X *25721:A1 44.6813 -*END - -*D_NET *1859 0.00154695 -*CONN -*I *25723:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25722:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *25723:A1 0.000276831 -2 *25722:X 0.000276831 -3 *25723:A1 *3606:10 0.000264166 -4 *25723:A1 *3606:19 0.000122226 -5 *25723:A1 *3770:28 2.37761e-05 -6 *25723:A1 *5910:42 0.000444238 -7 *30415:A *25723:A1 0.000138881 -*RES -1 *25722:X *25723:A1 34.85 -*END - -*D_NET *1860 0.00201622 -*CONN -*I *25725:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25724:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *25725:A1 0.000564134 -2 *25724:X 0.000564134 -3 *25725:A1 *25725:A0 7.83659e-05 -4 *25725:A1 *28196:RESET_B 8.58445e-05 -5 *25725:A1 *5586:31 0.000304387 -6 *25725:A1 *5586:51 0.000160365 -7 *25725:S *25725:A1 0.000258991 -*RES -1 *25724:X *25725:A1 36.9393 -*END - -*D_NET *1861 0.000781358 -*CONN -*I *25727:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25726:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *25727:A1 0.000215161 -2 *25726:X 0.000215161 -3 *25727:A1 *25726:S 0.000122091 -4 *25727:A1 *3538:92 7.8327e-05 -5 *25727:A1 *5829:169 0.000150618 -*RES -1 *25726:X *25727:A1 31.725 -*END - -*D_NET *1862 0.115105 -*CONN -*I *25731:S I *D sky130_fd_sc_hd__mux2_1 -*I *25733:S I *D sky130_fd_sc_hd__mux2_1 -*I *25734:S I *D sky130_fd_sc_hd__mux2_1 -*I *25730:S I *D sky130_fd_sc_hd__mux2_1 -*I *25729:S I *D sky130_fd_sc_hd__mux2_1 -*I *25732:S I *D sky130_fd_sc_hd__mux2_1 -*I *25728:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *25731:S 0.00022026 -2 *25733:S 0.000191863 -3 *25734:S 0.00114808 -4 *25730:S 0.000103811 -5 *25729:S 0.000454087 -6 *25732:S 0.000301517 -7 *25728:Y 0 -8 *1862:95 0.00150396 -9 *1862:93 0.00237801 -10 *1862:82 0.00419818 -11 *1862:79 0.00203199 -12 *1862:44 0.000872544 -13 *1862:42 0.000858186 -14 *1862:36 0.00411078 -15 *1862:34 0.00485928 -16 *1862:28 0.00561752 -17 *1862:27 0.00483477 -18 *1862:22 0.00352968 -19 *1862:11 0.00801636 -20 *1862:10 0.00555821 -21 *1862:4 0.000736401 -22 *25729:S *25549:A0 1.07719e-05 -23 *25729:S *25729:A0 0.000332479 -24 *25729:S *5461:5 0 -25 *25729:S *5461:7 0.00050701 -26 *25731:S *25731:A0 0.000201497 -27 *25731:S *3602:33 0.000146474 -28 *25731:S *5690:7 0.000137983 -29 *25731:S *5879:188 3.40043e-05 -30 *25731:S *5926:35 4.87953e-05 -31 *25732:S *25732:A0 0.000218679 -32 *25732:S *1864:70 0.000624082 -33 *25733:S *5458:64 0.000114949 -34 *25733:S *6377:21 0.000340018 -35 *25733:S *6377:48 4.38243e-05 -36 *25734:S *28000:B1 0.000125717 -37 *25734:S *28976:A 0.000353907 -38 *25734:S *28982:A 5.96516e-05 -39 *25734:S *29597:A 0.000393943 -40 *25734:S *3523:16 0.000700639 -41 *25734:S *3578:56 4.35306e-05 -42 *25734:S *5684:14 6.57032e-05 -43 *25734:S *6203:31 0.000265447 -44 *25734:S *6364:32 0.00012401 -45 *25734:S *6378:49 0.000129981 -46 *1862:10 *27760:B2 2.84026e-05 -47 *1862:10 *2767:188 0 -48 *1862:10 *2792:30 0.00136183 -49 *1862:10 *3578:8 0.000172815 -50 *1862:10 *5647:159 0.000978889 -51 *1862:11 *26554:A 1.39841e-05 -52 *1862:11 *26554:C 0.000132879 -53 *1862:11 *26586:A 0.00022266 -54 *1862:11 *27969:A2 0.00022459 -55 *1862:11 *27969:B1 4.59075e-05 -56 *1862:11 *27971:A1 0.000160355 -57 *1862:11 *28015:A1 2.44318e-05 -58 *1862:11 *28813:RESET_B 0.000133233 -59 *1862:11 *29541:A 0.000487473 -60 *1862:11 *2494:9 0.000105131 -61 *1862:11 *3535:13 0.000225616 -62 *1862:11 *5366:28 0.000107655 -63 *1862:22 *26130:B 0.000735686 -64 *1862:22 *26227:B 5.133e-05 -65 *1862:22 *26283:A 6.87574e-05 -66 *1862:22 *26485:B1 0.000154728 -67 *1862:22 *26689:B 0.000266479 -68 *1862:22 *26753:A1 0.000234862 -69 *1862:22 *26753:A2 3.1613e-05 -70 *1862:22 *26753:B1 3.14163e-05 -71 *1862:22 *26790:A3 5.20359e-06 -72 *1862:22 *26804:A_N 0.000559931 -73 *1862:22 *26804:D 5.38242e-05 -74 *1862:22 *26805:A2 0.000110029 -75 *1862:22 *1942:169 0.000572278 -76 *1862:22 *1986:35 0.000526064 -77 *1862:22 *2056:28 0.000135028 -78 *1862:22 *2187:73 1.39841e-05 -79 *1862:22 *2598:8 0.000100008 -80 *1862:22 *2685:11 1.55885e-05 -81 *1862:27 *26168:B 4.58194e-05 -82 *1862:27 *1953:24 0.0001399 -83 *1862:27 *2279:13 0.000693742 -84 *1862:28 *26263:A 4.65158e-06 -85 *1862:28 *26410:B1 0.00209547 -86 *1862:28 *26440:B2 0.000213954 -87 *1862:28 *26443:C 3.34672e-05 -88 *1862:28 *26531:B 0 -89 *1862:28 *26540:A 0 -90 *1862:28 *26545:A2 1.81805e-05 -91 *1862:28 *26598:A2 0.000168347 -92 *1862:28 *26723:A3 5.48198e-05 -93 *1862:28 *26742:A1 0.000320995 -94 *1862:28 *26743:C 0.00205416 -95 *1862:28 *1908:73 4.98055e-06 -96 *1862:28 *1914:12 0.000168939 -97 *1862:28 *1973:8 0 -98 *1862:28 *2031:77 0 -99 *1862:28 *2104:34 0.000173188 -100 *1862:28 *2104:36 2.37761e-05 -101 *1862:28 *2110:12 0.00142483 -102 *1862:28 *2125:28 0.000729988 -103 *1862:28 *2125:30 0.00138883 -104 *1862:28 *2125:34 7.62712e-05 -105 *1862:28 *2136:68 0.000906695 -106 *1862:28 *2136:82 0.000408252 -107 *1862:28 *2156:10 0 -108 *1862:28 *2180:30 0 -109 *1862:28 *2187:164 0.00183837 -110 *1862:28 *2531:6 2.49553e-05 -111 *1862:28 *2662:14 0.00385848 -112 *1862:28 *2680:10 0.000499451 -113 *1862:28 *4508:227 0.000307014 -114 *1862:28 *4552:135 0.000348054 -115 *1862:28 *4552:162 0.000187529 -116 *1862:28 *4552:178 0.000546227 -117 *1862:34 *26211:B 0.000245349 -118 *1862:34 *26258:A 0 -119 *1862:34 *26440:B2 0.000354554 -120 *1862:34 *28309:D 0.000128146 -121 *1862:34 *2142:119 0 -122 *1862:34 *2180:30 0 -123 *1862:34 *2187:164 0.000130529 -124 *1862:34 *5688:242 0 -125 *1862:34 *5797:11 0.000208613 -126 *1862:36 *24814:A 0.000157404 -127 *1862:36 *24886:A0 0 -128 *1862:36 *24886:A1 6.37408e-06 -129 *1862:36 *24888:S 0.000166503 -130 *1862:36 *25653:A0 7.48549e-05 -131 *1862:36 *25654:A1 0.000158874 -132 *1862:36 *25697:A1 0.000194086 -133 *1862:36 *28133:D 0.0011169 -134 *1862:36 *28282:CLK 0.000245867 -135 *1862:36 *28309:CLK 9.91086e-05 -136 *1862:36 *29658:A 0.000387974 -137 *1862:36 *30505:A 0.000128154 -138 *1862:36 *1864:70 6.57244e-05 -139 *1862:36 *4154:27 0.000690772 -140 *1862:36 *4155:16 0.000116994 -141 *1862:36 *4158:10 0.000242559 -142 *1862:36 *4158:46 0.000654018 -143 *1862:36 *4525:11 9.26855e-05 -144 *1862:36 *5719:115 0.00086683 -145 *1862:36 *5719:120 0.000246193 -146 *1862:36 *5797:11 0.000160375 -147 *1862:36 *5812:8 0.000411448 -148 *1862:36 *5836:104 0.000313011 -149 *1862:36 *5836:108 0.000144038 -150 *1862:36 *5855:8 0 -151 *1862:36 *5865:29 0.000813739 -152 *1862:36 *5865:40 5.05056e-05 -153 *1862:36 *5865:53 0.00103082 -154 *1862:36 *5865:85 0.000919539 -155 *1862:42 *28388:CLK 0.000113061 -156 *1862:42 *1864:70 0.00119796 -157 *1862:44 *1864:70 0.000317444 -158 *1862:79 *2792:30 0.000896304 -159 *1862:79 *5647:159 0.000231553 -160 *1862:82 *25363:B1 0.000162118 -161 *1862:82 *25731:A0 0 -162 *1862:82 *27169:A1 0 -163 *1862:82 *27169:S 0 -164 *1862:82 *28638:CLK 0.000201127 -165 *1862:82 *30208:A 0.000306473 -166 *1862:82 *2764:46 0.000652058 -167 *1862:82 *2780:272 7.07702e-05 -168 *1862:82 *3602:35 0 -169 *1862:82 *3699:13 0.00112481 -170 *1862:82 *4102:8 0 -171 *1862:82 *4912:10 4.79842e-05 -172 *1862:82 *5246:10 0.000527071 -173 *1862:82 *5584:163 0.000148196 -174 *1862:82 *5589:74 0 -175 *1862:82 *5709:254 1.18548e-05 -176 *1862:82 *5777:94 0 -177 *1862:82 *5926:35 2.19684e-05 -178 *1862:82 *6280:6 0 -179 *1862:93 *26933:S 0.00011174 -180 *1862:93 *27985:A2 2.89016e-05 -181 *1862:93 *27986:B 0.000662793 -182 *1862:93 *28013:A1 4.58976e-05 -183 *1862:93 *28514:D 0.00028842 -184 *1862:93 *3578:56 0.000250387 -185 *1862:93 *3589:135 0.000134155 -186 *1862:93 *4102:8 3.67142e-05 -187 *1862:93 *4141:9 0.000297652 -188 *1862:93 *4141:21 2.89114e-05 -189 *1862:93 *5684:14 0.00182108 -190 *1862:93 *5879:188 8.45527e-05 -191 *1862:93 *6036:95 0.00057098 -192 *1862:95 *29596:A 0.000206248 -193 *1862:95 *3578:56 8.30851e-05 -194 *1862:95 *4211:5 0.0001399 -195 *1862:95 *5684:14 0.000127113 -196 *1862:95 *6036:95 0.000388045 -197 *25380:B1 *1862:79 0.000137546 -198 *25545:A0 *1862:82 4.57538e-05 -199 *25698:A1 *1862:36 0.000497466 -200 *27969:A1 *1862:11 0.00113576 -201 *28069:RESET_B *1862:42 9.0145e-05 -202 *28084:RESET_B *25730:S 0.000105471 -203 *28085:RESET_B *1862:36 9.57238e-05 -204 *28094:RESET_B *1862:36 5.10587e-05 -205 *28813:D *1862:11 0.000229786 -206 *29108:A *1862:36 2.12333e-05 -207 *29282:A *25730:S 0 -208 *30147:A *1862:34 8.91066e-05 -209 *30147:A *1862:36 0.000102608 -210 *30218:A *1862:93 0.000659494 -211 *30267:A *1862:82 0 -212 *30755:A *25731:S 4.43256e-05 -213 *412:14 *1862:36 0.000847542 -214 *721:24 *25730:S 0.00018873 -215 *1060:17 *1862:82 7.83659e-05 -216 *1174:15 *1862:11 0.000315165 -217 *1187:41 *1862:27 0.000895452 -218 *1202:17 *1862:36 0.000129185 -219 *1231:17 *1862:36 0 -220 *1287:38 *1862:36 0.000144845 -221 *1287:51 *1862:36 0.00069653 -222 *1287:60 *25732:S 0.000621653 -223 *1287:60 *1862:42 0.00145949 -224 *1287:60 *1862:44 0.000332508 -225 *1324:37 *1862:36 0.000180171 -226 *1361:78 *1862:79 4.00679e-05 -227 *1830:55 *1862:36 0 -228 *1844:59 *1862:36 5.01707e-05 -229 *1844:66 *1862:36 0.000459684 -*RES -1 *25728:Y *1862:4 9.3 -2 *1862:4 *1862:10 24.5179 -3 *1862:10 *1862:11 63.375 -4 *1862:11 *1862:22 49.9286 -5 *1862:22 *1862:27 24.3214 -6 *1862:27 *1862:28 140.241 -7 *1862:28 *1862:34 28.5 -8 *1862:34 *1862:36 107.438 -9 *1862:36 *1862:42 29.7143 -10 *1862:42 *1862:44 4.375 -11 *1862:44 *25732:S 23.9964 -12 *1862:44 *25729:S 24.6571 -13 *1862:42 *25730:S 16.4071 -14 *1862:4 *1862:79 13.25 -15 *1862:79 *1862:82 48.3393 -16 *1862:82 *1862:93 48.8929 -17 *1862:93 *1862:95 5.875 -18 *1862:95 *25734:S 40.7643 -19 *1862:95 *25733:S 23.2821 -20 *1862:82 *25731:S 23.7821 -*END - -*D_NET *1863 0.010889 -*CONN -*I *30557:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25735:X O *D sky130_fd_sc_hd__or4_4 -*CAP -1 *30557:A 2.46036e-05 -2 *25735:X 0.00128524 -3 *1863:14 0.00134702 -4 *1863:7 0.00260766 -5 *30557:A *4699:9 9.60939e-05 -6 *1863:7 *27610:A2 9.60939e-05 -7 *1863:7 *3162:7 0.000178847 -8 *1863:7 *5641:204 0.000103021 -9 *1863:7 *5669:278 0.000136958 -10 *1863:7 *5729:193 1.58163e-05 -11 *1863:7 *5914:43 0.00087488 -12 *1863:14 *26915:A1 0.000147221 -13 *1863:14 *27170:A1 0.000172233 -14 *1863:14 *28760:CLK 0.000541963 -15 *1863:14 *2794:95 0.000101916 -16 *1863:14 *3846:8 0.000330902 -17 *1863:14 *3907:16 0.000272477 -18 *1863:14 *3907:27 0.00129639 -19 *1863:14 *5640:264 9.25014e-06 -20 *1863:14 *5748:6 0.000567317 -21 *1863:14 *5881:54 0.00046131 -22 *29116:A *1863:14 0.000125724 -23 *976:31 *30557:A 9.60939e-05 -*RES -1 *25735:X *1863:7 30.3536 -2 *1863:7 *1863:14 45.8214 -3 *1863:14 *30557:A 14.7464 -*END - -*D_NET *1864 0.128425 -*CONN -*I *25747:S I *D sky130_fd_sc_hd__mux2_1 -*I *25752:S I *D sky130_fd_sc_hd__mux2_1 -*I *25748:S I *D sky130_fd_sc_hd__mux2_1 -*I *25749:S I *D sky130_fd_sc_hd__mux2_1 -*I *25750:S I *D sky130_fd_sc_hd__mux2_1 -*I *25746:S I *D sky130_fd_sc_hd__mux2_1 -*I *6609:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25751:S I *D sky130_fd_sc_hd__mux2_1 -*I *25745:S I *D sky130_fd_sc_hd__mux2_1 -*I *25744:X O *D sky130_fd_sc_hd__or4_4 -*CAP -1 *25747:S 0 -2 *25752:S 0.000256662 -3 *25748:S 6.69593e-05 -4 *25749:S 0 -5 *25750:S 3.15859e-05 -6 *25746:S 3.82418e-05 -7 *6609:DIODE 0.000190493 -8 *25751:S 0 -9 *25745:S 9.6544e-05 -10 *25744:X 0.00106584 -11 *1864:187 0.00120929 -12 *1864:178 0.00127043 -13 *1864:170 0.00141586 -14 *1864:151 0.00153294 -15 *1864:150 0.00445471 -16 *1864:141 0.00769855 -17 *1864:134 0.00532064 -18 *1864:116 0.00399359 -19 *1864:114 0.00452427 -20 *1864:89 0.000393643 -21 *1864:72 0.000415463 -22 *1864:70 0.00172266 -23 *1864:59 0.00407749 -24 *1864:51 0.00488504 -25 *1864:49 0.00411978 -26 *1864:36 0.00553513 -27 *1864:29 0.00263257 -28 *1864:23 0.00146437 -29 *1864:17 0.00155197 -30 *6609:DIODE *25697:A0 2.14658e-05 -31 *6609:DIODE *25746:A0 9.60875e-05 -32 *6609:DIODE *5706:88 1.24368e-05 -33 *25745:S *25707:A0 3.51442e-05 -34 *25745:S *6037:8 0.000123295 -35 *25745:S *6092:18 3.57844e-05 -36 *25748:S *25701:A1 5.52302e-05 -37 *25748:S *25702:A0 0.000110107 -38 *25750:S *5926:108 2.23393e-05 -39 *25752:S *25709:A0 0 -40 *25752:S *25752:A1 6.46481e-05 -41 *25752:S *28219:RESET_B 5.66647e-05 -42 *25752:S *5659:32 0.000527092 -43 *25752:S *5753:138 0.000169037 -44 *1864:17 *2856:196 0.000572435 -45 *1864:17 *3437:14 0.000979969 -46 *1864:17 *3487:10 0.000144712 -47 *1864:17 *4035:33 2.46668e-05 -48 *1864:17 *5196:8 0.000721208 -49 *1864:17 *5196:25 0.000217666 -50 *1864:17 *5457:22 6.17877e-05 -51 *1864:17 *5640:64 0.00010604 -52 *1864:17 *5640:90 0.000722411 -53 *1864:17 *5729:242 0.000213888 -54 *1864:23 *27948:B1 4.60232e-06 -55 *1864:23 *2856:196 0.000167237 -56 *1864:23 *3170:106 0.000139765 -57 *1864:23 *3216:199 0.000219711 -58 *1864:23 *3487:10 0.000361669 -59 *1864:23 *3711:93 4.5539e-05 -60 *1864:23 *4092:65 0.000221358 -61 *1864:23 *5196:25 0.000840488 -62 *1864:23 *5640:64 5.45145e-05 -63 *1864:29 *3766:54 0.00162352 -64 *1864:29 *4035:36 2.41409e-05 -65 *1864:29 *4035:52 0.000128271 -66 *1864:29 *5196:47 0.000196363 -67 *1864:29 *5457:22 0.00010283 -68 *1864:29 *5629:108 0.00207939 -69 *1864:29 *5653:70 2.06178e-05 -70 *1864:29 *5653:97 0.000187798 -71 *1864:29 *5656:189 0.000129108 -72 *1864:36 *27945:A2 8.60976e-06 -73 *1864:36 *3437:14 0.000167648 -74 *1864:36 *3780:53 2.63501e-05 -75 *1864:36 *3828:61 1.38746e-05 -76 *1864:36 *4013:26 0.00241256 -77 *1864:36 *5196:47 0.000417684 -78 *1864:36 *5457:22 0.00014833 -79 *1864:36 *5458:40 0.00240222 -80 *1864:36 *5653:61 0.000477944 -81 *1864:49 *3185:304 9.06158e-05 -82 *1864:49 *3185:320 0.000238527 -83 *1864:49 *3867:44 0.000117044 -84 *1864:49 *3867:60 5.49544e-05 -85 *1864:49 *4186:20 8.7467e-05 -86 *1864:49 *5196:47 0.0010342 -87 *1864:49 *5196:53 1.71615e-05 -88 *1864:49 *5457:22 1.94731e-05 -89 *1864:49 *5629:89 0.000393571 -90 *1864:49 *5653:61 0.00212553 -91 *1864:51 *27520:C1 0.000593395 -92 *1864:51 *27523:A1 1.21258e-05 -93 *1864:51 *27523:A2 4.82947e-05 -94 *1864:51 *27523:B1 0.000178425 -95 *1864:51 *27524:C1 0.000136682 -96 *1864:51 *27525:A 0.000366848 -97 *1864:51 *27525:B 0.00101241 -98 *1864:51 *2874:74 6.81704e-05 -99 *1864:51 *2875:85 0.000129225 -100 *1864:51 *2894:99 9.58181e-05 -101 *1864:51 *3081:7 1.65169e-05 -102 *1864:51 *3775:39 0.000219711 -103 *1864:51 *3867:44 0.00173274 -104 *1864:51 *4114:28 5.74499e-06 -105 *1864:51 *5744:102 0.000117907 -106 *1864:59 *27885:B1 0.000301438 -107 *1864:59 *29027:A 9.79256e-05 -108 *1864:59 *30403:A 6.4443e-05 -109 *1864:59 *2789:27 0.000276509 -110 *1864:59 *2894:87 0.000254045 -111 *1864:59 *4103:18 1.41029e-05 -112 *1864:59 *4646:13 7.20457e-05 -113 *1864:59 *5355:10 0.00022459 -114 *1864:59 *5727:170 0.000267506 -115 *1864:59 *5740:29 0.000720161 -116 *1864:59 *5740:40 0.000134452 -117 *1864:59 *5778:47 0.000201636 -118 *1864:70 *25549:S 5.53675e-05 -119 *1864:70 *25653:A0 0.000735591 -120 *1864:70 *25730:A0 0.000516409 -121 *1864:70 *28388:CLK 0.000113061 -122 *1864:70 *29368:A 2.16719e-05 -123 *1864:70 *29588:A 1.90019e-05 -124 *1864:70 *6069:42 0.000136525 -125 *1864:70 *6069:44 4.34669e-05 -126 *1864:70 *6092:18 0.00111969 -127 *1864:72 *25707:A0 0.000953252 -128 *1864:72 *6092:18 9.52278e-05 -129 *1864:89 *29588:A 5.63588e-05 -130 *1864:89 *5706:88 0.000207274 -131 *1864:114 *25210:B2 4.88232e-05 -132 *1864:114 *27945:A2 2.11543e-05 -133 *1864:114 *3480:35 7.83659e-05 -134 *1864:114 *3503:28 0.00011327 -135 *1864:114 *4130:109 0.00243733 -136 *1864:114 *5597:21 1.38323e-05 -137 *1864:116 *28604:D 1.11743e-05 -138 *1864:116 *3900:66 0.00224989 -139 *1864:116 *5584:20 0.00038781 -140 *1864:116 *5597:21 0.00400562 -141 *1864:116 *5713:305 7.49251e-05 -142 *1864:134 *27718:A 0.000181343 -143 *1864:134 *29755:A 8.27532e-05 -144 *1864:134 *30770:A 0.000178847 -145 *1864:134 *3263:11 0.000262498 -146 *1864:134 *3769:7 5.33005e-05 -147 *1864:134 *3769:20 0.000177821 -148 *1864:134 *3900:60 0.000182996 -149 *1864:134 *5600:114 0.000125355 -150 *1864:134 *5713:305 0.00142258 -151 *1864:141 *27454:A2 0.000332997 -152 *1864:141 *28468:CLK 0.000509886 -153 *1864:141 *28875:A 7.49387e-06 -154 *1864:141 *2760:33 0.000301438 -155 *1864:141 *2892:132 5.20359e-06 -156 *1864:141 *3665:11 5.33005e-05 -157 *1864:141 *3721:68 9.25014e-06 -158 *1864:141 *3943:24 4.58764e-05 -159 *1864:141 *3991:29 0.00020489 -160 *1864:141 *4085:73 1.66744e-05 -161 *1864:150 *27454:B2 0.000144191 -162 *1864:150 *27809:B2 0.000162373 -163 *1864:150 *28757:RESET_B 0.000306068 -164 *1864:150 *2883:158 1.60961e-05 -165 *1864:150 *2885:82 0 -166 *1864:150 *3179:67 4.24642e-05 -167 *1864:150 *3340:19 8.75887e-07 -168 *1864:150 *3812:31 0.000507737 -169 *1864:150 *3982:71 0 -170 *1864:150 *5639:90 9.62249e-05 -171 *1864:150 *5678:71 4.76401e-05 -172 *1864:150 *5679:19 0 -173 *1864:150 *5755:192 4.88232e-05 -174 *1864:150 *5958:11 0.000132525 -175 *1864:151 *5583:149 0.000359745 -176 *1864:151 *5755:159 0.000259761 -177 *1864:151 *5926:108 0.00156814 -178 *1864:170 *25703:A0 0.000693212 -179 *1864:170 *25705:A0 0.000357614 -180 *1864:170 *5465:37 0.00212995 -181 *1864:170 *5583:149 0.000382304 -182 *1864:170 *5583:151 0.00197786 -183 *1864:170 *5583:156 3.90417e-05 -184 *1864:170 *5659:32 4.97121e-06 -185 *1864:170 *5926:108 1.73789e-05 -186 *1864:170 *5926:110 2.59093e-05 -187 *1864:170 *5926:117 0.000177858 -188 *1864:178 *6549:DIODE 5.33005e-05 -189 *1864:178 *24813:A 5.52238e-05 -190 *1864:178 *25703:A0 5.52302e-05 -191 *1864:178 *25749:A0 0.0001399 -192 *1864:178 *27088:A1 0.000175519 -193 *1864:178 *5625:8 0.000139957 -194 *1864:178 *5936:6 8.98547e-05 -195 *1864:187 *25702:A0 0.000164838 -196 *1864:187 *27088:A1 0.000320882 -197 *1864:187 *5583:165 0.00114165 -198 *1864:187 *5583:170 0.000584262 -199 *1864:187 *5700:269 0.000127746 -200 *1864:187 *5981:19 0.000198698 -201 mgmt_gpio_out[1] *1864:187 0.000115084 -202 mgmt_gpio_out[2] *1864:187 4.50149e-05 -203 mgmt_gpio_out[3] *1864:170 7.19919e-05 -204 *25329:A2 *1864:17 4.65519e-05 -205 *25654:S *1864:70 0.000170654 -206 *25695:S *25745:S 0.000219734 -207 *25695:S *1864:72 0.000936894 -208 *25702:A1 *1864:187 1.98839e-05 -209 *25702:S *1864:187 5.52302e-05 -210 *25706:S *25750:S 5.49995e-05 -211 *25732:S *1864:70 0.000624082 -212 *29108:A *6609:DIODE 0.000309273 -213 *29961:A *25748:S 0.000266479 -214 *29961:A *1864:187 4.27935e-05 -215 *394:14 *1864:89 0.000100823 -216 *529:11 *1864:170 4.98872e-05 -217 *1287:60 *1864:70 0.000562199 -218 *1287:60 *1864:72 0.000216538 -219 *1357:10 *1864:151 0.000198691 -220 *1362:25 *1864:29 1.21637e-05 -221 *1362:79 *1864:29 9.06203e-05 -222 *1371:25 *1864:170 0.00040904 -223 *1412:17 *1864:17 4.73953e-05 -224 *1430:59 *1864:49 5.59988e-05 -225 *1435:33 *1864:29 0.000103253 -226 *1446:24 *1864:116 5.7703e-05 -227 *1454:16 *1864:116 0.000186065 -228 *1505:16 *1864:116 0.000135028 -229 *1769:17 *6609:DIODE 1.21258e-05 -230 *1769:17 *1864:89 6.96405e-05 -231 *1832:41 *1864:49 0.000387142 -232 *1844:59 *1864:70 0.000124875 -233 *1844:59 *1864:89 0.000206905 -234 *1844:66 *1864:70 0 -235 *1844:183 *1864:178 0.00010066 -236 *1844:189 *1864:178 0.000112936 -237 *1844:189 *1864:187 0.000987555 -238 *1862:36 *1864:70 6.57244e-05 -239 *1862:42 *1864:70 0.00119796 -240 *1862:44 *1864:70 0.000317444 -*RES -1 *25744:X *1864:17 39.9134 -2 *1864:17 *1864:23 23.9018 -3 *1864:23 *1864:29 36.5749 -4 *1864:29 *1864:36 40.7489 -5 *1864:36 *1864:49 24.8097 -6 *1864:49 *1864:51 47.3571 -7 *1864:51 *1864:59 47.375 -8 *1864:59 *1864:70 47.1518 -9 *1864:70 *1864:72 14.7589 -10 *1864:72 *25745:S 16.6571 -11 *1864:72 *25751:S 13.8 -12 *1864:59 *1864:89 9.53571 -13 *1864:89 *6609:DIODE 13.1214 -14 *1864:89 *25746:S 10.0321 -15 *1864:36 *1864:114 38.6262 -16 *1864:114 *1864:116 53.1071 -17 *1864:116 *1864:134 29.2321 -18 *1864:134 *1864:141 49.6868 -19 *1864:141 *1864:150 43.1739 -20 *1864:150 *1864:151 20.0089 -21 *1864:151 *25750:S 14.6125 -22 *1864:151 *1864:170 49.6875 -23 *1864:170 *25749:S 9.3 -24 *1864:170 *1864:178 15.2143 -25 *1864:178 *1864:187 37.8393 -26 *1864:187 *25748:S 11.8893 -27 *1864:187 *25752:S 26.0679 -28 *1864:178 *25747:S 13.8 -*END - -*D_NET *1865 0.0112562 -*CONN -*I *25757:S I *D sky130_fd_sc_hd__mux2_1 -*I *25758:S I *D sky130_fd_sc_hd__mux2_1 -*I *25754:S I *D sky130_fd_sc_hd__mux2_1 -*I *25756:S I *D sky130_fd_sc_hd__mux2_1 -*I *25755:S I *D sky130_fd_sc_hd__mux2_1 -*I *25753:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *25757:S 1.16062e-05 -2 *25758:S 0.000108567 -3 *25754:S 0.000416894 -4 *25756:S 7.59887e-05 -5 *25755:S 0.000581917 -6 *25753:X 0.00096035 -7 *1865:30 0.000578552 -8 *1865:26 0.000309064 -9 *1865:13 0.000865464 -10 *1865:8 0.00114068 -11 *25754:S *26822:A1 4.58976e-05 -12 *25754:S *27527:A2 0.000320447 -13 *25754:S *27527:B1 4.18426e-05 -14 *25754:S *27528:A1 5.6197e-05 -15 *25754:S *3650:28 0.000206231 -16 *25754:S *3650:38 9.54798e-06 -17 *25754:S *3884:53 5.33005e-05 -18 *25754:S *5685:126 0 -19 *25755:S *28223:D 0.000318618 -20 *25755:S *3650:38 0.000177194 -21 *25755:S *3650:42 7.24711e-05 -22 *25756:S *3884:53 9.41642e-05 -23 *25757:S *25757:A0 5.52302e-05 -24 *25757:S *29995:A 5.52302e-05 -25 *25758:S *1893:14 0.000223026 -26 *25758:S *5735:64 0.000326128 -27 *1865:8 *1897:15 5.41797e-06 -28 *1865:8 *3672:21 0.000123589 -29 *1865:8 *3779:26 0.000219586 -30 *1865:8 *3779:41 0.000155468 -31 *1865:8 *3884:88 0.00141 -32 *1865:8 *3973:83 2.05413e-05 -33 *1865:8 *5313:11 0.000178743 -34 *1865:8 *5665:66 0 -35 *1865:8 *5691:252 0.000179108 -36 *1865:13 *3650:38 3.97677e-05 -37 *1865:13 *3884:88 0.000120506 -38 *1865:13 *5665:66 0 -39 *1865:26 *3884:88 0.000253172 -40 *1865:26 *5313:11 0.000244629 -41 *1865:30 *25132:B2 2.95642e-05 -42 *1865:30 *3884:88 0.000130687 -43 *1865:30 *5313:11 0.000161966 -44 *25753:A *1865:8 0.000183304 -45 *29996:A *25758:S 5.33005e-05 -46 *565:17 *25754:S 6.57815e-05 -47 *565:17 *25756:S 0.000113005 -48 *716:16 *25754:S 4.27437e-05 -49 *716:16 *25756:S 6.42095e-05 -50 *1323:17 *1865:8 1.22751e-05 -51 *1327:116 *25754:S 0.000344225 -*RES -1 *25753:X *1865:8 36.1571 -2 *1865:8 *1865:13 7.08929 -3 *1865:13 *25755:S 18.0857 -4 *1865:13 *1865:26 7.96429 -5 *1865:26 *1865:30 6.75 -6 *1865:30 *25756:S 11.4786 -7 *1865:30 *25754:S 28.175 -8 *1865:26 *25758:S 18.1929 -9 *1865:8 *25757:S 14.3357 -*END - -*D_NET *1866 0.00664848 -*CONN -*I *25760:S I *D sky130_fd_sc_hd__mux2_1 -*I *25763:S I *D sky130_fd_sc_hd__mux2_1 -*I *25761:S I *D sky130_fd_sc_hd__mux2_1 -*I *25764:S I *D sky130_fd_sc_hd__mux2_1 -*I *25762:S I *D sky130_fd_sc_hd__mux2_1 -*I *25759:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *25760:S 0.000297551 -2 *25763:S 8.7181e-05 -3 *25761:S 0.000108205 -4 *25764:S 0 -5 *25762:S 0.000123858 -6 *25759:X 2.99504e-05 -7 *1866:38 0.000752594 -8 *1866:12 0.000254456 -9 *1866:8 0.000392342 -10 *1866:5 0.000551353 -11 *25760:S *28225:RESET_B 0.000206928 -12 *25760:S *3806:8 0 -13 *25760:S *5665:127 3.53313e-05 -14 *25760:S *5710:86 9.18399e-05 -15 *25761:S *4968:26 0.000522426 -16 *25761:S *5705:109 0.000520509 -17 *25762:S *5170:19 0.000561373 -18 *25763:S *28225:RESET_B 0.00011333 -19 *25763:S *4950:25 5.52238e-05 -20 *25763:S *5665:127 6.87975e-06 -21 *1866:5 *4329:18 9.41642e-05 -22 *1866:5 *4950:25 9.41642e-05 -23 *1866:8 *3568:49 6.8646e-06 -24 *1866:8 *4968:26 1.36251e-05 -25 *1866:8 *5665:127 0 -26 *1866:8 *5685:205 0.000193654 -27 *1866:12 *4968:26 1.19191e-05 -28 *1866:12 *5665:127 0 -29 *1866:12 *5685:205 0.000158278 -30 *1866:38 *4329:18 0.000170497 -31 *1866:38 *4950:25 0.000130419 -32 *29241:A *25760:S 4.62147e-05 -33 *29241:A *25763:S 0.000145689 -34 *30015:A *25762:S 0.000561373 -35 *504:19 *1866:38 0.000310292 -*RES -1 *25759:X *1866:5 10.2464 -2 *1866:5 *1866:8 7.35714 -3 *1866:8 *1866:12 6.75 -4 *1866:12 *25762:S 14.7643 -5 *1866:12 *25764:S 9.3 -6 *1866:8 *25761:S 18.8536 -7 *1866:5 *1866:38 19.5 -8 *1866:38 *25763:S 15.9786 -9 *1866:38 *25760:S 19.3893 -*END - -*D_NET *1867 0.00450845 -*CONN -*I *29484:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25765:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *29484:A 0 -2 *25765:Y 0.00119304 -3 *1867:16 0.00119304 -4 *1867:16 *26727:A 0.000125731 -5 *1867:16 *26763:C 6.65398e-05 -6 *1867:16 *3948:17 2.89016e-05 -7 *1867:16 *3948:64 0.000139009 -8 *1867:16 *5697:23 0.000375211 -9 *1867:16 *5760:32 0.000376728 -10 *1867:16 *5780:61 0.000943072 -11 *29811:A *1867:16 6.71755e-05 -*RES -1 *25765:Y *1867:16 47.3179 -2 *1867:16 *29484:A 9.3 -*END - -*D_NET *1868 0.0275136 -*CONN -*I *25772:S I *D sky130_fd_sc_hd__mux2_1 -*I *25776:S I *D sky130_fd_sc_hd__mux2_1 -*I *25775:S I *D sky130_fd_sc_hd__mux2_1 -*I *25774:S I *D sky130_fd_sc_hd__mux2_1 -*I *25773:S I *D sky130_fd_sc_hd__mux2_1 -*I *25771:Y O *D sky130_fd_sc_hd__nand2_4 -*CAP -1 *25772:S 0 -2 *25776:S 0.000686857 -3 *25775:S 0.000447483 -4 *25774:S 0 -5 *25773:S 0.000467098 -6 *25771:Y 0.000626537 -7 *1868:49 0.001857 -8 *1868:37 0.00114991 -9 *1868:31 0.00274137 -10 *1868:29 0.00251946 -11 *1868:21 0.000428458 -12 *1868:7 0.00131676 -13 *25773:S *5442:10 0 -14 *25773:S *5705:22 0.000346733 -15 *25775:S *25798:B 0.000135028 -16 *25775:S *28260:D 5.33005e-05 -17 *25775:S *1872:10 0.000189897 -18 *25775:S *1877:66 0.000185882 -19 *25775:S *4160:98 2.59355e-05 -20 *25775:S *4161:80 5.52302e-05 -21 *25775:S *5693:70 0.000118052 -22 *25775:S *5693:72 7.46506e-05 -23 *25775:S *5741:17 5.33005e-05 -24 *25775:S *5901:57 0.000153282 -25 *25776:S *25657:A1 0.000319962 -26 *25776:S *28239:RESET_B 0.000111969 -27 *25776:S *28292:D 0.000168217 -28 *25776:S *29454:A 9.41642e-05 -29 *25776:S *3711:21 0.000207755 -30 *25776:S *5741:17 0.000187904 -31 *25776:S *5741:23 0.000769297 -32 *1868:7 *4074:11 9.75679e-05 -33 *1868:21 *5705:22 0.000188624 -34 *1868:21 *5732:68 0 -35 *1868:29 *25772:A0 0.000178847 -36 *1868:29 *4074:11 0.000177821 -37 *1868:29 *4074:23 4.18895e-05 -38 *1868:29 *5711:15 0.00018077 -39 *1868:29 *5733:137 1.30669e-05 -40 *1868:31 *25871:A1 0 -41 *1868:31 *25871:S 0.000124265 -42 *1868:31 *25945:A0 3.57844e-05 -43 *1868:31 *28039:B 0.000117994 -44 *1868:31 *28162:D 6.0038e-05 -45 *1868:31 *28387:D 0 -46 *1868:31 *30399:A 4.22135e-06 -47 *1868:31 *3710:38 6.21521e-06 -48 *1868:31 *3830:15 0 -49 *1868:31 *5712:49 2.9973e-05 -50 *1868:31 *5712:67 0.000562392 -51 *1868:31 *5733:137 0.000597156 -52 *1868:31 *5733:145 0.000540744 -53 *1868:31 *5733:157 0 -54 *1868:31 *5733:163 0 -55 *1868:31 *5733:172 0 -56 *1868:31 *5743:18 0.000983124 -57 *1868:31 *5743:33 0.00074929 -58 *1868:31 *5778:47 0.000588014 -59 *1868:37 *25825:A0 0.000511232 -60 *1868:37 *30399:A 0.000197742 -61 *1868:37 *5712:49 0.000253914 -62 *1868:37 *5778:47 5.44947e-05 -63 *1868:49 *25657:A1 0.000442074 -64 *1868:49 *3165:314 0.000120044 -65 *1868:49 *3616:122 4.33647e-05 -66 *1868:49 *3711:21 0.000231447 -67 *1868:49 *3857:36 9.0145e-05 -68 *1868:49 *4126:11 0.000407357 -69 *1868:49 *4126:84 0.000212206 -70 *1868:49 *4160:98 0.000110151 -71 *1868:49 *4161:80 0.000136448 -72 *1868:49 *5741:17 3.06511e-05 -73 *1868:49 *5742:111 0.000180777 -74 *25546:A0 *1868:31 0.00014559 -75 *29179:A *25773:S 0.000127446 -76 *29393:A *1868:21 0 -77 *30432:A *1868:31 0.000124544 -78 *406:8 *1868:49 0.000875801 -79 *740:19 *25775:S 0.000464893 -80 *1396:45 *25775:S 9.41642e-05 -81 *1396:64 *25775:S 5.33005e-05 -82 *1421:71 *1868:31 0.00102677 -83 *1829:26 *25776:S 0.000484458 -84 *1829:34 *25776:S 1.18451e-05 -85 *1829:34 *1868:49 0 -86 *1829:48 *1868:31 0.000150263 -87 *1829:50 *1868:29 1.75287e-05 -88 *1829:50 *1868:31 0.000145686 -*RES -1 *25771:Y *1868:7 22.55 -2 *1868:7 *25773:S 24.6036 -3 *1868:7 *1868:21 9.17857 -4 *1868:21 *1868:29 9.36607 -5 *1868:29 *1868:31 63.3571 -6 *1868:31 *1868:37 14.7232 -7 *1868:37 *25774:S 9.3 -8 *1868:37 *1868:49 26.4821 -9 *1868:49 *25775:S 35.1929 -10 *1868:49 *25776:S 34.3 -11 *1868:21 *25772:S 9.3 -*END - -*D_NET *1869 0.0131723 -*CONN -*I *25780:S I *D sky130_fd_sc_hd__mux2_1 -*I *25781:S I *D sky130_fd_sc_hd__mux2_1 -*I *25779:S I *D sky130_fd_sc_hd__mux2_1 -*I *25778:S I *D sky130_fd_sc_hd__mux2_1 -*I *25782:S I *D sky130_fd_sc_hd__mux2_1 -*I *25777:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *25780:S 0 -2 *25781:S 0 -3 *25779:S 0.000598972 -4 *25778:S 0 -5 *25782:S 0.000114128 -6 *25777:Y 0.000109594 -7 *1869:34 0.000948599 -8 *1869:29 0.000976469 -9 *1869:14 0.00139661 -10 *1869:9 0.000765229 -11 *25779:S *25778:A1 0.000158192 -12 *25779:S *25779:A0 9.90431e-05 -13 *25779:S *28830:CLK 8.07879e-05 -14 *25779:S *29310:A 1.69115e-05 -15 *25779:S *1873:8 0.000921158 -16 *25782:S *25782:A1 3.22964e-05 -17 *1869:9 *25896:A1 0.000222974 -18 *1869:9 *25924:B 6.09963e-05 -19 *1869:9 *25927:A0 1.02821e-05 -20 *1869:9 *1871:20 0.00018077 -21 *1869:9 *5669:201 1.98839e-05 -22 *1869:14 *28244:RESET_B 0.000461128 -23 *1869:14 *30115:A 0.000223599 -24 *1869:14 *1873:12 0.00213544 -25 *1869:14 *3767:8 0.000711062 -26 *1869:14 *5758:166 0.000125731 -27 *1869:14 *5824:108 0.000497337 -28 *1869:29 *25781:A1 9.90367e-05 -29 *1869:29 *25782:A1 5.03068e-05 -30 *1869:29 *28067:CLK 0.000128154 -31 *1869:29 *30100:A 2.59355e-05 -32 *1869:29 *1871:12 0.000198492 -33 *1869:29 *3541:68 0.000320038 -34 *1869:29 *3567:8 0.000169572 -35 *1869:29 *3567:17 3.32442e-05 -36 *1869:29 *5669:30 0.000153054 -37 *1869:29 *5798:10 0 -38 *1869:34 *25778:A1 5.80706e-06 -39 *1869:34 *25781:A1 5.52302e-05 -40 *1869:34 *28243:D 0.000170755 -41 *1869:34 *1873:8 0.000591482 -42 *1869:34 *4087:12 0.00012489 -43 *1869:34 *5824:108 0.000124828 -44 *1869:34 *5824:120 1.54142e-05 -45 *25199:C1 *1869:29 2.06827e-05 -46 *1464:105 *1869:14 1.81805e-05 -47 *1816:16 *25779:S 0 -*RES -1 *25777:Y *1869:9 12.8536 -2 *1869:9 *1869:14 36.4464 -3 *1869:14 *25782:S 11.0857 -4 *1869:14 *1869:29 24.6071 -5 *1869:29 *1869:34 14.8036 -6 *1869:34 *25778:S 13.8 -7 *1869:34 *25779:S 28.3536 -8 *1869:29 *25781:S 9.3 -9 *1869:9 *25780:S 9.3 -*END - -*D_NET *1870 0.00758239 -*CONN -*I *25788:S I *D sky130_fd_sc_hd__mux2_1 -*I *25786:S I *D sky130_fd_sc_hd__mux2_1 -*I *25787:S I *D sky130_fd_sc_hd__mux2_1 -*I *25784:S I *D sky130_fd_sc_hd__mux2_1 -*I *25785:S I *D sky130_fd_sc_hd__mux2_1 -*I *25783:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *25788:S 2.15918e-05 -2 *25786:S 0 -3 *25787:S 0 -4 *25784:S 0.000302066 -5 *25785:S 0.000339422 -6 *25783:X 0.000804882 -7 *1870:29 0.000467865 -8 *1870:24 0.000468797 -9 *1870:8 0.000685212 -10 *1870:7 0.000869265 -11 *25784:S *3684:69 1.02504e-05 -12 *25784:S *3740:31 7.07155e-06 -13 *25784:S *3948:24 0.000604831 -14 *25784:S *4092:21 0.000239551 -15 *25784:S *5721:10 0.00014444 -16 *25785:S *30785:A 6.57032e-05 -17 *25785:S *5839:63 0.000132879 -18 *25788:S *3957:22 1.88048e-05 -19 *25788:S *5833:65 4.73656e-05 -20 *1870:7 *25785:A0 0.000689875 -21 *1870:7 *3688:78 1.32552e-05 -22 *1870:7 *5669:57 1.56e-05 -23 *1870:7 *5669:81 2.59355e-05 -24 *1870:8 *3957:22 3.06406e-05 -25 *1870:8 *5833:65 0.000103267 -26 *1870:24 *25786:A0 2.60984e-05 -27 *1870:24 *3957:22 0.000334297 -28 *1870:24 *5833:65 5.03492e-05 -29 *1870:29 *25786:A0 1.55885e-05 -30 *1870:29 *25786:A1 2.59355e-05 -31 *1870:29 *3684:64 2.59355e-05 -32 *1870:29 *3684:69 0.000123288 -33 *1870:29 *3948:24 0.000162118 -34 *1870:29 *4092:21 2.49484e-05 -35 *1870:29 *5833:65 1.98839e-05 -36 *25128:B *1870:24 0.000437934 -37 *25162:B *1870:24 0.000153054 -38 *25328:B1 *1870:7 8.43535e-06 -39 *1473:70 *1870:7 6.595e-05 -*RES -1 *25783:X *1870:7 24.1929 -2 *1870:7 *1870:8 1.33929 -3 *1870:8 *25785:S 18.8714 -4 *1870:8 *1870:24 14.4107 -5 *1870:24 *1870:29 8.51786 -6 *1870:29 *25784:S 31.5857 -7 *1870:29 *25787:S 13.8 -8 *1870:24 *25786:S 9.3 -9 *1870:7 *25788:S 14.5321 -*END - -*D_NET *1871 0.0632317 -*CONN -*I *25796:S I *D sky130_fd_sc_hd__mux2_1 -*I *25795:S I *D sky130_fd_sc_hd__mux2_1 -*I *25797:S I *D sky130_fd_sc_hd__mux2_1 -*I *25793:S I *D sky130_fd_sc_hd__mux2_1 -*I *25791:S I *D sky130_fd_sc_hd__mux2_1 -*I *25792:S I *D sky130_fd_sc_hd__mux2_1 -*I *25794:S I *D sky130_fd_sc_hd__mux2_1 -*I *25790:S I *D sky130_fd_sc_hd__mux2_1 -*I *25789:X O *D sky130_fd_sc_hd__and2_4 -*CAP -1 *25796:S 7.52696e-06 -2 *25795:S 0 -3 *25797:S 5.65626e-05 -4 *25793:S 0 -5 *25791:S 0.000153818 -6 *25792:S 0 -7 *25794:S 0.000728036 -8 *25790:S 0 -9 *25789:X 0.00103733 -10 *1871:94 0.00233954 -11 *1871:81 0.0024784 -12 *1871:70 0.00159795 -13 *1871:63 0.000748432 -14 *1871:55 0.00122737 -15 *1871:50 0.00129408 -16 *1871:48 0.000337835 -17 *1871:43 0.00286777 -18 *1871:37 0.00392601 -19 *1871:24 0.00445347 -20 *1871:20 0.003724 -21 *1871:12 0.00188346 -22 *1871:10 0.00255617 -23 *25791:S *5566:11 8.70693e-05 -24 *25794:S *25169:A1 3.75868e-05 -25 *25794:S *3564:14 0 -26 *25794:S *3564:19 0 -27 *25794:S *5728:10 0 -28 *25794:S *5836:131 0.000488451 -29 *25794:S *5836:135 0.000597099 -30 *25796:S *2479:8 3.73407e-05 -31 *25796:S *5565:45 1.00375e-05 -32 *25797:S *25797:A0 8.25843e-06 -33 *1871:10 *25779:A1 0.00027438 -34 *1871:10 *25789:B 0.00014285 -35 *1871:10 *25804:A 0 -36 *1871:10 *1873:8 0.000340781 -37 *1871:10 *1874:8 4.25716e-05 -38 *1871:10 *5779:8 0 -39 *1871:10 *5779:14 0 -40 *1871:10 *5779:26 0 -41 *1871:10 *5779:35 7.50697e-05 -42 *1871:10 *5779:41 0.000131472 -43 *1871:10 *5779:56 7.60306e-05 -44 *1871:10 *5779:67 8.46829e-05 -45 *1871:10 *5824:120 4.66203e-05 -46 *1871:10 *6371:18 0 -47 *1871:12 *1873:8 0 -48 *1871:12 *3541:68 0.000207835 -49 *1871:12 *4079:8 0.000190726 -50 *1871:12 *4079:15 0.000688783 -51 *1871:12 *5301:40 0.000282881 -52 *1871:12 *5669:34 0.00114082 -53 *1871:12 *5669:39 9.25014e-06 -54 *1871:12 *5824:120 0.00113235 -55 *1871:12 *5833:16 0.000767028 -56 *1871:12 *5833:20 0.00112589 -57 *1871:20 *25896:A1 2.61099e-05 -58 *1871:20 *25927:A0 4.18895e-05 -59 *1871:20 *3767:8 0.000819332 -60 *1871:20 *5111:13 0.000539782 -61 *1871:20 *5833:23 0.000218685 -62 *1871:20 *5833:40 0.00026083 -63 *1871:24 *26611:B 7.55769e-05 -64 *1871:24 *28231:D 2.76949e-05 -65 *1871:24 *28335:D 0.00127067 -66 *1871:24 *28335:RESET_B 1.77736e-05 -67 *1871:24 *2011:63 2.26424e-05 -68 *1871:24 *2352:40 3.67364e-05 -69 *1871:24 *2383:8 1.67439e-05 -70 *1871:24 *2672:19 0.0011409 -71 *1871:24 *3762:8 5.20829e-05 -72 *1871:37 *26427:A1 0.000125029 -73 *1871:37 *26427:B1 0.000153884 -74 *1871:37 *26436:B 4.58194e-05 -75 *1871:37 *26436:C_N 3.47984e-05 -76 *1871:37 *26437:D 0.00015153 -77 *1871:37 *26439:A 0.000140393 -78 *1871:37 *26439:C 0.000130615 -79 *1871:37 *26441:B 1.21258e-05 -80 *1871:37 *26611:C 0.000265447 -81 *1871:37 *2157:141 3.63775e-05 -82 *1871:37 *2376:15 2.14658e-05 -83 *1871:43 *25796:A0 0.000283105 -84 *1871:43 *26436:C_N 9.94194e-06 -85 *1871:43 *26734:C 0.000125355 -86 *1871:43 *29552:A 5.33005e-05 -87 *1871:43 *2157:141 0.00038236 -88 *1871:43 *2349:30 0.000154982 -89 *1871:43 *2376:15 0.000107637 -90 *1871:43 *2669:11 0.000924909 -91 *1871:43 *2672:10 5.52302e-05 -92 *1871:48 *5565:45 9.87983e-06 -93 *1871:50 *2479:8 0.00110687 -94 *1871:50 *5565:45 7.47029e-06 -95 *1871:50 *6147:14 0.000116155 -96 *1871:55 *30983:A 0.00026287 -97 *1871:55 *2479:8 0.00132098 -98 *1871:55 *5541:8 0.000159952 -99 *1871:55 *6147:14 0.000232309 -100 *1871:63 *25793:A0 7.83587e-05 -101 *1871:63 *30984:A 3.65015e-05 -102 *1871:63 *2179:27 0.000311036 -103 *1871:70 *30984:A 0.000121212 -104 *1871:70 *5554:35 0.000611695 -105 *1871:70 *5555:8 0.000120655 -106 *1871:70 *5560:33 0.000168051 -107 *1871:81 *25790:A0 0.000624147 -108 *1871:81 *25792:A0 0.00171703 -109 *1871:81 *28063:A 0.000743856 -110 *1871:81 *1874:59 2.56289e-05 -111 *1871:81 *3564:71 2.59355e-05 -112 *1871:81 *5554:35 0.000380933 -113 *1871:81 *5555:8 5.10822e-05 -114 *1871:81 *5564:8 0.000114368 -115 *1871:81 *5564:32 4.98872e-05 -116 *1871:94 *27975:A0 6.04621e-05 -117 *1871:94 *27975:S 2.59355e-05 -118 *1871:94 *28088:CLK 0.000134461 -119 *1871:94 *28412:CLK_N 0.000340059 -120 *1871:94 *29476:A 1.21258e-05 -121 *1871:94 *4174:17 0.000175892 -122 *1871:94 *5742:207 4.75723e-05 -123 *1871:94 *5836:135 0.00012041 -124 *25036:A0 *1871:94 1.52733e-05 -125 *25169:S *25794:S 3.06406e-05 -126 *25199:B1 *1871:12 0.000742722 -127 *25199:C1 *1871:12 4.32309e-05 -128 *25457:A0 *25794:S 0 -129 *25457:S *25794:S 6.56489e-05 -130 *25791:A1 *25791:S 5.33005e-05 -131 *25791:A1 *1871:81 3.19109e-05 -132 *25792:A1 *1871:81 0.000147485 -133 *28088:D *1871:94 4.87953e-05 -134 *28089:D *25794:S 0.000482149 -135 *28251:D *1871:81 0.000148196 -136 *28253:D *1871:63 0 -137 *28253:D *1871:70 0 -138 *28816:D *1871:94 8.24047e-05 -139 *28830:D *1871:10 6.65302e-05 -140 *28830:D *1871:12 6.22238e-05 -141 *29342:A *1871:24 5.45582e-05 -142 *29670:A *1871:12 7.3184e-05 -143 *414:22 *25794:S 0 -144 *593:19 *1871:81 0.000149883 -145 *1191:76 *1871:94 1.02821e-05 -146 *1323:109 *25797:S 3.69047e-06 -147 *1323:109 *1871:55 4.00679e-05 -148 *1323:109 *1871:70 0.000702063 -149 *1324:113 *25794:S 4.24858e-05 -150 *1324:113 *1871:94 5.6614e-05 -151 *1358:86 *1871:50 0.00108276 -152 *1358:86 *1871:55 0.00176885 -153 *1393:124 *1871:63 0.000171375 -154 *1434:33 *1871:24 2.03486e-05 -155 *1665:98 *1871:81 5.67727e-05 -156 *1730:87 *25794:S 0 -157 *1782:8 *1871:10 0 -158 *1816:16 *1871:10 0 -159 *1829:16 *25794:S 5.98502e-05 -160 *1869:9 *1871:20 0.00018077 -161 *1869:29 *1871:12 0.000198492 -*RES -1 *25789:X *1871:10 37.5857 -2 *1871:10 *1871:12 53.8571 -3 *1871:12 *1871:20 26.8393 -4 *1871:20 *1871:24 46.1071 -5 *1871:24 *1871:37 24.6339 -6 *1871:37 *1871:43 45.9554 -7 *1871:43 *1871:48 5.3125 -8 *1871:48 *1871:50 14.2411 -9 *1871:50 *1871:55 34.6071 -10 *1871:55 *1871:63 14.3393 -11 *1871:63 *1871:70 21.8036 -12 *1871:70 *1871:81 37.0357 -13 *1871:81 *1871:94 35.9821 -14 *1871:94 *25790:S 13.8 -15 *1871:94 *25794:S 33.3536 -16 *1871:81 *25792:S 9.3 -17 *1871:70 *25791:S 16.4071 -18 *1871:63 *25793:S 9.3 -19 *1871:55 *25797:S 10.2643 -20 *1871:50 *25795:S 13.8 -21 *1871:48 *25796:S 14.3804 -*END - -*D_NET *1872 0.0214094 -*CONN -*I *25801:S I *D sky130_fd_sc_hd__mux2_1 -*I *25803:S I *D sky130_fd_sc_hd__mux2_1 -*I *25802:S I *D sky130_fd_sc_hd__mux2_1 -*I *25799:S I *D sky130_fd_sc_hd__mux2_1 -*I *25800:S I *D sky130_fd_sc_hd__mux2_1 -*I *25798:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *25801:S 0 -2 *25803:S 0.000139563 -3 *25802:S 0.000136939 -4 *25799:S 4.82983e-05 -5 *25800:S 0 -6 *25798:Y 0.000744683 -7 *1872:58 0.00135995 -8 *1872:45 0.00150324 -9 *1872:40 0.000396035 -10 *1872:31 0.001774 -11 *1872:10 0.00254322 -12 *25799:S *25799:A1 5.33005e-05 -13 *25799:S *3739:19 0.000135028 -14 *25799:S *5712:17 1.38323e-05 -15 *25799:S *5712:30 2.59355e-05 -16 *25803:S *25803:A1 9.90367e-05 -17 *25803:S *3830:36 7.82128e-05 -18 *25803:S *4118:26 5.33334e-05 -19 *25803:S *5545:30 5.28291e-05 -20 *25803:S *5741:177 7.90803e-05 -21 *1872:10 *28260:D 0.000100833 -22 *1872:10 *4113:25 0.000218103 -23 *1872:10 *4487:24 0.000220539 -24 *1872:31 *25467:C1 2.06178e-05 -25 *1872:31 *25472:A1 1.24368e-05 -26 *1872:31 *25774:A1 2.06112e-05 -27 *1872:31 *25800:A1 3.27359e-05 -28 *1872:31 *25877:A0 2.21972e-05 -29 *1872:31 *27914:B1 6.91157e-05 -30 *1872:31 *28717:RESET_B 0.000748444 -31 *1872:31 *30431:A 0.000179788 -32 *1872:31 *1877:42 8.59516e-05 -33 *1872:31 *3165:297 0.000207566 -34 *1872:31 *3165:312 0.000251314 -35 *1872:31 *3196:125 0.000229933 -36 *1872:31 *3414:20 9.87358e-05 -37 *1872:31 *3737:19 5.33005e-05 -38 *1872:31 *3831:83 0.000380643 -39 *1872:31 *3897:32 2.45204e-05 -40 *1872:31 *4064:37 0.000765813 -41 *1872:31 *4113:36 0.000749749 -42 *1872:31 *4127:75 4.65519e-05 -43 *1872:31 *4142:9 2.11419e-05 -44 *1872:31 *4164:153 3.29233e-05 -45 *1872:31 *5666:77 0 -46 *1872:31 *5686:35 4.65519e-05 -47 *1872:31 *5743:75 0.000555521 -48 *1872:31 *5743:122 0.000117095 -49 *1872:40 *25801:A1 5.33005e-05 -50 *1872:40 *3558:17 4.08637e-05 -51 *1872:40 *4113:25 1.04707e-05 -52 *1872:40 *4487:24 1.90936e-05 -53 *1872:45 *25801:A1 9.58126e-05 -54 *1872:45 *3558:17 0.000343803 -55 *1872:45 *3830:36 0.000746647 -56 *1872:45 *4487:24 0.000231912 -57 *1872:45 *5693:70 0.000743408 -58 *1872:58 *27924:A2 0.00100084 -59 *1872:58 *27939:A 0.000504301 -60 *1872:58 *28261:D 0.000100969 -61 *1872:58 *28295:D 0.000216756 -62 *1872:58 *28718:CLK 0.000138686 -63 *1872:58 *1877:66 0.00018441 -64 *1872:58 *3637:111 0.000159301 -65 *1872:58 *3830:36 0.000694588 -66 *1872:58 *5545:30 0.000315189 -67 *1872:58 *5666:55 2.8046e-05 -68 *1872:58 *5693:70 0.000169457 -69 *1872:58 *5741:177 0.000222878 -70 *25775:S *1872:10 0.000189897 -71 *30080:A *25802:S 0.000215632 -72 *30474:A *1872:58 0.000129868 -73 *400:14 *1872:58 5.66551e-05 -74 *412:14 *1872:31 5.00194e-05 -75 *434:8 *1872:31 7.40571e-05 -76 *1396:45 *1872:10 2.89114e-05 -77 *1456:82 *1872:31 1.02891e-05 -78 *1545:48 *1872:31 4.65519e-05 -79 *1844:44 *1872:31 3.75199e-05 -*RES -1 *25798:Y *1872:10 24.7554 -2 *1872:10 *1872:31 44.0937 -3 *1872:31 *25800:S 9.3 -4 *1872:10 *1872:40 5.3125 -5 *1872:40 *1872:45 17.75 -6 *1872:45 *25799:S 15.1571 -7 *1872:45 *1872:58 34.8929 -8 *1872:58 *25802:S 16.4071 -9 *1872:58 *25803:S 17.9071 -10 *1872:40 *25801:S 9.3 -*END - -*D_NET *1873 0.0660074 -*CONN -*I *25812:S I *D sky130_fd_sc_hd__mux2_1 -*I *25811:S I *D sky130_fd_sc_hd__mux2_1 -*I *25809:S I *D sky130_fd_sc_hd__mux2_1 -*I *25808:S I *D sky130_fd_sc_hd__mux2_1 -*I *25807:S I *D sky130_fd_sc_hd__mux2_1 -*I *25806:S I *D sky130_fd_sc_hd__mux2_1 -*I *25805:S I *D sky130_fd_sc_hd__mux2_1 -*I *25810:S I *D sky130_fd_sc_hd__mux2_1 -*I *25804:X O *D sky130_fd_sc_hd__and2_4 -*CAP -1 *25812:S 0.000911971 -2 *25811:S 0.000186763 -3 *25809:S 0 -4 *25808:S 0.000576241 -5 *25807:S 5.10382e-05 -6 *25806:S 0.000719649 -7 *25805:S 0.000238566 -8 *25810:S 0.000126383 -9 *25804:X 0.00115499 -10 *1873:75 0.0013681 -11 *1873:63 0.000874032 -12 *1873:61 0.00132274 -13 *1873:51 0.00105689 -14 *1873:40 0.000566529 -15 *1873:38 0.00050515 -16 *1873:37 0.00113866 -17 *1873:35 0.00465927 -18 *1873:34 0.00465927 -19 *1873:32 0.00331782 -20 *1873:21 0.0041867 -21 *1873:12 0.00202486 -22 *1873:8 0.00231097 -23 *25805:S *25805:A0 5.49489e-05 -24 *25805:S *29470:A 0 -25 *25805:S *29476:A 0.000435378 -26 *25805:S *3561:19 4.10926e-05 -27 *25805:S *5728:36 9.30876e-05 -28 *25805:S *5899:20 3.4323e-06 -29 *25806:S *28064:A 0.000216755 -30 *25806:S *28410:D 1.07719e-05 -31 *25806:S *31007:A 0.000122047 -32 *25806:S *1874:68 0.000152685 -33 *25806:S *5345:11 0.000181943 -34 *25806:S *5580:7 4.00276e-06 -35 *25806:S *5580:16 0.000836865 -36 *25807:S *25807:A0 0.0001179 -37 *25807:S *5542:41 0.000121573 -38 *25808:S *25808:A0 3.4879e-05 -39 *25810:S *25810:A0 0.000127378 -40 *25810:S *29576:A 0.000269378 -41 *25810:S *29624:A 0.000517973 -42 *25811:S *25811:A0 0.000517973 -43 *25811:S *29569:A 0.000139171 -44 *25812:S *25812:A0 0.000294825 -45 *25812:S *26237:B 0.000726458 -46 *25812:S *26257:B 9.30093e-05 -47 *25812:S *2179:7 2.94004e-05 -48 *25812:S *2208:8 0.000101545 -49 *1873:8 *5301:40 0.00026179 -50 *1873:8 *5824:108 0.000157955 -51 *1873:12 *28244:RESET_B 9.12446e-05 -52 *1873:12 *3655:79 0.000364905 -53 *1873:12 *3767:8 0.000150643 -54 *1873:12 *5301:40 0.00350381 -55 *1873:12 *5824:108 0 -56 *1873:21 *25929:A0 0.000139009 -57 *1873:21 *3541:68 0.00139769 -58 *1873:21 *3655:17 0.000263524 -59 *1873:21 *3765:28 2.87005e-05 -60 *1873:21 *3765:30 7.08912e-05 -61 *1873:21 *5709:87 7.05447e-05 -62 *1873:21 *5709:91 0.000747631 -63 *1873:21 *5715:125 0.000304394 -64 *1873:32 *25156:B2 0.00124488 -65 *1873:32 *30446:A 0.000418808 -66 *1873:32 *3541:38 4.43698e-05 -67 *1873:32 *3687:40 3.97815e-05 -68 *1873:32 *3688:17 3.34783e-05 -69 *1873:32 *3698:78 0.000430646 -70 *1873:32 *3767:8 0.00049437 -71 *1873:32 *3767:17 0.00126978 -72 *1873:32 *3947:33 1.59233e-05 -73 *1873:32 *4092:21 6.23883e-05 -74 *1873:32 *4103:68 0 -75 *1873:32 *4350:65 0 -76 *1873:32 *5301:13 0.000272059 -77 *1873:32 *5709:112 0.000770974 -78 *1873:32 *5719:55 3.90809e-05 -79 *1873:35 *24869:B 0.000228889 -80 *1873:35 *26257:A 0.000633677 -81 *1873:35 *30135:A 0.00048555 -82 *1873:35 *3165:348 0.000182097 -83 *1873:35 *3165:355 0.000935268 -84 *1873:35 *3586:7 0.000703595 -85 *1873:35 *3586:56 0.000268396 -86 *1873:35 *6358:27 2.14372e-05 -87 *1873:38 *26257:B 0.000297566 -88 *1873:38 *28063:B 0.000107306 -89 *1873:38 *1874:36 0.000130678 -90 *1873:38 *2208:8 0.000389861 -91 *1873:38 *5356:11 4.06782e-05 -92 *1873:40 *28063:B 7.95074e-05 -93 *1873:40 *1874:36 7.91281e-05 -94 *1873:51 *25809:A1 0.000462099 -95 *1873:51 *28063:B 0.000153973 -96 *1873:51 *1874:36 0.000984806 -97 *1873:51 *6169:107 3.32442e-05 -98 *1873:61 *24809:A 0.000177234 -99 *1873:61 *25072:A1 0.00024933 -100 *1873:61 *29476:A 9.45395e-05 -101 *1873:61 *1874:36 0.000375752 -102 *1873:61 *1874:59 0.000126067 -103 *1873:61 *5575:30 3.91614e-05 -104 *1873:61 *6169:107 0.000215873 -105 *1873:63 *29476:A 6.91157e-05 -106 *1873:75 *28410:CLK_N 0.000333263 -107 *1873:75 *28410:D 2.64912e-05 -108 *25035:A0 *1873:61 2.04825e-05 -109 *25234:A2 *1873:21 2.42516e-05 -110 *25245:C1 *1873:21 7.70717e-05 -111 *25779:S *1873:8 0.000921158 -112 *25808:A1 *25808:S 7.04159e-05 -113 *28266:D *25808:S 0.000346906 -114 *28266:D *1873:61 5.08488e-05 -115 *28411:RESET_B *25805:S 6.63872e-05 -116 *28411:RESET_B *1873:61 0.0004134 -117 *28411:RESET_B *1873:63 2.34204e-05 -118 *28830:D *1873:8 0.00031275 -119 *29670:A *1873:8 0.000307303 -120 *29889:A *1873:8 0.000534365 -121 *30180:A *1873:35 3.30237e-05 -122 *413:8 *25806:S 7.83587e-05 -123 *1191:85 *1873:61 0.000155155 -124 *1358:69 *1873:51 0.000236616 -125 *1464:38 *1873:12 0.000222021 -126 *1475:36 *1873:21 0 -127 *1545:65 *25806:S 0.000606632 -128 *1755:10 *1873:61 0.000624234 -129 *1816:16 *1873:8 0 -130 *1869:14 *1873:12 0.00213544 -131 *1869:34 *1873:8 0.000591482 -132 *1871:10 *1873:8 0.000340781 -133 *1871:12 *1873:8 0 -*RES -1 *25804:X *1873:8 48.5946 -2 *1873:8 *1873:12 49.7232 -3 *1873:12 *1873:21 37.9464 -4 *1873:21 *1873:32 46.3775 -5 *1873:32 *1873:34 4.5 -6 *1873:34 *1873:35 60.5 -7 *1873:35 *1873:37 4.5 -8 *1873:37 *1873:38 8.32143 -9 *1873:38 *1873:40 2.25 -10 *1873:40 *25810:S 18.8536 -11 *1873:40 *1873:51 13.1071 -12 *1873:51 *1873:61 21.4821 -13 *1873:61 *1873:63 1.03571 -14 *1873:63 *25805:S 20.7464 -15 *1873:63 *1873:75 12.0179 -16 *1873:75 *25806:S 36.9071 -17 *1873:75 *25807:S 19.9429 -18 *1873:61 *25808:S 21.2286 -19 *1873:51 *25809:S 13.8 -20 *1873:38 *25811:S 18.8536 -21 *1873:37 *25812:S 30.0679 -*END - -*D_NET *1874 0.0758707 -*CONN -*I *25818:S I *D sky130_fd_sc_hd__mux2_1 -*I *25820:S I *D sky130_fd_sc_hd__mux2_1 -*I *25821:S I *D sky130_fd_sc_hd__mux2_1 -*I *25819:S I *D sky130_fd_sc_hd__mux2_1 -*I *25817:S I *D sky130_fd_sc_hd__mux2_1 -*I *25815:S I *D sky130_fd_sc_hd__mux2_1 -*I *25816:S I *D sky130_fd_sc_hd__mux2_1 -*I *25814:S I *D sky130_fd_sc_hd__mux2_1 -*I *25813:X O *D sky130_fd_sc_hd__and2_4 -*CAP -1 *25818:S 0.000108198 -2 *25820:S 7.33849e-05 -3 *25821:S 0 -4 *25819:S 3.04135e-05 -5 *25817:S 0 -6 *25815:S 5.69888e-05 -7 *25816:S 0.00059527 -8 *25814:S 0.000183905 -9 *25813:X 0.000139372 -10 *1874:98 0.0010286 -11 *1874:84 0.000702508 -12 *1874:82 0.000422888 -13 *1874:80 0.000514028 -14 *1874:75 0.000624031 -15 *1874:68 0.00128085 -16 *1874:59 0.00189747 -17 *1874:36 0.00479662 -18 *1874:35 0.00522672 -19 *1874:27 0.00435244 -20 *1874:11 0.00608886 -21 *1874:8 0.00352511 -22 *25814:S *4161:49 0.000581604 -23 *25814:S *4166:10 4.53427e-05 -24 *25815:S *25815:A0 9.41642e-05 -25 *25815:S *5348:17 4.58194e-05 -26 *25816:S *25815:A0 8.43535e-06 -27 *25816:S *29563:A 2.21972e-05 -28 *25816:S *5341:12 6.30931e-05 -29 *25816:S *5348:17 1.24368e-05 -30 *25816:S *5545:59 0.00061108 -31 *25816:S *6169:126 2.70189e-05 -32 *25818:S *25818:A0 0.000260574 -33 *25818:S *5356:11 5.33005e-05 -34 *25819:S *25819:A0 5.33005e-05 -35 *25820:S *4159:80 5.96516e-05 -36 *1874:8 *28322:CLK 2.84398e-05 -37 *1874:8 *5779:74 2.6949e-05 -38 *1874:11 *26172:B1 0.000147527 -39 *1874:11 *26343:A 0.000129913 -40 *1874:11 *26343:B 0.000119763 -41 *1874:11 *26350:A 0.000549576 -42 *1874:11 *26351:A3 4.00276e-06 -43 *1874:11 *2291:11 0.000806574 -44 *1874:11 *6376:175 0.00015791 -45 *1874:11 *6377:185 0.00130031 -46 *1874:27 *26031:A 5.33005e-05 -47 *1874:27 *26031:B 5.52302e-05 -48 *1874:27 *26066:A 6.35515e-05 -49 *1874:27 *26172:A1 0.000417004 -50 *1874:27 *1909:18 0.000308798 -51 *1874:27 *1910:20 2.63501e-05 -52 *1874:27 *1964:52 5.41797e-06 -53 *1874:27 *1964:66 3.34366e-05 -54 *1874:27 *1966:39 9.38524e-05 -55 *1874:27 *1975:14 0.00187314 -56 *1874:27 *2019:12 2.65458e-05 -57 *1874:27 *2046:66 4.58194e-05 -58 *1874:27 *2053:34 0.000142508 -59 *1874:27 *2054:53 0.000301954 -60 *1874:27 *2105:31 0.000344829 -61 *1874:27 *2107:11 0.000307427 -62 *1874:27 *2110:12 1.2662e-05 -63 *1874:27 *2113:65 0.000177262 -64 *1874:27 *2126:8 0.000674097 -65 *1874:27 *2127:77 2.62977e-05 -66 *1874:27 *2133:56 0.000821239 -67 *1874:27 *5545:21 0.000220642 -68 *1874:27 *6291:123 8.92205e-05 -69 *1874:35 *26020:C 0.000255549 -70 *1874:35 *1910:27 0.000123605 -71 *1874:35 *1946:29 0.000215364 -72 *1874:35 *1946:81 0.000126169 -73 *1874:35 *1946:98 0 -74 *1874:35 *2054:53 0.000110498 -75 *1874:35 *2127:27 0.00139391 -76 *1874:35 *2142:25 0.00025189 -77 *1874:35 *2157:81 0 -78 *1874:35 *2484:20 0.00158621 -79 *1874:35 *2484:28 6.43118e-05 -80 *1874:35 *4508:132 0 -81 *1874:35 *5673:251 0 -82 *1874:35 *6291:95 0.000258288 -83 *1874:36 *26248:A 3.83298e-05 -84 *1874:36 *26260:A1 0.000197984 -85 *1874:36 *26260:B1 0.000356431 -86 *1874:36 *26266:A 5.24274e-05 -87 *1874:36 *26549:C_N 0.00182068 -88 *1874:36 *2127:27 0.000234866 -89 *1874:36 *2142:69 0.000410882 -90 *1874:36 *2151:56 0 -91 *1874:36 *2177:41 0.000577407 -92 *1874:36 *2190:6 0.000145753 -93 *1874:36 *2203:19 4.09488e-06 -94 *1874:36 *2208:8 0.00814981 -95 *1874:36 *2471:14 0.00313875 -96 *1874:36 *2489:10 1.09232e-05 -97 *1874:36 *3564:22 3.80904e-05 -98 *1874:36 *5575:8 0.000114759 -99 *1874:36 *5575:30 3.17148e-05 -100 *1874:36 *5742:282 0.000361539 -101 *1874:36 *6169:82 0.000158892 -102 *1874:59 *25792:A0 9.59141e-05 -103 *1874:59 *28411:CLK_N 0.000101915 -104 *1874:59 *3564:71 2.84109e-05 -105 *1874:59 *5564:8 3.82357e-05 -106 *1874:59 *5575:30 0.000126067 -107 *1874:68 *28064:B 6.43196e-05 -108 *1874:68 *5243:8 2.23987e-05 -109 *1874:68 *5332:20 3.34366e-05 -110 *1874:68 *5549:34 0.000198278 -111 *1874:68 *5578:19 6.05161e-06 -112 *1874:68 *6158:24 0.00215488 -113 *1874:75 *25821:A0 1.38323e-05 -114 *1874:75 *31004:A 5.83304e-05 -115 *1874:75 *4159:80 6.05161e-06 -116 *1874:75 *4159:91 9.41642e-05 -117 *1874:75 *5577:7 9.26203e-05 -118 *1874:75 *5577:20 0.000126439 -119 *1874:80 *25821:A0 2.59355e-05 -120 *1874:80 *4171:21 0.000599195 -121 *1874:82 *29560:A 0 -122 *1874:82 *4166:10 2.88624e-05 -123 *1874:82 *4171:21 0.000365266 -124 *1874:82 *5541:8 2.59795e-05 -125 *1874:82 *5577:20 1.84765e-05 -126 *1874:84 *4161:49 0.000338521 -127 *1874:84 *4166:10 0.000124896 -128 *1874:84 *5541:8 5.01876e-06 -129 *1874:98 *5571:8 1.58163e-05 -130 *25792:A1 *1874:59 0.000135028 -131 *25806:S *1874:68 0.000152685 -132 *25816:A1 *25816:S 3.84842e-05 -133 *25817:A1 *1874:82 0.000115364 -134 *25820:A1 *25820:S 1.58163e-05 -135 *25820:A1 *1874:68 0.000125304 -136 *28077:RESET_B *25816:S 2.06112e-05 -137 *28079:RESET_B *25816:S 0.000114012 -138 *28252:D *1874:59 9.41642e-05 -139 *28273:D *25815:S 1.98839e-05 -140 *28273:D *1874:98 0.000553029 -141 *28277:D *1874:75 0.000135851 -142 *28411:D *1874:59 0.000407236 -143 *413:8 *1874:68 0.000334137 -144 *414:22 *1874:36 0.000180999 -145 *1186:47 *1874:35 0.000108545 -146 *1186:55 *1874:35 0.000232063 -147 *1187:48 *1874:35 0.000123605 -148 *1545:62 *1874:80 0.000593828 -149 *1545:62 *1874:82 0.000595406 -150 *1545:65 *1874:68 0.000701356 -151 *1545:95 *1874:68 0.000838039 -152 *1665:63 *1874:75 0.000173804 -153 *1730:87 *1874:36 0 -154 *1739:22 *25814:S 0.000240096 -155 *1755:10 *25818:S 4.10843e-05 -156 *1782:8 *1874:8 0 -157 *1871:10 *1874:8 4.25716e-05 -158 *1871:81 *1874:59 2.56289e-05 -159 *1873:38 *1874:36 0.000130678 -160 *1873:40 *1874:36 7.91281e-05 -161 *1873:51 *1874:36 0.000984806 -162 *1873:61 *1874:36 0.000375752 -163 *1873:61 *1874:59 0.000126067 -*RES -1 *25813:X *1874:8 21.1571 -2 *1874:8 *1874:11 47.3393 -3 *1874:11 *1874:27 49.5048 -4 *1874:27 *1874:35 16.3635 -5 *1874:35 *1874:36 128.08 -6 *1874:36 *1874:59 36.1071 -7 *1874:59 *1874:68 45.6786 -8 *1874:68 *1874:75 17.6607 -9 *1874:75 *1874:80 12.75 -10 *1874:80 *1874:82 9.83929 -11 *1874:82 *1874:84 4.67857 -12 *1874:84 *25814:S 21.2107 -13 *1874:84 *1874:98 11.75 -14 *1874:98 *25816:S 29.1402 -15 *1874:98 *25815:S 10.6571 -16 *1874:82 *25817:S 13.8 -17 *1874:80 *25819:S 14.3357 -18 *1874:75 *25821:S 9.3 -19 *1874:68 *25820:S 10.6571 -20 *1874:36 *25818:S 16.3893 -*END - -*D_NET *1875 0.0193998 -*CONN -*I *25826:S I *D sky130_fd_sc_hd__mux2_1 -*I *25823:S I *D sky130_fd_sc_hd__mux2_1 -*I *25825:S I *D sky130_fd_sc_hd__mux2_1 -*I *25824:S I *D sky130_fd_sc_hd__mux2_1 -*I *25827:S I *D sky130_fd_sc_hd__mux2_1 -*I *25822:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *25826:S 0.00013097 -2 *25823:S 0.000122605 -3 *25825:S 0.000228932 -4 *25824:S 0 -5 *25827:S 0 -6 *25822:X 0.000399464 -7 *1875:52 0.000676054 -8 *1875:38 0.000324516 -9 *1875:36 0.00157063 -10 *1875:35 0.00172461 -11 *1875:29 0.00117741 -12 *1875:15 0.00129192 -13 *25823:S *25823:A0 6.34485e-05 -14 *25823:S *3710:28 2.05484e-05 -15 *25825:S *25825:A0 9.60875e-05 -16 *25825:S *28149:RESET_B 0.000124414 -17 *25825:S *28163:CLK 0.000159852 -18 *25825:S *5742:102 0.000122689 -19 *25826:S *24874:A_N 0.000102813 -20 *25826:S *25826:A0 0.000175892 -21 *25826:S *25826:A1 5.33005e-05 -22 *25826:S *3657:10 0.000108516 -23 *1875:15 *25822:B 5.33005e-05 -24 *1875:15 *25827:A0 5.33005e-05 -25 *1875:15 *25827:A1 9.41642e-05 -26 *1875:15 *25863:A1 0.000125369 -27 *1875:15 *28333:CLK 0 -28 *1875:15 *29886:A 0.000179904 -29 *1875:15 *3626:21 4.34472e-05 -30 *1875:15 *3626:34 0.000195156 -31 *1875:29 *25450:A1 8.65688e-05 -32 *1875:29 *25450:A2 6.24672e-05 -33 *1875:29 *25827:A1 0.000125547 -34 *1875:29 *3626:68 0.000135142 -35 *1875:29 *4117:25 0.000244967 -36 *1875:29 *5666:55 0.000224752 -37 *1875:29 *5686:118 0 -38 *1875:29 *5778:49 0.000228747 -39 *1875:35 *24874:A_N 0.000232395 -40 *1875:35 *3657:10 0.000230558 -41 *1875:35 *4156:60 0.000216755 -42 *1875:36 *25657:A0 0.000172546 -43 *1875:36 *28134:D 0.0001428 -44 *1875:36 *28148:RESET_B 0.000278066 -45 *1875:36 *28282:D 0.00017309 -46 *1875:36 *30282:A 1.02366e-05 -47 *1875:36 *30790:A 0.000282186 -48 *1875:36 *4560:14 0.000323894 -49 *1875:36 *5545:50 9.99931e-06 -50 *1875:36 *5719:105 0.000117189 -51 *1875:36 *5719:110 0.000264528 -52 *1875:36 *5740:175 0.000206903 -53 *1875:36 *5740:184 9.22948e-05 -54 *1875:36 *5742:163 0 -55 *1875:36 *5797:11 0 -56 *1875:36 *5805:37 0.000163475 -57 *1875:36 *5837:10 0.000313458 -58 *1875:52 *28149:RESET_B 0.000203391 -59 *1875:52 *3570:79 7.29646e-05 -60 *25461:A1 *25823:S 8.60328e-05 -61 *29887:A *1875:15 0.000392577 -62 *29909:A *1875:36 0.000222156 -63 *30278:A *1875:36 0.000208322 -64 *412:14 *25823:S 8.367e-05 -65 *437:23 *25825:S 0.000213196 -66 *495:15 *25823:S 4.50149e-05 -67 *1173:96 *1875:36 0.000135742 -68 *1173:130 *1875:36 0.000318189 -69 *1195:6 *1875:15 3.46899e-05 -70 *1197:15 *1875:36 6.58945e-05 -71 *1207:11 *25826:S 0.000257619 -72 *1214:11 *1875:15 0.000175892 -73 *1214:11 *1875:29 0.000137983 -74 *1287:14 *1875:36 0.000123842 -75 *1287:21 *1875:36 0.00173675 -76 *1287:25 *25825:S 0.000139265 -77 *1287:25 *1875:52 0.000164612 -78 *1603:47 *1875:52 0.000304387 -79 *1738:106 *1875:52 0.000175892 -80 *1757:23 *25826:S 8.43535e-06 -81 *1795:19 *1875:52 0.000226923 -82 *1829:25 *1875:35 0.000108447 -*RES -1 *25822:X *1875:15 29.6929 -2 *1875:15 *25827:S 9.3 -3 *1875:15 *1875:29 22.8855 -4 *1875:29 *1875:35 14.1875 -5 *1875:35 *1875:36 46.5714 -6 *1875:36 *1875:38 4.5 -7 *1875:38 *25824:S 9.3 -8 *1875:38 *1875:52 21.5982 -9 *1875:52 *25825:S 20.8625 -10 *1875:52 *25823:S 22.4278 -11 *1875:29 *25826:S 17.8804 -*END - -*D_NET *1876 0.0105756 -*CONN -*I *25830:S I *D sky130_fd_sc_hd__mux2_1 -*I *25832:S I *D sky130_fd_sc_hd__mux2_1 -*I *25829:S I *D sky130_fd_sc_hd__mux2_1 -*I *25831:S I *D sky130_fd_sc_hd__mux2_1 -*I *25833:S I *D sky130_fd_sc_hd__mux2_1 -*I *25828:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *25830:S 0.00062116 -2 *25832:S 9.46905e-05 -3 *25829:S 0.000526577 -4 *25831:S 0.000129863 -5 *25833:S 0.000767829 -6 *25828:X 0.000388636 -7 *1876:26 0.000821508 -8 *1876:13 0.00110843 -9 *1876:12 0.000581593 -10 *1876:8 0.00132116 -11 *25829:S *25829:A0 9.90367e-05 -12 *25829:S *28286:CLK 9.71197e-05 -13 *25829:S *4129:7 2.89016e-05 -14 *25830:S *4131:29 0.000138108 -15 *25830:S *4140:7 0.000313241 -16 *25830:S *4140:24 0.000222666 -17 *25831:S *25831:A1 2.89016e-05 -18 *25831:S *28284:CLK 8.00409e-05 -19 *25831:S *29442:A 0 -20 *25832:S *25832:A1 5.33005e-05 -21 *25832:S *5698:87 0.000175892 -22 *25833:S *25833:A1 6.74911e-05 -23 *25833:S *29993:A 0.000227526 -24 *25833:S *3568:59 0 -25 *1876:8 *28141:RESET_B 5.54506e-05 -26 *1876:8 *28285:D 0 -27 *1876:8 *3654:6 9.45668e-05 -28 *1876:8 *3654:13 3.0285e-05 -29 *1876:8 *5691:341 7.85979e-05 -30 *1876:8 *5698:285 0 -31 *1876:8 *5733:117 0.000148903 -32 *1876:8 *5733:126 0.000112323 -33 *1876:12 *25832:A0 0.000100831 -34 *1876:12 *28285:D 0 -35 *1876:12 *30029:A 2.82057e-05 -36 *1876:12 *5691:341 0.000106575 -37 *1876:12 *5698:285 0 -38 *1876:13 *5698:87 0.000228558 -39 *1876:26 *28284:CLK 1.36251e-05 -40 *1876:26 *29993:A 1.52978e-05 -41 *1876:26 *3654:18 5.41054e-05 -42 *1876:26 *3654:20 9.53126e-05 -43 *1876:26 *5733:96 0.00012966 -44 *6594:DIODE *1876:8 1.98839e-05 -45 *25648:S *1876:8 6.09572e-05 -46 *29166:A *25830:S 0.000227539 -47 *29994:A *25833:S 0 -48 *30030:A *1876:13 5.70797e-05 -49 *30030:A *1876:26 0.000128161 -50 *627:15 *25829:S 0.000597669 -51 *627:15 *25831:S 9.52467e-05 -52 *627:15 *1876:26 2.17809e-05 -53 *1323:17 *25830:S 4.13545e-05 -54 *1476:111 *1876:8 0.000139907 -*RES -1 *25828:X *1876:8 22.9786 -2 *1876:8 *1876:12 10.6964 -3 *1876:12 *1876:13 3.82143 -4 *1876:13 *25833:S 31.3893 -5 *1876:13 *1876:26 10.0893 -6 *1876:26 *25831:S 16.8893 -7 *1876:26 *25829:S 25.1571 -8 *1876:12 *25832:S 11.4964 -9 *1876:8 *25830:S 24.7643 -*END - -*D_NET *1877 0.02196 -*CONN -*I *25838:S I *D sky130_fd_sc_hd__mux2_1 -*I *25839:S I *D sky130_fd_sc_hd__mux2_1 -*I *25837:S I *D sky130_fd_sc_hd__mux2_1 -*I *25836:S I *D sky130_fd_sc_hd__mux2_1 -*I *25835:S I *D sky130_fd_sc_hd__mux2_1 -*I *25834:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *25838:S 0.000242777 -2 *25839:S 3.3698e-05 -3 *25837:S 1.71076e-05 -4 *25836:S 0.000102556 -5 *25835:S 0.000315635 -6 *25834:X 0 -7 *1877:66 0.00166313 -8 *1877:53 0.00222947 -9 *1877:42 0.00175646 -10 *1877:10 0.000824369 -11 *1877:4 0.00130272 -12 *25835:S *25835:A0 2.89016e-05 -13 *25835:S *25835:A1 1.33343e-05 -14 *25835:S *28290:D 0.000113877 -15 *25835:S *1899:39 1.39726e-05 -16 *25835:S *3815:59 0.000312729 -17 *25835:S *3895:29 0.000380704 -18 *25835:S *5710:8 0.000497311 -19 *25836:S *25836:A0 0.000224583 -20 *25837:S *3414:20 5.33005e-05 -21 *25837:S *5583:11 2.84109e-05 -22 *25838:S *25838:A0 0.000124811 -23 *25838:S *25838:A1 9.41642e-05 -24 *25838:S *25880:S 0.000242647 -25 *25838:S *3830:36 0.00017156 -26 *25839:S *3561:19 6.05161e-06 -27 *1877:10 *28290:D 1.81805e-05 -28 *1877:10 *3736:25 0.000322906 -29 *1877:10 *3895:29 0.000369557 -30 *1877:10 *3945:23 9.70065e-05 -31 *1877:10 *5651:76 0.000504724 -32 *1877:42 *25491:B 0.000276801 -33 *1877:42 *25800:A1 0.000321474 -34 *1877:42 *27885:B1 1.53262e-05 -35 *1877:42 *27913:B2 9.41642e-05 -36 *1877:42 *1887:31 4.15183e-05 -37 *1877:42 *3165:297 0.00044304 -38 *1877:42 *3194:129 2.30116e-06 -39 *1877:42 *3414:20 0.000178425 -40 *1877:42 *3749:46 0.000157907 -41 *1877:42 *3831:83 0.000465547 -42 *1877:42 *4126:48 0.000507418 -43 *1877:42 *4127:49 0.000378962 -44 *1877:42 *5583:11 4.01264e-05 -45 *1877:53 *27913:B2 0.000218883 -46 *1877:53 *28164:RESET_B 0.000205694 -47 *1877:53 *30219:A 1.90936e-05 -48 *1877:53 *3184:202 1.03483e-05 -49 *1877:53 *3749:18 2.0587e-05 -50 *1877:53 *3830:36 0.000369331 -51 *1877:53 *4126:39 0.000363716 -52 *1877:53 *5728:151 0.000107658 -53 *1877:66 *25874:S 0.000167254 -54 *1877:66 *27157:A1 0 -55 *1877:66 *28718:CLK 9.10306e-06 -56 *1877:66 *3749:18 0.000187616 -57 *1877:66 *3749:105 0 -58 *1877:66 *3830:36 3.15253e-05 -59 *1877:66 *3935:28 7.55747e-05 -60 *1877:66 *4113:25 0.000544026 -61 *1877:66 *5253:44 1.75647e-05 -62 *1877:66 *5545:30 0.000137418 -63 *1877:66 *5545:50 0.00128307 -64 *1877:66 *5693:70 0.00105352 -65 *1877:66 *5693:72 9.08166e-05 -66 *1877:66 *5693:74 1.17968e-05 -67 *1877:66 *5699:41 0.000101307 -68 *1877:66 *5743:95 8.70269e-05 -69 *25467:A1 *1877:53 0.000126866 -70 *25467:A2 *1877:53 0.000487777 -71 *25775:S *1877:66 0.000185882 -72 *25834:A *1877:10 8.85631e-05 -73 *400:14 *1877:66 0.000103974 -74 *1456:82 *1877:42 0.00031802 -75 *1456:82 *1877:53 5.44745e-05 -76 *1456:82 *1877:66 4.64527e-05 -77 *1476:77 *1877:42 0.000135028 -78 *1872:31 *1877:42 8.59516e-05 -79 *1872:58 *1877:66 0.00018441 -*RES -1 *25834:X *1877:4 9.3 -2 *1877:4 *1877:10 15.2679 -3 *1877:10 *25835:S 24.425 -4 *1877:10 *25836:S 15.9786 -5 *1877:4 *1877:42 42.9286 -6 *1877:42 *25837:S 9.83571 -7 *1877:42 *1877:53 24.5893 -8 *1877:53 *1877:66 42.125 -9 *1877:66 *25839:S 9.83571 -10 *1877:66 *25838:S 24.8893 -*END - -*D_NET *1878 0.0107342 -*CONN -*I *25841:S I *D sky130_fd_sc_hd__mux2_1 -*I *25843:S I *D sky130_fd_sc_hd__mux2_1 -*I *25844:S I *D sky130_fd_sc_hd__mux2_1 -*I *25845:S I *D sky130_fd_sc_hd__mux2_1 -*I *25842:S I *D sky130_fd_sc_hd__mux2_1 -*I *25840:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *25841:S 1.42879e-05 -2 *25843:S 0.000136954 -3 *25844:S 0 -4 *25845:S 0 -5 *25842:S 0.000386934 -6 *25840:Y 0.000221211 -7 *1878:37 0.000319 -8 *1878:13 0.000948168 -9 *1878:8 0.000889726 -10 *1878:7 0.000717462 -11 *25841:S *25841:A1 1.39726e-05 -12 *25841:S *3830:51 5.52302e-05 -13 *25842:S *25845:A0 0.000137983 -14 *25842:S *3697:33 0.000548944 -15 *25842:S *3858:19 0.000107769 -16 *25842:S *5741:171 0 -17 *25842:S *5743:147 8.77729e-05 -18 *25842:S *5743:155 0.00022217 -19 *25843:S *25861:A0 0.000266092 -20 *25843:S *4103:68 0.000347044 -21 *25843:S *5833:94 6.91157e-05 -22 *25843:S *5839:53 2.16719e-05 -23 *1878:7 *27908:C1 0.000603896 -24 *1878:7 *3700:22 0.000351156 -25 *1878:7 *3700:40 0.000507656 -26 *1878:7 *5890:124 0.000140933 -27 *1878:8 *27908:A2 2.04558e-05 -28 *1878:8 *30245:A 0.000155166 -29 *1878:8 *3450:13 0.000301223 -30 *1878:8 *4103:68 0.000124887 -31 *1878:8 *5007:42 9.76448e-05 -32 *1878:8 *5839:53 0 -33 *1878:13 *25845:A1 7.74135e-05 -34 *1878:13 *30245:A 0.000136364 -35 *1878:13 *3450:13 0.0013407 -36 *1878:13 *3684:21 0.00021493 -37 *1878:13 *3858:19 7.02611e-05 -38 *1878:13 *5007:42 0.000576645 -39 *1878:37 *25841:A0 5.52302e-05 -40 *1878:37 *25841:A1 2.87107e-05 -41 *1878:37 *3830:51 9.71197e-05 -42 *1878:37 *4103:68 0.00022623 -43 *1878:37 *5839:53 0 -44 *30242:A *25842:S 9.60939e-05 -*RES -1 *25840:Y *1878:7 22.1393 -2 *1878:7 *1878:8 6.80357 -3 *1878:8 *1878:13 23.2857 -4 *1878:13 *25842:S 28.8179 -5 *1878:13 *25845:S 9.3 -6 *1878:8 *25844:S 13.8 -7 *1878:7 *1878:37 8.60714 -8 *1878:37 *25843:S 23.2821 -9 *1878:37 *25841:S 9.83571 -*END - -*D_NET *1879 0.00385645 -*CONN -*I *30047:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25846:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *30047:A 0.000959473 -2 *25846:Y 0.000959473 -3 *30047:A *28303:CLK 0 -4 *30047:A *28303:D 0.000125717 -5 *30047:A *3541:38 5.94949e-05 -6 *30047:A *3713:119 0.000889071 -7 *30047:A *5611:47 0.000137983 -8 *30047:A *5669:85 5.71472e-05 -9 *30047:A *5669:101 0.000101011 -10 *30047:A *5688:242 0.000108538 -11 *30047:A *5715:137 3.80079e-05 -12 *30047:A *5715:149 8.17663e-05 -13 *30047:A *5849:11 0.000139907 -14 *30187:A *30047:A 9.74684e-05 -15 *1518:15 *30047:A 0.000101391 -*RES -1 *25846:Y *30047:A 46.9036 -*END - -*D_NET *1880 0.0153279 -*CONN -*I *30824:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *26273:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *25852:Y O *D sky130_fd_sc_hd__nor3_1 -*CAP -1 *30824:A 0.000385728 -2 *26273:B1 3.77526e-05 -3 *25852:Y 0.000269999 -4 *1880:18 0.00201938 -5 *1880:17 0.00252777 -6 *1880:11 0.00120187 -7 *26273:B1 *2215:5 6.05161e-06 -8 *30824:A *25734:A1 1.30999e-05 -9 *30824:A *27990:A2 5.43535e-05 -10 *30824:A *4608:17 0.000225616 -11 *30824:A *4841:27 2.86273e-05 -12 *30824:A *6203:31 1.8791e-05 -13 *30824:A *6214:51 0.000227539 -14 *30824:A *6376:52 8.40344e-05 -15 *30824:A *6378:62 8.29598e-05 -16 *1880:11 *4619:21 4.08232e-05 -17 *1880:11 *4919:27 0.000757836 -18 *1880:17 *25734:A1 3.77978e-05 -19 *1880:17 *27992:A0 0.000389166 -20 *1880:17 *6192:13 2.05464e-05 -21 *1880:17 *6376:41 0.000782023 -22 *1880:17 *6376:52 0.000102153 -23 *1880:18 *24812:A 0.000727957 -24 *1880:18 *26155:B1 0 -25 *1880:18 *26273:A2 8.77116e-05 -26 *1880:18 *26468:A 0 -27 *1880:18 *28813:CLK 0.000209574 -28 *1880:18 *29412:A 6.35892e-05 -29 *1880:18 *29519:A 0 -30 *1880:18 *29656:A 9.34004e-05 -31 *1880:18 *29924:A 0 -32 *1880:18 *30107:A 0 -33 *1880:18 *1930:40 0 -34 *1880:18 *1930:76 0.000587304 -35 *1880:18 *2282:26 0 -36 *1880:18 *2409:6 0 -37 *1880:18 *5729:110 0.000198548 -38 *1880:18 *6376:39 0 -39 *1880:18 *6378:39 1.53472e-05 -40 *1880:18 *6378:41 0.00301762 -41 *28070:D *1880:18 0.000105347 -42 *28070:RESET_B *1880:18 5.24684e-06 -43 *28071:D *1880:18 0.000360974 -44 *28071:RESET_B *1880:18 5.49657e-05 -45 *30661:A *30824:A 0.000108802 -46 *290:21 *1880:11 1.77323e-05 -47 *291:18 *1880:11 0.000359887 -*RES -1 *25852:Y *1880:11 22.704 -2 *1880:11 *1880:17 22.6875 -3 *1880:17 *1880:18 57.5 -4 *1880:18 *26273:B1 14.3357 -5 *1880:11 *30824:A 22.6304 -*END - -*D_NET *1881 0.00433242 -*CONN -*I *25855:B I *D sky130_fd_sc_hd__nor2_8 -*I *25856:B I *D sky130_fd_sc_hd__or2_2 -*I *25853:X O *D sky130_fd_sc_hd__or3_2 -*CAP -1 *25855:B 9.65563e-05 -2 *25856:B 0.000182984 -3 *25853:X 0.000806568 -4 *1881:8 0.00108611 -5 *25855:B *1883:29 3.06406e-05 -6 *25855:B *1884:23 0.000125731 -7 *25855:B *4350:103 0.000209491 -8 *25856:B *6483:DIODE 0.000399147 -9 *25856:B *6645:DIODE 0.00016627 -10 *25856:B *6646:DIODE 7.77751e-05 -11 *25856:B *27668:D 0.00022459 -12 *25856:B *3220:11 2.89016e-05 -13 *25856:B *5593:43 0.000388424 -14 *1881:8 *25588:A2 1.58163e-05 -15 *1881:8 *1883:29 0.000107968 -16 *1881:8 *4350:103 0.000315187 -17 *29473:A *1881:8 7.02611e-05 -*RES -1 *25853:X *1881:8 25.3893 -2 *1881:8 *25856:B 21.8357 -3 *1881:8 *25855:B 16.6571 -*END - -*D_NET *1882 0.0129512 -*CONN -*I *25857:C1 I *D sky130_fd_sc_hd__a2111o_1 -*I *28011:A2 I *D sky130_fd_sc_hd__o211a_2 -*I *25854:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *25857:C1 0.000596045 -2 *28011:A2 0.000883759 -3 *25854:X 0 -4 *1882:27 0.00182533 -5 *1882:5 0.00153762 -6 *25857:C1 *25857:A2 0.00055741 -7 *25857:C1 *25857:B1 0.000522439 -8 *25857:C1 *27970:B 2.95641e-05 -9 *25857:C1 *29593:A 0.000246057 -10 *25857:C1 *3581:158 0.00115249 -11 *25857:C1 *5878:14 0.000469443 -12 *28011:A2 *25778:A1 0.00022459 -13 *28011:A2 *26553:B1 1.24368e-05 -14 *28011:A2 *28011:A1 0.000411883 -15 *28011:A2 *28353:D 0.000265172 -16 *1882:27 *28352:D 0.000249486 -17 *1882:27 *28353:D 5.96516e-05 -18 *1882:27 *3581:14 0.000681785 -19 *1882:27 *3581:158 0.000612392 -20 *1882:27 *4038:8 0.000165886 -21 *1882:27 *5709:76 0.000572729 -22 *1882:27 *5878:14 0.00108846 -23 *1172:15 *25857:C1 0.00010096 -24 *1174:51 *28011:A2 0.000685635 -*RES -1 *25854:X *1882:5 13.8 -2 *1882:5 *1882:27 36.0714 -3 *1882:27 *28011:A2 26.2821 -4 *1882:5 *25857:C1 35.6036 -*END - -*D_NET *1883 0.0475644 -*CONN -*I *28011:B1 I *D sky130_fd_sc_hd__o211a_2 -*I *26552:A I *D sky130_fd_sc_hd__or4_1 -*I *26657:A I *D sky130_fd_sc_hd__or3_1 -*I *26694:A I *D sky130_fd_sc_hd__nor3_1 -*I *26695:A I *D sky130_fd_sc_hd__or3_1 -*I *26735:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *26792:A2 I *D sky130_fd_sc_hd__a211o_1 -*I *26806:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *26712:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *26347:B1 I *D sky130_fd_sc_hd__a211o_1 -*I *27986:A I *D sky130_fd_sc_hd__or4b_4 -*I *25855:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *28011:B1 0 -2 *26552:A 0.000195743 -3 *26657:A 0 -4 *26694:A 0.00043283 -5 *26695:A 0 -6 *26735:A2 0 -7 *26792:A2 0.000216225 -8 *26806:A2 8.22259e-05 -9 *26712:A2 5.98569e-05 -10 *26347:B1 0.000318308 -11 *27986:A 4.43931e-05 -12 *25855:Y 4.16254e-05 -13 *1883:144 0.000918254 -14 *1883:119 0.000719828 -15 *1883:105 0.000589912 -16 *1883:99 0.000239496 -17 *1883:94 0.00136513 -18 *1883:83 0.00162912 -19 *1883:78 0.00178764 -20 *1883:64 0.00134058 -21 *1883:31 0.00213835 -22 *1883:29 0.00284759 -23 *1883:10 0.00145233 -24 *1883:9 0.00148194 -25 *1883:5 0.00177207 -26 *26347:B1 *26344:B 9.90819e-06 -27 *26347:B1 *26346:C 4.87854e-05 -28 *26347:B1 *1884:42 0.000396372 -29 *26347:B1 *2102:12 7.83659e-05 -30 *26347:B1 *2114:12 2.06112e-05 -31 *26347:B1 *2283:12 5.62487e-05 -32 *26347:B1 *2293:15 1.65169e-05 -33 *26347:B1 *3583:11 0.000105586 -34 *26347:B1 *3583:16 0.000180777 -35 *26552:A *26158:B 9.41642e-05 -36 *26552:A *26342:A 5.33005e-05 -37 *26552:A *26552:C 0.000221978 -38 *26552:A *1884:56 5.33005e-05 -39 *26552:A *1930:112 5.96516e-05 -40 *26552:A *3581:76 2.76645e-05 -41 *26694:A *26695:C 0.000460663 -42 *26694:A *2019:115 0.000100831 -43 *26694:A *2020:41 0.000102545 -44 *26694:A *2634:17 8.57166e-06 -45 *26712:A2 *26712:A1 5.3157e-05 -46 *26712:A2 *26735:B1 0.000142717 -47 *26712:A2 *26735:B2 5.33005e-05 -48 *26792:A2 *2674:22 0.000409088 -49 *26792:A2 *2732:11 0.000316927 -50 *27986:A *27986:D_N 5.52302e-05 -51 *27986:A *28014:A3 0.000170385 -52 *27986:A *5462:19 0.000225616 -53 *1883:5 *27656:C1 4.08637e-05 -54 *1883:9 *27656:C1 5.33005e-05 -55 *1883:9 *5312:13 2.44318e-05 -56 *1883:10 *25603:S 0 -57 *1883:10 *25605:A0 0 -58 *1883:10 *25605:A1 0.0015072 -59 *1883:10 *27178:A1 8.00806e-05 -60 *1883:10 *27656:C1 0.000128154 -61 *1883:10 *28981:A 2.07158e-05 -62 *1883:10 *5716:8 0.000101787 -63 *1883:10 *5716:14 0.000122958 -64 *1883:10 *5895:15 0.0001008 -65 *1883:10 *5935:30 0.00158299 -66 *1883:29 *25588:A1 7.8327e-05 -67 *1883:29 *27001:A0 5.33005e-05 -68 *1883:29 *27656:C1 3.17148e-05 -69 *1883:29 *1884:23 6.19181e-05 -70 *1883:29 *2772:77 0.000273603 -71 *1883:29 *2772:85 0.000321169 -72 *1883:29 *3591:59 9.93316e-05 -73 *1883:29 *4350:103 0 -74 *1883:29 *5715:51 0.000266473 -75 *1883:29 *5716:14 0.00158421 -76 *1883:29 *5729:83 0 -77 *1883:29 *5823:13 0.00100211 -78 *1883:31 *25500:A2 0.000188864 -79 *1883:31 *3583:11 0.000113005 -80 *1883:31 *5729:83 0.00018077 -81 *1883:64 *26400:B1 2.59355e-05 -82 *1883:64 *26449:B1 9.25014e-06 -83 *1883:64 *1884:46 0.00106818 -84 *1883:64 *1930:76 0.00051433 -85 *1883:64 *1930:85 0.000343203 -86 *1883:64 *2114:43 0.000260676 -87 *1883:64 *3510:10 0.000390568 -88 *1883:64 *3583:20 1.81709e-05 -89 *1883:64 *6036:59 0.000207268 -90 *1883:78 *26522:A1 0.000385095 -91 *1883:78 *26522:B1 0.000301438 -92 *1883:78 *26523:B 6.73181e-05 -93 *1883:78 *26553:B1 0.000340788 -94 *1883:78 *26616:A_N 5.33005e-05 -95 *1883:78 *1930:98 0.000261928 -96 *1883:78 *2114:43 2.14474e-05 -97 *1883:78 *2605:8 9.71341e-05 -98 *1883:78 *3583:20 0.000389868 -99 *1883:78 *5250:29 0.000123295 -100 *1883:78 *6352:31 0.000121573 -101 *1883:83 *26158:B 0.000303939 -102 *1883:83 *26523:B 0.000386972 -103 *1883:83 *26657:B 0.000313717 -104 *1883:83 *26668:C 5.41797e-06 -105 *1883:83 *26754:B 0.000104974 -106 *1883:83 *29479:A 0.000195133 -107 *1883:83 *1930:98 5.51649e-05 -108 *1883:83 *2464:8 0.000662219 -109 *1883:83 *2464:26 0.000114617 -110 *1883:83 *2528:10 1.65348e-05 -111 *1883:83 *2605:8 0.000394733 -112 *1883:94 *26657:C 9.58181e-05 -113 *1883:94 *26675:A2 7.83659e-05 -114 *1883:94 *26762:B 1.24368e-05 -115 *1883:94 *29284:A 4.73656e-05 -116 *1883:94 *29419:A 0.000215455 -117 *1883:94 *2089:26 0.000573542 -118 *1883:94 *2554:17 0.00022656 -119 *1883:94 *2576:8 3.34366e-05 -120 *1883:94 *2576:17 0.000607862 -121 *1883:94 *2692:11 0.000108447 -122 *1883:94 *3948:23 9.22948e-05 -123 *1883:94 *5721:10 0.00083045 -124 *1883:99 *26712:A1 0.000219711 -125 *1883:99 *26735:A1 0.000209204 -126 *1883:99 *3948:23 0.00027095 -127 *1883:99 *5721:10 0.00027095 -128 *1883:105 *26712:A1 0.000156896 -129 *1883:105 *26735:A1 5.33005e-05 -130 *1883:105 *26735:B1 0.000290399 -131 *1883:105 *26735:B2 0.000224168 -132 *1883:119 *26735:B2 0.000198691 -133 *1883:119 *2022:39 0.00031588 -134 *1883:119 *2544:30 0.0001746 -135 *1883:119 *2708:10 0.000117144 -136 *1883:144 *2634:17 7.19623e-05 -137 *25855:B *1883:29 3.06406e-05 -138 *28317:D *1883:31 4.8843e-05 -139 *30181:A *1883:29 9.60875e-05 -140 *373:65 *1883:78 0.000163629 -141 *1174:51 *1883:64 9.60939e-05 -142 *1174:68 *1883:10 0.00183785 -143 *1677:26 *1883:83 0.000905722 -144 *1821:18 *1883:10 1.37292e-05 -145 *1881:8 *1883:29 0.000107968 -*RES -1 *25855:Y *1883:5 9.83571 -2 *1883:5 *1883:9 5.44643 -3 *1883:9 *1883:10 47.7857 -4 *1883:10 *27986:A 15.9786 -5 *1883:5 *1883:29 49.2143 -6 *1883:29 *1883:31 14.9107 -7 *1883:31 *26347:B1 25.9786 -8 *1883:31 *1883:64 44.5357 -9 *1883:64 *1883:78 35.7321 -10 *1883:78 *1883:83 34.75 -11 *1883:83 *1883:94 28.3571 -12 *1883:94 *1883:99 10.1429 -13 *1883:99 *1883:105 4.375 -14 *1883:105 *26712:A2 11.0857 -15 *1883:105 *1883:119 19.2143 -16 *1883:119 *26806:A2 10.4518 -17 *1883:119 *26792:A2 26.0143 -18 *1883:99 *26735:A2 9.3 -19 *1883:94 *1883:144 11.2321 -20 *1883:144 *26695:A 9.3 -21 *1883:144 *26694:A 25.0143 -22 *1883:83 *26657:A 9.3 -23 *1883:78 *26552:A 18.0321 -24 *1883:64 *28011:B1 13.8 -*END - -*D_NET *1884 0.0300152 -*CONN -*I *28015:A3 I *D sky130_fd_sc_hd__o31a_1 -*I *25857:D1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26400:B1 I *D sky130_fd_sc_hd__o32a_1 -*I *26553:A2 I *D sky130_fd_sc_hd__o22a_1 -*I *26658:B1 I *D sky130_fd_sc_hd__o32a_1 -*I *25856:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *28015:A3 0.000229933 -2 *25857:D1 0.000209015 -3 *26400:B1 0.000191973 -4 *26553:A2 0 -5 *26658:B1 0.000139181 -6 *25856:X 0.000397032 -7 *1884:56 0.00117688 -8 *1884:46 0.00155878 -9 *1884:42 0.00155199 -10 *1884:35 0.00165944 -11 *1884:23 0.00270598 -12 *1884:8 0.00230342 -13 *25857:D1 *25579:A 6.98302e-05 -14 *26658:B1 *26658:B2 0.000209277 -15 *26658:B1 *26675:B1 0.000657905 -16 *28015:A3 *27656:A1 2.61337e-05 -17 *28015:A3 *3699:22 0 -18 *28015:A3 *4089:10 0.00012401 -19 *28015:A3 *4089:12 0.000251984 -20 *1884:8 *27668:C 0.000483485 -21 *1884:8 *4089:12 0.000100823 -22 *1884:23 *6483:DIODE 0.000136958 -23 *1884:23 *25856:A 0.000392019 -24 *1884:23 *27668:D 0.000112958 -25 *1884:23 *28321:CLK 7.77751e-05 -26 *1884:23 *28321:D 6.45381e-05 -27 *1884:23 *28321:RESET_B 9.51514e-06 -28 *1884:23 *29462:A 9.90431e-05 -29 *1884:23 *29478:A 2.97851e-05 -30 *1884:23 *29593:A 0.00018077 -31 *1884:23 *5312:13 0.000209603 -32 *1884:23 *5935:7 0.000610064 -33 *1884:35 *25579:A 0.000105689 -34 *1884:35 *26273:A2 0.000206964 -35 *1884:35 *26341:A 6.05161e-06 -36 *1884:35 *26468:A 6.05161e-06 -37 *1884:35 *26468:B 0.000106552 -38 *1884:35 *29478:A 0.000183713 -39 *1884:35 *1930:76 6.42095e-05 -40 *1884:35 *2283:7 5.33005e-05 -41 *1884:35 *3583:106 0 -42 *1884:35 *3583:115 0 -43 *1884:42 *26344:A 0.000841009 -44 *1884:42 *26468:B 2.24646e-05 -45 *1884:42 *26554:B 0.000214195 -46 *1884:42 *1930:76 0.0001746 -47 *1884:42 *2102:12 2.23987e-05 -48 *1884:42 *2282:26 0.000489429 -49 *1884:42 *2283:12 0.00134022 -50 *1884:42 *3510:10 0.000340781 -51 *1884:46 *26522:A2 0.00012249 -52 *1884:46 *26553:B1 1.38759e-05 -53 *1884:46 *1930:76 2.58997e-05 -54 *1884:46 *2114:43 0.000260057 -55 *1884:46 *3510:10 0.0018964 -56 *1884:56 *26553:B2 0.000171685 -57 *1884:56 *26675:B1 0.00127175 -58 *1884:56 *2062:14 5.85721e-05 -59 *1884:56 *3581:33 0.00146676 -60 *1884:56 *3581:76 0.000135028 -61 *1884:56 *4350:87 1.08359e-05 -62 *1884:56 *5250:29 0.00114901 -63 *1884:56 *5697:11 0.000188984 -64 *1884:56 *6352:31 0.000703619 -65 *25855:B *1884:23 0.000125731 -66 *26347:B1 *1884:42 0.000396372 -67 *26552:A *1884:56 5.33005e-05 -68 *29311:A *1884:46 0.000126439 -69 *29542:A *28015:A3 0 -70 *30108:A *26658:B1 0.000314132 -71 *1172:8 *1884:35 9.8369e-05 -72 *1172:15 *25857:D1 0.000122101 -73 *1883:29 *1884:23 6.19181e-05 -74 *1883:64 *26400:B1 2.59355e-05 -75 *1883:64 *1884:46 0.00106818 -*RES -1 *25856:X *1884:8 19.7821 -2 *1884:8 *1884:23 47.5536 -3 *1884:23 *1884:35 32.8214 -4 *1884:35 *1884:42 34.625 -5 *1884:42 *1884:46 28.6071 -6 *1884:46 *1884:56 47.2321 -7 *1884:56 *26658:B1 15.9964 -8 *1884:46 *26553:A2 9.3 -9 *1884:42 *26400:B1 16.3893 -10 *1884:23 *25857:D1 17.0143 -11 *1884:8 *28015:A3 18.7821 -*END - -*D_NET *1885 0.00218443 -*CONN -*I *30135:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25858:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *30135:A 0.000470913 -2 *25858:Y 0.000470913 -3 *30135:A *24869:B 6.04162e-05 -4 *30135:A *28307:CLK 0.000271352 -5 *30135:A *3165:348 0.000140933 -6 *30135:A *3710:77 0.000115082 -7 *30135:A *5850:10 1.21258e-05 -8 *30135:A *6358:27 3.80079e-05 -9 *30180:A *30135:A 0.000119135 -10 *1873:35 *30135:A 0.00048555 -*RES -1 *25858:Y *30135:A 40.1536 -*END - -*D_NET *1886 0.0124417 -*CONN -*I *25867:S I *D sky130_fd_sc_hd__mux2_1 -*I *25869:S I *D sky130_fd_sc_hd__mux2_1 -*I *25868:S I *D sky130_fd_sc_hd__mux2_1 -*I *25865:S I *D sky130_fd_sc_hd__mux2_1 -*I *25866:S I *D sky130_fd_sc_hd__mux2_1 -*I *25864:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *25867:S 0.000180399 -2 *25869:S 5.17878e-05 -3 *25868:S 5.17878e-05 -4 *25865:S 5.76476e-05 -5 *25866:S 0.000364524 -6 *25864:X 0.000648109 -7 *1886:47 0.000508344 -8 *1886:38 0.000362052 -9 *1886:18 0.00066175 -10 *1886:10 0.000921795 -11 *25865:S *28311:CLK 0.000178847 -12 *25866:S *28310:RESET_B 2.29097e-05 -13 *25866:S *28718:RESET_B 5.18525e-05 -14 *25866:S *2855:121 0.000262069 -15 *25866:S *3723:80 2.25741e-05 -16 *25866:S *4066:33 0.000463513 -17 *25866:S *4142:26 8.45154e-05 -18 *25866:S *5742:332 0.000634875 -19 *25867:S *3676:17 0.000135028 -20 *25867:S *3688:31 0.000373053 -21 *25867:S *3698:31 0.000382303 -22 *25867:S *4117:32 4.00679e-05 -23 *25868:S *25868:A0 6.57032e-05 -24 *25868:S *3561:16 0.000135028 -25 *25869:S *28314:CLK 0.000135028 -26 *1886:10 *25864:B 1.5424e-05 -27 *1886:10 *29002:A 0.000549147 -28 *1886:10 *3698:31 0.000182239 -29 *1886:10 *3713:39 0.000231064 -30 *1886:10 *3723:80 0.00124932 -31 *1886:10 *5713:24 4.08637e-05 -32 *1886:18 *25865:A0 0.000260152 -33 *1886:18 *28311:CLK 0.000137561 -34 *1886:18 *28311:D 6.57032e-05 -35 *1886:18 *28311:RESET_B 3.34366e-05 -36 *1886:18 *28718:RESET_B 2.4461e-05 -37 *1886:18 *3688:31 9.03127e-05 -38 *1886:18 *3713:39 1.52681e-05 -39 *1886:18 *4142:26 2.33003e-05 -40 *1886:38 *3688:31 7.77768e-05 -41 *1886:38 *3698:31 4.33002e-05 -42 *1886:47 *3688:31 0.000732873 -43 *1886:47 *3698:31 0.000744552 -44 *1886:47 *3984:73 0.000153078 -45 *30255:A *25869:S 6.57032e-05 -46 *400:14 *25865:S 9.0145e-05 -47 *400:14 *1886:18 2.22618e-05 -48 *1399:19 *1886:10 0.00016834 -49 *1399:24 *1886:10 0.000629849 -*RES -1 *25864:X *1886:10 31.8446 -2 *1886:10 *1886:18 14.7411 -3 *1886:18 *25866:S 24.1036 -4 *1886:18 *25865:S 15.5679 -5 *1886:10 *1886:38 1.1875 -6 *1886:38 *25868:S 15.1571 -7 *1886:38 *1886:47 9.83929 -8 *1886:47 *25869:S 15.1571 -9 *1886:47 *25867:S 20.1393 -*END - -*D_NET *1887 0.046414 -*CONN -*I *25875:S I *D sky130_fd_sc_hd__mux2_1 -*I *25874:S I *D sky130_fd_sc_hd__mux2_1 -*I *25873:S I *D sky130_fd_sc_hd__mux2_1 -*I *25872:S I *D sky130_fd_sc_hd__mux2_1 -*I *25871:S I *D sky130_fd_sc_hd__mux2_1 -*I *25870:Y O *D sky130_fd_sc_hd__nand2_4 -*CAP -1 *25875:S 0.000745799 -2 *25874:S 0.00229163 -3 *25873:S 0.000809857 -4 *25872:S 0.000130825 -5 *25871:S 0.000547036 -6 *25870:Y 0.000444933 -7 *1887:70 0.00587165 -8 *1887:31 0.00208833 -9 *1887:22 0.00351556 -10 *1887:7 0.00457438 -11 *25871:S *25871:A1 0.000123288 -12 *25871:S *28325:RESET_B 0.000152333 -13 *25871:S *28331:D 0.00030394 -14 *25871:S *3867:6 1.17968e-05 -15 *25871:S *3867:24 0.000118427 -16 *25871:S *4127:32 0.000137725 -17 *25871:S *5740:15 0.000396488 -18 *25871:S *5743:18 0.000320914 -19 *25871:S *5778:39 0 -20 *25872:S *28331:D 1.12323e-05 -21 *25872:S *4127:32 0.000106537 -22 *25872:S *5743:18 2.03008e-05 -23 *25872:S *5743:33 7.71571e-05 -24 *25873:S *25873:A1 5.33005e-05 -25 *25873:S *27520:B2 4.58194e-05 -26 *25873:S *3085:12 5.4826e-05 -27 *25873:S *3945:54 0.000224872 -28 *25873:S *4100:22 5.31113e-05 -29 *25873:S *5653:165 0.000759383 -30 *25873:S *5653:171 0.000832666 -31 *25873:S *5699:128 0.0022371 -32 *25873:S *5699:140 1.54142e-05 -33 *25874:S *25874:A0 1.24368e-05 -34 *25874:S *25874:A1 9.23489e-05 -35 *25874:S *27564:B2 0.00019413 -36 *25874:S *27566:B2 7.4427e-05 -37 *25874:S *27569:B 0.000580149 -38 *25874:S *27929:A1 6.50163e-06 -39 *25874:S *2855:103 1.09611e-05 -40 *25874:S *2894:106 0.000398568 -41 *25874:S *3479:8 0.000400698 -42 *25874:S *3688:31 0.000132851 -43 *25874:S *3741:27 6.19157e-05 -44 *25874:S *4113:25 9.41642e-05 -45 *25874:S *4142:17 5.28849e-05 -46 *25874:S *4161:80 0.000801929 -47 *25874:S *5686:35 0.0012089 -48 *25874:S *5699:41 0.00016573 -49 *25874:S *5909:61 5.11642e-05 -50 *25875:S *27587:A2 0.000216755 -51 *25875:S *27929:A1 2.16696e-06 -52 *25875:S *2866:149 0.000135968 -53 *25875:S *3958:16 0.000577115 -54 *25875:S *3962:35 0.00108212 -55 *25875:S *4101:28 0.000577115 -56 *25875:S *5686:15 8.96428e-05 -57 *25875:S *5686:17 0.000339346 -58 *25875:S *5686:35 0.000274581 -59 *1887:7 *25151:A1 0.000426248 -60 *1887:7 *3452:32 2.6572e-05 -61 *1887:22 *2871:108 4.04292e-05 -62 *1887:22 *2877:69 0.00038793 -63 *1887:22 *2882:114 0.00042002 -64 *1887:22 *3192:107 0.000314785 -65 *1887:22 *3197:138 0.000369452 -66 *1887:22 *3713:45 4.6387e-05 -67 *1887:22 *3883:31 0.00016464 -68 *1887:22 *5467:14 0.000216478 -69 *1887:22 *5493:40 0.000214523 -70 *1887:22 *5661:117 0.00020448 -71 *1887:22 *5666:101 8.69554e-05 -72 *1887:22 *5909:62 4.04292e-05 -73 *1887:31 *25491:B 7.93543e-05 -74 *1887:31 *27523:B2 0.00015713 -75 *1887:31 *28259:RESET_B 0.000143486 -76 *1887:31 *3165:297 0.000337296 -77 *1887:31 *5467:14 0 -78 *1887:31 *5653:171 0.00145616 -79 *1887:31 *5699:128 0.000339346 -80 *1887:31 *5739:252 0.000303368 -81 *1887:31 *5740:40 0.000959861 -82 *1887:70 *27569:D 0.00014833 -83 *1887:70 *2866:149 0.000247594 -84 *1887:70 *2877:69 9.15296e-05 -85 *1887:70 *2883:89 0.000251371 -86 *1887:70 *2892:94 0.000116202 -87 *1887:70 *3101:17 0.000166587 -88 *1887:70 *3197:138 6.09762e-05 -89 *1887:70 *3713:45 5.47944e-05 -90 *1887:70 *3723:25 4.4316e-05 -91 *1887:70 *3857:49 1.1183e-05 -92 *1887:70 *3948:41 0.000215598 -93 *1887:70 *3984:33 1.56561e-05 -94 *1887:70 *4101:28 0.000126716 -95 *1887:70 *4116:51 1.61292e-05 -96 *1887:70 *5666:101 0.00028659 -97 *1887:70 *5666:124 0.000196281 -98 *1887:70 *5685:333 0.000274067 -99 *25151:B1 *1887:7 2.13481e-06 -100 *25307:C1 *1887:22 4.41623e-05 -101 *1251:29 *1887:31 0.00119405 -102 *1456:64 *25874:S 0.000194601 -103 *1470:90 *1887:70 0.00017985 -104 *1472:11 *1887:22 8.77988e-05 -105 *1603:36 *1887:70 6.78454e-05 -106 *1748:20 *1887:22 0.000540013 -107 *1868:31 *25871:S 0.000124265 -108 *1877:42 *1887:31 4.15183e-05 -109 *1877:66 *25874:S 0.000167254 -*RES -1 *25870:Y *1887:7 19.2643 -2 *1887:7 *1887:22 23.8158 -3 *1887:22 *1887:31 35.6253 -4 *1887:31 *25871:S 30.4696 -5 *1887:31 *25872:S 16.8089 -6 *1887:22 *25873:S 42.8357 -7 *1887:7 *1887:70 17.8459 -8 *1887:70 *25874:S 47.9959 -9 *1887:70 *25875:S 36.5009 -*END - -*D_NET *1888 0.0197976 -*CONN -*I *25880:S I *D sky130_fd_sc_hd__mux2_1 -*I *25879:S I *D sky130_fd_sc_hd__mux2_1 -*I *25881:S I *D sky130_fd_sc_hd__mux2_1 -*I *25877:S I *D sky130_fd_sc_hd__mux2_1 -*I *25878:S I *D sky130_fd_sc_hd__mux2_1 -*I *25876:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *25880:S 0.000917391 -2 *25879:S 1.69531e-05 -3 *25881:S 2.92273e-05 -4 *25877:S 0 -5 *25878:S 0 -6 *25876:X 0.000407174 -7 *1888:58 0.00124891 -8 *1888:39 0.00163854 -9 *1888:27 0.00251766 -10 *1888:7 0.000929388 -11 *1888:5 0.000742786 -12 *25879:S *25879:A1 5.33005e-05 -13 *25879:S *4066:33 5.33005e-05 -14 *25880:S *25838:A0 1.50904e-05 -15 *25880:S *25839:A0 0.00015304 -16 *25880:S *25880:A0 4.58194e-05 -17 *25880:S *28292:RESET_B 4.71964e-05 -18 *25880:S *28333:RESET_B 0.000177821 -19 *25880:S *29906:A 1.2294e-05 -20 *25880:S *29910:A 4.22135e-06 -21 *25880:S *3165:322 3.98524e-05 -22 *25880:S *3165:343 0.000328694 -23 *25880:S *3561:16 2.09897e-05 -24 *25880:S *3830:36 0 -25 *25880:S *3871:73 0.0001231 -26 *25880:S *4143:8 0.000106394 -27 *25880:S *5686:61 0.000114759 -28 *25880:S *5686:76 0.000193833 -29 *25880:S *5686:100 0.000690684 -30 *25880:S *5693:25 1.56e-05 -31 *25880:S *5693:32 0.000311249 -32 *25880:S *5693:37 0.00093532 -33 *25881:S *25881:A1 4.08637e-05 -34 *25881:S *5838:31 5.85965e-05 -35 *1888:5 *5838:31 0.000441742 -36 *1888:27 *25775:A1 0.000562546 -37 *1888:27 *25881:A1 1.24368e-05 -38 *1888:27 *28260:CLK 2.47666e-05 -39 *1888:27 *3830:36 2.70095e-05 -40 *1888:27 *4065:91 0.000105292 -41 *1888:27 *4126:84 0.000176361 -42 *1888:27 *4159:56 1.19191e-05 -43 *1888:27 *5007:31 0.000453127 -44 *1888:27 *5686:38 0.000111377 -45 *1888:27 *5686:61 7.90803e-05 -46 *1888:27 *5712:30 1.71615e-05 -47 *1888:27 *5838:31 3.97677e-05 -48 *1888:39 *25461:A2 2.06178e-05 -49 *1888:39 *25675:A0 0.000153369 -50 *1888:39 *25878:A0 0.000181803 -51 *1888:39 *28056:B 5.33005e-05 -52 *1888:39 *28164:D 8.23597e-06 -53 *1888:39 *3711:34 0.000553226 -54 *1888:39 *4065:91 5.52302e-05 -55 *1888:39 *4126:39 6.09762e-05 -56 *1888:39 *4140:66 3.69047e-06 -57 *1888:39 *5007:31 0.00100154 -58 *1888:39 *5727:228 6.8646e-06 -59 *1888:39 *5740:112 7.37933e-05 -60 *1888:39 *5740:122 0.000377347 -61 *1888:39 *5740:130 0.000258824 -62 *1888:58 *28258:RESET_B 5.12344e-05 -63 *1888:58 *28292:RESET_B 6.27216e-05 -64 *1888:58 *3637:40 0.00032925 -65 *1888:58 *5686:61 0.000694225 -66 *25838:S *25880:S 0.000242647 -67 *30289:A *1888:27 1.90936e-05 -68 *740:19 *1888:27 2.37838e-05 -69 *1396:45 *1888:27 0.000420616 -70 *1741:13 *1888:27 8.44071e-05 -71 *1741:13 *1888:39 5.52302e-05 -72 *1769:16 *1888:39 0.000398449 -73 *1769:17 *1888:39 0.000554455 -*RES -1 *25876:X *1888:5 14.7643 -2 *1888:5 *1888:7 0.428571 -3 *1888:7 *1888:27 31.0179 -4 *1888:27 *1888:39 47.4643 -5 *1888:39 *25878:S 9.3 -6 *1888:27 *25877:S 9.3 -7 *1888:7 *25881:S 10.675 -8 *1888:5 *1888:58 13.7321 -9 *1888:58 *25879:S 14.3357 -10 *1888:58 *25880:S 42.8893 -*END - -*D_NET *1889 0.00870416 -*CONN -*I *25886:S I *D sky130_fd_sc_hd__mux2_1 -*I *25885:S I *D sky130_fd_sc_hd__mux2_1 -*I *25887:S I *D sky130_fd_sc_hd__mux2_1 -*I *25884:S I *D sky130_fd_sc_hd__mux2_1 -*I *25883:S I *D sky130_fd_sc_hd__mux2_1 -*I *25882:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *25886:S 0.000165924 -2 *25885:S 0.00031703 -3 *25887:S 0 -4 *25884:S 0.000110931 -5 *25883:S 0.000627259 -6 *25882:X 0.000384845 -7 *1889:25 0.00031703 -8 *1889:16 0.000341623 -9 *1889:15 0.000396616 -10 *1889:5 0.0010121 -11 *25883:S *5111:13 0.00057304 -12 *25884:S *5231:21 0.000389146 -13 *25884:S *6353:12 0.000387425 -14 *25885:S *25887:A1 0.000301438 -15 *25885:S *28371:CLK 0.000136958 -16 *25885:S *5688:181 0.000137983 -17 *25886:S *5231:21 0.000486852 -18 *25886:S *6353:12 0.000498281 -19 *1889:5 *5111:13 0.000501154 -20 *1889:16 *5231:21 0.000804987 -21 *1889:16 *6353:12 0.00081353 -*RES -1 *25882:X *1889:5 14.3536 -2 *1889:5 *25883:S 17.55 -3 *1889:5 *1889:15 4.5 -4 *1889:15 *1889:16 10.4464 -5 *1889:16 *25884:S 18.7821 -6 *1889:16 *1889:25 4.5 -7 *1889:25 *25887:S 9.3 -8 *1889:25 *25885:S 15.175 -9 *1889:15 *25886:S 20.3 -*END - -*D_NET *1890 0.012086 -*CONN -*I *25892:S I *D sky130_fd_sc_hd__mux2_1 -*I *25889:S I *D sky130_fd_sc_hd__mux2_1 -*I *25890:S I *D sky130_fd_sc_hd__mux2_1 -*I *25893:S I *D sky130_fd_sc_hd__mux2_1 -*I *25891:S I *D sky130_fd_sc_hd__mux2_1 -*I *25888:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *25892:S 1.42796e-05 -2 *25889:S 2.2024e-05 -3 *25890:S 0.00049082 -4 *25893:S 0.000368433 -5 *25891:S 0.000409054 -6 *25888:X 0.000117472 -7 *1890:47 0.00116011 -8 *1890:39 0.000748947 -9 *1890:35 0.00107391 -10 *1890:6 0.0011601 -11 *25889:S *25889:A0 1.21258e-05 -12 *25889:S *25889:A1 6.05161e-06 -13 *25889:S *3205:43 5.33005e-05 -14 *25890:S *25099:A1 7.57819e-06 -15 *25890:S *25890:A0 1.98839e-05 -16 *25890:S *25890:A1 5.33005e-05 -17 *25890:S *27882:B2 6.60111e-05 -18 *25890:S *2850:37 0.000121476 -19 *25890:S *2856:48 9.94194e-05 -20 *25890:S *2864:28 3.34295e-05 -21 *25890:S *3196:42 0.000555059 -22 *25890:S *3196:52 0.000218679 -23 *25890:S *3216:53 0.000151318 -24 *25891:S *25891:A0 5.33005e-05 -25 *25891:S *27905:A1 9.31037e-05 -26 *25891:S *2776:65 0.000129556 -27 *25891:S *2845:30 5.00194e-05 -28 *25891:S *2860:154 0.000148376 -29 *25891:S *4062:27 6.39997e-05 -30 *25891:S *5655:113 0.000352308 -31 *25892:S *25892:A0 5.33005e-05 -32 *25892:S *2776:65 5.52302e-05 -33 *25893:S *2850:37 0.000101315 -34 *25893:S *3816:43 0.000100009 -35 *25893:S *3972:38 3.50957e-05 -36 *25893:S *5483:145 0.000337929 -37 *1890:6 *4062:27 3.14056e-05 -38 *1890:6 *5486:20 4.00349e-05 -39 *1890:6 *5486:31 0.000172681 -40 *1890:6 *5655:113 0.000282895 -41 *1890:35 *25892:A0 0.000510402 -42 *1890:35 *2776:65 0.000125084 -43 *1890:35 *2874:32 8.25843e-06 -44 *1890:35 *3972:44 5.41794e-05 -45 *1890:39 *25374:B2 4.28249e-05 -46 *1890:39 *3972:38 0.000361742 -47 *1890:39 *3972:44 0.000154349 -48 *1890:47 *3972:38 0.000448228 -49 *1890:47 *5483:145 1.1942e-05 -50 *25099:B1 *1890:47 1.721e-05 -51 *25375:C1 *25891:S 2.89016e-05 -52 *25389:C1 *1890:35 2.49484e-05 -53 *25389:C1 *1890:39 0.000477098 -54 *1484:23 *25891:S 4.37111e-05 -55 *1485:23 *25890:S 0.000288026 -56 *1485:23 *25893:S 2.72228e-05 -57 *1704:26 *25893:S 2.72226e-05 -58 *1704:26 *1890:39 0.000110318 -59 *1704:26 *1890:47 0.000260952 -*RES -1 *25888:X *1890:6 17.5679 -2 *1890:6 *25891:S 33.634 -3 *1890:6 *1890:35 19.3036 -4 *1890:35 *1890:39 10.375 -5 *1890:39 *1890:47 10.4189 -6 *1890:47 *25893:S 22.235 -7 *1890:47 *25890:S 26.3074 -8 *1890:39 *25889:S 14.3357 -9 *1890:35 *25892:S 14.3357 -*END - -*D_NET *1891 0.0098755 -*CONN -*I *25897:S I *D sky130_fd_sc_hd__mux2_1 -*I *25898:S I *D sky130_fd_sc_hd__mux2_1 -*I *25899:S I *D sky130_fd_sc_hd__mux2_1 -*I *25895:S I *D sky130_fd_sc_hd__mux2_1 -*I *25896:S I *D sky130_fd_sc_hd__mux2_1 -*I *25894:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *25897:S 0.000119062 -2 *25898:S 9.81394e-05 -3 *25899:S 0 -4 *25895:S 0.000253509 -5 *25896:S 0.000586193 -6 *25894:X 0.000618794 -7 *1891:13 0.000839702 -8 *1891:11 0.000170675 -9 *1891:10 0.00034634 -10 *1891:7 0.000815381 -11 *25895:S *25895:A0 5.33005e-05 -12 *25895:S *28345:D 0.000218734 -13 *25895:S *5688:158 0.000405581 -14 *25895:S *5719:32 9.53222e-05 -15 *25895:S *6353:12 0.000764599 -16 *25896:S *25896:A1 0.000111491 -17 *25896:S *3583:24 0.000264771 -18 *25896:S *3656:8 1.58163e-05 -19 *25896:S *5231:21 0.000527799 -20 *25896:S *5688:158 5.7616e-05 -21 *25896:S *5697:23 6.95205e-05 -22 *25896:S *5758:132 4.87953e-05 -23 *25896:S *5824:23 0.00019867 -24 *25896:S *6353:12 0.000787078 -25 *25897:S *25897:A0 2.28598e-05 -26 *25897:S *25898:A0 8.87698e-05 -27 *25897:S *29573:A 0.000221634 -28 *25897:S *5780:79 7.4826e-05 -29 *25898:S *25898:A0 5.33005e-05 -30 *25898:S *25898:A1 5.33005e-05 -31 *25898:S *30115:A 3.08283e-05 -32 *1891:7 *25897:A1 2.14757e-05 -33 *1891:7 *29573:A 0.000135028 -34 *1891:7 *3766:25 0.000390994 -35 *1891:7 *4350:87 6.13757e-06 -36 *1891:7 *5760:50 2.65242e-05 -37 *1891:10 *25898:A0 0.000248486 -38 *1891:10 *5780:79 0.000131481 -39 *1891:11 *30115:A 0.000302719 -40 *1891:11 *5719:37 0.000135028 -41 *29584:A *1891:11 9.94194e-05 -42 *29606:A *25896:S 0.000175892 -43 *1464:105 *25897:S 2.14474e-05 -44 *1816:26 *25897:S 0.000168457 -*RES -1 *25894:X *1891:7 21.3179 -2 *1891:7 *1891:10 7.66071 -3 *1891:10 *1891:11 4.23214 -4 *1891:11 *1891:13 4.5 -5 *1891:13 *25896:S 41.0857 -6 *1891:13 *25895:S 24.175 -7 *1891:11 *25899:S 9.3 -8 *1891:10 *25898:S 11.0679 -9 *1891:7 *25897:S 17.925 -*END - -*D_NET *1892 0.00768928 -*CONN -*I *25903:S I *D sky130_fd_sc_hd__mux2_1 -*I *25905:S I *D sky130_fd_sc_hd__mux2_1 -*I *25904:S I *D sky130_fd_sc_hd__mux2_1 -*I *25902:S I *D sky130_fd_sc_hd__mux2_1 -*I *25901:S I *D sky130_fd_sc_hd__mux2_1 -*I *25900:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *25903:S 0 -2 *25905:S 9.40903e-05 -3 *25904:S 8.95825e-05 -4 *25902:S 0.000249268 -5 *25901:S 3.99504e-05 -6 *25900:Y 6.00106e-05 -7 *1892:23 0.00116916 -8 *1892:16 0.00109932 -9 *1892:14 0.00040842 -10 *1892:8 0.00024455 -11 *25901:S *3764:12 0.00015304 -12 *25901:S *4089:12 0.00015304 -13 *25902:S *25902:A0 9.41642e-05 -14 *25902:S *3764:12 8.04343e-05 -15 *25902:S *4089:12 7.277e-05 -16 *25902:S *5824:147 0.000128419 -17 *25904:S *3581:118 0.000306317 -18 *25904:S *5669:19 2.14658e-05 -19 *25904:S *5824:142 0.000345257 -20 *25905:S *25905:A0 0.000266479 -21 *25905:S *25905:A1 5.33005e-05 -22 *1892:8 *5650:304 0.000177234 -23 *1892:14 *25903:A1 9.80173e-05 -24 *1892:14 *4040:19 0.000260574 -25 *1892:14 *5715:51 0.000146474 -26 *1892:14 *5780:110 7.06079e-05 -27 *1892:14 *5833:178 8.11273e-05 -28 *1892:14 *5833:190 2.44318e-05 -29 *1892:16 *2772:85 2.23987e-05 -30 *1892:16 *2773:155 0.000170245 -31 *1892:16 *3581:118 1.90936e-05 -32 *1892:16 *5669:19 1.17921e-05 -33 *1892:16 *5715:51 0.000175512 -34 *1892:16 *5780:106 1.52681e-05 -35 *1892:16 *5780:110 8.54326e-05 -36 *1892:23 *28586:SET_B 3.34295e-05 -37 *1892:23 *2773:155 7.80122e-05 -38 *1892:23 *5669:19 2.16719e-05 -39 *1892:23 *5824:142 0.000446869 -40 *1892:23 *5824:147 3.80257e-05 -41 *25900:A *1892:8 3.57844e-05 -42 *29292:A *25905:S 5.33005e-05 -43 *29292:A *1892:16 0.000128154 -44 *29819:A *1892:8 0.00010326 -45 *29863:A *25902:S 0.000263524 -*RES -1 *25900:Y *1892:8 20.55 -2 *1892:8 *1892:14 9.875 -3 *1892:14 *1892:16 4.98214 -4 *1892:16 *1892:23 19.6071 -5 *1892:23 *25901:S 15.7464 -6 *1892:23 *25902:S 19.8893 -7 *1892:16 *25904:S 17.2107 -8 *1892:14 *25905:S 16.3893 -9 *1892:8 *25903:S 9.3 -*END - -*D_NET *1893 0.0107568 -*CONN -*I *25911:S I *D sky130_fd_sc_hd__mux2_1 -*I *25909:S I *D sky130_fd_sc_hd__mux2_1 -*I *25910:S I *D sky130_fd_sc_hd__mux2_1 -*I *25907:S I *D sky130_fd_sc_hd__mux2_1 -*I *25908:S I *D sky130_fd_sc_hd__mux2_1 -*I *25906:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *25911:S 1.49752e-05 -2 *25909:S 0.000173347 -3 *25910:S 2.80869e-05 -4 *25907:S 0.000473377 -5 *25908:S 6.14957e-05 -6 *25906:Y 0.00101144 -7 *1893:45 0.000254871 -8 *1893:24 0.000716925 -9 *1893:15 0.000385864 -10 *1893:14 0.00116067 -11 *25907:S *25907:A1 2.12005e-05 -12 *25907:S *28357:CLK 9.58181e-05 -13 *25907:S *28357:SET_B 0.00018372 -14 *25907:S *3651:65 0.000184745 -15 *25907:S *3778:8 5.33005e-05 -16 *25907:S *5735:18 6.67335e-05 -17 *25908:S *25908:A1 1.98839e-05 -18 *25908:S *3789:9 0.000224583 -19 *25909:S *25909:A0 5.04589e-05 -20 *25909:S *3082:13 0.000213019 -21 *25909:S *3672:21 6.57032e-05 -22 *25909:S *3778:25 0.000203769 -23 *25909:S *5711:47 0.000137417 -24 *25910:S *29211:A 6.05161e-06 -25 *25910:S *5691:260 5.33005e-05 -26 *25911:S *3789:9 4.08637e-05 -27 *1893:14 *25758:A0 0.000267312 -28 *1893:14 *25911:A0 2.06178e-05 -29 *1893:14 *5313:11 0.000231629 -30 *1893:14 *5735:64 0.000350463 -31 *1893:14 *6323:10 0.000537097 -32 *1893:15 *3789:9 0.000590422 -33 *1893:24 *3061:15 0.000119571 -34 *1893:24 *3082:13 0.000397524 -35 *1893:24 *3672:8 3.20809e-05 -36 *1893:24 *3778:8 3.17148e-05 -37 *1893:45 *3082:13 0.000148903 -38 *1893:45 *3778:8 8.98585e-05 -39 *1893:45 *3778:25 5.66157e-05 -40 *25758:S *1893:14 0.000223026 -41 *25906:A *1893:14 8.25843e-06 -42 *29996:A *1893:14 5.52302e-05 -43 *1457:35 *25908:S 0.000266479 -44 *1457:35 *25911:S 5.33005e-05 -45 *1457:35 *1893:15 0.000601833 -46 *1600:17 *25907:S 0.000208126 -47 *1600:19 *25907:S 0.000565096 -*RES -1 *25906:Y *1893:14 35.6214 -2 *1893:14 *1893:15 5.875 -3 *1893:15 *25908:S 11.8893 -4 *1893:15 *1893:24 9.78571 -5 *1893:24 *25907:S 27.0857 -6 *1893:24 *1893:45 1.94643 -7 *1893:45 *25910:S 14.3357 -8 *1893:45 *25909:S 19.2464 -9 *1893:14 *25911:S 9.83571 -*END - -*D_NET *1894 0.00836053 -*CONN -*I *25915:S I *D sky130_fd_sc_hd__mux2_1 -*I *25917:S I *D sky130_fd_sc_hd__mux2_1 -*I *25913:S I *D sky130_fd_sc_hd__mux2_1 -*I *25914:S I *D sky130_fd_sc_hd__mux2_1 -*I *25916:S I *D sky130_fd_sc_hd__mux2_1 -*I *25912:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *25915:S 8.3133e-05 -2 *25917:S 0.000241066 -3 *25913:S 0.000401757 -4 *25914:S 5.18982e-05 -5 *25916:S 6.66158e-05 -6 *25912:Y 0.000135854 -7 *1894:17 0.000485919 -8 *1894:14 0.0010187 -9 *1894:10 0.000587639 -10 *1894:8 0.000316018 -11 *25913:S *25913:A1 0.000347187 -12 *25913:S *5760:69 7.62321e-05 -13 *25914:S *25916:A1 1.17968e-05 -14 *25914:S *29237:A 0.000115467 -15 *25914:S *4038:8 0.000148196 -16 *25915:S *28364:CLK 0.000216755 -17 *25915:S *28364:RESET_B 0.000105471 -18 *25916:S *29237:A 0.000175512 -19 *25916:S *4035:23 8.32171e-05 -20 *25916:S *4038:8 0.000247764 -21 *25917:S *25895:A1 4.58194e-05 -22 *25917:S *4025:5 0.000137983 -23 *25917:S *4025:60 0.000170233 -24 *25917:S *5688:125 0.00010096 -25 *25917:S *5688:143 0.000306317 -26 *25917:S *5715:83 6.57032e-05 -27 *1894:8 *3775:79 0.00038571 -28 *1894:8 *5196:8 0.00037646 -29 *1894:8 *5669:19 5.33005e-05 -30 *1894:10 *3775:79 0.000118986 -31 *1894:10 *5196:8 0.000179833 -32 *1894:10 *5641:111 5.71368e-05 -33 *1894:14 *28360:D 0.00017309 -34 *1894:14 *5196:8 0.000715669 -35 *1894:14 *5641:111 0.000207854 -36 *1894:14 *5715:95 0.000174607 -37 *1894:17 *5760:69 0.000137205 -38 *25365:B1 *1894:8 2.59355e-05 -39 *1509:16 *25913:S 1.15281e-05 -*RES -1 *25912:Y *1894:8 19.3179 -2 *1894:8 *1894:10 2.55357 -3 *1894:10 *1894:14 13.7321 -4 *1894:14 *1894:17 9.55357 -5 *1894:17 *25916:S 16.9607 -6 *1894:17 *25914:S 15.7464 -7 *1894:14 *25913:S 16.0143 -8 *1894:10 *25917:S 20.5143 -9 *1894:8 *25915:S 15.9786 -*END - -*D_NET *1895 0.0128562 -*CONN -*I *25922:S I *D sky130_fd_sc_hd__mux2_1 -*I *25923:S I *D sky130_fd_sc_hd__mux2_1 -*I *25920:S I *D sky130_fd_sc_hd__mux2_1 -*I *25919:S I *D sky130_fd_sc_hd__mux2_1 -*I *25921:S I *D sky130_fd_sc_hd__mux2_1 -*I *25918:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *25922:S 0 -2 *25923:S 0.000292313 -3 *25920:S 0.000407335 -4 *25919:S 5.2524e-05 -5 *25921:S 0.000344146 -6 *25918:Y 0.00152866 -7 *1895:45 0.00077348 -8 *1895:36 0.000515696 -9 *1895:19 0.000913865 -10 *1895:13 0.00170904 -11 *25919:S *25178:B2 1.98839e-05 -12 *25919:S *5342:19 5.33005e-05 -13 *25920:S *25399:A1 0.000128354 -14 *25920:S *25399:B2 0.0001399 -15 *25920:S *28154:RESET_B 3.02581e-06 -16 *25920:S *28953:A 0.000159327 -17 *25920:S *3884:24 4.87854e-05 -18 *25920:S *5011:12 3.2329e-05 -19 *25920:S *5488:55 4.87953e-05 -20 *25921:S *28425:D 7.4456e-05 -21 *25921:S *30920:A 1.89507e-06 -22 *25921:S *3652:6 0 -23 *25921:S *4307:17 2.23628e-05 -24 *25921:S *5011:12 1.06727e-05 -25 *25921:S *5485:32 0.000561929 -26 *25921:S *5487:63 0.00030892 -27 *25921:S *5698:156 0 -28 *25923:S *25621:A1 0.000191701 -29 *25923:S *3801:21 0.000108535 -30 *25923:S *5484:64 0.00033968 -31 *25923:S *6225:27 0.000307349 -32 *1895:13 *25624:A0 0.000120755 -33 *1895:13 *25918:B 5.48248e-05 -34 *1895:13 *25922:A0 6.71253e-05 -35 *1895:13 *28796:RESET_B 3.15815e-05 -36 *1895:13 *29571:A 8.22387e-06 -37 *1895:13 *3125:10 0.000127814 -38 *1895:13 *5011:20 0.000113531 -39 *1895:13 *6385:70 5.25862e-06 -40 *1895:19 *25922:A0 0.000141036 -41 *1895:19 *4332:25 7.59498e-05 -42 *1895:19 *5485:32 0.000267136 -43 *1895:36 *25621:A1 0.000269025 -44 *1895:36 *5342:19 6.8194e-05 -45 *1895:36 *5484:10 0.000158795 -46 *1895:36 *5484:64 0.000217884 -47 *1895:45 *25621:A1 0.000163689 -48 *1895:45 *5342:19 2.24646e-05 -49 *1895:45 *5484:64 0.000218726 -50 pll90_sel[0] *1895:36 0.000139079 -51 pll90_sel[1] *25921:S 9.84729e-05 -52 pll90_sel[1] *1895:19 0.000379902 -53 pll_trim[3] *1895:13 0 -54 *25399:A2 *25923:S 7.17183e-05 -55 *25918:A *1895:13 2.06112e-05 -56 *469:10 *25921:S 2.1343e-05 -57 *473:13 *1895:13 4.3563e-05 -58 *563:10 *25923:S 9.41642e-05 -59 *701:15 *25921:S 8.28083e-05 -60 *1671:52 *1895:36 5.27358e-05 -61 *1825:55 *1895:13 0.000621556 -*RES -1 *25918:Y *1895:13 40.3893 -2 *1895:13 *1895:19 6.01786 -3 *1895:19 *25921:S 27.5857 -4 *1895:19 *1895:36 14.0357 -5 *1895:36 *25919:S 14.7464 -6 *1895:36 *1895:45 2.85714 -7 *1895:45 *25920:S 30.4786 -8 *1895:45 *25923:S 21.9071 -9 *1895:13 *25922:S 9.3 -*END - -*D_NET *1896 0.00800694 -*CONN -*I *25925:S I *D sky130_fd_sc_hd__mux2_1 -*I *25927:S I *D sky130_fd_sc_hd__mux2_1 -*I *25926:S I *D sky130_fd_sc_hd__mux2_1 -*I *25929:S I *D sky130_fd_sc_hd__mux2_1 -*I *25928:S I *D sky130_fd_sc_hd__mux2_1 -*I *25924:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *25925:S 0.000336099 -2 *25927:S 0 -3 *25926:S 0.000528542 -4 *25929:S 0.000161664 -5 *25928:S 0 -6 *25924:Y 2.92072e-05 -7 *1896:32 0.00100322 -8 *1896:23 0.000584526 -9 *1896:15 0.00061167 -10 *1896:8 0.000705469 -11 *25925:S *25144:B2 0.000151333 -12 *25925:S *25925:A0 0.000178847 -13 *25925:S *25925:A1 5.52238e-05 -14 *25925:S *5729:35 0.000143797 -15 *25926:S *25926:A1 6.24758e-05 -16 *25926:S *3655:17 0.000263524 -17 *25926:S *5640:98 0.00030028 -18 *25929:S *25929:A1 0.000175892 -19 *25929:S *5196:8 0.000223592 -20 *25929:S *5640:90 0.000223592 -21 *1896:8 *25144:B2 5.66157e-05 -22 *1896:8 *5729:35 5.66157e-05 -23 *1896:15 *25924:B 0.000260152 -24 *1896:15 *4038:17 0.000105471 -25 *1896:23 *25928:A1 0.000103267 -26 *1896:23 *3762:17 2.11419e-05 -27 *1896:23 *4012:11 0.000204966 -28 *1896:23 *4025:21 0 -29 *1896:23 *4025:31 6.05161e-06 -30 *1896:23 *5458:40 0.00052478 -31 *1896:23 *5799:12 9.90787e-05 -32 *1896:32 *4012:22 6.05161e-06 -33 *1896:32 *5196:8 0.000372575 -34 *1896:32 *5640:90 5.66157e-05 -35 *1896:32 *5729:35 1.25477e-05 -36 *25368:C1 *1896:32 0.000279501 -37 *25924:A *1896:8 5.52302e-05 -38 *25924:A *1896:15 3.18953e-05 -39 *1433:34 *25925:S 1.5424e-05 -*RES -1 *25924:Y *1896:8 15.0679 -2 *1896:8 *1896:15 10.3036 -3 *1896:15 *1896:23 17.1786 -4 *1896:23 *25928:S 9.3 -5 *1896:23 *1896:32 11.6607 -6 *1896:32 *25929:S 18.425 -7 *1896:32 *25926:S 23.3714 -8 *1896:15 *25927:S 9.3 -9 *1896:8 *25925:S 20.3893 -*END - -*D_NET *1897 0.0240855 -*CONN -*I *25933:S I *D sky130_fd_sc_hd__mux2_1 -*I *25935:S I *D sky130_fd_sc_hd__mux2_1 -*I *25934:S I *D sky130_fd_sc_hd__mux2_1 -*I *25932:S I *D sky130_fd_sc_hd__mux2_1 -*I *25931:S I *D sky130_fd_sc_hd__mux2_1 -*I *25930:Y O *D sky130_fd_sc_hd__nand2_4 -*CAP -1 *25933:S 2.09908e-05 -2 *25935:S 0.000507462 -3 *25934:S 6.90419e-05 -4 *25932:S 0 -5 *25931:S 8.20944e-05 -6 *25930:Y 0 -7 *1897:31 0.00125229 -8 *1897:22 0.000696229 -9 *1897:19 0.00211867 -10 *1897:18 0.00215632 -11 *1897:15 0.00107016 -12 *1897:5 0.00107318 -13 *25931:S *25753:B 1.03483e-05 -14 *25931:S *3884:88 0.000192957 -15 *25931:S *5665:66 7.73922e-05 -16 *25931:S *5665:76 1.90936e-05 -17 *25933:S *27548:A1 0.000142011 -18 *25933:S *2844:37 0.000129574 -19 *25934:S *25934:A0 6.57032e-05 -20 *25934:S *27556:A1 0.000175892 -21 *25934:S *3752:39 1.21258e-05 -22 *25935:S *27530:B2 1.43349e-05 -23 *25935:S *3935:47 1.04232e-05 -24 *25935:S *4077:49 9.25014e-06 -25 *25935:S *5614:97 0.000929463 -26 *25935:S *5650:122 0.00122328 -27 *25935:S *5687:37 2.00756e-05 -28 *25935:S *5691:16 0.000191681 -29 *1897:15 *25753:B 0.000106309 -30 *1897:15 *27511:A1 0.000305561 -31 *1897:15 *27883:B2 0 -32 *1897:15 *28377:D 0.000194691 -33 *1897:15 *3779:41 1.09315e-05 -34 *1897:15 *3779:45 0.000611502 -35 *1897:15 *3792:80 0 -36 *1897:15 *3884:88 0.000280221 -37 *1897:15 *4088:79 1.90936e-05 -38 *1897:18 *27548:A1 0.000219711 -39 *1897:18 *2844:37 0.000221634 -40 *1897:19 *25279:B2 0 -41 *1897:19 *27500:B1 0.000191108 -42 *1897:19 *27541:B2 0 -43 *1897:19 *27867:A1 3.28674e-05 -44 *1897:19 *27897:A2 1.94945e-05 -45 *1897:19 *28156:D 0.000121426 -46 *1897:19 *3096:15 0 -47 *1897:19 *3099:17 4.43299e-05 -48 *1897:19 *3671:77 7.22551e-05 -49 *1897:19 *3791:98 1.94945e-05 -50 *1897:19 *3869:34 0.000293854 -51 *1897:19 *3919:51 0.00214311 -52 *1897:19 *3919:63 0.000795368 -53 *1897:19 *5718:174 0.000401883 -54 *1897:19 *5718:183 0.000658372 -55 *1897:19 *5909:82 0.000981424 -56 *1897:22 *5706:188 5.33005e-05 -57 *1897:31 *25244:A1 6.06239e-05 -58 *1897:31 *25668:A0 0.00014388 -59 *1897:31 *27519:A1 0.000236599 -60 *1897:31 *27530:B2 5.07768e-05 -61 *1897:31 *3726:32 0.000169241 -62 *1897:31 *3752:54 0.000142564 -63 *1897:31 *3828:25 0.000136864 -64 *1897:31 *3919:63 0.000259778 -65 *1897:31 *3934:50 0.000182423 -66 *1897:31 *5650:122 0.000624365 -67 *1897:31 *5687:37 1.06328e-05 -68 *1897:31 *5691:16 5.55405e-05 -69 *1897:31 *5706:188 0.000124521 -70 *25244:C1 *1897:19 0.00131215 -71 *1362:118 *1897:15 0.000410479 -72 *1622:17 *1897:22 2.59355e-05 -73 *1622:17 *1897:31 6.57032e-05 -74 *1865:8 *1897:15 5.41797e-06 -*RES -1 *25930:Y *1897:5 13.8 -2 *1897:5 *25931:S 16.3536 -3 *1897:5 *1897:15 28.6071 -4 *1897:15 *1897:18 6.67857 -5 *1897:18 *1897:19 58.4107 -6 *1897:19 *1897:22 5.03571 -7 *1897:22 *25932:S 9.3 -8 *1897:22 *1897:31 23.2857 -9 *1897:31 *25934:S 15.5679 -10 *1897:31 *25935:S 30.7464 -11 *1897:15 *25933:S 10.6571 -*END - -*D_NET *1898 0.00976291 -*CONN -*I *25941:S I *D sky130_fd_sc_hd__mux2_1 -*I *25939:S I *D sky130_fd_sc_hd__mux2_1 -*I *25940:S I *D sky130_fd_sc_hd__mux2_1 -*I *25937:S I *D sky130_fd_sc_hd__mux2_1 -*I *25938:S I *D sky130_fd_sc_hd__mux2_1 -*I *25936:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *25941:S 0.000467048 -2 *25939:S 4.06336e-05 -3 *25940:S 8.97482e-05 -4 *25937:S 0 -5 *25938:S 0.000365098 -6 *25936:X 0.000419777 -7 *1898:49 0.00120815 -8 *1898:17 0.000841793 -9 *1898:10 0.000649401 -10 *1898:8 0.00120321 -11 *25938:S *25937:A1 5.33005e-05 -12 *25938:S *27918:A1 0.000117693 -13 *25938:S *28380:RESET_B 0.000170308 -14 *25938:S *29577:A 2.89016e-05 -15 *25938:S *4617:23 2.59355e-05 -16 *25938:S *5523:36 9.90819e-06 -17 *25938:S *5680:343 4.32957e-05 -18 *25938:S *5706:219 0.000274517 -19 *25940:S *25940:A0 0.000304394 -20 *25940:S *3663:10 9.60939e-05 -21 *25940:S *6225:48 0.000105559 -22 *25941:S *25941:A0 4.58194e-05 -23 *25941:S *3649:79 2.88045e-05 -24 *25941:S *3805:47 7.29646e-05 -25 *25941:S *3820:38 9.18347e-05 -26 *25941:S *5501:23 5.53563e-05 -27 *25941:S *5685:122 8.43535e-06 -28 *1898:8 *3663:42 0.000257342 -29 *1898:8 *5167:14 0.000515834 -30 *1898:8 *6225:48 0.000151625 -31 *1898:10 *4000:8 9.55323e-06 -32 *1898:10 *5167:14 0.000111276 -33 *1898:10 *6225:48 8.72258e-05 -34 *1898:17 *25355:A 4.33214e-05 -35 *1898:17 *25937:A1 0.000155238 -36 *1898:17 *27918:A1 0.000167835 -37 *1898:17 *28106:D 9.54798e-06 -38 *1898:17 *28812:CLK 2.00751e-05 -39 *1898:17 *4000:8 2.30116e-06 -40 *1898:17 *5167:14 0.000247043 -41 *1898:49 *25939:A1 2.59355e-05 -42 *1898:49 *30020:A 9.41642e-05 -43 *25132:B1 *1898:10 3.47641e-06 -44 *25132:B1 *1898:17 5.25884e-05 -45 *25398:B1 *1898:8 0.000175892 -46 *28809:D *1898:17 0 -47 *28811:D *1898:17 6.20558e-05 -48 *30023:A *25941:S 7.15821e-05 -49 *458:10 *1898:17 0 -50 *472:8 *25938:S 8.31737e-05 -51 *1257:99 *1898:8 5.36521e-05 -52 *1257:99 *1898:49 9.41642e-05 -53 *1263:88 *25941:S 8.66165e-05 -54 *1263:88 *1898:49 0.000351291 -55 *1481:46 *1898:8 1.21258e-05 -*RES -1 *25936:X *1898:8 23.0857 -2 *1898:8 *1898:10 1.94643 -3 *1898:10 *1898:17 15.3393 -4 *1898:17 *25938:S 26.5321 -5 *1898:17 *25937:S 9.3 -6 *1898:10 *25940:S 16.8 -7 *1898:8 *1898:49 14.625 -8 *1898:49 *25939:S 10.0321 -9 *1898:49 *25941:S 25.7821 -*END - -*D_NET *1899 0.0285258 -*CONN -*I *25947:S I *D sky130_fd_sc_hd__mux2_1 -*I *25943:S I *D sky130_fd_sc_hd__mux2_1 -*I *25945:S I *D sky130_fd_sc_hd__mux2_1 -*I *25946:S I *D sky130_fd_sc_hd__mux2_1 -*I *25944:S I *D sky130_fd_sc_hd__mux2_1 -*I *25942:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *25947:S 3.15005e-05 -2 *25943:S 0.000288187 -3 *25945:S 0.00056187 -4 *25946:S 0 -5 *25944:S 0.000213841 -6 *25942:X 0.000694016 -7 *1899:44 0.000213841 -8 *1899:42 0.000783721 -9 *1899:39 0.00378859 -10 *1899:31 0.00560445 -11 *1899:13 0.00305141 -12 *25943:S *25943:A0 5.52238e-05 -13 *25943:S *25946:A1 0.000348057 -14 *25943:S *5710:22 2.8266e-06 -15 *25943:S *5892:163 1.90936e-05 -16 *25944:S *25946:A1 5.52238e-05 -17 *25944:S *5705:266 0.000272054 -18 *25945:S *25634:B 2.14658e-05 -19 *25945:S *25945:A1 3.93247e-05 -20 *25945:S *25946:A0 1.31516e-05 -21 *25945:S *28039:B 0.000161184 -22 *25945:S *3710:38 0.000233252 -23 *1899:13 *25942:A 2.59355e-05 -24 *1899:13 *3153:38 0.00104077 -25 *1899:13 *4022:94 6.30931e-05 -26 *1899:13 *5661:64 0.000316829 -27 *1899:13 *5738:130 0.000139389 -28 *1899:31 *27501:B2 2.89114e-05 -29 *1899:31 *27503:A 0.000433937 -30 *1899:31 *27503:B 0.000259549 -31 *1899:31 *2852:212 5.00194e-05 -32 *1899:31 *2856:76 4.29471e-05 -33 *1899:31 *3153:38 0.000191939 -34 *1899:31 *3176:110 4.65519e-05 -35 *1899:31 *3482:37 4.65519e-05 -36 *1899:31 *3775:55 0.000470804 -37 *1899:31 *3840:30 7.77935e-05 -38 *1899:31 *3840:46 0.00018077 -39 *1899:31 *3970:40 0.00128777 -40 *1899:31 *5634:52 2.99174e-05 -41 *1899:31 *5646:63 5.00194e-05 -42 *1899:31 *5738:115 0.00054691 -43 *1899:31 *5738:130 1.46617e-05 -44 *1899:31 *5947:74 8.94491e-05 -45 *1899:39 *25835:A0 2.11043e-05 -46 *1899:39 *25835:A1 2.89114e-05 -47 *1899:39 *27495:A1 0.000964176 -48 *1899:39 *27495:A2 9.75337e-06 -49 *1899:39 *27495:B1 1.73681e-05 -50 *1899:39 *27495:B2 3.47797e-05 -51 *1899:39 *27503:A 6.26177e-05 -52 *1899:39 *27861:B2 3.04311e-05 -53 *1899:39 *27862:B1 4.13496e-05 -54 *1899:39 *28039:B 0.000153037 -55 *1899:39 *3404:20 0.000354516 -56 *1899:39 *3584:7 5.33005e-05 -57 *1899:39 *3710:38 8.25843e-06 -58 *1899:39 *3957:43 0.000104536 -59 *1899:39 *4113:51 0.000264904 -60 *1899:39 *5458:14 0.000178847 -61 *1899:42 *25946:A0 0.000132452 -62 *1899:42 *25946:A1 2.74198e-05 -63 *1899:42 *28039:B 2.90504e-05 -64 *1899:42 *3710:38 0.000103032 -65 *1899:42 *3831:28 0.000128161 -66 *25634:A *25945:S 0.000148266 -67 *25835:S *1899:39 1.39726e-05 -68 *29367:A *25945:S 0.000181803 -69 *721:24 *25944:S 0.000146523 -70 *1395:29 *1899:13 7.61169e-05 -71 *1427:94 *1899:13 4.65519e-05 -72 *1442:14 *25947:S 2.91659e-05 -73 *1476:103 *1899:39 0.000132113 -74 *1547:51 *1899:31 0.000171876 -75 *1613:6 *25947:S 6.74867e-05 -76 *1617:30 *1899:31 4.11218e-05 -77 *1619:25 *1899:31 0.00201419 -78 *1629:14 *1899:13 6.30931e-05 -79 *1644:19 *1899:31 0.000749429 -80 *1827:11 *25943:S 0 -81 *1827:11 *1899:42 0 -82 *1827:31 *25945:S 9.25014e-06 -*RES -1 *25942:X *1899:13 20.5598 -2 *1899:13 *1899:31 25.2586 -3 *1899:31 *1899:39 46.6607 -4 *1899:39 *1899:42 6.23214 -5 *1899:42 *1899:44 4.5 -6 *1899:44 *25944:S 14.7643 -7 *1899:44 *25946:S 9.3 -8 *1899:42 *25945:S 25.6214 -9 *1899:39 *25943:S 19.0143 -10 *1899:13 *25947:S 18.0939 -*END - -*D_NET *1900 0.0222835 -*CONN -*I *25950:S I *D sky130_fd_sc_hd__mux2_1 -*I *25952:S I *D sky130_fd_sc_hd__mux2_1 -*I *25949:S I *D sky130_fd_sc_hd__mux2_1 -*I *25951:S I *D sky130_fd_sc_hd__mux2_1 -*I *25953:S I *D sky130_fd_sc_hd__mux2_1 -*I *25948:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *25950:S 3.03864e-05 -2 *25952:S 8.59523e-05 -3 *25949:S 0.000408384 -4 *25951:S 0 -5 *25953:S 0 -6 *25948:X 4.18948e-05 -7 *1900:40 0.00272742 -8 *1900:30 0.00318664 -9 *1900:26 0.00102201 -10 *1900:17 0.00176013 -11 *1900:5 0.002012 -12 *25949:S *25951:A0 0.000140088 -13 *25949:S *25951:A1 0.000326371 -14 *25949:S *28808:RESET_B 0.000262498 -15 *25949:S *3648:20 1.47526e-05 -16 *25949:S *5040:13 1.30798e-05 -17 *25949:S *5154:10 0.00017603 -18 *25950:S *25950:A0 2.14757e-05 -19 *25950:S *25950:A1 5.52302e-05 -20 *25950:S *5970:51 4.87953e-05 -21 *25952:S *3412:41 6.71854e-05 -22 *25952:S *5707:200 0.000265453 -23 *1900:5 *5667:131 5.33005e-05 -24 *1900:17 *25953:A0 0.000137561 -25 *1900:17 *28626:CLK 0.000376583 -26 *1900:17 *29325:A 8.56752e-05 -27 *1900:17 *3844:13 0.000110735 -28 *1900:17 *3988:8 8.6229e-06 -29 *1900:17 *3988:33 0.000133734 -30 *1900:17 *5499:59 4.26879e-05 -31 *1900:17 *6225:79 6.86746e-05 -32 *1900:17 *6225:92 0.000228594 -33 *1900:26 *28626:CLK 2.74584e-05 -34 *1900:26 *3639:83 0.000184809 -35 *1900:26 *5667:131 9.71197e-05 -36 *1900:30 *25602:A1 1.90936e-05 -37 *1900:30 *26825:A0 0.000141856 -38 *1900:30 *28674:CLK 0 -39 *1900:30 *3639:8 0.000352298 -40 *1900:30 *3639:74 0.000450934 -41 *1900:30 *3639:83 0.000565237 -42 *1900:30 *5745:61 0.00050375 -43 *1900:40 *25260:A1 0.000238076 -44 *1900:40 *25590:B 4.14835e-05 -45 *1900:40 *25613:A0 0.000154997 -46 *1900:40 *3648:20 3.35781e-05 -47 *1900:40 *5040:13 3.87124e-05 -48 *1900:40 *5485:104 0.00034492 -49 *1900:40 *5505:41 5.97299e-05 -50 *1900:40 *5707:200 0.000307336 -51 *29817:A *1900:26 0 -52 *30240:A *25949:S 0.000143375 -53 *282:15 *1900:30 0 -54 *282:19 *1900:30 0 -55 *282:21 *1900:17 0.000117159 -56 *282:21 *1900:26 0.000305747 -57 *282:21 *1900:30 3.23542e-05 -58 *474:15 *1900:30 0.000676458 -59 *762:14 *25952:S 0.000126572 -60 *762:14 *1900:40 0.000480543 -61 *1240:41 *1900:17 5.49544e-05 -62 *1277:70 *1900:17 9.60875e-05 -63 *1701:11 *1900:17 0.000776059 -64 *1815:34 *1900:40 0.0020009 -*RES -1 *25948:X *1900:5 9.83571 -2 *1900:5 *1900:17 44.8929 -3 *1900:17 *25953:S 9.3 -4 *1900:5 *1900:26 9.51786 -5 *1900:26 *1900:30 26.4821 -6 *1900:30 *1900:40 49.7679 -7 *1900:40 *25951:S 13.8 -8 *1900:40 *25949:S 32.4786 -9 *1900:30 *25952:S 12.3179 -10 *1900:26 *25950:S 14.7464 -*END - -*D_NET *1901 0.0096074 -*CONN -*I *25956:S I *D sky130_fd_sc_hd__mux2_1 -*I *25958:S I *D sky130_fd_sc_hd__mux2_1 -*I *25955:S I *D sky130_fd_sc_hd__mux2_1 -*I *25957:S I *D sky130_fd_sc_hd__mux2_1 -*I *25959:S I *D sky130_fd_sc_hd__mux2_1 -*I *25954:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *25956:S 6.4084e-05 -2 *25958:S 0.000128862 -3 *25955:S 0.000103016 -4 *25957:S 0.000448899 -5 *25959:S 0 -6 *25954:Y 0.000186967 -7 *1901:41 0.000508536 -8 *1901:35 0.000424606 -9 *1901:21 0.000846836 -10 *1901:9 0.00050104 -11 *25955:S *25955:A0 5.49544e-05 -12 *25955:S *25955:A1 5.33005e-05 -13 *25955:S *3853:19 0.0002128 -14 *25955:S *5499:59 0.0002128 -15 *25956:S *25956:A1 2.59355e-05 -16 *25956:S *2860:56 0.000177821 -17 *25956:S *5706:242 3.97677e-05 -18 *25957:S *25957:A1 0.000466997 -19 *25957:S *28396:D 7.14469e-05 -20 *25957:S *29250:A 0.000175892 -21 *25957:S *3988:33 1.95625e-05 -22 *25957:S *5605:17 0.000534957 -23 *25958:S *28398:D 0.00037284 -24 *25958:S *3844:25 0.000127643 -25 *25958:S *5691:149 1.98839e-05 -26 *1901:9 *2860:56 0.000458427 -27 *1901:21 *2860:56 0.00066129 -28 *1901:21 *5706:242 0.000135028 -29 *1901:35 *2860:56 0.000187325 -30 *1901:35 *5706:242 0.000218685 -31 *1901:41 *28398:D 5.33005e-05 -32 *1901:41 *5499:59 0.000238646 -33 *1901:41 *5691:157 1.98839e-05 -34 *25270:A2 *1901:41 1.52774e-05 -35 *29992:A *25958:S 0.000178425 -36 *29992:A *1901:41 9.41642e-05 -37 *30071:A *1901:9 0.000121755 -38 *1323:5 *25956:S 8.43535e-06 -39 *1323:5 *1901:21 0.000209656 -40 *1479:59 *1901:41 0.000233905 -41 *1504:8 *25957:S 0.000164403 -42 *1504:16 *25957:S 7.18952e-05 -43 *1535:14 *1901:41 0.00052401 -44 *1587:36 *25957:S 9.35225e-05 -45 *1588:18 *25957:S 0.000139923 -*RES -1 *25954:Y *1901:9 14.4964 -2 *1901:9 *25959:S 9.3 -3 *1901:9 *1901:21 8.39286 -4 *1901:21 *25957:S 30.3536 -5 *1901:21 *1901:35 2.60714 -6 *1901:35 *1901:41 17.0536 -7 *1901:41 *25955:S 21.6929 -8 *1901:41 *25958:S 13.5321 -9 *1901:35 *25956:S 11.0679 -*END - -*D_NET *1902 0.0206135 -*CONN -*I *26013:B I *D sky130_fd_sc_hd__nor2_8 -*I *26002:B I *D sky130_fd_sc_hd__or2_4 -*I *26001:B I *D sky130_fd_sc_hd__nor2_2 -*I *26171:A I *D sky130_fd_sc_hd__or2_2 -*I *26349:C1 I *D sky130_fd_sc_hd__a211oi_4 -*I *25976:A I *D sky130_fd_sc_hd__or2_2 -*I *25960:X O *D sky130_fd_sc_hd__or3_4 -*CAP -1 *26013:B 0 -2 *26002:B 0.000178013 -3 *26001:B 0 -4 *26171:A 0 -5 *26349:C1 0.000968554 -6 *25976:A 8.08231e-05 -7 *25960:X 0.000469998 -8 *1902:59 0.0015323 -9 *1902:41 0.00097487 -10 *1902:36 0.00206888 -11 *1902:13 0.00223184 -12 *1902:8 0.00114127 -13 *25976:A *26098:A 5.72966e-05 -14 *25976:A *1918:5 1.98839e-05 -15 *25976:A *2514:10 2.77143e-05 -16 *25976:A *6180:11 0.000177815 -17 *26002:B *1944:23 7.38616e-05 -18 *26349:C1 *26058:D 3.30337e-05 -19 *26349:C1 *26171:B 0.000224445 -20 *26349:C1 *1970:9 2.28499e-05 -21 *26349:C1 *1970:15 0.00050829 -22 *26349:C1 *1972:5 4.82232e-05 -23 *26349:C1 *2103:68 2.24079e-05 -24 *26349:C1 *2113:5 6.57032e-05 -25 *26349:C1 *2113:65 8.25114e-05 -26 *1902:8 *25970:B 4.1331e-06 -27 *1902:8 *26016:A2 0.000225609 -28 *1902:8 *26016:B1 5.38703e-05 -29 *1902:8 *26455:A2 6.30931e-05 -30 *1902:8 *2033:12 3.29781e-05 -31 *1902:8 *2157:25 0 -32 *1902:8 *2227:85 1.76755e-05 -33 *1902:8 *4297:15 0 -34 *1902:8 *4386:15 4.27935e-05 -35 *1902:8 *4386:17 8.46829e-05 -36 *1902:13 *25970:B 2.87297e-05 -37 *1902:13 *26016:B1 2.67767e-05 -38 *1902:13 *26098:A 0.000215102 -39 *1902:13 *26456:B 0.000231976 -40 *1902:13 *1935:156 0.000637088 -41 *1902:13 *2033:12 0.000134322 -42 *1902:13 *2240:29 4.11218e-05 -43 *1902:13 *2397:11 1.08359e-05 -44 *1902:13 *4508:285 4.11173e-05 -45 *1902:13 *6180:11 0.000225616 -46 *1902:36 *25988:A 0.000367476 -47 *1902:36 *26087:A 5.41794e-05 -48 *1902:36 *26092:A 0.000390662 -49 *1902:36 *26466:B1 0.000484018 -50 *1902:36 *26475:A2 0 -51 *1902:36 *26681:B1 0.000264114 -52 *1902:36 *1906:20 0.00106794 -53 *1902:36 *1918:8 0.000142969 -54 *1902:36 *2018:18 0 -55 *1902:36 *2025:114 5.33882e-05 -56 *1902:36 *2033:12 1.46624e-05 -57 *1902:36 *2038:193 0.000126448 -58 *1902:36 *2154:143 0.000326181 -59 *1902:36 *2240:42 0 -60 *1902:36 *2507:10 0.000358753 -61 *1902:36 *2615:10 0.000125717 -62 *1902:41 *26466:B1 0.000207058 -63 *1902:41 *1968:11 0.000100736 -64 *1902:41 *2023:15 0 -65 *1902:41 *2023:21 0 -66 *1902:41 *2136:158 8.23597e-06 -67 *1902:41 *2190:134 1.98839e-05 -68 *1902:59 *26026:A 5.52302e-05 -69 *1902:59 *26026:C 1.95435e-05 -70 *1902:59 *26171:B 3.48705e-05 -71 *1902:59 *1968:11 0.000331327 -72 *1902:59 *2031:77 0.000112921 -73 *1902:59 *2031:81 0.0001648 -74 *1902:59 *2103:68 9.90431e-05 -75 *1902:59 *2111:58 0.000190776 -76 *1902:59 *2113:18 0 -77 *1902:59 *2190:134 9.76435e-06 -78 *1902:59 *4552:162 0.000147114 -79 *1902:59 *4552:178 0.00057038 -80 *26001:A *1902:41 0.000180066 -81 *26001:A *1902:59 8.87702e-05 -82 *26002:A *26002:B 2.59355e-05 -83 *26091:A *1902:8 0.000118313 -84 *1171:8 *1902:36 0.000449448 -85 *1171:8 *1902:41 3.10885e-05 -86 *1171:10 *1902:41 0.000545063 -87 *1171:12 *1902:41 3.06466e-05 -88 *1187:41 *1902:41 0.000145618 -89 *1788:28 *1902:8 2.22674e-05 -*RES -1 *25960:X *1902:8 21.5857 -2 *1902:8 *1902:13 21.0714 -3 *1902:13 *25976:A 11.4964 -4 *1902:13 *1902:36 42.7321 -5 *1902:36 *1902:41 14.6964 -6 *1902:41 *1902:59 25.875 -7 *1902:59 *26349:C1 23.4607 -8 *1902:59 *26171:A 9.3 -9 *1902:41 *26001:B 9.3 -10 *1902:36 *26002:B 16.55 -11 *1902:8 *26013:B 13.8 -*END - -*D_NET *1903 0.00223639 -*CONN -*I *25972:A I *D sky130_fd_sc_hd__and3_1 -*I *25964:A I *D sky130_fd_sc_hd__and3_1 -*I *25961:X O *D sky130_fd_sc_hd__and4_1 -*CAP -1 *25972:A 0.0001832 -2 *25964:A 8.01824e-05 -3 *25961:X 0.00028326 -4 *1903:8 0.000546642 -5 *25964:A *25964:C 0.000101271 -6 *25964:A *1906:14 5.52238e-05 -7 *25972:A *25972:C 5.71472e-05 -8 *25972:A *1914:8 9.01238e-05 -9 *1903:8 *25504:A 0.000136958 -10 *1903:8 *1914:8 4.78056e-05 -11 *1903:8 *4341:11 0.000180764 -12 *25511:A *25964:A 0.000219711 -13 *30598:A *25972:A 2.22371e-05 -14 *30601:A *25972:A 5.71472e-05 -15 *256:22 *25972:A 0.000121326 -16 *256:22 *1903:8 5.33882e-05 -*RES -1 *25961:X *1903:8 18.1393 -2 *1903:8 *25964:A 15.9786 -3 *1903:8 *25972:A 18.3357 -*END - -*D_NET *1904 0.00137824 -*CONN -*I *25972:B I *D sky130_fd_sc_hd__and3_1 -*I *25964:B I *D sky130_fd_sc_hd__and3_1 -*I *25962:X O *D sky130_fd_sc_hd__and4_1 -*CAP -1 *25972:B 0.000132009 -2 *25964:B 0 -3 *25962:X 0.000172124 -4 *1904:8 0.000304132 -5 *25972:B *4341:11 6.81083e-05 -6 *25972:B *6214:22 0.000137983 -7 *1904:8 *25962:D 3.91952e-05 -8 *1904:8 *4341:11 0.000250778 -9 *256:22 *25972:B 7.83587e-05 -10 *256:22 *1904:8 0.000195547 -*RES -1 *25962:X *1904:8 17.7107 -2 *1904:8 *25964:B 13.8 -3 *1904:8 *25972:B 16.1929 -*END - -*D_NET *1905 0.0037619 -*CONN -*I *25972:C I *D sky130_fd_sc_hd__and3_1 -*I *25964:C I *D sky130_fd_sc_hd__and3_1 -*I *25963:X O *D sky130_fd_sc_hd__and4_1 -*CAP -1 *25972:C 0.000407723 -2 *25964:C 0.000164381 -3 *25963:X 0.00058671 -4 *1905:8 0.00115881 -5 *25964:C *26559:B 5.33005e-05 -6 *25972:C *2220:19 1.39841e-05 -7 *25972:C *4541:8 7.44918e-05 -8 *1905:8 *25962:B 0.00064503 -9 *1905:8 *25962:D 7.43578e-06 -10 *1905:8 *26559:B 7.6644e-05 -11 *1905:8 *1921:10 2.42662e-05 -12 *1905:8 *4541:8 3.0285e-05 -13 *25511:A *25964:C 0.000114457 -14 *25964:A *25964:C 0.000101271 -15 *25972:A *25972:C 5.71472e-05 -16 *30595:A *25972:C 0 -17 *30601:A *25972:C 0.000138007 -18 *255:24 *25972:C 0.000101085 -19 *255:24 *1905:8 6.8646e-06 -*RES -1 *25963:X *1905:8 22.2464 -2 *1905:8 *25964:C 16.6036 -3 *1905:8 *25972:C 21.05 -*END - -*D_NET *1906 0.016037 -*CONN -*I *25973:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *26027:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *26028:B I *D sky130_fd_sc_hd__nand3_2 -*I *25964:X O *D sky130_fd_sc_hd__and3_1 -*CAP -1 *25973:A1 0 -2 *26027:A1 8.50157e-05 -3 *26028:B 0.00020909 -4 *25964:X 0.00047345 -5 *1906:32 0.000762282 -6 *1906:20 0.00236657 -7 *1906:19 0.00201413 -8 *1906:14 0.000589178 -9 *26027:A1 *1964:19 5.74499e-06 -10 *26027:A1 *1968:11 6.05161e-06 -11 *26028:B *1943:9 3.06878e-06 -12 *26028:B *1943:36 9.33978e-07 -13 *26028:B *1984:12 0.000199691 -14 *1906:14 *25974:B 2.39654e-05 -15 *1906:14 *4297:15 1.94879e-05 -16 *1906:14 *4330:8 0.000213917 -17 *1906:19 *25973:A2 5.52302e-05 -18 *1906:19 *25973:B1 9.13898e-05 -19 *1906:19 *1958:7 0.00022459 -20 *1906:19 *6203:23 0.000157399 -21 *1906:20 *25988:A 0.000372213 -22 *1906:20 *26087:A 0.000225171 -23 *1906:20 *26108:C_N 6.38303e-05 -24 *1906:20 *26484:D 2.7604e-05 -25 *1906:20 *1918:8 0.000138158 -26 *1906:20 *1955:19 4.00349e-05 -27 *1906:20 *1959:10 7.3793e-05 -28 *1906:20 *1984:16 0 -29 *1906:20 *2033:12 0 -30 *1906:20 *2038:193 0.000128578 -31 *1906:20 *2066:9 0.00177585 -32 *1906:20 *2068:16 0.000225873 -33 *1906:20 *2250:82 0.00053994 -34 *1906:20 *2615:10 0.000125717 -35 *1906:20 *2620:13 4.43142e-05 -36 *1906:20 *4364:60 1.10997e-05 -37 *1906:20 *4364:62 3.40301e-05 -38 *1906:20 *4364:69 2.30116e-06 -39 *1906:32 *26477:B1 0.00029604 -40 *1906:32 *26562:C1 7.50314e-05 -41 *1906:32 *1984:12 0.000331233 -42 *1906:32 *1984:16 0 -43 *25511:A *1906:14 7.80417e-05 -44 *25511:C *1906:14 0.000248998 -45 *25511:D *1906:14 5.33005e-05 -46 *25964:A *1906:14 5.52238e-05 -47 *26027:B1 *26027:A1 2.59355e-05 -48 *30598:A *1906:14 0.000335368 -49 *30600:A *1906:20 0.000911515 -50 *1171:8 *1906:20 0.000134784 -51 *1171:8 *1906:32 0.000191698 -52 *1171:10 *1906:32 0.000537047 -53 *1171:12 *26028:B 4.73656e-05 -54 *1171:12 *1906:32 0.000145406 -55 *1171:19 *26028:B 0.00017241 -56 *1902:36 *1906:20 0.00106794 -*RES -1 *25964:X *1906:14 28.05 -2 *1906:14 *1906:19 8.33929 -3 *1906:19 *1906:20 50.6696 -4 *1906:20 *1906:32 12.8571 -5 *1906:32 *26028:B 18.3357 -6 *1906:32 *26027:A1 14.7464 -7 *1906:14 *25973:A1 9.3 -*END - -*D_NET *1907 0.0188765 -*CONN -*I *25977:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *26209:C_N I *D sky130_fd_sc_hd__or3b_4 -*I *26033:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *26036:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *26175:A1 I *D sky130_fd_sc_hd__a21oi_1 -*I *25985:A1_N I *D sky130_fd_sc_hd__o2bb2a_1 -*I *25965:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *25977:A1 0.000134393 -2 *26209:C_N 0.000627396 -3 *26033:A1 9.55542e-05 -4 *26036:A1 2.25053e-05 -5 *26175:A1 0.000698087 -6 *25985:A1_N 0 -7 *25965:X 0.000150435 -8 *1907:30 0.00076531 -9 *1907:27 0.000956609 -10 *1907:22 0.00292785 -11 *1907:13 0.00173385 -12 *1907:5 0.000265775 -13 *25977:A1 *25984:A 0.000136958 -14 *25977:A1 *1919:8 1.02504e-05 -15 *25977:A1 *4275:8 5.15925e-05 -16 *26033:A1 *26032:A2 0.000137983 -17 *26036:A1 *1978:5 5.33005e-05 -18 *26036:A1 *2106:17 1.58163e-05 -19 *26175:A1 *26166:B 7.18158e-05 -20 *26175:A1 *26175:A2 0.000108447 -21 *26175:A1 *26232:A1 5.59013e-05 -22 *26175:A1 *1937:22 0.000143756 -23 *26175:A1 *2103:19 4.13496e-05 -24 *26175:A1 *2103:29 2.24195e-05 -25 *26175:A1 *2105:7 8.25843e-06 -26 *26175:A1 *2106:18 0.000135347 -27 *26175:A1 *4552:132 0.000300406 -28 *26209:C_N *26209:A 0.000105471 -29 *26209:C_N *2144:95 0.000232732 -30 *1907:5 *25984:A 0.000342803 -31 *1907:5 *4519:206 3.97677e-05 -32 *1907:5 *4530:185 0.000211627 -33 *1907:13 *25984:A 0.000480054 -34 *1907:13 *25984:B 0.000263524 -35 *1907:13 *1924:9 9.41642e-05 -36 *1907:13 *4530:185 6.05266e-05 -37 *1907:22 *26052:A 7.46368e-05 -38 *1907:22 *26113:C 5.05175e-05 -39 *1907:22 *26123:A3 0.00144008 -40 *1907:22 *26458:A3 9.66176e-05 -41 *1907:22 *26458:B1 0.000209931 -42 *1907:22 *26478:B1 0.000351256 -43 *1907:22 *26482:C1 0.000480441 -44 *1907:22 *26573:A1 0 -45 *1907:22 *1919:8 0 -46 *1907:22 *1959:53 0 -47 *1907:22 *2240:29 1.09241e-05 -48 *1907:22 *4275:8 0.000407836 -49 *1907:22 *4475:34 0.000756088 -50 *1907:22 *4508:107 0.000126496 -51 *1907:27 *26032:A2 9.41642e-05 -52 *1907:27 *26405:B 0.000218741 -53 *1907:27 *26482:C1 0.000222885 -54 *1907:27 *1937:22 0.000621809 -55 *1907:27 *1944:23 1.90936e-05 -56 *1907:27 *4508:107 0.000213644 -57 *1907:27 *4508:109 8.19273e-05 -58 *1907:30 *26166:B 0.00012401 -59 *30595:A *25977:A1 1.50904e-05 -60 *30595:A *1907:22 0.000729209 -61 *1771:43 *26175:A1 9.09094e-05 -62 *1771:43 *1907:22 0.00012888 -63 *1771:43 *1907:27 0.000694549 -64 *1771:43 *1907:30 0.000114759 -*RES -1 *25965:X *1907:5 13.5188 -2 *1907:5 *25985:A1_N 9.3 -3 *1907:5 *1907:13 9.15625 -4 *1907:13 *1907:22 42.8393 -5 *1907:22 *1907:27 26.2143 -6 *1907:27 *1907:30 6.14286 -7 *1907:30 *26175:A1 24.3714 -8 *1907:30 *26036:A1 14.3357 -9 *1907:27 *26033:A1 10.6571 -10 *1907:22 *26209:C_N 23.3893 -11 *1907:13 *25977:A1 15.8893 -*END - -*D_NET *1908 0.0306098 -*CONN -*I *25979:A1 I *D sky130_fd_sc_hd__a21oi_1 -*I *25971:B I *D sky130_fd_sc_hd__and3_1 -*I *25970:A I *D sky130_fd_sc_hd__nand2_1 -*I *26168:B I *D sky130_fd_sc_hd__and2b_4 -*I *26037:A1 I *D sky130_fd_sc_hd__a21boi_2 -*I *26038:A1 I *D sky130_fd_sc_hd__a21oi_1 -*I *26444:A2 I *D sky130_fd_sc_hd__a41o_1 -*I *26443:C I *D sky130_fd_sc_hd__and4bb_1 -*I *26164:B I *D sky130_fd_sc_hd__and3_1 -*I *26163:A I *D sky130_fd_sc_hd__and2_1 -*I *26026:B I *D sky130_fd_sc_hd__and3_1 -*I *25966:X O *D sky130_fd_sc_hd__and3_2 -*CAP -1 *25979:A1 0.000231415 -2 *25971:B 0.000142182 -3 *25970:A 0.000566881 -4 *26168:B 0.000196402 -5 *26037:A1 2.98283e-05 -6 *26038:A1 0.000407325 -7 *26444:A2 5.35435e-05 -8 *26443:C 0.0002063 -9 *26164:B 5.21607e-05 -10 *26163:A 1.89309e-05 -11 *26026:B 0 -12 *25966:X 0.000145608 -13 *1908:140 0.000673859 -14 *1908:73 0.000392226 -15 *1908:56 0.000908721 -16 *1908:51 0.00117961 -17 *1908:46 0.000659812 -18 *1908:44 0.00033376 -19 *1908:35 0.000421075 -20 *1908:30 0.000763202 -21 *1908:27 0.00188929 -22 *1908:19 0.0024164 -23 *1908:8 0.000812863 -24 *25970:A *25970:B 0.00135086 -25 *25970:A *26015:B1 0.000567284 -26 *25970:A *26124:D1 8.30045e-05 -27 *25970:A *26298:B 0.00020546 -28 *25970:A *26314:B 9.60939e-05 -29 *25970:A *26455:A2 0.000140471 -30 *25970:A *1922:11 1.12323e-05 -31 *25970:A *1955:190 9.41642e-05 -32 *25970:A *1957:12 9.25014e-06 -33 *25970:A *2031:38 0.000747901 -34 *25970:A *2033:12 8.30045e-05 -35 *25970:A *2227:85 2.65242e-05 -36 *25971:B *2258:41 0.000175892 -37 *25979:A1 *25962:B 5.52302e-05 -38 *25979:A1 *25966:B 0.000306075 -39 *25979:A1 *25979:A2 5.58108e-05 -40 *25979:A1 *1921:10 5.52302e-05 -41 *25979:A1 *4508:54 0.000210833 -42 *26037:A1 *26059:A 5.52302e-05 -43 *26037:A1 *1964:41 9.71197e-05 -44 *26037:A1 *1979:7 6.05161e-06 -45 *26038:A1 *26038:B1 0.00032165 -46 *26038:A1 *26039:B 9.45934e-05 -47 *26038:A1 *1964:37 7.28988e-05 -48 *26163:A *26175:A2 1.24368e-05 -49 *26163:A *2103:19 5.33005e-05 -50 *26163:A *2105:7 5.33005e-05 -51 *26164:B *26178:A1 0.000120708 -52 *26164:B *2103:11 0.000112085 -53 *26168:B *2110:7 0.00014285 -54 *26443:C *1973:8 0.000101545 -55 *26443:C *2125:19 2.14658e-05 -56 *26443:C *2139:11 0.000136951 -57 *26444:A2 *2385:10 1.40306e-05 -58 *26444:A2 *4552:142 1.04232e-05 -59 *1908:8 *25966:A 1.24368e-05 -60 *1908:8 *26051:C1 3.5111e-05 -61 *1908:8 *26559:B 2.05938e-05 -62 *1908:8 *1913:8 1.93629e-05 -63 *1908:8 *2152:157 6.05161e-06 -64 *1908:8 *2272:15 5.74499e-06 -65 *1908:8 *4541:10 0.000181304 -66 *1908:19 *25984:A 0.000222666 -67 *1908:19 *26051:C1 8.3644e-05 -68 *1908:19 *26559:B 5.25548e-05 -69 *1908:19 *4541:10 0.000172392 -70 *1908:27 *25987:A 0.000235483 -71 *1908:27 *26019:A 5.41794e-05 -72 *1908:27 *26049:A 0.000184564 -73 *1908:27 *26073:B 3.9109e-05 -74 *1908:27 *26085:B 0.000218012 -75 *1908:27 *26457:B1 3.16865e-05 -76 *1908:27 *26480:B1 0.000296257 -77 *1908:27 *26557:B 2.11419e-05 -78 *1908:27 *26559:B 5.15808e-05 -79 *1908:27 *26559:C 0.000370489 -80 *1908:27 *26571:B 0.000123295 -81 *1908:27 *26574:A_N 0.000322273 -82 *1908:27 *26574:C 6.34068e-05 -83 *1908:27 *1914:12 0.00217768 -84 *1908:27 *1922:11 0.000254913 -85 *1908:27 *1934:22 2.79421e-05 -86 *1908:27 *1960:6 0.000327913 -87 *1908:27 *1995:10 0.000147613 -88 *1908:27 *2500:26 0.000103424 -89 *1908:27 *4308:8 7.93957e-05 -90 *1908:30 *1914:12 0.00102741 -91 *1908:30 *2031:73 0.000440225 -92 *1908:30 *2279:13 0.000585957 -93 *1908:35 *1914:12 0.000148189 -94 *1908:35 *2031:77 6.82922e-05 -95 *1908:35 *2190:134 0.00014183 -96 *1908:35 *2279:13 9.25014e-06 -97 *1908:44 *26026:C 0.000176226 -98 *1908:44 *26059:A 0.000364974 -99 *1908:44 *2031:77 7.18426e-05 -100 *1908:44 *2031:81 0.000296626 -101 *1908:44 *2190:134 4.18895e-05 -102 *1908:44 *2401:34 0.000133243 -103 *1908:46 *2031:81 6.67881e-05 -104 *1908:46 *2401:34 3.22095e-05 -105 *1908:51 *26662:A3 0.000202692 -106 *1908:51 *2031:81 0.000260361 -107 *1908:51 *2133:56 0.000127476 -108 *1908:51 *2401:34 2.5815e-05 -109 *1908:56 *26178:A1 0.000142102 -110 *1908:56 *1963:8 4.57599e-05 -111 *1908:56 *2103:11 5.20232e-05 -112 *1908:56 *2133:56 0.00101282 -113 *1908:73 *1973:8 0.000217756 -114 *1908:73 *2031:81 2.87435e-05 -115 *1908:73 *4552:135 0.000126167 -116 *1908:140 *2258:41 0.000282824 -117 *30594:A *25979:A1 0.000105441 -118 *256:22 *25970:A 1.90936e-05 -119 *256:22 *1908:27 8.6229e-06 -120 *1187:41 *26168:B 0.000118279 -121 *1862:27 *26168:B 4.58194e-05 -122 *1862:28 *26443:C 3.34672e-05 -123 *1862:28 *1908:73 4.98055e-06 -*RES -1 *25966:X *1908:8 16.8893 -2 *1908:8 *1908:19 15.3036 -3 *1908:19 *1908:27 46.6071 -4 *1908:27 *1908:30 18.2857 -5 *1908:30 *1908:35 7.80357 -6 *1908:35 *26026:B 9.3 -7 *1908:35 *1908:44 13.0536 -8 *1908:44 *1908:46 1.03571 -9 *1908:46 *1908:51 9.32143 -10 *1908:51 *1908:56 16.4286 -11 *1908:56 *26163:A 14.3357 -12 *1908:56 *26164:B 15.4429 -13 *1908:51 *1908:73 8.26786 -14 *1908:73 *26443:C 16.9071 -15 *1908:73 *26444:A2 14.7643 -16 *1908:46 *26038:A1 20.2643 -17 *1908:44 *26037:A1 14.7464 -18 *1908:30 *26168:B 18.0321 -19 *1908:19 *25970:A 31.5911 -20 *1908:8 *1908:140 8.32143 -21 *1908:140 *25971:B 11.0679 -22 *1908:140 *25979:A1 24.7286 -*END - -*D_NET *1909 0.0138381 -*CONN -*I *25993:A I *D sky130_fd_sc_hd__or2_4 -*I *25992:A I *D sky130_fd_sc_hd__nor2_2 -*I *26106:A I *D sky130_fd_sc_hd__or2_2 -*I *26291:A_N I *D sky130_fd_sc_hd__and3b_1 -*I *26023:B I *D sky130_fd_sc_hd__or2_2 -*I *26220:A I *D sky130_fd_sc_hd__or2_2 -*I *26020:B I *D sky130_fd_sc_hd__or3_2 -*I *25967:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *25993:A 0.000268333 -2 *25992:A 0 -3 *26106:A 2.57787e-05 -4 *26291:A_N 0 -5 *26023:B 0 -6 *26220:A 9.05543e-05 -7 *26020:B 0.000136179 -8 *25967:Y 0.000452841 -9 *1909:53 0.00035417 -10 *1909:30 0.000343799 -11 *1909:18 0.00107784 -12 *1909:13 0.000954517 -13 *1909:8 0.000345465 -14 *1909:7 0.000592265 -15 *25993:A *25992:B 0.000120738 -16 *25993:A *1931:17 0.000464549 -17 *25993:A *1931:45 5.33005e-05 -18 *25993:A *1946:15 8.44271e-06 -19 *25993:A *2048:36 0.000164139 -20 *25993:A *2130:11 5.73777e-06 -21 *25993:A *2260:33 0.000248635 -22 *26020:B *26020:C 1.58163e-05 -23 *26020:B *1910:20 1.04707e-05 -24 *26020:B *1910:27 0.000138993 -25 *26020:B *2054:53 4.22135e-06 -26 *26020:B *2161:35 0.000161649 -27 *26106:A *1934:13 5.33005e-05 -28 *26106:A *2048:5 3.69047e-06 -29 *26106:A *2048:9 1.58163e-05 -30 *26220:A *25968:B 0.000309371 -31 *26220:A *1910:20 5.49489e-05 -32 *26220:A *2162:17 7.44316e-05 -33 *1909:7 *25967:B 5.45307e-05 -34 *1909:7 *26188:A_N 0.000175892 -35 *1909:7 *26188:B 5.52302e-05 -36 *1909:7 *4508:77 9.76435e-06 -37 *1909:7 *4530:37 3.45337e-05 -38 *1909:7 *4530:46 0.000137983 -39 *1909:7 *4552:86 7.80417e-05 -40 *1909:8 *2048:36 0.000146474 -41 *1909:8 *2130:27 0.000137939 -42 *1909:13 *26100:A 0.000165385 -43 *1909:13 *2048:36 8.15095e-05 -44 *1909:13 *2130:27 0.00040396 -45 *1909:13 *2130:29 0.000165418 -46 *1909:13 *2176:101 7.03642e-05 -47 *1909:13 *2279:7 0.000175892 -48 *1909:13 *4541:32 0.000157967 -49 *1909:13 *4541:34 0.000188903 -50 *1909:18 *26100:A 0.000178847 -51 *1909:18 *2054:53 0.000276517 -52 *1909:18 *2141:16 0.000237284 -53 *1909:18 *2142:10 3.69155e-05 -54 *1909:18 *2152:102 6.89723e-05 -55 *1909:18 *2152:118 0.000864181 -56 *1909:18 *2161:29 0.00114124 -57 *1909:18 *2161:35 0.000787094 -58 *1909:18 *2279:7 0.000178847 -59 *1909:18 *4552:105 8.72777e-05 -60 *1909:30 *25968:B 9.83388e-05 -61 *1909:30 *26185:A 5.52302e-05 -62 *1909:30 *26185:B 5.33005e-05 -63 *1909:30 *2127:15 0.000131531 -64 *1909:30 *2142:19 0.000135028 -65 *1909:53 *2048:36 0.000244145 -66 *1909:53 *2130:11 9.77095e-05 -67 *1909:53 *2130:27 4.66511e-05 -68 *26023:A *1909:30 2.04582e-05 -69 *1874:27 *1909:18 0.000308798 -*RES -1 *25967:Y *1909:7 21.3179 -2 *1909:7 *1909:8 1.94643 -3 *1909:8 *1909:13 13.6786 -4 *1909:13 *1909:18 32.8036 -5 *1909:18 *26020:B 16.5857 -6 *1909:18 *1909:30 9.55357 -7 *1909:30 *26220:A 12.3 -8 *1909:30 *26023:B 9.3 -9 *1909:13 *26291:A_N 9.3 -10 *1909:8 *26106:A 14.3357 -11 *1909:7 *1909:53 3.16071 -12 *1909:53 *25992:A 13.8 -13 *1909:53 *25993:A 21.1036 -*END - -*D_NET *1910 0.00869302 -*CONN -*I *26185:B I *D sky130_fd_sc_hd__nor2_2 -*I *26186:B I *D sky130_fd_sc_hd__or2_2 -*I *26453:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26190:B I *D sky130_fd_sc_hd__or2_2 -*I *26220:B I *D sky130_fd_sc_hd__or2_2 -*I *25968:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26185:B 4.03719e-05 -2 *26186:B 0 -3 *26453:A1 0.000216056 -4 *26190:B 0.000388898 -5 *26220:B 0 -6 *25968:X 0.000121015 -7 *1910:35 0.000231443 -8 *1910:27 0.000912108 -9 *1910:20 0.00145743 -10 *1910:5 0.00103025 -11 *26185:B *2127:15 2.59355e-05 -12 *26185:B *2142:19 1.98839e-05 -13 *26190:B *26191:B 8.55871e-05 -14 *26190:B *1965:53 2.93922e-05 -15 *26190:B *2047:81 2.84026e-05 -16 *26190:B *2132:5 0.000160372 -17 *26453:A1 *2048:19 2.41649e-05 -18 *26453:A1 *2054:56 9.58126e-05 -19 *26453:A1 *2133:39 5.03616e-05 -20 *26453:A1 *2145:91 0.000222885 -21 *26453:A1 *2152:92 0.000224592 -22 *1910:5 *25968:A 5.49544e-05 -23 *1910:5 *25968:B 8.08521e-05 -24 *1910:20 *25968:B 4.10926e-05 -25 *1910:20 *2054:53 1.94945e-05 -26 *1910:20 *2127:15 0.000329376 -27 *1910:20 *2127:77 0.000127359 -28 *1910:20 *2226:38 9.7413e-06 -29 *1910:20 *5722:69 6.90381e-06 -30 *1910:20 *6291:123 8.76591e-06 -31 *1910:27 *26020:C 1.94879e-05 -32 *1910:27 *26186:A 0.00010186 -33 *1910:27 *26191:B 2.05612e-05 -34 *1910:27 *1962:8 0.000843161 -35 *1910:27 *2054:53 0.00108472 -36 *1910:27 *2161:35 4.60066e-05 -37 *1910:35 *26186:A 1.97695e-05 -38 *26020:B *1910:20 1.04707e-05 -39 *26020:B *1910:27 0.000138993 -40 *26023:A *26185:B 1.21258e-05 -41 *26220:A *1910:20 5.49489e-05 -42 *1186:47 *26453:A1 9.41642e-05 -43 *1874:27 *1910:20 2.63501e-05 -44 *1874:35 *1910:27 0.000123605 -45 *1909:30 *26185:B 5.33005e-05 -*RES -1 *25968:X *1910:5 11.4786 -2 *1910:5 *26220:B 9.3 -3 *1910:5 *1910:20 14.647 -4 *1910:20 *1910:27 20.7857 -5 *1910:27 *26190:B 15.5857 -6 *1910:27 *1910:35 0.428571 -7 *1910:35 *26453:A1 24.1571 -8 *1910:35 *26186:B 9.3 -9 *1910:20 *26185:B 14.7464 -*END - -*D_NET *1911 0.0222613 -*CONN -*I *25979:A2 I *D sky130_fd_sc_hd__a21oi_1 -*I *25971:C I *D sky130_fd_sc_hd__and3_1 -*I *25985:A2_N I *D sky130_fd_sc_hd__o2bb2a_1 -*I *25982:B I *D sky130_fd_sc_hd__and2_1 -*I *25981:B I *D sky130_fd_sc_hd__nor2_1 -*I *26405:A I *D sky130_fd_sc_hd__nand2_2 -*I *25983:B I *D sky130_fd_sc_hd__xnor2_2 -*I *25970:B I *D sky130_fd_sc_hd__nand2_1 -*I *25977:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *25969:X O *D sky130_fd_sc_hd__o211a_4 -*CAP -1 *25979:A2 0.000272946 -2 *25971:C 5.65102e-06 -3 *25985:A2_N 0 -4 *25982:B 7.76255e-05 -5 *25981:B 0 -6 *26405:A 0.000286731 -7 *25983:B 0 -8 *25970:B 0.000930014 -9 *25977:A2 1.77901e-05 -10 *25969:X 0.00019071 -11 *1911:64 0.000305837 -12 *1911:61 0.00146737 -13 *1911:56 0.00150552 -14 *1911:53 0.000393874 -15 *1911:46 0.000297491 -16 *1911:19 0.00130325 -17 *1911:14 0.00063614 -18 *1911:11 0.000269343 -19 *1911:9 0.000485156 -20 *25970:B *26298:B 0.00012191 -21 *25970:B *26455:A2 0.000396898 -22 *25970:B *2031:38 0.000262961 -23 *25970:B *4297:15 4.6098e-05 -24 *25970:B *4508:285 0.000331157 -25 *25971:C *6203:11 1.95435e-05 -26 *25977:A2 *26559:B 9.59532e-06 -27 *25977:A2 *4541:10 7.69776e-06 -28 *25977:A2 *4541:17 3.47641e-06 -29 *25979:A2 *25966:B 0.000235107 -30 *25979:A2 *25978:A 0.00035705 -31 *25979:A2 *1912:28 8.6229e-06 -32 *25979:A2 *2258:41 0.000122279 -33 *25979:A2 *6203:11 1.03742e-05 -34 *25979:A2 *6203:23 0.000216755 -35 *25982:B *25982:A 0.000137983 -36 *25982:B *26559:A 0.000211249 -37 *25982:B *6192:9 2.95726e-05 -38 *26405:A *26405:B 5.33005e-05 -39 *26405:A *26562:A1 0.000119303 -40 *26405:A *1963:8 0.000395237 -41 *26405:A *2032:150 0.000158839 -42 *26405:A *2032:169 0.000232437 -43 *26405:A *2279:7 0.000298483 -44 *26405:A *2346:5 5.52238e-05 -45 *1911:9 *25969:C1 0 -46 *1911:9 *25971:A 4.18895e-05 -47 *1911:9 *26330:B1 5.52238e-05 -48 *1911:9 *2258:10 0.00011594 -49 *1911:9 *2258:41 0.000351162 -50 *1911:9 *4508:42 5.80706e-06 -51 *1911:9 *4552:24 0.000265453 -52 *1911:9 *6203:11 3.8274e-05 -53 *1911:11 *6203:11 1.00733e-05 -54 *1911:14 *4264:8 0.000151302 -55 *1911:14 *6291:30 0.000238982 -56 *1911:19 *26298:B 0.000357065 -57 *1911:19 *4264:8 2.07158e-05 -58 *1911:19 *4508:285 2.02078e-05 -59 *1911:19 *4541:17 0.000288233 -60 *1911:46 *25984:A 4.18895e-05 -61 *1911:53 *25984:A 0.000177821 -62 *1911:53 *1955:31 0.000281266 -63 *1911:53 *1993:8 2.16719e-05 -64 *1911:56 *25982:A 9.60875e-05 -65 *1911:56 *26559:A 0.000185643 -66 *1911:61 *26209:B 1.01241e-05 -67 *1911:61 *26459:B 0.000152192 -68 *1911:61 *26461:A1 0.000260839 -69 *1911:61 *26559:A 1.69961e-05 -70 *1911:61 *26573:B1 5.50252e-05 -71 *1911:61 *1932:37 1.71638e-05 -72 *1911:61 *1955:31 3.28534e-05 -73 *1911:61 *1955:52 0.000822018 -74 *1911:61 *2131:158 0.000660003 -75 *1911:61 *2157:8 0.000423513 -76 *1911:61 *2157:16 1.02504e-05 -77 *1911:61 *2187:11 5.97507e-05 -78 *1911:61 *2260:33 0.000934086 -79 *1911:61 *4508:65 0.000283284 -80 *1911:61 *4530:46 0.000542819 -81 *1911:61 *4530:48 0.000188074 -82 *1911:61 *5673:264 0.00018568 -83 *1911:61 *5673:281 0.000167495 -84 *1911:64 *2279:7 9.71197e-05 -85 *25970:A *25970:B 0.00135086 -86 *25979:A1 *25979:A2 5.58108e-05 -87 *25983:A *26405:A 4.08637e-05 -88 *25983:A *1911:64 9.90367e-05 -89 *30592:A *1911:9 0.000189009 -90 *30592:A *1911:61 8.50152e-05 -91 *30593:A *1911:9 0 -92 *30593:A *1911:53 0.000234615 -93 *30593:A *1911:56 4.14279e-05 -94 *30593:A *1911:61 9.60939e-05 -95 *30594:A *1911:14 4.20844e-05 -96 *30594:A *1911:19 2.2993e-05 -97 *1902:8 *25970:B 4.1331e-06 -98 *1902:13 *25970:B 2.87297e-05 -*RES -1 *25969:X *1911:9 24.675 -2 *1911:9 *1911:11 0.428571 -3 *1911:11 *1911:14 9.17857 -4 *1911:14 *1911:19 5.04629 -5 *1911:19 *25977:A2 17.4868 -6 *1911:19 *25970:B 25.1482 -7 *1911:14 *1911:46 5.03571 -8 *1911:46 *1911:53 14.5357 -9 *1911:53 *1911:56 2.19643 -10 *1911:56 *1911:61 48.9821 -11 *1911:61 *1911:64 5.44643 -12 *1911:64 *25983:B 9.3 -13 *1911:64 *26405:A 27.1214 -14 *1911:56 *25981:B 9.3 -15 *1911:53 *25982:B 11.9071 -16 *1911:46 *25985:A2_N 9.3 -17 *1911:11 *25971:C 9.49643 -18 *1911:9 *25979:A2 25.8714 -*END - -*D_NET *1912 0.00844482 -*CONN -*I *26051:A1 I *D sky130_fd_sc_hd__a211o_2 -*I *25978:A I *D sky130_fd_sc_hd__nand2_1 -*I *25980:A1_N I *D sky130_fd_sc_hd__o2bb2ai_2 -*I *25970:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26051:A1 0 -2 *25978:A 0.000432445 -3 *25980:A1_N 0 -4 *25970:Y 0.000928274 -5 *1912:28 0.000692806 -6 *1912:17 0.00118863 -7 *25978:A *25502:C 0.000137983 -8 *1912:17 *26015:B1 4.23556e-05 -9 *1912:17 *26051:B1 0.000152337 -10 *1912:17 *26334:C1 0.00152752 -11 *1912:17 *26455:A2 0.000914042 -12 *1912:17 *1959:10 3.05475e-05 -13 *1912:17 *2031:38 9.46221e-05 -14 *1912:17 *2157:25 0.000126641 -15 *1912:17 *2227:85 0.000473881 -16 *1912:17 *4308:8 8.42734e-05 -17 *1912:17 *4319:8 8.23185e-05 -18 *1912:28 *25966:B 8.58196e-05 -19 *1912:28 *26051:A2 5.52238e-05 -20 *1912:28 *26051:B1 5.04841e-06 -21 *1912:28 *1955:19 4.69738e-05 -22 *1912:28 *1993:8 6.35826e-05 -23 *1912:28 *2227:85 8.37136e-05 -24 *25511:A *25978:A 2.43958e-05 -25 *25979:A2 *25978:A 0.00035705 -26 *25979:A2 *1912:28 8.6229e-06 -27 *30594:A *25978:A 0 -28 *30595:A *25978:A 0.000574953 -29 *30595:A *1912:28 0.000188397 -30 *1793:22 *1912:17 4.23556e-05 -*RES -1 *25970:Y *1912:17 35.3673 -2 *1912:17 *1912:28 10.5179 -3 *1912:28 *25980:A1_N 13.8 -4 *1912:28 *25978:A 24.0143 -5 *1912:17 *26051:A1 9.3 -*END - -*D_NET *1913 0.00602921 -*CONN -*I *25973:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *25974:B I *D sky130_fd_sc_hd__nand3_1 -*I *25980:B2 I *D sky130_fd_sc_hd__o2bb2ai_2 -*I *26051:C1 I *D sky130_fd_sc_hd__a211o_2 -*I *25971:X O *D sky130_fd_sc_hd__and3_1 -*CAP -1 *25973:A2 0.000179463 -2 *25974:B 0.00033533 -3 *25980:B2 1.69531e-05 -4 *26051:C1 0.000123954 -5 *25971:X 0.00043189 -6 *1913:24 0.000901418 -7 *1913:8 0.000168016 -8 *1913:5 0.000845624 -9 *25973:A2 *4330:8 5.15925e-05 -10 *25973:A2 *6203:23 0.000219711 -11 *25974:B *26017:A2 0.000243666 -12 *25974:B *1915:8 3.45423e-05 -13 *25974:B *1916:6 0.000169333 -14 *25974:B *4330:8 1.48119e-05 -15 *25980:B2 *2258:41 5.33005e-05 -16 *25980:B2 *6203:23 5.33005e-05 -17 *26051:C1 *26559:B 0.000205194 -18 *1913:5 *6203:23 0.000549052 -19 *1913:8 *26559:B 5.41794e-05 -20 *1913:24 *6203:23 0.000499218 -21 *30598:A *25974:B 0.00060976 -22 *30599:A *25973:A2 5.15925e-05 -23 *1906:14 *25974:B 2.39654e-05 -24 *1906:19 *25973:A2 5.52302e-05 -25 *1908:8 *26051:C1 3.5111e-05 -26 *1908:8 *1913:8 1.93629e-05 -27 *1908:19 *26051:C1 8.3644e-05 -*RES -1 *25971:X *1913:5 14.7643 -2 *1913:5 *1913:8 5.23214 -3 *1913:8 *26051:C1 16.6571 -4 *1913:8 *25980:B2 14.3357 -5 *1913:5 *1913:24 5.05357 -6 *1913:24 *25974:B 26.6214 -7 *1913:24 *25973:A2 21.3357 -*END - -*D_NET *1914 0.0146081 -*CONN -*I *25974:C I *D sky130_fd_sc_hd__nand3_1 -*I *26166:A I *D sky130_fd_sc_hd__nand2_1 -*I *25972:X O *D sky130_fd_sc_hd__and3_1 -*CAP -1 *25974:C 0 -2 *26166:A 3.56797e-05 -3 *25972:X 0.000618745 -4 *1914:12 0.00189592 -5 *1914:11 0.00197061 -6 *1914:8 0.000729118 -7 *26166:A *26026:A 1.33343e-05 -8 *26166:A *26168:A_N 0.000138881 -9 *26166:A *2111:58 0.000136951 -10 *1914:8 *25505:B 9.21418e-06 -11 *1914:8 *25961:A 0.000129847 -12 *1914:8 *25961:B 4.60232e-06 -13 *1914:8 *2031:68 4.28113e-05 -14 *1914:8 *4286:23 0.000353557 -15 *1914:8 *4319:8 0.000698216 -16 *1914:11 *2039:29 9.0145e-05 -17 *1914:12 *26049:A 0.000125778 -18 *1914:12 *26476:A3 6.34892e-05 -19 *1914:12 *26480:C1 0.000357895 -20 *1914:12 *26562:A3 0 -21 *1914:12 *26562:B1 1.46883e-05 -22 *1914:12 *26567:A1 1.08359e-05 -23 *1914:12 *26571:B 0.000123295 -24 *1914:12 *26574:A_N 0.000320566 -25 *1914:12 *1922:24 0.000226822 -26 *1914:12 *1935:156 5.45129e-05 -27 *1914:12 *1959:31 1.31516e-05 -28 *1914:12 *1959:130 1.87097e-05 -29 *1914:12 *1986:21 0.000148903 -30 *1914:12 *2025:114 0 -31 *1914:12 *2031:68 6.45077e-05 -32 *1914:12 *2031:73 0.000163238 -33 *1914:12 *2031:77 2.15363e-05 -34 *1914:12 *2032:67 0.000864069 -35 *1914:12 *2038:104 0.000115934 -36 *1914:12 *2110:12 3.67356e-05 -37 *1914:12 *2110:44 7.83462e-05 -38 *1914:12 *2233:37 0 -39 *1914:12 *2398:8 0.000114311 -40 *1914:12 *2511:25 0.000164503 -41 *1914:12 *4308:8 0.000195547 -42 *25972:A *1914:8 9.01238e-05 -43 *30598:A *1914:8 0.00078292 -44 *256:22 *1914:8 0 -45 *1862:28 *1914:12 0.000168939 -46 *1903:8 *1914:8 4.78056e-05 -47 *1908:27 *1914:12 0.00217768 -48 *1908:30 *1914:12 0.00102741 -49 *1908:35 *1914:12 0.000148189 -*RES -1 *25972:X *1914:8 35.1214 -2 *1914:8 *1914:11 6.26786 -3 *1914:11 *1914:12 53.25 -4 *1914:12 *26166:A 15.1571 -5 *1914:8 *25974:C 9.3 -*END - -*D_NET *1915 0.00255065 -*CONN -*I *26017:A1 I *D sky130_fd_sc_hd__a21o_4 -*I *26124:A1 I *D sky130_fd_sc_hd__a2111o_1 -*I *25975:A I *D sky130_fd_sc_hd__nand2_1 -*I *25973:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *26017:A1 7.34633e-06 -2 *26124:A1 0.000157484 -3 *25975:A 0 -4 *25973:X 0.0002364 -5 *1915:13 0.000270433 -6 *1915:8 0.000356695 -7 *26017:A1 *26017:A2 2.30969e-05 -8 *26017:A1 *26017:B1 2.30969e-05 -9 *26124:A1 *26124:A2 0.000302555 -10 *26124:A1 *26124:C1 0.000426985 -11 *1915:8 *25973:B1 7.66995e-05 -12 *1915:8 *26017:A2 4.39995e-05 -13 *1915:8 *4330:8 0.000136388 -14 *1915:8 *6203:23 1.65169e-05 -15 *1915:13 *26017:A2 0.000158254 -16 *1915:13 *26124:A2 2.89016e-05 -17 *1915:13 *26124:C1 5.33005e-05 -18 *1915:13 *4330:8 0.000155212 -19 *25974:B *1915:8 3.45423e-05 -20 *256:22 *26124:A1 4.27437e-05 -*RES -1 *25973:X *1915:8 17.5143 -2 *1915:8 *1915:13 7.28571 -3 *1915:13 *25975:A 9.3 -4 *1915:13 *26124:A1 14.3536 -5 *1915:8 *26017:A1 14.0321 -*END - -*D_NET *1916 0.00300215 -*CONN -*I *26017:A2 I *D sky130_fd_sc_hd__a21o_4 -*I *26124:A2 I *D sky130_fd_sc_hd__a2111o_1 -*I *25975:B I *D sky130_fd_sc_hd__nand2_1 -*I *25974:Y O *D sky130_fd_sc_hd__nand3_1 -*CAP -1 *26017:A2 0.00021875 -2 *26124:A2 0.000358323 -3 *25975:B 3.89418e-05 -4 *25974:Y 9.3819e-05 -5 *1916:8 0.000397265 -6 *1916:6 0.000312569 -7 *25975:B *26124:C1 0 -8 *26017:A2 *26017:B1 3.45806e-05 -9 *26017:A2 *2227:85 4.58194e-05 -10 *26017:A2 *4330:8 2.50489e-05 -11 *26124:A2 *26124:B1 1.98839e-05 -12 *26124:A2 *26124:C1 1.39841e-05 -13 *26124:A2 *1917:8 0.000177815 -14 *26124:A2 *2397:11 9.71197e-05 -15 *1916:6 *4330:8 7.43679e-05 -16 *1916:6 *4364:92 5.83233e-05 -17 *25974:B *26017:A2 0.000243666 -18 *25974:B *1916:6 0.000169333 -19 *26017:A1 *26017:A2 2.30969e-05 -20 *26124:A1 *26124:A2 0.000302555 -21 *30598:A *1916:6 2.82057e-05 -22 *256:22 *26124:A2 3.75321e-05 -23 *1915:8 *26017:A2 4.39995e-05 -24 *1915:13 *26017:A2 0.000158254 -25 *1915:13 *26124:A2 2.89016e-05 -*RES -1 *25974:Y *1916:6 16.6571 -2 *1916:6 *1916:8 4.5 -3 *1916:8 *25975:B 10.0321 -4 *1916:8 *26124:A2 16.9786 -5 *1916:6 *26017:A2 18.6393 -*END - -*D_NET *1917 0.00816347 -*CONN -*I *25976:B I *D sky130_fd_sc_hd__or2_2 -*I *26092:C_N I *D sky130_fd_sc_hd__or3b_2 -*I *26108:C_N I *D sky130_fd_sc_hd__or3b_1 -*I *25975:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *25976:B 0 -2 *26092:C_N 3.11109e-05 -3 *26108:C_N 0.00073175 -4 *25975:Y 0.000549206 -5 *1917:13 0.000891684 -6 *1917:8 0.000678029 -7 *26092:C_N *2142:189 1.98839e-05 -8 *26108:C_N *26108:A 0.000135028 -9 *26108:C_N *26108:B 9.86486e-06 -10 *26108:C_N *26680:A1 8.43386e-05 -11 *26108:C_N *26680:A2 0.000139337 -12 *26108:C_N *26680:B1 0.0012595 -13 *26108:C_N *1931:45 0.000116414 -14 *26108:C_N *1942:45 0.000259318 -15 *26108:C_N *2050:11 5.96516e-05 -16 *26108:C_N *2065:21 0.000298483 -17 *26108:C_N *2066:9 0.000738206 -18 *26108:C_N *2250:82 0.000439919 -19 *1917:8 *26087:C_N 7.38652e-05 -20 *1917:8 *1958:8 0.000367416 -21 *1917:8 *1959:10 7.33494e-06 -22 *1917:8 *1959:19 0.000349855 -23 *1917:8 *2066:9 6.23017e-05 -24 *1917:8 *4364:69 0.000163475 -25 *1917:13 *26680:B1 0.000146059 -26 *1917:13 *1958:8 8.64873e-05 -27 *1917:13 *2066:9 9.11365e-06 -28 *1917:13 *2142:189 7.31843e-05 -29 *26124:A2 *1917:8 0.000177815 -30 *30599:A *1917:8 0.00014101 -31 *1906:20 *26108:C_N 6.38303e-05 -*RES -1 *25975:Y *1917:8 27.2286 -2 *1917:8 *1917:13 7.69643 -3 *1917:13 *26108:C_N 42.0143 -4 *1917:13 *26092:C_N 9.83571 -5 *1917:8 *25976:B 13.8 -*END - -*D_NET *1918 0.00392332 -*CONN -*I *26098:A I *D sky130_fd_sc_hd__nor2_4 -*I *26087:A I *D sky130_fd_sc_hd__nor3b_4 -*I *26093:A I *D sky130_fd_sc_hd__or2_1 -*I *25988:A I *D sky130_fd_sc_hd__or2_4 -*I *25976:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *26098:A 9.31194e-05 -2 *26087:A 0.000130266 -3 *26093:A 0.000229927 -4 *25988:A 0.000167918 -5 *25976:X 1.86394e-05 -6 *1918:8 0.000464447 -7 *1918:7 0.000196867 -8 *1918:5 0.000111759 -9 *26087:A *26087:B 6.2003e-05 -10 *26087:A *2033:12 0 -11 *26093:A *2034:16 0.000265453 -12 *26093:A *2142:189 6.06291e-05 -13 *26093:A *2507:21 0.000141985 -14 *26098:A *2154:143 0.000227532 -15 *26098:A *2514:10 5.81579e-05 -16 *26098:A *6180:11 4.88637e-05 -17 *1918:5 *2514:10 5.33005e-05 -18 *25976:A *26098:A 5.72966e-05 -19 *25976:A *1918:5 1.98839e-05 -20 *1902:13 *26098:A 0.000215102 -21 *1902:36 *25988:A 0.000367476 -22 *1902:36 *26087:A 5.41794e-05 -23 *1902:36 *1918:8 0.000142969 -24 *1906:20 *25988:A 0.000372213 -25 *1906:20 *26087:A 0.000225171 -26 *1906:20 *1918:8 0.000138158 -*RES -1 *25976:X *1918:5 9.83571 -2 *1918:5 *1918:7 4.5 -3 *1918:7 *1918:8 1.94643 -4 *1918:8 *25988:A 18.7821 -5 *1918:8 *26093:A 18.8714 -6 *1918:7 *26087:A 16.9607 -7 *1918:5 *26098:A 13.1393 -*END - -*D_NET *1919 0.00251709 -*CONN -*I *25978:B I *D sky130_fd_sc_hd__nand2_1 -*I *25980:A2_N I *D sky130_fd_sc_hd__o2bb2ai_2 -*I *26051:A2 I *D sky130_fd_sc_hd__a211o_2 -*I *25977:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *25978:B 0.000268185 -2 *25980:A2_N 0 -3 *26051:A2 1.98408e-05 -4 *25977:X 0.00010068 -5 *1919:19 0.000427801 -6 *1919:8 0.000280136 -7 *25978:B *25502:C 7.27493e-05 -8 *25978:B *4275:8 8.31932e-05 -9 *25978:B *4353:181 0.000195625 -10 *26051:A2 *2227:85 1.58163e-05 -11 *1919:8 *4275:8 4.35842e-05 -12 *1919:19 *4275:8 0.000211592 -13 *25977:A1 *1919:8 1.02504e-05 -14 *30595:A *25978:B 0.00052688 -15 *30595:A *1919:8 0.000119174 -16 *30595:A *1919:19 8.636e-05 -17 *1907:22 *1919:8 0 -18 *1912:28 *26051:A2 5.52238e-05 -*RES -1 *25977:X *1919:8 15.9786 -2 *1919:8 *26051:A2 14.3357 -3 *1919:8 *1919:19 3.39286 -4 *1919:19 *25980:A2_N 13.8 -5 *1919:19 *25978:B 20.9071 -*END - -*D_NET *1920 0.00358768 -*CONN -*I *26559:B I *D sky130_fd_sc_hd__or3_1 -*I *25978:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26559:B 0.000853467 -2 *25978:Y 0.000853467 -3 *26559:B *25962:C 5.96046e-05 -4 *26559:B *26051:B1 0.000182735 -5 *26559:B *26559:C 4.25523e-05 -6 *26559:B *1921:10 0.000339692 -7 *26559:B *1922:11 0.000175272 -8 *26559:B *1922:19 0.000245342 -9 *26559:B *1926:13 6.12067e-05 -10 *26559:B *1956:15 6.13706e-05 -11 *26559:B *2152:157 7.80714e-06 -12 *26559:B *4541:8 0 -13 *26559:B *4541:10 0 -14 *26559:B *4541:17 0 -15 *25511:A *26559:B 0.000116006 -16 *25964:C *26559:B 5.33005e-05 -17 *25977:A2 *26559:B 9.59532e-06 -18 *26051:C1 *26559:B 0.000205194 -19 *255:24 *26559:B 6.55108e-05 -20 *1905:8 *26559:B 7.6644e-05 -21 *1908:8 *26559:B 2.05938e-05 -22 *1908:19 *26559:B 5.25548e-05 -23 *1908:27 *26559:B 5.15808e-05 -24 *1913:8 *26559:B 5.41794e-05 -*RES -1 *25978:Y *26559:B 46.9036 -*END - -*D_NET *1921 0.002833 -*CONN -*I *25980:B1 I *D sky130_fd_sc_hd__o2bb2ai_2 -*I *26051:B1 I *D sky130_fd_sc_hd__a211o_2 -*I *25979:Y O *D sky130_fd_sc_hd__a21oi_1 -*CAP -1 *25980:B1 0 -2 *26051:B1 0.000193952 -3 *25979:Y 0.000460104 -4 *1921:10 0.000654056 -5 *26051:B1 *1922:11 0.000139765 -6 *1921:10 *25962:B 0.000179043 -7 *1921:10 *25962:D 9.60875e-05 -8 *1921:10 *25979:B1 1.33343e-05 -9 *1921:10 *1956:15 0.00025674 -10 *1921:10 *2152:157 2.63433e-05 -11 *25979:A1 *1921:10 5.52302e-05 -12 *26559:B *26051:B1 0.000182735 -13 *26559:B *1921:10 0.000339692 -14 *255:24 *1921:10 5.42698e-05 -15 *256:22 *26051:B1 0 -16 *256:22 *1921:10 0 -17 *1905:8 *1921:10 2.42662e-05 -18 *1912:17 *26051:B1 0.000152337 -19 *1912:28 *26051:B1 5.04841e-06 -*RES -1 *25979:Y *1921:10 24.7821 -2 *1921:10 *26051:B1 18.1393 -3 *1921:10 *25980:B1 13.8 -*END - -*D_NET *1922 0.00835559 -*CONN -*I *26097:A I *D sky130_fd_sc_hd__or2_2 -*I *26087:B I *D sky130_fd_sc_hd__nor3b_4 -*I *25987:A I *D sky130_fd_sc_hd__or3_1 -*I *26568:A I *D sky130_fd_sc_hd__or2_1 -*I *25980:Y O *D sky130_fd_sc_hd__o2bb2ai_2 -*CAP -1 *26097:A 0 -2 *26087:B 0.000534395 -3 *25987:A 0.000305833 -4 *26568:A 0 -5 *25980:Y 0.000455323 -6 *1922:24 0.000398861 -7 *1922:19 0.00112409 -8 *1922:11 0.000951987 -9 *25987:A *26458:A3 0.000140403 -10 *25987:A *26574:C 8.6836e-05 -11 *25987:A *1995:10 0.000121573 -12 *25987:A *2054:48 0.00022266 -13 *25987:A *2142:189 0.000119837 -14 *25987:A *2500:26 9.90115e-06 -15 *25987:A *5914:90 1.54142e-05 -16 *25987:A *5914:99 1.33343e-05 -17 *26087:B *26450:A1 0.000181796 -18 *26087:B *1935:156 7.32272e-05 -19 *26087:B *2033:12 8.29723e-05 -20 *26087:B *2217:77 0.000131693 -21 *26087:B *2240:29 0.000387366 -22 *26087:B *2512:20 0.000115139 -23 *1922:11 *2039:29 0.000137983 -24 *1922:11 *2258:41 0.000135028 -25 *1922:19 *26557:A 8.73083e-05 -26 *1922:19 *26559:A 1.08359e-05 -27 *1922:19 *26559:C 1.9643e-05 -28 *1922:19 *1926:13 0.000197984 -29 *1922:19 *1959:31 4.75686e-05 -30 *1922:19 *2217:77 4.64311e-05 -31 *1922:19 *2250:94 3.84385e-05 -32 *1922:19 *2500:26 0.000152979 -33 *1922:19 *2512:20 0.000357988 -34 *1922:19 *4275:8 0 -35 *1922:24 *1935:156 0.000228401 -36 *25970:A *1922:11 1.12323e-05 -37 *26051:B1 *1922:11 0.000139765 -38 *26087:A *26087:B 6.2003e-05 -39 *26559:B *1922:11 0.000175272 -40 *26559:B *1922:19 0.000245342 -41 *256:22 *1922:11 7.15313e-05 -42 *1908:27 *25987:A 0.000235483 -43 *1908:27 *1922:11 0.000254913 -44 *1914:12 *1922:24 0.000226822 -*RES -1 *25980:Y *1922:11 28.1214 -2 *1922:11 *1922:19 17.7019 -3 *1922:19 *1922:24 10.9189 -4 *1922:24 *26568:A 9.3 -5 *1922:24 *25987:A 25.8357 -6 *1922:19 *26087:B 22.1167 -7 *1922:11 *26097:A 9.3 -*END - -*D_NET *1923 0.00319439 -*CONN -*I *25984:A I *D sky130_fd_sc_hd__or2_1 -*I *25981:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *25984:A 0.000566153 -2 *25981:Y 0.000566153 -3 *25984:A *25977:B1 5.33005e-05 -4 *25984:A *25984:B 0.000171231 -5 *25984:A *26124:C1 3.30352e-05 -6 *25984:A *4519:206 9.71197e-05 -7 *25984:A *4519:209 7.9337e-05 -8 *25977:A1 *25984:A 0.000136958 -9 *30592:A *25984:A 0.000168723 -10 *256:22 *25984:A 5.71472e-05 -11 *1907:5 *25984:A 0.000342803 -12 *1907:13 *25984:A 0.000480054 -13 *1908:19 *25984:A 0.000222666 -14 *1911:46 *25984:A 4.18895e-05 -15 *1911:53 *25984:A 0.000177821 -*RES -1 *25981:Y *25984:A 42.2964 -*END - -*D_NET *1924 0.00209085 -*CONN -*I *25984:B I *D sky130_fd_sc_hd__or2_1 -*I *25985:B1 I *D sky130_fd_sc_hd__o2bb2a_1 -*I *25982:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *25984:B 0.000186843 -2 *25985:B1 0 -3 *25982:X 8.64835e-05 -4 *1924:9 0.000273327 -5 *25984:B *25977:B1 5.33005e-05 -6 *25984:B *26124:C1 0.000573522 -7 *25984:B *4530:193 4.08637e-05 -8 *1924:9 *4508:54 0.000119636 -9 *1924:9 *4530:185 5.33005e-05 -10 *1924:9 *4530:193 5.33005e-05 -11 *25984:A *25984:B 0.000171231 -12 *30594:A *1924:9 0.000121358 -13 *1907:13 *25984:B 0.000263524 -14 *1907:13 *1924:9 9.41642e-05 -*RES -1 *25982:X *1924:9 20.8893 -2 *1924:9 *25985:B1 9.3 -3 *1924:9 *25984:B 16.0143 -*END - -*D_NET *1925 0.00863285 -*CONN -*I *26562:A2 I *D sky130_fd_sc_hd__a311o_1 -*I *26052:B I *D sky130_fd_sc_hd__nand2_1 -*I *25987:B I *D sky130_fd_sc_hd__or3_1 -*I *25986:A I *D sky130_fd_sc_hd__nor2_1 -*I *25983:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *26562:A2 0.000176807 -2 *26052:B 0.000202237 -3 *25987:B 0.000131936 -4 *25986:A 0 -5 *25983:Y 1.03356e-05 -6 *1925:13 0.000268904 -7 *1925:8 0.00124718 -8 *1925:5 0.00109512 -9 *25987:B *26569:A2 2.28598e-05 -10 *25987:B *1935:135 5.33005e-05 -11 *25987:B *2054:48 8.68665e-05 -12 *25987:B *2250:94 0.000240491 -13 *25987:B *2500:26 1.37292e-05 -14 *26052:B *26458:A3 3.21199e-05 -15 *26052:B *26573:A1 0.000168089 -16 *26052:B *26573:A3 3.92854e-05 -17 *26052:B *26788:B1 0.000103898 -18 *26052:B *1927:15 0.000111073 -19 *26052:B *1993:24 5.33005e-05 -20 *26052:B *2217:77 7.97064e-05 -21 *26562:A2 *26562:A1 0.000270867 -22 *26562:A2 *26562:A3 1.28809e-05 -23 *26562:A2 *2279:7 0.000554461 -24 *1925:5 *26562:A1 3.18676e-05 -25 *1925:5 *2279:7 5.52238e-05 -26 *1925:8 *26108:A 0.000231298 -27 *1925:8 *26113:C 2.07819e-05 -28 *1925:8 *26482:A1 0.000183678 -29 *1925:8 *26483:B1 0.00010663 -30 *1925:8 *26573:A1 0.000422118 -31 *1925:8 *26788:A3 0.00010199 -32 *1925:8 *26788:B1 0.00057618 -33 *1925:8 *1959:69 0.000166276 -34 *1925:8 *1959:74 0.000283227 -35 *1925:8 *2032:150 1.69115e-05 -36 *1925:8 *2038:104 3.25979e-05 -37 *1925:8 *2226:84 0.000448172 -38 *1925:8 *4508:107 0.000398759 -39 *1925:13 *26569:A2 0.000389077 -40 *1925:13 *1935:135 0.000192628 -*RES -1 *25983:Y *1925:5 9.83571 -2 *1925:5 *1925:8 31.0357 -3 *1925:8 *1925:13 8.75 -4 *1925:13 *25986:A 9.3 -5 *1925:13 *25987:B 21.9964 -6 *1925:8 *26052:B 19.6214 -7 *1925:5 *26562:A2 15.1929 -*END - -*D_NET *1926 0.00267979 -*CONN -*I *26086:B I *D sky130_fd_sc_hd__nor2_1 -*I *26050:A I *D sky130_fd_sc_hd__nand2_1 -*I *25984:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26086:B 0 -2 *26050:A 6.84688e-05 -3 *25984:X 0.000541049 -4 *1926:13 0.000609517 -5 *26050:A *26086:A 8.86413e-05 -6 *1926:13 *26050:B 0 -7 *1926:13 *26086:A 0.000191284 -8 *1926:13 *26124:C1 0.000359421 -9 *1926:13 *26559:A 0.000166818 -10 *1926:13 *2039:29 5.15925e-05 -11 *1926:13 *4275:8 3.35016e-05 -12 *1926:13 *4541:17 9.60337e-06 -13 *26559:B *1926:13 6.12067e-05 -14 *1187:7 *26050:A 0.00022266 -15 *1187:7 *1926:13 7.80417e-05 -16 *1922:19 *1926:13 0.000197984 -*RES -1 *25984:X *1926:13 29.1036 -2 *1926:13 *26050:A 11.4786 -3 *1926:13 *26086:B 9.3 -*END - -*D_NET *1927 0.00485399 -*CONN -*I *26050:B I *D sky130_fd_sc_hd__nand2_1 -*I *25987:C I *D sky130_fd_sc_hd__or3_1 -*I *25986:B I *D sky130_fd_sc_hd__nor2_1 -*I *25985:X O *D sky130_fd_sc_hd__o2bb2a_1 -*CAP -1 *26050:B 6.40041e-05 -2 *25987:C 4.98099e-05 -3 *25986:B 6.19464e-05 -4 *25985:X 0.000284804 -5 *1927:15 0.000720835 -6 *1927:8 0.000957886 -7 *25986:B *26123:A3 0.000170654 -8 *25986:B *1959:59 0.000170654 -9 *25987:C *26458:A3 0.000122591 -10 *25987:C *5914:99 6.57032e-05 -11 *1927:8 *1993:8 1.87004e-05 -12 *1927:8 *4264:8 0.000120776 -13 *1927:8 *4508:58 6.8646e-06 -14 *1927:8 *4530:185 0.000137983 -15 *1927:15 *26458:A3 0.000178847 -16 *1927:15 *26458:B2 9.71607e-05 -17 *1927:15 *26459:A 0.000150618 -18 *1927:15 *26572:A2 0.000121418 -19 *1927:15 *26573:A1 9.60875e-05 -20 *1927:15 *26574:C 0.000156271 -21 *1927:15 *26788:B1 4.04852e-05 -22 *1927:15 *1959:36 8.77729e-05 -23 *1927:15 *1993:8 7.67408e-05 -24 *1927:15 *1993:10 3.74616e-05 -25 *1927:15 *2141:39 6.00359e-05 -26 *1927:15 *2217:77 4.36551e-06 -27 *1927:15 *4508:58 0.0001159 -28 *1927:15 *5914:99 0.000181752 -29 *26052:B *1927:15 0.000111073 -30 *30594:A *26050:B 5.52302e-05 -31 *30594:A *1927:8 0.000305133 -32 *1187:7 *26050:B 2.44318e-05 -33 *1926:13 *26050:B 0 -*RES -1 *25985:X *1927:8 19.5321 -2 *1927:8 *1927:15 20.7143 -3 *1927:15 *25986:B 20.55 -4 *1927:15 *25987:C 10.6571 -5 *1927:8 *26050:B 15.175 -*END - -*D_NET *1928 0.00957223 -*CONN -*I *26558:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *26581:A I *D sky130_fd_sc_hd__nand2_1 -*I *25986:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26558:A1 0 -2 *26581:A 0.000275115 -3 *25986:Y 0.00130498 -4 *1928:17 0.000807992 -5 *1928:14 0.00183786 -6 *26581:A *26109:A 7.95355e-05 -7 *26581:A *26109:B 1.21258e-05 -8 *26581:A *26659:B 0.000353695 -9 *26581:A *2025:86 0.000107416 -10 *26581:A *2025:88 7.79395e-05 -11 *26581:A *2240:42 0.000185806 -12 *26581:A *2598:8 0.000301438 -13 *1928:14 *26460:A 7.49617e-05 -14 *1928:14 *26556:B 0.000126439 -15 *1928:14 *26569:A2 0.000811434 -16 *1928:14 *26580:C1 0.000286128 -17 *1928:14 *1942:45 6.24939e-05 -18 *1928:14 *1953:24 0.000647131 -19 *1928:14 *2031:68 0.000148359 -20 *1928:14 *2033:40 1.11958e-05 -21 *1928:14 *2038:107 7.40526e-05 -22 *1928:14 *2065:21 0.000170848 -23 *1928:14 *2401:34 3.37708e-05 -24 *1928:14 *4364:92 0.00025862 -25 *1928:17 *26127:C 2.44318e-05 -26 *1928:17 *26558:B1 0.000177821 -27 *1928:17 *26681:A1 0.000467842 -28 *1928:17 *26682:A1 2.95726e-05 -29 *1928:17 *1984:29 0.000116049 -30 *1928:17 *2068:16 0.000526407 -31 *1928:17 *2498:8 0.000180777 -*RES -1 *25986:Y *1928:14 44.1214 -2 *1928:14 *1928:17 12.4643 -3 *1928:17 *26581:A 25.925 -4 *1928:17 *26558:A1 9.3 -*END - -*D_NET *1929 0.00623238 -*CONN -*I *26092:A I *D sky130_fd_sc_hd__or3b_2 -*I *25988:B I *D sky130_fd_sc_hd__or2_4 -*I *26125:A1 I *D sky130_fd_sc_hd__o31ai_1 -*I *26018:A I *D sky130_fd_sc_hd__or2_2 -*I *26113:A I *D sky130_fd_sc_hd__or3_1 -*I *25987:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *26092:A 0.000218811 -2 *25988:B 2.23854e-05 -3 *26125:A1 0 -4 *26018:A 9.01257e-05 -5 *26113:A 1.90968e-05 -6 *25987:X 0.0003544 -7 *1929:34 0.000408552 -8 *1929:13 0.000200788 -9 *1929:12 0.000256473 -10 *1929:8 0.000686664 -11 *25988:B *2027:13 0 -12 *26018:A *1995:10 0.000168224 -13 *26018:A *2500:26 8.03134e-05 -14 *26092:A *26569:A2 0.00016834 -15 *26092:A *2027:13 9.0145e-05 -16 *26092:A *2154:143 0.000393091 -17 *26113:A *1959:127 9.90431e-05 -18 *26113:A *2244:48 9.71197e-05 -19 *1929:8 *26458:A3 0.000388038 -20 *1929:8 *26569:B1 6.39808e-05 -21 *1929:8 *1935:156 2.96938e-05 -22 *1929:8 *2031:68 0.000145625 -23 *1929:8 *2032:67 4.97121e-06 -24 *1929:12 *2031:68 0.000353521 -25 *1929:12 *2032:67 0.000117582 -26 *1929:13 *26125:A3 5.52302e-05 -27 *1929:13 *1959:127 0.000290027 -28 *1929:13 *2244:48 0.000345251 -29 *1929:34 *26569:A2 0.000464893 -30 *1929:34 *2027:13 0.000229332 -31 *1902:36 *26092:A 0.000390662 -*RES -1 *25987:X *1929:8 19.5679 -2 *1929:8 *1929:12 9.17857 -3 *1929:12 *1929:13 3.41071 -4 *1929:13 *26113:A 10.2464 -5 *1929:13 *26018:A 20.55 -6 *1929:12 *26125:A1 9.3 -7 *1929:8 *1929:34 9.14286 -8 *1929:34 *25988:B 9.72857 -9 *1929:34 *26092:A 25.3536 -*END - -*D_NET *1930 0.0463278 -*CONN -*I *25994:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26469:B1 I *D sky130_fd_sc_hd__a21oi_1 -*I *26227:A I *D sky130_fd_sc_hd__nor2_2 -*I *26369:A I *D sky130_fd_sc_hd__nor2_1 -*I *26159:A I *D sky130_fd_sc_hd__or2_1 -*I *25998:A I *D sky130_fd_sc_hd__nor2_1 -*I *26120:A I *D sky130_fd_sc_hd__or2_1 -*I *26401:B1 I *D sky130_fd_sc_hd__a21oi_2 -*I *26158:A I *D sky130_fd_sc_hd__nor2_1 -*I *26342:A I *D sky130_fd_sc_hd__nor2_1 -*I *26341:A I *D sky130_fd_sc_hd__or2_1 -*I *26117:A I *D sky130_fd_sc_hd__or2_1 -*I *26340:A I *D sky130_fd_sc_hd__nor2_1 -*I *25988:X O *D sky130_fd_sc_hd__or2_4 -*CAP -1 *25994:A1 2.94119e-05 -2 *26469:B1 0 -3 *26227:A 0.000260071 -4 *26369:A 4.26984e-05 -5 *26159:A 0 -6 *25998:A 7.37508e-05 -7 *26120:A 3.84812e-05 -8 *26401:B1 0 -9 *26158:A 6.48193e-05 -10 *26342:A 0.000121987 -11 *26341:A 0.00012316 -12 *26117:A 0.000110115 -13 *26340:A 1.211e-05 -14 *25988:X 0.00227949 -15 *1930:187 0.000779793 -16 *1930:152 0.00241697 -17 *1930:121 0.00033567 -18 *1930:112 0.000694957 -19 *1930:98 0.000834761 -20 *1930:90 0.00298565 -21 *1930:85 0.000948271 -22 *1930:76 0.00173611 -23 *1930:62 0.00130859 -24 *1930:49 0.000367055 -25 *1930:42 0.000675046 -26 *1930:40 0.000651219 -27 *1930:25 0.0016525 -28 *1930:17 0.00332146 -29 *25994:A1 *1935:193 0.000139913 -30 *25994:A1 *1936:7 0.000139913 -31 *25998:A *25998:B 0.000260574 -32 *25998:A *26662:A3 0.000260574 -33 *26117:A *26160:A2 1.92905e-05 -34 *26117:A *2062:44 7.70092e-05 -35 *26120:A *26401:A1 6.05161e-06 -36 *26120:A *2342:31 1.21258e-05 -37 *26158:A *26604:C 0.000198698 -38 *26158:A *26657:B 6.8646e-06 -39 *26158:A *5250:29 0.0002253 -40 *26227:A *26782:B 0.000431857 -41 *26227:A *1997:31 0.000354891 -42 *26227:A *2089:20 0 -43 *26227:A *2089:24 0.000220448 -44 *26340:A *28026:A 0 -45 *26341:A *2283:7 2.42516e-05 -46 *26342:A *26158:B 3.97677e-05 -47 *1930:17 *26143:A1 0.00135448 -48 *1930:17 *26569:B1 4.2985e-06 -49 *1930:17 *26690:B 1.94945e-05 -50 *1930:17 *2007:64 0.000174936 -51 *1930:17 *2025:39 0.000204318 -52 *1930:17 *2025:44 0.000855253 -53 *1930:17 *2025:47 0 -54 *1930:17 *2025:55 0 -55 *1930:17 *2054:8 1.94945e-05 -56 *1930:17 *2154:143 0.000161858 -57 *1930:17 *2507:21 0.000159774 -58 *1930:17 *5782:232 0.000116403 -59 *1930:25 *26121:B 0.000128899 -60 *1930:25 *26340:B 5.71472e-05 -61 *1930:25 *26462:A 0.000109484 -62 *1930:25 *26575:B 1.92905e-05 -63 *1930:25 *26575:C 0.000167583 -64 *1930:25 *2092:14 3.67452e-05 -65 *1930:25 *2252:12 0.000701987 -66 *1930:25 *2282:10 5.52238e-05 -67 *1930:25 *2688:17 0.00114827 -68 *1930:40 *26155:B1 9.82585e-05 -69 *1930:40 *26692:A 4.00025e-05 -70 *1930:40 *28026:A 0.000140933 -71 *1930:40 *2282:10 4.08637e-05 -72 *1930:40 *2282:26 0.000426969 -73 *1930:40 *2409:6 0.000185436 -74 *1930:40 *2631:9 0.000175519 -75 *1930:42 *2282:26 4.66511e-05 -76 *1930:42 *2409:6 2.61337e-05 -77 *1930:49 *26468:B 6.05161e-06 -78 *1930:49 *2282:26 7.6644e-05 -79 *1930:49 *2409:6 3.69697e-05 -80 *1930:62 *26468:B 0.000367396 -81 *1930:62 *2282:26 0.000367396 -82 *1930:76 *26273:A2 0.000294191 -83 *1930:76 *2114:29 0.000636986 -84 *1930:76 *2216:11 0 -85 *1930:76 *2283:12 0 -86 *1930:76 *3510:10 1.09026e-05 -87 *1930:76 *3583:16 6.0521e-05 -88 *1930:76 *3583:45 0.000537433 -89 *1930:76 *6376:39 0 -90 *1930:85 *26499:A 2.14658e-05 -91 *1930:85 *26499:B 5.33005e-05 -92 *1930:85 *2101:8 5.52238e-05 -93 *1930:85 *2114:29 0.000223592 -94 *1930:85 *2114:43 0.000126439 -95 *1930:85 *2440:8 6.13757e-06 -96 *1930:90 *26159:B 0.00016862 -97 *1930:90 *2016:61 0.000465264 -98 *1930:90 *2223:50 0.000456702 -99 *1930:98 *26158:B 7.58841e-05 -100 *1930:98 *26552:C 1.65025e-05 -101 *1930:98 *2016:61 0.000200406 -102 *1930:98 *2062:39 7.80714e-06 -103 *1930:98 *2223:50 1.08359e-05 -104 *1930:98 *2284:35 0.000228789 -105 *1930:98 *2605:8 0.000225191 -106 *1930:112 *26158:B 2.14474e-05 -107 *1930:112 *26401:A1 4.73656e-05 -108 *1930:112 *26551:A2 1.32511e-05 -109 *1930:112 *26551:B1 6.11677e-05 -110 *1930:112 *2100:28 4.98055e-06 -111 *1930:112 *2283:23 0.000452902 -112 *1930:112 *2342:31 0.000680843 -113 *1930:112 *5250:29 0 -114 *1930:121 *26401:A1 0.000200219 -115 *1930:121 *26604:C 5.83304e-05 -116 *1930:121 *26657:B 0.000318453 -117 *1930:121 *2100:28 6.05161e-06 -118 *1930:121 *2342:31 0.000387182 -119 *1930:152 *26156:A 4.29471e-05 -120 *1930:152 *26365:B 0.000339346 -121 *1930:152 *26365:C 2.22618e-05 -122 *1930:152 *26381:A2 0.000922838 -123 *1930:152 *26666:C 0.000128409 -124 *1930:152 *1940:32 0.00169641 -125 *1930:152 *1952:39 4.11218e-05 -126 *1930:152 *1996:75 7.21378e-05 -127 *1930:152 *2057:8 9.59532e-06 -128 *1930:152 *2061:18 0.000248138 -129 *1930:152 *2124:41 0.000199358 -130 *1930:152 *2298:26 1.90936e-05 -131 *1930:152 *2307:10 1.26099e-05 -132 *1930:152 *2565:11 0.000296508 -133 *1930:152 *2605:8 0.000344835 -134 *1930:152 *5782:126 0.00081877 -135 *1930:187 *26782:B 0.000102225 -136 *1930:187 *1936:7 0.000319267 -137 *1930:187 *1936:11 2.78608e-05 -138 *1930:187 *1997:31 0.000101116 -139 *1930:187 *2342:43 4.13496e-05 -140 *1930:187 *5545:11 2.58821e-05 -141 *26552:A *26342:A 5.33005e-05 -142 *26552:A *1930:112 5.96516e-05 -143 *28317:D *1930:76 0.000315179 -144 *28319:D *1930:76 0 -145 *1783:10 *1930:76 8.86189e-05 -146 *1783:20 *1930:76 0 -147 *1880:18 *1930:40 0 -148 *1880:18 *1930:76 0.000587304 -149 *1883:64 *1930:76 0.00051433 -150 *1883:64 *1930:85 0.000343203 -151 *1883:78 *1930:98 0.000261928 -152 *1883:83 *1930:98 5.51649e-05 -153 *1884:35 *26341:A 6.05161e-06 -154 *1884:35 *1930:76 6.42095e-05 -155 *1884:42 *1930:76 0.0001746 -156 *1884:46 *1930:76 2.58997e-05 -*RES -1 *25988:X *1930:17 49.2015 -2 *1930:17 *1930:25 30.0357 -3 *1930:25 *26340:A 9.72857 -4 *1930:25 *1930:40 18.1071 -5 *1930:40 *1930:42 0.732143 -6 *1930:42 *1930:49 6.80357 -7 *1930:49 *26117:A 11.4786 -8 *1930:49 *1930:62 14.1071 -9 *1930:62 *26341:A 11.0679 -10 *1930:62 *1930:76 37.0179 -11 *1930:76 *1930:85 15.3036 -12 *1930:85 *1930:90 13.3929 -13 *1930:90 *1930:98 13.2857 -14 *1930:98 *26342:A 11.5143 -15 *1930:98 *1930:112 15.3929 -16 *1930:112 *1930:121 12.9107 -17 *1930:121 *26158:A 21.1571 -18 *1930:121 *26401:B1 9.3 -19 *1930:112 *26120:A 14.3357 -20 *1930:90 *1930:152 49.1591 -21 *1930:152 *25998:A 16.3893 -22 *1930:85 *26159:A 9.3 -23 *1930:76 *26369:A 14.3357 -24 *1930:42 *1930:187 13.6964 -25 *1930:187 *26227:A 25.925 -26 *1930:187 *26469:B1 9.3 -27 *1930:40 *25994:A1 15.1571 -*END - -*D_NET *1931 0.0209827 -*CONN -*I *25990:A I *D sky130_fd_sc_hd__inv_2 -*I *26155:A2 I *D sky130_fd_sc_hd__o311a_1 -*I *25992:B I *D sky130_fd_sc_hd__nor2_2 -*I *25993:B I *D sky130_fd_sc_hd__or2_4 -*I *25989:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *25990:A 5.16538e-05 -2 *26155:A2 0 -3 *25992:B 0.000133934 -4 *25993:B 3.89625e-05 -5 *25989:Y 8.99728e-05 -6 *1931:51 0.00154646 -7 *1931:45 0.00392368 -8 *1931:17 0.00278694 -9 *1931:10 0.000557355 -10 *1931:6 0.000384237 -11 *25990:A *2244:48 9.41642e-05 -12 *25992:B *26286:A 3.11713e-05 -13 *25992:B *1934:7 5.33005e-05 -14 *25992:B *1934:13 9.83423e-06 -15 *25992:B *1946:15 3.04901e-05 -16 *25992:B *2130:11 8.6229e-06 -17 *25992:B *2131:7 4.87854e-05 -18 *25993:B *2260:33 1.58163e-05 -19 *1931:6 *4530:23 0.000194358 -20 *1931:6 *5722:48 0.000149421 -21 *1931:6 *5722:50 4.56437e-05 -22 *1931:10 *1942:54 0.000108802 -23 *1931:10 *1942:95 7.78466e-05 -24 *1931:10 *2152:125 0.000138934 -25 *1931:10 *4530:23 0.000153047 -26 *1931:10 *5722:50 0.00061013 -27 *1931:17 *1946:15 9.41642e-05 -28 *1931:17 *2260:33 1.21258e-05 -29 *1931:45 *26108:A 2.19907e-05 -30 *1931:45 *26461:B1 0.000119174 -31 *1931:45 *26478:A1 5.49995e-05 -32 *1931:45 *26478:B1 1.98839e-05 -33 *1931:45 *26481:B 5.33005e-05 -34 *1931:45 *26567:A2 0.000444923 -35 *1931:45 *26680:A2 0.000118603 -36 *1931:45 *26680:B1 0.000207797 -37 *1931:45 *1958:8 2.188e-05 -38 *1931:45 *1959:74 2.57826e-06 -39 *1931:45 *2033:19 0.000185699 -40 *1931:45 *2038:94 0.000346261 -41 *1931:45 *2065:21 0.000790546 -42 *1931:45 *2250:82 1.12196e-05 -43 *1931:45 *2260:33 5.69386e-05 -44 *1931:45 *2401:23 0.000216755 -45 *1931:45 *4475:37 0.00094014 -46 *1931:45 *6291:186 0.00068452 -47 *1931:51 *26111:A 5.33005e-05 -48 *1931:51 *26153:A1 4.82354e-05 -49 *1931:51 *26153:B1 2.85321e-05 -50 *1931:51 *26154:D 0.000245857 -51 *1931:51 *26160:A3 5.08826e-05 -52 *1931:51 *26470:B 0.000211457 -53 *1931:51 *26690:B 0.000102007 -54 *1931:51 *26690:C 0.000469764 -55 *1931:51 *2024:25 0.000800912 -56 *1931:51 *2024:40 0.000126102 -57 *1931:51 *2029:39 0.000569162 -58 *1931:51 *2029:50 0.000705145 -59 *1931:51 *2053:7 1.98839e-05 -60 *1931:51 *2056:37 0.000177591 -61 *25993:A *25992:B 0.000120738 -62 *25993:A *1931:17 0.000464549 -63 *25993:A *1931:45 5.33005e-05 -64 *26108:C_N *1931:45 0.000116414 -65 *1188:40 *1931:51 0.000931801 -*RES -1 *25989:Y *1931:6 16.3536 -2 *1931:6 *1931:10 12.5179 -3 *1931:10 *25993:B 9.83571 -4 *1931:10 *1931:17 5.05357 -5 *1931:17 *25992:B 21.3179 -6 *1931:17 *1931:45 48.9286 -7 *1931:45 *1931:51 48.9464 -8 *1931:51 *26155:A2 9.3 -9 *1931:6 *25990:A 14.7464 -*END - -*D_NET *1932 0.0201308 -*CONN -*I *26224:A1 I *D sky130_fd_sc_hd__a2111o_1 -*I *25991:B I *D sky130_fd_sc_hd__nand2_2 -*I *25990:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *26224:A1 0 -2 *25991:B 7.26371e-05 -3 *25990:Y 0.000164949 -4 *1932:63 0.00142018 -5 *1932:37 0.00250353 -6 *1932:31 0.00237271 -7 *1932:5 0.00152695 -8 *25991:B *2054:51 0.000245349 -9 *25991:B *4508:70 0.000221163 -10 *25991:B *4541:22 1.41029e-05 -11 *1932:5 *2244:48 3.55471e-05 -12 *1932:31 *26479:A1 0.000191618 -13 *1932:31 *26481:A 0.000348607 -14 *1932:31 *26676:A1 0.000115474 -15 *1932:31 *1933:11 0.000548544 -16 *1932:31 *1945:13 1.25084e-05 -17 *1932:31 *1955:52 0.0011186 -18 *1932:31 *2131:22 0.000224955 -19 *1932:31 *2131:139 6.48415e-06 -20 *1932:31 *2244:48 2.65242e-05 -21 *1932:37 *26209:B 0.000147132 -22 *1932:37 *1955:52 0.000642598 -23 *1932:37 *2105:31 0.000218734 -24 *1932:37 *2116:8 0.000220935 -25 *1932:37 *2131:22 0.000171255 -26 *1932:37 *2141:106 0.00140782 -27 *1932:37 *2187:11 0.000695382 -28 *1932:37 *2260:33 1.95304e-05 -29 *1932:37 *4530:56 0.000182179 -30 *1932:37 *4530:58 6.28742e-05 -31 *1932:37 *5673:251 0.000332917 -32 *1932:37 *5782:46 3.9506e-05 -33 *1932:63 *26195:A1 8.82238e-05 -34 *1932:63 *26198:B 6.70932e-05 -35 *1932:63 *26224:B1 3.13387e-05 -36 *1932:63 *26224:D1 0.000136951 -37 *1932:63 *26426:B 4.18174e-05 -38 *1932:63 *26638:A2 6.05161e-06 -39 *1932:63 *1946:154 0.000111876 -40 *1932:63 *2032:170 1.87097e-05 -41 *1932:63 *2046:66 0.000126532 -42 *1932:63 *2129:43 9.37043e-05 -43 *1932:63 *2131:22 0.00088062 -44 *1932:63 *2133:135 0.000300489 -45 *1932:63 *2141:134 0.000163917 -46 *1932:63 *2157:81 0.00125306 -47 *1932:63 *2165:31 0.000260574 -48 *1932:63 *2187:122 4.15161e-05 -49 *1932:63 *2347:8 1.90936e-05 -50 *1932:63 *2347:13 0.000715443 -51 *1932:63 *4508:132 0.0001326 -52 *1932:63 *5782:62 0.000312703 -53 *1911:61 *1932:37 1.71638e-05 -*RES -1 *25990:Y *1932:5 11.0679 -2 *1932:5 *25991:B 21.4607 -3 *1932:5 *1932:31 33.9515 -4 *1932:31 *1932:37 39.9911 -5 *1932:37 *1932:63 47.9697 -6 *1932:63 *26224:A1 9.3 -*END - -*D_NET *1933 0.0257476 -*CONN -*I *26325:A1 I *D sky130_fd_sc_hd__o311a_1 -*I *26095:B I *D sky130_fd_sc_hd__nor2_1 -*I *26333:A1 I *D sky130_fd_sc_hd__o211a_1 -*I *25991:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *26325:A1 0.000698695 -2 *26095:B 0.000625803 -3 *26333:A1 0.00111368 -4 *25991:Y 0 -5 *1933:17 0.00285015 -6 *1933:11 0.00282946 -7 *1933:5 0.00241748 -8 *26095:B *26095:A 5.91512e-06 -9 *26095:B *5782:192 0.00153162 -10 *26325:A1 *26286:A 1.10632e-05 -11 *26325:A1 *26324:B1 4.35597e-05 -12 *26325:A1 *26325:A3 0.000136676 -13 *26325:A1 *26325:B1 7.91464e-05 -14 *26325:A1 *26325:C1 5.33005e-05 -15 *26325:A1 *1946:15 0.00129884 -16 *26325:A1 *2054:51 0.000116038 -17 *26325:A1 *2187:25 0.000385699 -18 *26325:A1 *2228:11 8.18551e-05 -19 *26325:A1 *5782:20 0.000158357 -20 *26325:A1 *6291:40 0.000865272 -21 *26333:A1 *26315:A 0 -22 *26333:A1 *26333:A2 1.04232e-05 -23 *26333:A1 *26333:B1 2.8266e-06 -24 *26333:A1 *26333:C1 5.69708e-05 -25 *26333:A1 *26334:C1 1.01912e-05 -26 *26333:A1 *26474:A 0.00175931 -27 *26333:A1 *26488:A 0.000394719 -28 *26333:A1 *26688:B 0.000291993 -29 *26333:A1 *26690:B 5.8779e-05 -30 *26333:A1 *26748:D_N 0 -31 *26333:A1 *26749:A 2.50463e-05 -32 *26333:A1 *2006:89 2.20678e-05 -33 *26333:A1 *2054:8 0.000359087 -34 *26333:A1 *2054:38 0.000263924 -35 *26333:A1 *2082:6 7.83587e-05 -36 *26333:A1 *2083:6 0 -37 *26333:A1 *5007:48 0 -38 *26333:A1 *6203:23 1.90683e-05 -39 *1933:11 *26009:A 7.32272e-05 -40 *1933:11 *26009:B 2.95726e-05 -41 *1933:11 *26113:B 0.000139907 -42 *1933:11 *26113:C 9.94194e-05 -43 *1933:11 *26125:A2 7.41875e-05 -44 *1933:11 *26125:A3 0.000169366 -45 *1933:11 *26126:B 0.000188115 -46 *1933:11 *26286:A 0.000245853 -47 *1933:11 *1946:15 0.000244145 -48 *1933:11 *1951:10 1.04232e-05 -49 *1933:11 *1959:68 0.000301438 -50 *1933:11 *1959:127 0.000602243 -51 *1933:11 *2067:5 0.00051654 -52 *1933:11 *2244:48 0.00036993 -53 *1933:11 *2507:21 0.000181803 -54 *1933:17 *26009:A 1.02936e-05 -55 *1933:17 *1950:10 7.80417e-05 -56 *1933:17 *1950:181 0.000303965 -57 *1933:17 *1951:10 0.000682944 -58 *1771:48 *1933:11 6.12767e-05 -59 *1771:58 *26095:B 0.00183976 -60 *1771:58 *1933:17 0.000321182 -61 *1932:31 *1933:11 0.000548544 -*RES -1 *25991:Y *1933:5 13.8 -2 *1933:5 *1933:11 41.0714 -3 *1933:11 *1933:17 15.4643 -4 *1933:17 *26333:A1 48.9875 -5 *1933:17 *26095:B 29.2286 -6 *1933:5 *26325:A1 36.925 -*END - -*D_NET *1934 0.014849 -*CONN -*I *26286:A I *D sky130_fd_sc_hd__nand2_2 -*I *26555:A I *D sky130_fd_sc_hd__nor2_1 -*I *26682:A1 I *D sky130_fd_sc_hd__o21a_1 -*I *26021:A I *D sky130_fd_sc_hd__nand2_1 -*I *25992:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *26286:A 0.000701143 -2 *26555:A 0.000501733 -3 *26682:A1 0.000368837 -4 *26021:A 0 -5 *25992:Y 0.000108459 -6 *1934:22 0.0020435 -7 *1934:13 0.0015883 -8 *1934:7 0.00122497 -9 *26286:A *1946:15 0.000313067 -10 *26286:A *1946:16 6.73181e-05 -11 *26286:A *2048:36 0.000135846 -12 *26286:A *2054:51 0.000802567 -13 *26286:A *2217:34 0.000182497 -14 *26286:A *4475:21 0 -15 *26286:A *6291:40 2.39876e-05 -16 *26555:A *26555:B 3.10459e-05 -17 *26555:A *26691:A 6.47254e-05 -18 *26555:A *2024:25 0.000122273 -19 *26555:A *2029:9 0.000779598 -20 *26555:A *2051:41 9.45051e-05 -21 *26555:A *2068:16 5.42384e-07 -22 *26555:A *2069:21 0.000195675 -23 *26555:A *2240:42 0.000214664 -24 *26682:A1 *26558:A2 4.58194e-05 -25 *26682:A1 *26558:B1 4.58194e-05 -26 *26682:A1 *26582:A2 0.000549576 -27 *26682:A1 *26682:B1 7.02611e-05 -28 *26682:A1 *2029:15 6.41063e-05 -29 *26682:A1 *2498:8 7.48301e-06 -30 *26682:A1 *2621:14 4.16984e-05 -31 *1934:7 *2130:11 5.33005e-05 -32 *1934:7 *2131:7 1.02936e-05 -33 *1934:13 *26021:B 9.41642e-05 -34 *1934:13 *26106:B 1.58163e-05 -35 *1934:13 *1946:16 0.000405626 -36 *1934:13 *1963:7 8.25843e-06 -37 *1934:13 *2047:103 1.92789e-05 -38 *1934:13 *2048:5 8.25843e-06 -39 *1934:13 *2048:9 5.74499e-06 -40 *1934:13 *2130:11 0.000217606 -41 *1934:13 *2130:27 8.00986e-05 -42 *1934:13 *2159:118 0.000552531 -43 *1934:22 *1963:7 8.70873e-05 -44 *1934:22 *2025:114 6.87574e-05 -45 *1934:22 *2051:41 0.000207911 -46 *1934:22 *2069:21 0.000211994 -47 *1934:22 *2159:118 0.000943307 -48 *1934:22 *2240:42 0.000309273 -49 *1934:22 *2620:13 0.00069769 -50 *25992:B *26286:A 3.11713e-05 -51 *25992:B *1934:7 5.33005e-05 -52 *25992:B *1934:13 9.83423e-06 -53 *26106:A *1934:13 5.33005e-05 -54 *26325:A1 *26286:A 1.10632e-05 -55 *1908:27 *1934:22 2.79421e-05 -56 *1928:17 *26682:A1 2.95726e-05 -57 *1933:11 *26286:A 0.000245853 -*RES -1 *25992:Y *1934:7 15.1571 -2 *1934:7 *1934:13 15.6786 -3 *1934:13 *26021:A 9.3 -4 *1934:13 *1934:22 32.125 -5 *1934:22 *26682:A1 22.05 -6 *1934:22 *26555:A 27.8893 -7 *1934:7 *26286:A 35.9744 -*END - -*D_NET *1935 0.0861842 -*CONN -*I *26334:B2 I *D sky130_fd_sc_hd__o221a_1 -*I *25994:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *26121:A I *D sky130_fd_sc_hd__or2_1 -*I *26454:A1 I *D sky130_fd_sc_hd__a21oi_1 -*I *26124:B1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26085:A I *D sky130_fd_sc_hd__nor2_1 -*I *26319:A1 I *D sky130_fd_sc_hd__o221a_1 -*I *26320:A1 I *D sky130_fd_sc_hd__o22a_1 -*I *26103:A I *D sky130_fd_sc_hd__nor2_4 -*I *26700:A I *D sky130_fd_sc_hd__and2_2 -*I *26305:B2 I *D sky130_fd_sc_hd__o22a_1 -*I *25993:X O *D sky130_fd_sc_hd__or2_4 -*CAP -1 *26334:B2 0.000658972 -2 *25994:A2 0 -3 *26121:A 0 -4 *26454:A1 0 -5 *26124:B1 7.97078e-05 -6 *26085:A 0 -7 *26319:A1 1.21804e-05 -8 *26320:A1 8.73066e-05 -9 *26103:A 0 -10 *26700:A 2.78831e-05 -11 *26305:B2 0.000330644 -12 *25993:X 0.000622303 -13 *1935:193 0.00112526 -14 *1935:182 0.00182516 -15 *1935:169 0.00274688 -16 *1935:156 0.00349038 -17 *1935:135 0.00269662 -18 *1935:122 0.00232694 -19 *1935:109 0.000409135 -20 *1935:92 0.00392506 -21 *1935:72 0.00530872 -22 *1935:37 0.00211117 -23 *1935:26 0.00409432 -24 *1935:25 0.00194831 -25 *1935:19 0.00222583 -26 *1935:8 0.00134805 -27 *1935:7 0.000709417 -28 *26124:B1 *2397:11 5.33005e-05 -29 *26305:B2 *26305:A1 3.41517e-06 -30 *26305:B2 *26305:A2 2.36643e-05 -31 *26305:B2 *26305:B1 0.000437899 -32 *26305:B2 *26641:A 9.58632e-05 -33 *26305:B2 *2179:27 0.000173015 -34 *26305:B2 *2580:23 0.000171492 -35 *26305:B2 *5573:21 9.58181e-05 -36 *26319:A1 *26319:A2 5.49489e-05 -37 *26319:A1 *2217:38 5.49489e-05 -38 *26320:A1 *26319:C1 0.000136465 -39 *26320:A1 *2147:38 4.62713e-05 -40 *26320:A1 *2155:13 5.42547e-05 -41 *26334:B2 *26322:B 6.81083e-05 -42 *26334:B2 *26323:A 0.00010326 -43 *26334:B2 *26323:D 0 -44 *26334:B2 *2032:109 9.09345e-05 -45 *26334:B2 *2032:127 0.000360525 -46 *26334:B2 *2204:28 2.286e-05 -47 *26334:B2 *2220:33 0.00171488 -48 *26334:B2 *2227:19 4.46186e-06 -49 *26334:B2 *4519:23 0 -50 *26334:B2 *5722:16 0.000151233 -51 *26334:B2 *5722:20 0.000748714 -52 *26700:A *2639:8 2.59355e-05 -53 *1935:7 *26319:C1 0.000266859 -54 *1935:7 *2260:33 0.000601341 -55 *1935:8 *1956:50 9.16804e-07 -56 *1935:8 *2147:38 5.14352e-05 -57 *1935:8 *2226:31 4.25594e-05 -58 *1935:19 *1956:50 0.00027085 -59 *1935:19 *2038:30 0.000545402 -60 *1935:19 *2038:34 0.000159069 -61 *1935:19 *2038:58 6.90381e-06 -62 *1935:19 *2147:38 0.00095247 -63 *1935:19 *2147:55 0.000255519 -64 *1935:19 *2155:18 0.00241172 -65 *1935:19 *2220:54 0.000781574 -66 *1935:19 *2226:31 9.32003e-06 -67 *1935:19 *2244:13 2.30813e-05 -68 *1935:25 *25968:A 0.00012401 -69 *1935:25 *26535:A1 0.000109494 -70 *1935:25 *26699:A2 0.000899196 -71 *1935:25 *1956:65 0.00140433 -72 *1935:25 *1965:53 0.000393587 -73 *1935:25 *2130:50 0.000434944 -74 *1935:25 *2147:61 1.08359e-05 -75 *1935:25 *2151:22 1.68164e-05 -76 *1935:25 *2155:25 0.00176608 -77 *1935:25 *2155:42 5.00695e-06 -78 *1935:25 *2204:38 0.000367416 -79 *1935:25 *2204:46 0.000135968 -80 *1935:25 *2220:69 3.75628e-05 -81 *1935:25 *2234:48 0.0002253 -82 *1935:25 *2578:12 0.000684592 -83 *1935:26 *30994:A 1.5732e-05 -84 *1935:26 *1944:43 2.05803e-05 -85 *1935:26 *2046:115 0.000204021 -86 *1935:26 *2155:42 0.000364188 -87 *1935:26 *2177:25 0.00159354 -88 *1935:26 *2204:46 0.000227061 -89 *1935:26 *2592:30 0.000164145 -90 *1935:26 *6147:14 0 -91 *1935:37 *26653:B 0.000679885 -92 *1935:37 *26707:C 5.31122e-05 -93 *1935:37 *26707:D 1.32511e-05 -94 *1935:37 *30994:A 0 -95 *1935:37 *2046:115 0.00199548 -96 *1935:37 *2131:72 5.90443e-06 -97 *1935:37 *2177:25 0.000175369 -98 *1935:37 *2179:27 0.000312137 -99 *1935:37 *2204:68 0.000190686 -100 *1935:37 *2581:6 0.000891081 -101 *1935:37 *2639:8 6.05161e-06 -102 *1935:37 *5573:31 0.000424279 -103 *1935:72 *26240:A 8.89031e-05 -104 *1935:72 *26600:A1 1.98839e-05 -105 *1935:72 *26601:A2 0.000219711 -106 *1935:72 *28961:A 5.52302e-05 -107 *1935:72 *2032:170 0.000166503 -108 *1935:72 *2032:185 5.52302e-05 -109 *1935:72 *2043:85 0.000483159 -110 *1935:72 *2046:115 1.50867e-05 -111 *1935:72 *2127:45 0.000177815 -112 *1935:72 *2132:37 0.000805963 -113 *1935:72 *2144:68 1.94879e-05 -114 *1935:72 *2149:110 0.000490226 -115 *1935:72 *2155:106 5.67737e-05 -116 *1935:72 *2177:25 1.95113e-06 -117 *1935:72 *2485:24 9.16325e-06 -118 *1935:72 *2592:30 2.11419e-05 -119 *1935:72 *4189:60 4.58194e-05 -120 *1935:92 *26103:B 7.35533e-05 -121 *1935:92 *26414:A2 4.34543e-05 -122 *1935:92 *26435:D1 4.15183e-05 -123 *1935:92 *26516:A2 0.000130965 -124 *1935:92 *26761:A 7.02611e-05 -125 *1935:92 *26761:B 8.89321e-05 -126 *1935:92 *26761:D 6.99716e-05 -127 *1935:92 *26799:B1 1.39726e-05 -128 *1935:92 *2019:115 1.44355e-05 -129 *1935:92 *2043:85 3.80079e-05 -130 *1935:92 *2043:102 0.0010488 -131 *1935:92 *2146:38 0.000124858 -132 *1935:92 *2352:24 0.000109657 -133 *1935:92 *2544:14 4.28365e-05 -134 *1935:92 *2554:17 0.000137983 -135 *1935:92 *2709:8 1.04114e-05 -136 *1935:109 *1956:50 2.2927e-05 -137 *1935:109 *2147:24 9.02649e-06 -138 *1935:109 *2147:38 0.00012249 -139 *1935:109 *2226:31 8.956e-05 -140 *1935:122 *26322:B 1.02504e-05 -141 *1935:122 *26322:C 0.000674791 -142 *1935:122 *1956:50 0.000351465 -143 *1935:122 *2032:109 4.34579e-05 -144 *1935:122 *2147:24 1.83819e-05 -145 *1935:122 *2220:33 9.99364e-05 -146 *1935:135 *26085:B 5.33005e-05 -147 *1935:135 *26286:B 0.000189564 -148 *1935:135 *26322:A_N 7.62968e-05 -149 *1935:135 *26322:D 7.22925e-05 -150 *1935:135 *26323:D 0.000230155 -151 *1935:135 *26569:A2 0.000359508 -152 *1935:135 *1955:31 4.58194e-05 -153 *1935:135 *2027:13 1.95984e-05 -154 *1935:135 *2054:48 0.00160262 -155 *1935:135 *2228:11 7.80759e-05 -156 *1935:156 *26087:C_N 2.59355e-05 -157 *1935:156 *26450:A1 0.000155938 -158 *1935:156 *26569:A2 6.26276e-05 -159 *1935:156 *26569:B1 0.000137457 -160 *1935:156 *1959:31 9.25014e-06 -161 *1935:156 *2027:13 0.000135028 -162 *1935:156 *2031:68 0.000112573 -163 *1935:156 *2032:67 0.000333493 -164 *1935:156 *2033:12 0.000285704 -165 *1935:156 *2397:11 7.83587e-05 -166 *1935:156 *2497:14 0.000137983 -167 *1935:156 *2508:6 0.000114759 -168 *1935:156 *2511:25 7.30012e-05 -169 *1935:156 *2512:20 0.000134652 -170 *1935:169 *26454:B1 0.000148265 -171 *1935:169 *26487:A 4.27338e-05 -172 *1935:169 *26679:A 0.000161749 -173 *1935:169 *26679:B 0.000181796 -174 *1935:169 *26679:C 0.0001399 -175 *1935:169 *1985:29 0.00202157 -176 *1935:169 *2031:35 9.60762e-05 -177 *1935:169 *2034:30 0.00018077 -178 *1935:169 *2258:41 0.000277502 -179 *1935:169 *2395:20 0.00010096 -180 *1935:169 *2397:11 0.000446597 -181 *1935:169 *2397:16 3.61629e-06 -182 *1935:169 *2415:8 0.000316184 -183 *1935:169 *2618:11 9.41642e-05 -184 *1935:182 *26089:B 5.52238e-05 -185 *1935:182 *26454:A2 9.60875e-05 -186 *1935:182 *2031:7 8.70252e-05 -187 *1935:182 *2031:35 0.00010946 -188 *1935:182 *2395:20 7.48301e-06 -189 *1935:182 *5782:203 0.000924693 -190 *1935:182 *5782:210 0.000633941 -191 *1935:193 *26154:C 0.000287667 -192 *1935:193 *26154:D 0.000267088 -193 *1935:193 *26155:A3 0.000126416 -194 *1935:193 *26155:B1 0.000399214 -195 *1935:193 *26155:C1 0.000179568 -196 *1935:193 *26160:A3 0.000502664 -197 *1935:193 *26493:A2 0.000305314 -198 *1935:193 *26554:B 2.06178e-05 -199 *1935:193 *29412:A 0 -200 *1935:193 *29924:A 0 -201 *1935:193 *1936:7 0.000135424 -202 *1935:193 *2063:5 4.87953e-05 -203 *1935:193 *5545:11 0.000388045 -204 *25795:A1 *1935:37 5.33005e-05 -205 *25987:B *1935:135 5.33005e-05 -206 *25994:A1 *1935:193 0.000139913 -207 *26087:B *1935:156 7.32272e-05 -208 *26089:A *1935:182 8.86413e-05 -209 *26124:A2 *26124:B1 1.98839e-05 -210 *26155:A1 *1935:193 1.94275e-05 -211 *28070:D *1935:193 0 -212 *373:44 *1935:72 0.000189483 -213 *1188:8 *1935:182 4.73656e-05 -214 *1188:10 *1935:182 0.000190155 -215 *1188:12 *1935:182 0.000772346 -216 *1188:18 *1935:182 0.000536809 -217 *1188:18 *1935:193 5.62735e-05 -218 *1393:110 *1935:37 9.26187e-05 -219 *1393:124 *1935:37 3.14003e-05 -220 *1902:13 *1935:156 0.000637088 -221 *1914:12 *1935:156 5.45129e-05 -222 *1922:24 *1935:156 0.000228401 -223 *1925:13 *1935:135 0.000192628 -224 *1929:8 *1935:156 2.96938e-05 -*RES -1 *25993:X *1935:7 22.1393 -2 *1935:7 *1935:8 0.732143 -3 *1935:8 *1935:19 39.3297 -4 *1935:19 *1935:25 48.9209 -5 *1935:25 *1935:26 3.5626 -6 *1935:26 *1935:37 34.8114 -7 *1935:37 *26305:B2 26.4429 -8 *1935:37 *26700:A 9.83571 -9 *1935:26 *1935:72 48.5197 -10 *1935:72 *1935:92 40.7573 -11 *1935:92 *26103:A 9.3 -12 *1935:8 *26320:A1 16.1214 -13 *1935:7 *1935:109 1.94643 -14 *1935:109 *26319:A1 14.3357 -15 *1935:109 *1935:122 11.1071 -16 *1935:122 *1935:135 34.8036 -17 *1935:135 *26085:A 9.3 -18 *1935:135 *1935:156 46.7143 -19 *1935:156 *26124:B1 10.2464 -20 *1935:156 *1935:169 40.4286 -21 *1935:169 *26454:A1 9.3 -22 *1935:169 *1935:182 35.2679 -23 *1935:182 *26121:A 9.3 -24 *1935:182 *1935:193 41.3214 -25 *1935:193 *25994:A2 9.3 -26 *1935:122 *26334:B2 37.9607 -*END - -*D_NET *1936 0.00270086 -*CONN -*I *26493:B1_N I *D sky130_fd_sc_hd__o21ba_1 -*I *26160:B1 I *D sky130_fd_sc_hd__a41oi_1 -*I *26554:A I *D sky130_fd_sc_hd__or3_1 -*I *25994:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *26493:B1_N 0 -2 *26160:B1 0.000141853 -3 *26554:A 0.000151158 -4 *25994:Y 0.000235206 -5 *1936:11 0.00031134 -6 *1936:7 0.000253535 -7 *26160:B1 *26160:A3 0.00019044 -8 *26160:B1 *2342:43 1.91414e-05 -9 *26160:B1 *2434:6 0.00031688 -10 *26554:A *26160:A3 9.41918e-05 -11 *26554:A *26341:B 8.14438e-05 -12 *26554:A *26554:C 5.52238e-05 -13 *26554:A *2342:43 3.34295e-05 -14 *26554:A *2494:9 9.71197e-05 -15 *1936:7 *25994:B1 6.05161e-06 -16 *1936:7 *5545:11 5.14625e-05 -17 *1936:11 *5545:11 2.59355e-05 -18 *25994:A1 *1936:7 0.000139913 -19 *1862:11 *26554:A 1.39841e-05 -20 *1930:187 *1936:7 0.000319267 -21 *1930:187 *1936:11 2.78608e-05 -22 *1935:193 *1936:7 0.000135424 -*RES -1 *25994:Y *1936:7 15.1929 -2 *1936:7 *1936:11 5.03571 -3 *1936:11 *26554:A 17.8357 -4 *1936:11 *26160:B1 18.4786 -5 *1936:7 *26493:B1_N 9.3 -*END - -*D_NET *1937 0.0125999 -*CONN -*I *26405:B I *D sky130_fd_sc_hd__nand2_2 -*I *25997:B I *D sky130_fd_sc_hd__or2_2 -*I *25996:B I *D sky130_fd_sc_hd__nor2_8 -*I *25995:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *26405:B 0.00021493 -2 *25997:B 3.61814e-05 -3 *25996:B 6.4848e-05 -4 *25995:Y 5.31854e-05 -5 *1937:36 0.000780882 -6 *1937:22 0.00198727 -7 *1937:7 0.00157553 -8 *25996:B *25997:A 0.000216755 -9 *25997:B *25997:A 0.000124245 -10 *26405:B *1955:77 0.000178847 -11 *26405:B *2043:143 0.000383166 -12 *26405:B *2136:43 2.24195e-05 -13 *26405:B *2346:5 4.13595e-05 -14 *26405:B *4508:109 0.000209491 -15 *1937:7 *6291:67 6.86693e-05 -16 *1937:22 *26031:A 0.000170661 -17 *1937:22 *26196:A2 1.98839e-05 -18 *1937:22 *26232:A1 0.000132113 -19 *1937:22 *26268:B 0.000144038 -20 *1937:22 *26271:A 0.000107278 -21 *1937:22 *26416:C 0.000303727 -22 *1937:22 *26444:B1 1.27784e-05 -23 *1937:22 *2127:77 9.06613e-05 -24 *1937:22 *2131:45 0.000238202 -25 *1937:22 *2136:58 6.02724e-05 -26 *1937:22 *2172:8 0.000747847 -27 *1937:22 *2173:21 0.000713886 -28 *1937:22 *2221:8 2.4004e-05 -29 *1937:22 *2357:13 0.000183965 -30 *1937:22 *4508:109 0.000335922 -31 *1937:22 *4508:116 0.000399732 -32 *1937:36 *26231:A_N 0.000135028 -33 *1937:36 *2026:10 7.36162e-05 -34 *1937:36 *2110:18 5.41794e-05 -35 *1937:36 *2139:30 5.58941e-05 -36 *1937:36 *2367:5 0.000890839 -37 *1937:36 *4189:67 7.36162e-05 -38 *26175:A1 *1937:22 0.000143756 -39 *26405:A *26405:B 5.33005e-05 -40 *1187:41 *1937:7 6.86693e-05 -41 *1188:102 *25996:B 8.17274e-05 -42 *1188:102 *25997:B 0.000136682 -43 *1188:104 *25996:B 0.000137983 -44 *1188:104 *1937:36 1.98839e-05 -45 *1189:14 *1937:22 9.14167e-05 -46 *1771:43 *1937:22 0.000100017 -47 *1907:27 *26405:B 0.000218741 -48 *1907:27 *1937:22 0.000621809 -*RES -1 *25995:Y *1937:7 15.1571 -2 *1937:7 *1937:22 46.3393 -3 *1937:22 *1937:36 33.1429 -4 *1937:36 *25996:B 11.4786 -5 *1937:36 *25997:B 10.6571 -6 *1937:7 *26405:B 20.9071 -*END - -*D_NET *1938 0.0324304 -*CONN -*I *26379:B1 I *D sky130_fd_sc_hd__a41o_1 -*I *26157:B I *D sky130_fd_sc_hd__nand2_8 -*I *26119:B I *D sky130_fd_sc_hd__nand2_4 -*I *26402:A I *D sky130_fd_sc_hd__nand2_1 -*I *26630:B2 I *D sky130_fd_sc_hd__o32a_1 -*I *26617:A I *D sky130_fd_sc_hd__nor2_4 -*I *26386:A1 I *D sky130_fd_sc_hd__a211o_1 -*I *26389:A1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26390:A1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26392:A1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26391:A1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26387:A1 I *D sky130_fd_sc_hd__a211o_1 -*I *26720:A1 I *D sky130_fd_sc_hd__o31a_1 -*I *26231:C I *D sky130_fd_sc_hd__and4b_1 -*I *25996:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *26379:B1 0.000190191 -2 *26157:B 7.94558e-05 -3 *26119:B 6.65986e-05 -4 *26402:A 0 -5 *26630:B2 8.11057e-05 -6 *26617:A 0.00029094 -7 *26386:A1 9.34963e-05 -8 *26389:A1 4.48919e-05 -9 *26390:A1 6.32963e-05 -10 *26392:A1 0.000418247 -11 *26391:A1 0.000776977 -12 *26387:A1 8.00825e-05 -13 *26720:A1 0.000435803 -14 *26231:C 0.000662719 -15 *25996:Y 0 -16 *1938:159 0.000221226 -17 *1938:151 0.00091902 -18 *1938:80 0.00125738 -19 *1938:75 0.000375969 -20 *1938:67 0.000782935 -21 *1938:52 0.000781106 -22 *1938:48 0.00111872 -23 *1938:36 0.00176632 -24 *1938:30 0.00113529 -25 *1938:19 0.000798446 -26 *1938:14 0.00132118 -27 *1938:13 0.00160075 -28 *1938:4 0.00198773 -29 *26119:B *26445:A 0.000167368 -30 *26119:B *2083:31 0.000169804 -31 *26157:B *26445:A 0.00021559 -32 *26157:B *2083:31 0.00020634 -33 *26379:B1 *26380:B1 9.95285e-05 -34 *26379:B1 *1952:34 3.18676e-05 -35 *26379:B1 *1955:118 0 -36 *26379:B1 *1971:25 9.22103e-06 -37 *26379:B1 *1982:35 5.52238e-05 -38 *26379:B1 *1989:8 0.000287324 -39 *26379:B1 *2310:16 0.000162587 -40 *26386:A1 *26386:A2 9.90431e-05 -41 *26387:A1 *26387:A2 0.000103534 -42 *26387:A1 *26388:C 9.3111e-05 -43 *26389:A1 *26390:D1 5.74499e-06 -44 *26389:A1 *2005:69 3.69047e-06 -45 *26390:A1 *26390:A2 0.000122591 -46 *26390:A1 *26390:B1 2.59355e-05 -47 *26391:A1 *26392:C1 4.87953e-05 -48 *26391:A1 *26712:B2 0.000201665 -49 *26391:A1 *2006:36 0.000265122 -50 *26391:A1 *2009:75 0.000268544 -51 *26391:A1 *2302:37 7.02611e-05 -52 *26392:A1 *26392:B1 5.33005e-05 -53 *26392:A1 *26392:C1 0.000346464 -54 *26392:A1 *26695:C 0.00014183 -55 *26392:A1 *2334:7 2.84109e-05 -56 *26392:A1 *2634:17 6.05161e-06 -57 *26617:A *26495:A 0.000224583 -58 *26617:A *26617:B 1.21371e-05 -59 *26617:A *1983:52 6.63375e-05 -60 *26630:B2 *26630:A3 1.98839e-05 -61 *26630:B2 *2060:109 1.21258e-05 -62 *26630:B2 *2310:32 0 -63 *26720:A1 *26081:A 2.89016e-05 -64 *26720:A1 *26432:B1 7.99341e-05 -65 *26720:A1 *2016:105 3.48705e-05 -66 *26720:A1 *2023:8 3.61629e-06 -67 *26720:A1 *2124:41 5.62995e-05 -68 *1938:13 *2044:18 0.000110117 -69 *1938:13 *2297:19 0.000470798 -70 *1938:14 *1989:8 0.0002128 -71 *1938:14 *2310:16 0.000204264 -72 *1938:19 *26774:B2 8.6229e-06 -73 *1938:19 *1989:8 0.000895361 -74 *1938:19 *2310:16 0.000897866 -75 *1938:19 *2310:32 0 -76 *1938:30 *26630:A1 5.33005e-05 -77 *1938:30 *26630:A3 1.98839e-05 -78 *1938:30 *26774:B2 0.000429826 -79 *1938:30 *1955:118 0.000302389 -80 *1938:30 *1983:32 3.34366e-05 -81 *1938:30 *1983:37 0.000207794 -82 *1938:30 *2310:32 5.33005e-05 -83 *1938:36 *26510:A2 0.00044202 -84 *1938:36 *26590:B1 0 -85 *1938:36 *1983:52 2.22999e-05 -86 *1938:36 *2099:70 0.000476299 -87 *1938:48 *26081:A 0.000105471 -88 *1938:48 *26760:A 0.000642559 -89 *1938:48 *26774:B1 0.000116446 -90 *1938:48 *26774:B2 3.06451e-05 -91 *1938:48 *1953:98 0.000220717 -92 *1938:48 *1955:129 0.000189518 -93 *1938:48 *1999:35 0.000108535 -94 *1938:48 *2599:6 6.32633e-05 -95 *1938:52 *26387:B1 1.18064e-05 -96 *1938:52 *26387:C1 0.000514323 -97 *1938:52 *1953:98 0.000183464 -98 *1938:52 *1955:129 1.92582e-05 -99 *1938:52 *2043:102 9.97552e-05 -100 *1938:67 *26367:B 7.6644e-05 -101 *1938:67 *26387:A2 0.000239712 -102 *1938:67 *26389:C1 1.92789e-05 -103 *1938:67 *26390:D1 1.65169e-05 -104 *1938:67 *26504:B1 0.000123288 -105 *1938:67 *26608:B 0 -106 *1938:67 *26656:A2 0.000182791 -107 *1938:67 *26670:B1 0.000114912 -108 *1938:75 *26390:A2 5.33005e-05 -109 *1938:75 *26391:D1 4.43256e-05 -110 *1938:75 *26670:B1 5.83233e-05 -111 *1938:75 *2005:69 0.000174797 -112 *1938:75 *2005:72 1.98839e-05 -113 *1938:75 *2312:19 0.000199691 -114 *1938:75 *2676:11 1.24368e-05 -115 *1938:75 *5545:22 4.00025e-05 -116 *1938:80 *2006:34 3.17148e-05 -117 *1938:80 *2006:36 0.000148903 -118 *1938:80 *2317:17 0.000171368 -119 *1938:151 *26519:A1 7.98242e-05 -120 *1938:151 *30825:A 1.58163e-05 -121 *1938:151 *1983:32 9.60939e-05 -122 *1938:151 *2343:22 2.59355e-05 -123 *1938:151 *5723:7 0.000135028 -124 *1938:159 *26519:A1 0.000216755 -125 *1938:159 *2297:44 0.000135028 -126 *1938:159 *2343:22 2.05612e-05 -127 *1188:102 *1938:13 0.000651815 -128 *1188:104 *26231:C 0.000835622 -129 *1188:104 *1938:13 0.00016641 -*RES -1 *25996:Y *1938:4 9.3 -2 *1938:4 *26231:C 17.6393 -3 *1938:4 *1938:13 21.4643 -4 *1938:13 *1938:14 2.85714 -5 *1938:14 *1938:19 16.8929 -6 *1938:19 *1938:30 18.0179 -7 *1938:30 *1938:36 19.8393 -8 *1938:36 *26720:A1 15.0857 -9 *1938:36 *1938:48 22.5179 -10 *1938:48 *1938:52 12.2143 -11 *1938:52 *26387:A1 11.4964 -12 *1938:52 *1938:67 19.4821 -13 *1938:67 *1938:75 15.1786 -14 *1938:75 *1938:80 11.25 -15 *1938:80 *26391:A1 30.2286 -16 *1938:80 *26392:A1 17.2286 -17 *1938:75 *26390:A1 10.6571 -18 *1938:67 *26389:A1 9.83571 -19 *1938:48 *26386:A1 15.5857 -20 *1938:30 *26617:A 14.925 -21 *1938:19 *26630:B2 10.675 -22 *1938:14 *1938:151 15.5 -23 *1938:151 *26402:A 9.3 -24 *1938:151 *1938:159 6.67857 -25 *1938:159 *26119:B 16.05 -26 *1938:159 *26157:B 16.6571 -27 *1938:13 *26379:B1 19.3536 -*END - -*D_NET *1939 0.00293319 -*CONN -*I *26118:B I *D sky130_fd_sc_hd__nor2_4 -*I *25998:B I *D sky130_fd_sc_hd__nor2_1 -*I *26156:B I *D sky130_fd_sc_hd__nor2_2 -*I *25997:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *26118:B 5.56606e-05 -2 *25998:B 0.000149805 -3 *26156:B 0.000184751 -4 *25997:X 5.86333e-05 -5 *1939:8 0.000406764 -6 *1939:6 0.000186503 -7 *25998:B *26662:A2 1.39726e-05 -8 *25998:B *26662:A3 9.00255e-05 -9 *25998:B *1962:30 0.000472721 -10 *26118:B *26723:A3 6.05161e-06 -11 *26118:B *2060:8 5.33005e-05 -12 *26118:B *2099:30 1.21258e-05 -13 *26156:B *26268:A 9.41642e-05 -14 *26156:B *26404:A 7.90803e-05 -15 *26156:B *1952:39 5.99803e-05 -16 *26156:B *2060:8 0.000232012 -17 *26156:B *2124:41 1.94879e-05 -18 *1939:6 *2060:8 3.06511e-05 -19 *1939:6 *2103:84 5.97719e-05 -20 *1939:6 *2124:41 0.00013851 -21 *1939:8 *2060:8 0.000138634 -22 *1939:8 *2124:41 0.000130011 -23 *25998:A *25998:B 0.000260574 -*RES -1 *25997:X *1939:6 15.7464 -2 *1939:6 *1939:8 1.94643 -3 *1939:8 *26156:B 17.9071 -4 *1939:8 *25998:B 18.8714 -5 *1939:6 *26118:B 14.7464 -*END - -*D_NET *1940 0.0178622 -*CONN -*I *26736:A I *D sky130_fd_sc_hd__or4_1 -*I *26225:D1 I *D sky130_fd_sc_hd__a2111o_1 -*I *25998:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26736:A 0.000819924 -2 *26225:D1 0.000650655 -3 *25998:Y 0 -4 *1940:32 0.0037938 -5 *1940:4 0.00362453 -6 *26225:D1 *26225:A1 0.000129913 -7 *26225:D1 *26225:B1 9.90431e-05 -8 *26225:D1 *26225:C1 5.71472e-05 -9 *26225:D1 *26662:A3 5.52238e-05 -10 *26225:D1 *1962:30 2.65242e-05 -11 *26225:D1 *2031:81 0.000766418 -12 *26225:D1 *2104:34 0.000767868 -13 *26225:D1 *2234:19 0.00018077 -14 *26225:D1 *4508:227 0.000185095 -15 *26736:A *26633:D 0.000100831 -16 *26736:A *26634:B 0.000186312 -17 *26736:A *26634:C 0.000560055 -18 *26736:A *26736:B 0.000150625 -19 *26736:A *26736:C 5.52238e-05 -20 *26736:A *26758:A 4.22135e-06 -21 *26736:A *26761:C 1.00375e-05 -22 *26736:A *26772:B 0.000357352 -23 *26736:A *26773:D1 0.000121573 -24 *26736:A *2544:14 0.000511886 -25 *26736:A *2632:12 3.53432e-05 -26 *26736:A *2674:17 2.59355e-05 -27 *26736:A *2696:8 4.83782e-05 -28 *1940:32 *26224:D1 0 -29 *1940:32 *26393:D1 1.49746e-05 -30 *1940:32 *26634:C 6.95508e-05 -31 *1940:32 *26742:D1 2.07158e-05 -32 *1940:32 *26758:A 0.000250945 -33 *1940:32 *1945:65 2.14687e-05 -34 *1940:32 *2019:94 0.000155877 -35 *1940:32 *2024:65 0.000157591 -36 *1940:32 *2169:64 0.000500749 -37 *1940:32 *2234:16 4.14498e-05 -38 *1940:32 *2250:64 1.78465e-05 -39 *1940:32 *2308:18 0.000488385 -40 *1940:32 *2569:6 0.000635824 -41 *1940:32 *5782:126 0.000214813 -42 *1940:32 *5782:149 0.000250919 -43 *1930:152 *1940:32 0.00169641 -*RES -1 *25998:Y *1940:4 9.3 -2 *1940:4 *26225:D1 36.0857 -3 *1940:4 *1940:32 44.0156 -4 *1940:32 *26736:A 36.5232 -*END - -*D_NET *1941 0.0184901 -*CONN -*I *30827:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *26462:A I *D sky130_fd_sc_hd__and2_1 -*I *26575:B I *D sky130_fd_sc_hd__and3_1 -*I *26682:A2 I *D sky130_fd_sc_hd__o21a_1 -*I *25999:Y O *D sky130_fd_sc_hd__nor3b_2 -*CAP -1 *30827:A 5.3323e-05 -2 *26462:A 0.000412625 -3 *26575:B 4.4884e-05 -4 *26682:A2 0.00032552 -5 *25999:Y 0.00043244 -6 *1941:50 0.00176924 -7 *1941:22 0.00203564 -8 *1941:18 0.00156258 -9 *1941:9 0.00164997 -10 *26462:A *26492:C 1.98839e-05 -11 *26462:A *26492:D 0.000247771 -12 *26462:A *26578:A 6.40487e-05 -13 *26462:A *26692:B 8.24993e-05 -14 *26462:A *5615:12 0.000111571 -15 *26682:A2 *26109:B 3.269e-05 -16 *26682:A2 *26659:A 9.60939e-05 -17 *26682:A2 *26659:B 0.000136958 -18 *26682:A2 *1950:22 0.000184187 -19 *26682:A2 *2598:8 0.000178847 -20 *1941:9 *4386:8 0 -21 *1941:9 *4397:34 1.02504e-05 -22 *1941:18 *26332:A 0.000267339 -23 *1941:18 *26332:B 7.72916e-05 -24 *1941:18 *26473:B1 5.64954e-05 -25 *1941:18 *26487:A 0.00167809 -26 *1941:18 *26687:A2 6.74663e-05 -27 *1941:18 *26687:B1 0 -28 *1941:18 *1942:14 0.000593112 -29 *1941:18 *4419:20 0.000319018 -30 *1941:22 *26487:A 2.48813e-05 -31 *1941:22 *26687:B1 0 -32 *1941:22 *2007:64 0.000820278 -33 *1941:22 *2078:11 0.000899162 -34 *1941:22 *2079:8 0 -35 *1941:22 *2427:21 0.00014833 -36 *1941:50 *26583:A 8.55871e-05 -37 *1941:50 *26583:B 0.000136958 -38 *1941:50 *26584:B 2.86754e-05 -39 *1941:50 *26685:A 0.000466816 -40 *1941:50 *26686:D 0.000210806 -41 *1941:50 *26751:A 3.8274e-05 -42 *1941:50 *26790:A2 0.00018077 -43 *1941:50 *1996:25 0.000128704 -44 *1941:50 *2022:101 1.90936e-05 -45 *1941:50 *2040:28 6.8646e-06 -46 *1941:50 *2040:30 8.51637e-06 -47 *1941:50 *2040:127 0.000936907 -48 *1941:50 *2053:21 2.04825e-05 -49 *1941:50 *2392:10 1.90936e-05 -50 *1941:50 *2517:13 0.000118545 -51 *1941:50 *2522:11 2.93936e-05 -52 *1941:50 *2522:21 5.61936e-05 -53 *1941:50 *2687:17 2.06178e-05 -54 *1941:50 *5782:183 2.59024e-05 -55 *25510:A *1941:9 0 -56 *30609:A *1941:18 0.000424486 -57 *1187:14 *26682:A2 0.000185712 -58 *1188:54 *26682:A2 9.0145e-05 -59 *1188:54 *1941:50 0.000194881 -60 *1790:17 *30827:A 4.57198e-05 -61 *1790:17 *1941:9 0.000479652 -62 *1930:25 *26462:A 0.000109484 -63 *1930:25 *26575:B 1.92905e-05 -*RES -1 *25999:Y *1941:9 24.2821 -2 *1941:9 *1941:18 38.7054 -3 *1941:18 *1941:22 17.2768 -4 *1941:22 *26682:A2 25.9071 -5 *1941:22 *1941:50 45.3778 -6 *1941:50 *26575:B 9.83571 -7 *1941:50 *26462:A 26.2821 -8 *1941:9 *30827:A 10.2643 -*END - -*D_NET *1942 0.0597703 -*CONN -*I *26301:A I *D sky130_fd_sc_hd__or2_1 -*I *26687:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26803:A1 I *D sky130_fd_sc_hd__o2111a_1 -*I *26006:A I *D sky130_fd_sc_hd__nor2_1 -*I *26542:A1 I *D sky130_fd_sc_hd__a21oi_2 -*I *26116:A I *D sky130_fd_sc_hd__or3_1 -*I *26283:A I *D sky130_fd_sc_hd__nor2_1 -*I *26680:A1 I *D sky130_fd_sc_hd__o211a_1 -*I *26284:A I *D sky130_fd_sc_hd__and2_2 -*I *26313:A I *D sky130_fd_sc_hd__or2_1 -*I *26285:A I *D sky130_fd_sc_hd__or2_2 -*I *26306:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *26290:A I *D sky130_fd_sc_hd__nor2_1 -*I *26647:A I *D sky130_fd_sc_hd__and3_2 -*I *26000:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *26301:A 3.62004e-05 -2 *26687:A1 0 -3 *26803:A1 0 -4 *26006:A 2.93661e-05 -5 *26542:A1 0.000349 -6 *26116:A 2.23854e-05 -7 *26283:A 3.08126e-05 -8 *26680:A1 6.11274e-05 -9 *26284:A 0 -10 *26313:A 0.000216234 -11 *26285:A 0 -12 *26306:A1 0.000150709 -13 *26290:A 2.04425e-05 -14 *26647:A 9.89298e-05 -15 *26000:Y 0.00101679 -16 *1942:192 0.000843553 -17 *1942:179 0.00131634 -18 *1942:169 0.00181502 -19 *1942:146 0.00134479 -20 *1942:115 0.000752983 -21 *1942:106 0.00169684 -22 *1942:95 0.00124406 -23 *1942:70 0.0021092 -24 *1942:54 0.00244219 -25 *1942:45 0.00193198 -26 *1942:35 0.00215234 -27 *1942:25 0.00216016 -28 *1942:14 0.00233308 -29 *1942:7 0.00235904 -30 *26006:A *2595:15 3.23206e-05 -31 *26283:A *2187:73 0.000139907 -32 *26290:A *26290:B 5.33005e-05 -33 *26290:A *5782:46 2.59355e-05 -34 *26301:A *26678:B 5.7661e-06 -35 *26306:A1 *26323:A 0.000175892 -36 *26306:A1 *2144:15 0.000111216 -37 *26306:A1 *6180:10 2.59355e-05 -38 *26313:A *26313:B 7.77652e-05 -39 *26313:A *26335:B1 5.52238e-05 -40 *26313:A *2220:33 0 -41 *26313:A *6214:10 4.88637e-05 -42 *26313:A *6291:13 0 -43 *26542:A1 *1948:16 0.000502184 -44 *26542:A1 *2169:16 0.000503906 -45 *26542:A1 *2526:18 0.000118315 -46 *26542:A1 *2595:15 5.72496e-05 -47 *26647:A *26190:A 7.8195e-05 -48 *26680:A1 *26680:A2 5.13787e-05 -49 *26680:A1 *26680:B1 0.000149996 -50 *1942:7 *26333:B1 8.81471e-06 -51 *1942:7 *26678:A 7.48301e-06 -52 *1942:7 *26678:B 9.24825e-05 -53 *1942:7 *26786:A 1.40306e-05 -54 *1942:7 *26786:B 0.000271345 -55 *1942:7 *27991:B1 3.11905e-05 -56 *1942:7 *2617:5 9.31893e-05 -57 *1942:14 *25509:C_N 0.000399841 -58 *1942:14 *26332:D 7.09516e-05 -59 *1942:14 *26333:B1 8.28147e-05 -60 *1942:14 *26473:A1 0 -61 *1942:14 *26474:B 9.74883e-05 -62 *1942:14 *26687:A2 9.25014e-06 -63 *1942:14 *26687:B1 9.11365e-06 -64 *1942:14 *26688:D 8.06427e-05 -65 *1942:14 *26748:A 0 -66 *1942:14 *26748:D_N 0 -67 *1942:14 *26785:A2 0.000192983 -68 *1942:14 *2427:21 0.000110849 -69 *1942:25 *26011:A 0.00013575 -70 *1942:25 *26139:A 5.96398e-05 -71 *1942:25 *26450:B1 0.000388876 -72 *1942:25 *26487:A 0.000783128 -73 *1942:25 *1951:10 8.60813e-05 -74 *1942:25 *1996:25 0.000764597 -75 *1942:25 *2016:8 0.000322159 -76 *1942:25 *2016:14 4.04292e-05 -77 *1942:25 *2038:193 0.000751396 -78 *1942:25 *2040:17 9.26104e-05 -79 *1942:25 *2078:11 0.000495138 -80 *1942:25 *2080:8 0.000263807 -81 *1942:25 *6180:11 9.0145e-05 -82 *1942:35 *26126:A 3.02801e-05 -83 *1942:35 *26480:A1 0.000134473 -84 *1942:35 *26680:B1 0.00021846 -85 *1942:35 *26680:C1 5.51531e-05 -86 *1942:35 *26681:B1 3.50949e-06 -87 *1942:35 *26789:D 6.94441e-06 -88 *1942:35 *1996:25 0.000551728 -89 *1942:35 *2018:18 0 -90 *1942:35 *2038:193 0.000823721 -91 *1942:35 *2051:8 4.64488e-06 -92 *1942:35 *2154:143 0.000119249 -93 *1942:45 *26108:B 4.58194e-05 -94 *1942:45 *26460:A 6.30931e-05 -95 *1942:45 *26680:A2 0.00252779 -96 *1942:45 *2033:19 5.96516e-05 -97 *1942:45 *2038:87 0.00034549 -98 *1942:45 *4475:34 0.000929122 -99 *1942:54 *2152:125 0.000942952 -100 *1942:54 *5722:50 7.83479e-05 -101 *1942:54 *5722:69 0.00073583 -102 *1942:70 *25968:B 1.56937e-05 -103 *1942:70 *26293:B2 1.90936e-05 -104 *1942:70 *1965:8 0.000193349 -105 *1942:70 *2127:15 8.22793e-06 -106 *1942:70 *2130:46 0.000159512 -107 *1942:70 *2142:10 1.27625e-05 -108 *1942:70 *2142:12 0.000767388 -109 *1942:70 *2152:125 0.000506032 -110 *1942:70 *2159:117 0 -111 *1942:70 *2230:19 0 -112 *1942:70 *2230:20 0.00107837 -113 *1942:70 *2346:38 0.000725612 -114 *1942:70 *2484:20 0.000628516 -115 *1942:70 *5722:69 0.00049361 -116 *1942:95 *26284:B 5.65955e-05 -117 *1942:95 *2152:125 8.54483e-05 -118 *1942:95 *2217:38 0.000212363 -119 *1942:95 *2226:7 8.67936e-05 -120 *1942:95 *2226:62 0.000329077 -121 *1942:106 *26284:B 9.59532e-06 -122 *1942:106 *26321:C1 0.000248486 -123 *1942:106 *2032:127 1.00375e-05 -124 *1942:106 *2154:7 0.000472299 -125 *1942:106 *2204:28 0 -126 *1942:106 *2204:30 0.000565836 -127 *1942:106 *2217:38 2.84109e-05 -128 *1942:106 *2226:7 2.976e-05 -129 *1942:106 *2228:34 2.49484e-05 -130 *1942:106 *2277:8 0.000565159 -131 *1942:106 *4353:48 0.000131197 -132 *1942:106 *6169:44 0 -133 *1942:106 *6180:10 0.000148189 -134 *1942:115 *1956:42 0 -135 *1942:115 *1956:47 0 -136 *1942:115 *2032:127 7.89825e-05 -137 *1942:115 *2217:13 0.000342488 -138 *1942:115 *2220:33 0 -139 *1942:115 *2228:34 0.000195481 -140 *1942:115 *2228:46 0.000128283 -141 *1942:115 *2277:8 0.000459727 -142 *1942:146 *26452:A3 8.23185e-05 -143 *1942:146 *26689:D 0.000315165 -144 *1942:146 *1952:22 0.000111775 -145 *1942:146 *1989:95 0.000441725 -146 *1942:146 *2498:8 0.000187719 -147 *1942:146 *2598:8 0.000124704 -148 *1942:169 *26485:B1 0.000432461 -149 *1942:169 *26566:A 2.49484e-05 -150 *1942:169 *26803:A2 0.000122591 -151 *1942:169 *26803:C1 1.98839e-05 -152 *1942:169 *26804:A_N 0.000201483 -153 *1942:169 *26804:B_N 2.87485e-05 -154 *1942:169 *26804:D 0.000924065 -155 *1942:169 *2011:140 0.000242927 -156 *1942:169 *2036:17 9.60875e-05 -157 *1942:169 *2053:21 0.000128323 -158 *1942:169 *2187:73 0.000219841 -159 *1942:169 *2190:134 0.000137983 -160 *1942:169 *2628:8 0.00041304 -161 *1942:169 *2684:11 0.00024614 -162 *1942:169 *2726:11 0.000108525 -163 *1942:179 *26295:B 8.25843e-06 -164 *1942:179 *2237:11 0.0001064 -165 *1942:192 *26006:B 1.02504e-05 -166 *1942:192 *26576:A 0.000313443 -167 *1942:192 *2040:49 1.81709e-05 -168 *1942:192 *2045:102 0.000358373 -169 *1942:192 *2058:7 0.00076825 -170 *1942:192 *2237:11 0.000187931 -171 *26108:C_N *26680:A1 8.43386e-05 -172 *26108:C_N *1942:45 0.000259318 -173 *30609:A *1942:14 0.000420924 -174 *30639:A *1942:7 5.41609e-05 -175 *1862:22 *26283:A 6.87574e-05 -176 *1862:22 *1942:169 0.000572278 -177 *1928:14 *1942:45 6.24939e-05 -178 *1931:10 *1942:54 0.000108802 -179 *1931:10 *1942:95 7.78466e-05 -180 *1941:18 *1942:14 0.000593112 -*RES -1 *26000:Y *1942:7 22.9964 -2 *1942:7 *1942:14 39.1429 -3 *1942:14 *1942:25 33.1375 -4 *1942:25 *1942:35 17.2274 -5 *1942:35 *1942:45 19.0201 -6 *1942:45 *1942:54 14.3482 -7 *1942:54 *1942:70 47.7468 -8 *1942:70 *26647:A 15.5857 -9 *1942:54 *26290:A 14.3357 -10 *1942:45 *1942:95 11.7054 -11 *1942:95 *1942:106 38.9643 -12 *1942:106 *26306:A1 16.8 -13 *1942:106 *1942:115 16.2143 -14 *1942:115 *26285:A 13.8 -15 *1942:115 *26313:A 18.3179 -16 *1942:95 *26284:A 9.3 -17 *1942:35 *26680:A1 11.4964 -18 *1942:25 *1942:146 17.9011 -19 *1942:146 *26283:A 10.6571 -20 *1942:146 *1942:169 39.5893 -21 *1942:169 *1942:179 10.0536 -22 *1942:179 *26116:A 9.72857 -23 *1942:179 *1942:192 22.0179 -24 *1942:192 *26542:A1 27.3 -25 *1942:192 *26006:A 9.83571 -26 *1942:169 *26803:A1 9.3 -27 *1942:14 *26687:A1 9.3 -28 *1942:7 *26301:A 10.0321 -*END - -*D_NET *1943 0.0277409 -*CONN -*I *26005:A I *D sky130_fd_sc_hd__nand2_1 -*I *26636:A1 I *D sky130_fd_sc_hd__a31o_1 -*I *26281:A I *D sky130_fd_sc_hd__and3_1 -*I *26723:A1 I *D sky130_fd_sc_hd__a311o_1 -*I *26001:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *26005:A 0.000125183 -2 *26636:A1 0 -3 *26281:A 0.000164682 -4 *26723:A1 0.000107179 -5 *26001:Y 0.000327483 -6 *1943:40 0.00113562 -7 *1943:37 0.00355363 -8 *1943:36 0.00332003 -9 *1943:18 0.00139331 -10 *1943:9 0.00247613 -11 *26005:A *26273:A1 1.58163e-05 -12 *26281:A *26281:C 0.000101271 -13 *26281:A *2016:65 4.38243e-05 -14 *26281:A *2223:8 0.000103974 -15 *26281:A *2237:33 4.25042e-05 -16 *26281:A *2483:8 0.000244486 -17 *26723:A1 *26723:A3 0.000241518 -18 *26723:A1 *26723:B1 8.32577e-05 -19 *26723:A1 *26723:C1 9.60939e-05 -20 *26723:A1 *2099:30 9.99644e-06 -21 *26723:A1 *2394:11 8.43535e-06 -22 *1943:9 *26059:B 0.000245335 -23 *1943:9 *1969:12 1.08359e-05 -24 *1943:9 *1970:9 3.34366e-05 -25 *1943:9 *1984:12 0.000350001 -26 *1943:9 *2026:16 4.84667e-05 -27 *1943:18 *26039:A 5.01117e-05 -28 *1943:18 *26058:D 5.9503e-05 -29 *1943:18 *26196:A2 0.000183726 -30 *1943:18 *26357:A2 0.00163929 -31 *1943:18 *26662:A3 8.44271e-06 -32 *1943:18 *26723:C1 5.55449e-05 -33 *1943:18 *26742:C1 0.000280548 -34 *1943:18 *1953:57 0.000292561 -35 *1943:18 *1964:28 0.000123288 -36 *1943:18 *1966:39 0.000108095 -37 *1943:18 *1972:11 0.000154936 -38 *1943:18 *1984:12 1.20719e-05 -39 *1943:18 *2023:15 0 -40 *1943:18 *2103:82 6.72518e-05 -41 *1943:18 *2103:84 0.000193125 -42 *1943:18 *2111:69 0.000131604 -43 *1943:18 *2124:41 3.34783e-05 -44 *1943:18 *2127:77 0.000125724 -45 *1943:18 *2234:16 0.000269438 -46 *1943:18 *2250:64 0.000204649 -47 *1943:18 *4189:67 2.74584e-05 -48 *1943:36 *1950:37 7.6644e-05 -49 *1943:36 *1952:22 7.83587e-05 -50 *1943:36 *1981:11 0.000104075 -51 *1943:36 *1981:18 0.000260574 -52 *1943:36 *1986:35 0.00035013 -53 *1943:37 *26280:B 0.000178425 -54 *1943:37 *1946:195 1.21258e-05 -55 *1943:37 *1946:208 0.00141683 -56 *1943:37 *2053:21 9.41642e-05 -57 *1943:37 *5723:75 0.000451028 -58 *1943:40 *26006:B 7.05447e-05 -59 *1943:40 *26447:C 0.000125724 -60 *1943:40 *26542:B1 0.000197269 -61 *1943:40 *1947:6 0.000166976 -62 *1943:40 *1948:16 0.000956483 -63 *1943:40 *2016:65 0.000488038 -64 *1943:40 *2040:50 1.91414e-05 -65 *1943:40 *2169:16 0.000299191 -66 *1943:40 *2169:24 0.000120151 -67 *1943:40 *2169:64 1.94945e-05 -68 *1943:40 *2237:26 7.48521e-05 -69 *1943:40 *2237:28 0.000295276 -70 *1943:40 *2304:10 9.99931e-06 -71 *1943:40 *2483:8 0.00260195 -72 *1943:40 *5615:38 0.000720675 -73 *26028:B *1943:9 3.06878e-06 -74 *26028:B *1943:36 9.33978e-07 -75 *26118:A *1943:18 2.70899e-05 -76 *26170:A *1943:9 6.05161e-06 -77 *1171:19 *1943:9 3.63775e-05 -78 *1393:39 *26281:A 0.000175892 -*RES -1 *26001:Y *1943:9 25.3536 -2 *1943:9 *1943:18 47.2321 -3 *1943:18 *26723:A1 16.9429 -4 *1943:9 *1943:36 20.4286 -5 *1943:36 *1943:37 30.9286 -6 *1943:37 *1943:40 44.0893 -7 *1943:40 *26281:A 18.7286 -8 *1943:40 *26636:A1 13.8 -9 *1943:37 *26005:A 10.9786 -*END - -*D_NET *1944 0.0386644 -*CONN -*I *26116:B I *D sky130_fd_sc_hd__or3_1 -*I *26345:A I *D sky130_fd_sc_hd__or3_2 -*I *26336:A I *D sky130_fd_sc_hd__or3_1 -*I *26101:A I *D sky130_fd_sc_hd__or2_4 -*I *26105:A I *D sky130_fd_sc_hd__or2_4 -*I *26642:A I *D sky130_fd_sc_hd__nor3_1 -*I *26699:A1 I *D sky130_fd_sc_hd__o2111ai_4 -*I *26002:X O *D sky130_fd_sc_hd__or2_4 -*CAP -1 *26116:B 0 -2 *26345:A 0.000188096 -3 *26336:A 0.00102978 -4 *26101:A 9.788e-06 -5 *26105:A 0.000313098 -6 *26642:A 0.000118806 -7 *26699:A1 0 -8 *26002:X 0 -9 *1944:122 0.00169601 -10 *1944:116 0.00215361 -11 *1944:52 0.000758129 -12 *1944:43 0.00518199 -13 *1944:28 0.00434174 -14 *1944:23 0.00301467 -15 *1944:4 0.00252819 -16 *26101:A *26288:B 5.49544e-05 -17 *26101:A *26527:B1 5.65955e-05 -18 *26105:A *26544:C1 4.22135e-06 -19 *26105:A *2046:77 2.93e-05 -20 *26105:A *2046:88 0.000245684 -21 *26105:A *2346:38 5.31465e-05 -22 *26105:A *2635:11 1.37595e-05 -23 *26105:A *3461:13 0.000135028 -24 *26336:A *26336:B 7.51141e-05 -25 *26336:A *26336:C 9.41642e-05 -26 *26336:A *2047:103 0.000129868 -27 *26336:A *2136:10 1.9774e-05 -28 *26336:A *2136:36 0.000416334 -29 *26336:A *2147:55 4.70193e-05 -30 *26336:A *2151:15 0.000126439 -31 *26336:A *2220:40 2.28598e-05 -32 *26336:A *2224:20 6.45518e-05 -33 *26336:A *2226:31 0 -34 *26336:A *2235:10 3.04311e-05 -35 *26336:A *2279:7 0.000510816 -36 *26336:A *6169:44 1.91823e-05 -37 *26345:A *26116:C 0.000315172 -38 *26345:A *26295:B 7.69776e-06 -39 *26345:A *26311:B 0.000247057 -40 *26345:A *1946:208 2.72449e-05 -41 *26345:A *2022:101 0 -42 *26345:A *2043:166 7.08001e-05 -43 *26642:A *26642:B 9.58181e-05 -44 *26642:A *26652:A1 0.000140856 -45 *26642:A *28967:A 0.000165988 -46 *26642:A *2151:31 0.000140088 -47 *26642:A *2151:53 1.38323e-05 -48 *26642:A *2638:11 3.18747e-05 -49 *1944:23 *26044:B 0.000139907 -50 *1944:23 *26293:B2 0.0011179 -51 *1944:23 *26476:A2 0.000490573 -52 *1944:23 *26482:A1 6.22473e-05 -53 *1944:23 *26483:B1 0 -54 *1944:23 *26562:B1 7.17235e-05 -55 *1944:23 *1955:77 0.000410712 -56 *1944:23 *1986:21 0.000358971 -57 *1944:23 *2047:113 5.69532e-05 -58 *1944:23 *2131:22 4.88232e-05 -59 *1944:23 *2233:37 0.00020016 -60 *1944:23 *4353:68 0.000314516 -61 *1944:23 *4552:105 2.41653e-05 -62 *1944:28 *26293:B1 4.19624e-06 -63 *1944:28 *2042:20 0.000178771 -64 *1944:28 *2136:36 6.20458e-05 -65 *1944:28 *2136:43 1.30583e-05 -66 *1944:43 *26699:A2 0.000135968 -67 *1944:43 *2038:30 0.001202 -68 *1944:43 *2038:58 0.000346477 -69 *1944:43 *2042:20 8.6229e-06 -70 *1944:43 *2046:88 6.14836e-06 -71 *1944:43 *2136:43 7.69776e-06 -72 *1944:43 *2147:61 0.000346477 -73 *1944:43 *2151:22 0.000353612 -74 *1944:43 *2155:25 0.000407939 -75 *1944:43 *2177:25 0.000140144 -76 *1944:43 *2204:38 0.00148827 -77 *1944:43 *2204:46 0.000325678 -78 *1944:43 *2226:31 0.000153078 -79 *1944:43 *5664:53 0.000124637 -80 *1944:43 *6169:44 2.30116e-06 -81 *1944:52 *26652:A1 7.6997e-05 -82 *1944:52 *2046:88 0.000284346 -83 *1944:52 *2151:31 3.61682e-05 -84 *1944:52 *2177:25 1.58302e-05 -85 *1944:52 *2226:38 0.000158918 -86 *1944:116 *26130:B 2.68801e-05 -87 *1944:116 *26283:B 9.16325e-06 -88 *1944:116 *26464:A1 9.41642e-05 -89 *1944:116 *26475:A2 0.000390987 -90 *1944:116 *26476:A2 0.000251673 -91 *1944:116 *1955:99 0.00076571 -92 *1944:116 *2007:64 3.69697e-05 -93 *1944:116 *2016:14 7.64776e-05 -94 *1944:116 *2043:143 0.00110045 -95 *1944:116 *2051:41 0.000183539 -96 *1944:116 *2078:11 6.05161e-06 -97 *1944:122 *26311:B 9.62734e-05 -98 *1944:122 *2011:140 7.6644e-05 -99 *1944:122 *2022:101 9.32873e-05 -100 *1944:122 *2136:158 0.00102883 -101 *1944:122 *2136:166 0.000229676 -102 *26002:A *1944:23 5.33005e-05 -103 *26002:B *1944:23 7.38616e-05 -104 *1186:19 *1944:23 9.60939e-05 -105 *1186:40 *1944:23 0 -106 *1771:43 *1944:23 1.90936e-05 -107 *1907:27 *1944:23 1.90936e-05 -108 *1935:26 *1944:43 2.05803e-05 -*RES -1 *26002:X *1944:4 9.3 -2 *1944:4 *1944:23 30.5839 -3 *1944:23 *1944:28 2.55357 -4 *1944:28 *1944:43 21.8401 -5 *1944:43 *1944:52 10.8887 -6 *1944:52 *26699:A1 9.3 -7 *1944:52 *26642:A 13.1393 -8 *1944:43 *26105:A 20.287 -9 *1944:28 *26101:A 14.3357 -10 *1944:23 *26336:A 49.1929 -11 *1944:4 *1944:116 37.0357 -12 *1944:116 *1944:122 25.4643 -13 *1944:122 *26345:A 19.3893 -14 *1944:122 *26116:B 13.8 -*END - -*D_NET *1945 0.0225345 -*CONN -*I *26723:A2 I *D sky130_fd_sc_hd__a311o_1 -*I *26356:A I *D sky130_fd_sc_hd__and3_1 -*I *30825:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *26021:B I *D sky130_fd_sc_hd__nand2_1 -*I *26291:B I *D sky130_fd_sc_hd__and3b_1 -*I *26003:Y O *D sky130_fd_sc_hd__nor4_4 -*CAP -1 *26723:A2 0.000106701 -2 *26356:A 9.92741e-05 -3 *30825:A 3.34397e-05 -4 *26021:B 0.000167778 -5 *26291:B 0.000274239 -6 *26003:Y 3.45455e-05 -7 *1945:65 0.00184687 -8 *1945:54 0.0020928 -9 *1945:47 0.0029906 -10 *1945:13 0.000634131 -11 *1945:10 0.00294531 -12 *26021:B *2157:8 0.00036452 -13 *26021:B *4530:46 0.000370617 -14 *26291:B *2157:8 0.000153742 -15 *26291:B *2279:7 0.000262504 -16 *26291:B *4530:46 1.10632e-05 -17 *26291:B *4530:48 0.000140518 -18 *26356:A *2004:13 0.000232159 -19 *26356:A *2044:18 5.33005e-05 -20 *26356:A *2052:28 0.000240127 -21 *26356:A *2297:19 5.33005e-05 -22 *26723:A2 *26723:A3 5.38016e-05 -23 *26723:A2 *26723:B1 0.0001305 -24 *26723:A2 *2662:13 0.000122591 -25 *1945:10 *2131:22 2.11419e-05 -26 *1945:10 *2187:11 1.21258e-05 -27 *1945:10 *2260:33 2.59355e-05 -28 *1945:10 *5673:264 1.94945e-05 -29 *1945:10 *5782:46 6.05161e-06 -30 *1945:13 *2147:136 0.000380121 -31 *1945:47 *26178:A1 0.000157334 -32 *1945:47 *26178:A2 1.18451e-05 -33 *1945:47 *26225:B1 0.000287 -34 *1945:47 *1963:8 0.000178709 -35 *1945:47 *2032:169 0.000133769 -36 *1945:47 *2113:18 0.000886872 -37 *1945:47 *2113:23 0.000172681 -38 *1945:47 *2120:21 1.74806e-05 -39 *1945:47 *2126:8 0.00140081 -40 *1945:47 *2131:22 0.00149131 -41 *1945:47 *2147:136 2.02018e-05 -42 *1945:47 *2221:8 0.000265378 -43 *1945:47 *2357:13 0.00026367 -44 *1945:47 *4552:105 0 -45 *1945:47 *4552:132 2.11419e-05 -46 *1945:47 *5673:264 0.000154703 -47 *1945:54 *26723:B1 0.000213024 -48 *1945:54 *2344:8 6.05161e-06 -49 *1945:54 *2394:11 0.000105559 -50 *1945:54 *2662:13 1.24368e-05 -51 *1945:65 *26357:B1 0 -52 *1945:65 *1946:173 0 -53 *1945:65 *2004:13 2.11419e-05 -54 *1945:65 *2052:28 1.94879e-05 -55 *1945:65 *2099:13 0.00103806 -56 *1945:65 *2099:18 0.000381416 -57 *1945:65 *2110:18 2.06178e-05 -58 *1945:65 *2139:30 2.22043e-05 -59 *1945:65 *2234:16 0.000504234 -60 *1945:65 *2250:64 6.90595e-05 -61 *1945:65 *5782:126 7.59572e-05 -62 *26191:A *1945:47 0.000177815 -63 *1186:40 *1945:47 0.000263108 -64 *1771:29 *1945:47 0.000118143 -65 *1932:31 *1945:13 1.25084e-05 -66 *1934:13 *26021:B 9.41642e-05 -67 *1938:151 *30825:A 1.58163e-05 -68 *1940:32 *1945:65 2.14687e-05 -*RES -1 *26003:Y *1945:10 18.0225 -2 *1945:10 *1945:13 4.03353 -3 *1945:13 *26291:B 18.4875 -4 *1945:13 *26021:B 19.5768 -5 *1945:10 *1945:47 49.8969 -6 *1945:47 *1945:54 9.69643 -7 *1945:54 *1945:65 16.7452 -8 *1945:65 *30825:A 14.3357 -9 *1945:65 *26356:A 17.4964 -10 *1945:54 *26723:A2 11.8759 -*END - -*D_NET *1946 0.0713326 -*CONN -*I *26124:C1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26312:A I *D sky130_fd_sc_hd__or3_1 -*I *26543:A1 I *D sky130_fd_sc_hd__o21ba_1 -*I *26116:C I *D sky130_fd_sc_hd__or3_1 -*I *26345:B I *D sky130_fd_sc_hd__or3_2 -*I *26280:A I *D sky130_fd_sc_hd__nor2_1 -*I *26292:A I *D sky130_fd_sc_hd__or2_2 -*I *26196:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26605:A I *D sky130_fd_sc_hd__nor3_1 -*I *26598:C1 I *D sky130_fd_sc_hd__a211oi_1 -*I *26530:A I *D sky130_fd_sc_hd__nor3_1 -*I *26282:A I *D sky130_fd_sc_hd__or2_1 -*I *26645:A I *D sky130_fd_sc_hd__or3_1 -*I *26698:A1 I *D sky130_fd_sc_hd__o21a_1 -*I *26020:C I *D sky130_fd_sc_hd__or3_2 -*I *26004:X O *D sky130_fd_sc_hd__or4_4 -*CAP -1 *26124:C1 0.00140453 -2 *26312:A 0.000685836 -3 *26543:A1 0.000138578 -4 *26116:C 0.000145474 -5 *26345:B 5.05023e-05 -6 *26280:A 0 -7 *26292:A 6.3356e-05 -8 *26196:A1 5.59451e-05 -9 *26605:A 0.000379618 -10 *26598:C1 2.23854e-05 -11 *26530:A 0 -12 *26282:A 0.000405188 -13 *26645:A 6.74565e-05 -14 *26698:A1 0.000350474 -15 *26020:C 0.000823045 -16 *26004:X 0.000282858 -17 *1946:208 0.00120304 -18 *1946:195 0.00228477 -19 *1946:173 0.00278849 -20 *1946:154 0.00230113 -21 *1946:131 0.00102583 -22 *1946:105 0.00124032 -23 *1946:98 0.00162727 -24 *1946:81 0.00199672 -25 *1946:61 0.00154612 -26 *1946:53 0.00200016 -27 *1946:29 0.00282792 -28 *1946:18 0.00180351 -29 *1946:16 0.0014744 -30 *1946:15 0.00160401 -31 *1946:8 0.00268294 -32 *26020:C *26185:A 0 -33 *26020:C *1962:8 0.000134218 -34 *26020:C *1965:31 0 -35 *26020:C *2161:35 2.26973e-05 -36 *26020:C *6291:95 5.29004e-05 -37 *26116:C *1967:50 6.1684e-05 -38 *26116:C *1997:61 2.11362e-05 -39 *26116:C *2022:101 4.81698e-05 -40 *26124:C1 *25966:B 9.25014e-06 -41 *26124:C1 *26124:D1 5.32956e-05 -42 *26124:C1 *2033:12 9.8232e-05 -43 *26124:C1 *4508:285 0.000164936 -44 *26124:C1 *4530:194 8.51829e-05 -45 *26124:C1 *4541:17 0.00121793 -46 *26196:A1 *26196:B1 0.000175892 -47 *26196:A1 *2131:45 0.000175892 -48 *26282:A *26729:C1 0.000537314 -49 *26282:A *2144:68 0.000190178 -50 *26282:A *2224:8 9.80173e-05 -51 *26282:A *2592:30 0.000190178 -52 *26292:A *26292:B 5.78829e-05 -53 *26312:A *26289:A 0.000307336 -54 *26312:A *2111:13 0.00147283 -55 *26312:A *2151:15 0 -56 *26312:A *2220:45 0.000263687 -57 *26312:A *2231:7 4.53295e-05 -58 *26312:A *6158:24 0.000389868 -59 *26345:B *2595:15 1.07719e-05 -60 *26543:A1 *6291:80 6.05161e-06 -61 *26605:A *26598:A1 0.000235141 -62 *26605:A *1952:39 0.00016834 -63 *26605:A *1953:203 2.89114e-05 -64 *26605:A *2060:10 8.20967e-05 -65 *26605:A *2345:7 9.41642e-05 -66 *26605:A *3510:21 5.33005e-05 -67 *26645:A *26530:C 0.000219289 -68 *26645:A *26645:B 0.000178425 -69 *26645:A *2220:69 1.24368e-05 -70 *26698:A1 *26269:B 0.000303542 -71 *26698:A1 *26696:A1 0.000266853 -72 *26698:A1 *26698:A2 4.60153e-05 -73 *26698:A1 *26698:B1 1.51489e-05 -74 *26698:A1 *2162:17 0.000142061 -75 *26698:A1 *2176:27 0.000175892 -76 *1946:8 *2141:52 6.98515e-05 -77 *1946:8 *4519:8 3.17148e-05 -78 *1946:8 *4519:11 0.000262491 -79 *1946:15 *26676:B2 0.000977035 -80 *1946:15 *2048:36 6.8486e-05 -81 *1946:15 *2141:52 0.000294776 -82 *1946:15 *2154:111 0.000177377 -83 *1946:15 *2227:34 5.88598e-05 -84 *1946:15 *5782:20 0.000108996 -85 *1946:15 *6291:40 4.98973e-05 -86 *1946:15 *6291:54 0.000143128 -87 *1946:16 *2047:113 1.17968e-05 -88 *1946:16 *2048:10 0.00106015 -89 *1946:16 *2054:51 0.000849219 -90 *1946:16 *2054:53 0.000120541 -91 *1946:16 *2130:27 2.50556e-05 -92 *1946:18 *2048:10 0.000570794 -93 *1946:29 *2048:10 0.000120904 -94 *1946:29 *2470:11 0.000103244 -95 *1946:29 *4508:132 0.000490461 -96 *1946:29 *4530:72 0 -97 *1946:29 *4552:105 0 -98 *1946:29 *6291:88 0.000102327 -99 *1946:29 *6291:95 4.53109e-06 -100 *1946:53 *2176:27 0.000300088 -101 *1946:53 *2470:11 0.000436498 -102 *1946:61 *2046:66 9.65075e-05 -103 *1946:61 *2046:77 0.000327626 -104 *1946:61 *2176:27 0.000844088 -105 *1946:81 *26267:A2 1.02821e-05 -106 *1946:81 *26267:B1 0.000127647 -107 *1946:81 *26268:D_N 0.000175892 -108 *1946:81 *26549:A 5.33005e-05 -109 *1946:81 *26644:A2 0.000481858 -110 *1946:81 *2046:77 2.49484e-05 -111 *1946:81 *2161:35 3.63775e-05 -112 *1946:81 *2175:11 8.17274e-05 -113 *1946:81 *2470:11 0.00014538 -114 *1946:81 *5722:112 0.000223282 -115 *1946:98 *2049:10 6.8279e-05 -116 *1946:98 *2127:27 0 -117 *1946:98 *2132:21 3.4323e-06 -118 *1946:98 *2141:134 0.000156295 -119 *1946:98 *2142:26 0.000106386 -120 *1946:98 *2175:22 0.000784011 -121 *1946:98 *2187:122 1.90936e-05 -122 *1946:98 *2484:20 1.98148e-05 -123 *1946:98 *2490:23 2.63501e-05 -124 *1946:98 *5673:28 0.000251915 -125 *1946:98 *5673:251 6.62655e-05 -126 *1946:105 *26530:B 0.000222244 -127 *1946:105 *26530:C 0.000625229 -128 *1946:105 *26645:B 0.000209378 -129 *1946:105 *26646:B1 9.35554e-05 -130 *1946:105 *2212:29 2.77258e-05 -131 *1946:105 *6169:62 9.58126e-05 -132 *1946:131 *26407:B 1.98839e-05 -133 *1946:131 *26430:A2 6.94127e-05 -134 *1946:131 *26430:A3 0.000224583 -135 *1946:131 *26598:A1 4.87854e-05 -136 *1946:131 *26598:A2 6.57032e-05 -137 *1946:131 *26598:B1 0.000501178 -138 *1946:131 *2175:11 0.000638018 -139 *1946:131 *5722:112 0.000918431 -140 *1946:154 *2141:134 0.000128748 -141 *1946:154 *2157:81 0.000107155 -142 *1946:154 *2221:8 6.74867e-05 -143 *1946:154 *2357:13 6.57648e-05 -144 *1946:154 *4508:132 0 -145 *1946:154 *4508:202 0 -146 *1946:173 *26357:A2 0.000106431 -147 *1946:173 *1952:34 0.000162728 -148 *1946:173 *1984:12 4.27631e-05 -149 *1946:173 *2026:10 0.000219657 -150 *1946:173 *2124:26 7.252e-05 -151 *1946:173 *2221:8 3.49187e-05 -152 *1946:173 *2250:64 3.74833e-05 -153 *1946:173 *2357:13 4.15183e-05 -154 *1946:173 *4508:202 6.37188e-06 -155 *1946:173 *5782:116 0.000422713 -156 *1946:195 *26039:A 1.69961e-05 -157 *1946:195 *26039:B 0.000180777 -158 *1946:195 *26183:A 2.32591e-05 -159 *1946:195 *26183:B 0.000152333 -160 *1946:195 *26280:B 4.68586e-05 -161 *1946:195 *1967:12 0.000304524 -162 *1946:195 *1981:11 0.00014183 -163 *1946:195 *1984:12 7.03476e-05 -164 *1946:195 *1990:81 2.90164e-05 -165 *1946:195 *2000:16 0.000187335 -166 *1946:195 *2026:10 0.000625079 -167 *1946:195 *2051:41 0.000148475 -168 *1946:195 *2112:24 0.000189178 -169 *1946:195 *2133:56 0.000760885 -170 *1946:195 *2222:11 7.02611e-05 -171 *1946:208 *26280:B 9.77423e-05 -172 *1946:208 *26345:C 0.000148196 -173 *1946:208 *1955:115 7.02611e-05 -174 *1946:208 *1967:50 0.000222878 -175 *1946:208 *1997:69 7.12595e-05 -176 *1946:208 *2043:166 0 -177 *1946:208 *2053:21 9.60939e-05 -178 *1946:208 *5723:75 0.000177821 -179 *1946:208 *5782:164 0.000108368 -180 *25975:B *26124:C1 0 -181 *25984:A *26124:C1 3.30352e-05 -182 *25984:B *26124:C1 0.000573522 -183 *25992:B *1946:15 3.04901e-05 -184 *25993:A *1946:15 8.44271e-06 -185 *26020:B *26020:C 1.58163e-05 -186 *26060:A *26292:A 0.00014051 -187 *26124:A1 *26124:C1 0.000426985 -188 *26124:A2 *26124:C1 1.39841e-05 -189 *26241:A2 *1946:98 0.00100737 -190 *26286:A *1946:15 0.000313067 -191 *26286:A *1946:16 6.73181e-05 -192 *26291:C *1946:16 0.000504699 -193 *26291:C *1946:18 5.41794e-05 -194 *26325:A1 *1946:15 0.00129884 -195 *26345:A *26116:C 0.000315172 -196 *26345:A *1946:208 2.72449e-05 -197 *30595:A *26124:C1 3.74338e-05 -198 *30600:A *26124:C1 2.49484e-05 -199 *30623:A *1946:8 0.000170322 -200 *30623:A *1946:15 9.2749e-05 -201 *256:22 *26124:C1 0.000761377 -202 *1186:40 *1946:29 0.00010283 -203 *1186:55 *1946:81 0.000480198 -204 *1187:48 *1946:18 0.000517686 -205 *1187:48 *1946:29 2.09826e-05 -206 *1874:35 *26020:C 0.000255549 -207 *1874:35 *1946:29 0.000215364 -208 *1874:35 *1946:81 0.000126169 -209 *1874:35 *1946:98 0 -210 *1910:27 *26020:C 1.94879e-05 -211 *1915:13 *26124:C1 5.33005e-05 -212 *1926:13 *26124:C1 0.000359421 -213 *1931:17 *1946:15 9.41642e-05 -214 *1932:63 *1946:154 0.000111876 -215 *1933:11 *1946:15 0.000244145 -216 *1934:13 *1946:16 0.000405626 -217 *1943:37 *1946:195 1.21258e-05 -218 *1943:37 *1946:208 0.00141683 -219 *1945:65 *1946:173 0 -*RES -1 *26004:X *1946:8 18.7911 -2 *1946:8 *1946:15 40.8839 -3 *1946:15 *1946:16 21.375 -4 *1946:16 *1946:18 7.41071 -5 *1946:18 *1946:29 14.0976 -6 *1946:29 *26020:C 19.2727 -7 *1946:29 *1946:53 12.967 -8 *1946:53 *1946:61 18.7498 -9 *1946:61 *26698:A1 16.8357 -10 *1946:61 *1946:81 31.3214 -11 *1946:81 *1946:98 37.3661 -12 *1946:98 *1946:105 14.5536 -13 *1946:105 *26645:A 11.4786 -14 *1946:105 *26282:A 27.1393 -15 *1946:98 *26530:A 9.3 -16 *1946:81 *1946:131 17.1071 -17 *1946:131 *26598:C1 9.72857 -18 *1946:131 *26605:A 26.1393 -19 *1946:53 *1946:154 5.9264 -20 *1946:154 *26196:A1 15.5679 -21 *1946:154 *1946:173 19.7261 -22 *1946:173 *26292:A 15.5857 -23 *1946:173 *1946:195 40.125 -24 *1946:195 *26280:A 9.3 -25 *1946:195 *1946:208 28.9821 -26 *1946:208 *26345:B 14.3357 -27 *1946:208 *26116:C 18.4071 -28 *1946:18 *26543:A1 15.5679 -29 *1946:16 *26312:A 42.2821 -30 *1946:8 *26124:C1 49.3896 -*END - -*D_NET *1947 0.00414275 -*CONN -*I *26273:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26006:B I *D sky130_fd_sc_hd__nor2_1 -*I *26343:A I *D sky130_fd_sc_hd__nor2_1 -*I *26542:B1 I *D sky130_fd_sc_hd__a21oi_2 -*I *26005:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26273:A1 0.000667922 -2 *26006:B 7.18796e-05 -3 *26343:A 0.000116165 -4 *26542:B1 8.33534e-05 -5 *26005:Y 0 -6 *1947:6 0.000248461 -7 *1947:5 0.000120822 -8 *1947:4 0.000667922 -9 *26006:B *1948:16 2.2628e-05 -10 *26006:B *2040:49 6.77303e-05 -11 *26006:B *2040:50 0.000135841 -12 *26006:B *2045:102 2.49719e-05 -13 *26273:A1 *26160:A4 0.000109809 -14 *26273:A1 *26274:A 0.000153047 -15 *26273:A1 *26655:A 5.33005e-05 -16 *26273:A1 *26655:B 2.60984e-05 -17 *26273:A1 *2102:12 2.26424e-05 -18 *26273:A1 *2215:5 0.000262498 -19 *26273:A1 *2595:15 2.89114e-05 -20 *26343:A *26343:B 0.000177821 -21 *26343:A *6376:175 4.13496e-05 -22 *26542:B1 *26172:A1 5.52238e-05 -23 *26542:B1 *26172:A2 2.59355e-05 -24 *26542:B1 *2040:50 0.00019384 -25 *1947:6 *2040:50 0.000173804 -26 *26005:A *26273:A1 1.58163e-05 -27 *1874:11 *26343:A 0.000129913 -28 *1942:192 *26006:B 1.02504e-05 -29 *1943:40 *26006:B 7.05447e-05 -30 *1943:40 *26542:B1 0.000197269 -31 *1943:40 *1947:6 0.000166976 -*RES -1 *26005:Y *1947:4 9.3 -2 *1947:4 *1947:5 4.5 -3 *1947:5 *1947:6 2.25 -4 *1947:6 *26542:B1 16.8893 -5 *1947:6 *26343:A 16.8179 -6 *1947:5 *26006:B 16.3536 -7 *1947:4 *26273:A1 30.1393 -*END - -*D_NET *1948 0.0196297 -*CONN -*I *26576:A I *D sky130_fd_sc_hd__or3_1 -*I *26225:C1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26006:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26576:A 0.000542929 -2 *26225:C1 9.01103e-05 -3 *26006:Y 0 -4 *1948:17 0.00301301 -5 *1948:16 0.00356822 -6 *1948:4 0.00118825 -7 *26225:C1 *26226:A4 0.000105689 -8 *26225:C1 *2173:21 6.39901e-05 -9 *26225:C1 *2174:8 0.000221163 -10 *26576:A *26586:A 0.000411597 -11 *26576:A *2040:49 0.000686051 -12 *26576:A *2045:102 0.000405388 -13 *26576:A *2526:18 0.000211991 -14 *1948:16 *26272:B 0.000177815 -15 *1948:16 *2040:49 0.000115206 -16 *1948:16 *2040:50 0.000411113 -17 *1948:16 *2063:14 0.000411106 -18 *1948:16 *2169:16 0.000564156 -19 *1948:16 *2287:7 9.41642e-05 -20 *1948:16 *2526:18 0.000249792 -21 *1948:16 *2595:15 9.60939e-05 -22 *1948:17 *26041:B 9.41642e-05 -23 *1948:17 *26042:B 0.000421074 -24 *1948:17 *26045:A 4.00679e-05 -25 *1948:17 *26048:B 5.62783e-05 -26 *1948:17 *26396:A1 0.000180777 -27 *1948:17 *26396:B1 1.36921e-05 -28 *1948:17 *26396:C1 2.04016e-05 -29 *1948:17 *26397:C1 0.000216755 -30 *1948:17 *26498:B 5.52302e-05 -31 *1948:17 *26629:A1 1.39726e-05 -32 *1948:17 *26629:A2 0.00193546 -33 *1948:17 *26629:B1 1.55885e-05 -34 *1948:17 *26629:C1 5.33005e-05 -35 *1948:17 *1971:97 6.57914e-05 -36 *1948:17 *1971:102 2.59355e-05 -37 *1948:17 *1976:11 0.000209204 -38 *1948:17 *1983:24 1.98839e-05 -39 *1948:17 *1990:8 0.000307343 -40 *1948:17 *1996:58 0.0002083 -41 *1948:17 *2124:26 0.000226735 -42 *1948:17 *5782:116 0.000970035 -43 *26006:B *1948:16 2.2628e-05 -44 *26225:D1 *26225:C1 5.71472e-05 -45 *26542:A1 *1948:16 0.000502184 -46 *1942:192 *26576:A 0.000313443 -47 *1943:40 *1948:16 0.000956483 -*RES -1 *26006:Y *1948:4 9.3 -2 *1948:4 *1948:16 40.3929 -3 *1948:16 *1948:17 53.9286 -4 *1948:17 *26225:C1 21.6929 -5 *1948:4 *26576:A 37.2464 -*END - -*D_NET *1949 0.00233885 -*CONN -*I *26008:B I *D sky130_fd_sc_hd__nor2_8 -*I *26009:B I *D sky130_fd_sc_hd__or2_4 -*I *26007:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *26008:B 5.98262e-05 -2 *26009:B 0.000169397 -3 *26007:Y 6.80737e-05 -4 *1949:8 0.000297297 -5 *26008:B *1950:10 5.33005e-05 -6 *26008:B *1951:10 3.5298e-05 -7 *26008:B *5782:237 5.52238e-05 -8 *26009:B *26009:A 0.000519496 -9 *26009:B *5782:237 2.58472e-05 -10 *1949:8 *26452:A1 0.000148189 -11 *1187:12 *1949:8 0.000148189 -12 *1771:48 *26008:B 8.40933e-05 -13 *1771:48 *26009:B 0.000645043 -14 *1933:11 *26009:B 2.95726e-05 -*RES -1 *26007:Y *1949:8 20.2464 -2 *1949:8 *26009:B 16.0143 -3 *1949:8 *26008:B 11.0679 -*END - -*D_NET *1950 0.0423146 -*CONN -*I *26577:A1 I *D sky130_fd_sc_hd__o21a_1 -*I *26011:B I *D sky130_fd_sc_hd__nand2_8 -*I *26075:B I *D sky130_fd_sc_hd__nand2_2 -*I *26623:B I *D sky130_fd_sc_hd__nand2_1 -*I *26757:A1 I *D sky130_fd_sc_hd__a211o_1 -*I *26636:A3 I *D sky130_fd_sc_hd__a31o_1 -*I *26664:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *26665:A1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26773:A1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26628:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *26667:A1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26008:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *26577:A1 0 -2 *26011:B 0 -3 *26075:B 0 -4 *26623:B 1.69531e-05 -5 *26757:A1 0.000102196 -6 *26636:A3 2.52948e-05 -7 *26664:A1 0.000122465 -8 *26665:A1 0 -9 *26773:A1 0.000211607 -10 *26628:A1 0.000110254 -11 *26667:A1 8.34832e-05 -12 *26008:Y 0 -13 *1950:181 0.00113489 -14 *1950:103 0.00049512 -15 *1950:101 0.000314107 -16 *1950:96 0.00052507 -17 *1950:93 0.00054454 -18 *1950:87 0.00183144 -19 *1950:64 0.00127555 -20 *1950:44 0.00274541 -21 *1950:40 0.000562632 -22 *1950:37 0.00144213 -23 *1950:25 0.00101977 -24 *1950:22 0.00118914 -25 *1950:10 0.00134948 -26 *1950:4 0.00133698 -27 *26623:B *26624:A2 5.33005e-05 -28 *26623:B *2306:7 5.33005e-05 -29 *26628:A1 *26594:A2 5.33005e-05 -30 *26628:A1 *5615:43 0.000122591 -31 *26636:A3 *26636:A2 5.33005e-05 -32 *26664:A1 *26635:A3 2.42516e-05 -33 *26664:A1 *26664:B1 2.59355e-05 -34 *26667:A1 *26514:C 2.08721e-05 -35 *26667:A1 *26667:A2 2.59355e-05 -36 *26757:A1 *26757:A2 4.34782e-05 -37 *26757:A1 *26757:B1 2.95693e-05 -38 *26757:A1 *3581:76 1.3016e-05 -39 *26773:A1 *26668:D 0.000218685 -40 *26773:A1 *2045:35 0.000101545 -41 *26773:A1 *2576:8 0.000101545 -42 *1950:10 *1951:10 0.000175892 -43 *1950:10 *2404:10 0.000191241 -44 *1950:10 *2501:11 0.000192766 -45 *1950:22 *26470:D 9.91086e-05 -46 *1950:22 *26476:A1 1.07719e-05 -47 *1950:22 *26476:A2 2.79421e-05 -48 *1950:22 *26476:A3 0.000277332 -49 *1950:22 *26486:B 2.13481e-06 -50 *1950:22 *1952:22 0.000794831 -51 *1950:22 *1989:80 0.000382424 -52 *1950:22 *2501:11 0.0010231 -53 *1950:22 *2514:17 9.41642e-05 -54 *1950:22 *5673:300 9.60939e-05 -55 *1950:25 *26130:A 6.05161e-06 -56 *1950:25 *2072:45 1.21258e-05 -57 *1950:25 *2190:134 5.52302e-05 -58 *1950:37 *26130:A 0.000213868 -59 *1950:37 *26131:B1 0.000148911 -60 *1950:37 *1952:22 0.000225677 -61 *1950:37 *1966:47 0.000180342 -62 *1950:37 *1987:32 0.000382133 -63 *1950:37 *1989:8 0.000560036 -64 *1950:37 *1989:80 0.000533759 -65 *1950:37 *1997:69 0.000368687 -66 *1950:37 *1997:75 0.000215448 -67 *1950:37 *2291:11 0.000178425 -68 *1950:37 *2384:13 0.000216755 -69 *1950:40 *2007:10 0.00130218 -70 *1950:40 *2052:28 0.00129609 -71 *1950:44 *2007:10 0.000188118 -72 *1950:44 *2052:28 0.00018984 -73 *1950:64 *26224:D1 0 -74 *1950:64 *26386:C1 0.000264277 -75 *1950:64 *26411:A2 0.000119391 -76 *1950:64 *26512:A2 2.87555e-06 -77 *1950:64 *26512:B1 1.58163e-05 -78 *1950:64 *26512:C1 3.14163e-05 -79 *1950:64 *26514:C 2.06112e-05 -80 *1950:64 *26663:C_N 0.000216755 -81 *1950:64 *26667:C1 0.000120506 -82 *1950:64 *26774:B2 0.000841768 -83 *1950:64 *1983:32 0.000300379 -84 *1950:64 *1983:52 0.00018725 -85 *1950:64 *1989:30 0.000361205 -86 *1950:64 *2016:74 7.09747e-05 -87 *1950:64 *2129:44 0.000551499 -88 *1950:64 *2298:36 9.60875e-05 -89 *1950:64 *2310:16 0.000296825 -90 *1950:87 *26411:A2 0.000110029 -91 *1950:87 *26664:A2 2.53112e-06 -92 *1950:87 *26757:A2 9.80576e-06 -93 *1950:87 *26757:B1 1.85992e-05 -94 *1950:87 *26797:C1 6.00456e-05 -95 *1950:87 *1953:82 8.98029e-05 -96 *1950:87 *2040:62 5.42384e-07 -97 *1950:87 *2129:44 0.0002083 -98 *1950:87 *2300:11 8.21742e-05 -99 *1950:87 *2569:6 4.45753e-05 -100 *1950:87 *3581:76 0.00160127 -101 *1950:87 *5723:46 9.15842e-06 -102 *1950:93 *26520:B 0.000177815 -103 *1950:93 *26636:A2 0.000289001 -104 *1950:93 *26664:A2 0.000141996 -105 *1950:93 *26758:D 0.000145104 -106 *1950:93 *2576:8 0.000149797 -107 *1950:93 *5723:46 0.000260574 -108 *1950:96 *2237:33 0.000675633 -109 *1950:96 *5615:38 0.000428885 -110 *1950:96 *5615:42 0.000120734 -111 *1950:101 *26401:A2 1.69115e-05 -112 *1950:101 *26665:A2 1.66856e-05 -113 *1950:101 *2223:13 2.49484e-05 -114 *1950:101 *2237:33 0.000198698 -115 *1950:101 *2692:11 2.16949e-05 -116 *1950:101 *5615:42 0.00013906 -117 *1950:103 *26665:A2 5.53367e-05 -118 *1950:103 *2692:11 7.70723e-05 -119 *1950:103 *5615:43 0.000306317 -120 *1950:181 *26082:B 5.49995e-05 -121 *1950:181 *26567:A2 0.000305404 -122 *1950:181 *26691:A 0.00063004 -123 *1950:181 *26691:C 0.000388044 -124 *1950:181 *26693:A 0.000199891 -125 *1950:181 *1966:137 0.000325828 -126 *1950:181 *2019:50 0.000326742 -127 *1950:181 *2024:7 0.000542354 -128 *1950:181 *2037:9 5.49995e-05 -129 *1950:181 *2037:17 2.24804e-05 -130 *1950:181 *2618:11 0.000139338 -131 *1950:181 *4475:37 0.000908221 -132 *26008:B *1950:10 5.33005e-05 -133 *26082:A *1950:181 5.33005e-05 -134 *26682:A2 *1950:22 0.000184187 -135 *1187:12 *1950:22 0.000185944 -136 *1187:14 *1950:22 0.000425994 -137 *1187:25 *1950:37 0.00017309 -138 *1188:40 *1950:181 9.21611e-05 -139 *1188:85 *1950:22 0.000362145 -140 *1188:85 *1950:37 0.000158279 -141 *1771:48 *1950:10 9.55111e-05 -142 *1771:48 *1950:181 3.54031e-05 -143 *1771:58 *1950:181 0.000136951 -144 *1933:17 *1950:10 7.80417e-05 -145 *1933:17 *1950:181 0.000303965 -146 *1943:36 *1950:37 7.6644e-05 -*RES -1 *26008:Y *1950:4 9.3 -2 *1950:4 *1950:10 14.9643 -3 *1950:10 *1950:22 49.8036 -4 *1950:22 *1950:25 0.964286 -5 *1950:25 *1950:37 45.6964 -6 *1950:37 *1950:40 21.625 -7 *1950:40 *1950:44 7.05357 -8 *1950:44 *1950:64 45.7289 -9 *1950:64 *26667:A1 14.7464 -10 *1950:44 *1950:87 40.2679 -11 *1950:87 *1950:93 16.4107 -12 *1950:93 *1950:96 13.4286 -13 *1950:96 *1950:101 8.59821 -14 *1950:101 *1950:103 3.61607 -15 *1950:103 *26628:A1 11.0679 -16 *1950:103 *26773:A1 21.8179 -17 *1950:101 *26665:A1 9.3 -18 *1950:96 *26664:A1 15.1571 -19 *1950:93 *26636:A3 9.83571 -20 *1950:87 *26757:A1 10.9786 -21 *1950:40 *26623:B 14.3357 -22 *1950:25 *26075:B 9.3 -23 *1950:10 *26011:B 9.3 -24 *1950:4 *1950:181 42.1964 -25 *1950:181 *26577:A1 9.3 -*END - -*D_NET *1951 0.00354015 -*CONN -*I *26074:B I *D sky130_fd_sc_hd__nor2_8 -*I *26010:B I *D sky130_fd_sc_hd__nor2_8 -*I *26659:A I *D sky130_fd_sc_hd__nand2_1 -*I *26009:X O *D sky130_fd_sc_hd__or2_4 -*CAP -1 *26074:B 0 -2 *26010:B 0 -3 *26659:A 0.000123038 -4 *26009:X 0.000330973 -5 *1951:12 0.000215618 -6 *1951:10 0.000423554 -7 *26659:A *26109:B 4.58194e-05 -8 *26659:A *26139:A 0.000163267 -9 *26659:A *2016:8 5.04009e-05 -10 *26659:A *2016:14 9.21418e-06 -11 *1951:10 *26009:A 0.000175701 -12 *1951:10 *26011:A 0.000147601 -13 *1951:10 *26139:A 0.000323041 -14 *1951:10 *2016:8 5.54817e-06 -15 *1951:10 *5782:237 9.90431e-05 -16 *1951:12 *26139:A 0.000204421 -17 *1951:12 *2016:8 0.000105089 -18 *26008:B *1951:10 3.5298e-05 -19 *26682:A2 *26659:A 9.60939e-05 -20 *1188:54 *26659:A 3.10885e-05 -21 *1933:11 *1951:10 1.04232e-05 -22 *1933:17 *1951:10 0.000682944 -23 *1942:25 *1951:10 8.60813e-05 -24 *1950:10 *1951:10 0.000175892 -*RES -1 *26009:X *1951:10 25.3 -2 *1951:10 *1951:12 2.85714 -3 *1951:12 *26659:A 16.9964 -4 *1951:12 *26010:B 13.8 -5 *1951:10 *26074:B 13.8 -*END - -*D_NET *1952 0.0421496 -*CONN -*I *26111:A I *D sky130_fd_sc_hd__nand2_2 -*I *26112:A I *D sky130_fd_sc_hd__nand2_2 -*I *26480:A1 I *D sky130_fd_sc_hd__a211oi_1 -*I *26379:A1 I *D sky130_fd_sc_hd__a41o_1 -*I *26723:A3 I *D sky130_fd_sc_hd__a311o_1 -*I *26594:A1 I *D sky130_fd_sc_hd__a211o_1 -*I *26383:A I *D sky130_fd_sc_hd__nand2_1 -*I *26409:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *26352:A I *D sky130_fd_sc_hd__nor2_1 -*I *26359:A I *D sky130_fd_sc_hd__nand2_1 -*I *26010:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *26111:A 4.57996e-05 -2 *26112:A 0.000370718 -3 *26480:A1 0.00127267 -4 *26379:A1 0 -5 *26723:A3 0.000592665 -6 *26594:A1 0.00181717 -7 *26383:A 0 -8 *26409:A1 0.000212039 -9 *26352:A 0 -10 *26359:A 0 -11 *26010:Y 5.38485e-05 -12 *1952:122 0.0011704 -13 *1952:109 0.00202655 -14 *1952:63 0.00129125 -15 *1952:54 0.0016163 -16 *1952:51 0.00284369 -17 *1952:39 0.00140545 -18 *1952:34 0.00232097 -19 *1952:26 0.00133908 -20 *1952:22 0.00200902 -21 *1952:8 0.00153606 -22 *26111:A *26567:A2 6.53937e-05 -23 *26111:A *2029:50 2.59355e-05 -24 *26111:A *2038:193 5.61129e-05 -25 *26112:A *26567:A2 0.000277153 -26 *26112:A *26690:C 1.41029e-05 -27 *26112:A *1996:25 1.74806e-05 -28 *26112:A *2038:193 0.000139473 -29 *26112:A *2054:8 0.000178021 -30 *26112:A *2083:6 4.68915e-05 -31 *26112:A *2506:12 0.000170646 -32 *26112:A *5782:183 0 -33 *26409:A1 *26352:B 2.60984e-05 -34 *26409:A1 *26438:C 0.000513591 -35 *26409:A1 *2294:12 1.21258e-05 -36 *26409:A1 *2634:17 0.000177194 -37 *26480:A1 *26073:B 6.47254e-05 -38 *26480:A1 *26480:A2 6.62859e-05 -39 *26480:A1 *26581:B 9.17069e-06 -40 *26480:A1 *26680:A2 0.000320554 -41 *26480:A1 *1966:109 0.000200592 -42 *26480:A1 *1996:25 0.00068818 -43 *26480:A1 *2038:193 7.40049e-05 -44 *26480:A1 *4475:34 7.98463e-05 -45 *26480:A1 *6291:186 2.91238e-05 -46 *26594:A1 *26510:A2 0.000352371 -47 *26594:A1 *26797:A1 0 -48 *26594:A1 *2019:94 7.81389e-05 -49 *26594:A1 *2024:65 0 -50 *26594:A1 *2458:10 0.00015799 -51 *26594:A1 *2595:31 5.16792e-05 -52 *26594:A1 *2606:11 0.000136447 -53 *26594:A1 *5664:86 0 -54 *26723:A3 *26723:B1 1.08506e-05 -55 *26723:A3 *2099:30 3.07598e-05 -56 *26723:A3 *2104:34 2.39664e-05 -57 *1952:8 *26470:B 1.90936e-05 -58 *1952:8 *2029:39 5.33005e-05 -59 *1952:22 *26071:B 1.02504e-05 -60 *1952:22 *26130:A 0.000207054 -61 *1952:22 *26131:B1 0.000148182 -62 *1952:22 *26452:A3 2.0653e-05 -63 *1952:22 *26470:A 2.6949e-05 -64 *1952:22 *26470:B 0.000134253 -65 *1952:22 *26581:B 0.000127441 -66 *1952:22 *26689:B 0.000377192 -67 *1952:22 *26689:D 0.000360681 -68 *1952:22 *2013:8 0.000216319 -69 *1952:22 *2013:18 0.000313006 -70 *1952:22 *2071:14 1.25682e-05 -71 *1952:22 *2222:11 5.91221e-05 -72 *1952:22 *2498:8 0.000196262 -73 *1952:26 *26047:C 2.22043e-05 -74 *1952:26 *26071:B 8.44048e-05 -75 *1952:26 *26076:D 2.26973e-05 -76 *1952:26 *1955:118 2.59093e-05 -77 *1952:26 *1971:18 0.000194691 -78 *1952:26 *1971:20 0.000778105 -79 *1952:26 *1971:25 9.91086e-05 -80 *1952:26 *1982:24 6.2192e-05 -81 *1952:26 *1982:30 0.000462347 -82 *1952:26 *1982:35 0.00012401 -83 *1952:26 *1989:8 6.057e-07 -84 *1952:26 *1989:80 4.97567e-06 -85 *1952:34 *26380:B1 2.61099e-05 -86 *1952:34 *26380:B2 0.000362408 -87 *1952:34 *26382:A 0.000224583 -88 *1952:34 *26382:B 0.000182678 -89 *1952:34 *26382:C 5.18176e-05 -90 *1952:34 *1971:25 2.89016e-05 -91 *1952:34 *2103:79 0.000175892 -92 *1952:34 *2124:26 1.12323e-05 -93 *1952:34 *2124:41 0.00059616 -94 *1952:34 *2324:13 5.33005e-05 -95 *1952:34 *5782:116 0.000212123 -96 *1952:39 *26156:A 0.000109572 -97 *1952:39 *26359:B 5.52238e-05 -98 *1952:39 *26404:A 0.000621852 -99 *1952:39 *26432:B2 0.000372058 -100 *1952:39 *26500:B 6.1684e-05 -101 *1952:39 *26591:C1 5.33005e-05 -102 *1952:39 *26605:C 0.000242321 -103 *1952:39 *26721:B1 0.000125731 -104 *1952:39 *1953:221 1.08359e-05 -105 *1952:39 *2026:10 5.18595e-05 -106 *1952:39 *2060:8 1.50181e-05 -107 *1952:39 *2060:10 0.000176788 -108 *1952:39 *2060:15 5.75896e-05 -109 *1952:39 *2124:41 0.000105203 -110 *1952:39 *2139:38 0.000383233 -111 *1952:39 *2545:8 0 -112 *1952:39 *5782:116 2.45832e-05 -113 *1952:51 *26359:B 4.27935e-05 -114 *1952:51 *26591:C1 5.33005e-05 -115 *1952:51 *26721:B1 2.04825e-05 -116 *1952:51 *2315:8 7.69776e-06 -117 *1952:51 *5664:86 0 -118 *1952:54 *26590:B1 0.000655138 -119 *1952:54 *26760:C_N 7.17932e-05 -120 *1952:54 *2099:70 0 -121 *1952:54 *4508:194 2.3796e-05 -122 *1952:63 *26377:B 0.000259285 -123 *1952:63 *26424:A2 0.000322397 -124 *1952:63 *26438:A 0.000304394 -125 *1952:63 *26438:C 0.000392063 -126 *1952:63 *26590:B1 8.11885e-05 -127 *1952:63 *2005:73 0.000334144 -128 *1952:63 *2099:70 6.57338e-05 -129 *1952:63 *2146:38 1.95746e-05 -130 *1952:63 *2294:12 0.000242986 -131 *1952:63 *2363:6 0.000191778 -132 *1952:63 *2365:6 0.0007853 -133 *1952:63 *4508:194 4.81136e-05 -134 *1952:122 *1996:25 0.00120993 -135 *26118:B *26723:A3 6.05161e-06 -136 *26156:B *1952:39 5.99803e-05 -137 *26379:B1 *1952:34 3.18676e-05 -138 *26605:A *1952:39 0.00016834 -139 *26723:A1 *26723:A3 0.000241518 -140 *26723:A2 *26723:A3 5.38016e-05 -141 *1187:14 *1952:22 3.54863e-05 -142 *1188:85 *1952:22 1.11654e-05 -143 *1188:85 *1952:26 0.000178158 -144 *1862:28 *26723:A3 5.48198e-05 -145 *1930:152 *1952:39 4.11218e-05 -146 *1931:51 *26111:A 5.33005e-05 -147 *1942:35 *26480:A1 0.000134473 -148 *1942:146 *1952:22 0.000111775 -149 *1943:36 *1952:22 7.83587e-05 -150 *1946:173 *1952:34 0.000162728 -151 *1950:22 *1952:22 0.000794831 -152 *1950:37 *1952:22 0.000225677 -*RES -1 *26010:Y *1952:8 14.6125 -2 *1952:8 *1952:22 35.7589 -3 *1952:22 *1952:26 21.3214 -4 *1952:26 *1952:34 25.1071 -5 *1952:34 *1952:39 30.9643 -6 *1952:39 *26359:A 9.3 -7 *1952:39 *1952:51 9.56223 -8 *1952:51 *1952:54 16.1332 -9 *1952:54 *1952:63 35.5179 -10 *1952:63 *26352:A 9.3 -11 *1952:63 *26409:A1 15.2107 -12 *1952:54 *26383:A 13.8 -13 *1952:51 *26594:A1 23.3909 -14 *1952:34 *26723:A3 30.2286 -15 *1952:26 *26379:A1 9.3 -16 *1952:8 *1952:109 3.41 -17 *1952:109 *26480:A1 21.5281 -18 *1952:109 *1952:122 5.47487 -19 *1952:122 *26112:A 26.8307 -20 *1952:122 *26111:A 15.2196 -*END - -*D_NET *1953 0.0551924 -*CONN -*I *26125:A2 I *D sky130_fd_sc_hd__o31ai_1 -*I *26598:A1 I *D sky130_fd_sc_hd__a211oi_1 -*I *26605:B I *D sky130_fd_sc_hd__nor3_1 -*I *26432:B2 I *D sky130_fd_sc_hd__o32a_1 -*I *26797:A1 I *D sky130_fd_sc_hd__o221a_1 -*I *26377:A I *D sky130_fd_sc_hd__nor2_1 -*I *26367:A I *D sky130_fd_sc_hd__nor2_1 -*I *26370:A I *D sky130_fd_sc_hd__nor2_1 -*I *26360:A I *D sky130_fd_sc_hd__nor2_1 -*I *26353:A I *D sky130_fd_sc_hd__nand2_1 -*I *26372:A I *D sky130_fd_sc_hd__nor2_1 -*I *26354:A I *D sky130_fd_sc_hd__nor2_1 -*I *26381:A1 I *D sky130_fd_sc_hd__a21oi_1 -*I *26384:A1 I *D sky130_fd_sc_hd__o221a_1 -*I *26196:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *26011:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *26125:A2 9.7303e-05 -2 *26598:A1 0.000328809 -3 *26605:B 0 -4 *26432:B2 0.000759249 -5 *26797:A1 0.000734198 -6 *26377:A 0.000294896 -7 *26367:A 0 -8 *26370:A 0 -9 *26360:A 2.3932e-05 -10 *26353:A 9.37008e-05 -11 *26372:A 0 -12 *26354:A 0 -13 *26381:A1 0 -14 *26384:A1 0 -15 *26196:A2 0.000481568 -16 *26011:Y 0.00123839 -17 *1953:221 0.000347682 -18 *1953:203 0.00234833 -19 *1953:165 0.000314058 -20 *1953:137 0.00036723 -21 *1953:130 0.000439645 -22 *1953:122 0.000598089 -23 *1953:111 0.00122063 -24 *1953:98 0.00197947 -25 *1953:90 0.00230903 -26 *1953:82 0.00292486 -27 *1953:69 0.00151993 -28 *1953:57 0.00103386 -29 *1953:38 0.00185467 -30 *1953:24 0.0020772 -31 *1953:11 0.00252912 -32 *26125:A2 *1959:130 9.22948e-05 -33 *26125:A2 *2031:68 9.91086e-05 -34 *26196:A2 *26196:B1 9.90431e-05 -35 *26196:A2 *26225:A2 1.02821e-05 -36 *26196:A2 *26742:A1 0.000125245 -37 *26196:A2 *26742:A2 9.60875e-05 -38 *26196:A2 *26742:B1 0.000127873 -39 *26196:A2 *26742:C1 5.33005e-05 -40 *26196:A2 *2131:45 5.33005e-05 -41 *26196:A2 *2680:10 0.000219711 -42 *26353:A *26442:B 2.18827e-05 -43 *26353:A *26713:A2 4.46186e-06 -44 *26353:A *26806:A1 2.31791e-05 -45 *26353:A *2011:84 5.33005e-05 -46 *26353:A *2718:19 1.98839e-05 -47 *26353:A *6358:12 0.000197276 -48 *26360:A *2022:39 2.59355e-05 -49 *26360:A *2302:30 2.59355e-05 -50 *26377:A *26367:B 5.00917e-05 -51 *26377:A *26424:B1 0.000119125 -52 *26377:A *26425:A2 8.9046e-05 -53 *26377:A *1955:129 0 -54 *26377:A *2016:105 0.00020657 -55 *26377:A *2099:70 8.26291e-05 -56 *26377:A *2135:18 3.0285e-05 -57 *26377:A *2319:8 9.71197e-05 -58 *26432:B2 *26373:C 5.95163e-05 -59 *26432:B2 *26421:B 0.000139907 -60 *26432:B2 *26432:A1 2.61378e-05 -61 *26432:B2 *26432:B1 0.000502352 -62 *26432:B2 *26591:A2 2.42516e-05 -63 *26432:B2 *2026:10 0.000455533 -64 *26432:B2 *2046:154 0.000124471 -65 *26432:B2 *2250:51 0.000114468 -66 *26432:B2 *2362:8 5.52238e-05 -67 *26432:B2 *2545:8 0.00013652 -68 *26598:A1 *26605:C 0.000194698 -69 *26598:A1 *2026:10 0.000184448 -70 *26797:A1 *2008:12 8.96761e-05 -71 *26797:A1 *2009:33 0.000135028 -72 *26797:A1 *2024:65 0.00111095 -73 *26797:A1 *2458:10 8.96761e-05 -74 *26797:A1 *2595:31 6.75633e-05 -75 *26797:A1 *2606:11 0.000226487 -76 *1953:11 *2250:82 0.000470804 -77 *1953:11 *2514:17 0.000400404 -78 *1953:24 *26128:A 0.000184731 -79 *1953:24 *26681:A1 0.000515987 -80 *1953:24 *1955:99 2.04825e-05 -81 *1953:24 *1958:19 0.000108057 -82 *1953:24 *1959:130 0.000258436 -83 *1953:24 *1991:8 0.000123288 -84 *1953:24 *1991:10 5.83304e-05 -85 *1953:24 *2031:68 0.000117982 -86 *1953:24 *2031:73 0.000310016 -87 *1953:24 *2031:77 0.000177643 -88 *1953:24 *2033:40 0.000461615 -89 *1953:24 *2038:107 0.000290715 -90 *1953:24 *2038:112 0.000405006 -91 *1953:24 *2279:13 0.000137983 -92 *1953:24 *2401:34 0.000671375 -93 *1953:38 *26030:A 2.06178e-05 -94 *1953:38 *1958:19 2.01997e-05 -95 *1953:38 *1958:22 9.22007e-05 -96 *1953:38 *1975:14 1.65741e-05 -97 *1953:38 *2026:10 0.00152364 -98 *1953:38 *2026:16 6.28925e-06 -99 *1953:38 *2033:40 0.000489905 -100 *1953:38 *2112:8 0.00024453 -101 *1953:38 *2113:65 2.09897e-05 -102 *1953:38 *2233:37 5.05964e-05 -103 *1953:38 *2250:64 0.000159968 -104 *1953:38 *2385:10 0.000224416 -105 *1953:38 *2401:34 6.85014e-05 -106 *1953:38 *4189:67 0.000773311 -107 *1953:57 *26384:A2 4.59075e-05 -108 *1953:57 *26742:A2 0.000633837 -109 *1953:57 *1972:33 0.000130149 -110 *1953:57 *2107:27 0.000384527 -111 *1953:57 *2324:20 5.52238e-05 -112 *1953:69 *26384:A2 0.00014183 -113 *1953:69 *26385:D_N 2.89016e-05 -114 *1953:69 *1983:32 0.000157711 -115 *1953:69 *2310:16 0.000141895 -116 *1953:69 *2327:7 1.40306e-05 -117 *1953:82 *26381:A2 0.000317983 -118 *1953:82 *26623:A 0.00023792 -119 *1953:82 *26797:C1 5.30304e-05 -120 *1953:82 *2053:34 0.000352679 -121 *1953:82 *2327:7 5.74499e-06 -122 *1953:82 *5545:22 0.000607287 -123 *1953:90 *26503:A2 0.000144042 -124 *1953:90 *26514:A 0.000136958 -125 *1953:90 *26514:B 8.66324e-05 -126 *1953:90 *26774:C1 0.000130098 -127 *1953:90 *26797:C1 0 -128 *1953:90 *2007:10 0.000131367 -129 *1953:90 *5545:22 0.000451272 -130 *1953:98 *26387:B1 0 -131 *1953:98 *26388:C 4.53031e-05 -132 *1953:98 *26608:B 0.000773127 -133 *1953:98 *26620:B 5.33005e-05 -134 *1953:98 *26668:A 4.03318e-05 -135 *1953:98 *26774:C1 0.000249645 -136 *1953:98 *2007:10 0.000623077 -137 *1953:98 *2024:95 0 -138 *1953:98 *2043:102 4.93928e-05 -139 *1953:98 *2320:8 0.000640814 -140 *1953:98 *2557:38 0.000479652 -141 *1953:98 *2599:6 1.02504e-05 -142 *1953:111 *26370:B 5.33005e-05 -143 *1953:111 *26388:A 0.000197276 -144 *1953:111 *26388:C 3.90513e-05 -145 *1953:111 *26438:C 1.33343e-05 -146 *1953:111 *26439:D_N 9.18713e-05 -147 *1953:111 *26608:B 8.87787e-05 -148 *1953:111 *26712:B2 0.000362476 -149 *1953:111 *1955:140 0.000500476 -150 *1953:111 *2309:6 0.000127446 -151 *1953:111 *2318:20 0 -152 *1953:122 *26439:A 2.06112e-05 -153 *1953:122 *2011:63 0.000199856 -154 *1953:122 *2534:34 5.0467e-05 -155 *1953:122 *2634:17 0.000233752 -156 *1953:122 *2676:15 0.00015894 -157 *1953:122 *5545:22 0.000157115 -158 *1953:130 *26354:B 0.000135028 -159 *1953:130 *2302:30 0.000108538 -160 *1953:130 *2316:28 0.000191594 -161 *1953:130 *2672:19 7.02611e-05 -162 *1953:137 *26427:B1 7.83659e-05 -163 *1953:137 *26442:B 5.58941e-05 -164 *1953:137 *26806:A1 8.35785e-05 -165 *1953:137 *26806:C1 4.18749e-05 -166 *1953:137 *2011:84 5.33005e-05 -167 *1953:137 *2302:30 8.85664e-07 -168 *1953:137 *2316:28 0.000262051 -169 *1953:137 *2718:19 2.89016e-05 -170 *1953:165 *26367:B 3.52966e-05 -171 *1953:165 *2016:105 1.21258e-05 -172 *1953:203 *26507:A1 2.89016e-05 -173 *1953:203 *26507:B1 8.56752e-05 -174 *1953:203 *26605:C 5.52302e-05 -175 *1953:203 *1996:99 0.00100396 -176 *1953:203 *3510:21 0.000190111 -177 *1953:221 *26605:C 3.34366e-05 -178 *1953:221 *2026:10 4.66511e-05 -179 *26594:A1 *26797:A1 0 -180 *26605:A *26598:A1 0.000235141 -181 *26605:A *1953:203 2.89114e-05 -182 *28405:D *26353:A 0.000148911 -183 *28405:D *1953:137 0.00014576 -184 *1862:27 *1953:24 0.0001399 -185 *1928:14 *1953:24 0.000647131 -186 *1933:11 *26125:A2 7.41875e-05 -187 *1937:22 *26196:A2 1.98839e-05 -188 *1938:48 *1953:98 0.000220717 -189 *1938:52 *1953:98 0.000183464 -190 *1943:18 *26196:A2 0.000183726 -191 *1943:18 *1953:57 0.000292561 -192 *1946:131 *26598:A1 4.87854e-05 -193 *1950:87 *1953:82 8.98029e-05 -194 *1952:39 *26432:B2 0.000372058 -195 *1952:39 *1953:221 1.08359e-05 -*RES -1 *26011:Y *1953:11 31.3179 -2 *1953:11 *1953:24 45.3482 -3 *1953:24 *1953:38 38.0736 -4 *1953:38 *26196:A2 19.425 -5 *1953:38 *1953:57 21.6429 -6 *1953:57 *26384:A1 9.3 -7 *1953:57 *1953:69 18.1786 -8 *1953:69 *26381:A1 9.3 -9 *1953:69 *1953:82 25.3393 -10 *1953:82 *1953:90 18.0446 -11 *1953:90 *1953:98 40.2589 -12 *1953:98 *1953:111 21.125 -13 *1953:111 *1953:122 19.323 -14 *1953:122 *26354:A 9.3 -15 *1953:122 *1953:130 8.71429 -16 *1953:130 *1953:137 12.6786 -17 *1953:137 *26372:A 9.3 -18 *1953:137 *26353:A 21.6929 -19 *1953:130 *26360:A 14.3357 -20 *1953:111 *26370:A 9.3 -21 *1953:98 *1953:165 5.03571 -22 *1953:165 *26367:A 9.3 -23 *1953:165 *26377:A 26.175 -24 *1953:90 *26797:A1 26.2455 -25 *1953:82 *1953:203 28.4286 -26 *1953:203 *26432:B2 33.3 -27 *1953:203 *1953:221 0.732143 -28 *1953:221 *26605:B 13.8 -29 *1953:221 *26598:A1 21.55 -30 *1953:11 *26125:A2 15.8804 -*END - -*D_NET *1954 0.000879203 -*CONN -*I *26091:C I *D sky130_fd_sc_hd__or3_2 -*I *26012:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26091:C 0.000204561 -2 *26012:Y 0.000204561 -3 *26091:C *25504:A 5.33005e-05 -4 *26091:C *4364:54 2.22674e-05 -5 *26091:C *4364:60 3.30147e-05 -6 *26091:B *26091:C 0.000115446 -7 *30600:A *26091:C 0.000246051 -*RES -1 *26012:Y *26091:C 31.6 -*END - -*D_NET *1955 0.056573 -*CONN -*I *26015:B1 I *D sky130_fd_sc_hd__a31oi_2 -*I *26016:B1 I *D sky130_fd_sc_hd__a31o_2 -*I *26298:A I *D sky130_fd_sc_hd__nand2_8 -*I *26476:A1 I *D sky130_fd_sc_hd__a31o_1 -*I *26428:A1 I *D sky130_fd_sc_hd__a31o_1 -*I *26427:A1 I *D sky130_fd_sc_hd__a31o_1 -*I *26545:A1 I *D sky130_fd_sc_hd__a31o_1 -*I *26425:A1 I *D sky130_fd_sc_hd__a31o_1 -*I *26219:A I *D sky130_fd_sc_hd__nand2_8 -*I *26293:B2 I *D sky130_fd_sc_hd__o32a_1 -*I *26286:B I *D sky130_fd_sc_hd__nand2_2 -*I *26013:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *26015:B1 0.000577242 -2 *26016:B1 0.000148786 -3 *26298:A 0 -4 *26476:A1 0.000226008 -5 *26428:A1 0 -6 *26427:A1 4.61393e-05 -7 *26545:A1 0.000883456 -8 *26425:A1 2.55452e-05 -9 *26219:A 1.11927e-05 -10 *26293:B2 0.000751485 -11 *26286:B 0.00016967 -12 *26013:Y 0 -13 *1955:190 0.00089876 -14 *1955:142 0.00104003 -15 *1955:140 0.000486391 -16 *1955:129 0.00154818 -17 *1955:118 0.00257561 -18 *1955:115 0.00248118 -19 *1955:99 0.00218774 -20 *1955:77 0.00151313 -21 *1955:52 0.00237006 -22 *1955:31 0.00210167 -23 *1955:19 0.00148633 -24 *1955:4 0.000753174 -25 *26015:B1 *26314:B 8.6229e-06 -26 *26015:B1 *2258:41 0.000254214 -27 *26015:B1 *4353:183 0 -28 *26016:B1 *26016:A1 1.33251e-05 -29 *26016:B1 *26455:A2 6.47405e-05 -30 *26016:B1 *2033:12 0.000250421 -31 *26016:B1 *6203:23 1.33251e-05 -32 *26219:A *2043:143 0 -33 *26286:B *26569:A2 0.000339346 -34 *26286:B *2228:11 1.24368e-05 -35 *26293:B2 *26293:A2 4.44623e-06 -36 *26293:B2 *26293:A3 2.58896e-05 -37 *26293:B2 *5722:69 2.22043e-05 -38 *26425:A1 *2594:15 9.41642e-05 -39 *26427:A1 *26439:C 3.32631e-05 -40 *26476:A1 *26475:A1 0.000159099 -41 *26476:A1 *26476:B1 0.000235669 -42 *26476:A1 *26486:B 9.41642e-05 -43 *26545:A1 *26246:A 0.000117553 -44 *26545:A1 *26427:A2 7.80714e-06 -45 *26545:A1 *26427:A3 1.41029e-05 -46 *26545:A1 *26437:A 0.000167619 -47 *26545:A1 *26439:C 0.000102545 -48 *26545:A1 *26545:A2 3.57366e-05 -49 *26545:A1 *26545:A3 0.000356028 -50 *26545:A1 *26545:B1 0.000145985 -51 *26545:A1 *26608:B 0.000566245 -52 *26545:A1 *2187:141 1.00073e-05 -53 *26545:A1 *2198:23 3.2534e-05 -54 *26545:A1 *2318:20 7.35585e-05 -55 *26545:A1 *5722:166 0.0005993 -56 *26545:A1 *6357:10 8.10875e-05 -57 *1955:19 *25966:B 1.21859e-05 -58 *1955:19 *26017:B1 5.33005e-05 -59 *1955:19 *26298:B 0.0007361 -60 *1955:19 *26335:A3 0.0002083 -61 *1955:19 *1959:10 8.6229e-06 -62 *1955:19 *1993:8 6.71755e-05 -63 *1955:19 *2031:38 0.000596211 -64 *1955:19 *2157:25 0.00131779 -65 *1955:19 *2227:85 0.000320706 -66 *1955:19 *4364:69 1.26641e-05 -67 *1955:31 *25966:C 0.000120506 -68 *1955:31 *26298:B 9.51794e-05 -69 *1955:31 *26335:A3 0.000153745 -70 *1955:31 *26459:B 0.000287849 -71 *1955:31 *26481:A 0.000777492 -72 *1955:31 *26569:A2 8.36572e-05 -73 *1955:31 *1993:8 6.16276e-05 -74 *1955:31 *2187:22 9.5561e-05 -75 *1955:31 *2227:85 0.000224596 -76 *1955:31 *2400:10 5.51406e-05 -77 *1955:31 *4508:65 0.000556919 -78 *1955:31 *4519:209 0.00025708 -79 *1955:52 *26676:A1 0.000102882 -80 *1955:52 *2131:22 0.000602371 -81 *1955:52 *2260:33 0.000755473 -82 *1955:52 *4508:65 0.000218734 -83 *1955:52 *5782:46 4.13873e-05 -84 *1955:77 *2043:143 0.000507027 -85 *1955:77 *2136:43 0.000215468 -86 *1955:77 *2136:158 0.00035753 -87 *1955:77 *2161:11 6.52967e-05 -88 *1955:77 *2221:8 3.34783e-05 -89 *1955:99 *26476:A3 0.000423908 -90 *1955:99 *26477:B1 0.000639138 -91 *1955:99 *2013:18 8.27532e-05 -92 *1955:99 *2023:21 7.69776e-06 -93 *1955:99 *2043:143 0.000215737 -94 *1955:99 *2136:158 0.000680673 -95 *1955:99 *2161:189 0.000265447 -96 *1955:99 *2401:34 1.90936e-05 -97 *1955:115 *26072:B 5.87111e-05 -98 *1955:115 *26077:C 7.28917e-05 -99 *1955:115 *26280:B 0.000192776 -100 *1955:115 *26476:B1 8.03134e-05 -101 *1955:115 *1982:15 9.41642e-05 -102 *1955:115 *1982:24 8.42609e-05 -103 *1955:115 *1989:7 7.02611e-05 -104 *1955:115 *1989:80 0.000180015 -105 *1955:115 *1997:69 0.000571428 -106 *1955:115 *2001:18 0.000152045 -107 *1955:115 *2017:6 0.000304599 -108 *1955:115 *2072:45 0.000136021 -109 *1955:118 *26380:B1 7.50601e-05 -110 *1955:118 *26774:B2 4.73082e-05 -111 *1955:118 *1971:20 0.000719768 -112 *1955:118 *1971:25 0.000100823 -113 *1955:118 *1982:35 6.88458e-06 -114 *1955:118 *1983:32 0.000962051 -115 *1955:118 *1983:37 0.000211694 -116 *1955:118 *1983:52 0.000432005 -117 *1955:118 *1999:8 0.000738968 -118 *1955:118 *1999:28 1.66706e-05 -119 *1955:118 *1999:35 0 -120 *1955:118 *2072:46 4.60232e-06 -121 *1955:118 *2222:11 0.00107891 -122 *1955:118 *2310:16 9.01449e-05 -123 *1955:129 *26084:B 4.15183e-05 -124 *1955:129 *26378:B 0.000168255 -125 *1955:129 *26387:B1 0.000233677 -126 *1955:129 *26387:C1 0.00027333 -127 *1955:129 *26388:C 6.8646e-06 -128 *1955:129 *26422:B1 8.20967e-05 -129 *1955:129 *26425:A2 0.00010096 -130 *1955:129 *26760:A 0.00040881 -131 *1955:129 *26774:B2 0 -132 *1955:129 *1983:65 0.000195194 -133 *1955:129 *1999:28 0 -134 *1955:129 *1999:35 0 -135 *1955:129 *1999:44 0.000227755 -136 *1955:129 *2016:105 0.000205508 -137 *1955:129 *2099:70 0 -138 *1955:129 *2104:80 0.00012401 -139 *1955:129 *2135:18 0.000100823 -140 *1955:129 *2319:8 0.000156775 -141 *1955:129 *2594:15 1.02821e-05 -142 *1955:129 *2598:12 0.000168447 -143 *1955:129 *2606:11 0.000114992 -144 *1955:140 *26427:A2 3.10819e-05 -145 *1955:140 *26608:B 0.000455785 -146 *1955:140 *2318:20 0 -147 *1955:140 *2594:15 4.08637e-05 -148 *1955:142 *26427:A2 0.000171886 -149 *1955:142 *2318:20 0 -150 *1955:190 *26017:B1 9.41642e-05 -151 *1955:190 *2227:85 2.13481e-06 -152 *25510:A *26015:B1 2.70758e-05 -153 *25970:A *26015:B1 0.000567284 -154 *25970:A *1955:190 9.41642e-05 -155 *26377:A *1955:129 0 -156 *26379:B1 *1955:118 0 -157 *26405:B *1955:77 0.000178847 -158 *30593:A *1955:31 3.20316e-05 -159 *30595:A *1955:19 2.06112e-05 -160 *1186:40 *1955:77 0.000135127 -161 *1188:85 *26476:A1 0.000146295 -162 *1188:85 *1955:115 0.000902489 -163 *1188:97 *1955:115 9.75155e-05 -164 *1793:22 *26015:B1 0 -165 *1871:37 *26427:A1 0.000125029 -166 *1902:8 *26016:B1 5.38703e-05 -167 *1902:13 *26016:B1 2.67767e-05 -168 *1906:20 *1955:19 4.00349e-05 -169 *1911:53 *1955:31 0.000281266 -170 *1911:61 *1955:31 3.28534e-05 -171 *1911:61 *1955:52 0.000822018 -172 *1912:17 *26015:B1 4.23556e-05 -173 *1912:28 *1955:19 4.69738e-05 -174 *1932:31 *1955:52 0.0011186 -175 *1932:37 *1955:52 0.000642598 -176 *1935:135 *26286:B 0.000189564 -177 *1935:135 *1955:31 4.58194e-05 -178 *1938:30 *1955:118 0.000302389 -179 *1938:48 *1955:129 0.000189518 -180 *1938:52 *1955:129 1.92582e-05 -181 *1942:70 *26293:B2 1.90936e-05 -182 *1944:23 *26293:B2 0.0011179 -183 *1944:23 *1955:77 0.000410712 -184 *1944:116 *1955:99 0.00076571 -185 *1946:208 *1955:115 7.02611e-05 -186 *1950:22 *26476:A1 1.07719e-05 -187 *1952:26 *1955:118 2.59093e-05 -188 *1953:24 *1955:99 2.04825e-05 -189 *1953:111 *1955:140 0.000500476 -*RES -1 *26013:Y *1955:4 9.3 -2 *1955:4 *1955:19 23.1891 -3 *1955:19 *1955:31 35.1786 -4 *1955:31 *26286:B 13.55 -5 *1955:31 *1955:52 39.6689 -6 *1955:52 *26293:B2 20.6033 -7 *1955:52 *1955:77 19.7038 -8 *1955:77 *26219:A 9.72857 -9 *1955:77 *1955:99 34.7892 -10 *1955:99 *1955:115 44.7321 -11 *1955:115 *1955:118 41.2946 -12 *1955:118 *1955:129 37.1875 -13 *1955:129 *26425:A1 10.2464 -14 *1955:129 *1955:140 14.5714 -15 *1955:140 *1955:142 2.25 -16 *1955:142 *26545:A1 35.4786 -17 *1955:142 *26427:A1 15.175 -18 *1955:140 *26428:A1 13.8 -19 *1955:99 *26476:A1 19.9071 -20 *1955:19 *26298:A 9.3 -21 *1955:4 *1955:190 2.17857 -22 *1955:190 *26016:B1 21.8982 -23 *1955:190 *26015:B1 27.7643 -*END - -*D_NET *1956 0.0353886 -*CONN -*I *29010:A I *D sky130_fd_sc_hd__buf_6 -*I *26285:B I *D sky130_fd_sc_hd__or2_2 -*I *26275:A I *D sky130_fd_sc_hd__or2_4 -*I *26317:A I *D sky130_fd_sc_hd__or3_1 -*I *26528:B2 I *D sky130_fd_sc_hd__o221a_1 -*I *26696:B1 I *D sky130_fd_sc_hd__a31o_1 -*I *26014:X O *D sky130_fd_sc_hd__or4_4 -*CAP -1 *29010:A 0 -2 *26285:B 0 -3 *26275:A 0 -4 *26317:A 5.67928e-05 -5 *26528:B2 0.000282405 -6 *26696:B1 0 -7 *26014:X 0.00288895 -8 *1956:65 0.00117081 -9 *1956:50 0.00245728 -10 *1956:47 0.00202439 -11 *1956:42 0.00126734 -12 *1956:35 0.000990202 -13 *1956:21 0.000793278 -14 *1956:15 0.00299584 -15 *26317:A *26321:A1 0.000165385 -16 *26317:A *2131:163 2.14658e-05 -17 *26317:A *5914:99 0.000222666 -18 *26528:B2 *26528:A1 0.000473747 -19 *26528:B2 *26528:B1 5.82508e-05 -20 *26528:B2 *26529:B 0.000137417 -21 *1956:15 *25509:D_N 7.80762e-05 -22 *1956:15 *25962:C 6.33204e-05 -23 *1956:15 *25979:B1 9.12615e-05 -24 *1956:15 *26262:B 0.000724846 -25 *1956:15 *26334:C1 0 -26 *1956:15 *2046:51 0.00184593 -27 *1956:15 *2152:157 0.000415599 -28 *1956:15 *4297:15 0.00054847 -29 *1956:15 *4353:181 0.000209855 -30 *1956:15 *4386:13 9.59532e-06 -31 *1956:15 *4397:34 4.19624e-06 -32 *1956:15 *4552:15 3.97677e-05 -33 *1956:21 *4353:34 0.000142103 -34 *1956:21 *4563:21 0.000140578 -35 *1956:21 *5722:5 0.000124521 -36 *1956:35 *26262:B 2.23592e-05 -37 *1956:35 *26313:B 0 -38 *1956:35 *2038:10 9.14771e-06 -39 *1956:35 *2227:19 0.000326373 -40 *1956:35 *5722:5 0.000354056 -41 *1956:35 *5722:16 9.11048e-06 -42 *1956:47 *26205:B 0 -43 *1956:47 *26321:A1 0.000390994 -44 *1956:47 *26321:A2 0.000235483 -45 *1956:47 *2111:26 0 -46 *1956:47 *2144:16 0 -47 *1956:47 *2144:22 0 -48 *1956:47 *2277:8 0.000370497 -49 *1956:47 *5914:99 0.000389077 -50 *1956:50 *2038:30 0.000357962 -51 *1956:50 *2147:24 0.000360823 -52 *1956:50 *2147:38 0.000940131 -53 *1956:50 *2147:55 0.000232181 -54 *1956:50 *2204:30 9.72343e-05 -55 *1956:50 *2220:33 0.000251085 -56 *1956:50 *2220:54 0.000437934 -57 *1956:50 *2226:31 0.000135732 -58 *1956:50 *2244:13 0.00243863 -59 *1956:50 *2244:48 0.000268521 -60 *1956:65 *25968:A 0.000125724 -61 *1956:65 *26529:A 0.000125241 -62 *1956:65 *26535:A1 0.000137775 -63 *1956:65 *26696:A2 4.82947e-05 -64 *1956:65 *2130:50 0.000436598 -65 *1956:65 *2151:22 0.00114586 -66 *1956:65 *2155:25 1.9419e-05 -67 *1956:65 *2204:38 0.000608808 -68 *1956:65 *2220:54 0.000429118 -69 *1956:65 *2220:69 0.000495817 -70 *1956:65 *2234:23 9.76435e-06 -71 *1956:65 *2234:48 0.000156784 -72 *1956:65 *2244:13 0.0002085 -73 *1956:65 *2635:11 0.000222609 -74 spimemio_flash_io3_di *1956:42 0.000164633 -75 *26559:B *1956:15 6.13706e-05 -76 *30625:A *1956:21 0.000135028 -77 *30625:A *1956:35 0.000425005 -78 *30625:A *1956:42 5.33433e-05 -79 *1921:10 *1956:15 0.00025674 -80 *1935:8 *1956:50 9.16804e-07 -81 *1935:19 *1956:50 0.00027085 -82 *1935:25 *1956:65 0.00140433 -83 *1935:109 *1956:50 2.2927e-05 -84 *1935:122 *1956:50 0.000351465 -85 *1942:115 *1956:42 0 -86 *1942:115 *1956:47 0 -*RES -1 *26014:X *1956:15 49.2372 -2 *1956:15 *1956:21 12.3036 -3 *1956:21 *1956:35 20.5179 -4 *1956:35 *1956:42 10.6607 -5 *1956:42 *1956:47 27.5714 -6 *1956:47 *1956:50 46.2143 -7 *1956:50 *1956:65 46.1429 -8 *1956:65 *26696:B1 9.3 -9 *1956:50 *26528:B2 20.2286 -10 *1956:47 *26317:A 11.4786 -11 *1956:42 *26275:A 13.8 -12 *1956:35 *26285:B 9.3 -13 *1956:21 *29010:A 9.3 -*END - -*D_NET *1957 0.00375206 -*CONN -*I *26017:B1 I *D sky130_fd_sc_hd__a21o_4 -*I *26124:D1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26015:Y O *D sky130_fd_sc_hd__a31oi_2 -*CAP -1 *26017:B1 0.00025478 -2 *26124:D1 9.33388e-05 -3 *26015:Y 0.000317173 -4 *1957:12 0.000665291 -5 *26017:B1 *26013:A 0.000137983 -6 *26017:B1 *1959:10 0.000424029 -7 *26017:B1 *2227:85 7.68201e-05 -8 *26124:D1 *2033:12 9.18765e-06 -9 *1957:12 *26015:A2 5.71472e-05 -10 *1957:12 *26016:A1 0.000313465 -11 *1957:12 *2033:12 7.9352e-05 -12 *1957:12 *6203:23 4.30304e-05 -13 *25970:A *26124:D1 8.30045e-05 -14 *25970:A *1957:12 9.25014e-06 -15 *26015:A3 *1957:12 5.33005e-05 -16 *26016:A3 *1957:12 0.000307349 -17 *26017:A1 *26017:B1 2.30969e-05 -18 *26017:A2 *26017:B1 3.45806e-05 -19 *26124:C1 *26124:D1 5.32956e-05 -20 *30600:A *26124:D1 0.000159089 -21 *30600:A *1957:12 0.000177888 -22 *1788:17 *1957:12 0.000232148 -23 *1955:19 *26017:B1 5.33005e-05 -24 *1955:190 *26017:B1 9.41642e-05 -*RES -1 *26015:Y *1957:12 23.1929 -2 *1957:12 *26124:D1 16.05 -3 *1957:12 *26017:B1 20.1036 -*END - -*D_NET *1958 0.0178836 -*CONN -*I *26030:A I *D sky130_fd_sc_hd__nand3_2 -*I *26029:A I *D sky130_fd_sc_hd__and3_4 -*I *26183:A I *D sky130_fd_sc_hd__and2_2 -*I *26184:A I *D sky130_fd_sc_hd__nand2_2 -*I *26016:X O *D sky130_fd_sc_hd__a31o_2 -*CAP -1 *26030:A 4.98328e-06 -2 *26029:A 0.00010597 -3 *26183:A 0.000124835 -4 *26184:A 5.52496e-05 -5 *26016:X 7.35513e-05 -6 *1958:29 0.000306917 -7 *1958:22 0.00021162 -8 *1958:19 0.00201193 -9 *1958:8 0.00343605 -10 *1958:7 0.00158291 -11 *26029:A *2133:56 0.000219289 -12 *26030:A *2033:40 1.24469e-05 -13 *26183:A *26183:B 0.000150618 -14 *26183:A *1984:12 0.000387794 -15 *26183:A *2112:24 0.000199428 -16 *26184:A *26184:B 9.41642e-05 -17 *26184:A *2112:24 9.60939e-05 -18 *26184:A *2133:56 0.000175892 -19 *1958:7 *25973:B1 0.000137983 -20 *1958:8 *26556:B 8.55022e-05 -21 *1958:8 *26680:A2 6.51263e-05 -22 *1958:8 *26680:B1 1.24553e-05 -23 *1958:8 *2032:43 9.87983e-06 -24 *1958:8 *2033:19 0.00118363 -25 *1958:8 *2033:40 8.12241e-05 -26 *1958:8 *2250:82 0.000791926 -27 *1958:8 *4330:8 0.000808234 -28 *1958:8 *4364:92 0.00244853 -29 *1958:19 *26477:A1 0 -30 *1958:19 *1975:14 2.74588e-05 -31 *1958:19 *2026:16 0.000103262 -32 *1958:19 *2187:73 2.01997e-05 -33 *1958:19 *2250:64 0.000645963 -34 *1958:19 *2401:34 0.000110424 -35 *1958:22 *2033:40 0.000154697 -36 *1958:29 *2112:24 0.000208293 -37 *1958:29 *2133:56 0.000263108 -38 *30599:A *1958:8 0.000511261 -39 *1906:19 *1958:7 0.00022459 -40 *1917:8 *1958:8 0.000367416 -41 *1917:13 *1958:8 8.64873e-05 -42 *1931:45 *1958:8 2.188e-05 -43 *1946:195 *26183:A 2.32591e-05 -44 *1953:24 *1958:19 0.000108057 -45 *1953:38 *26030:A 2.06178e-05 -46 *1953:38 *1958:19 2.01997e-05 -47 *1953:38 *1958:22 9.22007e-05 -*RES -1 *26016:X *1958:7 15.9786 -2 *1958:7 *1958:8 47.9375 -3 *1958:8 *1958:19 17.7948 -4 *1958:19 *1958:22 6.59821 -5 *1958:22 *26184:A 11.0679 -6 *1958:22 *1958:29 2.58929 -7 *1958:29 *26183:A 23.2821 -8 *1958:29 *26029:A 11.4786 -9 *1958:19 *26030:A 14.0768 -*END - -*D_NET *1959 0.0255585 -*CONN -*I *26456:A I *D sky130_fd_sc_hd__nor3_1 -*I *26556:A I *D sky130_fd_sc_hd__or2_1 -*I *26122:D1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26018:B I *D sky130_fd_sc_hd__or2_2 -*I *26125:A3 I *D sky130_fd_sc_hd__o31ai_1 -*I *26073:A_N I *D sky130_fd_sc_hd__and3b_1 -*I *26681:A1 I *D sky130_fd_sc_hd__o311a_1 -*I *26113:B I *D sky130_fd_sc_hd__or3_1 -*I *26573:A1 I *D sky130_fd_sc_hd__o41a_1 -*I *26788:A1 I *D sky130_fd_sc_hd__o31a_1 -*I *26478:A1 I *D sky130_fd_sc_hd__o31a_1 -*I *26461:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *26459:A I *D sky130_fd_sc_hd__or2_1 -*I *26572:A1 I *D sky130_fd_sc_hd__o41a_1 -*I *26017:X O *D sky130_fd_sc_hd__a21o_4 -*CAP -1 *26456:A 0.000223519 -2 *26556:A 0 -3 *26122:D1 0 -4 *26018:B 4.44109e-05 -5 *26125:A3 4.47232e-05 -6 *26073:A_N 0.00016573 -7 *26681:A1 0.000427321 -8 *26113:B 9.02786e-05 -9 *26573:A1 0.000344483 -10 *26788:A1 8.93199e-05 -11 *26478:A1 5.47896e-05 -12 *26461:B1 0.000283009 -13 *26459:A 0.000188331 -14 *26572:A1 0 -15 *26017:X 0.000746877 -16 *1959:130 0.000772435 -17 *1959:127 0.000363436 -18 *1959:74 0.000753351 -19 *1959:69 0.000564054 -20 *1959:68 0.000639424 -21 *1959:65 0.000347429 -22 *1959:61 0.000232807 -23 *1959:59 0.000483706 -24 *1959:53 0.000448666 -25 *1959:36 0.000566931 -26 *1959:31 0.000967357 -27 *1959:19 0.000901923 -28 *1959:10 0.00136502 -29 *26018:B *2615:10 0.000225609 -30 *26018:B *5673:299 0.000225609 -31 *26073:A_N *26480:B1 5.33005e-05 -32 *26073:A_N *26789:C 0.000430515 -33 *26073:A_N *2015:15 0.000175892 -34 *26073:A_N *2401:23 5.49995e-05 -35 *26125:A3 *2244:48 4.43256e-05 -36 *26456:A *26456:B 9.71197e-05 -37 *26456:A *2039:29 0.000106943 -38 *26456:A *2039:40 1.54142e-05 -39 *26456:A *4364:69 0 -40 *26459:A *1993:8 6.9253e-05 -41 *26459:A *2250:94 0.000268396 -42 *26459:A *2400:10 5.52302e-05 -43 *26461:B1 *2260:33 0.000126292 -44 *26461:B1 *2401:23 0.000107786 -45 *26478:A1 *2401:23 5.77724e-05 -46 *26573:A1 *26113:C 4.25523e-05 -47 *26573:A1 *26458:A3 4.46186e-06 -48 *26573:A1 *4475:34 0.000224051 -49 *26573:A1 *5914:99 1.74352e-05 -50 *26681:A1 *26478:B1 0.00038021 -51 *26681:A1 *1984:29 0.00016641 -52 *26681:A1 *2031:73 0.000515517 -53 *26788:A1 *26789:C 0.000221634 -54 *26788:A1 *1960:24 0.000112995 -55 *1959:10 *2066:9 0.000191805 -56 *1959:10 *2227:85 5.20359e-06 -57 *1959:10 *4364:69 0.000341802 -58 *1959:19 *26087:C_N 0.000286135 -59 *1959:19 *26556:B 0.000269428 -60 *1959:19 *2066:9 4.48323e-05 -61 *1959:19 *2496:8 0.000139907 -62 *1959:19 *5544:15 3.45453e-05 -63 *1959:31 *26557:A 6.88287e-05 -64 *1959:31 *2031:68 3.06406e-05 -65 *1959:31 *2217:77 0 -66 *1959:31 *2240:29 0.000116734 -67 *1959:31 *2250:94 0.000136624 -68 *1959:31 *2497:14 0.000177821 -69 *1959:31 *2511:25 7.6644e-05 -70 *1959:31 *2512:20 4.53889e-05 -71 *1959:36 *26122:C1 0.000112962 -72 *1959:36 *1993:8 1.76135e-05 -73 *1959:36 *1993:10 3.15517e-05 -74 *1959:36 *2240:29 0.000252747 -75 *1959:36 *4508:65 8.01383e-05 -76 *1959:53 *2250:94 0.000136357 -77 *1959:59 *26122:C1 1.94945e-05 -78 *1959:59 *26123:A3 0.000260487 -79 *1959:59 *2250:94 0.000758483 -80 *1959:61 *26123:A3 4.66203e-05 -81 *1959:61 *2250:94 0.000166503 -82 *1959:65 *2250:94 5.41794e-05 -83 *1959:69 *26113:C 9.01334e-05 -84 *1959:74 *26108:A 9.58689e-05 -85 *1959:74 *26113:C 0.000139069 -86 *1959:74 *26478:B1 2.42516e-05 -87 *1959:74 *2038:94 1.65169e-05 -88 *1959:127 *26113:C 0.000134192 -89 *1959:127 *2244:48 4.30328e-05 -90 *1959:130 *26567:A1 9.78799e-05 -91 *1959:130 *2031:68 2.26327e-05 -92 *1959:130 *2031:73 5.23533e-05 -93 *1959:130 *2032:67 7.50601e-05 -94 *1959:130 *2398:8 1.21837e-05 -95 *25986:B *1959:59 0.000170654 -96 *26017:B1 *1959:10 0.000424029 -97 *26052:B *26573:A1 0.000168089 -98 *26113:A *1959:127 9.90431e-05 -99 *26125:A2 *1959:130 9.22948e-05 -100 *30599:A *26456:A 1.24368e-05 -101 *30600:A *1959:19 9.60875e-05 -102 *1771:43 *1959:61 6.81083e-05 -103 *1771:43 *1959:65 5.59013e-05 -104 *1771:48 *26125:A3 1.39726e-05 -105 *1906:20 *1959:10 7.3793e-05 -106 *1907:22 *26573:A1 0 -107 *1907:22 *1959:53 0 -108 *1912:17 *1959:10 3.05475e-05 -109 *1914:12 *1959:31 1.31516e-05 -110 *1914:12 *1959:130 1.87097e-05 -111 *1917:8 *1959:10 7.33494e-06 -112 *1917:8 *1959:19 0.000349855 -113 *1922:19 *1959:31 4.75686e-05 -114 *1925:8 *26573:A1 0.000422118 -115 *1925:8 *1959:69 0.000166276 -116 *1925:8 *1959:74 0.000283227 -117 *1927:15 *26459:A 0.000150618 -118 *1927:15 *26573:A1 9.60875e-05 -119 *1927:15 *1959:36 8.77729e-05 -120 *1928:17 *26681:A1 0.000467842 -121 *1929:13 *26125:A3 5.52302e-05 -122 *1929:13 *1959:127 0.000290027 -123 *1931:45 *26461:B1 0.000119174 -124 *1931:45 *26478:A1 5.49995e-05 -125 *1931:45 *1959:74 2.57826e-06 -126 *1933:11 *26113:B 0.000139907 -127 *1933:11 *26125:A3 0.000169366 -128 *1933:11 *1959:68 0.000301438 -129 *1933:11 *1959:127 0.000602243 -130 *1935:156 *1959:31 9.25014e-06 -131 *1953:24 *26681:A1 0.000515987 -132 *1953:24 *1959:130 0.000258436 -133 *1955:19 *1959:10 8.6229e-06 -*RES -1 *26017:X *1959:10 25.4964 -2 *1959:10 *1959:19 14.4821 -3 *1959:19 *1959:31 24.4672 -4 *1959:31 *1959:36 5.5093 -5 *1959:36 *26572:A1 13.8 -6 *1959:36 *26459:A 18.3357 -7 *1959:31 *1959:53 5.20464 -8 *1959:53 *1959:59 10.8036 -9 *1959:59 *1959:61 2.25 -10 *1959:61 *1959:65 5.23214 -11 *1959:65 *1959:68 7.5 -12 *1959:68 *1959:69 2.25 -13 *1959:69 *1959:74 11.6786 -14 *1959:74 *26461:B1 14.7107 -15 *1959:74 *26478:A1 10.8714 -16 *1959:69 *26788:A1 16.4071 -17 *1959:68 *26573:A1 23.3 -18 *1959:65 *26113:B 10.6571 -19 *1959:61 *1959:127 10.375 -20 *1959:127 *1959:130 9.78571 -21 *1959:130 *26681:A1 26.3714 -22 *1959:130 *26073:A_N 18.0321 -23 *1959:127 *26125:A3 11.0679 -24 *1959:59 *26018:B 15.9786 -25 *1959:53 *26122:D1 13.8 -26 *1959:19 *26556:A 9.3 -27 *1959:10 *26456:A 17.6393 -*END - -*D_NET *1960 0.00607441 -*CONN -*I *26085:B I *D sky130_fd_sc_hd__nor2_1 -*I *26457:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26676:A1 I *D sky130_fd_sc_hd__o22a_1 -*I *26479:A1 I *D sky130_fd_sc_hd__o221a_1 -*I *26019:A I *D sky130_fd_sc_hd__inv_2 -*I *26018:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *26085:B 0.000101885 -2 *26457:A1 1.99857e-05 -3 *26676:A1 0.000158923 -4 *26479:A1 0.000100962 -5 *26019:A 5.84978e-05 -6 *26018:X 0 -7 *1960:24 0.000669179 -8 *1960:20 0.000511821 -9 *1960:6 0.000269341 -10 *1960:5 0.000230187 -11 *26019:A *26480:A2 0.000138881 -12 *26019:A *1995:10 5.41794e-05 -13 *26019:A *2032:67 0.000136958 -14 *26085:B *1995:10 0.000207762 -15 *26085:B *2027:13 1.24368e-05 -16 *26457:A1 *2032:67 0 -17 *26457:A1 *2032:76 1.04232e-05 -18 *26479:A1 *26479:B2 5.33005e-05 -19 *26479:A1 *26481:A 0.000188379 -20 *26479:A1 *2226:62 1.58163e-05 -21 *26676:A1 *26481:A 0.00036083 -22 *26676:A1 *26676:A2 9.41642e-05 -23 *26676:A1 *2615:10 2.14658e-05 -24 *26676:A1 *4508:65 8.50152e-05 -25 *1960:6 *1995:10 0.000318663 -26 *1960:20 *2032:67 0.000116744 -27 *1960:20 *2032:76 1.02821e-05 -28 *1960:20 *2250:82 0.000224161 -29 *1960:24 *26788:A2 0.000172309 -30 *1960:24 *26789:C 0.000117931 -31 *1960:24 *2032:76 5.33433e-05 -32 *1960:24 *2131:158 0.000135028 -33 *1960:24 *2250:82 7.32955e-05 -34 *1960:24 *6291:54 0.000175892 -35 *26788:A1 *1960:24 0.000112995 -36 *1908:27 *26019:A 5.41794e-05 -37 *1908:27 *26085:B 0.000218012 -38 *1908:27 *1960:6 0.000327913 -39 *1932:31 *26479:A1 0.000191618 -40 *1932:31 *26676:A1 0.000115474 -41 *1935:135 *26085:B 5.33005e-05 -42 *1955:52 *26676:A1 0.000102882 -*RES -1 *26018:X *1960:5 13.8 -2 *1960:5 *1960:6 4.375 -3 *1960:6 *26019:A 15.8893 -4 *1960:6 *1960:20 7.10714 -5 *1960:20 *1960:24 13.6607 -6 *1960:24 *26479:A1 16.8893 -7 *1960:24 *26676:A1 19.425 -8 *1960:20 *26457:A1 9.72857 -9 *1960:5 *26085:B 17.1929 -*END - -*D_NET *1961 0.00297589 -*CONN -*I *26567:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *26480:A2 I *D sky130_fd_sc_hd__a211oi_1 -*I *26019:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *26567:A1 0.000243547 -2 *26480:A2 0.00033354 -3 *26019:Y 0 -4 *1961:4 0.000577087 -5 *26480:A2 *26073:B 4.29824e-05 -6 *26480:A2 *26123:C1 7.52178e-05 -7 *26480:A2 *26457:B1 0.000272491 -8 *26480:A2 *2032:67 0.000109588 -9 *26480:A2 *2250:82 9.99644e-06 -10 *26480:A2 *2398:8 0.000219711 -11 *26480:A2 *2401:23 0.000296297 -12 *26480:A2 *2500:26 0.000169588 -13 *26567:A1 *26460:A 0.000219366 -14 *26567:A1 *26789:C 1.4796e-05 -15 *26567:A1 *2398:8 7.77946e-05 -16 *26019:A *26480:A2 0.000138881 -17 *26480:A1 *26480:A2 6.62859e-05 -18 *1914:12 *26567:A1 1.08359e-05 -19 *1959:130 *26567:A1 9.78799e-05 -*RES -1 *26019:Y *1961:4 9.3 -2 *1961:4 *26480:A2 28.1036 -3 *1961:4 *26567:A1 22.2286 -*END - -*D_NET *1962 0.0149278 -*CONN -*I *26505:A1 I *D sky130_fd_sc_hd__a21oi_1 -*I *26662:A1 I *D sky130_fd_sc_hd__a31o_1 -*I *26644:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *26020:X O *D sky130_fd_sc_hd__or3_2 -*CAP -1 *26505:A1 0.000500292 -2 *26662:A1 2.37855e-05 -3 *26644:A2 0.000381248 -4 *26020:X 0.000557256 -5 *1962:30 0.00278456 -6 *1962:8 0.00319899 -7 *26505:A1 *26509:B 7.0528e-05 -8 *26505:A1 *26662:B1 0.000304387 -9 *26505:A1 *26663:C_N 8.25843e-06 -10 *26505:A1 *1972:33 0.000125879 -11 *26505:A1 *2099:68 0 -12 *26505:A1 *2107:27 0.000139907 -13 *26505:A1 *2324:20 0.000277304 -14 *26644:A2 *26544:C1 5.49544e-05 -15 *26644:A2 *26549:C_N 0.000219478 -16 *26644:A2 *26644:B1 4.17433e-05 -17 *26644:A2 *2127:27 0.000126169 -18 *26644:A2 *2145:91 8.05747e-05 -19 *26644:A2 *2161:35 0.000625155 -20 *26644:A2 *2176:70 2.42516e-05 -21 *26644:A2 *2208:8 0.000242657 -22 *26644:A2 *3510:41 0.000180355 -23 *1962:8 *26020:A 4.58194e-05 -24 *1962:8 *2048:19 0.000174805 -25 *1962:8 *2054:53 3.22738e-05 -26 *1962:8 *2127:27 0.000183617 -27 *1962:8 *2142:25 1.27784e-05 -28 *1962:8 *2161:35 0.00120953 -29 *1962:30 *26403:B1 0.000136951 -30 *1962:30 *26662:A3 1.39841e-05 -31 *1962:30 *26663:C_N 2.13481e-06 -32 *1962:30 *2234:19 0.000220306 -33 *1962:30 *2234:23 0.000756428 -34 *25998:B *1962:30 0.000472721 -35 *26020:C *1962:8 0.000134218 -36 *26225:D1 *1962:30 2.65242e-05 -37 *1186:55 *26644:A2 0.000164865 -38 *1186:55 *1962:8 4.80534e-05 -39 *1910:27 *1962:8 0.000843161 -40 *1946:81 *26644:A2 0.000481858 -*RES -1 *26020:X *1962:8 31.5857 -2 *1962:8 *26644:A2 28.7464 -3 *1962:8 *1962:30 33.6786 -4 *1962:30 *26662:A1 9.72857 -5 *1962:30 *26505:A1 27.3714 -*END - -*D_NET *1963 0.0154889 -*CONN -*I *26108:A I *D sky130_fd_sc_hd__or3b_1 -*I *26195:C1 I *D sky130_fd_sc_hd__o211a_1 -*I *26403:A1 I *D sky130_fd_sc_hd__a21oi_1 -*I *26021:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26108:A 0.000701814 -2 *26195:C1 0 -3 *26403:A1 0.000182312 -4 *26021:Y 0.000255592 -5 *1963:8 0.00152644 -6 *1963:7 0.00230154 -7 *26108:A *26123:A3 0.000180202 -8 *26108:A *26123:B1 5.33005e-05 -9 *26108:A *26123:C1 9.41642e-05 -10 *26108:A *26478:B1 0.000160871 -11 *26108:A *26481:D 9.0145e-05 -12 *26108:A *2050:11 0.00013174 -13 *26108:A *2065:21 0.000172201 -14 *26108:A *2187:22 0.000435512 -15 *26108:A *2226:84 0.000232952 -16 *26108:A *5673:264 0.000427983 -17 *26403:A1 *26403:A2 0.000135028 -18 *26403:A1 *26403:B1 5.52238e-05 -19 *26403:A1 *2032:170 0.00038101 -20 *26403:A1 *2123:8 0.000379302 -21 *26403:A1 *2344:8 2.46387e-05 -22 *1963:8 *26164:C 4.34069e-05 -23 *1963:8 *26178:A1 1.27784e-05 -24 *1963:8 *26178:A2 0.000144447 -25 *1963:8 *2032:150 4.99069e-05 -26 *1963:8 *2032:169 0.000781319 -27 *1963:8 *2032:170 0.00159573 -28 *1963:8 *2103:11 0.000205912 -29 *1963:8 *2105:31 1.30327e-05 -30 *1963:8 *2120:21 0.000199726 -31 *1963:8 *2123:6 0.000493303 -32 *1963:8 *2123:8 0.000405889 -33 *1963:8 *2187:22 0.000383595 -34 *1963:8 *2187:109 1.09232e-05 -35 *1963:8 *5673:264 0.0014503 -36 *1963:8 *5782:51 1.08524e-05 -37 *1963:8 *6291:123 3.46889e-05 -38 *26108:C_N *26108:A 0.000135028 -39 *26405:A *1963:8 0.000395237 -40 *1186:15 *26108:A 0.000180777 -41 *1189:14 *1963:8 0.000351101 -42 *1908:56 *1963:8 4.57599e-05 -43 *1925:8 *26108:A 0.000231298 -44 *1931:45 *26108:A 2.19907e-05 -45 *1934:13 *1963:7 8.25843e-06 -46 *1934:22 *1963:7 8.70873e-05 -47 *1945:47 *1963:8 0.000178709 -48 *1959:74 *26108:A 9.58689e-05 -*RES -1 *26021:Y *1963:7 16.8 -2 *1963:7 *1963:8 45.6607 -3 *1963:8 *26403:A1 20.1393 -4 *1963:8 *26195:C1 13.8 -5 *1963:7 *26108:A 43.0321 -*END - -*D_NET *1964 0.0139492 -*CONN -*I *26025:B I *D sky130_fd_sc_hd__nand2_2 -*I *26037:A2 I *D sky130_fd_sc_hd__a21boi_2 -*I *26033:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *26032:A2 I *D sky130_fd_sc_hd__a21oi_1 -*I *26742:A1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26162:B I *D sky130_fd_sc_hd__nand2_8 -*I *26031:B I *D sky130_fd_sc_hd__xnor2_2 -*I *26036:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *26038:A2 I *D sky130_fd_sc_hd__a21oi_1 -*I *26026:C I *D sky130_fd_sc_hd__and3_1 -*I *26022:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *26025:B 0.000101429 -2 *26037:A2 0 -3 *26033:A2 0 -4 *26032:A2 0.000268808 -5 *26742:A1 0.000436698 -6 *26162:B 0 -7 *26031:B 1.42796e-05 -8 *26036:A2 4.51101e-05 -9 *26038:A2 0 -10 *26026:C 6.3889e-05 -11 *26022:X 0.000352881 -12 *1964:89 0.000371355 -13 *1964:66 0.000804714 -14 *1964:52 0.000562393 -15 *1964:51 0.000288875 -16 *1964:41 0.000336022 -17 *1964:37 0.000464962 -18 *1964:28 0.000388708 -19 *1964:19 0.000552592 -20 *1964:7 0.000659506 -21 *26025:B *1968:11 2.84109e-05 -22 *26025:B *1968:20 2.12005e-05 -23 *26025:B *2001:17 0.00025555 -24 *26026:C *2031:77 0.000176226 -25 *26031:B *2133:56 5.33005e-05 -26 *26032:A2 *26033:B1 0.000178234 -27 *26032:A2 *1975:14 3.98662e-05 -28 *26036:A2 *1978:5 0.000119475 -29 *26742:A1 *26162:A 0.00021023 -30 *26742:A1 *26742:B1 0.000262504 -31 *26742:A1 *26742:C1 5.33005e-05 -32 *26742:A1 *2104:34 0.000737118 -33 *26742:A1 *4508:227 0.000122933 -34 *1964:19 *26059:B 0.00038527 -35 *1964:19 *1968:11 6.05161e-06 -36 *1964:19 *1969:12 1.98839e-05 -37 *1964:19 *2001:17 0.000546198 -38 *1964:28 *26039:A 2.28578e-05 -39 *1964:28 *1968:20 8.33463e-05 -40 *1964:28 *1984:12 0.000172593 -41 *1964:37 *26038:B1 0.000305381 -42 *1964:37 *26039:B 1.21258e-05 -43 *1964:37 *1979:7 0.000426141 -44 *1964:41 *26038:B1 0.000348207 -45 *1964:41 *26059:A 8.25897e-05 -46 *1964:41 *1978:5 0.000168177 -47 *1964:41 *1979:7 8.43535e-06 -48 *1964:51 *1978:5 3.33174e-05 -49 *1964:52 *1975:14 0.000145722 -50 *1964:52 *2103:39 0.000216304 -51 *1964:52 *2136:51 8.5662e-05 -52 *1964:52 *2233:37 4.34472e-05 -53 *1964:66 *26162:A 9.60875e-05 -54 *1964:66 *26229:C 0.000196269 -55 *1964:66 *2103:39 0.000150416 -56 *1964:66 *2107:11 6.2589e-06 -57 *1964:66 *2110:12 0 -58 *1964:66 *2136:51 2.46318e-05 -59 *1964:66 *2139:26 0.000266092 -60 *1964:66 *2174:8 1.721e-05 -61 *1964:66 *2210:17 9.25014e-06 -62 *1964:89 *1975:14 2.89016e-05 -63 *1964:89 *2136:51 8.14438e-05 -64 *1964:89 *2233:37 0.000173804 -65 *26027:A1 *1964:19 5.74499e-06 -66 *26027:B1 *1964:19 2.59355e-05 -67 *26033:A1 *26032:A2 0.000137983 -68 *26037:A1 *1964:41 9.71197e-05 -69 *26038:A1 *1964:37 7.28988e-05 -70 *26060:A *26742:A1 0.000222666 -71 *26060:A *1964:66 9.41642e-05 -72 *26196:A2 *26742:A1 0.000125245 -73 *1171:19 *1964:28 0.000146474 -74 *1187:25 *26025:B 1.3701e-05 -75 *1187:41 *26025:B 4.63078e-05 -76 *1862:28 *26742:A1 0.000320995 -77 *1874:27 *26031:B 5.52302e-05 -78 *1874:27 *1964:52 5.41797e-06 -79 *1874:27 *1964:66 3.34366e-05 -80 *1902:59 *26026:C 1.95435e-05 -81 *1907:27 *26032:A2 9.41642e-05 -82 *1908:44 *26026:C 0.000176226 -83 *1943:18 *1964:28 0.000123288 -*RES -1 *26022:X *1964:7 13.9607 -2 *1964:7 *26026:C 20.7464 -3 *1964:7 *1964:19 6.28571 -4 *1964:19 *1964:28 14.0357 -5 *1964:28 *26038:A2 9.3 -6 *1964:28 *1964:37 5.39286 -7 *1964:37 *1964:41 6.91964 -8 *1964:41 *26036:A2 10.4518 -9 *1964:41 *1964:51 4.83036 -10 *1964:51 *1964:52 4.98214 -11 *1964:52 *26031:B 14.3357 -12 *1964:52 *1964:66 13.6964 -13 *1964:66 *26162:B 9.3 -14 *1964:66 *26742:A1 33.4429 -15 *1964:51 *1964:89 7.28571 -16 *1964:89 *26032:A2 14.8 -17 *1964:89 *26033:A2 9.3 -18 *1964:37 *26037:A2 9.3 -19 *1964:19 *26025:B 12.6214 -*END - -*D_NET *1965 0.00807281 -*CONN -*I *26024:B I *D sky130_fd_sc_hd__nor2_4 -*I *26537:A1 I *D sky130_fd_sc_hd__o22a_1 -*I *26638:A1 I *D sky130_fd_sc_hd__a21oi_1 -*I *26023:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *26024:B 6.07599e-05 -2 *26537:A1 0 -3 *26638:A1 0 -4 *26023:X 8.04709e-05 -5 *1965:53 0.000821603 -6 *1965:31 0.00223408 -7 *1965:8 0.0015537 -8 *1965:8 *25968:B 6.26796e-05 -9 *1965:8 *26024:A 4.51632e-05 -10 *1965:31 *26190:A 0.000175892 -11 *1965:31 *26192:A 7.72101e-05 -12 *1965:31 *26537:B1 9.41642e-05 -13 *1965:31 *2162:17 0.000143967 -14 *1965:31 *2190:122 0.000131496 -15 *1965:31 *2226:38 0.00028325 -16 *1965:31 *6169:44 0.000185093 -17 *1965:53 *26190:A 0.000171224 -18 *1965:53 *26638:B1 6.05161e-06 -19 *1965:53 *2047:81 0.000234355 -20 *1965:53 *2151:22 0.000331977 -21 *1965:53 *2204:114 0 -22 *1965:53 *2220:69 0.000334192 -23 *1965:53 *2234:48 0.00021236 -24 *1965:53 *6169:44 0.000216793 -25 *26020:C *1965:31 0 -26 *26190:B *1965:53 2.93922e-05 -27 *1935:25 *1965:53 0.000393587 -28 *1942:70 *1965:8 0.000193349 -*RES -1 *26023:X *1965:8 20.8536 -2 *1965:8 *1965:31 41.9279 -3 *1965:31 *1965:53 41.0218 -4 *1965:53 *26638:A1 9.3 -5 *1965:31 *26537:A1 9.3 -6 *1965:8 *26024:B 10.2643 -*END - -*D_NET *1966 0.0390511 -*CONN -*I *26148:A I *D sky130_fd_sc_hd__nand2_1 -*I *26140:A I *D sky130_fd_sc_hd__nand2_1 -*I *26073:B I *D sky130_fd_sc_hd__and3b_1 -*I *26049:A I *D sky130_fd_sc_hd__nand2_1 -*I *26136:A I *D sky130_fd_sc_hd__nand2_1 -*I *26366:A I *D sky130_fd_sc_hd__and3_1 -*I *26356:B I *D sky130_fd_sc_hd__and3_1 -*I *26519:A1 I *D sky130_fd_sc_hd__a31o_1 -*I *26072:A I *D sky130_fd_sc_hd__nand2_1 -*I *26071:A I *D sky130_fd_sc_hd__nand2_1 -*I *26042:A I *D sky130_fd_sc_hd__nand2_1 -*I *26024:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *26148:A 0.000247143 -2 *26140:A 2.23854e-05 -3 *26073:B 0.000186736 -4 *26049:A 0.000424553 -5 *26136:A 1.69531e-05 -6 *26366:A 0.000309179 -7 *26356:B 0.000202755 -8 *26519:A1 0.000448539 -9 *26072:A 2.30855e-05 -10 *26071:A 0 -11 *26042:A 2.03441e-05 -12 *26024:Y 0.00181643 -13 *1966:137 0.000979192 -14 *1966:109 0.00171061 -15 *1966:104 0.0023374 -16 *1966:95 0.00126425 -17 *1966:62 0.000787503 -18 *1966:58 0.00109689 -19 *1966:57 0.00145739 -20 *1966:47 0.000323547 -21 *1966:39 0.00115221 -22 *1966:17 0.00277554 -23 *26042:A *26042:B 5.7661e-06 -24 *26049:A *26049:B 2.59355e-05 -25 *26049:A *26562:A3 0 -26 *26049:A *2025:114 3.2006e-05 -27 *26049:A *2032:255 4.19624e-06 -28 *26049:A *2144:95 5.52238e-05 -29 *26049:A *2500:28 0 -30 *26073:B *26123:C1 7.44896e-05 -31 *26073:B *26457:B1 7.29712e-05 -32 *26073:B *26480:B1 0.000297781 -33 *26073:B *2401:23 2.43805e-05 -34 *26073:B *2500:28 0 -35 *26136:A *26451:B1 5.33005e-05 -36 *26136:A *2136:158 5.33005e-05 -37 *26148:A *26148:B 0.000135028 -38 *26148:A *2090:8 7.34187e-06 -39 *26356:B *2367:5 0.000247847 -40 *26366:A *26445:A 0.000307343 -41 *26366:A *26445:B 8.25843e-06 -42 *26366:A *26445:C 1.98839e-05 -43 *26366:A *26445:D 5.33005e-05 -44 *26366:A *26446:B 1.21258e-05 -45 *26519:A1 *26519:A2 1.58163e-05 -46 *26519:A1 *26623:A 8.32171e-05 -47 *26519:A1 *26797:C1 3.29607e-05 -48 *26519:A1 *2099:13 0.00011327 -49 *26519:A1 *2297:44 3.97677e-05 -50 *26519:A1 *2460:8 0.000175892 -51 *26519:A1 *5723:26 9.91086e-05 -52 *1966:17 *26042:B 5.33433e-05 -53 *1966:17 *26045:A 0.000345257 -54 *1966:17 *26045:B 5.33005e-05 -55 *1966:17 *26162:A 0.000153745 -56 *1966:17 *26226:A1 0.000381839 -57 *1966:17 *26226:A3 7.38616e-05 -58 *1966:17 *26226:A4 0.000115051 -59 *1966:17 *26271:A 9.60939e-05 -60 *1966:17 *26406:B1_N 0.000257619 -61 *1966:17 *1987:5 0.000139189 -62 *1966:17 *2152:102 0.000128161 -63 *1966:17 *2484:20 0.000129868 -64 *1966:17 *6291:123 0.000140769 -65 *1966:39 *26042:B 0.000107769 -66 *1966:39 *26349:A1 0.000175248 -67 *1966:39 *26355:C 0.000364486 -68 *1966:39 *1969:17 7.17259e-05 -69 *1966:39 *1969:20 9.48286e-05 -70 *1966:39 *1972:11 0.000246342 -71 *1966:39 *1975:14 0.000686499 -72 *1966:39 *2010:16 0.000178847 -73 *1966:39 *2013:8 4.35421e-05 -74 *1966:39 *2023:15 0.000182117 -75 *1966:39 *2072:46 4.28365e-05 -76 *1966:39 *2113:65 0.000322313 -77 *1966:39 *2127:77 6.51946e-05 -78 *1966:39 *2222:11 4.11218e-05 -79 *1966:39 *2234:16 0.000122941 -80 *1966:39 *2291:11 7.48301e-06 -81 *1966:47 *26072:B 5.52302e-05 -82 *1966:47 *2001:30 6.12335e-05 -83 *1966:47 *2010:16 0.00011991 -84 *1966:47 *2014:8 5.33005e-05 -85 *1966:47 *2291:11 0.000171241 -86 *1966:57 *26066:B 2.59355e-05 -87 *1966:57 *2001:30 0.000103977 -88 *1966:57 *2014:8 0.000221641 -89 *1966:57 *2291:11 2.14658e-05 -90 *1966:58 *26067:A 5.78834e-05 -91 *1966:58 *26797:C1 0.000262806 -92 *1966:58 *1977:6 0.000126958 -93 *1966:58 *1977:15 0.000301969 -94 *1966:58 *2001:36 0.000343696 -95 *1966:58 *2005:45 0.00038803 -96 *1966:58 *2016:41 0.000269529 -97 *1966:58 *2053:34 1.18589e-05 -98 *1966:58 *2075:15 0.000336346 -99 *1966:58 *5545:21 1.17968e-05 -100 *1966:58 *5723:26 0.000106924 -101 *1966:62 *26797:C1 8.4841e-05 -102 *1966:62 *2099:13 9.25014e-06 -103 *1966:62 *2298:11 1.90936e-05 -104 *1966:62 *5723:26 0.000203305 -105 *1966:95 *26485:B1 0.000223118 -106 *1966:95 *2008:35 0.000123288 -107 *1966:95 *2008:46 0.000125992 -108 *1966:95 *2014:8 0.00068421 -109 *1966:95 *2024:40 6.54117e-05 -110 *1966:95 *2075:15 6.37408e-06 -111 *1966:95 *5545:21 0.000313374 -112 *1966:104 *26485:B1 0.000559208 -113 *1966:104 *2007:64 0 -114 *1966:104 *2024:40 0.0012565 -115 *1966:104 *2427:21 0.000197691 -116 *1966:104 *2684:11 0.000180088 -117 *1966:109 *26581:B 0.00206024 -118 *1966:109 *2032:255 0.000320315 -119 *1966:109 *2038:193 0.00208565 -120 *1966:137 *26137:A 0.000265453 -121 *1966:137 *26137:B 0.000165189 -122 *1966:137 *2007:64 0 -123 *1966:137 *2016:194 0.00021305 -124 *1966:137 *2019:50 0.000117452 -125 *1966:137 *2024:10 7.57466e-05 -126 *1966:137 *2024:40 0.000290871 -127 *1966:137 *2079:8 8.6229e-06 -128 *26480:A1 *26073:B 6.47254e-05 -129 *26480:A1 *1966:109 0.000200592 -130 *26480:A2 *26073:B 4.29824e-05 -131 *1771:48 *1966:137 1.21371e-05 -132 *1771:58 *26148:A 0.000151587 -133 *1771:58 *1966:137 4.43256e-05 -134 *1874:27 *1966:39 9.38524e-05 -135 *1908:27 *26049:A 0.000184564 -136 *1908:27 *26073:B 3.9109e-05 -137 *1914:12 *26049:A 0.000125778 -138 *1938:151 *26519:A1 7.98242e-05 -139 *1938:159 *26519:A1 0.000216755 -140 *1943:18 *1966:39 0.000108095 -141 *1950:37 *1966:47 0.000180342 -142 *1950:181 *1966:137 0.000325828 -*RES -1 *26024:Y *1966:17 49.5143 -2 *1966:17 *26042:A 9.72857 -3 *1966:17 *1966:39 33.0934 -4 *1966:39 *26071:A 9.3 -5 *1966:39 *1966:47 5.89286 -6 *1966:47 *26072:A 9.72857 -7 *1966:47 *1966:57 7.08929 -8 *1966:57 *1966:58 20.1607 -9 *1966:58 *1966:62 3.39286 -10 *1966:62 *26519:A1 22.7643 -11 *1966:62 *26356:B 16.2911 -12 *1966:58 *26366:A 18.8536 -13 *1966:57 *1966:95 19.3824 -14 *1966:95 *26136:A 14.3357 -15 *1966:95 *1966:104 16.3661 -16 *1966:104 *1966:109 11.6955 -17 *1966:109 *26049:A 22.0589 -18 *1966:109 *26073:B 18.9339 -19 *1966:104 *1966:137 20.3839 -20 *1966:137 *26140:A 9.72857 -21 *1966:137 *26148:A 13.8179 -*END - -*D_NET *1967 0.017744 -*CONN -*I *26311:A I *D sky130_fd_sc_hd__nor2_1 -*I *26351:A1 I *D sky130_fd_sc_hd__o31a_1 -*I *26363:A I *D sky130_fd_sc_hd__nor2_1 -*I *26294:A I *D sky130_fd_sc_hd__nor2_1 -*I *26144:A I *D sky130_fd_sc_hd__or2_1 -*I *26115:A I *D sky130_fd_sc_hd__nand2_1 -*I *26292:B I *D sky130_fd_sc_hd__or2_2 -*I *26025:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *26311:A 0.000386262 -2 *26351:A1 0 -3 *26363:A 0.000192 -4 *26294:A 0 -5 *26144:A 2.30855e-05 -6 *26115:A 0.000641455 -7 *26292:B 0.000622016 -8 *26025:Y 0.000121998 -9 *1967:59 0.000376925 -10 *1967:50 0.0004562 -11 *1967:44 0.000791965 -12 *1967:36 0.00130836 -13 *1967:12 0.001877 -14 *1967:8 0.00188638 -15 *26115:A *26115:B 7.7601e-05 -16 *26115:A *1973:35 4.88631e-05 -17 *26115:A *2002:5 0.000108341 -18 *26115:A *2057:8 5.95527e-06 -19 *26292:B *1973:35 6.89223e-05 -20 *26292:B *2234:16 9.66977e-05 -21 *26311:A *26311:B 0.000600948 -22 *26311:A *1997:61 8.19105e-05 -23 *26311:A *2253:7 0.000158902 -24 *26363:A *26363:B 8.26197e-05 -25 *26363:A *2293:15 1.02936e-05 -26 *26363:A *2303:5 0.000136958 -27 *26363:A *2305:8 5.52238e-05 -28 *1967:8 *2051:41 2.26327e-05 -29 *1967:12 *26058:D 0.000352412 -30 *1967:12 *1986:35 3.4323e-06 -31 *1967:12 *2000:16 0.0006111 -32 *1967:12 *2010:8 0.000174948 -33 *1967:12 *2051:41 0.000175645 -34 *1967:12 *2051:46 0.000243522 -35 *1967:36 *26114:A 5.52238e-05 -36 *1967:36 *26132:A1 0.000601391 -37 *1967:36 *26132:A2 0.000435839 -38 *1967:36 *26135:A2 0.000601223 -39 *1967:36 *26135:B1 1.02936e-05 -40 *1967:36 *26144:B 0.000325191 -41 *1967:36 *2005:19 0.000469953 -42 *1967:36 *2056:28 2.24079e-05 -43 *1967:44 *1997:61 3.69047e-06 -44 *1967:44 *2056:28 2.89114e-05 -45 *1967:44 *2086:10 1.74352e-05 -46 *1967:50 *26345:C 0.000207099 -47 *1967:50 *26351:A2 4.72818e-05 -48 *1967:50 *26362:A 9.71197e-05 -49 *1967:50 *2022:20 9.25014e-06 -50 *1967:50 *2022:101 0.000739698 -51 *1967:59 *26172:A1 0.000178847 -52 *1967:59 *26351:A2 5.33005e-05 -53 *1967:59 *26362:A 0.000128409 -54 *1967:59 *2252:14 0.000191403 -55 *1967:59 *2691:8 0.000193118 -56 *26060:A *26292:B 0.000268368 -57 *26116:C *1967:50 6.1684e-05 -58 *26292:A *26292:B 5.78829e-05 -59 *1187:25 *1967:8 0.000181803 -60 *1187:25 *1967:36 0.000429247 -61 *1946:195 *1967:12 0.000304524 -62 *1946:208 *1967:50 0.000222878 -*RES -1 *26025:Y *1967:8 16.3 -2 *1967:8 *1967:12 21.9286 -3 *1967:12 *26292:B 17.2464 -4 *1967:12 *26115:A 17.4071 -5 *1967:8 *1967:36 29.2857 -6 *1967:36 *26144:A 9.72857 -7 *1967:36 *1967:44 2.17857 -8 *1967:44 *1967:50 19.4821 -9 *1967:50 *1967:59 14.5714 -10 *1967:59 *26294:A 9.3 -11 *1967:59 *26363:A 13.4071 -12 *1967:50 *26351:A1 9.3 -13 *1967:44 *26311:A 18.0679 -*END - -*D_NET *1968 0.00399882 -*CONN -*I *26028:C I *D sky130_fd_sc_hd__nand3_2 -*I *26039:A I *D sky130_fd_sc_hd__or2_2 -*I *26027:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *26026:X O *D sky130_fd_sc_hd__and3_1 -*CAP -1 *26028:C 0 -2 *26039:A 0.000407133 -3 *26027:A2 0 -4 *26026:X 0.000512441 -5 *1968:20 0.00051786 -6 *1968:11 0.000623167 -7 *26039:A *26039:B 0.000551363 -8 *26039:A *26058:D 5.52302e-05 -9 *26039:A *1979:7 0.00022266 -10 *26039:A *1981:11 5.33005e-05 -11 *26039:A *2023:15 4.94362e-05 -12 *1968:11 *2023:15 0 -13 *1968:20 *2023:15 7.95487e-05 -14 *26025:B *1968:11 2.84109e-05 -15 *26025:B *1968:20 2.12005e-05 -16 *26027:A1 *1968:11 6.05161e-06 -17 *1171:12 *1968:11 0.00012401 -18 *1171:19 *1968:11 9.25014e-06 -19 *1171:19 *1968:20 1.40849e-05 -20 *1187:41 *1968:11 8.38462e-05 -21 *1187:41 *1968:20 2.84026e-05 -22 *1902:41 *1968:11 0.000100736 -23 *1902:59 *1968:11 0.000331327 -24 *1943:18 *26039:A 5.01117e-05 -25 *1946:195 *26039:A 1.69961e-05 -26 *1964:19 *1968:11 6.05161e-06 -27 *1964:28 *26039:A 2.28578e-05 -28 *1964:28 *1968:20 8.33463e-05 -*RES -1 *26026:X *1968:11 25.9429 -2 *1968:11 *26027:A2 9.3 -3 *1968:11 *1968:20 7.89286 -4 *1968:20 *26039:A 22.8536 -5 *1968:20 *26028:C 13.8 -*END - -*D_NET *1969 0.0053958 -*CONN -*I *26030:B I *D sky130_fd_sc_hd__nand3_2 -*I *26349:A1 I *D sky130_fd_sc_hd__a211oi_4 -*I *26029:B I *D sky130_fd_sc_hd__and3_4 -*I *26355:C I *D sky130_fd_sc_hd__and3b_1 -*I *26027:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *26030:B 0 -2 *26349:A1 6.48174e-05 -3 *26029:B 0 -4 *26355:C 0.000196088 -5 *26027:X 0.000331356 -6 *1969:20 0.000285226 -7 *1969:17 0.000359713 -8 *1969:12 0.000537114 -9 *26349:A1 *26040:A 2.06178e-05 -10 *26349:A1 *2018:12 2.06178e-05 -11 *26349:A1 *2113:65 0.000204854 -12 *26355:C *26355:A_N 9.80173e-05 -13 *26355:C *2018:12 2.59355e-05 -14 *26355:C *2023:15 0.000362771 -15 *26355:C *2127:77 0.000263524 -16 *1969:12 *26059:B 0.000458916 -17 *1969:12 *1970:9 6.67547e-05 -18 *1969:12 *2026:10 9.13962e-05 -19 *1969:12 *2026:16 0.000478586 -20 *1969:17 *1970:9 4.6025e-06 -21 *1969:17 *1975:14 0.000173748 -22 *1969:17 *1984:12 3.43988e-06 -23 *1969:17 *2026:10 2.22043e-05 -24 *1969:17 *2113:65 0.000422497 -25 *1969:20 *1972:11 1.93337e-05 -26 *1969:20 *2023:15 0.00014666 -27 *1943:9 *1969:12 1.08359e-05 -28 *1964:19 *1969:12 1.98839e-05 -29 *1966:39 *26349:A1 0.000175248 -30 *1966:39 *26355:C 0.000364486 -31 *1966:39 *1969:17 7.17259e-05 -32 *1966:39 *1969:20 9.48286e-05 -*RES -1 *26027:X *1969:12 23.1214 -2 *1969:12 *1969:17 4.38239 -3 *1969:17 *1969:20 5.50821 -4 *1969:20 *26355:C 21.0679 -5 *1969:20 *26029:B 13.8 -6 *1969:17 *26349:A1 17.8221 -7 *1969:12 *26030:B 13.8 -*END - -*D_NET *1970 0.00432221 -*CONN -*I *26030:C I *D sky130_fd_sc_hd__nand3_2 -*I *26349:A2 I *D sky130_fd_sc_hd__a211oi_4 -*I *26029:C I *D sky130_fd_sc_hd__and3_4 -*I *26355:B I *D sky130_fd_sc_hd__and3b_1 -*I *26028:Y O *D sky130_fd_sc_hd__nand3_2 -*CAP -1 *26030:C 0 -2 *26349:A2 0 -3 *26029:C 8.68066e-05 -4 *26355:B 0.000144295 -5 *26028:Y 0.000190269 -6 *1970:18 0.00032723 -7 *1970:15 0.000317491 -8 *1970:9 0.000411632 -9 *26029:C *1971:7 7.02611e-05 -10 *26029:C *2133:56 0.000219711 -11 *26355:B *1990:81 0.00045278 -12 *26355:B *2018:12 0.000406129 -13 *26355:B *2107:27 2.82057e-05 -14 *1970:9 *26059:B 0.000114133 -15 *1970:9 *1972:5 5.33005e-05 -16 *1970:9 *1984:12 0.00034784 -17 *1970:15 *26058:A 4.43256e-05 -18 *1970:15 *26058:D 7.80759e-05 -19 *1970:15 *1972:5 8.33274e-05 -20 *1970:18 *1990:81 0.000159544 -21 *1970:18 *2018:12 0.000150921 -22 *26349:C1 *1970:9 2.28499e-05 -23 *26349:C1 *1970:15 0.00050829 -24 *1943:9 *1970:9 3.34366e-05 -25 *1969:12 *1970:9 6.67547e-05 -26 *1969:17 *1970:9 4.6025e-06 -*RES -1 *26028:Y *1970:9 23.5143 -2 *1970:9 *1970:15 5.91071 -3 *1970:15 *1970:18 6.75 -4 *1970:18 *26355:B 19.6929 -5 *1970:18 *26029:C 15.9786 -6 *1970:15 *26349:A2 9.3 -7 *1970:9 *26030:C 9.3 -*END - -*D_NET *1971 0.0145296 -*CONN -*I *26047:A I *D sky130_fd_sc_hd__and3_4 -*I *26048:A I *D sky130_fd_sc_hd__nand3_4 -*I *26382:A I *D sky130_fd_sc_hd__nand4_2 -*I *26357:B2 I *D sky130_fd_sc_hd__o22a_1 -*I *26041:A I *D sky130_fd_sc_hd__and3_2 -*I *26379:A2 I *D sky130_fd_sc_hd__a41o_1 -*I *26368:A I *D sky130_fd_sc_hd__and3_1 -*I *26061:A I *D sky130_fd_sc_hd__nand2_1 -*I *26035:A I *D sky130_fd_sc_hd__nand2_1 -*I *26076:A I *D sky130_fd_sc_hd__nand4_1 -*I *26046:A I *D sky130_fd_sc_hd__nand2_1 -*I *26366:B I *D sky130_fd_sc_hd__and3_1 -*I *26057:A I *D sky130_fd_sc_hd__and4_2 -*I *26029:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *26047:A 0 -2 *26048:A 0 -3 *26382:A 4.72531e-05 -4 *26357:B2 9.73541e-05 -5 *26041:A 0 -6 *26379:A2 0 -7 *26368:A 0 -8 *26061:A 1.72105e-05 -9 *26035:A 3.21085e-05 -10 *26076:A 0.000343343 -11 *26046:A 7.54338e-05 -12 *26366:B 0.00015223 -13 *26057:A 0 -14 *26029:X 0.000243798 -15 *1971:102 0.000258122 -16 *1971:97 0.000256189 -17 *1971:89 0.000161313 -18 *1971:57 0.000635294 -19 *1971:54 0.000389831 -20 *1971:45 0.000303333 -21 *1971:35 0.0005937 -22 *1971:29 0.000481401 -23 *1971:25 0.000270669 -24 *1971:20 0.000393186 -25 *1971:18 0.00059886 -26 *1971:7 0.000583728 -27 *26035:A *26035:B 1.85392e-05 -28 *26061:A *2036:25 5.59013e-05 -29 *26061:A *2083:27 4.66511e-05 -30 *26076:A *26035:B 0.000470804 -31 *26076:A *26339:A2 5.54401e-05 -32 *26076:A *1976:7 9.60875e-05 -33 *26076:A *2004:7 5.52302e-05 -34 *26076:A *2018:12 1.3701e-05 -35 *26357:B2 *26357:B1 1.02504e-05 -36 *26357:B2 *2000:16 9.97026e-05 -37 *26357:B2 *2051:46 0.000214733 -38 *26366:B *26446:B 0.000139632 -39 *26366:B *2036:25 0.000147835 -40 *26366:B *2083:27 0.00014955 -41 *26382:A *26382:B 0.000224583 -42 *1971:7 *2133:56 6.22596e-05 -43 *1971:18 *26071:B 0.000102435 -44 *1971:18 *1999:5 2.59355e-05 -45 *1971:18 *2133:56 2.59355e-05 -46 *1971:18 *2133:68 0.0001626 -47 *1971:18 *2222:11 5.94467e-05 -48 *1971:20 *2222:11 2.49484e-05 -49 *1971:29 *26368:B 2.22618e-05 -50 *1971:29 *1976:23 5.52238e-05 -51 *1971:29 *1976:31 0.000248138 -52 *1971:29 *1982:35 7.02611e-05 -53 *1971:29 *2310:11 2.42516e-05 -54 *1971:35 *26368:C 2.0954e-05 -55 *1971:35 *1976:31 0.000369083 -56 *1971:35 *2075:15 0.0001399 -57 *1971:35 *2310:11 9.0145e-05 -58 *1971:35 *5723:26 0.000555481 -59 *1971:45 *2036:25 0.000385301 -60 *1971:45 *2083:27 0.000376678 -61 *1971:54 *2036:25 0.000332637 -62 *1971:54 *2083:27 0.000325816 -63 *1971:57 *26339:A2 8.33968e-05 -64 *1971:57 *26348:A 0.000177815 -65 *1971:89 *26042:B 1.98839e-05 -66 *1971:89 *1982:52 5.33005e-05 -67 *1971:97 *26042:B 0.000218679 -68 *1971:97 *26048:B 6.42095e-05 -69 *1971:97 *1982:52 9.94194e-05 -70 *1971:102 *26048:B 2.59355e-05 -71 *1971:102 *2000:16 8.56716e-05 -72 *1971:102 *2051:46 0.000171375 -73 *26029:C *1971:7 7.02611e-05 -74 *26379:B1 *1971:25 9.22103e-06 -75 *1948:17 *1971:97 6.57914e-05 -76 *1948:17 *1971:102 2.59355e-05 -77 *1952:26 *1971:18 0.000194691 -78 *1952:26 *1971:20 0.000778105 -79 *1952:26 *1971:25 9.91086e-05 -80 *1952:34 *26382:A 0.000224583 -81 *1952:34 *1971:25 2.89016e-05 -82 *1955:118 *1971:20 0.000719768 -83 *1955:118 *1971:25 0.000100823 -*RES -1 *26029:X *1971:7 13.1393 -2 *1971:7 *26057:A 9.3 -3 *1971:7 *1971:18 11.7143 -4 *1971:18 *1971:20 10.1429 -5 *1971:20 *1971:25 6.78571 -6 *1971:25 *1971:29 3.83929 -7 *1971:29 *1971:35 13.6786 -8 *1971:35 *26366:B 17.1036 -9 *1971:35 *1971:45 4.98214 -10 *1971:45 *26046:A 14.7464 -11 *1971:45 *1971:54 4.375 -12 *1971:54 *1971:57 8.73214 -13 *1971:57 *26076:A 16.0143 -14 *1971:57 *26035:A 10.0321 -15 *1971:54 *26061:A 14.5321 -16 *1971:29 *26368:A 9.3 -17 *1971:25 *26379:A2 9.3 -18 *1971:20 *1971:89 5.03571 -19 *1971:89 *26041:A 9.3 -20 *1971:89 *1971:97 3.83929 -21 *1971:97 *1971:102 7.28571 -22 *1971:102 *26357:B2 16.6571 -23 *1971:102 *26382:A 15.9786 -24 *1971:97 *26048:A 9.3 -25 *1971:18 *26047:A 13.8 -*END - -*D_NET *1972 0.0144626 -*CONN -*I *26058:A I *D sky130_fd_sc_hd__or4_4 -*I *26624:B1 I *D sky130_fd_sc_hd__a21oi_1 -*I *26505:B1 I *D sky130_fd_sc_hd__a21oi_1 -*I *26662:B1 I *D sky130_fd_sc_hd__a31o_1 -*I *26077:A I *D sky130_fd_sc_hd__or3_4 -*I *26030:Y O *D sky130_fd_sc_hd__nand3_2 -*CAP -1 *26058:A 0.000222518 -2 *26624:B1 0.000311311 -3 *26505:B1 0 -4 *26662:B1 8.70903e-05 -5 *26077:A 0.000525264 -6 *26030:Y 0.000190105 -7 *1972:44 0.000424101 -8 *1972:33 0.00110443 -9 *1972:11 0.00200067 -10 *1972:5 0.000983476 -11 *26058:A *26039:B 0.000116948 -12 *26058:A *26058:C 2.0876e-05 -13 *26058:A *26058:D 0.000182314 -14 *26058:A *2023:15 0.000146316 -15 *26077:A *26056:B 0.000136951 -16 *26077:A *26058:D 5.52238e-05 -17 *26077:A *26062:B 4.87953e-05 -18 *26077:A *1982:5 5.03616e-05 -19 *26077:A *1982:15 9.38921e-05 -20 *26077:A *1982:24 2.8078e-05 -21 *26077:A *1998:28 0.000874417 -22 *26077:A *2007:56 0.000173251 -23 *26077:A *2052:28 0.000173251 -24 *26624:B1 *26268:A 0.000975872 -25 *26624:B1 *26505:A2 0.000879655 -26 *26624:B1 *26509:B 6.87245e-05 -27 *26624:B1 *2306:7 1.97695e-05 -28 *26662:B1 *26381:B1 0.00022266 -29 *26662:B1 *26663:C_N 2.42516e-05 -30 *1972:11 *26040:A 4.87953e-05 -31 *1972:11 *1982:5 1.65169e-05 -32 *1972:11 *2023:15 6.79672e-06 -33 *1972:33 *26381:B1 0.000124521 -34 *1972:33 *26382:C 0 -35 *1972:33 *26385:D_N 4.58502e-05 -36 *1972:33 *1987:8 0 -37 *1972:33 *1990:81 0.0008003 -38 *1972:33 *2000:16 0.00126436 -39 *1972:33 *2000:31 0 -40 *1972:33 *2044:18 0.000175512 -41 *1972:33 *2099:68 7.80714e-06 -42 *1972:33 *2107:27 0 -43 *1972:33 *2324:20 3.71862e-05 -44 *1972:44 *2023:15 3.53407e-05 -45 *1972:44 *2324:20 0.000209911 -46 *26349:C1 *1972:5 4.82232e-05 -47 *26505:A1 *26662:B1 0.000304387 -48 *26505:A1 *1972:33 0.000125879 -49 *373:60 *1972:44 7.83587e-05 -50 *1188:97 *26077:A 0.000260574 -51 *1943:18 *1972:11 0.000154936 -52 *1953:57 *1972:33 0.000130149 -53 *1966:39 *1972:11 0.000246342 -54 *1969:20 *1972:11 1.93337e-05 -55 *1970:9 *1972:5 5.33005e-05 -56 *1970:15 *26058:A 4.43256e-05 -57 *1970:15 *1972:5 8.33274e-05 -*RES -1 *26030:Y *1972:5 12.7107 -2 *1972:5 *1972:11 17.6071 -3 *1972:11 *26077:A 32.1929 -4 *1972:11 *1972:33 33.6071 -5 *1972:33 *26662:B1 12.3 -6 *1972:33 *1972:44 11.8571 -7 *1972:44 *26505:B1 9.3 -8 *1972:44 *26624:B1 20.2643 -9 *1972:5 *26058:A 23.3893 -*END - -*D_NET *1973 0.00767142 -*CONN -*I *26057:B I *D sky130_fd_sc_hd__and4_2 -*I *26056:A I *D sky130_fd_sc_hd__nand2_1 -*I *26034:B I *D sky130_fd_sc_hd__and2b_1 -*I *26060:B I *D sky130_fd_sc_hd__nor2_1 -*I *26045:B I *D sky130_fd_sc_hd__nor2_2 -*I *26031:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *26057:B 8.06235e-05 -2 *26056:A 0 -3 *26034:B 5.65627e-05 -4 *26060:B 4.0473e-05 -5 *26045:B 9.98802e-05 -6 *26031:Y 0.000314234 -7 *1973:44 0.000156374 -8 *1973:35 0.000354833 -9 *1973:22 0.000479148 -10 *1973:8 0.000630268 -11 *26034:B *2018:12 0.000140933 -12 *26034:B *2297:19 5.33005e-05 -13 *26045:B *2031:81 0.000218741 -14 *26045:B *2104:34 0.000218741 -15 *26057:B *26034:A_N 3.23542e-05 -16 *26057:B *1975:16 0.000183141 -17 *26057:B *2051:46 0.000205244 -18 *1973:8 *2031:81 0.000517091 -19 *1973:8 *2126:8 0.000219711 -20 *1973:8 *2133:56 0.000110029 -21 *1973:22 *2213:7 0.000853999 -22 *1973:35 *2002:5 0.000431857 -23 *1973:35 *2051:46 0.00014576 -24 *1973:35 *2213:7 5.67737e-05 -25 *1973:35 *2297:19 0.000121573 -26 *1973:35 *2598:12 6.8646e-06 -27 *1973:44 *26034:A_N 0.000148196 -28 *1973:44 *2051:46 0.00021192 -29 *1973:44 *2598:12 2.37761e-05 -30 *26060:A *26060:B 0.000174791 -31 *26060:A *1973:22 0.000821499 -32 *26060:A *1973:35 7.23479e-05 -33 *26115:A *1973:35 4.88631e-05 -34 *26292:B *1973:35 6.89223e-05 -35 *26443:C *1973:8 0.000101545 -36 *1862:28 *1973:8 0 -37 *1908:73 *1973:8 0.000217756 -38 *1966:17 *26045:B 5.33005e-05 -*RES -1 *26031:Y *1973:8 22.7821 -2 *1973:8 *26045:B 17.1929 -3 *1973:8 *1973:22 13.2679 -4 *1973:22 *26060:B 11.0857 -5 *1973:22 *1973:35 11.9107 -6 *1973:35 *26034:B 15.1571 -7 *1973:35 *1973:44 2.85714 -8 *1973:44 *26056:A 13.8 -9 *1973:44 *26057:B 16.6571 -*END - -*D_NET *1974 0.000967325 -*CONN -*I *26033:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *26032:Y O *D sky130_fd_sc_hd__a21oi_1 -*CAP -1 *26033:B1 0.00029942 -2 *26032:Y 0.00029942 -3 *26033:B1 *26032:A1 9.41642e-05 -4 *26033:B1 *1975:14 9.60875e-05 -5 *26032:A2 *26033:B1 0.000178234 -*RES -1 *26032:Y *26033:B1 24.1 -*END - -*D_NET *1975 0.00761682 -*CONN -*I *26057:C I *D sky130_fd_sc_hd__and4_2 -*I *26056:B I *D sky130_fd_sc_hd__nand2_1 -*I *26034:A_N I *D sky130_fd_sc_hd__and2b_1 -*I *26033:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *26057:C 0 -2 *26056:B 6.84875e-05 -3 *26034:A_N 8.75792e-05 -4 *26033:X 0.001277 -5 *1975:16 0.0002415 -6 *1975:14 0.00136244 -7 *26034:A_N *26057:D 5.55405e-05 -8 *26034:A_N *2018:12 2.89114e-05 -9 *26034:A_N *2127:77 5.33005e-05 -10 *26034:A_N *2598:12 5.74562e-05 -11 *26056:B *1982:5 6.86693e-05 -12 *1975:14 *26170:B 3.17067e-05 -13 *1975:14 *2051:41 8.6229e-06 -14 *1975:14 *2051:46 9.18765e-06 -15 *1975:14 *2110:12 0.000162124 -16 *1975:14 *2113:65 2.71744e-05 -17 *1975:14 *2233:37 0.000258287 -18 *1975:14 *2598:12 1.8038e-05 -19 *1975:16 *26057:D 0.000191763 -20 *1975:16 *2051:46 9.21418e-06 -21 *1975:16 *2598:12 1.11775e-05 -22 *26032:A2 *1975:14 3.98662e-05 -23 *26033:B1 *1975:14 9.60875e-05 -24 *26057:B *26034:A_N 3.23542e-05 -25 *26057:B *1975:16 0.000183141 -26 *26077:A *26056:B 0.000136951 -27 *1874:27 *1975:14 0.00187314 -28 *1953:38 *1975:14 1.65741e-05 -29 *1958:19 *1975:14 2.74588e-05 -30 *1964:52 *1975:14 0.000145722 -31 *1964:89 *1975:14 2.89016e-05 -32 *1966:39 *1975:14 0.000686499 -33 *1969:17 *1975:14 0.000173748 -34 *1973:44 *26034:A_N 0.000148196 -*RES -1 *26033:X *1975:14 34.6402 -2 *1975:14 *1975:16 2.85714 -3 *1975:16 *26034:A_N 16.5857 -4 *1975:16 *26056:B 15.5857 -5 *1975:14 *26057:C 13.8 -*END - -*D_NET *1976 0.00974907 -*CONN -*I *26076:B I *D sky130_fd_sc_hd__nand4_1 -*I *26035:B I *D sky130_fd_sc_hd__nand2_1 -*I *26625:A I *D sky130_fd_sc_hd__and3_1 -*I *26498:A I *D sky130_fd_sc_hd__and3_1 -*I *26379:A3 I *D sky130_fd_sc_hd__a41o_1 -*I *26041:B I *D sky130_fd_sc_hd__and3_2 -*I *26034:X O *D sky130_fd_sc_hd__and2b_1 -*CAP -1 *26076:B 0.00016919 -2 *26035:B 0.000164333 -3 *26625:A 0 -4 *26498:A 0.000124229 -5 *26379:A3 0 -6 *26041:B 7.70325e-05 -7 *26034:X 0.000392981 -8 *1976:47 0.000352903 -9 *1976:31 0.00143538 -10 *1976:23 0.00142095 -11 *1976:11 0.000521464 -12 *1976:7 0.000746988 -13 *26035:B *26339:A2 0.000238448 -14 *26076:B *26339:A2 0.000178847 -15 *26076:B *1997:69 6.72518e-05 -16 *26498:A *26625:C 7.83659e-05 -17 *26498:A *26629:A2 6.57032e-05 -18 *26498:A *2019:88 0.000127439 -19 *26498:A *2024:54 1.3142e-05 -20 *26498:A *2291:20 2.31791e-05 -21 *26498:A *2291:32 9.41642e-05 -22 *1976:7 *26339:A2 2.40107e-05 -23 *1976:7 *2018:12 0.000129913 -24 *1976:11 *1997:69 0.000121573 -25 *1976:11 *1997:75 2.59877e-05 -26 *1976:11 *2057:8 0.000263663 -27 *1976:23 *1982:30 0.000126439 -28 *1976:23 *1982:35 7.6644e-05 -29 *1976:23 *1989:8 0.000196262 -30 *1976:31 *26368:C 1.95435e-05 -31 *1976:31 *2075:15 0.000137983 -32 *1976:31 *5723:26 0.0001475 -33 *1976:47 *1997:69 4.66511e-05 -34 *26035:A *26035:B 1.85392e-05 -35 *26076:A *26035:B 0.000470804 -36 *26076:A *1976:7 9.60875e-05 -37 *1188:97 *26076:B 7.57946e-05 -38 *1188:97 *1976:11 0.000449697 -39 *1188:97 *1976:47 5.41794e-05 -40 *1948:17 *26041:B 9.41642e-05 -41 *1948:17 *1976:11 0.000209204 -42 *1971:29 *1976:23 5.52238e-05 -43 *1971:29 *1976:31 0.000248138 -44 *1971:35 *1976:31 0.000369083 -*RES -1 *26034:X *1976:7 18.4429 -2 *1976:7 *1976:11 12.5714 -3 *1976:11 *26041:B 10.2464 -4 *1976:11 *1976:23 12.0893 -5 *1976:23 *26379:A3 9.3 -6 *1976:23 *1976:31 16.1607 -7 *1976:31 *26498:A 21.3 -8 *1976:31 *26625:A 9.3 -9 *1976:7 *1976:47 0.732143 -10 *1976:47 *26035:B 18.8714 -11 *1976:47 *26076:B 16.6036 -*END - -*D_NET *1977 0.00312407 -*CONN -*I *26067:A I *D sky130_fd_sc_hd__or2_4 -*I *26066:A I *D sky130_fd_sc_hd__nor2_2 -*I *26069:A I *D sky130_fd_sc_hd__or2_4 -*I *26035:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26067:A 2.43602e-05 -2 *26066:A 0.000268357 -3 *26069:A 0.000153878 -4 *26035:Y 4.08502e-05 -5 *1977:15 0.000438196 -6 *1977:6 0.000340206 -7 *26066:A *26066:B 9.41642e-05 -8 *26066:A *26135:A2 2.09413e-05 -9 *26066:A *26172:A1 0 -10 *26066:A *2009:7 5.33005e-05 -11 *26066:A *2009:20 4.00349e-05 -12 *26066:A *2010:16 1.98839e-05 -13 *26066:A *2011:118 6.25005e-05 -14 *26066:A *2053:21 0.000205494 -15 *26067:A *5545:21 6.53083e-05 -16 *26069:A *26069:B 9.71197e-05 -17 *26069:A *2003:13 0.000148303 -18 *26069:A *2005:11 5.33005e-05 -19 *26069:A *2011:7 3.97677e-05 -20 *1977:6 *2005:45 0.000125304 -21 *1977:15 *2005:45 2.95642e-05 -22 *1977:15 *2053:34 7.99093e-06 -23 *1977:15 *5545:21 0.000244886 -24 *1874:27 *26066:A 6.35515e-05 -25 *1966:58 *26067:A 5.78834e-05 -26 *1966:58 *1977:6 0.000126958 -27 *1966:58 *1977:15 0.000301969 -*RES -1 *26035:Y *1977:6 15.4429 -2 *1977:6 *26069:A 17.2107 -3 *1977:6 *1977:15 4.22321 -4 *1977:15 *26066:A 23.0018 -5 *1977:15 *26067:A 14.6839 -*END - -*D_NET *1978 0.0027362 -*CONN -*I *26059:A I *D sky130_fd_sc_hd__nand2_2 -*I *26037:B1_N I *D sky130_fd_sc_hd__a21boi_2 -*I *26036:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *26059:A 0.000261758 -2 *26037:B1_N 0 -3 *26036:X 0.000409322 -4 *1978:5 0.000671079 -5 *26059:A *26059:B 5.33005e-05 -6 *26059:A *2401:34 0.000360816 -7 *1978:5 *26036:B1 9.71197e-05 -8 *1978:5 *2106:17 5.74499e-06 -9 *26036:A1 *1978:5 5.33005e-05 -10 *26036:A2 *1978:5 0.000119475 -11 *26037:A1 *26059:A 5.52302e-05 -12 *1908:44 *26059:A 0.000364974 -13 *1964:41 *26059:A 8.25897e-05 -14 *1964:41 *1978:5 0.000168177 -15 *1964:51 *1978:5 3.33174e-05 -*RES -1 *26036:X *1978:5 15.9964 -2 *1978:5 *26037:B1_N 9.3 -3 *1978:5 *26059:A 25.6929 -*END - -*D_NET *1979 0.00435459 -*CONN -*I *26068:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *26058:B I *D sky130_fd_sc_hd__or4_4 -*I *26040:A I *D sky130_fd_sc_hd__nor2_4 -*I *26037:Y O *D sky130_fd_sc_hd__a21boi_2 -*CAP -1 *26068:A_N 8.45891e-05 -2 *26058:B 0 -3 *26040:A 0.000332455 -4 *26037:Y 0.000625616 -5 *1979:8 0.000387939 -6 *1979:7 0.00076569 -7 *26040:A *26040:B 0.000513155 -8 *26040:A *1981:18 9.25014e-06 -9 *26040:A *2018:12 0.000148992 -10 *26040:A *2018:18 0.000113061 -11 *26068:A_N *1981:18 0.000184023 -12 *26068:A_N *2001:17 4.58194e-05 -13 *26068:A_N *2018:18 0.000121573 -14 *26068:A_N *2023:15 1.41029e-05 -15 *1979:7 *26039:B 3.51454e-05 -16 *1979:8 *1981:18 0.000114759 -17 *1979:8 *2018:18 0.000125724 -18 *26037:A1 *1979:7 6.05161e-06 -19 *26039:A *1979:7 0.00022266 -20 *26349:A1 *26040:A 2.06178e-05 -21 *1964:37 *1979:7 0.000426141 -22 *1964:41 *1979:7 8.43535e-06 -23 *1972:11 *26040:A 4.87953e-05 -*RES -1 *26037:Y *1979:7 21.7286 -2 *1979:7 *1979:8 1.64286 -3 *1979:8 *26040:A 21.8 -4 *1979:8 *26058:B 13.8 -5 *1979:7 *26068:A_N 16.3893 -*END - -*D_NET *1980 0.004013 -*CONN -*I *26039:B I *D sky130_fd_sc_hd__or2_2 -*I *26059:B I *D sky130_fd_sc_hd__nand2_2 -*I *26038:Y O *D sky130_fd_sc_hd__a21oi_1 -*CAP -1 *26039:B 0.000443355 -2 *26059:B 0.000405513 -3 *26038:Y 0 -4 *1980:4 0.000848869 -5 *26039:B *26058:D 5.52302e-05 -6 *26039:B *2051:41 1.21258e-05 -7 *26038:A1 *26039:B 9.45934e-05 -8 *26039:A *26039:B 0.000551363 -9 *26058:A *26039:B 0.000116948 -10 *26059:A *26059:B 5.33005e-05 -11 *1943:9 *26059:B 0.000245335 -12 *1946:195 *26039:B 0.000180777 -13 *1964:19 *26059:B 0.00038527 -14 *1964:37 *26039:B 1.21258e-05 -15 *1969:12 *26059:B 0.000458916 -16 *1970:9 *26059:B 0.000114133 -17 *1979:7 *26039:B 3.51454e-05 -*RES -1 *26038:Y *1980:4 9.3 -2 *1980:4 *26059:B 28.8 -3 *1980:4 *26039:B 19.5857 -*END - -*D_NET *1981 0.00401415 -*CONN -*I *26068:B I *D sky130_fd_sc_hd__nand2b_2 -*I *26058:C I *D sky130_fd_sc_hd__or4_4 -*I *26040:B I *D sky130_fd_sc_hd__nor2_4 -*I *26039:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *26068:B 0 -2 *26058:C 1.7078e-05 -3 *26040:B 0.000245325 -4 *26039:X 0.000201156 -5 *1981:18 0.000459549 -6 *1981:11 0.000398302 -7 *26040:B *2018:12 6.07037e-07 -8 *26040:B *2023:15 0.000504918 -9 *26040:B *2107:27 1.83776e-05 -10 *1981:11 *1986:35 8.50152e-05 -11 *1981:11 *2001:17 6.12434e-05 -12 *1981:11 *2010:8 0.000209169 -13 *1981:11 *2598:8 0.000168939 -14 *1981:18 *2001:17 3.80079e-05 -15 *1981:18 *2023:15 0.000204618 -16 *26039:A *1981:11 5.33005e-05 -17 *26040:A *26040:B 0.000513155 -18 *26040:A *1981:18 9.25014e-06 -19 *26058:A *26058:C 2.0876e-05 -20 *26068:A_N *1981:18 0.000184023 -21 *1943:36 *1981:11 0.000104075 -22 *1943:36 *1981:18 0.000260574 -23 *1946:195 *1981:11 0.00014183 -24 *1979:8 *1981:18 0.000114759 -*RES -1 *26039:X *1981:11 24.4964 -2 *1981:11 *1981:18 10.375 -3 *1981:18 *26040:B 21.1393 -4 *1981:18 *26058:C 14.0098 -5 *1981:11 *26068:B 9.3 -*END - -*D_NET *1982 0.00819358 -*CONN -*I *26076:C I *D sky130_fd_sc_hd__nand4_1 -*I *26041:C I *D sky130_fd_sc_hd__and3_2 -*I *26382:B I *D sky130_fd_sc_hd__nand4_2 -*I *26048:B I *D sky130_fd_sc_hd__nand3_4 -*I *26379:A4 I *D sky130_fd_sc_hd__a41o_1 -*I *26368:B I *D sky130_fd_sc_hd__and3_1 -*I *26047:B I *D sky130_fd_sc_hd__and3_4 -*I *26057:D I *D sky130_fd_sc_hd__and4_2 -*I *26040:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *26076:C 0 -2 *26041:C 0 -3 *26382:B 0.00032067 -4 *26048:B 0.000267077 -5 *26379:A4 0 -6 *26368:B 0.000293406 -7 *26047:B 0 -8 *26057:D 8.91486e-05 -9 *26040:Y 0.000263081 -10 *1982:52 0.000841362 -11 *1982:50 0.000294575 -12 *1982:35 0.000427151 -13 *1982:30 0.000472073 -14 *1982:24 0.00041233 -15 *1982:15 0.000290523 -16 *1982:5 0.000527792 -17 *26057:D *2598:12 0.000240859 -18 *26368:B *2310:11 0.000177821 -19 *26382:B *26382:C 7.07012e-05 -20 *26382:B *2297:19 5.10822e-05 -21 *26382:B *2598:12 0.000101545 -22 *1982:24 *26076:D 1.1594e-05 -23 *1982:24 *1989:8 0.0001419 -24 *1982:30 *26076:D 9.54798e-06 -25 *1982:30 *1989:8 0.000425328 -26 *1982:35 *1989:8 3.41021e-05 -27 *1982:50 *26042:B 1.58163e-05 -28 *1982:52 *26042:B 1.21258e-05 -29 *26034:A_N *26057:D 5.55405e-05 -30 *26056:B *1982:5 6.86693e-05 -31 *26077:A *1982:5 5.03616e-05 -32 *26077:A *1982:15 9.38921e-05 -33 *26077:A *1982:24 2.8078e-05 -34 *26379:B1 *1982:35 5.52238e-05 -35 *26382:A *26382:B 0.000224583 -36 *1188:97 *1982:24 6.05161e-06 -37 *1948:17 *26048:B 5.62783e-05 -38 *1952:26 *1982:24 6.2192e-05 -39 *1952:26 *1982:30 0.000462347 -40 *1952:26 *1982:35 0.00012401 -41 *1952:34 *26382:B 0.000182678 -42 *1955:115 *1982:15 9.41642e-05 -43 *1955:115 *1982:24 8.42609e-05 -44 *1955:118 *1982:35 6.88458e-06 -45 *1971:29 *26368:B 2.22618e-05 -46 *1971:29 *1982:35 7.02611e-05 -47 *1971:89 *1982:52 5.33005e-05 -48 *1971:97 *26048:B 6.42095e-05 -49 *1971:97 *1982:52 9.94194e-05 -50 *1971:102 *26048:B 2.59355e-05 -51 *1972:11 *1982:5 1.65169e-05 -52 *1975:16 *26057:D 0.000191763 -53 *1976:23 *1982:30 0.000126439 -54 *1976:23 *1982:35 7.6644e-05 -*RES -1 *26040:Y *1982:5 13.1214 -2 *1982:5 *26057:D 21.4607 -3 *1982:5 *1982:15 3 -4 *1982:15 *26047:B 9.3 -5 *1982:15 *1982:24 7.39286 -6 *1982:24 *1982:30 8.98214 -7 *1982:30 *1982:35 7.80357 -8 *1982:35 *26368:B 13.0321 -9 *1982:35 *26379:A4 9.3 -10 *1982:30 *1982:50 5.03571 -11 *1982:50 *1982:52 3 -12 *1982:52 *26048:B 13.1571 -13 *1982:52 *26382:B 25.1214 -14 *1982:50 *26041:C 9.3 -15 *1982:24 *26076:C 13.8 -*END - -*D_NET *1983 0.0172765 -*CONN -*I *26380:A1 I *D sky130_fd_sc_hd__o22a_1 -*I *26630:A1 I *D sky130_fd_sc_hd__o32a_1 -*I *26774:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *26661:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *26500:A I *D sky130_fd_sc_hd__nand2_1 -*I *26359:B I *D sky130_fd_sc_hd__nand2_1 -*I *26507:B1 I *D sky130_fd_sc_hd__o31a_1 -*I *26042:B I *D sky130_fd_sc_hd__nand2_1 -*I *26041:X O *D sky130_fd_sc_hd__and3_2 -*CAP -1 *26380:A1 0 -2 *26630:A1 3.26257e-05 -3 *26774:A1 0 -4 *26661:B2 2.23854e-05 -5 *26500:A 0 -6 *26359:B 0.000226384 -7 *26507:B1 0.000150187 -8 *26042:B 0.000521299 -9 *26041:X 0 -10 *1983:65 0.000786982 -11 *1983:57 0.000735286 -12 *1983:52 0.000811615 -13 *1983:37 0.00093671 -14 *1983:32 0.000856466 -15 *1983:24 0.000880491 -16 *1983:4 0.000705161 -17 *26042:B *1987:5 0.0005201 -18 *26042:B *1987:32 0.000476702 -19 *26042:B *2297:19 8.91726e-05 -20 *26042:B *2384:13 0.000563302 -21 *26042:B *2598:12 0.000171375 -22 *26359:B *26511:D 1.69961e-05 -23 *26359:B *26591:C1 0.000137505 -24 *26507:B1 *1996:99 0.000216755 -25 *26507:B1 *3510:21 6.595e-05 -26 *26630:A1 *26630:A3 9.41642e-05 -27 *26630:A1 *2310:32 1.98839e-05 -28 *1983:24 *1989:8 0.000371452 -29 *1983:24 *1997:75 0.000379273 -30 *1983:32 *26774:B2 1.17968e-05 -31 *1983:32 *1989:8 0.000309457 -32 *1983:32 *1997:75 0.000309457 -33 *1983:32 *2310:16 0.000229052 -34 *1983:37 *1996:99 8.36572e-05 -35 *1983:52 *26386:C1 0.000466549 -36 *1983:52 *1989:30 0.000146928 -37 *1983:52 *1999:8 0.000433584 -38 *1983:57 *26386:C1 0.00019435 -39 *1983:57 *26661:A2 5.33005e-05 -40 *1983:57 *1989:30 0.000261526 -41 *1983:57 *1989:35 6.71854e-05 -42 *1983:57 *2315:56 0.000304394 -43 *1983:65 *26378:B 9.25014e-06 -44 *1983:65 *26511:D 0.000138881 -45 *1983:65 *1989:35 6.86693e-05 -46 *1983:65 *1999:44 5.39648e-05 -47 *1983:65 *2315:56 0.000135028 -48 *1983:65 *2598:12 8.04343e-05 -49 *1983:65 *2598:15 1.58163e-05 -50 *26042:A *26042:B 5.7661e-06 -51 *26617:A *1983:52 6.63375e-05 -52 *1393:49 *26507:B1 8.55871e-05 -53 *1393:49 *1983:37 4.58194e-05 -54 *1938:30 *26630:A1 5.33005e-05 -55 *1938:30 *1983:32 3.34366e-05 -56 *1938:30 *1983:37 0.000207794 -57 *1938:36 *1983:52 2.22999e-05 -58 *1938:151 *1983:32 9.60939e-05 -59 *1948:17 *26042:B 0.000421074 -60 *1948:17 *1983:24 1.98839e-05 -61 *1950:64 *1983:32 0.000300379 -62 *1950:64 *1983:52 0.00018725 -63 *1952:39 *26359:B 5.52238e-05 -64 *1952:51 *26359:B 4.27935e-05 -65 *1953:69 *1983:32 0.000157711 -66 *1953:203 *26507:B1 8.56752e-05 -67 *1955:118 *1983:32 0.000962051 -68 *1955:118 *1983:37 0.000211694 -69 *1955:118 *1983:52 0.000432005 -70 *1955:129 *1983:65 0.000195194 -71 *1966:17 *26042:B 5.33433e-05 -72 *1966:39 *26042:B 0.000107769 -73 *1971:89 *26042:B 1.98839e-05 -74 *1971:97 *26042:B 0.000218679 -75 *1982:50 *26042:B 1.58163e-05 -76 *1982:52 *26042:B 1.21258e-05 -*RES -1 *26041:X *1983:4 9.3 -2 *1983:4 *26042:B 35.2107 -3 *1983:4 *1983:24 10.0179 -4 *1983:24 *1983:32 28.125 -5 *1983:32 *1983:37 8.30357 -6 *1983:37 *26507:B1 13.1214 -7 *1983:37 *1983:52 29 -8 *1983:52 *1983:57 10.0536 -9 *1983:57 *1983:65 19.6071 -10 *1983:65 *26359:B 13.9786 -11 *1983:65 *26500:A 9.3 -12 *1983:57 *26661:B2 9.72857 -13 *1983:52 *26774:A1 13.8 -14 *1983:32 *26630:A1 14.7464 -15 *1983:24 *26380:A1 13.8 -*END - -*D_NET *1984 0.0136625 -*CONN -*I *26127:C I *D sky130_fd_sc_hd__and4b_1 -*I *26681:C1 I *D sky130_fd_sc_hd__o311a_1 -*I *26478:B1 I *D sky130_fd_sc_hd__o31a_1 -*I *26042:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26127:C 0.000218775 -2 *26681:C1 2.37855e-05 -3 *26478:B1 0.000711976 -4 *26042:Y 0.00102518 -5 *1984:29 0.000342442 -6 *1984:16 0.001464 -7 *1984:12 0.00167732 -8 *26127:C *26127:B 3.47106e-05 -9 *26127:C *26681:B1 1.14483e-05 -10 *26127:C *2051:8 1.49645e-05 -11 *26127:C *2065:21 6.71359e-05 -12 *26127:C *2154:143 0.000125129 -13 *26478:B1 *26113:C 3.14003e-05 -14 *26478:B1 *2032:77 0.000259549 -15 *26478:B1 *2032:255 0.000128496 -16 *26478:B1 *2038:94 0.000262834 -17 *26478:B1 *2038:104 0.000408346 -18 *26478:B1 *2038:179 0.000245182 -19 *26478:B1 *2154:122 0.000149963 -20 *26478:B1 *4508:107 7.15687e-05 -21 *1984:12 *26357:A2 0.00102415 -22 *1984:12 *2026:10 0 -23 *1984:12 *2026:16 9.30603e-06 -24 *1984:16 *26484:D 0.000499919 -25 *1984:16 *26562:C1 2.97761e-05 -26 *1984:16 *2024:25 1.9036e-05 -27 *1984:16 *2026:16 4.98872e-05 -28 *1984:16 *2068:16 8.40351e-06 -29 *1984:16 *2250:64 1.90936e-05 -30 *1984:16 *2250:82 0.00116849 -31 *1984:16 *2620:13 5.24274e-05 -32 *1984:29 *2038:179 0.000221641 -33 *1984:29 *2154:122 2.14658e-05 -34 *1984:29 *2154:143 6.71755e-05 -35 *26028:B *1984:12 0.000199691 -36 *26108:A *26478:B1 0.000160871 -37 *26183:A *1984:12 0.000387794 -38 *26681:A1 *26478:B1 0.00038021 -39 *26681:A1 *1984:29 0.00016641 -40 *1171:19 *1984:12 3.6337e-05 -41 *1906:20 *1984:16 0 -42 *1906:32 *1984:12 0.000331233 -43 *1906:32 *1984:16 0 -44 *1907:22 *26478:B1 0.000351256 -45 *1928:17 *26127:C 2.44318e-05 -46 *1928:17 *1984:29 0.000116049 -47 *1931:45 *26478:B1 1.98839e-05 -48 *1943:9 *1984:12 0.000350001 -49 *1943:18 *1984:12 1.20719e-05 -50 *1946:173 *1984:12 4.27631e-05 -51 *1946:195 *1984:12 7.03476e-05 -52 *1959:74 *26478:B1 2.42516e-05 -53 *1964:28 *1984:12 0.000172593 -54 *1969:17 *1984:12 3.43988e-06 -55 *1970:9 *1984:12 0.00034784 -*RES -1 *26042:Y *1984:12 40.1839 -2 *1984:12 *1984:16 21.4732 -3 *1984:16 *26478:B1 37.1929 -4 *1984:16 *1984:29 3.82143 -5 *1984:29 *26681:C1 9.72857 -6 *1984:29 *26127:C 22.4429 -*END - -*D_NET *1985 0.0104149 -*CONN -*I *26083:B I *D sky130_fd_sc_hd__nand2_8 -*I *26055:B I *D sky130_fd_sc_hd__nand2_4 -*I *26565:A1 I *D sky130_fd_sc_hd__a211o_1 -*I *26452:A2 I *D sky130_fd_sc_hd__a31o_1 -*I *26043:X O *D sky130_fd_sc_hd__and3b_4 -*CAP -1 *26083:B 0 -2 *26055:B 0.000333289 -3 *26565:A1 2.23854e-05 -4 *26452:A2 0.000444673 -5 *26043:X 0 -6 *1985:40 0.000810589 -7 *1985:29 0.00124617 -8 *1985:4 0.00119116 -9 *26055:B *26491:A1 0.000148897 -10 *26055:B *1997:11 0 -11 *26055:B *2025:6 0 -12 *26055:B *2040:24 6.05161e-06 -13 *26055:B *6180:11 0.000146533 -14 *26452:A2 *26043:A_N 0.00014285 -15 *26452:A2 *26452:B1 6.42242e-05 -16 *26452:A2 *26748:C 8.94658e-05 -17 *26452:A2 *2428:8 0 -18 *26452:A2 *2501:11 0.000135245 -19 *1985:29 *26043:A_N 5.52302e-05 -20 *1985:29 *26331:B 0.000197998 -21 *1985:29 *26473:B1 5.9483e-05 -22 *1985:29 *26474:A 0.000318101 -23 *1985:29 *26487:A 0.000181796 -24 *1985:29 *26565:A2 0.000221641 -25 *1985:29 *26748:C 9.35042e-05 -26 *1985:29 *2031:35 0.000852649 -27 *1985:29 *2032:10 0.000309266 -28 *1985:29 *2618:11 3.71496e-05 -29 *1985:29 *2723:13 5.31185e-05 -30 *1985:29 *6192:9 4.13496e-05 -31 *1985:40 *26090:B 0 -32 *1985:40 *26491:A1 0.000152562 -33 *1985:40 *2025:6 0 -34 *1985:40 *2032:5 8.57023e-05 -35 *1985:40 *2032:10 0.000568168 -36 *1985:40 *5782:210 0 -37 *26043:C *26452:A2 7.2754e-05 -38 *1187:12 *26452:A2 0.000311354 -39 *1935:169 *1985:29 0.00202157 -*RES -1 *26043:X *1985:4 9.3 -2 *1985:4 *26452:A2 29.7286 -3 *1985:4 *1985:29 46.5893 -4 *1985:29 *26565:A1 9.72857 -5 *1985:29 *1985:40 16.0714 -6 *1985:40 *26055:B 21.3714 -7 *1985:40 *26083:B 13.8 -*END - -*D_NET *1986 0.0281981 -*CONN -*I *26129:A1 I *D sky130_fd_sc_hd__o211a_1 -*I *26151:A1 I *D sky130_fd_sc_hd__o221a_1 -*I *26146:A1 I *D sky130_fd_sc_hd__o2111a_1 -*I *26149:A1 I *D sky130_fd_sc_hd__o2111a_1 -*I *26082:B I *D sky130_fd_sc_hd__nor2_4 -*I *26362:A I *D sky130_fd_sc_hd__nor2_1 -*I *26054:B I *D sky130_fd_sc_hd__nor2_4 -*I *26131:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *26044:X O *D sky130_fd_sc_hd__or3_4 -*CAP -1 *26129:A1 0 -2 *26151:A1 0.000271161 -3 *26146:A1 0 -4 *26149:A1 0 -5 *26082:B 1.17665e-05 -6 *26362:A 0.000452262 -7 *26054:B 0 -8 *26131:A1 0 -9 *26044:X 0.000857295 -10 *1986:136 0.000370008 -11 *1986:125 0.000753242 -12 *1986:97 0.000700498 -13 *1986:96 0.0016339 -14 *1986:59 0.00207721 -15 *1986:51 0.00109212 -16 *1986:35 0.00220665 -17 *1986:21 0.0019972 -18 *26082:B *2024:7 5.49995e-05 -19 *26151:A1 *26151:A2 0.000243532 -20 *26151:A1 *26151:B1 0.000535102 -21 *26151:A1 *26151:C1 0.00030616 -22 *26151:A1 *5914:90 5.04723e-05 -23 *26362:A *26172:A1 6.06291e-05 -24 *26362:A *26172:A2 0.000759806 -25 *26362:A *26351:A2 1.02936e-05 -26 *26362:A *2022:11 0.00018077 -27 *26362:A *2632:12 5.21676e-05 -28 *26362:A *2727:11 0.000522212 -29 *26362:A *5723:75 4.13573e-05 -30 *26362:A *5782:164 0.00028612 -31 *1986:21 *26128:A 5.52238e-05 -32 *1986:21 *26128:C 0.000126435 -33 *1986:21 *26129:A2 2.95955e-05 -34 *1986:21 *26129:C1 0.000455272 -35 *1986:21 *26477:A1 4.58194e-05 -36 *1986:21 *26477:A2 0.000140467 -37 *1986:21 *26562:B1 3.92854e-05 -38 *1986:21 *26562:C1 0.000461609 -39 *1986:21 *2047:113 0.000193814 -40 *1986:35 *26129:A2 2.2716e-05 -41 *1986:35 *26129:C1 7.34745e-06 -42 *1986:35 *26130:B 8.79776e-05 -43 *1986:35 *26131:B1 5.52238e-05 -44 *1986:35 *26476:A2 8.55871e-05 -45 *1986:35 *26477:A2 0 -46 *1986:35 *2001:17 0.00012956 -47 *1986:35 *2010:8 5.58941e-05 -48 *1986:35 *2051:41 0 -49 *1986:35 *2069:21 0.000121248 -50 *1986:35 *2598:8 0.001041 -51 *1986:51 *26054:A 0.000135028 -52 *1986:51 *26131:A2 0.000216755 -53 *1986:51 *26141:A 0 -54 *1986:51 *26141:B 5.52238e-05 -55 *1986:51 *26689:A 5.33005e-05 -56 *1986:51 *2001:17 1.46148e-05 -57 *1986:51 *2005:11 0.000268396 -58 *1986:51 *2011:118 9.30682e-05 -59 *1986:51 *2017:36 0.000162145 -60 *1986:51 *2017:47 0.000113999 -61 *1986:51 *2052:25 0.000140933 -62 *1986:51 *2083:27 6.87146e-05 -63 *1986:51 *2595:15 0.000146799 -64 *1986:59 *2595:15 5.33005e-05 -65 *1986:96 *26686:C 0.000174201 -66 *1986:96 *26691:A 0.000301094 -67 *1986:96 *26691:C 7.74068e-05 -68 *1986:96 *2040:127 1.45525e-05 -69 *1986:96 *2056:28 0.00106862 -70 *1986:96 *2086:10 0.000226277 -71 *1986:96 *2086:16 0.000301638 -72 *1986:96 *2411:21 0.000100831 -73 *1986:96 *2514:17 0.000274565 -74 *1986:96 *2621:14 2.06112e-05 -75 *1986:96 *2632:10 8.74335e-05 -76 *1986:96 *2632:12 8.06952e-05 -77 *1986:96 *2687:17 9.73251e-05 -78 *1986:96 *2727:11 0.00148097 -79 *1986:96 *5782:183 4.20683e-05 -80 *1986:97 *2520:18 7.58841e-05 -81 *1986:97 *5782:183 3.9733e-05 -82 *1986:125 *26143:A1 0.000110029 -83 *1986:125 *26149:A2 0.000104603 -84 *1986:125 *26584:A 0.00013021 -85 *1986:125 *2022:112 0.000124376 -86 *1986:125 *2027:15 2.03587e-05 -87 *1986:125 *2038:197 4.37368e-05 -88 *1986:125 *2086:16 0.000176911 -89 *1986:125 *2090:8 7.6644e-05 -90 *1986:125 *2520:18 0.000326693 -91 *1986:125 *5782:183 0.000180691 -92 *1986:125 *5782:232 4.11173e-05 -93 *1986:136 *2022:112 9.71197e-05 -94 *1986:136 *2027:15 4.72917e-05 -95 *1986:136 *2056:37 5.41794e-05 -96 *1986:136 *2056:53 0.000150625 -97 *1986:136 *2087:13 2.16719e-05 -98 *1986:136 *2687:10 7.15687e-05 -99 *1862:22 *1986:35 0.000526064 -100 *1914:12 *1986:21 0.000148903 -101 *1943:36 *1986:35 0.00035013 -102 *1944:23 *1986:21 0.000358971 -103 *1950:181 *26082:B 5.49995e-05 -104 *1967:12 *1986:35 3.4323e-06 -105 *1967:50 *26362:A 9.71197e-05 -106 *1967:59 *26362:A 0.000128409 -107 *1981:11 *1986:35 8.50152e-05 -*RES -1 *26044:X *1986:21 37.0321 -2 *1986:21 *1986:35 33.75 -3 *1986:35 *26131:A1 9.3 -4 *1986:35 *1986:51 19.7321 -5 *1986:51 *26054:B 9.3 -6 *1986:51 *1986:59 5.03571 -7 *1986:59 *26362:A 28.55 -8 *1986:59 *1986:96 49.6133 -9 *1986:96 *1986:97 1.03571 -10 *1986:97 *26082:B 14.3357 -11 *1986:97 *1986:125 28.0536 -12 *1986:125 *26149:A1 9.3 -13 *1986:125 *1986:136 12.5 -14 *1986:136 *26146:A1 9.3 -15 *1986:136 *26151:A1 18.1036 -16 *1986:21 *26129:A1 9.3 -*END - -*D_NET *1987 0.0120306 -*CONN -*I *26046:B I *D sky130_fd_sc_hd__nand2_1 -*I *26361:A I *D sky130_fd_sc_hd__nand2_1 -*I *26047:C I *D sky130_fd_sc_hd__and3_4 -*I *26048:C I *D sky130_fd_sc_hd__nand3_4 -*I *26382:C I *D sky130_fd_sc_hd__nand4_2 -*I *26045:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *26046:B 2.6345e-05 -2 *26361:A 0.000475676 -3 *26047:C 0.000501053 -4 *26048:C 0 -5 *26382:C 0.000236729 -6 *26045:Y 0.000533951 -7 *1987:37 0.00118032 -8 *1987:32 0.00134898 -9 *1987:8 0.000311302 -10 *1987:5 0.00172757 -11 *26046:B *1988:11 2.59355e-05 -12 *26047:C *26076:D 1.09611e-05 -13 *26047:C *26172:A1 0.00108449 -14 *26047:C *1989:8 9.60337e-06 -15 *26047:C *2016:41 0.00024619 -16 *26047:C *4189:67 0 -17 *26361:A *26172:A1 0.000773325 -18 *26361:A *2024:54 1.94945e-05 -19 *26361:A *2291:20 2.11419e-05 -20 *26361:A *2303:5 2.59355e-05 -21 *26361:A *4189:67 0 -22 *26382:C *2107:27 0.000128154 -23 *1987:8 *1990:81 5.03787e-05 -24 *1987:8 *2107:27 0.00016284 -25 *1987:32 *1988:11 2.15245e-05 -26 *1987:32 *2053:34 0.00026546 -27 *1987:32 *2384:13 0.000218821 -28 *1987:37 *26061:B 6.92626e-05 -29 *1987:37 *26348:A 0.000184664 -30 *1987:37 *2006:10 0.000146992 -31 *1987:37 *2009:20 2.0969e-05 -32 *1987:37 *2011:16 0.000496348 -33 *1987:37 *2011:118 4.32957e-05 -34 *26042:B *1987:5 0.0005201 -35 *26042:B *1987:32 0.000476702 -36 *26382:B *26382:C 7.07012e-05 -37 *1950:37 *1987:32 0.000382133 -38 *1952:26 *26047:C 2.22043e-05 -39 *1952:34 *26382:C 5.18176e-05 -40 *1966:17 *1987:5 0.000139189 -41 *1972:33 *26382:C 0 -42 *1972:33 *1987:8 0 -*RES -1 *26045:Y *1987:5 18.4607 -2 *1987:5 *1987:8 6.75 -3 *1987:8 *26382:C 18.1929 -4 *1987:8 *26048:C 13.8 -5 *1987:5 *1987:32 18.5179 -6 *1987:32 *1987:37 14.8654 -7 *1987:37 *26047:C 19.2634 -8 *1987:37 *26361:A 19.7232 -9 *1987:32 *26046:B 9.83571 -*END - -*D_NET *1988 0.00169342 -*CONN -*I *26064:A I *D sky130_fd_sc_hd__nor2_4 -*I *26065:A I *D sky130_fd_sc_hd__or2_2 -*I *26046:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26064:A 0 -2 *26065:A 0.000180241 -3 *26046:Y 0.000224087 -4 *1988:11 0.000404329 -5 *26065:A *26064:B 0.00037471 -6 *26065:A *26065:B 5.52302e-05 -7 *26065:A *2016:41 9.0145e-05 -8 *1988:11 *26064:B 5.33005e-05 -9 *1988:11 *2011:16 0.00012116 -10 *1988:11 *2016:41 1.98839e-05 -11 *1988:11 *2053:34 0.000122875 -12 *26046:B *1988:11 2.59355e-05 -13 *1987:32 *1988:11 2.15245e-05 -*RES -1 *26046:Y *1988:11 22.2464 -2 *1988:11 *26065:A 13.5321 -3 *1988:11 *26064:A 9.3 -*END - -*D_NET *1989 0.0376722 -*CONN -*I *26452:A3 I *D sky130_fd_sc_hd__a31o_1 -*I *26109:A I *D sky130_fd_sc_hd__nand2_1 -*I *26049:B I *D sky130_fd_sc_hd__nand2_1 -*I *26661:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *26410:A1 I *D sky130_fd_sc_hd__a21oi_1 -*I *26409:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *26717:A1 I *D sky130_fd_sc_hd__a211o_1 -*I *26630:A2 I *D sky130_fd_sc_hd__o32a_1 -*I *26047:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *26452:A3 0.00102082 -2 *26109:A 0.000350534 -3 *26049:B 0.00085651 -4 *26661:A1 0 -5 *26410:A1 2.91227e-05 -6 *26409:A2 0.00010237 -7 *26717:A1 8.68729e-05 -8 *26630:A2 3.60804e-05 -9 *26047:X 4.1975e-05 -10 *1989:95 0.00154598 -11 *1989:80 0.00235986 -12 *1989:44 0.000586852 -13 *1989:35 0.00159548 -14 *1989:30 0.00199142 -15 *1989:8 0.00183718 -16 *1989:7 0.00234912 -17 *26049:B *24811:A 2.59355e-05 -18 *26049:B *26466:A2 5.71472e-05 -19 *26049:B *26466:B1 4.08929e-05 -20 *26049:B *26558:B1 0.000314139 -21 *26049:B *2025:114 0.000933012 -22 *26049:B *2144:95 0.000271068 -23 *26109:A *26109:B 7.82006e-05 -24 *26109:A *2051:41 1.58163e-05 -25 *26109:A *2598:8 5.33005e-05 -26 *26409:A2 *26410:B1 3.65544e-05 -27 *26409:A2 *26717:C1 0.000150625 -28 *26409:A2 *2136:82 4.98776e-05 -29 *26409:A2 *2155:117 8.00806e-05 -30 *26409:A2 *2680:17 5.83233e-05 -31 *26410:A1 *2133:160 9.71197e-05 -32 *26410:A1 *2351:11 3.32631e-05 -33 *26452:A3 *26452:A1 5.18016e-06 -34 *26452:A3 *26470:B 0 -35 *26452:A3 *26558:A2 0.00046526 -36 *26452:A3 *26579:B1 0.000100485 -37 *26452:A3 *2040:17 0.000252063 -38 *26452:A3 *2598:8 2.09413e-05 -39 *26452:A3 *4353:187 0.000406656 -40 *26452:A3 *4353:194 0.000342081 -41 *26452:A3 *4508:294 0.000309524 -42 *26452:A3 *5673:299 0.00012762 -43 *26452:A3 *6180:11 0.000259983 -44 *26717:A1 *26717:B1 5.15855e-05 -45 *26717:A1 *2650:8 2.42516e-05 -46 *26717:A1 *2656:11 0.000178847 -47 *1989:8 *26386:C1 0.000672721 -48 *1989:8 *26774:B2 1.65157e-05 -49 *1989:8 *1997:75 0.000223155 -50 *1989:30 *26386:C1 0.000258306 -51 *1989:30 *26387:A2 0.0004838 -52 *1989:30 *26617:B 0.000345322 -53 *1989:30 *26631:B 0.000268175 -54 *1989:30 *26661:A2 5.52302e-05 -55 *1989:30 *26774:B2 1.62539e-05 -56 *1989:30 *1996:99 0.000177815 -57 *1989:30 *2315:56 1.39726e-05 -58 *1989:30 *2320:7 4.18895e-05 -59 *1989:35 *26378:B 0.000105471 -60 *1989:35 *26410:A2 0.000539819 -61 *1989:35 *26435:D1 0.000689453 -62 *1989:35 *26603:D 0.000171368 -63 *1989:35 *2104:44 0.000288557 -64 *1989:35 *2250:51 0.000342498 -65 *1989:35 *2301:9 0.000606699 -66 *1989:35 *2315:56 0.000222565 -67 *1989:35 *2320:7 0.000469778 -68 *1989:35 *2662:14 0.000302431 -69 *1989:44 *26545:A2 0.00076217 -70 *1989:44 *26603:D 0.000899394 -71 *1989:44 *26717:B1 3.99614e-06 -72 *1989:44 *26724:D 9.60875e-05 -73 *1989:44 *2650:8 5.30373e-05 -74 *1989:44 *2656:11 0.000132934 -75 *1989:44 *2662:14 6.82922e-05 -76 *1989:44 *2680:17 0.000178847 -77 *1989:80 *26280:B 0.000192776 -78 *1989:80 *26476:B1 0.000485896 -79 *1989:80 *1997:69 2.45335e-05 -80 *1989:80 *2017:6 0.000295349 -81 *1989:80 *2072:45 0 -82 *1989:95 *2598:8 0.000441725 -83 *26047:C *1989:8 9.60337e-06 -84 *26049:A *26049:B 2.59355e-05 -85 *26379:B1 *1989:8 0.000287324 -86 *26581:A *26109:A 7.95355e-05 -87 *1187:14 *1989:80 0.00108303 -88 *1187:25 *1989:80 8.68665e-05 -89 *1188:85 *1989:80 0.000530533 -90 *1188:97 *1989:7 0.000137983 -91 *1393:49 *1989:30 8.55871e-05 -92 *1938:14 *1989:8 0.0002128 -93 *1938:19 *1989:8 0.000895361 -94 *1942:146 *26452:A3 8.23185e-05 -95 *1942:146 *1989:95 0.000441725 -96 *1950:22 *1989:80 0.000382424 -97 *1950:37 *1989:8 0.000560036 -98 *1950:37 *1989:80 0.000533759 -99 *1950:64 *1989:30 0.000361205 -100 *1952:22 *26452:A3 2.0653e-05 -101 *1952:26 *1989:8 6.057e-07 -102 *1952:26 *1989:80 4.97567e-06 -103 *1955:115 *1989:7 7.02611e-05 -104 *1955:115 *1989:80 0.000180015 -105 *1976:23 *1989:8 0.000196262 -106 *1982:24 *1989:8 0.0001419 -107 *1982:30 *1989:8 0.000425328 -108 *1982:35 *1989:8 3.41021e-05 -109 *1983:24 *1989:8 0.000371452 -110 *1983:32 *1989:8 0.000309457 -111 *1983:52 *1989:30 0.000146928 -112 *1983:57 *1989:30 0.000261526 -113 *1983:57 *1989:35 6.71854e-05 -114 *1983:65 *1989:35 6.86693e-05 -*RES -1 *26047:X *1989:7 15.1571 -2 *1989:7 *1989:8 31.3929 -3 *1989:8 *26630:A2 14.3357 -4 *1989:8 *1989:30 35.9643 -5 *1989:30 *1989:35 37.3929 -6 *1989:35 *1989:44 19.7143 -7 *1989:44 *26717:A1 11.4964 -8 *1989:44 *26409:A2 21.4607 -9 *1989:35 *26410:A1 14.7464 -10 *1989:30 *26661:A1 9.3 -11 *1989:7 *1989:80 38.75 -12 *1989:80 *26049:B 27.55 -13 *1989:80 *1989:95 10.3929 -14 *1989:95 *26109:A 18.7821 -15 *1989:95 *26452:A3 39.8357 -*END - -*D_NET *1990 0.0252573 -*CONN -*I *26129:A2 I *D sky130_fd_sc_hd__o211a_1 -*I *26482:A1 I *D sky130_fd_sc_hd__o211a_1 -*I *26384:B2 I *D sky130_fd_sc_hd__o221a_1 -*I *26381:B1 I *D sky130_fd_sc_hd__a21oi_1 -*I *26510:A1 I *D sky130_fd_sc_hd__o211a_1 -*I *26759:A1 I *D sky130_fd_sc_hd__o2111ai_1 -*I *26432:B1 I *D sky130_fd_sc_hd__o32a_1 -*I *26048:Y O *D sky130_fd_sc_hd__nand3_4 -*CAP -1 *26129:A2 7.43344e-05 -2 *26482:A1 0.00121252 -3 *26384:B2 9.22252e-05 -4 *26381:B1 0.000511407 -5 *26510:A1 6.67951e-05 -6 *26759:A1 0 -7 *26432:B1 0.000532791 -8 *26048:Y 0 -9 *1990:81 0.00248721 -10 *1990:22 0.000594263 -11 *1990:19 0.000813352 -12 *1990:14 0.00136625 -13 *1990:8 0.000671852 -14 *1990:4 0.00161023 -15 *26129:A2 *26129:C1 4.06969e-05 -16 *26129:A2 *2018:18 6.36172e-05 -17 *26129:A2 *2051:41 1.37495e-05 -18 *26129:A2 *2069:21 5.70443e-06 -19 *26381:B1 *26385:C 0.00011479 -20 *26381:B1 *26663:C_N 0.000255306 -21 *26384:B2 *2099:30 9.41642e-05 -22 *26384:B2 *2324:13 6.05161e-06 -23 *26384:B2 *2324:20 2.65133e-05 -24 *26432:B1 *26373:C 0.000391181 -25 *26432:B1 *26421:B 0.000137983 -26 *26432:B1 *26590:B1 0.000171375 -27 *26432:B1 *26591:A2 5.33005e-05 -28 *26432:B1 *26595:B 0.000140669 -29 *26432:B1 *26720:A2 4.08637e-05 -30 *26432:B1 *26720:A3 2.28598e-05 -31 *26432:B1 *2016:80 0.00017309 -32 *26432:B1 *2023:8 0.000108437 -33 *26432:B1 *2060:15 9.86146e-05 -34 *26432:B1 *2103:89 1.5424e-05 -35 *26432:B1 *2103:91 0.000154443 -36 *26432:B1 *2124:41 9.90431e-05 -37 *26432:B1 *2315:8 0.000266486 -38 *26432:B1 *2324:22 9.25014e-06 -39 *26482:A1 *26476:A3 5.45888e-05 -40 *26482:A1 *26477:A1 4.65519e-05 -41 *26482:A1 *26483:B1 0.000220494 -42 *26482:A1 *2032:150 0 -43 *26482:A1 *2038:112 0.000312263 -44 *26482:A1 *2260:33 5.33005e-05 -45 *26482:A1 *2502:12 0.00167145 -46 *26482:A1 *4353:68 2.35042e-06 -47 *26482:A1 *4508:107 0.00019805 -48 *26510:A1 *26510:A2 2.97137e-05 -49 *26510:A1 *26511:B 8.25843e-06 -50 *1990:8 *2023:15 0.00072671 -51 *1990:8 *2111:69 0.000118291 -52 *1990:8 *2234:16 0.000127196 -53 *1990:8 *2324:13 0.000483578 -54 *1990:14 *2023:15 0.000111949 -55 *1990:14 *2103:84 3.29715e-05 -56 *1990:14 *2107:27 7.60981e-05 -57 *1990:14 *2124:41 3.20046e-05 -58 *1990:14 *2234:16 6.84325e-05 -59 *1990:14 *2324:13 3.51442e-05 -60 *1990:19 *26511:B 5.20359e-06 -61 *1990:19 *2023:15 0.000160254 -62 *1990:19 *2107:27 0.000118052 -63 *1990:19 *2111:69 0.000111243 -64 *1990:19 *2124:41 0.00136573 -65 *1990:19 *5664:86 0.000137983 -66 *1990:22 *2016:80 0.000145598 -67 *1990:22 *2324:22 0.000134633 -68 *1990:81 *26132:A2 0.00036638 -69 *1990:81 *26464:A1 4.28249e-05 -70 *1990:81 *2000:16 0.000376361 -71 *1990:81 *2018:12 1.70307e-05 -72 *1990:81 *2018:18 0.000458613 -73 *1990:81 *2047:119 6.09762e-05 -74 *1990:81 *2051:41 0.000629885 -75 *1990:81 *2107:27 9.20383e-05 -76 *1990:81 *2161:189 0.00011746 -77 *26355:B *1990:81 0.00045278 -78 *26432:B2 *26432:B1 0.000502352 -79 *26662:B1 *26381:B1 0.00022266 -80 *26720:A1 *26432:B1 7.99341e-05 -81 *373:60 *1990:19 0.000943782 -82 *1925:8 *26482:A1 0.000183678 -83 *1944:23 *26482:A1 6.22473e-05 -84 *1946:195 *1990:81 2.90164e-05 -85 *1948:17 *1990:8 0.000307343 -86 *1970:18 *1990:81 0.000159544 -87 *1972:33 *26381:B1 0.000124521 -88 *1972:33 *1990:81 0.0008003 -89 *1986:21 *26129:A2 2.95955e-05 -90 *1986:35 *26129:A2 2.2716e-05 -91 *1987:8 *1990:81 5.03787e-05 -*RES -1 *26048:Y *1990:4 9.3 -2 *1990:4 *1990:8 17.0357 -3 *1990:8 *1990:14 3.82143 -4 *1990:14 *1990:19 25.2321 -5 *1990:19 *1990:22 6.44643 -6 *1990:22 *26432:B1 30.9786 -7 *1990:22 *26759:A1 13.8 -8 *1990:19 *26510:A1 11.1036 -9 *1990:14 *26381:B1 24.0679 -10 *1990:8 *26384:B2 15.5857 -11 *1990:4 *1990:81 39.3661 -12 *1990:81 *26482:A1 27.5267 -13 *1990:81 *26129:A2 16.0277 -*END - -*D_NET *1991 0.00320289 -*CONN -*I *26483:C1 I *D sky130_fd_sc_hd__o211a_1 -*I *26746:B1 I *D sky130_fd_sc_hd__o211a_1 -*I *26128:A I *D sky130_fd_sc_hd__and3_1 -*I *26049:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26483:C1 0 -2 *26746:B1 0.000348109 -3 *26128:A 0.000110596 -4 *26049:Y 0.000191626 -5 *1991:10 0.000469794 -6 *1991:8 0.000202714 -7 *26128:A *26562:C1 5.33005e-05 -8 *26128:A *2031:73 0.000139354 -9 *26128:A *2147:136 9.25014e-06 -10 *26746:B1 *26484:D 0.000383166 -11 *26746:B1 *26746:A1 0.000117339 -12 *26746:B1 *26746:A2 2.44266e-05 -13 *26746:B1 *2226:84 0.000400909 -14 *26746:B1 *2684:11 3.6287e-05 -15 *1991:8 *2031:73 4.33438e-05 -16 *1991:8 *2144:95 0.000178847 -17 *1991:8 *2147:136 2.31791e-05 -18 *1991:10 *2147:136 4.90803e-05 -19 *1953:24 *26128:A 0.000184731 -20 *1953:24 *1991:8 0.000123288 -21 *1953:24 *1991:10 5.83304e-05 -22 *1986:21 *26128:A 5.52238e-05 -*RES -1 *26049:Y *1991:8 17.2107 -2 *1991:8 *1991:10 0.732143 -3 *1991:10 *26128:A 16.8893 -4 *1991:10 *26746:B1 22.3536 -5 *1991:8 *26483:C1 13.8 -*END - -*D_NET *1992 0.00662376 -*CONN -*I *26097:B I *D sky130_fd_sc_hd__or2_2 -*I *26571:A I *D sky130_fd_sc_hd__nor2_1 -*I *26122:A1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26572:A2 I *D sky130_fd_sc_hd__o41a_1 -*I *26458:A1 I *D sky130_fd_sc_hd__o32a_1 -*I *26050:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26097:B 0.00016385 -2 *26571:A 0.000284043 -3 *26122:A1 0.00035501 -4 *26572:A2 0.000195986 -5 *26458:A1 0.000112882 -6 *26050:Y 0 -7 *1992:11 0.000337564 -8 *1992:10 0.000499188 -9 *1992:6 0.000479802 -10 *1992:5 0.000244127 -11 *26097:B *26559:A 0.000348207 -12 *26097:B *2039:29 7.43578e-06 -13 *26097:B *4508:58 9.22948e-05 -14 *26097:B *4530:194 0.000100823 -15 *26097:B *6192:9 0.000132251 -16 *26122:A1 *26122:C1 9.91713e-05 -17 *26122:A1 *26458:B1 8.27532e-05 -18 *26122:A1 *6180:11 0.000207983 -19 *26458:A1 *26458:A2 2.21991e-05 -20 *26458:A1 *26458:A3 3.53079e-05 -21 *26458:A1 *26458:B1 9.80173e-05 -22 *26458:A1 *26458:B2 5.33005e-05 -23 *26458:A1 *6180:11 0.000306311 -24 *26571:A *26571:B 5.75275e-05 -25 *26571:A *2250:94 0.000348207 -26 *26571:A *2511:5 5.52302e-05 -27 *26571:A *5544:15 2.77289e-05 -28 *26572:A2 *2141:39 8.6229e-06 -29 *26572:A2 *2512:20 0.000178847 -30 *26572:A2 *4508:58 5.43687e-05 -31 *1992:6 *26052:A 0.000197991 -32 *1992:6 *4508:58 0.000286608 -33 *1992:6 *4530:194 9.4724e-05 -34 *1992:10 *26052:A 0.000332894 -35 *1992:10 *4508:58 0.000334609 -36 *1992:11 *26458:B1 0.000128496 -37 *1992:11 *6180:11 0.000137983 -38 *1927:15 *26572:A2 0.000121418 -*RES -1 *26050:Y *1992:5 13.8 -2 *1992:5 *1992:6 3.76786 -3 *1992:6 *1992:10 8.875 -4 *1992:10 *1992:11 1.35714 -5 *1992:11 *26458:A1 12.7286 -6 *1992:11 *26572:A2 21.7107 -7 *1992:10 *26122:A1 15.3536 -8 *1992:6 *26571:A 19.2821 -9 *1992:5 *26097:B 18.9607 -*END - -*D_NET *1993 0.00853805 -*CONN -*I *26572:A3 I *D sky130_fd_sc_hd__o41a_1 -*I *26458:A2 I *D sky130_fd_sc_hd__o32a_1 -*I *26557:A I *D sky130_fd_sc_hd__nor2_1 -*I *26122:B1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26053:A I *D sky130_fd_sc_hd__nor2_1 -*I *26573:A2 I *D sky130_fd_sc_hd__o41a_1 -*I *26051:X O *D sky130_fd_sc_hd__a211o_2 -*CAP -1 *26572:A3 2.04425e-05 -2 *26458:A2 0.000111102 -3 *26557:A 0.000190078 -4 *26122:B1 0 -5 *26053:A 2.62439e-05 -6 *26573:A2 9.57132e-05 -7 *26051:X 0.000774833 -8 *1993:39 0.000330429 -9 *1993:24 0.000394753 -10 *1993:12 0.00036406 -11 *1993:10 0.000263284 -12 *1993:8 0.000907269 -13 *26053:A *26053:B 1.00733e-05 -14 *26053:A *1994:25 5.71472e-05 -15 *26053:A *2142:189 4.04037e-05 -16 *26458:A2 *26458:A3 8.78375e-05 -17 *26557:A *2141:39 0.000295112 -18 *26557:A *2250:94 2.1698e-05 -19 *26557:A *2497:14 1.58163e-05 -20 *26557:A *2500:26 5.01876e-06 -21 *26557:A *2512:20 9.41642e-05 -22 *26572:A3 *2240:29 2.59355e-05 -23 *26572:A3 *2512:20 5.33005e-05 -24 *26573:A2 *26458:B2 0.0002701 -25 *26573:A2 *2187:22 0.000244628 -26 *26573:A2 *2513:7 5.33005e-05 -27 *1993:8 *26335:A3 0.000304394 -28 *1993:8 *2187:22 0.000349151 -29 *1993:8 *4264:8 0.000434463 -30 *1993:10 *26458:B2 5.1588e-05 -31 *1993:10 *2187:22 0.00022867 -32 *1993:12 *26458:B2 9.91086e-05 -33 *1993:12 *2187:22 9.0573e-05 -34 *1993:24 *1994:17 0.00019254 -35 *1993:24 *1994:25 2.44318e-05 -36 *1993:24 *2142:189 0.000727789 -37 *1993:24 *2217:77 6.595e-05 -38 *1993:39 *26122:A2 7.33874e-05 -39 *1993:39 *2250:94 0.000146823 -40 *1993:39 *2500:26 0 -41 *26052:B *1993:24 5.33005e-05 -42 *26458:A1 *26458:A2 2.21991e-05 -43 *26459:A *1993:8 6.9253e-05 -44 *30593:A *1993:8 0.000299418 -45 *1911:53 *1993:8 2.16719e-05 -46 *1912:28 *1993:8 6.35826e-05 -47 *1922:19 *26557:A 8.73083e-05 -48 *1927:8 *1993:8 1.87004e-05 -49 *1927:15 *1993:8 7.67408e-05 -50 *1927:15 *1993:10 3.74616e-05 -51 *1955:19 *1993:8 6.71755e-05 -52 *1955:31 *1993:8 6.16276e-05 -53 *1959:31 *26557:A 6.88287e-05 -54 *1959:36 *1993:8 1.76135e-05 -55 *1959:36 *1993:10 3.15517e-05 -*RES -1 *26051:X *1993:8 30.5143 -2 *1993:8 *1993:10 3.16071 -3 *1993:10 *1993:12 1.33929 -4 *1993:12 *26573:A2 17.4964 -5 *1993:12 *1993:24 11.6071 -6 *1993:24 *26053:A 10.2643 -7 *1993:24 *1993:39 8.01786 -8 *1993:39 *26122:B1 13.8 -9 *1993:39 *26557:A 18.9964 -10 *1993:10 *26458:A2 15.4786 -11 *1993:8 *26572:A3 14.3357 -*END - -*D_NET *1994 0.00307793 -*CONN -*I *26568:B I *D sky130_fd_sc_hd__or2_1 -*I *26122:A2 I *D sky130_fd_sc_hd__a2111o_1 -*I *26053:B I *D sky130_fd_sc_hd__nor2_1 -*I *26573:A3 I *D sky130_fd_sc_hd__o41a_1 -*I *26052:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26568:B 7.11591e-05 -2 *26122:A2 0.000109702 -3 *26053:B 1.72883e-05 -4 *26573:A3 0.000267483 -5 *26052:Y 0 -6 *1994:25 0.000201905 -7 *1994:17 0.000228165 -8 *1994:4 0.000457316 -9 *26122:A2 *2500:26 0.000195983 -10 *26568:B *26458:B1 0.000167436 -11 *26568:B *2142:189 0.000120724 -12 *26573:A3 *26458:A3 7.83659e-05 -13 *26573:A3 *5914:99 0.000306317 -14 *1994:17 *26458:B1 0.000306317 -15 *1994:25 *26458:B1 0.000131439 -16 *1994:25 *2142:189 2.14658e-05 -17 *26052:B *26573:A3 3.92854e-05 -18 *26053:A *26053:B 1.00733e-05 -19 *26053:A *1994:25 5.71472e-05 -20 *1993:24 *1994:17 0.00019254 -21 *1993:24 *1994:25 2.44318e-05 -22 *1993:39 *26122:A2 7.33874e-05 -*RES -1 *26052:Y *1994:4 9.3 -2 *1994:4 *26573:A3 22.3357 -3 *1994:4 *1994:17 4.55357 -4 *1994:17 *26053:B 9.72857 -5 *1994:17 *1994:25 1.35714 -6 *1994:25 *26122:A2 20.8536 -7 *1994:25 *26568:B 11.4964 -*END - -*D_NET *1995 0.00497391 -*CONN -*I *26073:C I *D sky130_fd_sc_hd__and3b_1 -*I *26460:A I *D sky130_fd_sc_hd__nand2_1 -*I *26053:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26073:C 0 -2 *26460:A 0.000415851 -3 *26053:Y 0.000618884 -4 *1995:10 0.00103474 -5 *26460:A *26567:B1 0.00021846 -6 *26460:A *26789:C 0.000107354 -7 *26460:A *2033:40 0.000236821 -8 *26460:A *2065:21 0.000146069 -9 *26460:A *4364:92 6.80138e-05 -10 *1995:10 *26123:B1 6.53083e-05 -11 *1995:10 *26457:B1 0.00014691 -12 *1995:10 *26458:B1 0.000227526 -13 *1995:10 *2500:26 0.000312537 -14 *25987:A *1995:10 0.000121573 -15 *26018:A *1995:10 0.000168224 -16 *26019:A *1995:10 5.41794e-05 -17 *26085:B *1995:10 0.000207762 -18 *26567:A1 *26460:A 0.000219366 -19 *1908:27 *1995:10 0.000147613 -20 *1928:14 *26460:A 7.49617e-05 -21 *1942:45 *26460:A 6.30931e-05 -22 *1960:6 *1995:10 0.000318663 -*RES -1 *26053:Y *1995:10 33.6571 -2 *1995:10 *26460:A 28.2464 -3 *1995:10 *26073:C 9.3 -*END - -*D_NET *1996 0.0321234 -*CONN -*I *26629:A1 I *D sky130_fd_sc_hd__a311o_1 -*I *26365:A I *D sky130_fd_sc_hd__and3_1 -*I *26364:A I *D sky130_fd_sc_hd__nand2_1 -*I *26494:A I *D sky130_fd_sc_hd__nor2_1 -*I *26508:A1 I *D sky130_fd_sc_hd__o31a_1 -*I *26507:A1 I *D sky130_fd_sc_hd__o31a_1 -*I *26498:B I *D sky130_fd_sc_hd__and3_1 -*I *26555:B I *D sky130_fd_sc_hd__nor2_1 -*I *26054:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *26629:A1 0.000137044 -2 *26365:A 0 -3 *26364:A 0.000204204 -4 *26494:A 0 -5 *26508:A1 0 -6 *26507:A1 0.000176501 -7 *26498:B 4.09299e-05 -8 *26555:B 0.000325025 -9 *26054:Y 0 -10 *1996:99 0.00106653 -11 *1996:83 0.00147288 -12 *1996:78 0.00087371 -13 *1996:75 0.000892802 -14 *1996:58 0.00116846 -15 *1996:48 0.000970948 -16 *1996:25 0.00243549 -17 *1996:4 0.00281521 -18 *26364:A *26364:B 0.000303972 -19 *26364:A *2060:128 0.000272892 -20 *26364:A *2169:64 0.00012062 -21 *26507:A1 *26508:A3 0.000181796 -22 *26507:A1 *26509:C 0.000140583 -23 *26507:A1 *26509:D 4.87953e-05 -24 *26507:A1 *2016:74 3.06186e-05 -25 *26507:A1 *2016:80 9.25014e-06 -26 *26507:A1 *2324:20 0.000213402 -27 *26507:A1 *3510:21 5.33005e-05 -28 *26555:B *26126:B 0.00014161 -29 *26555:B *26789:D 1.94799e-05 -30 *26555:B *2018:18 2.4065e-05 -31 *26555:B *2029:9 0.000778861 -32 *26555:B *2068:16 6.25524e-05 -33 *26555:B *2514:10 0.000362545 -34 *26555:B *6291:186 4.04292e-05 -35 *26629:A1 *26629:A2 2.44318e-05 -36 *26629:A1 *26629:A3 0.000183726 -37 *1996:25 *26584:C_N 1.17921e-05 -38 *1996:25 *26751:B 2.04825e-05 -39 *1996:25 *26751:C 0.000218012 -40 *1996:25 *26790:A3 9.0476e-05 -41 *1996:25 *2006:75 0.000424914 -42 *1996:25 *2021:59 0.000718518 -43 *1996:25 *2038:193 0.000301459 -44 *1996:25 *2595:15 5.52302e-05 -45 *1996:25 *2685:11 0.000220365 -46 *1996:25 *2741:11 0.0014431 -47 *1996:25 *5782:183 0.000202775 -48 *1996:48 *26339:A2 9.60875e-05 -49 *1996:48 *2022:20 2.00756e-05 -50 *1996:48 *2022:28 0.000638633 -51 *1996:48 *2024:54 0.000316953 -52 *1996:48 *2595:15 0.000136951 -53 *1996:48 *2727:11 0.000593177 -54 *1996:48 *5723:75 0.000443187 -55 *1996:48 *5782:149 0.000202835 -56 *1996:48 *5782:164 0.00036988 -57 *1996:58 *2012:20 3.0285e-05 -58 *1996:58 *2632:12 4.43046e-05 -59 *1996:75 *26365:C 0.000103714 -60 *1996:75 *2012:20 0 -61 *1996:75 *2022:28 1.01417e-05 -62 *1996:75 *2307:10 0.000114277 -63 *1996:75 *2308:18 8.64454e-05 -64 *1996:75 *2343:22 7.05982e-05 -65 *1996:75 *2569:6 0.000185433 -66 *1996:75 *2632:12 0.000753636 -67 *1996:75 *5723:33 8.48485e-05 -68 *1996:75 *5782:149 0.00024026 -69 *1996:78 *2024:65 0.00023817 -70 *1996:78 *2056:8 0.000230635 -71 *1996:83 *2024:65 0.000745421 -72 *1996:83 *2056:8 0.000742991 -73 *1996:99 *26494:B 0.000136951 -74 *1996:99 *26508:A3 8.17274e-05 -75 *1996:99 *26508:B1 4.97479e-05 -76 *1996:99 *2051:46 0.000114816 -77 *1996:99 *2060:109 1.02936e-05 -78 *1996:99 *2310:32 0 -79 *1996:99 *2598:12 7.22737e-05 -80 *26112:A *1996:25 1.74806e-05 -81 *26480:A1 *1996:25 0.00068818 -82 *26507:B1 *1996:99 0.000216755 -83 *26555:A *26555:B 3.10459e-05 -84 *1393:49 *1996:83 0.000244658 -85 *1393:49 *1996:99 0.000361832 -86 *1930:152 *1996:75 7.21378e-05 -87 *1941:50 *1996:25 0.000128704 -88 *1942:25 *1996:25 0.000764597 -89 *1942:35 *1996:25 0.000551728 -90 *1948:17 *26498:B 5.52302e-05 -91 *1948:17 *26629:A1 1.39726e-05 -92 *1948:17 *1996:58 0.0002083 -93 *1952:122 *1996:25 0.00120993 -94 *1953:203 *26507:A1 2.89016e-05 -95 *1953:203 *1996:99 0.00100396 -96 *1983:37 *1996:99 8.36572e-05 -97 *1989:30 *1996:99 0.000177815 -*RES -1 *26054:Y *1996:4 9.3 -2 *1996:4 *1996:25 45.9674 -3 *1996:25 *26555:B 25.0054 -4 *1996:4 *1996:48 39.125 -5 *1996:48 *26498:B 9.83571 -6 *1996:48 *1996:58 8.01786 -7 *1996:58 *1996:75 25.6613 -8 *1996:75 *1996:78 7.66071 -9 *1996:78 *1996:83 19.3929 -10 *1996:83 *1996:99 29.8393 -11 *1996:99 *26507:A1 23.4607 -12 *1996:99 *26508:A1 9.3 -13 *1996:83 *26494:A 9.3 -14 *1996:78 *26364:A 19.2643 -15 *1996:75 *26365:A 9.3 -16 *1996:58 *26629:A1 16.3893 -*END - -*D_NET *1997 0.0384413 -*CONN -*I *26469:A1 I *D sky130_fd_sc_hd__a21oi_1 -*I *26135:A1 I *D sky130_fd_sc_hd__o211a_1 -*I *26132:A1 I *D sky130_fd_sc_hd__o2111a_1 -*I *26115:B I *D sky130_fd_sc_hd__nand2_1 -*I *26495:A I *D sky130_fd_sc_hd__nand2_1 -*I *26369:B I *D sky130_fd_sc_hd__nor2_1 -*I *26143:A1 I *D sky130_fd_sc_hd__o2111a_1 -*I *26055:Y O *D sky130_fd_sc_hd__nand2_4 -*CAP -1 *26469:A1 0 -2 *26135:A1 0 -3 *26132:A1 0.000177302 -4 *26115:B 7.1941e-05 -5 *26495:A 0.000769362 -6 *26369:B 0 -7 *26143:A1 0.000909188 -8 *26055:Y 0.000730885 -9 *1997:93 0.000177302 -10 *1997:75 0.00182014 -11 *1997:69 0.00201235 -12 *1997:61 0.00331553 -13 *1997:45 0.00112017 -14 *1997:34 0.00383133 -15 *1997:31 0.00142902 -16 *1997:11 0.00278384 -17 *26115:B *26065:B 0 -18 *26115:B *2002:5 0.000135028 -19 *26115:B *2057:8 1.21258e-05 -20 *26132:A1 *26135:A2 3.51368e-05 -21 *26132:A1 *26135:B1 0.000261905 -22 *26143:A1 *26143:C1 3.69047e-06 -23 *26143:A1 *2025:39 0.000177283 -24 *26495:A *26495:B 7.02525e-05 -25 *26495:A *26503:A2 0.000221641 -26 *26495:A *26503:B1 5.33005e-05 -27 *26495:A *26617:B 5.33005e-05 -28 *26495:A *2009:33 5.72966e-05 -29 *26495:A *2436:17 2.51343e-06 -30 *26495:A *2444:8 4.05167e-05 -31 *26495:A *2557:8 1.98839e-05 -32 *1997:11 *26491:A1 0 -33 *1997:11 *26492:D 0.00027557 -34 *1997:11 *2025:6 0.00028371 -35 *1997:11 *2025:12 1.97381e-05 -36 *1997:11 *2025:39 0.00025663 -37 *1997:11 *2040:24 0 -38 *1997:11 *6180:11 0.000140933 -39 *1997:31 *26575:A 0.000659591 -40 *1997:31 *26683:A 0.000368963 -41 *1997:31 *26693:A 0.00017739 -42 *1997:31 *26782:A 1.02504e-05 -43 *1997:31 *31013:A 6.06292e-05 -44 *1997:31 *2029:50 2.3942e-05 -45 *1997:31 *2037:9 0 -46 *1997:31 *2040:24 0 -47 *1997:31 *2089:20 0.000213454 -48 *1997:31 *2089:24 0 -49 *1997:31 *2169:16 0.000218019 -50 *1997:31 *2515:8 3.4847e-05 -51 *1997:31 *2517:6 0.00030142 -52 *1997:31 *2517:13 0.000332737 -53 *1997:31 *5782:192 5.33882e-05 -54 *1997:34 *26469:A2 0.000103253 -55 *1997:34 *2063:14 0.000447911 -56 *1997:34 *5615:21 0.000398995 -57 *1997:45 *2062:44 0.000697928 -58 *1997:45 *2063:14 0.000549691 -59 *1997:45 *2223:50 0.000225307 -60 *1997:45 *2284:35 0.000194112 -61 *1997:45 *2311:7 6.57032e-05 -62 *1997:45 *2342:43 0.000701079 -63 *1997:45 *4189:72 0.000175892 -64 *1997:45 *5615:21 0.00100537 -65 *1997:61 *26311:B 0.000310191 -66 *1997:61 *26689:A 0 -67 *1997:61 *2007:64 9.59532e-06 -68 *1997:61 *2022:101 4.5539e-05 -69 *1997:69 *26689:A 0 -70 *1997:69 *2001:18 0 -71 *1997:69 *2005:19 5.03694e-05 -72 *1997:69 *2007:56 0.000140107 -73 *1997:69 *2007:64 0.000159632 -74 *1997:69 *2052:28 0.000196269 -75 *1997:69 *2072:45 6.35864e-05 -76 *1997:75 *26386:C1 0.00120109 -77 *1997:75 *26617:B 0.000538617 -78 *1997:75 *2057:8 0.00195818 -79 *26055:B *1997:11 0 -80 *26076:B *1997:69 6.72518e-05 -81 *26115:A *26115:B 7.7601e-05 -82 *26116:C *1997:61 2.11362e-05 -83 *26227:A *1997:31 0.000354891 -84 *26311:A *1997:61 8.19105e-05 -85 *26617:A *26495:A 0.000224583 -86 *1187:25 *26132:A1 9.58181e-05 -87 *1188:97 *1997:69 2.8046e-05 -88 *1930:17 *26143:A1 0.00135448 -89 *1930:187 *1997:31 0.000101116 -90 *1946:208 *1997:69 7.12595e-05 -91 *1950:37 *1997:69 0.000368687 -92 *1950:37 *1997:75 0.000215448 -93 *1955:115 *1997:69 0.000571428 -94 *1967:36 *26132:A1 0.000601391 -95 *1967:44 *1997:61 3.69047e-06 -96 *1976:11 *1997:69 0.000121573 -97 *1976:11 *1997:75 2.59877e-05 -98 *1976:47 *1997:69 4.66511e-05 -99 *1983:24 *1997:75 0.000379273 -100 *1983:32 *1997:75 0.000309457 -101 *1986:125 *26143:A1 0.000110029 -102 *1989:8 *1997:75 0.000223155 -103 *1989:80 *1997:69 2.45335e-05 -*RES -1 *26055:Y *1997:11 35.05 -2 *1997:11 *26143:A1 27.6393 -3 *1997:11 *1997:31 38.5 -4 *1997:31 *1997:34 15.25 -5 *1997:34 *1997:45 46.2857 -6 *1997:45 *26369:B 9.3 -7 *1997:34 *1997:61 31.1597 -8 *1997:61 *1997:69 29.25 -9 *1997:69 *1997:75 38.8571 -10 *1997:75 *26495:A 21.2464 -11 *1997:69 *26115:B 15.5857 -12 *1997:61 *1997:93 4.5 -13 *1997:93 *26132:A1 15.6036 -14 *1997:93 *26135:A1 9.3 -15 *1997:31 *26469:A1 9.3 -*END - -*D_NET *1998 0.0115953 -*CONN -*I *26077:B I *D sky130_fd_sc_hd__or3_4 -*I *26351:A2 I *D sky130_fd_sc_hd__o31a_1 -*I *26348:A I *D sky130_fd_sc_hd__nor2_1 -*I *26058:D I *D sky130_fd_sc_hd__or4_4 -*I *26056:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26077:B 0 -2 *26351:A2 0.00056899 -3 *26348:A 0.000488637 -4 *26058:D 0.000597233 -5 *26056:Y 0 -6 *1998:31 0.00138938 -7 *1998:28 0.00104573 -8 *1998:4 0.00131121 -9 *26058:D *2000:16 0.0001399 -10 *26058:D *2010:8 2.15339e-05 -11 *26058:D *2010:16 8.25286e-05 -12 *26058:D *2023:15 2.26327e-05 -13 *26058:D *2051:41 1.84099e-05 -14 *26058:D *2051:46 0.000202055 -15 *26348:A *26061:B 8.67371e-05 -16 *26348:A *26339:A2 0.000159159 -17 *26348:A *26348:B 9.60875e-05 -18 *26348:A *2003:13 0.00018077 -19 *26348:A *2009:20 0.000101265 -20 *26348:A *2011:118 3.39325e-05 -21 *26348:A *2053:34 0.000101545 -22 *26348:A *2133:68 9.25014e-06 -23 *26348:A *4189:72 0.000219711 -24 *26348:A *5545:21 0.000101545 -25 *26351:A2 *26079:B 0.000549576 -26 *26351:A2 *26172:A2 2.22618e-05 -27 *26351:A2 *2011:118 2.14658e-05 -28 *26351:A2 *2019:7 0.000136958 -29 *26351:A2 *2019:88 0.000587484 -30 *26351:A2 *2021:8 0.000178847 -31 *26351:A2 *2021:59 0.000301438 -32 *26351:A2 *2022:11 6.8087e-05 -33 *26351:A2 *2133:68 1.21258e-05 -34 *1998:28 *26072:B 0.000128154 -35 *1998:28 *2052:28 0.000125731 -36 *1998:31 *2019:7 0.000175892 -37 *1998:31 *2133:68 9.02017e-05 -38 *26039:A *26058:D 5.52302e-05 -39 *26039:B *26058:D 5.52302e-05 -40 *26058:A *26058:D 0.000182314 -41 *26077:A *26058:D 5.52238e-05 -42 *26077:A *1998:28 0.000874417 -43 *26349:C1 *26058:D 3.30337e-05 -44 *26362:A *26351:A2 1.02936e-05 -45 *1943:18 *26058:D 5.9503e-05 -46 *1967:12 *26058:D 0.000352412 -47 *1967:50 *26351:A2 4.72818e-05 -48 *1967:59 *26351:A2 5.33005e-05 -49 *1970:15 *26058:D 7.80759e-05 -50 *1971:57 *26348:A 0.000177815 -51 *1987:37 *26348:A 0.000184664 -*RES -1 *26056:Y *1998:4 9.3 -2 *1998:4 *26058:D 40.3536 -3 *1998:4 *1998:28 19.3929 -4 *1998:28 *1998:31 5.07143 -5 *1998:31 *26348:A 38.9429 -6 *1998:31 *26351:A2 22.9786 -7 *1998:28 *26077:B 9.3 -*END - -*D_NET *1999 0.0183546 -*CONN -*I *26071:B I *D sky130_fd_sc_hd__nand2_1 -*I *26512:A1 I *D sky130_fd_sc_hd__a211o_1 -*I *26386:A2 I *D sky130_fd_sc_hd__a211o_1 -*I *26383:B I *D sky130_fd_sc_hd__nand2_1 -*I *26422:B1 I *D sky130_fd_sc_hd__a32o_1 -*I *26081:A I *D sky130_fd_sc_hd__nand2_1 -*I *26084:A I *D sky130_fd_sc_hd__nand2_1 -*I *26057:X O *D sky130_fd_sc_hd__and4_2 -*CAP -1 *26071:B 0.000171929 -2 *26512:A1 0.00010541 -3 *26386:A2 0.000136723 -4 *26383:B 0.00022515 -5 *26422:B1 0.000245665 -6 *26081:A 0.000105724 -7 *26084:A 0.000706709 -8 *26057:X 4.70928e-05 -9 *1999:55 0.000361873 -10 *1999:44 0.000612711 -11 *1999:35 0.000659071 -12 *1999:28 0.000532989 -13 *1999:10 0.000947987 -14 *1999:8 0.00124784 -15 *1999:5 0.00146687 -16 *26071:B *2222:11 0.000239634 -17 *26081:A *26514:C 3.69047e-06 -18 *26081:A *2016:105 0.000216755 -19 *26084:A *26084:B 0.000134373 -20 *26084:A *26619:A 2.89114e-05 -21 *26084:A *26667:B1 5.52302e-05 -22 *26084:A *26759:A2 0.000165123 -23 *26084:A *26759:B1 1.4487e-05 -24 *26084:A *26759:C1 0.000187331 -25 *26084:A *26767:B2 5.52238e-05 -26 *26084:A *2559:9 2.97449e-05 -27 *26383:B *26760:C_N 6.87574e-05 -28 *26383:B *2043:102 0.000241502 -29 *26383:B *2325:9 5.92264e-05 -30 *26386:A2 *2043:102 0.000266479 -31 *26422:B1 *2016:105 0.000170519 -32 *26512:A1 *26512:A2 1.47439e-05 -33 *1999:8 *2072:46 0.00321506 -34 *1999:8 *2222:11 0.00126335 -35 *1999:28 *26667:B1 0.000170385 -36 *1999:28 *26774:B2 0.000188478 -37 *1999:28 *2606:11 2.28428e-05 -38 *1999:35 *26514:C 7.84241e-05 -39 *1999:35 *26774:B2 7.86062e-05 -40 *1999:35 *2606:11 1.31511e-05 -41 *1999:44 *26378:B 0.000210198 -42 *1999:44 *2016:105 0.00110322 -43 *1999:44 *2104:80 0.000125731 -44 *1999:44 *2598:12 0.000241629 -45 *26386:A1 *26386:A2 9.90431e-05 -46 *26720:A1 *26081:A 2.89016e-05 -47 *1938:48 *26081:A 0.000105471 -48 *1938:48 *1999:35 0.000108535 -49 *1952:22 *26071:B 1.02504e-05 -50 *1952:26 *26071:B 8.44048e-05 -51 *1955:118 *1999:8 0.000738968 -52 *1955:118 *1999:28 1.66706e-05 -53 *1955:118 *1999:35 0 -54 *1955:129 *26422:B1 8.20967e-05 -55 *1955:129 *1999:28 0 -56 *1955:129 *1999:35 0 -57 *1955:129 *1999:44 0.000227755 -58 *1971:18 *26071:B 0.000102435 -59 *1971:18 *1999:5 2.59355e-05 -60 *1983:52 *1999:8 0.000433584 -61 *1983:65 *1999:44 5.39648e-05 -*RES -1 *26057:X *1999:5 9.83571 -2 *1999:5 *1999:8 46.8214 -3 *1999:8 *1999:10 4.5 -4 *1999:10 *26084:A 19.9786 -5 *1999:10 *1999:28 8.82143 -6 *1999:28 *1999:35 8.66071 -7 *1999:35 *26081:A 11.8893 -8 *1999:35 *1999:44 18.8929 -9 *1999:44 *26422:B1 18.0232 -10 *1999:44 *1999:55 4.5 -11 *1999:55 *26383:B 14.3714 -12 *1999:55 *26386:A2 12.7286 -13 *1999:28 *26512:A1 15.3714 -14 *1999:5 *26071:B 22.4071 -*END - -*D_NET *2000 0.0160209 -*CONN -*I *26132:A2 I *D sky130_fd_sc_hd__o2111a_1 -*I *26619:A I *D sky130_fd_sc_hd__nor2_1 -*I *26378:A I *D sky130_fd_sc_hd__nor2_1 -*I *26737:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26384:A2 I *D sky130_fd_sc_hd__o221a_1 -*I *26058:X O *D sky130_fd_sc_hd__or4_4 -*CAP -1 *26132:A2 0.000601284 -2 *26619:A 1.64648e-05 -3 *26378:A 0 -4 *26737:A1 0.000642527 -5 *26384:A2 0.000100269 -6 *26058:X 0 -7 *2000:33 0.000857077 -8 *2000:31 0.00105218 -9 *2000:16 0.0018681 -10 *2000:5 0.00154795 -11 *26132:A2 *2018:18 0.000371616 -12 *26619:A *2559:9 2.89114e-05 -13 *26737:A1 *2016:105 0.000123295 -14 *26737:A1 *2061:68 0.000167232 -15 *26737:A1 *2212:29 0.000137983 -16 *26737:A1 *2557:30 0.000152207 -17 *2000:16 *26357:B1 0.000114492 -18 *2000:16 *2018:18 8.00806e-05 -19 *2000:16 *2044:18 0.000171375 -20 *2000:16 *2051:46 0.000191151 -21 *2000:31 *26385:D_N 4.22135e-06 -22 *2000:31 *26514:C 3.01877e-05 -23 *2000:31 *2051:46 0.00126577 -24 *2000:31 *2099:68 0.00126169 -25 *2000:31 *2099:70 0.000149063 -26 *2000:31 *2557:25 1.08716e-05 -27 *2000:31 *2598:12 7.97107e-05 -28 *2000:33 *26514:C 7.33708e-05 -29 *2000:33 *2016:105 0.000403318 -30 *2000:33 *2557:25 8.6229e-06 -31 *2000:33 *2557:30 0.000579011 -32 *2000:33 *2598:12 1.50181e-05 -33 *26058:D *2000:16 0.0001399 -34 *26084:A *26619:A 2.89114e-05 -35 *26357:B2 *2000:16 9.97026e-05 -36 *1187:25 *26132:A2 0.000108535 -37 *1393:49 *2000:31 2.39923e-05 -38 *1946:195 *2000:16 0.000187335 -39 *1953:57 *26384:A2 4.59075e-05 -40 *1953:69 *26384:A2 0.00014183 -41 *1967:12 *2000:16 0.0006111 -42 *1967:36 *26132:A2 0.000435839 -43 *1971:102 *2000:16 8.56716e-05 -44 *1972:33 *2000:16 0.00126436 -45 *1972:33 *2000:31 0 -46 *1990:81 *26132:A2 0.00036638 -47 *1990:81 *2000:16 0.000376361 -*RES -1 *26058:X *2000:5 13.8 -2 *2000:5 *2000:16 37.0714 -3 *2000:16 *26384:A2 15.9786 -4 *2000:16 *2000:31 24 -5 *2000:31 *2000:33 7.71429 -6 *2000:33 *26737:A1 23.8179 -7 *2000:33 *26378:A 13.8 -8 *2000:31 *26619:A 14.3357 -9 *2000:5 *26132:A2 25.0679 -*END - -*D_NET *2001 0.0123008 -*CONN -*I *26066:B I *D sky130_fd_sc_hd__nor2_2 -*I *26067:B I *D sky130_fd_sc_hd__or2_4 -*I *26063:A I *D sky130_fd_sc_hd__or2_4 -*I *26062:A I *D sky130_fd_sc_hd__nor2_1 -*I *26064:B I *D sky130_fd_sc_hd__nor2_4 -*I *26065:B I *D sky130_fd_sc_hd__or2_2 -*I *26077:C I *D sky130_fd_sc_hd__or3_4 -*I *26059:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *26066:B 0.000262413 -2 *26067:B 0 -3 *26063:A 0 -4 *26062:A 0 -5 *26064:B 0.000152372 -6 *26065:B 8.21938e-05 -7 *26077:C 9.46374e-05 -8 *26059:Y 0.00143113 -9 *2001:47 0.000309082 -10 *2001:43 0.00025363 -11 *2001:36 0.000356008 -12 *2001:30 0.000563076 -13 *2001:18 0.000442118 -14 *2001:17 0.00165484 -15 *26064:B *26061:B 0.000470804 -16 *26064:B *2016:41 1.21258e-05 -17 *26065:B *26061:B 8.17274e-05 -18 *26065:B *2002:5 5.33005e-05 -19 *26066:B *2009:7 6.26177e-05 -20 *26066:B *2010:16 0.000169262 -21 *26066:B *2291:11 0.000443937 -22 *26077:C *26072:B 0.00014388 -23 *26077:C *2133:68 5.33005e-05 -24 *2001:17 *26131:A2 1.4487e-05 -25 *2001:17 *26131:B1 2.59355e-05 -26 *2001:17 *26132:D1 0.00018372 -27 *2001:17 *2010:8 0.000139907 -28 *2001:17 *2017:22 0.000175892 -29 *2001:17 *2017:36 1.98839e-05 -30 *2001:17 *2018:18 0.000101545 -31 *2001:17 *2023:15 5.33786e-05 -32 *2001:18 *26072:B 3.34366e-05 -33 *2001:18 *26689:A 0.000121573 -34 *2001:18 *2005:19 8.07951e-05 -35 *2001:18 *2052:28 0.000104324 -36 *2001:18 *2072:45 7.89133e-05 -37 *2001:30 *26072:B 5.52302e-05 -38 *2001:30 *2010:16 0.000386121 -39 *2001:36 *2005:11 5.33005e-05 -40 *2001:36 *2075:15 0.000346125 -41 *2001:43 *26062:B 9.41642e-05 -42 *2001:43 *2003:13 1.53421e-05 -43 *2001:43 *2005:11 2.28499e-05 -44 *2001:43 *2007:56 0.000146759 -45 *2001:43 *2052:28 0.000138797 -46 *2001:47 *2007:56 0.000191921 -47 *2001:47 *2052:28 0.000191921 -48 *26025:B *2001:17 0.00025555 -49 *26065:A *26064:B 0.00037471 -50 *26065:A *26065:B 5.52302e-05 -51 *26066:A *26066:B 9.41642e-05 -52 *26068:A_N *2001:17 4.58194e-05 -53 *26115:B *26065:B 0 -54 *1187:41 *2001:17 3.80953e-06 -55 *1955:115 *26077:C 7.28917e-05 -56 *1955:115 *2001:18 0.000152045 -57 *1964:19 *2001:17 0.000546198 -58 *1966:47 *2001:30 6.12335e-05 -59 *1966:57 *26066:B 2.59355e-05 -60 *1966:57 *2001:30 0.000103977 -61 *1966:58 *2001:36 0.000343696 -62 *1981:11 *2001:17 6.12434e-05 -63 *1981:18 *2001:17 3.80079e-05 -64 *1986:35 *2001:17 0.00012956 -65 *1986:51 *2001:17 1.46148e-05 -66 *1988:11 *26064:B 5.33005e-05 -67 *1997:69 *2001:18 0 -*RES -1 *26059:Y *2001:17 44.6571 -2 *2001:17 *2001:18 6.19643 -3 *2001:18 *26077:C 16.2821 -4 *2001:18 *2001:30 8.32143 -5 *2001:30 *2001:36 14.2143 -6 *2001:36 *2001:43 8.64286 -7 *2001:43 *2001:47 7.05357 -8 *2001:47 *26065:B 11.0857 -9 *2001:47 *26064:B 14.3714 -10 *2001:43 *26062:A 13.8 -11 *2001:36 *26063:A 9.3 -12 *2001:30 *26067:B 9.3 -13 *2001:30 *26066:B 16.05 -*END - -*D_NET *2002 0.0107042 -*CONN -*I *26061:B I *D sky130_fd_sc_hd__nand2_1 -*I *26629:A2 I *D sky130_fd_sc_hd__a311o_1 -*I *26368:C I *D sky130_fd_sc_hd__and3_1 -*I *26060:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26061:B 0.000287717 -2 *26629:A2 0.000803997 -3 *26368:C 5.39639e-05 -4 *26060:Y 0.000422359 -5 *2002:8 0.000984075 -6 *2002:5 0.00083619 -7 *26061:B *26339:A2 5.33005e-05 -8 *26061:B *2006:10 1.92905e-05 -9 *26061:B *2006:74 5.30637e-06 -10 *26061:B *2009:20 0.000168939 -11 *26061:B *2016:41 1.40034e-05 -12 *26061:B *2213:7 0.000675122 -13 *26368:C *2004:13 0.000177227 -14 *26368:C *2052:28 0.000173797 -15 *26629:A2 *26629:A3 0.000434397 -16 *26629:A2 *26629:B1 3.99614e-06 -17 *26629:A2 *26629:C1 0 -18 *26629:A2 *2291:32 2.05612e-05 -19 *2002:5 *2213:7 0.00126095 -20 *2002:8 *2004:13 0.000398668 -21 *2002:8 *2052:28 0.000407204 -22 *26064:B *26061:B 0.000470804 -23 *26065:B *26061:B 8.17274e-05 -24 *26065:B *2002:5 5.33005e-05 -25 *26115:A *2002:5 0.000108341 -26 *26115:B *2002:5 0.000135028 -27 *26348:A *26061:B 8.67371e-05 -28 *26498:A *26629:A2 6.57032e-05 -29 *26629:A1 *26629:A2 2.44318e-05 -30 *1948:17 *26629:A2 0.00193546 -31 *1971:35 *26368:C 2.0954e-05 -32 *1973:35 *2002:5 0.000431857 -33 *1976:31 *26368:C 1.95435e-05 -34 *1987:37 *26061:B 6.92626e-05 -*RES -1 *26060:Y *2002:5 21.7464 -2 *2002:5 *2002:8 9.78571 -3 *2002:8 *26368:C 16.2464 -4 *2002:8 *26629:A2 33.2464 -5 *2002:5 *26061:B 27.7821 -*END - -*D_NET *2003 0.00453252 -*CONN -*I *26079:A I *D sky130_fd_sc_hd__nor2_2 -*I *26080:A I *D sky130_fd_sc_hd__or2_4 -*I *26063:B I *D sky130_fd_sc_hd__or2_4 -*I *26062:B I *D sky130_fd_sc_hd__nor2_1 -*I *26061:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26079:A 0.000115255 -2 *26080:A 0 -3 *26063:B 0 -4 *26062:B 0.000153681 -5 *26061:Y 0.000141141 -6 *2003:31 0.000185343 -7 *2003:13 0.000443423 -8 *2003:8 0.000500971 -9 *26062:B *26072:B 0.000171533 -10 *26062:B *2052:28 0.000169879 -11 *26079:A *26079:B 0.000386121 -12 *26079:A *26172:A2 7.95355e-05 -13 *26079:A *2022:11 0.000222666 -14 *2003:8 *26339:A2 9.80173e-05 -15 *2003:8 *26348:B 7.6644e-05 -16 *2003:8 *2008:11 5.42698e-05 -17 *2003:8 *2036:25 0.000167536 -18 *2003:8 *5007:48 1.76616e-05 -19 *2003:13 *26069:B 2.42516e-05 -20 *2003:13 *26348:B 1.82549e-05 -21 *2003:13 *2005:11 0.000216755 -22 *2003:13 *2133:68 0.000218679 -23 *2003:13 *5545:21 0.000259549 -24 *2003:31 *2008:11 0.000165439 -25 *2003:31 *2036:25 0.000158538 -26 *26069:A *2003:13 0.000148303 -27 *26077:A *26062:B 4.87953e-05 -28 *26348:A *2003:13 0.00018077 -29 *2001:43 *26062:B 9.41642e-05 -30 *2001:43 *2003:13 1.53421e-05 -*RES -1 *26061:Y *2003:8 16.9964 -2 *2003:8 *2003:13 12.3393 -3 *2003:13 *26062:B 22.3179 -4 *2003:13 *26063:B 9.3 -5 *2003:8 *2003:31 6.75 -6 *2003:31 *26080:A 9.3 -7 *2003:31 *26079:A 13.1214 -*END - -*D_NET *2004 0.0143481 -*CONN -*I *26072:B I *D sky130_fd_sc_hd__nand2_1 -*I *26387:A2 I *D sky130_fd_sc_hd__a211o_1 -*I *26667:A2 I *D sky130_fd_sc_hd__a2111o_1 -*I *26062:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26072:B 0.00031035 -2 *26387:A2 0.0013038 -3 *26667:A2 6.33539e-05 -4 *26062:Y 3.92213e-05 -5 *2004:13 0.00265423 -6 *2004:7 0.00163664 -7 *26072:B *2052:28 0.000144842 -8 *26387:A2 *26386:C1 0 -9 *26387:A2 *26388:C 1.32552e-05 -10 *26387:A2 *26514:D 2.2628e-05 -11 *26387:A2 *26515:B1 0 -12 *26387:A2 *26608:B 0 -13 *26387:A2 *26631:B 7.47077e-05 -14 *26387:A2 *26670:B1 0 -15 *26387:A2 *2024:95 0.00155634 -16 *26667:A2 *26514:C 0.000135028 -17 *2004:13 *26445:C 0.000567014 -18 *2004:13 *26512:C1 0.000250504 -19 *2004:13 *26514:C 2.42516e-05 -20 *2004:13 *26631:B 0.000936087 -21 *2004:13 *26631:C 1.92303e-05 -22 *2004:13 *2052:28 0.000691457 -23 *2004:13 *2169:64 0.00010326 -24 *2004:13 *2298:26 0.000793346 -25 *2004:13 *2298:36 0.000215309 -26 *2004:13 *2490:23 6.25005e-05 -27 *2004:13 *2564:8 2.01125e-05 -28 *26062:B *26072:B 0.000171533 -29 *26076:A *2004:7 5.52302e-05 -30 *26077:C *26072:B 0.00014388 -31 *26356:A *2004:13 0.000232159 -32 *26368:C *2004:13 0.000177227 -33 *26387:A1 *26387:A2 0.000103534 -34 *26667:A1 *26667:A2 2.59355e-05 -35 *1188:97 *26072:B 0.000123602 -36 *1188:97 *2004:13 0.000203412 -37 *1938:67 *26387:A2 0.000239712 -38 *1945:65 *2004:13 2.11419e-05 -39 *1955:115 *26072:B 5.87111e-05 -40 *1966:47 *26072:B 5.52302e-05 -41 *1989:30 *26387:A2 0.0004838 -42 *1998:28 *26072:B 0.000128154 -43 *2001:18 *26072:B 3.34366e-05 -44 *2001:30 *26072:B 5.52302e-05 -45 *2002:8 *2004:13 0.000398668 -*RES -1 *26062:Y *2004:7 14.3357 -2 *2004:7 *2004:13 43.6429 -3 *2004:13 *26667:A2 10.6571 -4 *2004:13 *26387:A2 49.1214 -5 *2004:7 *26072:B 22.3536 -*END - -*D_NET *2005 0.03575 -*CONN -*I *26503:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26797:B2 I *D sky130_fd_sc_hd__o221a_1 -*I *26513:A1 I *D sky130_fd_sc_hd__a21oi_1 -*I *26620:A I *D sky130_fd_sc_hd__nor2_1 -*I *26377:B I *D sky130_fd_sc_hd__nor2_1 -*I *26713:A1 I *D sky130_fd_sc_hd__o22a_1 -*I *26376:A I *D sky130_fd_sc_hd__nor2_1 -*I *26423:A1 I *D sky130_fd_sc_hd__o22a_1 -*I *26429:B1 I *D sky130_fd_sc_hd__o32a_1 -*I *26110:A I *D sky130_fd_sc_hd__nor2_1 -*I *26130:A I *D sky130_fd_sc_hd__nor2_2 -*I *26131:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *26063:X O *D sky130_fd_sc_hd__or2_4 -*CAP -1 *26503:A1 0 -2 *26797:B2 0.000396313 -3 *26513:A1 0.000390237 -4 *26620:A 0 -5 *26377:B 9.40116e-05 -6 *26713:A1 5.37304e-05 -7 *26376:A 0.000295565 -8 *26423:A1 0 -9 *26429:B1 3.20942e-05 -10 *26110:A 0 -11 *26130:A 0.000270155 -12 *26131:B1 6.90547e-05 -13 *26063:X 0 -14 *2005:92 0.000855862 -15 *2005:84 0.00101653 -16 *2005:73 0.000808583 -17 *2005:72 0.00127449 -18 *2005:69 0.00155447 -19 *2005:54 0.00104143 -20 *2005:49 0.000805489 -21 *2005:47 0.00057546 -22 *2005:45 0.0012282 -23 *2005:19 0.000768409 -24 *2005:11 0.000862519 -25 *2005:4 0.00160418 -26 *26130:A *2072:5 0.000136951 -27 *26130:A *2072:45 0.000219711 -28 *26130:A *2190:134 0.000140661 -29 *26376:A *26607:A 0.000202842 -30 *26376:A *26610:B 4.35131e-05 -31 *26376:A *2104:143 0.000117553 -32 *26376:A *2198:23 0 -33 *26376:A *5209:6 5.07157e-05 -34 *26377:B *2099:70 0.000139069 -35 *26429:B1 *26429:A3 0.000139907 -36 *26429:B1 *26429:B2 0.000137983 -37 *26513:A1 *26513:A2 6.43196e-05 -38 *26513:A1 *2435:11 5.062e-05 -39 *26713:A1 *2534:37 9.41642e-05 -40 *26797:B2 *26514:A 0.000439422 -41 *26797:B2 *26797:B1 3.99614e-06 -42 *26797:B2 *2009:33 5.33005e-05 -43 *26797:B2 *2009:40 1.98839e-05 -44 *2005:11 *2007:56 0.000282828 -45 *2005:11 *2007:64 0.000112777 -46 *2005:11 *2017:36 0.000266479 -47 *2005:11 *2075:15 0.000721686 -48 *2005:11 *5545:22 5.31101e-05 -49 *2005:19 *26689:A 3.25078e-05 -50 *2005:45 *26119:A 4.50033e-05 -51 *2005:45 *26402:B 0.000558694 -52 *2005:45 *26494:B 0.000167619 -53 *2005:45 *26797:C1 0.00208374 -54 *2005:45 *2006:10 0 -55 *2005:45 *2006:12 0.000329521 -56 *2005:45 *2011:16 0.000118612 -57 *2005:45 *2053:34 0.000409666 -58 *2005:45 *2060:128 0.000631051 -59 *2005:47 *26797:C1 0.000113417 -60 *2005:47 *2006:12 5.71573e-05 -61 *2005:49 *26797:B1 9.22222e-06 -62 *2005:49 *26797:C1 5.33072e-05 -63 *2005:49 *26798:B 9.25014e-06 -64 *2005:49 *2006:12 7.98179e-05 -65 *2005:49 *2009:40 2.35899e-05 -66 *2005:54 *26632:C 4.38128e-05 -67 *2005:54 *26668:D 0.000183988 -68 *2005:54 *26798:B 3.42764e-05 -69 *2005:54 *2006:12 0.000210836 -70 *2005:54 *2009:40 2.34693e-05 -71 *2005:54 *2009:42 0.000184289 -72 *2005:69 *26389:A2 1.39841e-05 -73 *2005:69 *26390:D1 0.000219711 -74 *2005:69 *26501:A1 7.16452e-05 -75 *2005:69 *26502:B 0.000770472 -76 *2005:69 *26632:C 5.52302e-05 -77 *2005:69 *2006:12 0 -78 *2005:69 *2007:16 0.000291166 -79 *2005:69 *2009:42 0.00021559 -80 *2005:69 *2009:47 0.00130636 -81 *2005:69 *2312:19 2.14474e-05 -82 *2005:69 *2676:15 3.17148e-05 -83 *2005:69 *5545:22 0.000243627 -84 *2005:72 *2676:11 0.00112212 -85 *2005:73 *26714:A 0.000122641 -86 *2005:73 *2099:70 0.000223899 -87 *2005:73 *2099:72 0.000206167 -88 *2005:73 *2099:76 1.08359e-05 -89 *2005:73 *2365:6 0.000153578 -90 *2005:84 *26714:A 8.08951e-05 -91 *2005:84 *2099:76 0.00096685 -92 *2005:84 *2548:12 0.000293137 -93 *2005:84 *2653:6 0.000137102 -94 *2005:92 *26440:A2 7.90803e-05 -95 *2005:92 *26794:A 0.000240469 -96 *2005:92 *2099:76 0.000168687 -97 *2005:92 *2099:78 0.000744786 -98 *2005:92 *2099:80 0.000490505 -99 *2005:92 *2185:24 0.000197269 -100 *2005:92 *2550:8 0.000265385 -101 *2005:92 *2653:6 0.000217256 -102 *2005:92 *5664:260 0.000476081 -103 *2005:92 *5664:266 6.55187e-05 -104 *26069:A *2005:11 5.33005e-05 -105 *26389:A1 *2005:69 3.69047e-06 -106 *1938:75 *2005:69 0.000174797 -107 *1938:75 *2005:72 1.98839e-05 -108 *1950:25 *26130:A 6.05161e-06 -109 *1950:37 *26130:A 0.000213868 -110 *1950:37 *26131:B1 0.000148911 -111 *1952:22 *26130:A 0.000207054 -112 *1952:22 *26131:B1 0.000148182 -113 *1952:63 *26377:B 0.000259285 -114 *1952:63 *2005:73 0.000334144 -115 *1966:58 *2005:45 0.00038803 -116 *1967:36 *2005:19 0.000469953 -117 *1977:6 *2005:45 0.000125304 -118 *1977:15 *2005:45 2.95642e-05 -119 *1986:35 *26131:B1 5.52238e-05 -120 *1986:51 *2005:11 0.000268396 -121 *1997:69 *2005:19 5.03694e-05 -122 *2001:17 *26131:B1 2.59355e-05 -123 *2001:18 *2005:19 8.07951e-05 -124 *2001:36 *2005:11 5.33005e-05 -125 *2001:43 *2005:11 2.28499e-05 -126 *2003:13 *2005:11 0.000216755 -*RES -1 *26063:X *2005:4 9.3 -2 *2005:4 *2005:11 23.3036 -3 *2005:11 *2005:19 20.0893 -4 *2005:19 *26131:B1 16.2821 -5 *2005:19 *26130:A 21.3179 -6 *2005:11 *26110:A 9.3 -7 *2005:4 *2005:45 43.7857 -8 *2005:45 *2005:47 1.64286 -9 *2005:47 *2005:49 2.55357 -10 *2005:49 *2005:54 13.0536 -11 *2005:54 *2005:69 43.8571 -12 *2005:69 *2005:72 15.7143 -13 *2005:72 *2005:73 11.3571 -14 *2005:73 *26429:B1 15.1571 -15 *2005:73 *2005:84 13.1071 -16 *2005:84 *2005:92 24.7143 -17 *2005:92 *26423:A1 9.3 -18 *2005:92 *26376:A 24.9786 -19 *2005:84 *26713:A1 14.7464 -20 *2005:72 *26377:B 17.2643 -21 *2005:54 *26620:A 9.3 -22 *2005:49 *26513:A1 18.9071 -23 *2005:47 *26797:B2 19.7107 -24 *2005:45 *26503:A1 13.8 -*END - -*D_NET *2006 0.0381579 -*CONN -*I *26140:B I *D sky130_fd_sc_hd__nand2_1 -*I *26137:A I *D sky130_fd_sc_hd__nand2_1 -*I *26138:A I *D sky130_fd_sc_hd__nand2_1 -*I *26670:A1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26504:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *26390:A2 I *D sky130_fd_sc_hd__a2111o_1 -*I *26715:A1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26515:A1 I *D sky130_fd_sc_hd__a211o_1 -*I *26064:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *26140:B 0 -2 *26137:A 6.02327e-05 -3 *26138:A 5.808e-05 -4 *26670:A1 0 -5 *26504:A1 0.000106094 -6 *26390:A2 0.000102202 -7 *26715:A1 0.000352326 -8 *26515:A1 8.31683e-05 -9 *26064:Y 0 -10 *2006:89 0.00018814 -11 *2006:78 0.000278979 -12 *2006:75 0.00141455 -13 *2006:74 0.00144652 -14 *2006:36 0.00238985 -15 *2006:34 0.00230641 -16 *2006:28 0.000389345 -17 *2006:26 0.00022842 -18 *2006:15 0.000282471 -19 *2006:12 0.00150812 -20 *2006:10 0.00179934 -21 *2006:4 0.000503635 -22 *26138:A *2514:17 0.000219711 -23 *26138:A *5673:300 0.000221641 -24 *26390:A2 *26390:B1 2.95726e-05 -25 *26390:A2 *26391:D1 0.000260574 -26 *26504:A1 *26727:B 0.000136951 -27 *26515:A1 *26103:B 0.000178847 -28 *26515:A1 *26515:B1 5.33005e-05 -29 *26515:A1 *26516:D1 2.97829e-05 -30 *26715:A1 *25847:A1 8.99002e-05 -31 *26715:A1 *25850:S 5.59013e-05 -32 *26715:A1 *26413:B2 2.37575e-05 -33 *26715:A1 *26715:A2 0.000615956 -34 *26715:A1 *29431:A 4.25716e-05 -35 *26715:A1 *2654:10 1.21289e-05 -36 *26715:A1 *5824:37 5.71472e-05 -37 *26715:A1 *5824:50 0.000572143 -38 *2006:10 *2009:20 0.00041866 -39 *2006:10 *2011:16 0.000188391 -40 *2006:10 *2016:41 5.52302e-05 -41 *2006:12 *26119:A 4.32957e-05 -42 *2006:12 *26402:B 0.000556265 -43 *2006:12 *26494:B 0.000165911 -44 *2006:12 *26668:D 0.000182409 -45 *2006:12 *26798:B 4.38243e-05 -46 *2006:12 *2007:16 9.65171e-05 -47 *2006:12 *2009:20 0 -48 *2006:12 *2060:128 0.000638579 -49 *2006:12 *2104:103 0.000362261 -50 *2006:12 *2383:8 0.00356381 -51 *2006:15 *26103:B 0.000260574 -52 *2006:15 *26502:B 0.000136958 -53 *2006:15 *26516:D1 2.22618e-05 -54 *2006:26 *26515:C1 3.34295e-05 -55 *2006:26 *2009:47 0.000295137 -56 *2006:26 *5545:22 0.000128791 -57 *2006:28 *26501:A1 4.49293e-05 -58 *2006:28 *2009:47 0.00039229 -59 *2006:28 *5545:22 0.000180183 -60 *2006:34 *26390:D1 4.48252e-05 -61 *2006:34 *26501:A1 9.91086e-05 -62 *2006:34 *2009:47 0.000191403 -63 *2006:34 *2009:75 0.00012031 -64 *2006:34 *2317:17 3.55503e-05 -65 *2006:34 *5545:22 4.31193e-05 -66 *2006:36 *25847:A1 0.000117164 -67 *2006:36 *26374:A 0 -68 *2006:36 *26375:B 0.000149419 -69 *2006:36 *26441:A 0.000471421 -70 *2006:36 *26607:B 0 -71 *2006:36 *26607:C 0.000199628 -72 *2006:36 *26611:B 0.000116837 -73 *2006:36 *26715:A2 0 -74 *2006:36 *26740:A1 0 -75 *2006:36 *26740:C1 9.56968e-05 -76 *2006:36 *2009:75 0.00145131 -77 *2006:36 *2011:63 0.000656006 -78 *2006:36 *2022:56 0.00010145 -79 *2006:36 *2317:17 3.13225e-05 -80 *2006:36 *2352:40 0 -81 *2006:36 *5545:28 0 -82 *2006:74 *26272:B 6.96405e-05 -83 *2006:74 *2016:41 0.000332821 -84 *2006:74 *2213:7 2.39999e-05 -85 *2006:75 *26227:B 0.000763042 -86 *2006:75 *2595:21 0.00112628 -87 *2006:75 *5007:48 0.00375199 -88 *2006:75 *5782:164 1.41029e-05 -89 *2006:75 *5782:183 0.000530684 -90 *2006:78 *2514:17 0.00038907 -91 *2006:78 *5673:300 0.000377653 -92 *2006:89 *2038:193 4.11173e-05 -93 *2006:89 *2054:8 4.92428e-05 -94 *2006:89 *2083:6 8.30528e-05 -95 *26061:B *2006:10 1.92905e-05 -96 *26061:B *2006:74 5.30637e-06 -97 *26082:A *2006:75 0.000158407 -98 *26333:A1 *2006:89 2.20678e-05 -99 *26390:A1 *26390:A2 0.000122591 -100 *26391:A1 *2006:36 0.000265122 -101 *1188:54 *2006:75 0.000166296 -102 *1771:58 *26137:A 0.000265453 -103 *1938:75 *26390:A2 5.33005e-05 -104 *1938:80 *2006:34 3.17148e-05 -105 *1938:80 *2006:36 0.000148903 -106 *1966:137 *26137:A 0.000265453 -107 *1987:37 *2006:10 0.000146992 -108 *1996:25 *2006:75 0.000424914 -109 *2005:45 *2006:10 0 -110 *2005:45 *2006:12 0.000329521 -111 *2005:47 *2006:12 5.71573e-05 -112 *2005:49 *2006:12 7.98179e-05 -113 *2005:54 *2006:12 0.000210836 -114 *2005:69 *2006:12 0 -*RES -1 *26064:Y *2006:4 9.3 -2 *2006:4 *2006:10 13.6518 -3 *2006:10 *2006:12 47.9375 -4 *2006:12 *2006:15 7.08929 -5 *2006:15 *26515:A1 11.4964 -6 *2006:15 *2006:26 8.26786 -7 *2006:26 *2006:28 4.98214 -8 *2006:28 *2006:34 5.33929 -9 *2006:34 *2006:36 50.3839 -10 *2006:36 *26715:A1 24.7554 -11 *2006:34 *26390:A2 16.8179 -12 *2006:28 *26504:A1 15.1571 -13 *2006:26 *26670:A1 13.8 -14 *2006:4 *2006:74 7.91071 -15 *2006:74 *2006:75 49 -16 *2006:75 *2006:78 8.32143 -17 *2006:78 *26138:A 11.4786 -18 *2006:78 *2006:89 11.8571 -19 *2006:89 *26137:A 11.8893 -20 *2006:89 *26140:B 9.3 -*END - -*D_NET *2007 0.0279085 -*CONN -*I *26143:A2 I *D sky130_fd_sc_hd__o2111a_1 -*I *26618:A I *D sky130_fd_sc_hd__nor2_1 -*I *26375:A I *D sky130_fd_sc_hd__nor2_1 -*I *26370:B I *D sky130_fd_sc_hd__nor2_1 -*I *26415:A1 I *D sky130_fd_sc_hd__o22ai_1 -*I *26065:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *26143:A2 0 -2 *26618:A 7.79917e-05 -3 *26375:A 0 -4 *26370:B 0.000319409 -5 *26415:A1 0 -6 *26065:X 0 -7 *2007:64 0.0018689 -8 *2007:56 0.00235789 -9 *2007:21 0.000493962 -10 *2007:16 0.00079723 -11 *2007:13 0.000808687 -12 *2007:10 0.00143449 -13 *2007:5 0.00181547 -14 *26370:B *26415:A2 0.000253216 -15 *26370:B *26428:B1 0.000123589 -16 *26370:B *26439:D_N 7.6644e-05 -17 *26370:B *2313:19 2.22641e-05 -18 *26370:B *2672:19 7.48301e-06 -19 *26618:A *26618:B 5.7661e-06 -20 *26618:A *2557:48 4.43256e-05 -21 *26618:A *2557:58 4.58194e-05 -22 *26618:A *2660:23 0.000178847 -23 *2007:10 *26632:C 0.000117196 -24 *2007:10 *26670:D1 5.59013e-05 -25 *2007:10 *26774:C1 4.57968e-05 -26 *2007:10 *2052:28 0.00010627 -27 *2007:10 *2557:44 0.000214641 -28 *2007:10 *2602:8 0.000905352 -29 *2007:10 *5545:22 0.00348231 -30 *2007:13 *26627:A 9.41642e-05 -31 *2007:13 *2557:44 5.52238e-05 -32 *2007:13 *2557:48 9.79256e-05 -33 *2007:13 *2660:23 0.000342302 -34 *2007:16 *26502:B 0.000913269 -35 *2007:16 *26611:B 7.0048e-05 -36 *2007:16 *2104:103 0.00159124 -37 *2007:16 *2317:17 0.000382566 -38 *2007:16 *2383:8 4.75628e-05 -39 *2007:21 *26611:B 0.000169764 -40 *2007:21 *2383:8 5.25009e-05 -41 *2007:21 *2672:19 9.31209e-05 -42 *2007:56 *2052:28 9.84792e-05 -43 *2007:56 *2113:65 0.00015587 -44 *2007:56 *5545:22 0.000463984 -45 *2007:64 *26136:B 0.000149904 -46 *2007:64 *26137:B 4.89831e-05 -47 *2007:64 *26138:B 7.04641e-05 -48 *2007:64 *26143:D1 9.25014e-06 -49 *2007:64 *26474:A 4.90803e-05 -50 *2007:64 *26475:B1 7.53288e-05 -51 *2007:64 *26485:B1 0.000122623 -52 *2007:64 *26563:A 0.000123288 -53 *2007:64 *26563:C 0.000218734 -54 *2007:64 *26582:B2 0.000123295 -55 *2007:64 *26689:A 0 -56 *2007:64 *2016:14 0.000116112 -57 *2007:64 *2016:24 3.78091e-05 -58 *2007:64 *2016:194 1.69115e-05 -59 *2007:64 *2024:10 9.25616e-05 -60 *2007:64 *2075:8 9.65075e-05 -61 *2007:64 *2075:15 0.000165047 -62 *2007:64 *2075:32 6.14499e-05 -63 *2007:64 *2078:11 0.000297551 -64 *2007:64 *2079:8 4.19624e-06 -65 *2007:64 *2082:6 6.39808e-05 -66 *2007:64 *2083:6 4.64226e-05 -67 *2007:64 *2411:21 0.000121573 -68 *2007:64 *2427:21 4.5534e-05 -69 *2007:64 *2502:12 0.000133683 -70 *2007:64 *2684:11 0.000124732 -71 *2007:64 *5782:232 0.000271204 -72 *26077:A *2007:56 0.000173251 -73 *1930:17 *2007:64 0.000174936 -74 *1941:22 *2007:64 0.000820278 -75 *1944:116 *2007:64 3.69697e-05 -76 *1950:40 *2007:10 0.00130218 -77 *1950:44 *2007:10 0.000188118 -78 *1953:90 *2007:10 0.000131367 -79 *1953:98 *2007:10 0.000623077 -80 *1953:111 *26370:B 5.33005e-05 -81 *1966:104 *2007:64 0 -82 *1966:137 *2007:64 0 -83 *1997:61 *2007:64 9.59532e-06 -84 *1997:69 *2007:56 0.000140107 -85 *1997:69 *2007:64 0.000159632 -86 *2001:43 *2007:56 0.000146759 -87 *2001:47 *2007:56 0.000191921 -88 *2005:11 *2007:56 0.000282828 -89 *2005:11 *2007:64 0.000112777 -90 *2005:69 *2007:16 0.000291166 -91 *2006:12 *2007:16 9.65171e-05 -*RES -1 *26065:X *2007:5 13.8 -2 *2007:5 *2007:10 48.75 -3 *2007:10 *2007:13 7.91071 -4 *2007:13 *2007:16 29.2143 -5 *2007:16 *2007:21 8.51786 -6 *2007:21 *26415:A1 9.3 -7 *2007:21 *26370:B 23.8 -8 *2007:16 *26375:A 13.8 -9 *2007:13 *26618:A 11.4964 -10 *2007:5 *2007:56 14.1696 -11 *2007:56 *2007:64 48.1329 -12 *2007:64 *26143:A2 13.8 -*END - -*D_NET *2008 0.0224825 -*CONN -*I *26136:B I *D sky130_fd_sc_hd__nand2_1 -*I *26133:A I *D sky130_fd_sc_hd__nand2_1 -*I *26094:A I *D sky130_fd_sc_hd__nand2_1 -*I *26389:A2 I *D sky130_fd_sc_hd__a2111o_1 -*I *26066:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *26136:B 0.000191792 -2 *26133:A 0 -3 *26094:A 0.000133006 -4 *26389:A2 0.000955641 -5 *26066:Y 0 -6 *2008:46 0.000282371 -7 *2008:35 0.000954345 -8 *2008:12 0.0026692 -9 *2008:11 0.00197405 -10 *2008:5 0.000991252 -11 *26094:A *26134:C 1.98839e-05 -12 *26094:A *26803:C1 9.41642e-05 -13 *26094:A *2190:134 0.000157311 -14 *26136:B *26133:B 3.17016e-05 -15 *26136:B *26338:B 2.77143e-05 -16 *26136:B *2024:54 2.59355e-05 -17 *26136:B *2038:140 0 -18 *26136:B *2075:32 0.000148196 -19 *26389:A2 *26103:B 8.25843e-06 -20 *26389:A2 *26501:A1 0.000674097 -21 *26389:A2 *26755:B1 0.000265385 -22 *26389:A2 *26806:C1 0.000175618 -23 *26389:A2 *2011:54 0.000376207 -24 *26389:A2 *2334:8 0.000665038 -25 *26389:A2 *2595:42 0.000175892 -26 *2008:11 *26348:B 6.05161e-06 -27 *2008:11 *2036:25 7.27611e-05 -28 *2008:11 *2133:68 5.21937e-05 -29 *2008:11 *5007:48 0.000353122 -30 *2008:12 *26393:D1 9.17377e-05 -31 *2008:12 *26411:A1 0.000109419 -32 *2008:12 *26775:A 1.18064e-05 -33 *2008:12 *26775:C 0.00109872 -34 *2008:12 *26806:C1 0.000180933 -35 *2008:12 *2009:33 1.69233e-05 -36 *2008:12 *2024:65 2.8761e-05 -37 *2008:12 *2056:8 0.00276166 -38 *2008:12 *2061:18 0.0011707 -39 *2008:12 *2061:102 0.000115485 -40 *2008:12 *2436:17 0.000333206 -41 *2008:12 *2458:10 0.000271312 -42 *2008:12 *2534:11 0.000509256 -43 *2008:12 *2595:21 0.00126028 -44 *2008:12 *2595:42 3.17148e-05 -45 *2008:12 *2698:11 0.000243029 -46 *2008:12 *2734:16 7.6644e-05 -47 *2008:12 *5007:48 7.77303e-05 -48 *2008:35 *26135:A2 3.98431e-05 -49 *2008:35 *26135:C1 4.87854e-05 -50 *2008:35 *26144:B 0.00017867 -51 *2008:35 *2036:25 0.000124994 -52 *2008:35 *2053:21 0.000405147 -53 *2008:35 *2056:5 9.41642e-05 -54 *2008:35 *5007:48 0.000666125 -55 *2008:35 *5545:21 0.000121573 -56 *2008:46 *26485:B1 2.2628e-05 -57 *2008:46 *2024:40 3.34366e-05 -58 *2008:46 *2038:132 1.02504e-05 -59 *2008:46 *5545:21 0.000143881 -60 *26797:A1 *2008:12 8.96761e-05 -61 *1966:95 *2008:35 0.000123288 -62 *1966:95 *2008:46 0.000125992 -63 *2003:8 *2008:11 5.42698e-05 -64 *2003:31 *2008:11 0.000165439 -65 *2005:69 *26389:A2 1.39841e-05 -66 *2007:64 *26136:B 0.000149904 -*RES -1 *26066:Y *2008:5 13.8 -2 *2008:5 *2008:11 15.4464 -3 *2008:11 *2008:12 61.1429 -4 *2008:12 *26389:A2 41.4429 -5 *2008:5 *2008:35 24.7321 -6 *2008:35 *26094:A 16.8 -7 *2008:35 *2008:46 7.35714 -8 *2008:46 *26133:A 9.3 -9 *2008:46 *26136:B 22.4429 -*END - -*D_NET *2009 0.0337641 -*CONN -*I *26135:A2 I *D sky130_fd_sc_hd__o211a_1 -*I *26797:A2 I *D sky130_fd_sc_hd__o221a_1 -*I *26513:A2 I *D sky130_fd_sc_hd__a21oi_1 -*I *26627:A I *D sky130_fd_sc_hd__nor2_1 -*I *26371:A I *D sky130_fd_sc_hd__nor2_1 -*I *26793:B2 I *D sky130_fd_sc_hd__o221a_1 -*I *26412:A1 I *D sky130_fd_sc_hd__o22ai_1 -*I *26367:B I *D sky130_fd_sc_hd__nor2_1 -*I *26067:X O *D sky130_fd_sc_hd__or2_4 -*CAP -1 *26135:A2 0.000416591 -2 *26797:A2 0 -3 *26513:A2 9.15216e-05 -4 *26627:A 2.99504e-05 -5 *26371:A 0 -6 *26793:B2 0.000416699 -7 *26412:A1 4.78484e-05 -8 *26367:B 0.000693892 -9 *26067:X 5.75852e-05 -10 *2009:81 0.000781214 -11 *2009:75 0.00122301 -12 *2009:47 0.00212281 -13 *2009:42 0.000860818 -14 *2009:40 0.000741942 -15 *2009:33 0.000961415 -16 *2009:20 0.00182581 -17 *2009:7 0.0016807 -18 *26135:A2 *26135:B1 3.89749e-05 -19 *26135:A2 *26135:C1 0.000221641 -20 *26135:A2 *26144:B 0.000137983 -21 *26135:A2 *2011:118 0.000383534 -22 *26135:A2 *2017:47 0.000171375 -23 *26135:A2 *2019:12 6.8646e-06 -24 *26135:A2 *2019:21 6.8646e-06 -25 *26135:A2 *2083:27 0.000445658 -26 *26367:B *26389:D1 1.02504e-05 -27 *26367:B *26390:D1 0.000216755 -28 *26367:B *26504:B1 4.00121e-05 -29 *26367:B *26670:B1 0.000115467 -30 *26367:B *26727:B 0.000351149 -31 *26367:B *2016:105 2.27594e-05 -32 *26367:B *2312:19 7.83659e-05 -33 *26367:B *2313:19 2.26327e-05 -34 *26412:A1 *2190:29 1.43508e-05 -35 *26412:A1 *2353:7 3.75141e-05 -36 *26513:A2 *2435:11 0.000216755 -37 *26627:A *2557:48 9.41642e-05 -38 *26793:B2 *26609:A 0.000860214 -39 *26793:B2 *26793:A2 3.81556e-05 -40 *26793:B2 *2315:29 9.92439e-06 -41 *26793:B2 *2483:45 0.000843014 -42 *26793:B2 *2706:14 4.26759e-05 -43 *26793:B2 *5209:6 1.94879e-05 -44 *26793:B2 *5722:167 9.60337e-06 -45 *2009:7 *2010:16 0.000177815 -46 *2009:20 *26411:B1_N 9.15842e-06 -47 *2009:20 *26503:B1 1.08359e-05 -48 *2009:20 *26622:A3 0.000874482 -49 *2009:20 *2011:118 3.1573e-05 -50 *2009:20 *2083:27 0.00127564 -51 *2009:20 *2083:31 0.00101998 -52 *2009:20 *2133:68 0.000171368 -53 *2009:20 *2297:32 0.000213868 -54 *2009:20 *2352:24 4.29143e-05 -55 *2009:20 *2383:8 6.53874e-05 -56 *2009:20 *2595:31 1.13072e-05 -57 *2009:20 *3581:76 0.000135028 -58 *2009:33 *26411:A1 5.88389e-05 -59 *2009:33 *26622:A2 0.000114434 -60 *2009:33 *26798:D_N 7.95355e-05 -61 *2009:33 *2011:44 0.00013802 -62 *2009:33 *2011:54 0.00017309 -63 *2009:33 *2352:24 0.000124312 -64 *2009:33 *2436:17 0.000154455 -65 *2009:33 *2595:31 0.000662155 -66 *2009:33 *5007:48 0.000240193 -67 *2009:40 *26503:A2 0.000156713 -68 *2009:40 *26514:A 1.8729e-05 -69 *2009:40 *26514:B 6.05161e-06 -70 *2009:40 *26797:B1 0.000396042 -71 *2009:40 *26798:D_N 0.000216755 -72 *2009:42 *26503:A2 0.000546857 -73 *2009:42 *2104:103 0.000207054 -74 *2009:47 *26390:D1 0.000125879 -75 *2009:47 *26502:B 1.81805e-05 -76 *2009:47 *26515:C1 0.000179351 -77 *2009:47 *2104:103 0.000171375 -78 *2009:75 *26441:A 0.000217368 -79 *2009:75 *26441:C 0.00045357 -80 *2009:75 *2356:8 4.15914e-05 -81 *2009:75 *2534:37 0.000303705 -82 *2009:75 *5545:22 0.000722031 -83 *2009:75 *5545:28 5.12944e-05 -84 *2009:81 *26441:D_N 0.000195718 -85 *2009:81 *26609:B 0.000589732 -86 *2009:81 *2353:7 9.21499e-05 -87 *2009:81 *2483:45 0.000223377 -88 *26061:B *2009:20 0.000168939 -89 *26066:A *26135:A2 2.09413e-05 -90 *26066:A *2009:7 5.33005e-05 -91 *26066:A *2009:20 4.00349e-05 -92 *26066:B *2009:7 6.26177e-05 -93 *26132:A1 *26135:A2 3.51368e-05 -94 *26348:A *2009:20 0.000101265 -95 *26377:A *26367:B 5.00917e-05 -96 *26391:A1 *2009:75 0.000268544 -97 *26495:A *2009:33 5.72966e-05 -98 *26513:A1 *26513:A2 6.43196e-05 -99 *26797:A1 *2009:33 0.000135028 -100 *26797:B2 *2009:33 5.33005e-05 -101 *26797:B2 *2009:40 1.98839e-05 -102 *1938:67 *26367:B 7.6644e-05 -103 *1953:165 *26367:B 3.52966e-05 -104 *1967:36 *26135:A2 0.000601223 -105 *1987:37 *2009:20 2.0969e-05 -106 *2005:49 *2009:40 2.35899e-05 -107 *2005:54 *2009:40 2.34693e-05 -108 *2005:54 *2009:42 0.000184289 -109 *2005:69 *2009:42 0.00021559 -110 *2005:69 *2009:47 0.00130636 -111 *2006:10 *2009:20 0.00041866 -112 *2006:12 *2009:20 0 -113 *2006:26 *2009:47 0.000295137 -114 *2006:28 *2009:47 0.00039229 -115 *2006:34 *2009:47 0.000191403 -116 *2006:34 *2009:75 0.00012031 -117 *2006:36 *2009:75 0.00145131 -118 *2007:13 *26627:A 9.41642e-05 -119 *2008:12 *2009:33 1.69233e-05 -120 *2008:35 *26135:A2 3.98431e-05 -*RES -1 *26067:X *2009:7 15.5679 -2 *2009:7 *2009:20 45.6518 -3 *2009:20 *2009:33 29.8125 -4 *2009:33 *2009:40 12.2321 -5 *2009:40 *2009:42 9.83929 -6 *2009:42 *2009:47 23.1786 -7 *2009:47 *26367:B 29.4786 -8 *2009:47 *2009:75 38.8929 -9 *2009:75 *2009:81 18.25 -10 *2009:81 *26412:A1 10.5321 -11 *2009:81 *26793:B2 28.3398 -12 *2009:75 *26371:A 9.3 -13 *2009:42 *26627:A 14.7464 -14 *2009:40 *26513:A2 15.9786 -15 *2009:33 *26797:A2 9.3 -16 *2009:7 *26135:A2 27.8179 -*END - -*D_NET *2010 0.00883379 -*CONN -*I *26349:B1 I *D sky130_fd_sc_hd__a211oi_4 -*I *26080:B I *D sky130_fd_sc_hd__or2_4 -*I *26079:B I *D sky130_fd_sc_hd__nor2_2 -*I *26348:B I *D sky130_fd_sc_hd__nor2_1 -*I *26069:B I *D sky130_fd_sc_hd__or2_4 -*I *26068:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *26349:B1 0 -2 *26080:B 0 -3 *26079:B 0.000190393 -4 *26348:B 0.000222456 -5 *26069:B 6.18677e-05 -6 *26068:Y 0.000198143 -7 *2010:22 0.000376201 -8 *2010:18 0.000292467 -9 *2010:16 0.00144659 -10 *2010:8 0.00163454 -11 *26069:B *2011:7 0.000137983 -12 *26079:B *26172:A2 2.42516e-05 -13 *26079:B *2022:11 5.01909e-05 -14 *26348:B *26339:A2 9.41642e-05 -15 *26348:B *2036:25 2.39779e-05 -16 *26348:B *2133:68 2.13481e-06 -17 *26348:B *5007:48 0.00012401 -18 *2010:8 *2051:41 0.000125241 -19 *2010:8 *2598:8 2.09495e-05 -20 *2010:16 *2036:25 0.000100823 -21 *2010:16 *2051:41 8.09493e-05 -22 *2010:16 *2083:27 9.0573e-05 -23 *2010:16 *2291:11 1.57937e-05 -24 *2010:18 *2036:25 5.83304e-05 -25 *2010:18 *2083:27 4.97876e-05 -26 *2010:22 *2036:25 0.000210162 -27 *2010:22 *2083:27 0.000211884 -28 *26058:D *2010:8 2.15339e-05 -29 *26058:D *2010:16 8.25286e-05 -30 *26066:A *2010:16 1.98839e-05 -31 *26066:B *2010:16 0.000169262 -32 *26069:A *26069:B 9.71197e-05 -33 *26079:A *26079:B 0.000386121 -34 *26348:A *26348:B 9.60875e-05 -35 *26351:A2 *26079:B 0.000549576 -36 *1966:39 *2010:16 0.000178847 -37 *1966:47 *2010:16 0.00011991 -38 *1967:12 *2010:8 0.000174948 -39 *1981:11 *2010:8 0.000209169 -40 *1986:35 *2010:8 5.58941e-05 -41 *2001:17 *2010:8 0.000139907 -42 *2001:30 *2010:16 0.000386121 -43 *2003:8 *26348:B 7.6644e-05 -44 *2003:13 *26069:B 2.42516e-05 -45 *2003:13 *26348:B 1.82549e-05 -46 *2008:11 *26348:B 6.05161e-06 -47 *2009:7 *2010:16 0.000177815 -*RES -1 *26068:Y *2010:8 20.1393 -2 *2010:8 *2010:16 27.9286 -3 *2010:16 *2010:18 0.732143 -4 *2010:18 *2010:22 7.35714 -5 *2010:22 *26069:B 11.0679 -6 *2010:22 *26348:B 22.675 -7 *2010:18 *26079:B 19.6929 -8 *2010:16 *26080:B 13.8 -9 *2010:8 *26349:B1 4.5 -*END - -*D_NET *2011 0.0517262 -*CONN -*I *26151:A2 I *D sky130_fd_sc_hd__o221a_1 -*I *26150:A I *D sky130_fd_sc_hd__nor2_1 -*I *26070:A I *D sky130_fd_sc_hd__inv_2 -*I *26114:A I *D sky130_fd_sc_hd__or2_2 -*I *26496:A I *D sky130_fd_sc_hd__nor2_1 -*I *26765:A1 I *D sky130_fd_sc_hd__o211a_1 -*I *26372:B I *D sky130_fd_sc_hd__nor2_1 -*I *26358:A I *D sky130_fd_sc_hd__nor2_1 -*I *26069:X O *D sky130_fd_sc_hd__or2_4 -*CAP -1 *26151:A2 0.000403432 -2 *26150:A 2.23854e-05 -3 *26070:A 1.40355e-05 -4 *26114:A 3.17576e-05 -5 *26496:A 0.000113476 -6 *26765:A1 0.00125566 -7 *26372:B 2.23854e-05 -8 *26358:A 0.00147703 -9 *26069:X 0.000175959 -10 *2011:157 0.000649792 -11 *2011:145 0.000714968 -12 *2011:140 0.00209529 -13 *2011:118 0.00223181 -14 *2011:84 0.0020284 -15 *2011:63 0.00160448 -16 *2011:54 0.00223347 -17 *2011:44 0.00161212 -18 *2011:16 0.00431198 -19 *2011:7 0.0035181 -20 *26070:A *26154:B 2.89114e-05 -21 *26070:A *5673:300 5.52302e-05 -22 *26114:A *26144:B 5.54333e-05 -23 *26151:A2 *26151:C1 1.24368e-05 -24 *26151:A2 *26467:A 0.000452396 -25 *26151:A2 *26471:B 5.41794e-05 -26 *26151:A2 *2027:18 0.000173797 -27 *26151:A2 *2092:7 1.24368e-05 -28 *26151:A2 *2092:13 3.17148e-05 -29 *26151:A2 *2688:17 0.000331511 -30 *26151:A2 *5914:90 1.58163e-05 -31 *26358:A *26358:B 5.33005e-05 -32 *26358:A *26503:B1 0.00025354 -33 *26358:A *26665:C1 0 -34 *26358:A *26757:B1 0.000260282 -35 *26358:A *2016:65 1.46617e-05 -36 *26358:A *2016:67 0.000509693 -37 *26358:A *2016:74 5.55297e-05 -38 *26358:A *2021:10 4.32957e-05 -39 *26358:A *2045:52 4.53834e-05 -40 *26358:A *2045:73 0 -41 *26358:A *2061:26 0 -42 *26358:A *2092:30 5.7547e-05 -43 *26358:A *2490:23 0.000167599 -44 *26358:A *2571:11 0.000252171 -45 *26358:A *5615:38 0.000112466 -46 *26496:A *26496:B 5.33005e-05 -47 *26496:A *2437:7 2.59355e-05 -48 *26496:A *3461:11 8.43535e-06 -49 *26765:A1 *26374:B 0.000319277 -50 *26765:A1 *26613:A_N 0.00158241 -51 *26765:A1 *26765:A2 0.000347946 -52 *26765:A1 *26766:A 2.59355e-05 -53 *26765:A1 *26766:C_N 2.9561e-05 -54 *26765:A1 *2104:128 0.000267418 -55 *26765:A1 *2316:5 9.60875e-05 -56 *26765:A1 *2316:28 0.000266473 -57 *26765:A1 *2703:12 0.000295432 -58 *2011:16 *26791:B1 0 -59 *2011:16 *26806:C1 0.000836334 -60 *2011:16 *2053:34 0.000352635 -61 *2011:16 *2083:31 0.000106352 -62 *2011:44 *2016:74 1.09611e-05 -63 *2011:44 *2352:24 0.000129477 -64 *2011:54 *26439:A 0.000134275 -65 *2011:54 *26495:B 1.90936e-05 -66 *2011:54 *26517:B1 2.23987e-05 -67 *2011:54 *26755:B1 0 -68 *2011:54 *26755:C1 0.000245349 -69 *2011:54 *26775:D 0.00104417 -70 *2011:54 *26798:A 0.000234402 -71 *2011:54 *26798:C 0.000252714 -72 *2011:54 *2061:41 0.0010927 -73 *2011:54 *2296:19 0.000540758 -74 *2011:54 *2302:37 7.80851e-06 -75 *2011:54 *2334:8 6.17431e-05 -76 *2011:54 *2352:24 0.000355431 -77 *2011:54 *2352:40 1.721e-05 -78 *2011:54 *2443:6 7.83659e-05 -79 *2011:54 *2444:8 9.65172e-05 -80 *2011:54 *2534:34 0.000201855 -81 *2011:54 *2595:31 0.000300018 -82 *2011:54 *2595:42 0.00126818 -83 *2011:63 *26442:B 1.24368e-05 -84 *2011:63 *26611:B 6.56976e-05 -85 *2011:63 *2022:56 0.000249661 -86 *2011:63 *2352:40 2.18827e-05 -87 *2011:63 *2534:34 6.60633e-06 -88 *2011:63 *2718:19 0.000151167 -89 *2011:84 *26392:B1 0.000209204 -90 *2011:84 *26442:B 5.33005e-05 -91 *2011:84 *26764:A 0.000219366 -92 *2011:84 *28406:CLK 0 -93 *2011:84 *2020:28 0.000595594 -94 *2011:84 *2295:9 0.000768376 -95 *2011:84 *2316:28 0.000574848 -96 *2011:84 *2718:19 1.8729e-05 -97 *2011:84 *5007:44 0.000595594 -98 *2011:84 *6358:7 4.58194e-05 -99 *2011:84 *6358:12 0.000171324 -100 *2011:118 *2019:7 5.33005e-05 -101 *2011:118 *2019:12 0.000426525 -102 *2011:118 *2019:88 1.856e-05 -103 *2011:118 *2036:25 0.00022117 -104 *2011:118 *2053:34 0.000250197 -105 *2011:118 *2083:27 7.90803e-05 -106 *2011:118 *2133:68 0.000144589 -107 *2011:140 *26227:B 5.33005e-05 -108 *2011:140 *26295:B 9.23805e-05 -109 *2011:140 *26309:B1 9.15214e-05 -110 *2011:140 *26311:B 0.000128154 -111 *2011:140 *26338:B 0.000114302 -112 *2011:140 *26566:A 5.2197e-05 -113 *2011:140 *26683:B 9.41642e-05 -114 *2011:140 *26752:B 0.000232066 -115 *2011:140 *26752:D 0.000168217 -116 *2011:140 *26783:C 5.90443e-06 -117 *2011:140 *2012:17 0.000104988 -118 *2011:140 *2017:47 1.14734e-05 -119 *2011:140 *2022:101 0 -120 *2011:140 *2024:54 9.73694e-05 -121 *2011:140 *2036:25 0.000188376 -122 *2011:140 *2038:140 0.000219711 -123 *2011:140 *2043:163 1.90936e-05 -124 *2011:140 *2047:122 7.6574e-05 -125 *2011:140 *2053:21 0.000241765 -126 *2011:140 *5673:309 0.000686774 -127 *2011:145 *26578:B 4.23876e-05 -128 *2011:145 *26584:B 6.28248e-05 -129 *2011:145 *26751:A 0.000372109 -130 *2011:145 *26752:D 1.28166e-05 -131 *2011:145 *26783:B 1.43349e-05 -132 *2011:145 *2012:17 0.000296374 -133 *2011:145 *2040:127 1.62539e-05 -134 *2011:145 *2056:28 0.000241163 -135 *2011:145 *2723:13 0.000158747 -136 *2011:145 *5673:309 8.77778e-05 -137 *2011:157 *26565:A2 8.0089e-05 -138 *2011:157 *2012:17 4.25619e-05 -139 *2011:157 *2092:7 5.33005e-05 -140 *2011:157 *2723:13 0.000121446 -141 *26066:A *2011:118 6.25005e-05 -142 *26069:A *2011:7 3.97677e-05 -143 *26069:B *2011:7 0.000137983 -144 *26135:A2 *2011:118 0.000383534 -145 *26151:A1 *26151:A2 0.000243532 -146 *26348:A *2011:118 3.39325e-05 -147 *26351:A2 *2011:118 2.14658e-05 -148 *26353:A *2011:84 5.33005e-05 -149 *26389:A2 *2011:54 0.000376207 -150 *1771:58 *26151:A2 2.28598e-05 -151 *1771:58 *2011:157 0.000114587 -152 *1871:24 *2011:63 2.26424e-05 -153 *1942:169 *2011:140 0.000242927 -154 *1944:122 *2011:140 7.6644e-05 -155 *1953:122 *2011:63 0.000199856 -156 *1953:137 *2011:84 5.33005e-05 -157 *1967:36 *26114:A 5.52238e-05 -158 *1986:51 *2011:118 9.30682e-05 -159 *1987:37 *2011:16 0.000496348 -160 *1987:37 *2011:118 4.32957e-05 -161 *1988:11 *2011:16 0.00012116 -162 *2005:45 *2011:16 0.000118612 -163 *2006:10 *2011:16 0.000188391 -164 *2006:36 *2011:63 0.000656006 -165 *2009:20 *2011:118 3.1573e-05 -166 *2009:33 *2011:44 0.00013802 -167 *2009:33 *2011:54 0.00017309 -*RES -1 *26069:X *2011:7 15.9786 -2 *2011:7 *2011:16 25.8675 -3 *2011:16 *26358:A 49.97 -4 *2011:16 *2011:44 5.28768 -5 *2011:44 *2011:54 47.7589 -6 *2011:54 *2011:63 25.0596 -7 *2011:63 *26372:B 9.72857 -8 *2011:63 *2011:84 45 -9 *2011:84 *26765:A1 38.9071 -10 *2011:44 *26496:A 15.5857 -11 *2011:7 *2011:118 34.0893 -12 *2011:118 *26114:A 14.7643 -13 *2011:118 *2011:140 48.4107 -14 *2011:140 *2011:145 13.5357 -15 *2011:145 *26070:A 14.3357 -16 *2011:145 *2011:157 9.83929 -17 *2011:157 *26150:A 9.72857 -18 *2011:157 *26151:A2 37.4786 -*END - -*D_NET *2012 0.0230958 -*CONN -*I *26565:A2 I *D sky130_fd_sc_hd__a211o_1 -*I *26773:A2 I *D sky130_fd_sc_hd__a2111o_1 -*I *26393:A1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26070:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *26565:A2 0.000922313 -2 *26773:A2 0.000948632 -3 *26393:A1 0.00018509 -4 *26070:Y 0 -5 *2012:20 0.00215887 -6 *2012:17 0.00385515 -7 *2012:5 0.00375232 -8 *26393:A1 *2060:128 0.000216755 -9 *26393:A1 *2343:22 0.000300412 -10 *26393:A1 *2460:8 2.42516e-05 -11 *26565:A2 *26147:B 0.000162125 -12 *26565:A2 *26150:B 0.000220448 -13 *26565:A2 *26472:B1_N 0.000546678 -14 *26565:A2 *26490:D 0.000153047 -15 *26565:A2 *2505:7 5.33005e-05 -16 *26565:A2 *2618:11 0.000677531 -17 *26565:A2 *2723:13 0 -18 *26773:A2 *26520:B 0.000103041 -19 *26773:A2 *26634:A 0.00148518 -20 *26773:A2 *26634:D 0.000403323 -21 *26773:A2 *26664:A2 0.000759848 -22 *26773:A2 *26665:C1 8.54375e-05 -23 *26773:A2 *26668:A 9.60939e-05 -24 *26773:A2 *26668:D 9.41642e-05 -25 *26773:A2 *26757:B1 0.000275345 -26 *26773:A2 *2040:62 0.000281721 -27 *26773:A2 *2045:35 0.000213868 -28 *26773:A2 *2691:14 2.89016e-05 -29 *26773:A2 *3553:26 4.31289e-05 -30 *2012:17 *26295:B 0.000523798 -31 *2012:17 *26311:B 0.000129366 -32 *2012:17 *26578:B 2.07552e-05 -33 *2012:17 *26584:B 2.50749e-05 -34 *2012:17 *26685:B 7.90193e-05 -35 *2012:17 *2040:127 8.95472e-05 -36 *2012:17 *2043:166 0.000191057 -37 *2012:17 *2526:18 0 -38 *2012:17 *2618:11 0.000123317 -39 *2012:17 *2691:8 0.000138069 -40 *2012:17 *5673:309 0.000294352 -41 *2012:20 *26102:A 0.000171368 -42 *2012:20 *26172:A1 0.000133417 -43 *2012:20 *26345:C 0.000674327 -44 *2012:20 *26351:A3 0.000123288 -45 *2012:20 *26394:C 7.80929e-05 -46 *2012:20 *26757:B1 0.000270481 -47 *2012:20 *2022:20 0 -48 *2012:20 *2043:166 0.000157693 -49 *2012:20 *2045:73 0.000220455 -50 *2012:20 *2236:8 3.75052e-05 -51 *2012:20 *2236:10 7.45011e-05 -52 *2012:20 *2293:15 5.28368e-06 -53 *2012:20 *2569:6 0.00069892 -54 *2012:20 *2632:12 0 -55 *2012:20 *2691:8 0 -56 *2012:20 *6376:175 1.31516e-05 -57 *1985:29 *26565:A2 0.000221641 -58 *1996:58 *2012:20 3.0285e-05 -59 *1996:75 *2012:20 0 -60 *2011:140 *2012:17 0.000104988 -61 *2011:145 *2012:17 0.000296374 -62 *2011:157 *26565:A2 8.0089e-05 -63 *2011:157 *2012:17 4.25619e-05 -*RES -1 *26070:Y *2012:5 13.8 -2 *2012:5 *2012:17 28.5156 -3 *2012:17 *2012:20 33.3125 -4 *2012:20 *26393:A1 13.55 -5 *2012:20 *26773:A2 48.2643 -6 *2012:5 *26565:A2 39.4786 -*END - -*D_NET *2013 0.0069863 -*CONN -*I *26464:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26477:B1 I *D sky130_fd_sc_hd__o21a_1 -*I *26132:B1 I *D sky130_fd_sc_hd__o2111a_1 -*I *26071:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26464:B1 0.000112707 -2 *26477:B1 0.000908131 -3 *26132:B1 0 -4 *26071:Y 0.000310264 -5 *2013:18 0.00134013 -6 *2013:8 0.000629551 -7 *26464:B1 *26475:A2 6.57032e-05 -8 *26464:B1 *26689:C 0.000135028 -9 *26464:B1 *2071:14 0.000118118 -10 *26464:B1 *2598:8 0.000118118 -11 *26477:B1 *26562:C1 0.000294082 -12 *26477:B1 *2161:189 0.000315889 -13 *2013:8 *26132:D1 7.17932e-05 -14 *2013:8 *2072:46 4.15526e-05 -15 *2013:8 *2222:11 9.67203e-05 -16 *2013:8 *2291:11 0.000137983 -17 *2013:8 *2598:8 0.000119602 -18 *2013:8 *2598:12 1.27482e-06 -19 *2013:18 *2071:14 0.000566081 -20 *2013:18 *2598:8 1.27784e-05 -21 *1906:32 *26477:B1 0.00029604 -22 *1952:22 *2013:8 0.000216319 -23 *1952:22 *2013:18 0.000313006 -24 *1955:99 *26477:B1 0.000639138 -25 *1955:99 *2013:18 8.27532e-05 -26 *1966:39 *2013:8 4.35421e-05 -*RES -1 *26071:Y *2013:8 22.2643 -2 *2013:8 *26132:B1 13.8 -3 *2013:8 *2013:18 13.4643 -4 *2013:18 *26477:B1 31.9429 -5 *2013:18 *26464:B1 21.3 -*END - -*D_NET *2014 0.00713939 -*CONN -*I *26485:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26803:C1 I *D sky130_fd_sc_hd__o2111a_1 -*I *26134:A I *D sky130_fd_sc_hd__and3_1 -*I *26072:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26485:B1 0.000503474 -2 *26803:C1 0.000311779 -3 *26134:A 0 -4 *26072:Y 0.000296388 -5 *2014:10 0.000311779 -6 *2014:8 0.000799862 -7 *26485:B1 *26475:B1 0.000165911 -8 *26485:B1 *26804:A_N 0.000181374 -9 *26485:B1 *2024:40 0.000104391 -10 *26485:B1 *2075:8 0.000160403 -11 *26485:B1 *2075:32 0.000266099 -12 *26803:C1 *26134:C 0.000101271 -13 *26803:C1 *2036:17 0.00035013 -14 *26803:C1 *2190:134 3.98547e-05 -15 *2014:8 *2075:8 3.34366e-05 -16 *2014:8 *2075:15 0.000661745 -17 *2014:8 *2291:11 0.00026353 -18 *26094:A *26803:C1 9.41642e-05 -19 *1862:22 *26485:B1 0.000154728 -20 *1942:169 *26485:B1 0.000432461 -21 *1942:169 *26803:C1 1.98839e-05 -22 *1966:47 *2014:8 5.33005e-05 -23 *1966:57 *2014:8 0.000221641 -24 *1966:95 *26485:B1 0.000223118 -25 *1966:95 *2014:8 0.00068421 -26 *1966:104 *26485:B1 0.000559208 -27 *2007:64 *26485:B1 0.000122623 -28 *2008:46 *26485:B1 2.2628e-05 -*RES -1 *26072:Y *2014:8 25.3179 -2 *2014:8 *2014:10 4.5 -3 *2014:10 *26134:A 9.3 -4 *2014:10 *26803:C1 15.175 -5 *2014:8 *26485:B1 30.6036 -*END - -*D_NET *2015 0.00417298 -*CONN -*I *26126:A I *D sky130_fd_sc_hd__or3b_1 -*I *26789:A_N I *D sky130_fd_sc_hd__and4bb_1 -*I *26480:B1 I *D sky130_fd_sc_hd__a211oi_1 -*I *26073:X O *D sky130_fd_sc_hd__and3b_1 -*CAP -1 *26126:A 0.000327388 -2 *26789:A_N 2.37855e-05 -3 *26480:B1 0.00018034 -4 *26073:X 0 -5 *2015:15 0.0010004 -6 *2015:4 0.000829567 -7 *26126:A *26126:C_N 5.33005e-05 -8 *26126:A *26680:C1 1.47872e-05 -9 *26126:A *26789:C 0.000116003 -10 *26126:A *26789:D 0.000124749 -11 *26126:A *2068:16 1.58163e-05 -12 *26126:A *2154:143 0 -13 *26126:A *4475:34 4.19624e-06 -14 *2015:15 *26789:C 3.76824e-05 -15 *2015:15 *2507:10 0.000591456 -16 *26073:A_N *26480:B1 5.33005e-05 -17 *26073:A_N *2015:15 0.000175892 -18 *26073:B *26480:B1 0.000297781 -19 *1908:27 *26480:B1 0.000296257 -20 *1942:35 *26126:A 3.02801e-05 -*RES -1 *26073:X *2015:4 9.3 -2 *2015:4 *26480:B1 22.9071 -3 *2015:4 *2015:15 8.33929 -4 *2015:15 *26789:A_N 9.72857 -5 *2015:15 *26126:A 23.8893 -*END - -*D_NET *2016 0.0527997 -*CONN -*I *26137:B I *D sky130_fd_sc_hd__nand2_1 -*I *26145:A I *D sky130_fd_sc_hd__nand2_1 -*I *26109:B I *D sky130_fd_sc_hd__nand2_1 -*I *26094:B I *D sky130_fd_sc_hd__nand2_1 -*I *26395:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *26396:A1 I *D sky130_fd_sc_hd__a211o_1 -*I *26281:C I *D sky130_fd_sc_hd__and3_1 -*I *26622:A2 I *D sky130_fd_sc_hd__a311o_1 -*I *26507:A2 I *D sky130_fd_sc_hd__o31a_1 -*I *26501:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *26504:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *26081:B I *D sky130_fd_sc_hd__nand2_1 -*I *26076:D I *D sky130_fd_sc_hd__nand4_1 -*I *26074:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *26137:B 9.27308e-05 -2 *26145:A 0.000781428 -3 *26109:B 0.000970543 -4 *26094:B 2.76557e-05 -5 *26395:A1 9.1996e-05 -6 *26396:A1 9.61664e-05 -7 *26281:C 0.000213952 -8 *26622:A2 0.000308093 -9 *26507:A2 0 -10 *26501:A1 0.000516642 -11 *26504:A2 0 -12 *26081:B 0 -13 *26076:D 4.76111e-05 -14 *26074:Y 0 -15 *2016:194 0.00102952 -16 *2016:105 0.00198424 -17 *2016:80 0.00183784 -18 *2016:74 0.00181996 -19 *2016:67 0.00270959 -20 *2016:65 0.00148807 -21 *2016:61 0.00138016 -22 *2016:46 0.00132327 -23 *2016:41 0.00249721 -24 *2016:24 0.00456224 -25 *2016:14 0.00308827 -26 *2016:8 0.00194796 -27 *2016:4 0.000350856 -28 *26076:D *4189:67 1.01737e-05 -29 *26094:B *2190:134 2.89016e-05 -30 *26109:B *26659:B 0.000431845 -31 *26109:B *2051:8 1.92789e-05 -32 *26109:B *2051:41 9.43546e-06 -33 *26109:B *2069:21 3.49086e-05 -34 *26145:A *26148:B 9.54798e-06 -35 *26145:A *26154:B 0.000469778 -36 *26145:A *2021:61 0.000144556 -37 *26145:A *2080:8 9.41642e-05 -38 *26145:A *2086:16 0.000144038 -39 *26145:A *2087:13 6.05161e-06 -40 *26145:A *2514:17 0.000569313 -41 *26281:C *2092:30 0.000114534 -42 *26281:C *5615:38 0.000227206 -43 *26395:A1 *26396:C1 0.0001399 -44 *26396:A1 *26396:A2 5.58421e-05 -45 *26396:A1 *26396:B1 1.64748e-05 -46 *26501:A1 *26501:B1 0.000177821 -47 *26501:A1 *26727:B 4.08637e-05 -48 *26501:A1 *2442:8 0.000135028 -49 *26501:A1 *5545:22 0.000145753 -50 *26622:A2 *26411:B1_N 1.98839e-05 -51 *26622:A2 *26622:A3 0.000259267 -52 *26622:A2 *2352:24 0.000114434 -53 *26622:A2 *2562:8 0.000135028 -54 *2016:8 *26567:A2 9.41642e-05 -55 *2016:8 *4475:37 4.58194e-05 -56 *2016:14 *26139:A 0.000171366 -57 *2016:14 *26486:A 0.000128154 -58 *2016:14 *26486:B 2.44286e-05 -59 *2016:14 *26486:C 0.000416353 -60 *2016:14 *26689:A 0.000116449 -61 *2016:14 *2032:255 3.45763e-05 -62 *2016:14 *2032:263 0.00068433 -63 *2016:14 *2078:11 0.000197757 -64 *2016:14 *2427:21 0.000111676 -65 *2016:24 *26689:A 3.96001e-05 -66 *2016:41 *26272:B 0.000224179 -67 *2016:41 *26395:B1 0.000168187 -68 *2016:41 *26396:C1 5.52302e-05 -69 *2016:41 *2075:15 0.000271236 -70 *2016:41 *4189:67 0.00012127 -71 *2016:46 *26399:A3 0.000112063 -72 *2016:46 *2089:26 0.000307344 -73 *2016:46 *2304:14 6.18294e-05 -74 *2016:46 *2305:8 2.83916e-05 -75 *2016:61 *26396:A2 0.000129868 -76 *2016:61 *26506:A 0.000219711 -77 *2016:61 *2045:97 0.000226021 -78 *2016:61 *2062:39 0.000328681 -79 *2016:61 *2062:44 0.000151588 -80 *2016:61 *2063:17 0.000290925 -81 *2016:61 *2089:26 0.000227021 -82 *2016:61 *2169:64 3.69047e-06 -83 *2016:61 *2223:50 5.72222e-05 -84 *2016:61 *2287:19 0.000202835 -85 *2016:61 *2304:14 4.8542e-05 -86 *2016:65 *26551:A2 0.000169243 -87 *2016:65 *2237:28 0.000123295 -88 *2016:65 *2237:33 0.000414802 -89 *2016:65 *2526:18 0.000129805 -90 *2016:67 *26224:D1 0 -91 *2016:67 *26551:A2 0.00116123 -92 *2016:67 *2045:73 0 -93 *2016:67 *2061:21 0 -94 *2016:74 *26224:D1 0 -95 *2016:74 *26507:A3 8.51085e-05 -96 *2016:74 *26509:C 6.33321e-05 -97 *2016:74 *2099:68 2.49484e-05 -98 *2016:74 *2490:23 0.00113111 -99 *2016:80 *26510:A2 6.39901e-05 -100 *2016:80 *26510:C1 0.000311887 -101 *2016:80 *26511:B 0.000150618 -102 *2016:80 *26590:B1 1.3142e-05 -103 *2016:80 *2099:68 8.13563e-05 -104 *2016:80 *2099:70 0 -105 *2016:80 *2324:20 0.000114669 -106 *2016:80 *2324:22 2.33003e-05 -107 *2016:105 *26422:B2 0.000172776 -108 *2016:105 *26504:B1 0.000137983 -109 *2016:105 *26727:B 0.000356851 -110 *2016:105 *2023:8 2.89114e-05 -111 *2016:105 *2099:70 0.000352079 -112 *2016:105 *2445:8 9.06723e-05 -113 *2016:105 *2557:30 2.49484e-05 -114 *2016:105 *2598:12 1.01487e-05 -115 *2016:194 *26138:B 0.000145879 -116 *2016:194 *26567:A2 0.000208557 -117 *2016:194 *2024:7 5.33005e-05 -118 *2016:194 *2024:10 3.14003e-05 -119 *2016:194 *4475:37 8.33274e-05 -120 *26047:C *26076:D 1.09611e-05 -121 *26047:C *2016:41 0.00024619 -122 *26061:B *2016:41 1.40034e-05 -123 *26064:B *2016:41 1.21258e-05 -124 *26065:A *2016:41 9.0145e-05 -125 *26081:A *2016:105 0.000216755 -126 *26109:A *26109:B 7.82006e-05 -127 *26281:A *26281:C 0.000101271 -128 *26281:A *2016:65 4.38243e-05 -129 *26358:A *2016:65 1.46617e-05 -130 *26358:A *2016:67 0.000509693 -131 *26358:A *2016:74 5.55297e-05 -132 *26367:B *2016:105 2.27594e-05 -133 *26377:A *2016:105 0.00020657 -134 *26389:A2 *26501:A1 0.000674097 -135 *26422:B1 *2016:105 0.000170519 -136 *26432:B1 *2016:80 0.00017309 -137 *26507:A1 *2016:74 3.06186e-05 -138 *26507:A1 *2016:80 9.25014e-06 -139 *26581:A *26109:B 1.21258e-05 -140 *26659:A *26109:B 4.58194e-05 -141 *26659:A *2016:8 5.04009e-05 -142 *26659:A *2016:14 9.21418e-06 -143 *26682:A2 *26109:B 3.269e-05 -144 *26720:A1 *2016:105 3.48705e-05 -145 *26737:A1 *2016:105 0.000123295 -146 *373:63 *2016:61 2.71182e-05 -147 *1188:54 *26109:B 5.33005e-05 -148 *1188:54 *2016:14 0.00039284 -149 *1188:85 *2016:24 0 -150 *1393:39 *26281:C 1.98839e-05 -151 *1771:58 *26145:A 5.33005e-05 -152 *1930:90 *2016:61 0.000465264 -153 *1930:98 *2016:61 0.000200406 -154 *1942:25 *2016:8 0.000322159 -155 *1942:25 *2016:14 4.04292e-05 -156 *1943:40 *2016:65 0.000488038 -157 *1944:116 *2016:14 7.64776e-05 -158 *1948:17 *26396:A1 0.000180777 -159 *1950:64 *2016:74 7.09747e-05 -160 *1951:10 *2016:8 5.54817e-06 -161 *1951:12 *2016:8 0.000105089 -162 *1952:26 *26076:D 2.26973e-05 -163 *1953:165 *2016:105 1.21258e-05 -164 *1955:129 *2016:105 0.000205508 -165 *1966:58 *2016:41 0.000269529 -166 *1966:137 *26137:B 0.000165189 -167 *1966:137 *2016:194 0.00021305 -168 *1982:24 *26076:D 1.1594e-05 -169 *1982:30 *26076:D 9.54798e-06 -170 *1988:11 *2016:41 1.98839e-05 -171 *1990:22 *2016:80 0.000145598 -172 *1999:44 *2016:105 0.00110322 -173 *2000:33 *2016:105 0.000403318 -174 *2005:69 *26501:A1 7.16452e-05 -175 *2006:10 *2016:41 5.52302e-05 -176 *2006:28 *26501:A1 4.49293e-05 -177 *2006:34 *26501:A1 9.91086e-05 -178 *2006:74 *2016:41 0.000332821 -179 *2007:64 *26137:B 4.89831e-05 -180 *2007:64 *2016:14 0.000116112 -181 *2007:64 *2016:24 3.78091e-05 -182 *2007:64 *2016:194 1.69115e-05 -183 *2009:33 *26622:A2 0.000114434 -184 *2011:44 *2016:74 1.09611e-05 -*RES -1 *26074:Y *2016:4 9.3 -2 *2016:4 *2016:8 9.82143 -3 *2016:8 *2016:14 23.5536 -4 *2016:14 *2016:24 15.2351 -5 *2016:24 *26076:D 17.5698 -6 *2016:24 *2016:41 33.0122 -7 *2016:41 *2016:46 9.10714 -8 *2016:46 *2016:61 43.5536 -9 *2016:61 *2016:65 10.7006 -10 *2016:65 *2016:67 2.8576 -11 *2016:67 *2016:74 8.57611 -12 *2016:74 *2016:80 14.875 -13 *2016:80 *26081:B 9.3 -14 *2016:80 *2016:105 48.0714 -15 *2016:105 *26504:A2 9.3 -16 *2016:105 *26501:A1 29.9429 -17 *2016:74 *26507:A2 13.8 -18 *2016:67 *26622:A2 22.2832 -19 *2016:65 *26281:C 21.6475 -20 *2016:46 *26396:A1 16.2062 -21 *2016:41 *26395:A1 10.6571 -22 *2016:14 *26094:B 14.3357 -23 *2016:8 *26109:B 26.5321 -24 *2016:4 *2016:194 9.53571 -25 *2016:194 *26145:A 36.2286 -26 *2016:194 *26137:B 16.05 -*END - -*D_NET *2017 0.00547512 -*CONN -*I *26566:A I *D sky130_fd_sc_hd__nand2_1 -*I *26114:B I *D sky130_fd_sc_hd__or2_2 -*I *26141:A I *D sky130_fd_sc_hd__nor2_2 -*I *26110:B I *D sky130_fd_sc_hd__nor2_1 -*I *26131:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *26280:B I *D sky130_fd_sc_hd__nor2_1 -*I *26075:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *26566:A 0.000181701 -2 *26114:B 0 -3 *26141:A 2.23854e-05 -4 *26110:B 0 -5 *26131:A2 6.40822e-05 -6 *26280:B 0.000143665 -7 *26075:Y 0.000120115 -8 *2017:47 0.000362687 -9 *2017:36 0.000499073 -10 *2017:24 8.64676e-05 -11 *2017:22 0.000387781 -12 *2017:6 0.000333475 -13 *26566:A *26804:D 0.000148189 -14 *26566:A *2019:41 0.000175754 -15 *26566:A *2024:54 5.33005e-05 -16 *26566:A *2038:140 5.33005e-05 -17 *2017:47 *26141:B 5.52238e-05 -18 *2017:47 *2019:21 9.60227e-05 -19 *2017:47 *2019:41 1.38247e-05 -20 *2017:47 *2083:27 0.000139907 -21 *26135:A2 *2017:47 0.000171375 -22 *1942:169 *26566:A 2.49484e-05 -23 *1943:37 *26280:B 0.000178425 -24 *1946:195 *26280:B 4.68586e-05 -25 *1946:208 *26280:B 9.77423e-05 -26 *1955:115 *26280:B 0.000192776 -27 *1955:115 *2017:6 0.000304599 -28 *1986:51 *26131:A2 0.000216755 -29 *1986:51 *26141:A 0 -30 *1986:51 *2017:36 0.000162145 -31 *1986:51 *2017:47 0.000113999 -32 *1989:80 *26280:B 0.000192776 -33 *1989:80 *2017:6 0.000295349 -34 *2001:17 *26131:A2 1.4487e-05 -35 *2001:17 *2017:22 0.000175892 -36 *2001:17 *2017:36 1.98839e-05 -37 *2005:11 *2017:36 0.000266479 -38 *2011:140 *26566:A 5.2197e-05 -39 *2011:140 *2017:47 1.14734e-05 -*RES -1 *26075:Y *2017:6 17.8714 -2 *2017:6 *26280:B 18.55 -3 *2017:6 *2017:22 6.69643 -4 *2017:22 *2017:24 0.428571 -5 *2017:24 *26131:A2 11.4786 -6 *2017:24 *26110:B 9.3 -7 *2017:22 *2017:36 5.875 -8 *2017:36 *26141:A 9.72857 -9 *2017:36 *2017:47 9.53571 -10 *2017:47 *26114:B 13.8 -11 *2017:47 *26566:A 19.0143 -*END - -*D_NET *2018 0.00945355 -*CONN -*I *26126:C_N I *D sky130_fd_sc_hd__or3b_1 -*I *26789:D I *D sky130_fd_sc_hd__and4bb_1 -*I *26076:Y O *D sky130_fd_sc_hd__nand4_1 -*CAP -1 *26126:C_N 2.80869e-05 -2 *26789:D 0.000123884 -3 *26076:Y 0.000674131 -4 *2018:18 0.00151612 -5 *2018:12 0.00203828 -6 *26126:C_N *26680:C1 6.05161e-06 -7 *26789:D *26126:B 7.89362e-05 -8 *26789:D *26567:A2 1.00733e-05 -9 *2018:12 *26339:A2 6.05161e-06 -10 *2018:12 *2107:27 0.000234975 -11 *2018:12 *2127:77 0.000216556 -12 *2018:12 *2297:19 0.000103977 -13 *2018:18 *26475:A2 3.32172e-05 -14 *2018:18 *26558:B1 0.000122472 -15 *2018:18 *26680:C1 9.50088e-05 -16 *2018:18 *26746:C1 0.000108407 -17 *2018:18 *2023:15 0.000209392 -18 *2018:18 *2023:21 0.000510446 -19 *2018:18 *2047:119 6.28203e-05 -20 *2018:18 *2051:8 9.89983e-05 -21 *2018:18 *2051:41 0 -22 *2018:18 *2068:16 0.000366686 -23 *2018:18 *2187:73 6.8646e-06 -24 *2018:18 *2240:42 5.4061e-05 -25 *26034:A_N *2018:12 2.89114e-05 -26 *26034:B *2018:12 0.000140933 -27 *26040:A *2018:12 0.000148992 -28 *26040:A *2018:18 0.000113061 -29 *26040:B *2018:12 6.07037e-07 -30 *26068:A_N *2018:18 0.000121573 -31 *26076:A *2018:12 1.3701e-05 -32 *26126:A *26126:C_N 5.33005e-05 -33 *26126:A *26789:D 0.000124749 -34 *26129:A2 *2018:18 6.36172e-05 -35 *26132:A2 *2018:18 0.000371616 -36 *26349:A1 *2018:12 2.06178e-05 -37 *26355:B *2018:12 0.000406129 -38 *26355:C *2018:12 2.59355e-05 -39 *26555:B *26789:D 1.94799e-05 -40 *26555:B *2018:18 2.4065e-05 -41 *1902:36 *2018:18 0 -42 *1942:35 *26789:D 6.94441e-06 -43 *1942:35 *2018:18 0 -44 *1970:18 *2018:12 0.000150921 -45 *1976:7 *2018:12 0.000129913 -46 *1979:8 *2018:18 0.000125724 -47 *1990:81 *2018:12 1.70307e-05 -48 *1990:81 *2018:18 0.000458613 -49 *2000:16 *2018:18 8.00806e-05 -50 *2001:17 *2018:18 0.000101545 -*RES -1 *26076:Y *2018:12 30.5589 -2 *2018:12 *2018:18 34.3304 -3 *2018:18 *26789:D 17.0143 -4 *2018:18 *26126:C_N 14.3357 -*END - -*D_NET *2019 0.0451695 -*CONN -*I *26354:B I *D sky130_fd_sc_hd__nor2_1 -*I *26078:A I *D sky130_fd_sc_hd__inv_2 -*I *26374:A I *D sky130_fd_sc_hd__nor2_1 -*I *26413:A1 I *D sky130_fd_sc_hd__o22ai_1 -*I *26626:A I *D sky130_fd_sc_hd__nor2_1 -*I *26473:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26146:A2 I *D sky130_fd_sc_hd__o2111a_1 -*I *26582:A1 I *D sky130_fd_sc_hd__o221a_1 -*I *26144:B I *D sky130_fd_sc_hd__or2_1 -*I *26141:B I *D sky130_fd_sc_hd__nor2_2 -*I *26077:X O *D sky130_fd_sc_hd__or3_4 -*CAP -1 *26354:B 0.000351456 -2 *26078:A 4.12958e-05 -3 *26374:A 0.000132276 -4 *26413:A1 7.09439e-05 -5 *26626:A 3.13685e-05 -6 *26473:A1 0.000894208 -7 *26146:A2 0.000360763 -8 *26582:A1 6.78614e-05 -9 *26144:B 0.000292227 -10 *26141:B 1.1624e-05 -11 *26077:X 0.000104575 -12 *2019:126 0.000299452 -13 *2019:125 0.00134008 -14 *2019:115 0.00284645 -15 *2019:94 0.0026042 -16 *2019:88 0.00219006 -17 *2019:50 0.00196379 -18 *2019:41 0.00143018 -19 *2019:21 0.00104632 -20 *2019:12 0.000450627 -21 *2019:7 0.00135265 -22 *26078:A *26740:A1 5.03772e-05 -23 *26144:B *2056:5 0.000301438 -24 *26144:B *2056:28 0.00029388 -25 *26146:A2 *26146:B1 0.000235363 -26 *26146:A2 *26149:C1 9.60875e-05 -27 *26146:A2 *26151:C1 4.85294e-05 -28 *26146:A2 *2087:13 0.000137983 -29 *26146:A2 *2509:10 0.000642093 -30 *26146:A2 *5914:90 0.000451266 -31 *26354:B *2672:19 0.00011828 -32 *26374:A *26607:B 3.34295e-05 -33 *26374:A *26715:A2 9.64979e-05 -34 *26413:A1 *26612:D 0.000184745 -35 *26473:A1 *26473:B1 0.000294825 -36 *26473:A1 *26687:B1 0.00012027 -37 *26473:A1 *26688:D 0 -38 *26473:A1 *26748:A 0.000357758 -39 *26473:A1 *26749:B 0.000435826 -40 *26473:A1 *2427:21 0.000108609 -41 *26473:A1 *2519:8 5.45756e-05 -42 *26582:A1 *2522:11 2.79421e-05 -43 *26582:A1 *2621:14 0.000182694 -44 *26626:A *2660:23 2.59355e-05 -45 *2019:7 *2133:68 0.000123861 -46 *2019:12 *2053:34 6.70047e-05 -47 *2019:12 *2133:68 1.17921e-05 -48 *2019:12 *5545:21 0 -49 *2019:21 *2083:6 0.000171893 -50 *2019:21 *5545:21 0 -51 *2019:41 *26112:B 0.000170646 -52 *2019:41 *26804:D 1.50181e-05 -53 *2019:41 *2083:6 0.00165592 -54 *2019:41 *2506:12 0.000996667 -55 *2019:41 *2522:11 5.21937e-05 -56 *2019:41 *2621:14 0.000164481 -57 *2019:50 *26146:B1 0.00013172 -58 *2019:50 *26687:B1 4.854e-05 -59 *2019:50 *2024:40 0.000418089 -60 *2019:50 *2079:8 3.45936e-05 -61 *2019:50 *2519:8 0.000483312 -62 *2019:50 *2726:10 0.000514729 -63 *2019:88 *26791:B1 0.000103885 -64 *2019:88 *2024:54 1.84504e-05 -65 *2019:88 *2133:68 4.34543e-05 -66 *2019:88 *2291:14 0.000136452 -67 *2019:88 *2291:20 0.000655923 -68 *2019:88 *2741:11 0.00110784 -69 *2019:94 *26518:A 0.000804294 -70 *2019:94 *26551:A2 4.43081e-05 -71 *2019:94 *26621:B 1.17968e-05 -72 *2019:94 *26622:C1 0.00054939 -73 *2019:94 *26633:B 9.21958e-05 -74 *2019:94 *26633:C 2.35083e-05 -75 *2019:94 *26791:B1 0.000262681 -76 *2019:94 *2021:16 0.000365682 -77 *2019:94 *2024:54 4.53834e-05 -78 *2019:94 *2024:65 0.000956198 -79 *2019:94 *2056:8 0 -80 *2019:94 *2315:56 0.000100831 -81 *2019:94 *2458:10 0.000114166 -82 *2019:94 *2562:8 0.00014063 -83 *2019:94 *2734:16 0.000139859 -84 *2019:94 *2741:11 3.4323e-06 -85 *2019:94 *5664:86 6.53397e-05 -86 *2019:94 *5723:33 0.00120354 -87 *2019:115 *26392:A2 0.000387425 -88 *2019:115 *26671:D 0.000372341 -89 *2019:115 *26756:A 0.000417005 -90 *2019:115 *26775:B 0.000347054 -91 *2019:115 *2020:28 6.19601e-05 -92 *2019:115 *2020:41 0.000185909 -93 *2019:115 *2021:16 0.000124479 -94 *2019:115 *2021:20 8.21802e-05 -95 *2019:115 *2442:8 7.60306e-05 -96 *2019:115 *2442:19 1.08359e-05 -97 *2019:115 *2595:42 0.000163079 -98 *2019:115 *2595:54 0.000171375 -99 *2019:115 *2633:11 0.00012799 -100 *2019:115 *2634:17 0.000185914 -101 *2019:115 *2734:16 9.12446e-05 -102 *2019:115 *2735:8 4.16207e-05 -103 *2019:125 *26439:A 0.000109803 -104 *2019:125 *26612:D 0.000213868 -105 *2019:125 *2020:28 8.68569e-05 -106 *2019:125 *2022:39 0.000346759 -107 *2019:125 *2022:56 3.49348e-05 -108 *2019:125 *2061:41 6.05163e-05 -109 *2019:125 *2316:28 0.000507957 -110 *2019:125 *2534:34 0.00105196 -111 *2019:125 *2551:14 0.00183212 -112 *2019:125 *2735:8 5.7234e-05 -113 *2019:126 *26612:D 0.000180777 -114 *2019:126 *26740:A1 2.14658e-05 -115 *26114:A *26144:B 5.54333e-05 -116 *26135:A2 *26144:B 0.000137983 -117 *26135:A2 *2019:12 6.8646e-06 -118 *26135:A2 *2019:21 6.8646e-06 -119 *26351:A2 *2019:7 0.000136958 -120 *26351:A2 *2019:88 0.000587484 -121 *26498:A *2019:88 0.000127439 -122 *26566:A *2019:41 0.000175754 -123 *26594:A1 *2019:94 7.81389e-05 -124 *26694:A *2019:115 0.000100831 -125 *1874:27 *2019:12 2.65458e-05 -126 *1935:92 *2019:115 1.44355e-05 -127 *1940:32 *2019:94 0.000155877 -128 *1942:14 *26473:A1 0 -129 *1950:181 *2019:50 0.000326742 -130 *1953:130 *26354:B 0.000135028 -131 *1966:137 *2019:50 0.000117452 -132 *1967:36 *26144:B 0.000325191 -133 *1986:51 *26141:B 5.52238e-05 -134 *1998:31 *2019:7 0.000175892 -135 *2006:36 *26374:A 0 -136 *2008:35 *26144:B 0.00017867 -137 *2011:118 *2019:7 5.33005e-05 -138 *2011:118 *2019:12 0.000426525 -139 *2011:118 *2019:88 1.856e-05 -140 *2017:47 *26141:B 5.52238e-05 -141 *2017:47 *2019:21 9.60227e-05 -142 *2017:47 *2019:41 1.38247e-05 -*RES -1 *26077:X *2019:7 16.8 -2 *2019:7 *2019:12 7.5625 -3 *2019:12 *26141:B 14.3357 -4 *2019:12 *2019:21 2.85714 -5 *2019:21 *26144:B 23.2464 -6 *2019:21 *2019:41 27.9464 -7 *2019:41 *26582:A1 11.0679 -8 *2019:41 *2019:50 24.3571 -9 *2019:50 *26146:A2 25.1571 -10 *2019:50 *26473:A1 35.1571 -11 *2019:7 *2019:88 31.1786 -12 *2019:88 *2019:94 41.9196 -13 *2019:94 *26626:A 14.3357 -14 *2019:94 *2019:115 32.2118 -15 *2019:115 *2019:125 48.25 -16 *2019:125 *2019:126 2.17857 -17 *2019:126 *26413:A1 11.0679 -18 *2019:126 *26374:A 21.1571 -19 *2019:125 *26078:A 10.2464 -20 *2019:115 *26354:B 19.2821 -*END - -*D_NET *2020 0.00996694 -*CONN -*I *26516:A1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26755:A1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26391:A2 I *D sky130_fd_sc_hd__a2111o_1 -*I *26740:A1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26078:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *26516:A1 2.69798e-05 -2 *26755:A1 0.00012104 -3 *26391:A2 3.04135e-05 -4 *26740:A1 0.000336709 -5 *26078:Y 0 -6 *2020:41 0.000559588 -7 *2020:28 0.00171955 -8 *2020:4 0.00161428 -9 *26391:A2 *26712:B2 5.33005e-05 -10 *26516:A1 *2442:19 7.83659e-05 -11 *26516:A1 *2534:11 6.91157e-05 -12 *26740:A1 *26612:D 0.000136951 -13 *26740:A1 *26715:A2 0.00012401 -14 *26740:A1 *26770:C 5.52238e-05 -15 *26740:A1 *2730:13 2.89016e-05 -16 *26755:A1 *26656:A2 1.65169e-05 -17 *26755:A1 *26755:A2 5.33005e-05 -18 *2020:28 *26392:B1 0.00083889 -19 *2020:28 *26744:B 0 -20 *2020:28 *26764:C_N 0.000164455 -21 *2020:28 *2634:17 1.94879e-05 -22 *2020:28 *2674:22 0 -23 *2020:28 *2678:16 0 -24 *2020:28 *2681:8 0.000149588 -25 *2020:28 *2730:13 0 -26 *2020:28 *2732:11 0.000768094 -27 *2020:28 *5007:44 0.000472646 -28 *2020:28 *5839:63 6.42242e-05 -29 *2020:41 *26392:B1 0.000186582 -30 *2020:41 *26695:C 7.83366e-05 -31 *2020:41 *2442:8 0.000148196 -32 *2020:41 *2442:19 0.000235092 -33 *2020:41 *2534:11 0.000221163 -34 *2020:41 *2595:54 0.000282565 -35 *2020:41 *2634:17 0.000174455 -36 *2020:41 *5007:48 3.42162e-05 -37 *26078:A *26740:A1 5.03772e-05 -38 *26694:A *2020:41 0.000102545 -39 *2006:36 *26740:A1 0 -40 *2011:84 *2020:28 0.000595594 -41 *2019:115 *2020:28 6.19601e-05 -42 *2019:115 *2020:41 0.000185909 -43 *2019:125 *2020:28 8.68569e-05 -44 *2019:126 *26740:A1 2.14658e-05 -*RES -1 *26078:Y *2020:4 9.3 -2 *2020:4 *26740:A1 23.4786 -3 *2020:4 *2020:28 39.6071 -4 *2020:28 *26391:A2 14.3357 -5 *2020:28 *2020:41 13.8393 -6 *2020:41 *26755:A1 15.1571 -7 *2020:41 *26516:A1 14.8357 -*END - -*D_NET *2021 0.0277677 -*CONN -*I *26148:B I *D sky130_fd_sc_hd__nand2_1 -*I *26145:B I *D sky130_fd_sc_hd__nand2_1 -*I *26517:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *26501:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *26392:A2 I *D sky130_fd_sc_hd__a2111o_1 -*I *26079:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *26148:B 0.000341684 -2 *26145:B 0 -3 *26517:A1 0.000212165 -4 *26501:A2 7.25403e-05 -5 *26392:A2 0.000149001 -6 *26079:Y 6.93062e-05 -7 *2021:61 0.000660472 -8 *2021:59 0.00172081 -9 *2021:20 0.000598389 -10 *2021:16 0.000993015 -11 *2021:10 0.00173497 -12 *2021:8 0.0028023 -13 *26148:B *26584:A 1.1594e-05 -14 *26148:B *26690:B 2.21972e-05 -15 *26148:B *2025:47 0 -16 *26148:B *2086:16 5.58875e-06 -17 *26148:B *2392:10 1.90936e-05 -18 *26148:B *5782:232 0.000251754 -19 *26392:A2 *2633:11 0.000123288 -20 *26392:A2 *2735:8 0.000137631 -21 *26517:A1 *26517:A2 3.04452e-05 -22 *26517:A1 *2698:11 0.000172914 -23 *2021:8 *2022:11 0.000178847 -24 *2021:8 *2632:12 5.41794e-05 -25 *2021:8 *5782:164 4.49293e-05 -26 *2021:10 *26791:B1 0.000121503 -27 *2021:10 *2022:28 0.000199578 -28 *2021:10 *2022:39 3.17148e-05 -29 *2021:10 *2045:52 0.00106335 -30 *2021:10 *2439:9 0.00124569 -31 *2021:10 *2632:12 0.00390405 -32 *2021:10 *5782:149 0.000472659 -33 *2021:10 *5782:164 0.000634415 -34 *2021:16 *26621:A 0.000139907 -35 *2021:16 *26621:B 0.000420697 -36 *2021:16 *26633:C 9.77264e-06 -37 *2021:16 *26775:B 0.000149562 -38 *2021:16 *2561:5 4.38168e-05 -39 *2021:16 *2566:6 0.0001944 -40 *2021:20 *26671:D 0.000380696 -41 *2021:20 *26775:B 1.77757e-05 -42 *2021:20 *2566:6 0.000381797 -43 *2021:20 *2735:8 0.000870779 -44 *2021:59 *26295:A 0.000121446 -45 *2021:59 *26584:A 1.56868e-05 -46 *2021:59 *26585:B 0.000315187 -47 *2021:59 *26790:A2 0.000543032 -48 *2021:59 *2024:54 0.00117809 -49 *2021:59 *2086:10 0.000275879 -50 *2021:59 *2086:16 0.000223279 -51 *2021:59 *2291:14 0.000290264 -52 *2021:59 *2685:11 0.000137206 -53 *2021:59 *2741:11 9.00192e-05 -54 *2021:61 *26584:A 0.000729286 -55 *2021:61 *26690:A 0.000121897 -56 *2021:61 *2086:16 0.000228072 -57 *26145:A *26148:B 9.54798e-06 -58 *26145:A *2021:61 0.000144556 -59 *26148:A *26148:B 0.000135028 -60 *26351:A2 *2021:8 0.000178847 -61 *26351:A2 *2021:59 0.000301438 -62 *26358:A *2021:10 4.32957e-05 -63 *1518:15 *2021:10 0.000181142 -64 *1771:58 *26148:B 0.000136951 -65 *1996:25 *2021:59 0.000718518 -66 *2019:94 *2021:16 0.000365682 -67 *2019:115 *26392:A2 0.000387425 -68 *2019:115 *2021:16 0.000124479 -69 *2019:115 *2021:20 8.21802e-05 -*RES -1 *26079:Y *2021:8 16.3 -2 *2021:8 *2021:10 50.8214 -3 *2021:10 *2021:16 21.5357 -4 *2021:16 *2021:20 12.5 -5 *2021:20 *26392:A2 19.3179 -6 *2021:20 *26501:A2 14.7464 -7 *2021:16 *26517:A1 17.2464 -8 *2021:8 *2021:59 48.2589 -9 *2021:59 *2021:61 9.8125 -10 *2021:61 *26145:B 13.8 -11 *2021:61 *26148:B 23.2984 -*END - -*D_NET *2022 0.0508481 -*CONN -*I *26149:A2 I *D sky130_fd_sc_hd__o2111a_1 -*I *26580:A1 I *D sky130_fd_sc_hd__o221a_1 -*I *26147:A I *D sky130_fd_sc_hd__nor2_2 -*I *26621:A I *D sky130_fd_sc_hd__nor2_1 -*I *26360:B I *D sky130_fd_sc_hd__nor2_1 -*I *26722:A1 I *D sky130_fd_sc_hd__o211ai_1 -*I *26440:A1 I *D sky130_fd_sc_hd__o22a_1 -*I *26080:X O *D sky130_fd_sc_hd__or2_4 -*CAP -1 *26149:A2 6.30015e-05 -2 *26580:A1 0 -3 *26147:A 0 -4 *26621:A 3.35242e-05 -5 *26360:B 0 -6 *26722:A1 0.00156882 -7 *26440:A1 0.000638106 -8 *26080:X 0.0002152 -9 *2022:129 0.00204202 -10 *2022:112 0.00226719 -11 *2022:105 0.00050599 -12 *2022:101 0.0015013 -13 *2022:56 0.00370185 -14 *2022:39 0.00300896 -15 *2022:28 0.00311451 -16 *2022:20 0.00181444 -17 *2022:11 0.00162018 -18 *26149:A2 *2027:13 1.04232e-05 -19 *26149:A2 *2027:15 0.000139907 -20 *26440:A1 *26441:D_N 7.77751e-05 -21 *26440:A1 *26715:A2 0.000515037 -22 *26440:A1 *28302:D 8.71847e-05 -23 *26440:A1 *3684:64 0 -24 *26440:A1 *6358:12 0 -25 *26621:A *2561:5 0.000136951 -26 *26722:A1 *26531:C 0.000105555 -27 *26722:A1 *26713:B2 5.33978e-05 -28 *26722:A1 *26722:B1 0.000216631 -29 *26722:A1 *2161:121 5.10822e-05 -30 *26722:A1 *2677:23 0.000300176 -31 *26722:A1 *2703:12 0.00182864 -32 *2022:11 *26172:A2 0.000400449 -33 *2022:20 *26102:A 2.8266e-06 -34 *2022:20 *26345:C 2.18827e-05 -35 *2022:20 *2293:15 0.000101346 -36 *2022:20 *2303:5 0.000175892 -37 *2022:20 *2632:12 0.000215455 -38 *2022:20 *2727:11 2.11419e-05 -39 *2022:20 *5782:164 7.02772e-05 -40 *2022:28 *26339:A1 5.41794e-05 -41 *2022:28 *26520:C 0.000115364 -42 *2022:28 *26633:B 0.000376298 -43 *2022:28 *2044:18 0.00105363 -44 *2022:28 *2045:52 0.000766332 -45 *2022:28 *2307:10 0.000139783 -46 *2022:28 *2308:10 8.2395e-05 -47 *2022:28 *2439:9 0.000266771 -48 *2022:28 *2562:8 0.000166156 -49 *2022:28 *2565:11 0.000706692 -50 *2022:28 *2734:16 0.000258797 -51 *2022:28 *3510:16 0.000316738 -52 *2022:28 *5723:33 0.00021627 -53 *2022:28 *5723:75 3.32442e-05 -54 *2022:28 *5782:149 0.000178947 -55 *2022:28 *5782:164 2.2628e-05 -56 *2022:39 *26728:A 0.000876203 -57 *2022:39 *26728:B 0.00176242 -58 *2022:39 *26735:B2 1.08359e-05 -59 *2022:39 *26791:C1 0.000317719 -60 *2022:39 *2302:30 8.25843e-06 -61 *2022:39 *2544:26 3.44153e-05 -62 *2022:39 *2544:30 0.000100316 -63 *2022:39 *2551:14 0 -64 *2022:39 *2632:12 1.43864e-05 -65 *2022:39 *2674:17 0.000481063 -66 *2022:39 *2708:10 0.000138178 -67 *2022:39 *2732:11 0.000142856 -68 *2022:39 *2734:16 0.000255259 -69 *2022:39 *3413:25 0.000143668 -70 *2022:56 *26439:A 0.000299887 -71 *2022:56 *26612:D 0.000213868 -72 *2022:56 *26715:A2 0.000416531 -73 *2022:56 *26740:C1 0 -74 *2022:56 *26792:A1 0.000178847 -75 *2022:56 *2316:28 0 -76 *2022:56 *2352:40 0.000812318 -77 *2022:56 *2383:8 7.6644e-05 -78 *2022:56 *2551:14 0.00101014 -79 *2022:56 *6358:12 0 -80 *2022:101 *26295:B 4.53368e-05 -81 *2022:101 *26683:A 1.90936e-05 -82 *2022:101 *26787:A 8.85278e-05 -83 *2022:101 *2043:163 6.2589e-06 -84 *2022:101 *2056:28 0.000798408 -85 *2022:101 *2525:13 0.000295689 -86 *2022:101 *2632:10 0.000658556 -87 *2022:101 *2632:12 0.00114257 -88 *2022:101 *2687:10 6.07655e-06 -89 *2022:101 *2687:17 0.000232658 -90 *2022:101 *2723:13 3.1914e-05 -91 *2022:101 *5673:309 5.90443e-06 -92 *2022:105 *26787:A 5.19522e-06 -93 *2022:105 *2687:10 0.00097345 -94 *2022:105 *2687:17 6.6894e-06 -95 *2022:105 *2723:13 0.00102006 -96 *2022:112 *26149:B1 0.000182694 -97 *2022:112 *2027:15 3.82242e-05 -98 *2022:112 *2056:37 8.27532e-05 -99 *2022:129 *26142:A 9.90431e-05 -100 *2022:129 *26149:D1 9.71197e-05 -101 *2022:129 *26569:A2 0.000110359 -102 *2022:129 *26680:B1 2.11419e-05 -103 *2022:129 *2025:55 0.000505856 -104 *2022:129 *2027:13 0.00111755 -105 *2022:129 *2027:15 1.02936e-05 -106 *2022:129 *2032:43 8.79319e-05 -107 *2022:129 *2033:19 2.26973e-05 -108 *2022:129 *2054:38 0.000115139 -109 *2022:129 *2507:21 0.000112073 -110 *26079:A *2022:11 0.000222666 -111 *26079:B *2022:11 5.01909e-05 -112 *26116:C *2022:101 4.81698e-05 -113 *26345:A *2022:101 0 -114 *26351:A2 *2022:11 6.8087e-05 -115 *26360:A *2022:39 2.59355e-05 -116 *26362:A *2022:11 0.00018077 -117 *1518:15 *2022:39 0.000137276 -118 *1883:119 *2022:39 0.00031588 -119 *1941:50 *2022:101 1.90936e-05 -120 *1944:122 *2022:101 9.32873e-05 -121 *1967:50 *2022:20 9.25014e-06 -122 *1967:50 *2022:101 0.000739698 -123 *1986:125 *26149:A2 0.000104603 -124 *1986:125 *2022:112 0.000124376 -125 *1986:136 *2022:112 9.71197e-05 -126 *1996:48 *2022:20 2.00756e-05 -127 *1996:48 *2022:28 0.000638633 -128 *1996:75 *2022:28 1.01417e-05 -129 *1997:61 *2022:101 4.5539e-05 -130 *2006:36 *2022:56 0.00010145 -131 *2011:63 *2022:56 0.000249661 -132 *2011:140 *2022:101 0 -133 *2012:20 *2022:20 0 -134 *2019:125 *2022:39 0.000346759 -135 *2019:125 *2022:56 3.49348e-05 -136 *2021:8 *2022:11 0.000178847 -137 *2021:10 *2022:28 0.000199578 -138 *2021:10 *2022:39 3.17148e-05 -139 *2021:16 *26621:A 0.000139907 -*RES -1 *26080:X *2022:11 20.6393 -2 *2022:11 *2022:20 15.0446 -3 *2022:20 *2022:28 49.1339 -4 *2022:28 *2022:39 47.75 -5 *2022:39 *2022:56 49.875 -6 *2022:56 *26440:A1 27.4071 -7 *2022:56 *26722:A1 47.5321 -8 *2022:39 *26360:B 9.3 -9 *2022:28 *26621:A 15.1571 -10 *2022:11 *2022:101 36.6875 -11 *2022:101 *2022:105 17.8304 -12 *2022:105 *26147:A 9.3 -13 *2022:105 *2022:112 4.25 -14 *2022:112 *2022:129 45.3919 -15 *2022:129 *26580:A1 9.3 -16 *2022:112 *26149:A2 11.3893 -*END - -*D_NET *2023 0.0164727 -*CONN -*I *26510:C1 I *D sky130_fd_sc_hd__o211a_1 -*I *26746:C1 I *D sky130_fd_sc_hd__o211a_1 -*I *26128:B I *D sky130_fd_sc_hd__and3_1 -*I *26759:D1 I *D sky130_fd_sc_hd__o2111ai_1 -*I *26081:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26510:C1 0.00010376 -2 *26746:C1 0.000123697 -3 *26128:B 0.00059092 -4 *26759:D1 0 -5 *26081:Y 0 -6 *2023:21 0.00124417 -7 *2023:15 0.00268143 -8 *2023:8 0.00247233 -9 *2023:4 0.000424207 -10 *26128:B *26129:C1 0.000678969 -11 *26128:B *26477:A2 2.04825e-05 -12 *26128:B *2226:84 3.97677e-05 -13 *26510:C1 *26510:A2 0.000311887 -14 *26746:C1 *26477:A2 4.35004e-05 -15 *26746:C1 *2187:73 0.000141859 -16 *26746:C1 *2684:11 1.98839e-05 -17 *2023:8 *26760:B 0.000100831 -18 *2023:8 *2124:41 5.39996e-05 -19 *2023:8 *2345:8 2.82057e-05 -20 *2023:15 *26511:A_N 0.000500306 -21 *2023:15 *2053:42 2.14121e-05 -22 *2023:15 *2099:18 6.66527e-05 -23 *2023:15 *2099:30 7.00408e-05 -24 *2023:15 *2107:27 0.00071861 -25 *2023:15 *2111:69 0.000101866 -26 *2023:15 *2124:41 3.56212e-05 -27 *2023:15 *2204:120 8.55766e-05 -28 *2023:15 *2234:16 6.94348e-05 -29 *2023:15 *2324:20 0 -30 *2023:15 *2345:8 0.000169013 -31 *2023:15 *2384:13 0.000332173 -32 *2023:15 *5664:86 4.29471e-05 -33 *2023:21 *26466:B1 0 -34 *2023:21 *26475:A2 1.64584e-05 -35 *2023:21 *26477:A2 2.60487e-05 -36 *2023:21 *2071:14 3.92854e-05 -37 *26039:A *2023:15 4.94362e-05 -38 *26040:B *2023:15 0.000504918 -39 *26058:A *2023:15 0.000146316 -40 *26058:D *2023:15 2.26327e-05 -41 *26068:A_N *2023:15 1.41029e-05 -42 *26355:C *2023:15 0.000362771 -43 *26432:B1 *2023:8 0.000108437 -44 *26720:A1 *2023:8 3.61629e-06 -45 *373:60 *2023:15 0.00100102 -46 *1187:41 *2023:15 0 -47 *1902:41 *2023:15 0 -48 *1902:41 *2023:21 0 -49 *1943:18 *2023:15 0 -50 *1955:99 *2023:21 7.69776e-06 -51 *1966:39 *2023:15 0.000182117 -52 *1968:11 *2023:15 0 -53 *1968:20 *2023:15 7.95487e-05 -54 *1969:20 *2023:15 0.00014666 -55 *1972:11 *2023:15 6.79672e-06 -56 *1972:44 *2023:15 3.53407e-05 -57 *1981:18 *2023:15 0.000204618 -58 *1990:8 *2023:15 0.00072671 -59 *1990:14 *2023:15 0.000111949 -60 *1990:19 *2023:15 0.000160254 -61 *2001:17 *2023:15 5.33786e-05 -62 *2016:80 *26510:C1 0.000311887 -63 *2016:105 *2023:8 2.89114e-05 -64 *2018:18 *26746:C1 0.000108407 -65 *2018:18 *2023:15 0.000209392 -66 *2018:18 *2023:21 0.000510446 -*RES -1 *26081:Y *2023:4 9.3 -2 *2023:4 *2023:8 9.44643 -3 *2023:8 *26759:D1 13.8 -4 *2023:8 *2023:15 59.7768 -5 *2023:15 *2023:21 8.28571 -6 *2023:21 *26128:B 21.5946 -7 *2023:21 *26746:C1 16.9518 -8 *2023:4 *26510:C1 22.3714 -*END - -*D_NET *2024 0.0417463 -*CONN -*I *26138:B I *D sky130_fd_sc_hd__nand2_1 -*I *26625:B I *D sky130_fd_sc_hd__and3_1 -*I *26755:A2 I *D sky130_fd_sc_hd__a2111o_1 -*I *26670:A2 I *D sky130_fd_sc_hd__a2111o_1 -*I *26084:B I *D sky130_fd_sc_hd__nand2_1 -*I *26133:B I *D sky130_fd_sc_hd__nand2_1 -*I *26460:B I *D sky130_fd_sc_hd__nand2_1 -*I *26082:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *26138:B 9.0017e-05 -2 *26625:B 0 -3 *26755:A2 0.000465132 -4 *26670:A2 2.23854e-05 -5 *26084:B 0.00112734 -6 *26133:B 3.8599e-05 -7 *26460:B 0 -8 *26082:Y 0.000274317 -9 *2024:95 0.00161828 -10 *2024:65 0.00385373 -11 *2024:54 0.00272724 -12 *2024:40 0.00196477 -13 *2024:25 0.00183713 -14 *2024:10 0.00273194 -15 *2024:7 0.000464577 -16 *26084:B *26510:A2 2.33863e-05 -17 *26084:B *26759:A2 8.11903e-05 -18 *26084:B *26759:B1 4.58194e-05 -19 *26084:B *26767:B2 3.14193e-05 -20 *26084:B *2557:25 0.000667323 -21 *26084:B *2559:9 0.000219711 -22 *26084:B *2598:12 4.34543e-05 -23 *26084:B *2606:11 0.000187664 -24 *26133:B *26338:B 5.47748e-05 -25 *26138:B *2514:17 9.41642e-05 -26 *26138:B *5673:300 9.41642e-05 -27 *26755:A2 *26516:D1 5.49489e-05 -28 *26755:A2 *26656:A2 7.30947e-05 -29 *26755:A2 *26755:C1 0.000173812 -30 *26755:A2 *26755:D1 7.43578e-06 -31 *26755:A2 *2352:24 9.24395e-05 -32 *26755:A2 *2609:7 0.000233124 -33 *2024:7 *26567:A2 3.64864e-05 -34 *2024:7 *4475:37 0.000970566 -35 *2024:25 *2029:9 5.62846e-05 -36 *2024:25 *2029:39 0.000102631 -37 *2024:25 *2032:255 0.000843433 -38 *2024:25 *2051:41 0.000148975 -39 *2024:25 *2065:21 0.000373236 -40 *2024:25 *2068:16 0.00016677 -41 *2024:25 *2240:42 0.000420389 -42 *2024:25 *2250:82 2.17728e-05 -43 *2024:25 *2401:23 5.52302e-05 -44 *2024:25 *2401:34 5.52238e-05 -45 *2024:40 *2032:263 7.79781e-06 -46 *2024:40 *2038:132 0.000363175 -47 *2024:40 *2083:6 0 -48 *2024:40 *2726:10 0.000252768 -49 *2024:40 *5545:21 0.000111036 -50 *2024:54 *26227:B 2.28598e-05 -51 *2024:54 *26295:A 0.000200406 -52 *2024:54 *26303:B 5.52302e-05 -53 *2024:54 *26338:B 0.00100216 -54 *2024:54 *26625:C 7.6644e-05 -55 *2024:54 *26791:B1 0.000211585 -56 *2024:54 *2038:132 0.000223564 -57 *2024:54 *2038:140 0.000130248 -58 *2024:54 *2086:10 6.07232e-05 -59 *2024:54 *2291:14 6.69189e-05 -60 *2024:54 *2291:20 0.000568715 -61 *2024:54 *2727:11 9.87398e-05 -62 *2024:54 *5723:33 5.66157e-05 -63 *2024:54 *5723:75 0.00126169 -64 *2024:65 *26394:B 0.000384995 -65 *2024:65 *26622:C1 0.00054939 -66 *2024:65 *26791:B1 0.000119484 -67 *2024:65 *2056:8 0.000183213 -68 *2024:65 *2343:22 1.0946e-05 -69 *2024:65 *2458:10 0.000348028 -70 *2024:65 *2557:25 0.000447121 -71 *2024:65 *2606:11 4.2578e-05 -72 *2024:65 *5664:86 0.000358261 -73 *2024:65 *5723:26 4.58194e-05 -74 *2024:95 *26510:A2 2.06112e-05 -75 *2024:95 *26512:C1 3.15772e-05 -76 *2024:95 *26514:D 2.06112e-05 -77 *2024:95 *26515:A2 8.86927e-05 -78 *2024:95 *26515:B1 0 -79 *2024:95 *26668:A 0.000125724 -80 *2024:95 *26670:B1 0.000137983 -81 *2024:95 *26670:D1 0 -82 *2024:95 *26774:C1 2.78783e-05 -83 *2024:95 *2557:44 8.6432e-05 -84 *2024:95 *2606:11 5.11642e-05 -85 *2024:95 *2609:7 7.10316e-05 -86 *26082:A *2024:7 5.33005e-05 -87 *26082:B *2024:7 5.49995e-05 -88 *26084:A *26084:B 0.000134373 -89 *26136:B *26133:B 3.17016e-05 -90 *26136:B *2024:54 2.59355e-05 -91 *26361:A *2024:54 1.94945e-05 -92 *26387:A2 *2024:95 0.00155634 -93 *26485:B1 *2024:40 0.000104391 -94 *26498:A *2024:54 1.3142e-05 -95 *26555:A *2024:25 0.000122273 -96 *26566:A *2024:54 5.33005e-05 -97 *26594:A1 *2024:65 0 -98 *26755:A1 *26755:A2 5.33005e-05 -99 *26797:A1 *2024:65 0.00111095 -100 *1931:51 *2024:25 0.000800912 -101 *1931:51 *2024:40 0.000126102 -102 *1940:32 *2024:65 0.000157591 -103 *1950:181 *2024:7 0.000542354 -104 *1953:98 *2024:95 0 -105 *1955:129 *26084:B 4.15183e-05 -106 *1966:95 *2024:40 6.54117e-05 -107 *1966:104 *2024:40 0.0012565 -108 *1966:137 *2024:10 7.57466e-05 -109 *1966:137 *2024:40 0.000290871 -110 *1984:16 *2024:25 1.9036e-05 -111 *1996:48 *2024:54 0.000316953 -112 *1996:78 *2024:65 0.00023817 -113 *1996:83 *2024:65 0.000745421 -114 *2007:64 *26138:B 7.04641e-05 -115 *2007:64 *2024:10 9.25616e-05 -116 *2008:12 *2024:65 2.8761e-05 -117 *2008:46 *2024:40 3.34366e-05 -118 *2011:140 *2024:54 9.73694e-05 -119 *2016:194 *26138:B 0.000145879 -120 *2016:194 *2024:7 5.33005e-05 -121 *2016:194 *2024:10 3.14003e-05 -122 *2019:50 *2024:40 0.000418089 -123 *2019:88 *2024:54 1.84504e-05 -124 *2019:94 *2024:54 4.53834e-05 -125 *2019:94 *2024:65 0.000956198 -126 *2021:59 *2024:54 0.00117809 -*RES -1 *26082:Y *2024:7 23.3714 -2 *2024:7 *2024:10 7.05357 -3 *2024:10 *2024:25 42.3071 -4 *2024:25 *26460:B 9.3 -5 *2024:10 *2024:40 33.6071 -6 *2024:40 *26133:B 10.2643 -7 *2024:40 *2024:54 48.8571 -8 *2024:54 *2024:65 40.5747 -9 *2024:65 *26084:B 30.1502 -10 *2024:65 *2024:95 32.512 -11 *2024:95 *26670:A2 9.72857 -12 *2024:95 *26755:A2 27.8 -13 *2024:54 *26625:B 9.3 -14 *2024:7 *26138:B 16.6929 -*END - -*D_NET *2025 0.041755 -*CONN -*I *26147:B I *D sky130_fd_sc_hd__nor2_2 -*I *26150:B I *D sky130_fd_sc_hd__nor2_1 -*I *26473:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *26659:B I *D sky130_fd_sc_hd__nand2_1 -*I *26582:A2 I *D sky130_fd_sc_hd__o221a_1 -*I *26482:A2 I *D sky130_fd_sc_hd__o211a_1 -*I *26130:B I *D sky130_fd_sc_hd__nor2_2 -*I *26580:A2 I *D sky130_fd_sc_hd__o221a_1 -*I *26458:A3 I *D sky130_fd_sc_hd__o32a_1 -*I *26340:B I *D sky130_fd_sc_hd__nor2_1 -*I *26341:B I *D sky130_fd_sc_hd__or2_1 -*I *26083:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *26147:B 9.98901e-05 -2 *26150:B 6.92225e-05 -3 *26473:A2 0.000469705 -4 *26659:B 0.000247012 -5 *26582:A2 0.000901676 -6 *26482:A2 0 -7 *26130:B 0.000644514 -8 *26580:A2 0.000101016 -9 *26458:A3 0.000905779 -10 *26340:B 2.1025e-05 -11 *26341:B 0.000755042 -12 *26083:Y 0.000474539 -13 *2025:155 0.000169113 -14 *2025:114 0.00153447 -15 *2025:88 0.00233222 -16 *2025:86 0.00113341 -17 *2025:84 0.000880794 -18 *2025:55 0.00157934 -19 *2025:47 0.00246796 -20 *2025:44 0.00240052 -21 *2025:39 0.00124379 -22 *2025:12 0.00146717 -23 *2025:6 0.00181874 -24 *26130:B *26283:B 0.000449349 -25 *26130:B *2051:41 0 -26 *26130:B *2069:21 0.000102874 -27 *26130:B *2598:8 0.000132996 -28 *26147:B *2027:15 7.32173e-05 -29 *26147:B *2089:20 7.32173e-05 -30 *26147:B *2618:11 0.000171375 -31 *26150:B *2618:11 0.000220448 -32 *26341:B *26160:A3 7.89501e-05 -33 *26341:B *26492:A 0.000148182 -34 *26341:B *26492:C 6.16744e-05 -35 *26341:B *26578:A 0.000315664 -36 *26341:B *2062:44 0.000414748 -37 *26341:B *2282:10 0.000288557 -38 *26341:B *2342:43 0.000555122 -39 *26341:B *5615:12 6.91215e-06 -40 *26341:B *5615:21 6.80581e-05 -41 *26458:A3 *26052:A 0.00014433 -42 *26458:A3 *26458:B1 0.000258111 -43 *26458:A3 *26458:B2 5.33005e-05 -44 *26458:A3 *26580:B1 1.33343e-05 -45 *26458:A3 *26580:B2 8.56851e-05 -46 *26458:A3 *2054:48 5.87854e-05 -47 *26458:A3 *2217:77 5.68437e-05 -48 *26458:A3 *5914:99 1.02936e-05 -49 *26473:A2 *26151:C1 4.28365e-05 -50 *26473:A2 *26455:B1 0.000604109 -51 *26473:A2 *26749:B 0.000342488 -52 *26473:A2 *2038:197 0.000355608 -53 *26473:A2 *2509:10 0.000168082 -54 *26580:A2 *26569:C1 0 -55 *26580:A2 *26580:B1 5.52238e-05 -56 *26580:A2 *26580:B2 1.98839e-05 -57 *26580:A2 *2054:48 1.21258e-05 -58 *26582:A2 *26582:B1 1.58163e-05 -59 *26582:A2 *26582:C1 8.17663e-05 -60 *26582:A2 *2621:14 4.30586e-05 -61 *26659:B *2598:8 2.83192e-05 -62 *2025:6 *5782:203 0.000590257 -63 *2025:12 *26154:A 0.000102888 -64 *2025:12 *26160:A3 1.6959e-05 -65 *2025:12 *26492:D 0 -66 *2025:12 *5615:8 0.000189518 -67 *2025:12 *5615:10 6.23278e-05 -68 *2025:12 *5615:12 0.000112433 -69 *2025:12 *5782:203 0.000104227 -70 *2025:44 *26151:C1 6.52967e-05 -71 *2025:44 *26455:B1 1.91414e-05 -72 *2025:44 *2038:197 0.000138325 -73 *2025:44 *2090:8 8.59056e-05 -74 *2025:47 *26569:A1 0.000160485 -75 *2025:47 *2032:35 5.52893e-05 -76 *2025:47 *2054:38 0.000829636 -77 *2025:55 *26556:B 2.06178e-05 -78 *2025:55 *26569:A1 0.000475589 -79 *2025:55 *26569:B1 0.000638977 -80 *2025:55 *26580:C1 2.22043e-05 -81 *2025:55 *2054:38 3.06384e-05 -82 *2025:55 *4364:92 5.00194e-05 -83 *2025:84 *26558:A2 0.000544166 -84 *2025:84 *2029:15 0.000139496 -85 *2025:84 *2240:42 0.00074522 -86 *2025:86 *2029:15 0.000136388 -87 *2025:86 *2240:42 9.21418e-06 -88 *2025:88 *2029:15 9.88871e-05 -89 *2025:88 *2240:42 8.76561e-05 -90 *2025:88 *2598:8 6.22145e-05 -91 *2025:114 *26483:B1 2.28598e-05 -92 *2025:114 *26562:A3 8.27403e-05 -93 *2025:114 *2069:21 0.000137983 -94 *2025:114 *2144:95 2.32625e-05 -95 *2025:114 *2147:136 0.000181803 -96 *2025:114 *2187:73 5.55317e-05 -97 *2025:114 *2240:42 0.000471236 -98 *2025:114 *2260:33 6.58794e-05 -99 *2025:114 *2620:13 3.14078e-05 -100 *25987:A *26458:A3 0.000140403 -101 *25987:C *26458:A3 0.000122591 -102 *26049:A *2025:114 3.2006e-05 -103 *26049:B *2025:114 0.000933012 -104 *26052:B *26458:A3 3.21199e-05 -105 *26055:B *2025:6 0 -106 *26109:B *26659:B 0.000431845 -107 *26143:A1 *2025:39 0.000177283 -108 *26148:B *2025:47 0 -109 *26155:A1 *2025:12 4.40724e-05 -110 *26458:A1 *26458:A3 3.53079e-05 -111 *26458:A2 *26458:A3 8.78375e-05 -112 *26554:A *26341:B 8.14438e-05 -113 *26565:A2 *26147:B 0.000162125 -114 *26565:A2 *26150:B 0.000220448 -115 *26573:A1 *26458:A3 4.46186e-06 -116 *26573:A3 *26458:A3 7.83659e-05 -117 *26581:A *26659:B 0.000353695 -118 *26581:A *2025:86 0.000107416 -119 *26581:A *2025:88 7.79395e-05 -120 *26682:A1 *26582:A2 0.000549576 -121 *26682:A2 *26659:B 0.000136958 -122 *1188:18 *2025:12 0.000132324 -123 *1862:22 *26130:B 0.000735686 -124 *1902:36 *2025:114 5.33882e-05 -125 *1907:22 *26458:A3 9.66176e-05 -126 *1914:12 *2025:114 0 -127 *1927:15 *26458:A3 0.000178847 -128 *1929:8 *26458:A3 0.000388038 -129 *1930:17 *2025:39 0.000204318 -130 *1930:17 *2025:44 0.000855253 -131 *1930:17 *2025:47 0 -132 *1930:17 *2025:55 0 -133 *1930:25 *26340:B 5.71472e-05 -134 *1934:22 *2025:114 6.87574e-05 -135 *1944:116 *26130:B 2.68801e-05 -136 *1985:40 *2025:6 0 -137 *1986:35 *26130:B 8.79776e-05 -138 *1997:11 *2025:6 0.00028371 -139 *1997:11 *2025:12 1.97381e-05 -140 *1997:11 *2025:39 0.00025663 -141 *2022:129 *2025:55 0.000505856 -*RES -1 *26083:Y *2025:6 27.8893 -2 *2025:6 *2025:12 15.9643 -3 *2025:12 *26341:B 33.8179 -4 *2025:12 *26340:B 14.3357 -5 *2025:6 *2025:39 15.7143 -6 *2025:39 *2025:44 16.4554 -7 *2025:44 *2025:47 6.84413 -8 *2025:47 *2025:55 10.6802 -9 *2025:55 *26458:A3 37.3536 -10 *2025:55 *26580:A2 11.0857 -11 *2025:47 *2025:84 19.4725 -12 *2025:84 *2025:86 1.94643 -13 *2025:86 *2025:88 3.76786 -14 *2025:88 *26130:B 30.6214 -15 *2025:88 *2025:114 48.5714 -16 *2025:114 *26482:A2 9.3 -17 *2025:86 *26582:A2 25.175 -18 *2025:84 *26659:B 21.1929 -19 *2025:44 *26473:A2 29.2554 -20 *2025:39 *2025:155 4.5 -21 *2025:155 *26150:B 16.6571 -22 *2025:155 *26147:B 17.4071 -*END - -*D_NET *2026 0.0167002 -*CONN -*I *26759:C1 I *D sky130_fd_sc_hd__o2111ai_1 -*I *26128:C I *D sky130_fd_sc_hd__and3_1 -*I *26466:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26484:A I *D sky130_fd_sc_hd__nand4_1 -*I *26084:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26759:C1 0.000255191 -2 *26128:C 0.000221964 -3 *26466:B1 0.000545341 -4 *26484:A 0 -5 *26084:Y 0 -6 *2026:19 0.000651959 -7 *2026:16 0.00094385 -8 *2026:10 0.00205681 -9 *2026:7 0.00157168 -10 *2026:4 0.000385324 -11 *26128:C *2033:40 0.000291654 -12 *26128:C *2250:82 0.000301863 -13 *26466:B1 *26466:A2 2.89114e-05 -14 *26466:B1 *26475:A2 0.000186771 -15 *26466:B1 *26477:A1 4.1879e-05 -16 *26466:B1 *2043:143 6.81304e-05 -17 *26466:B1 *2144:95 9.58318e-06 -18 *26466:B1 *2161:189 0.000135028 -19 *26759:C1 *26767:B2 8.57023e-05 -20 *2026:7 *26767:B2 0.0001827 -21 *2026:10 *26156:A 0.000273575 -22 *2026:10 *26605:C 1.08359e-05 -23 *2026:10 *2113:65 1.94945e-05 -24 *2026:10 *2139:38 0.000189296 -25 *2026:10 *2250:64 0.000103262 -26 *2026:10 *2545:8 0.000457248 -27 *2026:10 *4189:67 0.000710988 -28 *2026:10 *5782:116 0.000910877 -29 *2026:16 *2033:40 0.000561793 -30 *2026:16 *2111:58 1.90936e-05 -31 *2026:16 *2250:64 2.22043e-05 -32 *2026:16 *2250:82 6.61033e-05 -33 *2026:19 *2043:143 0.000158902 -34 *2026:19 *2161:189 0.000196539 -35 *26049:B *26466:B1 4.08929e-05 -36 *26084:A *26759:C1 0.000187331 -37 *26432:B2 *2026:10 0.000455533 -38 *26598:A1 *2026:10 0.000184448 -39 *1902:36 *26466:B1 0.000484018 -40 *1902:41 *26466:B1 0.000207058 -41 *1937:36 *2026:10 7.36162e-05 -42 *1943:9 *2026:16 4.84667e-05 -43 *1946:173 *2026:10 0.000219657 -44 *1946:195 *2026:10 0.000625079 -45 *1952:39 *2026:10 5.18595e-05 -46 *1953:38 *2026:10 0.00152364 -47 *1953:38 *2026:16 6.28925e-06 -48 *1953:221 *2026:10 4.66511e-05 -49 *1958:19 *2026:16 0.000103262 -50 *1969:12 *2026:10 9.13962e-05 -51 *1969:12 *2026:16 0.000478586 -52 *1969:17 *2026:10 2.22043e-05 -53 *1984:12 *2026:10 0 -54 *1984:12 *2026:16 9.30603e-06 -55 *1984:16 *2026:16 4.98872e-05 -56 *1986:21 *26128:C 0.000126435 -57 *2023:21 *26466:B1 0 -*RES -1 *26084:Y *2026:4 9.3 -2 *2026:4 *2026:7 6.26786 -3 *2026:7 *2026:10 46.9911 -4 *2026:10 *2026:16 14.9732 -5 *2026:16 *2026:19 7.5 -6 *2026:19 *26484:A 9.3 -7 *2026:19 *26466:B1 31.0054 -8 *2026:16 *26128:C 19.1125 -9 *2026:4 *26759:C1 12.7107 -*END - -*D_NET *2027 0.0125742 -*CONN -*I *26152:A_N I *D sky130_fd_sc_hd__and4b_1 -*I *26490:A I *D sky130_fd_sc_hd__or4_1 -*I *26750:A I *D sky130_fd_sc_hd__or4b_1 -*I *26085:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26152:A_N 0.000108671 -2 *26490:A 0.000330518 -3 *26750:A 2.27616e-05 -4 *26085:Y 0.00150452 -5 *2027:18 0.000597522 -6 *2027:15 0.000629019 -7 *2027:13 0.00178063 -8 *26152:A_N *26121:B 6.86792e-05 -9 *26152:A_N *2054:15 0.000329617 -10 *26152:A_N *2089:20 4.30382e-05 -11 *26490:A *26151:B2 2.94103e-05 -12 *26490:A *26490:C 0.000181454 -13 *26490:A *26491:D1 3.69047e-06 -14 *26490:A *2034:30 0.000466739 -15 *26490:A *2505:11 0.00060804 -16 *26750:A *26750:B 1.63337e-05 -17 *26750:A *2034:19 2.59355e-05 -18 *26750:A *2505:11 6.05161e-06 -19 *2027:13 *26142:A 9.90431e-05 -20 *2027:13 *26149:D1 9.71197e-05 -21 *2027:13 *26569:A2 0.000145002 -22 *2027:13 *2054:15 1.38323e-05 -23 *2027:13 *2520:13 0.000536474 -24 *2027:13 *2520:18 0.00156786 -25 *2027:15 *2054:15 0.00085038 -26 *2027:15 *2089:20 3.30337e-05 -27 *2027:18 *26151:B1 5.58941e-05 -28 *2027:18 *26151:B2 7.91281e-05 -29 *2027:18 *26467:A 9.7299e-05 -30 *2027:18 *2505:11 5.66157e-05 -31 *2027:18 *2688:17 7.2252e-05 -32 *25988:B *2027:13 0 -33 *26085:B *2027:13 1.24368e-05 -34 *26092:A *2027:13 9.0145e-05 -35 *26147:B *2027:15 7.32173e-05 -36 *26149:A2 *2027:13 1.04232e-05 -37 *26149:A2 *2027:15 0.000139907 -38 *26151:A2 *2027:18 0.000173797 -39 *1929:34 *2027:13 0.000229332 -40 *1935:135 *2027:13 1.95984e-05 -41 *1935:156 *2027:13 0.000135028 -42 *1986:125 *2027:15 2.03587e-05 -43 *1986:136 *2027:15 4.72917e-05 -44 *2022:112 *2027:15 3.82242e-05 -45 *2022:129 *2027:13 0.00111755 -46 *2022:129 *2027:15 1.02936e-05 -*RES -1 *26085:Y *2027:13 47.2821 -2 *2027:13 *2027:15 8.33929 -3 *2027:15 *2027:18 10.0893 -4 *2027:18 *26750:A 14.3357 -5 *2027:18 *26490:A 25.2464 -6 *2027:15 *26152:A_N 12.7286 -*END - -*D_NET *2028 0.00904311 -*CONN -*I *26561:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *26579:A1 I *D sky130_fd_sc_hd__a21oi_1 -*I *26087:C_N I *D sky130_fd_sc_hd__nor3b_4 -*I *26086:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26561:A1 0.000189041 -2 *26579:A1 0.000295614 -3 *26087:C_N 0.000169039 -4 *26086:Y 0.000783796 -5 *2028:17 0.00132162 -6 *2028:5 0.0017898 -7 *26087:C_N *26450:A1 5.52238e-05 -8 *26087:C_N *2066:9 0.000428224 -9 *26561:A1 *26561:A2 2.58821e-05 -10 *26561:A1 *26561:B1 9.90431e-05 -11 *26579:A1 *2034:16 2.06112e-05 -12 *26579:A1 *4508:294 0.000758671 -13 *26043:C *26579:A1 1.08359e-05 -14 *1187:7 *26561:A1 8.46829e-05 -15 *1187:7 *2028:5 0.00106253 -16 *1187:7 *2028:17 0.00119141 -17 *1187:12 *26579:A1 0.00037114 -18 *1917:8 *26087:C_N 7.38652e-05 -19 *1935:156 *26087:C_N 2.59355e-05 -20 *1959:19 *26087:C_N 0.000286135 -*RES -1 *26086:Y *2028:5 19.6929 -2 *2028:5 *26087:C_N 24.425 -3 *2028:5 *2028:17 11.625 -4 *2028:17 *26579:A1 28.1393 -5 *2028:17 *26561:A1 11.8893 -*END - -*D_NET *2029 0.0148405 -*CONN -*I *26111:B I *D sky130_fd_sc_hd__nand2_2 -*I *31013:A I *D sky130_fd_sc_hd__buf_2 -*I *26577:B1 I *D sky130_fd_sc_hd__o21a_1 -*I *26682:B1 I *D sky130_fd_sc_hd__o21a_1 -*I *26470:D I *D sky130_fd_sc_hd__and4_1 -*I *26087:Y O *D sky130_fd_sc_hd__nor3b_4 -*CAP -1 *26111:B 2.13113e-05 -2 *31013:A 0.000145667 -3 *26577:B1 0 -4 *26682:B1 6.18662e-05 -5 *26470:D 0.000249094 -6 *26087:Y 0.000953945 -7 *2029:50 0.00152911 -8 *2029:39 0.00226307 -9 *2029:15 0.000596039 -10 *2029:9 0.00209734 -11 *26470:D *2159:118 5.33005e-05 -12 *26470:D *2621:14 0.000177815 -13 *26682:B1 *2621:14 9.60875e-05 -14 *31013:A *26154:B 9.46929e-05 -15 *31013:A *2037:9 0.000176592 -16 *2029:9 *26456:B 6.3521e-05 -17 *2029:9 *26463:B 0.000166874 -18 *2029:9 *2039:40 0.000149904 -19 *2029:9 *2068:16 9.75679e-05 -20 *2029:9 *2240:42 0 -21 *2029:9 *2514:10 0.000321893 -22 *2029:15 *26558:A2 0.000388576 -23 *2029:15 *2498:8 0.000221628 -24 *2029:15 *2598:8 1.08359e-05 -25 *2029:50 *26690:C 0.000258216 -26 *2029:50 *26787:A 0.000382821 -27 *2029:50 *2037:9 1.00887e-05 -28 *2029:50 *2040:28 0.000116905 -29 *2029:50 *2053:7 9.41642e-05 -30 *2029:50 *2517:6 4.75888e-05 -31 *26111:A *2029:50 2.59355e-05 -32 *26470:C *26470:D 5.52302e-05 -33 *26555:A *2029:9 0.000779598 -34 *26555:B *2029:9 0.000778861 -35 *26682:A1 *26682:B1 7.02611e-05 -36 *26682:A1 *2029:15 6.41063e-05 -37 *1187:14 *26470:D 9.91086e-05 -38 *1188:40 *2029:50 7.58841e-05 -39 *1931:51 *2029:39 0.000569162 -40 *1931:51 *2029:50 0.000705145 -41 *1950:22 *26470:D 9.91086e-05 -42 *1952:8 *2029:39 5.33005e-05 -43 *1997:31 *31013:A 6.06292e-05 -44 *1997:31 *2029:50 2.3942e-05 -45 *2024:25 *2029:9 5.62846e-05 -46 *2024:25 *2029:39 0.000102631 -47 *2025:84 *2029:15 0.000139496 -48 *2025:86 *2029:15 0.000136388 -49 *2025:88 *2029:15 9.88871e-05 -*RES -1 *26087:Y *2029:9 42.9786 -2 *2029:9 *2029:15 16.5714 -3 *2029:15 *26470:D 22.7821 -4 *2029:15 *26682:B1 11.0857 -5 *2029:9 *2029:39 14.0893 -6 *2029:39 *2029:50 28.4821 -7 *2029:50 *26577:B1 13.8 -8 *2029:50 *31013:A 17.8179 -9 *2029:39 *26111:B 9.72857 -*END - -*D_NET *2030 0.000703976 -*CONN -*I *26155:A3 I *D sky130_fd_sc_hd__o311a_1 -*I *26088:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *26155:A3 0.000248064 -2 *26088:Y 0.000248064 -3 *26155:A3 *26160:A3 7.58841e-05 -4 *26155:A1 *26155:A3 5.54817e-06 -5 *1935:193 *26155:A3 0.000126416 -*RES -1 *26088:Y *26155:A3 31.4214 -*END - -*D_NET *2031 0.0556953 -*CONN -*I *26476:A2 I *D sky130_fd_sc_hd__a31o_1 -*I *26545:A2 I *D sky130_fd_sc_hd__a31o_1 -*I *26308:A I *D sky130_fd_sc_hd__nand2_2 -*I *26298:B I *D sky130_fd_sc_hd__nand2_8 -*I *26491:A1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26089:X O *D sky130_fd_sc_hd__and2_4 -*CAP -1 *26476:A2 0.000848332 -2 *26545:A2 0.000690348 -3 *26308:A 0.00061425 -4 *26298:B 0.000571181 -5 *26491:A1 0.000365995 -6 *26089:X 0.000347994 -7 *2031:86 0.00214039 -8 *2031:81 0.00249153 -9 *2031:77 0.00206511 -10 *2031:73 0.00201072 -11 *2031:68 0.00223633 -12 *2031:38 0.00277805 -13 *2031:35 0.0017559 -14 *2031:7 0.00174634 -15 *26298:B *26335:A3 0.000136951 -16 *26298:B *2157:25 0.000122778 -17 *26298:B *2227:85 4.27338e-05 -18 *26298:B *4541:17 5.01516e-05 -19 *26298:B *6291:30 0.000293911 -20 *26308:A *2250:31 5.33005e-05 -21 *26308:A *2250:51 0.00115896 -22 *26476:A2 *26283:B 0.000213019 -23 *26476:A2 *26464:A1 0.000136951 -24 *26476:A2 *26486:B 6.57032e-05 -25 *26476:A2 *2047:113 0.00105723 -26 *26476:A2 *2051:41 5.74733e-05 -27 *26476:A2 *2069:21 3.34366e-05 -28 *26476:A2 *2161:189 0.000136958 -29 *26491:A1 *26454:A2 9.91086e-05 -30 *26491:A1 *26750:B 5.7616e-05 -31 *26491:A1 *2040:17 5.52302e-05 -32 *26491:A1 *2040:24 2.8266e-06 -33 *26491:A1 *2395:20 0.000918106 -34 *26545:A2 *26545:A3 0.000147465 -35 *26545:A2 *26603:D 0.00046113 -36 *26545:A2 *26743:C 0.000898679 -37 *26545:A2 *2187:141 5.52662e-05 -38 *26545:A2 *2634:17 2.09897e-05 -39 *26545:A2 *2662:14 0.000742127 -40 *2031:7 *26454:A2 1.58163e-05 -41 *2031:35 *26314:B 8.77613e-05 -42 *2031:35 *26454:A2 8.15095e-05 -43 *2031:35 *26454:B1 4.72917e-05 -44 *2031:35 *26474:A 0.000318101 -45 *2031:35 *26487:A 0.000268396 -46 *2031:35 *26564:A 0 -47 *2031:35 *26679:A 0.000437756 -48 *2031:35 *26679:C 3.03304e-05 -49 *2031:35 *2258:41 0.000577016 -50 *2031:35 *2397:16 0.00308026 -51 *2031:35 *4353:183 2.4134e-05 -52 *2031:38 *2157:25 0.000279232 -53 *2031:68 *26556:B 1.37292e-05 -54 *2031:68 *26569:B1 3.57844e-05 -55 *2031:68 *26580:B1 0.00021628 -56 *2031:68 *26580:C1 0.000516519 -57 *2031:68 *2496:8 4.26565e-05 -58 *2031:68 *2497:14 0.000164341 -59 *2031:68 *2500:26 2.56694e-05 -60 *2031:68 *2508:6 6.09572e-05 -61 *2031:68 *2512:20 6.52098e-05 -62 *2031:68 *4308:8 0.000518092 -63 *2031:68 *4319:8 0.000245957 -64 *2031:68 *4364:92 3.47985e-06 -65 *2031:68 *6291:186 7.85356e-05 -66 *2031:73 *26480:C1 0.00035856 -67 *2031:73 *2038:104 0.000114416 -68 *2031:73 *2147:136 7.90803e-05 -69 *2031:73 *2279:13 0.000253777 -70 *2031:73 *2398:8 2.02794e-05 -71 *2031:77 *2279:13 0.00033218 -72 *2031:77 *2401:34 0 -73 *2031:81 *26662:A3 0.00063344 -74 *2031:81 *26741:B1 0.000194484 -75 *2031:81 *26742:D1 0.00141486 -76 *2031:81 *2098:31 2.1605e-05 -77 *2031:81 *2104:34 0.000267607 -78 *2031:81 *2104:36 9.92871e-05 -79 *2031:81 *2104:44 0.000430568 -80 *2031:81 *2139:11 0.000123295 -81 *2031:81 *2250:64 0 -82 *2031:81 *4552:135 0 -83 *2031:81 *4552:162 0 -84 *2031:86 *26603:D 1.08359e-05 -85 *2031:86 *2046:154 0.000266099 -86 *2031:86 *2098:31 0.000344099 -87 *2031:86 *2098:35 0.00113075 -88 *2031:86 *2104:44 0.00034293 -89 *2031:86 *2250:51 0.001478 -90 *2031:86 *2536:20 8.26785e-05 -91 *2031:86 *2536:25 0.000534681 -92 *25970:A *26298:B 0.00020546 -93 *25970:A *2031:38 0.000747901 -94 *25970:B *26298:B 0.00012191 -95 *25970:B *2031:38 0.000262961 -96 *26026:C *2031:77 0.000176226 -97 *26045:B *2031:81 0.000218741 -98 *26055:B *26491:A1 0.000148897 -99 *26089:A *2031:7 7.61932e-05 -100 *26125:A2 *2031:68 9.91086e-05 -101 *26128:A *2031:73 0.000139354 -102 *26225:D1 *2031:81 0.000766418 -103 *26545:A1 *26545:A2 3.57366e-05 -104 *26681:A1 *2031:73 0.000515517 -105 *30593:A *26298:B 4.00349e-05 -106 *30598:A *2031:68 4.43698e-05 -107 *1862:28 *26545:A2 1.81805e-05 -108 *1862:28 *2031:77 0 -109 *1902:59 *2031:77 0.000112921 -110 *1902:59 *2031:81 0.0001648 -111 *1908:30 *2031:73 0.000440225 -112 *1908:35 *2031:77 6.82922e-05 -113 *1908:44 *2031:77 7.18426e-05 -114 *1908:44 *2031:81 0.000296626 -115 *1908:46 *2031:81 6.67881e-05 -116 *1908:51 *2031:81 0.000260361 -117 *1908:73 *2031:81 2.87435e-05 -118 *1911:19 *26298:B 0.000357065 -119 *1912:17 *2031:38 9.46221e-05 -120 *1914:8 *2031:68 4.28113e-05 -121 *1914:12 *2031:68 6.45077e-05 -122 *1914:12 *2031:73 0.000163238 -123 *1914:12 *2031:77 2.15363e-05 -124 *1928:14 *2031:68 0.000148359 -125 *1929:8 *2031:68 0.000145625 -126 *1929:12 *2031:68 0.000353521 -127 *1935:156 *2031:68 0.000112573 -128 *1935:169 *2031:35 9.60762e-05 -129 *1935:182 *2031:7 8.70252e-05 -130 *1935:182 *2031:35 0.00010946 -131 *1944:23 *26476:A2 0.000490573 -132 *1944:116 *26476:A2 0.000251673 -133 *1950:22 *26476:A2 2.79421e-05 -134 *1953:24 *2031:68 0.000117982 -135 *1953:24 *2031:73 0.000310016 -136 *1953:24 *2031:77 0.000177643 -137 *1955:19 *26298:B 0.0007361 -138 *1955:19 *2031:38 0.000596211 -139 *1955:31 *26298:B 9.51794e-05 -140 *1959:31 *2031:68 3.06406e-05 -141 *1959:130 *2031:68 2.26327e-05 -142 *1959:130 *2031:73 5.23533e-05 -143 *1973:8 *2031:81 0.000517091 -144 *1985:29 *2031:35 0.000852649 -145 *1985:40 *26491:A1 0.000152562 -146 *1986:35 *26476:A2 8.55871e-05 -147 *1989:44 *26545:A2 0.00076217 -148 *1991:8 *2031:73 4.33438e-05 -149 *1997:11 *26491:A1 0 -*RES -1 *26089:X *2031:7 18.4429 -2 *2031:7 *26491:A1 26.6036 -3 *2031:7 *2031:35 47.7054 -4 *2031:35 *2031:38 5.90727 -5 *2031:38 *26298:B 21.6409 -6 *2031:38 *2031:68 41.5503 -7 *2031:68 *2031:73 20.9732 -8 *2031:73 *2031:77 10.8304 -9 *2031:77 *2031:81 49.2143 -10 *2031:81 *2031:86 34.4911 -11 *2031:86 *26308:A 21.3357 -12 *2031:86 *26545:A2 42.5857 -13 *2031:73 *26476:A2 43.5679 -*END - -*D_NET *2032 0.0917145 -*CONN -*I *26454:A2 I *D sky130_fd_sc_hd__a21oi_1 -*I *26456:B I *D sky130_fd_sc_hd__nor3_1 -*I *26093:B I *D sky130_fd_sc_hd__or2_1 -*I *26457:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *26582:B1 I *D sky130_fd_sc_hd__o221a_1 -*I *26117:B I *D sky130_fd_sc_hd__or2_1 -*I *26469:A2 I *D sky130_fd_sc_hd__a21oi_1 -*I *26451:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26279:A I *D sky130_fd_sc_hd__nor2_2 -*I *26541:A1 I *D sky130_fd_sc_hd__o21bai_1 -*I *26532:A1 I *D sky130_fd_sc_hd__o22ai_1 -*I *26305:A1 I *D sky130_fd_sc_hd__o22a_1 -*I *26276:A I *D sky130_fd_sc_hd__or2_1 -*I *26318:A I *D sky130_fd_sc_hd__or2_1 -*I *26334:A1 I *D sky130_fd_sc_hd__o221a_1 -*I *26317:B I *D sky130_fd_sc_hd__or3_1 -*I *26277:B I *D sky130_fd_sc_hd__or3_1 -*I *26458:B1 I *D sky130_fd_sc_hd__o32a_1 -*I *26151:B1 I *D sky130_fd_sc_hd__o221a_1 -*I *26472:A1 I *D sky130_fd_sc_hd__o21bai_1 -*I *26090:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *26454:A2 0.000145242 -2 *26456:B 0.000698585 -3 *26093:B 0 -4 *26457:A2 0 -5 *26582:B1 2.25053e-05 -6 *26117:B 0.00010807 -7 *26469:A2 0.00010648 -8 *26451:A1 8.75774e-05 -9 *26279:A 0.000127758 -10 *26541:A1 0.000207868 -11 *26532:A1 0.000142898 -12 *26305:A1 0.000432206 -13 *26276:A 2.23854e-05 -14 *26318:A 3.04028e-05 -15 *26334:A1 0 -16 *26317:B 0 -17 *26277:B 0 -18 *26458:B1 0.000480095 -19 *26151:B1 0.000212037 -20 *26472:A1 0 -21 *26090:Y 8.40498e-05 -22 *2032:307 0.000730286 -23 *2032:277 0.0017765 -24 *2032:263 0.00238568 -25 *2032:255 0.00219713 -26 *2032:220 0.00073935 -27 *2032:203 0.00137898 -28 *2032:185 0.00147698 -29 *2032:170 0.00282797 -30 *2032:169 0.00262251 -31 *2032:150 0.00115502 -32 *2032:127 0.000799469 -33 *2032:109 0.00113092 -34 *2032:100 0.00140743 -35 *2032:89 0.00217513 -36 *2032:81 0.00131774 -37 *2032:77 0.000911867 -38 *2032:76 0.00218867 -39 *2032:67 0.000887637 -40 *2032:43 0.00148501 -41 *2032:35 0.00244761 -42 *2032:19 0.00244203 -43 *2032:10 0.000663633 -44 *2032:5 0.000582711 -45 *26117:B *2062:44 0.000175892 -46 *26151:B1 *26151:B2 3.34295e-05 -47 *26151:B1 *2056:53 6.44501e-05 -48 *26151:B1 *2687:10 0.000133405 -49 *26151:B1 *2688:17 1.41029e-05 -50 *26151:B1 *5914:90 0.000558443 -51 *26279:A *2161:11 9.41642e-05 -52 *26279:A *2161:29 0.000118145 -53 *26305:A1 *26708:B2 0.000113906 -54 *26318:A *26319:C1 2.59355e-05 -55 *26318:A *26680:A2 7.4048e-05 -56 *26318:A *4353:48 1.90936e-05 -57 *26451:A1 *26451:B1 5.33005e-05 -58 *26451:A1 *2083:6 0.000190554 -59 *26451:A1 *2136:158 5.52238e-05 -60 *26451:A1 *5545:21 0.000193991 -61 *26454:A2 *26090:B 0 -62 *26454:A2 *2395:20 3.69047e-06 -63 *26456:B *26456:C 0 -64 *26456:B *2033:12 0 -65 *26456:B *2034:16 4.19624e-06 -66 *26456:B *2039:40 0.000153407 -67 *26456:B *2240:29 1.78465e-05 -68 *26456:B *2514:10 0.000119574 -69 *26456:B *4508:285 9.90367e-05 -70 *26458:B1 *26052:A 0.000167449 -71 *26458:B1 *2142:189 6.17358e-05 -72 *26469:A2 *2063:14 1.37292e-05 -73 *26469:A2 *5615:21 0.000148196 -74 *26532:A1 *26532:A2 0.000132879 -75 *26541:A1 *26532:A2 1.39726e-05 -76 *26541:A1 *26541:B1_N 2.47753e-05 -77 *26541:A1 *2142:103 5.38437e-05 -78 *26541:A1 *2194:52 0.00038214 -79 *26582:B1 *2621:14 5.33005e-05 -80 *2032:5 *6192:13 0.000318107 -81 *2032:10 *26489:A 8.17615e-05 -82 *2032:10 *2056:53 0.000229443 -83 *2032:10 *6192:13 0.00116003 -84 *2032:19 *26489:A 0.000114121 -85 *2032:19 *2056:53 0.000413234 -86 *2032:19 *2687:10 0.000542051 -87 *2032:19 *2723:13 1.40849e-05 -88 *2032:35 *2054:38 0.000764462 -89 *2032:35 *2217:77 0.00120882 -90 *2032:35 *2427:21 0 -91 *2032:43 *26680:B1 1.90936e-05 -92 *2032:43 *2033:19 5.52302e-05 -93 *2032:43 *2054:38 9.67344e-05 -94 *2032:43 *2142:189 0.00047594 -95 *2032:43 *2217:77 0.000649447 -96 *2032:67 *2250:82 6.26276e-05 -97 *2032:76 *26562:A3 1.18064e-05 -98 *2032:76 *26789:C 4.53462e-05 -99 *2032:76 *2154:122 0.000197139 -100 *2032:76 *2500:26 0.000288209 -101 *2032:76 *2500:28 2.68929e-05 -102 *2032:76 *6291:54 6.5788e-05 -103 *2032:76 *6291:56 0.000172431 -104 *2032:76 *6291:58 0.000333064 -105 *2032:81 *26461:A1 9.71197e-05 -106 *2032:89 *26276:B 3.97677e-05 -107 *2032:89 *26461:A1 8.25016e-05 -108 *2032:89 *26680:A2 0.00121927 -109 *2032:89 *2046:10 0.000345803 -110 *2032:89 *2176:112 0.000347511 -111 *2032:89 *4508:107 0.000128307 -112 *2032:89 *4530:46 0.00018077 -113 *2032:89 *5782:20 7.50003e-05 -114 *2032:100 *26277:A 0.000122765 -115 *2032:100 *26322:C 1.31516e-05 -116 *2032:100 *2038:30 0.000248108 -117 *2032:100 *2147:24 0.000255744 -118 *2032:100 *2226:31 8.96293e-05 -119 *2032:100 *4353:48 4.03528e-05 -120 *2032:109 *26322:C 4.43046e-05 -121 *2032:127 *26323:D 0 -122 *2032:127 *26334:A2 5.20751e-05 -123 *2032:127 *26334:C1 1.21859e-05 -124 *2032:127 *2204:28 0.00137971 -125 *2032:127 *2220:33 9.33673e-06 -126 *2032:127 *2228:34 0.000525377 -127 *2032:127 *2228:46 0.000589813 -128 *2032:127 *2240:98 0.000112985 -129 *2032:127 *5722:16 6.8445e-06 -130 *2032:127 *6180:10 1.08359e-05 -131 *2032:127 *6180:11 0.000216755 -132 *2032:127 *6203:11 0.000346482 -133 *2032:150 *2187:22 0.000672626 -134 *2032:150 *2226:84 0.00073977 -135 *2032:150 *4353:68 0.000133813 -136 *2032:169 *26164:C 5.05056e-05 -137 *2032:169 *2103:11 1.90936e-05 -138 *2032:169 *4353:68 0.000340393 -139 *2032:169 *4508:116 0.000125975 -140 *2032:169 *4541:58 5.01138e-05 -141 *2032:169 *6291:80 0.000650936 -142 *2032:170 *26045:A 0.000564592 -143 *2032:170 *26178:B1_N 0.000236268 -144 *2032:170 *26186:A 4.81698e-05 -145 *2032:170 *26195:B1 0.00017309 -146 *2032:170 *26403:B1 7.83659e-05 -147 *2032:170 *26426:B 0.000247877 -148 *2032:170 *26433:B 0.000192062 -149 *2032:170 *2046:154 6.24939e-05 -150 *2032:170 *2099:49 0.00124266 -151 *2032:170 *2116:8 9.18765e-06 -152 *2032:170 *2120:21 1.90936e-05 -153 *2032:170 *2123:8 0.000115396 -154 *2032:170 *2128:23 1.53472e-05 -155 *2032:170 *2128:32 0.000236523 -156 *2032:170 *2133:135 0.00148311 -157 *2032:170 *2141:106 0.000232406 -158 *2032:170 *2187:109 2.77721e-05 -159 *2032:170 *2485:24 0.000689924 -160 *2032:170 *4508:144 0.000625989 -161 *2032:170 *4530:72 4.11173e-05 -162 *2032:170 *5664:20 0.000150668 -163 *2032:170 *5664:33 0.000142797 -164 *2032:185 *26193:A 9.95308e-05 -165 *2032:185 *26600:A2 0.000289617 -166 *2032:185 *26640:A 0.000150632 -167 *2032:185 *26734:C 0.000247771 -168 *2032:185 *26802:A 9.99644e-06 -169 *2032:185 *2127:45 5.51918e-05 -170 *2032:185 *2128:41 0.00105595 -171 *2032:185 *2155:106 0.00022111 -172 *2032:185 *2157:84 5.41797e-06 -173 *2032:185 *2157:92 0.00166342 -174 *2032:185 *2196:14 0.000463028 -175 *2032:185 *2636:28 2.49484e-05 -176 *2032:185 *4508:158 0.000165435 -177 *2032:203 *26701:A1 5.69617e-05 -178 *2032:203 *26708:B2 2.76499e-05 -179 *2032:203 *26709:B1 6.81083e-05 -180 *2032:203 *26709:D1 0.000470832 -181 *2032:203 *26733:C 8.99513e-05 -182 *2032:203 *2145:81 1.03904e-05 -183 *2032:203 *2161:82 0.000115139 -184 *2032:203 *2196:14 0.000516612 -185 *2032:203 *2639:34 0.000139854 -186 *2032:203 *2639:36 0.000377579 -187 *2032:203 *2647:8 0.000268584 -188 *2032:220 *26263:A 0.00022266 -189 *2032:220 *26533:A 7.92879e-05 -190 *2032:220 *26539:C 3.85639e-05 -191 *2032:220 *26548:A 4.038e-06 -192 *2032:220 *26708:B2 2.56723e-05 -193 *2032:220 *2159:33 5.55449e-05 -194 *2032:220 *2159:42 0.000872394 -195 *2032:220 *2196:14 0.000692204 -196 *2032:220 *2587:79 6.05161e-06 -197 *2032:255 *26562:A3 2.04825e-05 -198 *2032:255 *26581:B 0.00220577 -199 *2032:255 *2078:11 4.38265e-05 -200 *2032:255 *2154:122 9.60875e-05 -201 *2032:263 *2078:11 0.000682616 -202 *2032:263 *2083:6 0.00027338 -203 *2032:263 *2427:21 0.000155308 -204 *2032:263 *5545:11 5.33005e-05 -205 *2032:263 *5545:21 0.000242372 -206 *2032:277 *26296:A 9.58126e-05 -207 *2032:277 *2525:13 0.000441377 -208 *2032:277 *2628:8 0.000301438 -209 *2032:307 *2514:10 4.15592e-05 -210 *26019:A *2032:67 0.000136958 -211 *26049:A *2032:255 4.19624e-06 -212 *26122:A1 *26458:B1 8.27532e-05 -213 *26151:A1 *26151:B1 0.000535102 -214 *26305:B2 *26305:A1 3.41517e-06 -215 *26334:B2 *2032:109 9.09345e-05 -216 *26334:B2 *2032:127 0.000360525 -217 *26403:A1 *2032:170 0.00038101 -218 *26405:A *2032:150 0.000158839 -219 *26405:A *2032:169 0.000232437 -220 *26456:A *26456:B 9.71197e-05 -221 *26457:A1 *2032:67 0 -222 *26457:A1 *2032:76 1.04232e-05 -223 *26458:A1 *26458:B1 9.80173e-05 -224 *26458:A3 *26458:B1 0.000258111 -225 *26478:B1 *2032:77 0.000259549 -226 *26478:B1 *2032:255 0.000128496 -227 *26480:A2 *2032:67 0.000109588 -228 *26482:A1 *2032:150 0 -229 *26491:A1 *26454:A2 9.91086e-05 -230 *26568:B *26458:B1 0.000167436 -231 *26582:A2 *26582:B1 1.58163e-05 -232 *373:44 *2032:170 0.000571917 -233 *1186:40 *2032:169 0.000112802 -234 *1771:43 *2032:76 9.11365e-06 -235 *1902:13 *26456:B 0.000231976 -236 *1907:22 *26458:B1 0.000209931 -237 *1914:12 *2032:67 0.000864069 -238 *1925:8 *2032:150 1.69115e-05 -239 *1929:8 *2032:67 4.97121e-06 -240 *1929:12 *2032:67 0.000117582 -241 *1932:63 *2032:170 1.87097e-05 -242 *1935:72 *2032:170 0.000166503 -243 *1935:72 *2032:185 5.52302e-05 -244 *1935:122 *2032:109 4.34579e-05 -245 *1935:156 *2032:67 0.000333493 -246 *1935:182 *26454:A2 9.60875e-05 -247 *1942:106 *2032:127 1.00375e-05 -248 *1942:115 *2032:127 7.89825e-05 -249 *1945:47 *2032:169 0.000133769 -250 *1958:8 *2032:43 9.87983e-06 -251 *1959:130 *2032:67 7.50601e-05 -252 *1960:20 *2032:67 0.000116744 -253 *1960:20 *2032:76 1.02821e-05 -254 *1960:24 *2032:76 5.33433e-05 -255 *1963:8 *2032:150 4.99069e-05 -256 *1963:8 *2032:169 0.000781319 -257 *1963:8 *2032:170 0.00159573 -258 *1966:109 *2032:255 0.000320315 -259 *1985:29 *2032:10 0.000309266 -260 *1985:40 *2032:5 8.57023e-05 -261 *1985:40 *2032:10 0.000568168 -262 *1992:11 *26458:B1 0.000128496 -263 *1994:17 *26458:B1 0.000306317 -264 *1994:25 *26458:B1 0.000131439 -265 *1995:10 *26458:B1 0.000227526 -266 *1997:34 *26469:A2 0.000103253 -267 *2016:14 *2032:255 3.45763e-05 -268 *2016:14 *2032:263 0.00068433 -269 *2022:129 *2032:43 8.79319e-05 -270 *2024:25 *2032:255 0.000843433 -271 *2024:40 *2032:263 7.79781e-06 -272 *2025:47 *2032:35 5.52893e-05 -273 *2027:18 *26151:B1 5.58941e-05 -274 *2029:9 *26456:B 6.3521e-05 -275 *2031:7 *26454:A2 1.58163e-05 -276 *2031:35 *26454:A2 8.15095e-05 -*RES -1 *26090:Y *2032:5 12.3 -2 *2032:5 *2032:10 18.5714 -3 *2032:10 *26472:A1 13.8 -4 *2032:10 *2032:19 10.8304 -5 *2032:19 *26151:B1 30.7911 -6 *2032:19 *2032:35 8.35753 -7 *2032:35 *2032:43 13.8856 -8 *2032:43 *26458:B1 30.8536 -9 *2032:43 *2032:67 24.3393 -10 *2032:67 *2032:76 19.7143 -11 *2032:76 *2032:77 5.46429 -12 *2032:77 *2032:81 3.42857 -13 *2032:81 *2032:89 17.9844 -14 *2032:89 *2032:100 15.6258 -15 *2032:100 *26277:B 13.8 -16 *2032:100 *2032:109 5.51786 -17 *2032:109 *26317:B 13.8 -18 *2032:109 *2032:127 41.3214 -19 *2032:127 *26334:A1 9.3 -20 *2032:89 *26318:A 18.0225 -21 *2032:81 *26276:A 9.72857 -22 *2032:77 *2032:150 16.7679 -23 *2032:150 *2032:169 24.0605 -24 *2032:169 *2032:170 64.6339 -25 *2032:170 *2032:185 45.9821 -26 *2032:185 *2032:203 31.9576 -27 *2032:203 *26305:A1 15.6036 -28 *2032:203 *2032:220 23.5714 -29 *2032:220 *26532:A1 11.8893 -30 *2032:220 *26541:A1 13.9786 -31 *2032:150 *26279:A 15.9964 -32 *2032:76 *2032:255 18.1215 -33 *2032:255 *2032:263 26.0357 -34 *2032:263 *26451:A1 16.8893 -35 *2032:263 *2032:277 29.7946 -36 *2032:277 *26469:A2 20.9875 -37 *2032:277 *26117:B 11.2732 -38 *2032:255 *26582:B1 14.3357 -39 *2032:67 *26457:A2 9.3 -40 *2032:35 *2032:307 3.99036 -41 *2032:307 *26093:B 13.8 -42 *2032:307 *26456:B 28.6036 -43 *2032:5 *26454:A2 21.4964 -*END - -*D_NET *2033 0.0182646 -*CONN -*I *26092:B I *D sky130_fd_sc_hd__or3b_2 -*I *26197:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *26355:A_N I *D sky130_fd_sc_hd__and3b_1 -*I *26108:B I *D sky130_fd_sc_hd__or3b_1 -*I *26091:X O *D sky130_fd_sc_hd__or3_2 -*CAP -1 *26092:B 0 -2 *26197:A_N 2.25053e-05 -3 *26355:A_N 0.000510777 -4 *26108:B 0.000184271 -5 *26091:X 0.00102078 -6 *2033:40 0.00199949 -7 *2033:19 0.00257562 -8 *2033:12 0.00194592 -9 *26108:B *2050:11 9.41642e-05 -10 *26197:A_N *2139:11 5.33005e-05 -11 *26197:A_N *2385:10 1.58163e-05 -12 *26355:A_N *26183:B 0.000129901 -13 *26355:A_N *26444:A4 0.000125724 -14 *26355:A_N *2125:5 0.000144612 -15 *26355:A_N *2127:77 6.50354e-05 -16 *26355:A_N *2384:13 0.000127653 -17 *26355:A_N *2385:10 0.00012401 -18 *2033:12 *26016:A2 7.55769e-05 -19 *2033:12 *2034:16 9.87983e-06 -20 *2033:12 *2154:143 0.000209721 -21 *2033:12 *2397:11 0.000102545 -22 *2033:12 *2507:21 5.31122e-05 -23 *2033:19 *26680:B1 0.00040257 -24 *2033:19 *2142:189 0.00035476 -25 *2033:19 *2520:13 0.000191183 -26 *2033:40 *2065:21 1.16215e-05 -27 *2033:40 *2103:68 8.94704e-05 -28 *2033:40 *2111:58 9.87983e-06 -29 *2033:40 *2112:8 0.000110624 -30 *2033:40 *2112:12 0.00014008 -31 *2033:40 *2136:158 1.94945e-05 -32 *2033:40 *2226:84 8.16514e-05 -33 *2033:40 *2250:82 3.09687e-05 -34 *2033:40 *2385:10 7.81028e-05 -35 *2033:40 *2401:34 0.0011195 -36 *2033:40 *4364:92 0.000808411 -37 *25970:A *2033:12 8.30045e-05 -38 *26016:B1 *2033:12 0.000250421 -39 *26030:A *2033:40 1.24469e-05 -40 *26087:A *2033:12 0 -41 *26087:B *2033:12 8.29723e-05 -42 *26108:C_N *26108:B 9.86486e-06 -43 *26124:C1 *2033:12 9.8232e-05 -44 *26124:D1 *2033:12 9.18765e-06 -45 *26128:C *2033:40 0.000291654 -46 *26355:C *26355:A_N 9.80173e-05 -47 *26456:B *2033:12 0 -48 *26460:A *2033:40 0.000236821 -49 *30600:A *2033:12 0.000193046 -50 *1902:8 *2033:12 3.29781e-05 -51 *1902:13 *2033:12 0.000134322 -52 *1902:36 *2033:12 1.46624e-05 -53 *1906:20 *2033:12 0 -54 *1928:14 *2033:40 1.11958e-05 -55 *1931:45 *2033:19 0.000185699 -56 *1935:156 *2033:12 0.000285704 -57 *1942:45 *26108:B 4.58194e-05 -58 *1942:45 *2033:19 5.96516e-05 -59 *1953:24 *2033:40 0.000461615 -60 *1953:38 *2033:40 0.000489905 -61 *1957:12 *2033:12 7.9352e-05 -62 *1958:8 *2033:19 0.00118363 -63 *1958:8 *2033:40 8.12241e-05 -64 *1958:22 *2033:40 0.000154697 -65 *2022:129 *2033:19 2.26973e-05 -66 *2026:16 *2033:40 0.000561793 -67 *2032:43 *2033:19 5.52302e-05 -*RES -1 *26091:X *2033:12 40.9429 -2 *2033:12 *2033:19 30.1964 -3 *2033:19 *26108:B 11.4786 -4 *2033:19 *2033:40 46.375 -5 *2033:40 *26355:A_N 22.9964 -6 *2033:40 *26197:A_N 14.3357 -7 *2033:12 *26092:B 9.3 -*END - -*D_NET *2034 0.0122405 -*CONN -*I *26454:B1 I *D sky130_fd_sc_hd__a21oi_1 -*I *26564:A I *D sky130_fd_sc_hd__nor2_1 -*I *26151:B2 I *D sky130_fd_sc_hd__o221a_1 -*I *26092:X O *D sky130_fd_sc_hd__or3b_2 -*CAP -1 *26454:B1 4.40874e-05 -2 *26564:A 0.000156268 -3 *26151:B2 0.000111387 -4 *26092:X 0.000736039 -5 *2034:30 0.000754612 -6 *2034:19 0.00280553 -7 *2034:16 0.00287592 -8 *26151:B2 *26471:B 2.60158e-05 -9 *26151:B2 *2432:11 0 -10 *26151:B2 *2688:17 0.000125717 -11 *26454:B1 *26679:A 5.33005e-05 -12 *26564:A *26565:C1 7.88056e-05 -13 *26564:A *26679:A 3.17148e-05 -14 *26564:A *26786:A 0 -15 *26564:A *5672:5 2.89114e-05 -16 *2034:16 *2217:77 0.000937257 -17 *2034:16 *2240:29 0 -18 *2034:19 *26570:A 0.000350136 -19 *2034:19 *26570:B 3.1521e-05 -20 *2034:19 *26579:A2 7.43578e-06 -21 *2034:19 *26688:B 0.000181803 -22 *2034:19 *26750:B 2.15245e-05 -23 *2034:19 *26750:D_N 0.000206997 -24 *2034:19 *2505:11 1.65169e-05 -25 *2034:19 *2507:21 0.000459475 -26 *2034:30 *26467:B 0.00039229 -27 *2034:30 *26491:C1 0 -28 *2034:30 *26491:D1 0 -29 *2034:30 *26679:A 0.000178847 -30 *2034:30 *26679:C 6.4608e-05 -31 *2034:30 *2395:20 0 -32 *2034:30 *2397:16 0.000182868 -33 *2034:30 *2432:11 0 -34 *2034:30 *2505:11 5.0725e-05 -35 *26093:A *2034:16 0.000265453 -36 *26151:B1 *26151:B2 3.34295e-05 -37 *26456:B *2034:16 4.19624e-06 -38 *26490:A *26151:B2 2.94103e-05 -39 *26490:A *2034:30 0.000466739 -40 *26579:A1 *2034:16 2.06112e-05 -41 *26750:A *2034:19 2.59355e-05 -42 *1187:12 *2034:16 1.90936e-05 -43 *1935:169 *26454:B1 0.000148265 -44 *1935:169 *2034:30 0.00018077 -45 *2027:18 *26151:B2 7.91281e-05 -46 *2031:35 *26454:B1 4.72917e-05 -47 *2031:35 *26564:A 0 -48 *2033:12 *2034:16 9.87983e-06 -*RES -1 *26092:X *2034:16 29.7512 -2 *2034:16 *2034:19 31.3214 -3 *2034:19 *26151:B2 16.9607 -4 *2034:19 *2034:30 20.0536 -5 *2034:30 *26564:A 21.3893 -6 *2034:30 *26454:B1 11.0857 -*END - -*D_NET *2035 0.00179179 -*CONN -*I *26463:A I *D sky130_fd_sc_hd__nor2_1 -*I *26569:B2 I *D sky130_fd_sc_hd__o221a_1 -*I *26093:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26463:A 0.000167727 -2 *26569:B2 8.39083e-05 -3 *26093:X 0.000204366 -4 *2035:10 0.000456001 -5 *26463:A *26463:B 5.52302e-05 -6 *26463:A *2404:10 1.92789e-05 -7 *26463:A *2509:10 0.000310298 -8 *26463:A *5914:90 1.54142e-05 -9 *26569:B2 *26569:A2 1.59935e-05 -10 *26569:B2 *26569:C1 0 -11 *26569:B2 *5914:90 0.000184745 -12 *2035:10 *2142:189 0.000180777 -13 *2035:10 *2507:21 0 -14 *2035:10 *2514:10 9.8045e-05 -*RES -1 *26093:X *2035:10 21.4071 -2 *2035:10 *26569:B2 11.4964 -3 *2035:10 *26463:A 13.5679 -*END - -*D_NET *2036 0.0133914 -*CONN -*I *26803:D1 I *D sky130_fd_sc_hd__o2111a_1 -*I *26503:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26134:B I *D sky130_fd_sc_hd__and3_1 -*I *26094:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26803:D1 0 -2 *26503:B1 0.000692195 -3 *26134:B 0 -4 *26094:Y 0.000257488 -5 *2036:25 0.00181338 -6 *2036:17 0.00134688 -7 *2036:5 0.000483184 -8 *26503:B1 *26622:A3 0.000763978 -9 *26503:B1 *2083:31 1.33329e-05 -10 *26503:B1 *2297:32 0.000205325 -11 *26503:B1 *2383:8 0.00171818 -12 *26503:B1 *2435:6 0.000117189 -13 *26503:B1 *2435:11 0.000197991 -14 *26503:B1 *2444:8 1.92905e-05 -15 *26503:B1 *2571:11 5.95741e-05 -16 *2036:5 *2190:134 0.000348207 -17 *2036:17 *2083:6 7.90803e-05 -18 *2036:17 *2190:134 0.000255547 -19 *2036:17 *5545:21 4.7331e-05 -20 *2036:25 *26445:A 0.00012401 -21 *2036:25 *2044:18 0.000194187 -22 *2036:25 *2053:21 0.000773207 -23 *2036:25 *2083:27 0.000162418 -24 *2036:25 *2290:8 0.000398144 -25 *2036:25 *2290:10 4.66203e-05 -26 *2036:25 *2297:32 5.33005e-05 -27 *2036:25 *2595:31 9.25014e-06 -28 *2036:25 *4189:67 0.000187105 -29 *2036:25 *5007:48 1.27784e-05 -30 *26061:A *2036:25 5.59013e-05 -31 *26348:B *2036:25 2.39779e-05 -32 *26358:A *26503:B1 0.00025354 -33 *26366:B *2036:25 0.000147835 -34 *26495:A *26503:B1 5.33005e-05 -35 *26803:C1 *2036:17 0.00035013 -36 *1942:169 *2036:17 9.60875e-05 -37 *1971:45 *2036:25 0.000385301 -38 *1971:54 *2036:25 0.000332637 -39 *2003:8 *2036:25 0.000167536 -40 *2003:31 *2036:25 0.000158538 -41 *2008:11 *2036:25 7.27611e-05 -42 *2008:35 *2036:25 0.000124994 -43 *2009:20 *26503:B1 1.08359e-05 -44 *2010:16 *2036:25 0.000100823 -45 *2010:18 *2036:25 5.83304e-05 -46 *2010:22 *2036:25 0.000210162 -47 *2011:118 *2036:25 0.00022117 -48 *2011:140 *2036:25 0.000188376 -*RES -1 *26094:Y *2036:5 12.7107 -2 *2036:5 *26134:B 9.3 -3 *2036:5 *2036:17 14.8036 -4 *2036:17 *2036:25 46.875 -5 *2036:25 *26503:B1 36.925 -6 *2036:17 *26803:D1 9.3 -*END - -*D_NET *2037 0.00210124 -*CONN -*I *26099:A I *D sky130_fd_sc_hd__nand2_1 -*I *26153:A2 I *D sky130_fd_sc_hd__a21oi_1 -*I *26577:A2 I *D sky130_fd_sc_hd__o21a_1 -*I *26095:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26099:A 0.000118745 -2 *26153:A2 9.61582e-05 -3 *26577:A2 0 -4 *26095:Y 0.000179062 -5 *2037:17 0.000369556 -6 *2037:9 0.000333715 -7 *26099:A *26099:B 8.43386e-05 -8 *26099:A *26154:A 1.24368e-05 -9 *26153:A2 *26492:D 0.000239603 -10 *26153:A2 *5615:8 0.000119431 -11 *2037:9 *2040:24 0.000107357 -12 *2037:9 *2040:28 9.15842e-06 -13 *2037:9 *5782:192 4.46186e-06 -14 *2037:17 *26099:B 0.000135028 -15 *31013:A *2037:9 0.000176592 -16 *1188:40 *26099:A 1.99271e-05 -17 *1188:40 *2037:17 8.10737e-06 -18 *1950:181 *2037:9 5.49995e-05 -19 *1950:181 *2037:17 2.24804e-05 -20 *1997:31 *2037:9 0 -21 *2029:50 *2037:9 1.00887e-05 -*RES -1 *26095:Y *2037:9 22.9071 -2 *2037:9 *26577:A2 9.3 -3 *2037:9 *2037:17 2.60714 -4 *2037:17 *26153:A2 21.4607 -5 *2037:17 *26099:A 11.4964 -*END - -*D_NET *2038 0.0717105 -*CONN -*I *26335:A2 I *D sky130_fd_sc_hd__o311a_1 -*I *26275:B I *D sky130_fd_sc_hd__or2_4 -*I *26306:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *26478:A2 I *D sky130_fd_sc_hd__o31a_1 -*I *26113:C I *D sky130_fd_sc_hd__or3_1 -*I *26681:A2 I *D sky130_fd_sc_hd__o311a_1 -*I *26564:B I *D sky130_fd_sc_hd__nor2_1 -*I *26465:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26455:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26483:A1 I *D sky130_fd_sc_hd__o211a_1 -*I *26227:B I *D sky130_fd_sc_hd__nor2_2 -*I *26303:A I *D sky130_fd_sc_hd__or2_1 -*I *26566:B I *D sky130_fd_sc_hd__nand2_1 -*I *26464:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26284:B I *D sky130_fd_sc_hd__and2_2 -*I *26647:B I *D sky130_fd_sc_hd__and3_2 -*I *26537:B2 I *D sky130_fd_sc_hd__o22a_1 -*I *26524:A I *D sky130_fd_sc_hd__and2_1 -*I *26526:A1 I *D sky130_fd_sc_hd__o221a_1 -*I *26096:X O *D sky130_fd_sc_hd__or4bb_4 -*CAP -1 *26335:A2 0 -2 *26275:B 0.000462817 -3 *26306:A2 0.000148152 -4 *26478:A2 0 -5 *26113:C 0.000389141 -6 *26681:A2 0 -7 *26564:B 0.000233588 -8 *26465:A1 8.84369e-05 -9 *26455:A1 0 -10 *26483:A1 0.000284871 -11 *26227:B 0.00120598 -12 *26303:A 0 -13 *26566:B 0 -14 *26464:A1 8.71101e-05 -15 *26284:B 2.38059e-05 -16 *26647:B 0.000221739 -17 *26537:B2 3.58312e-05 -18 *26524:A 0.000138578 -19 *26526:A1 0.000170792 -20 *26096:X 0.000293954 -21 *2038:247 0.000603787 -22 *2038:203 0.000490566 -23 *2038:197 0.00112759 -24 *2038:193 0.00258363 -25 *2038:179 0.00175656 -26 *2038:140 0.00138117 -27 *2038:132 0.0012293 -28 *2038:112 0.00193664 -29 *2038:107 0.00128155 -30 *2038:104 0.000879763 -31 *2038:94 0.00106262 -32 *2038:87 0.00147652 -33 *2038:58 0.000816738 -34 *2038:34 0.000650391 -35 *2038:30 0.00358486 -36 *2038:23 0.00282217 -37 *2038:22 0.00348872 -38 *2038:12 0.00270777 -39 *2038:10 0.000969085 -40 *26113:C *2244:48 0.000338511 -41 *26113:C *4475:34 0.000466643 -42 *26227:B *26753:A1 9.28718e-05 -43 *26227:B *26753:A2 0.000314395 -44 *26227:B *26753:B1 2.14658e-05 -45 *26227:B *26790:A3 9.60939e-05 -46 *26227:B *26805:A2 9.0145e-05 -47 *26227:B *26805:B1 0.000301438 -48 *26227:B *2685:11 5.33005e-05 -49 *26227:B *2741:7 0.000135028 -50 *26227:B *5782:183 0.000761327 -51 *26275:B *26335:A1 9.83442e-05 -52 *26275:B *2217:13 4.87854e-05 -53 *26275:B *2277:7 0.000100495 -54 *26284:B *2217:38 5.49544e-05 -55 *26284:B *6169:44 7.69776e-06 -56 *26306:A2 *26323:A 7.43578e-06 -57 *26306:A2 *2144:15 0.000353901 -58 *26464:A1 *26475:A2 1.98839e-05 -59 *26464:A1 *2051:41 4.11173e-05 -60 *26465:A1 *26786:B 2.37761e-05 -61 *26465:A1 *2056:53 0.000220463 -62 *26465:A1 *2406:8 5.26277e-05 -63 *26483:A1 *26483:A2 0.00011909 -64 *26483:A1 *26483:B1 6.19758e-05 -65 *26483:A1 *26746:A1 0.000114048 -66 *26524:A *2465:7 6.05161e-06 -67 *26526:A1 *2133:51 9.4995e-05 -68 *26526:A1 *2151:15 0.000223599 -69 *26526:A1 *2244:14 0.000408215 -70 *26537:B2 *26537:B1 1.02132e-05 -71 *26564:B *26565:C1 2.89114e-05 -72 *26564:B *2396:7 0.00025216 -73 *26564:B *5672:5 0.000426091 -74 *26647:B *26190:A 0.000383603 -75 *26647:B *26537:A2 4.25176e-05 -76 *26647:B *26537:B1 2.18902e-05 -77 *26647:B *2047:90 5.49489e-05 -78 *2038:10 *26262:B 0 -79 *2038:10 *26335:B1 0.000500371 -80 *2038:10 *26335:C1 9.18513e-05 -81 *2038:10 *2204:10 0.000154823 -82 *2038:10 *4508:42 5.82051e-05 -83 *2038:12 *26323:D 0.000139653 -84 *2038:12 *26325:B1 6.26466e-05 -85 *2038:12 *26327:B1 0 -86 *2038:12 *26335:B1 2.95642e-05 -87 *2038:12 *26335:C1 5.32956e-05 -88 *2038:12 *4475:21 0 -89 *2038:12 *4519:23 0.000571154 -90 *2038:12 *4552:65 0 -91 *2038:12 *5722:20 0 -92 *2038:22 *26323:D 0.000148779 -93 *2038:22 *2235:10 0.000121565 -94 *2038:22 *4552:65 0 -95 *2038:22 *4552:79 0.000107263 -96 *2038:23 *26680:A2 1.68484e-05 -97 *2038:30 *2147:38 0.000213877 -98 *2038:30 *2155:18 0.000552651 -99 *2038:30 *2204:30 0.000555225 -100 *2038:30 *2220:54 0.000175197 -101 *2038:30 *2226:31 2.75158e-05 -102 *2038:30 *2244:13 0.000558433 -103 *2038:30 *5542:44 0.000184489 -104 *2038:30 *6147:14 0 -105 *2038:34 *2047:90 0 -106 *2038:34 *2151:15 1.17396e-05 -107 *2038:34 *2204:38 1.91871e-05 -108 *2038:34 *2244:14 1.94945e-05 -109 *2038:58 *26537:B1 2.07878e-05 -110 *2038:58 *26538:C1 4.85049e-06 -111 *2038:58 *2047:90 0.000139208 -112 *2038:58 *2147:55 2.49564e-05 -113 *2038:58 *2147:61 0.00153559 -114 *2038:58 *2226:38 0.00153388 -115 *2038:87 *26481:C 8.65881e-05 -116 *2038:87 *26680:A2 6.78222e-05 -117 *2038:87 *2131:139 3.67306e-05 -118 *2038:87 *2154:111 0.000167174 -119 *2038:87 *2154:122 9.54798e-06 -120 *2038:94 *26481:C 7.75057e-05 -121 *2038:94 *2154:122 7.9693e-05 -122 *2038:104 *26480:C1 4.58194e-05 -123 *2038:104 *26481:D 0.000133519 -124 *2038:104 *26482:C1 0.000136951 -125 *2038:104 *2154:122 0.000216755 -126 *2038:104 *2401:23 0.000307343 -127 *2038:104 *4508:107 6.74751e-05 -128 *2038:107 *2401:34 0.000542814 -129 *2038:112 *26476:A3 0.000547258 -130 *2038:112 *26477:A1 2.23086e-05 -131 *2038:112 *2401:34 0.000403112 -132 *2038:112 *2502:12 2.02078e-05 -133 *2038:132 *26476:A3 0.000346342 -134 *2038:132 *2047:119 0.000314865 -135 *2038:132 *2427:21 3.48509e-05 -136 *2038:132 *2502:12 0.000299395 -137 *2038:132 *5545:21 0.000392114 -138 *2038:140 *26303:B 5.52302e-05 -139 *2038:140 *2506:12 5.71472e-05 -140 *2038:179 *2154:122 0.000467842 -141 *2038:193 *26567:A2 9.31016e-05 -142 *2038:193 *2054:8 0 -143 *2038:193 *2083:6 0.000597696 -144 *2038:193 *5782:232 0.000161266 -145 *2038:197 *26455:A2 5.52302e-05 -146 *2038:197 *26455:B1 0 -147 *2038:197 *26749:B 0.000342488 -148 *2038:197 *2090:8 0 -149 *2038:197 *2509:10 0.000169797 -150 *2038:197 *5007:48 0.00221209 -151 *2038:197 *5782:183 5.26699e-05 -152 *2038:197 *5782:232 1.43288e-05 -153 *2038:203 *26455:A2 5.71472e-05 -154 *2038:203 *2396:7 0.000206194 -155 *2038:203 *5672:5 0.000243064 -156 *2038:247 *26335:A3 1.13883e-05 -157 *2038:247 *26335:B1 0.000144251 -158 spimemio_flash_io0_di *2038:34 6.37188e-06 -159 *26111:A *2038:193 5.61129e-05 -160 *26112:A *2038:193 0.000139473 -161 *26136:B *2038:140 0 -162 *26473:A2 *2038:197 0.000355608 -163 *26476:A2 *26464:A1 0.000136951 -164 *26478:B1 *26113:C 3.14003e-05 -165 *26478:B1 *2038:94 0.000262834 -166 *26478:B1 *2038:104 0.000408346 -167 *26478:B1 *2038:179 0.000245182 -168 *26480:A1 *2038:193 7.40049e-05 -169 *26482:A1 *2038:112 0.000312263 -170 *26566:A *2038:140 5.33005e-05 -171 *26573:A1 *26113:C 4.25523e-05 -172 *1186:15 *2038:104 0.000219711 -173 *1862:22 *26227:B 5.133e-05 -174 *1902:36 *2038:193 0.000126448 -175 *1906:20 *2038:193 0.000128578 -176 *1907:22 *26113:C 5.05175e-05 -177 *1914:12 *2038:104 0.000115934 -178 *1925:8 *26113:C 2.07819e-05 -179 *1925:8 *2038:104 3.25979e-05 -180 *1928:14 *2038:107 7.40526e-05 -181 *1931:45 *2038:94 0.000346261 -182 *1933:11 *26113:C 9.94194e-05 -183 *1935:19 *2038:30 0.000545402 -184 *1935:19 *2038:34 0.000159069 -185 *1935:19 *2038:58 6.90381e-06 -186 *1942:25 *2038:193 0.000751396 -187 *1942:35 *2038:193 0.000823721 -188 *1942:45 *2038:87 0.00034549 -189 *1942:95 *26284:B 5.65955e-05 -190 *1942:106 *26284:B 9.59532e-06 -191 *1944:43 *2038:30 0.001202 -192 *1944:43 *2038:58 0.000346477 -193 *1944:116 *26464:A1 9.41642e-05 -194 *1953:24 *2038:107 0.000290715 -195 *1953:24 *2038:112 0.000405006 -196 *1956:35 *2038:10 9.14771e-06 -197 *1956:50 *2038:30 0.000357962 -198 *1959:69 *26113:C 9.01334e-05 -199 *1959:74 *26113:C 0.000139069 -200 *1959:74 *2038:94 1.65169e-05 -201 *1959:127 *26113:C 0.000134192 -202 *1966:109 *2038:193 0.00208565 -203 *1984:29 *2038:179 0.000221641 -204 *1986:125 *2038:197 4.37368e-05 -205 *1990:81 *26464:A1 4.28249e-05 -206 *1996:25 *2038:193 0.000301459 -207 *2006:75 *26227:B 0.000763042 -208 *2006:89 *2038:193 4.11173e-05 -209 *2008:46 *2038:132 1.02504e-05 -210 *2011:140 *26227:B 5.33005e-05 -211 *2011:140 *2038:140 0.000219711 -212 *2024:40 *2038:132 0.000363175 -213 *2024:54 *26227:B 2.28598e-05 -214 *2024:54 *2038:132 0.000223564 -215 *2024:54 *2038:140 0.000130248 -216 *2025:44 *2038:197 0.000138325 -217 *2031:73 *2038:104 0.000114416 -218 *2032:100 *2038:30 0.000248108 -*RES -1 *26096:X *2038:10 21.2643 -2 *2038:10 *2038:12 12.5714 -3 *2038:12 *2038:22 14.2429 -4 *2038:22 *2038:23 0.227167 -5 *2038:23 *2038:30 13.8465 -6 *2038:30 *2038:34 4.49049 -7 *2038:34 *26526:A1 19.6214 -8 *2038:34 *26524:A 15.5679 -9 *2038:30 *2038:58 28.8961 -10 *2038:58 *26537:B2 10.0321 -11 *2038:58 *26647:B 13.9607 -12 *2038:23 *26284:B 18.0225 -13 *2038:22 *2038:87 8.56129 -14 *2038:87 *2038:94 13.4464 -15 *2038:94 *2038:104 26.9821 -16 *2038:104 *2038:107 11.6071 -17 *2038:107 *2038:112 10.6241 -18 *2038:112 *26464:A1 19.5761 -19 *2038:112 *2038:132 17.8639 -20 *2038:132 *26566:B 9.3 -21 *2038:132 *2038:140 4.25 -22 *2038:140 *26303:A 9.3 -23 *2038:140 *26227:B 43.7643 -24 *2038:107 *26483:A1 18.1929 -25 *2038:104 *2038:179 4.64286 -26 *2038:179 *2038:193 32.5867 -27 *2038:193 *2038:197 33.8482 -28 *2038:197 *26455:A1 9.3 -29 *2038:197 *2038:203 5.05357 -30 *2038:203 *26465:A1 21.1571 -31 *2038:203 *26564:B 16.8179 -32 *2038:179 *26681:A2 9.3 -33 *2038:94 *26113:C 25.175 -34 *2038:87 *26478:A2 13.8 -35 *2038:12 *26306:A2 17.2107 -36 *2038:10 *2038:247 7.10714 -37 *2038:247 *26275:B 17.2464 -38 *2038:247 *26335:A2 9.3 -*END - -*D_NET *2039 0.0127429 -*CONN -*I *26463:B I *D sky130_fd_sc_hd__nor2_1 -*I *26098:B I *D sky130_fd_sc_hd__nor2_4 -*I *26456:C I *D sky130_fd_sc_hd__nor3_1 -*I *26335:A3 I *D sky130_fd_sc_hd__o311a_1 -*I *26097:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *26463:B 0.000287495 -2 *26098:B 0 -3 *26456:C 2.30855e-05 -4 *26335:A3 0.00192295 -5 *26097:X 0.000101773 -6 *2039:40 0.000962804 -7 *2039:29 0.00111616 -8 *2039:5 0.00244249 -9 *26335:A3 *25966:B 0.000176091 -10 *26335:A3 *26326:B1 0.000303972 -11 *26335:A3 *26327:A1 3.84261e-05 -12 *26335:A3 *26335:B1 7.65142e-05 -13 *26335:A3 *2141:52 8.27532e-05 -14 *26335:A3 *2141:58 0.00015079 -15 *26335:A3 *2227:85 0.000147492 -16 *26335:A3 *2269:23 3.79877e-06 -17 *26335:A3 *4508:54 0.000273262 -18 *26335:A3 *4530:194 9.56535e-05 -19 *26335:A3 *6192:9 0.000393949 -20 *26463:B *2240:42 0.000108409 -21 *2039:5 *6192:9 0.000125547 -22 *2039:29 *25974:A 5.33005e-05 -23 *2039:29 *4275:8 2.39654e-05 -24 *2039:29 *4364:69 5.51918e-05 -25 *2039:29 *6192:9 0.00113836 -26 *2039:40 *26314:B 0 -27 *2039:40 *2240:42 0 -28 *2039:40 *4508:285 0.000272371 -29 *2039:40 *6192:9 0.000481568 -30 *26097:B *2039:29 7.43578e-06 -31 *26298:B *26335:A3 0.000136951 -32 *26456:A *2039:29 0.000106943 -33 *26456:A *2039:40 1.54142e-05 -34 *26456:B *26456:C 0 -35 *26456:B *2039:40 0.000153407 -36 *26463:A *26463:B 5.52302e-05 -37 *30599:A *2039:29 0.000135028 -38 *1914:11 *2039:29 9.0145e-05 -39 *1922:11 *2039:29 0.000137983 -40 *1926:13 *2039:29 5.15925e-05 -41 *1955:19 *26335:A3 0.0002083 -42 *1955:31 *26335:A3 0.000153745 -43 *1993:8 *26335:A3 0.000304394 -44 *2029:9 *26463:B 0.000166874 -45 *2029:9 *2039:40 0.000149904 -46 *2038:247 *26335:A3 1.13883e-05 -*RES -1 *26097:X *2039:5 10.6571 -2 *2039:5 *26335:A3 47.0143 -3 *2039:5 *2039:29 20.9464 -4 *2039:29 *26456:C 9.72857 -5 *2039:29 *2039:40 19.2857 -6 *2039:40 *26098:B 13.8 -7 *2039:40 *26463:B 19.8536 -*END - -*D_NET *2040 0.0365298 -*CONN -*I *26491:A2 I *D sky130_fd_sc_hd__a2111o_1 -*I *26099:B I *D sky130_fd_sc_hd__nand2_1 -*I *26684:A I *D sky130_fd_sc_hd__and2_1 -*I *26112:B I *D sky130_fd_sc_hd__nand2_2 -*I *26575:C I *D sky130_fd_sc_hd__and3_1 -*I *26396:A2 I *D sky130_fd_sc_hd__a211o_1 -*I *26757:A2 I *D sky130_fd_sc_hd__a211o_1 -*I *26664:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *26098:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *26491:A2 2.23854e-05 -2 *26099:B 7.72549e-05 -3 *26684:A 0 -4 *26112:B 0.000127177 -5 *26575:C 8.97251e-05 -6 *26396:A2 0.000409652 -7 *26757:A2 1.90233e-05 -8 *26664:A2 0.00093821 -9 *26098:Y 0.00258352 -10 *2040:127 0.00134554 -11 *2040:62 0.00211378 -12 *2040:50 0.00209398 -13 *2040:49 0.00153646 -14 *2040:30 0.0011747 -15 *2040:28 0.00157853 -16 *2040:24 0.00111825 -17 *2040:17 0.00336303 -18 *26112:B *26567:A2 2.21038e-05 -19 *26112:B *26684:B 9.41642e-05 -20 *26112:B *2083:6 1.31516e-05 -21 *26112:B *2506:12 0.000172368 -22 *26112:B *2522:11 4.58194e-05 -23 *26112:B *2621:14 1.98839e-05 -24 *26396:A2 *26396:B1 8.56315e-07 -25 *26396:A2 *26506:A 8.85532e-05 -26 *26396:A2 *26629:B1 0 -27 *26396:A2 *26629:C1 0 -28 *26396:A2 *2063:17 0.000105471 -29 *26396:A2 *2304:14 0.000126439 -30 *26664:A2 *26401:A2 0.0004437 -31 *26664:A2 *26758:D 5.70972e-05 -32 *26664:A2 *2061:102 1.21258e-05 -33 *26664:A2 *2483:14 0.000128146 -34 *26664:A2 *2608:26 0.000189379 -35 *26664:A2 *3553:26 0.000261235 -36 *26757:A2 *3581:76 6.91503e-06 -37 *2040:17 *26452:A1 6.49287e-05 -38 *2040:17 *26491:C1 5.52302e-05 -39 *2040:17 *26579:B1 6.90548e-05 -40 *2040:17 *2154:143 0.000139907 -41 *2040:17 *6180:11 0.00097815 -42 *2040:24 *26471:A 0 -43 *2040:24 *26492:D 0.000174591 -44 *2040:24 *26750:B 0 -45 *2040:24 *2063:14 0.000738594 -46 *2040:24 *2089:20 0 -47 *2040:24 *5782:192 0 -48 *2040:24 *6180:11 7.48301e-06 -49 *2040:28 *26153:B1 0.000200404 -50 *2040:28 *2063:14 7.07301e-05 -51 *2040:28 *2517:13 0 -52 *2040:30 *26153:B1 2.24646e-05 -53 *2040:30 *26584:B 0.000149056 -54 *2040:30 *2045:102 0.000171368 -55 *2040:49 *26576:C 0.000151422 -56 *2040:49 *26584:B 0.000101545 -57 *2040:49 *26683:A 0.000103041 -58 *2040:49 *2045:102 0.00022239 -59 *2040:49 *2169:16 0.000663769 -60 *2040:49 *2515:8 3.99646e-05 -61 *2040:49 *2526:18 0.000211991 -62 *2040:50 *2045:102 0.000949795 -63 *2040:50 *2063:14 0.000107895 -64 *2040:50 *2483:8 0.00103952 -65 *2040:50 *2526:18 0.000148853 -66 *2040:62 *26342:B 5.20359e-06 -67 *2040:62 *26449:A2 0.000103267 -68 *2040:62 *26521:A 2.14757e-05 -69 *2040:62 *26521:C 2.59355e-05 -70 *2040:62 *26521:D_N 0.000135028 -71 *2040:62 *26522:A1 0.000387622 -72 *2040:62 *26757:B1 0.00027515 -73 *2040:62 *2045:97 0.000148903 -74 *2040:62 *2063:14 2.94103e-05 -75 *2040:62 *2169:16 0.000193071 -76 *2040:62 *2223:50 0.000716144 -77 *2040:62 *2439:9 4.43256e-05 -78 *2040:62 *2483:8 0.000519201 -79 *2040:127 *26578:B 5.42853e-06 -80 *2040:127 *26584:A 1.90936e-05 -81 *2040:127 *26584:B 0.000122231 -82 *2040:127 *26684:B 2.42516e-05 -83 *2040:127 *26685:B 0.000136958 -84 *2040:127 *26686:B 2.04825e-05 -85 *2040:127 *26751:A 0.000844482 -86 *2040:127 *2621:14 1.90111e-05 -87 *2040:127 *2623:5 0.000175892 -88 *2040:127 *5673:309 6.37823e-06 -89 *26006:B *2040:49 6.77303e-05 -90 *26006:B *2040:50 0.000135841 -91 *26055:B *2040:24 6.05161e-06 -92 *26099:A *26099:B 8.43386e-05 -93 *26396:A1 *26396:A2 5.58421e-05 -94 *26452:A3 *2040:17 0.000252063 -95 *26491:A1 *2040:17 5.52302e-05 -96 *26491:A1 *2040:24 2.8266e-06 -97 *26542:B1 *2040:50 0.00019384 -98 *26576:A *2040:49 0.000686051 -99 *26757:A1 *26757:A2 4.34782e-05 -100 *26773:A2 *26664:A2 0.000759848 -101 *26773:A2 *2040:62 0.000281721 -102 *1188:40 *26099:B 7.10718e-05 -103 *1930:25 *26575:C 0.000167583 -104 *1941:50 *2040:28 6.8646e-06 -105 *1941:50 *2040:30 8.51637e-06 -106 *1941:50 *2040:127 0.000936907 -107 *1942:25 *2040:17 9.26104e-05 -108 *1942:192 *2040:49 1.81709e-05 -109 *1943:40 *2040:50 1.91414e-05 -110 *1947:6 *2040:50 0.000173804 -111 *1948:16 *2040:49 0.000115206 -112 *1948:16 *2040:50 0.000411113 -113 *1950:87 *26664:A2 2.53112e-06 -114 *1950:87 *26757:A2 9.80576e-06 -115 *1950:87 *2040:62 5.42384e-07 -116 *1950:93 *26664:A2 0.000141996 -117 *1986:96 *2040:127 1.45525e-05 -118 *1997:11 *2040:24 0 -119 *1997:31 *2040:24 0 -120 *2011:145 *2040:127 1.62539e-05 -121 *2012:17 *2040:127 8.95472e-05 -122 *2016:61 *26396:A2 0.000129868 -123 *2019:41 *26112:B 0.000170646 -124 *2029:50 *2040:28 0.000116905 -125 *2037:9 *2040:24 0.000107357 -126 *2037:9 *2040:28 9.15842e-06 -127 *2037:17 *26099:B 0.000135028 -*RES -1 *26098:Y *2040:17 47.2286 -2 *2040:17 *2040:24 21.6786 -3 *2040:24 *2040:28 6.53571 -4 *2040:28 *2040:30 2.55357 -5 *2040:30 *2040:49 33.8593 -6 *2040:49 *2040:50 20.3125 -7 *2040:50 *2040:62 35.6429 -8 *2040:62 *26664:A2 41.2821 -9 *2040:62 *26757:A2 14.4429 -10 *2040:50 *26396:A2 29.1036 -11 *2040:30 *26575:C 15.425 -12 *2040:28 *2040:127 36.6266 -13 *2040:127 *26112:B 22.2107 -14 *2040:127 *26684:A 9.3 -15 *2040:24 *26099:B 15.9786 -16 *2040:17 *26491:A2 9.72857 -*END - -*D_NET *2041 0.000348507 -*CONN -*I *26154:A I *D sky130_fd_sc_hd__and4_1 -*I *26099:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26154:A 8.83238e-05 -2 *26099:Y 8.83238e-05 -3 *26099:A *26154:A 1.24368e-05 -4 *1188:18 *26154:A 5.26392e-05 -5 *1188:40 *26154:A 3.89621e-06 -6 *2025:12 *26154:A 0.000102888 -*RES -1 *26099:Y *26154:A 29.475 -*END - -*D_NET *2042 0.00283123 -*CONN -*I *26101:B I *D sky130_fd_sc_hd__or2_4 -*I *26288:A I *D sky130_fd_sc_hd__or2_1 -*I *26287:A I *D sky130_fd_sc_hd__nor2_1 -*I *26100:X O *D sky130_fd_sc_hd__or4b_1 -*CAP -1 *26101:B 0 -2 *26288:A 5.11844e-05 -3 *26287:A 2.04425e-05 -4 *26100:X 0.00030102 -5 *2042:20 0.000253296 -6 *2042:9 0.000523574 -7 *26287:A *2279:7 2.59355e-05 -8 *26287:A *4519:53 5.33005e-05 -9 *26288:A *26288:B 0.000312769 -10 *26288:A *26527:B1 0.000314416 -11 *2042:9 *26100:C 0.000152337 -12 *2042:9 *26100:D_N 0.000141734 -13 *2042:9 *26293:A1 2.11302e-05 -14 *2042:9 *2279:7 0.000150723 -15 *2042:9 *4519:53 4.08637e-05 -16 *2042:20 *2235:10 0.000121573 -17 *2042:20 *4519:38 3.12359e-05 -18 *2042:20 *6169:44 0.000128303 -19 *1944:28 *2042:20 0.000178771 -20 *1944:43 *2042:20 8.6229e-06 -*RES -1 *26100:X *2042:9 14.8 -2 *2042:9 *26287:A 9.83571 -3 *2042:9 *2042:20 13.9821 -4 *2042:20 *26288:A 12.3 -5 *2042:20 *26101:B 9.3 -*END - -*D_NET *2043 0.0607577 -*CONN -*I *26296:A I *D sky130_fd_sc_hd__or2_1 -*I *26294:B I *D sky130_fd_sc_hd__nor2_1 -*I *26102:A I *D sky130_fd_sc_hd__inv_2 -*I *26295:A I *D sky130_fd_sc_hd__nor2_2 -*I *26527:B2 I *D sky130_fd_sc_hd__o22a_1 -*I *26535:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *26103:B I *D sky130_fd_sc_hd__nor2_4 -*I *26208:A1 I *D sky130_fd_sc_hd__o22a_1 -*I *26729:A1 I *D sky130_fd_sc_hd__o221a_1 -*I *26652:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *26101:X O *D sky130_fd_sc_hd__or2_4 -*CAP -1 *26296:A 9.41661e-05 -2 *26294:B 0 -3 *26102:A 0.000260856 -4 *26295:A 0.000136131 -5 *26527:B2 0 -6 *26535:B1 6.35394e-05 -7 *26103:B 0.00239012 -8 *26208:A1 0.000170488 -9 *26729:A1 3.48317e-05 -10 *26652:B1 2.23854e-05 -11 *26101:X 2.23854e-05 -12 *2043:166 0.000835716 -13 *2043:163 0.00105386 -14 *2043:145 0.00114365 -15 *2043:143 0.00251551 -16 *2043:102 0.00341713 -17 *2043:85 0.00240629 -18 *2043:58 0.00233186 -19 *2043:33 0.00167532 -20 *2043:22 0.00152701 -21 *2043:14 0.00194363 -22 *2043:5 0.00296009 -23 *26102:A *26339:A1 0.000350124 -24 *26102:A *26339:A2 0.0003482 -25 *26102:A *2236:8 6.05161e-06 -26 *26102:A *2303:5 0.000181796 -27 *26102:A *2632:12 0 -28 *26103:B *26502:B 6.12335e-05 -29 *26103:B *26516:D1 2.09921e-05 -30 *26103:B *26756:A 7.83659e-05 -31 *26103:B *26761:A 0.000139907 -32 *26103:B *26775:B 6.13757e-06 -33 *26103:B *26775:D 0.000177545 -34 *26103:B *26791:A2 0.000108447 -35 *26103:B *26799:B1 0.000388642 -36 *26103:B *2045:8 0.000219711 -37 *26103:B *2554:17 4.12921e-05 -38 *26103:B *2595:42 2.61099e-05 -39 *26103:B *2674:17 7.6644e-05 -40 *26208:A1 *2110:26 0.000351092 -41 *26208:A1 *2133:160 5.52238e-05 -42 *26208:A1 *2149:94 5.33005e-05 -43 *26208:A1 *5722:131 0.000178176 -44 *26295:A *2086:10 0.000437212 -45 *26296:A *26309:B1 0.000170821 -46 *26296:A *2525:13 9.58126e-05 -47 *26296:A *2691:8 0.000179845 -48 *26535:B1 *2476:7 2.79421e-05 -49 *26729:A1 *26729:A2 5.38825e-05 -50 *26729:A1 *26729:B2 3.08382e-06 -51 *26729:A1 *2047:23 0.000105407 -52 *2043:14 *26288:B 0.000520506 -53 *2043:14 *26527:A2 8.55871e-05 -54 *2043:14 *26527:B1 0.000170245 -55 *2043:14 *2240:111 8.32171e-05 -56 *2043:14 *2242:8 4.23086e-05 -57 *2043:14 *4519:53 4.58194e-05 -58 *2043:22 *26528:C1 0.000516745 -59 *2043:22 *2144:41 5.76755e-05 -60 *2043:22 *2154:32 0.000376357 -61 *2043:22 *2240:111 0.00169224 -62 *2043:22 *2242:8 0.000695655 -63 *2043:22 *2479:8 0.000507341 -64 *2043:22 *5541:8 0.00246639 -65 *2043:22 *6147:14 0.000572067 -66 *2043:33 *26637:B 8.56752e-05 -67 *2043:33 *2047:58 0.000154354 -68 *2043:33 *2154:45 6.05161e-06 -69 *2043:33 *2224:8 0.000240221 -70 *2043:33 *2479:8 0.00134323 -71 *2043:33 *3461:19 1.65169e-05 -72 *2043:33 *3510:60 0.000136958 -73 *2043:33 *5541:8 0.00110945 -74 *2043:33 *6147:14 0.000270117 -75 *2043:58 *26637:B 0.000207366 -76 *2043:58 *26652:A2 0.000300137 -77 *2043:58 *26699:A2 8.51637e-06 -78 *2043:58 *2047:58 5.36e-05 -79 *2043:58 *2131:63 8.99901e-05 -80 *2043:58 *2155:42 0.000588704 -81 *2043:58 *2177:25 0.000145943 -82 *2043:58 *2226:38 0.000622523 -83 *2043:58 *2582:28 6.39139e-05 -84 *2043:58 *2635:11 6.05161e-06 -85 *2043:58 *6169:62 9.05993e-05 -86 *2043:85 *26187:A 0.000339346 -87 *2043:85 *26729:A2 0.000306183 -88 *2043:85 *2047:23 0.000294504 -89 *2043:85 *2127:27 0.000177815 -90 *2043:85 *2127:45 8.17274e-05 -91 *2043:85 *2128:32 0.000332779 -92 *2043:85 *2155:106 0.000586458 -93 *2043:85 *2165:31 0.000331064 -94 *2043:85 *4508:144 0.000124521 -95 *2043:102 *26387:C1 5.33005e-05 -96 *2043:102 *26414:A1 0.000180777 -97 *2043:102 *26414:B1 8.11273e-05 -98 *2043:102 *26738:A 5.33005e-05 -99 *2043:102 *2155:106 0.000342902 -100 *2043:102 *2325:9 3.80079e-05 -101 *2043:102 *2706:13 0.000139057 -102 *2043:143 *26199:A 7.02611e-05 -103 *2043:143 *26245:B 5.96516e-05 -104 *2043:143 *26484:B 0.000181374 -105 *2043:143 *26486:C 0.000153144 -106 *2043:143 *2078:11 1.02936e-05 -107 *2043:143 *2136:158 0.00163759 -108 *2043:143 *2141:13 2.89114e-05 -109 *2043:143 *2141:106 6.86693e-05 -110 *2043:143 *2152:118 9.41642e-05 -111 *2043:143 *2161:11 0.000480556 -112 *2043:143 *2161:189 0.00105868 -113 *2043:143 *2346:5 6.73681e-05 -114 *2043:143 *4519:53 8.40933e-05 -115 *2043:143 *4519:133 6.57032e-05 -116 *2043:145 *26451:A2 0.000105559 -117 *2043:145 *26451:B1 0.000423003 -118 *2043:145 *2078:11 0.000139907 -119 *2043:145 *2136:158 0.000102856 -120 *2043:145 *2136:166 0.000286917 -121 *2043:145 *2392:7 0.000309273 -122 *2043:163 *2047:119 0.000109422 -123 *2043:163 *2047:155 8.63168e-05 -124 *2043:163 *2136:166 0.000418722 -125 *2043:166 *26172:A1 6.44668e-05 -126 *2043:166 *26309:B1 1.94945e-05 -127 *2043:166 *26311:B 0.000291988 -128 *2043:166 *26345:C 0 -129 *2043:166 *2047:122 1.32425e-05 -130 *2043:166 *2691:8 0.00154737 -131 *2043:166 *6376:175 0.000148189 -132 *26219:A *2043:143 0 -133 *26345:A *2043:166 7.08001e-05 -134 *26383:B *2043:102 0.000241502 -135 *26386:A2 *2043:102 0.000266479 -136 *26389:A2 *26103:B 8.25843e-06 -137 *26405:B *2043:143 0.000383166 -138 *26466:B1 *2043:143 6.81304e-05 -139 *26515:A1 *26103:B 0.000178847 -140 *1190:5 *2043:143 0.000263524 -141 *1190:13 *2043:143 5.33005e-05 -142 *1393:90 *2043:58 0.000312334 -143 *1935:72 *2043:85 0.000483159 -144 *1935:92 *26103:B 7.35533e-05 -145 *1935:92 *2043:85 3.80079e-05 -146 *1935:92 *2043:102 0.0010488 -147 *1938:52 *2043:102 9.97552e-05 -148 *1944:116 *2043:143 0.00110045 -149 *1946:208 *2043:166 0 -150 *1953:98 *2043:102 4.93928e-05 -151 *1955:77 *2043:143 0.000507027 -152 *1955:99 *2043:143 0.000215737 -153 *2006:15 *26103:B 0.000260574 -154 *2011:140 *2043:163 1.90936e-05 -155 *2012:17 *2043:166 0.000191057 -156 *2012:20 *26102:A 0.000171368 -157 *2012:20 *2043:166 0.000157693 -158 *2021:59 *26295:A 0.000121446 -159 *2022:20 *26102:A 2.8266e-06 -160 *2022:101 *2043:163 6.2589e-06 -161 *2024:54 *26295:A 0.000200406 -162 *2026:19 *2043:143 0.000158902 -163 *2032:277 *26296:A 9.58126e-05 -*RES -1 *26101:X *2043:5 9.72857 -2 *2043:5 *2043:14 21 -3 *2043:14 *2043:22 42.3036 -4 *2043:22 *2043:33 34.6964 -5 *2043:33 *26652:B1 9.72857 -6 *2043:33 *2043:58 43.1964 -7 *2043:58 *26729:A1 10.8402 -8 *2043:58 *2043:85 35.4464 -9 *2043:85 *26208:A1 23.5143 -10 *2043:85 *2043:102 31.8214 -11 *2043:102 *26103:B 49.3179 -12 *2043:22 *26535:B1 14.7464 -13 *2043:14 *26527:B2 13.8 -14 *2043:5 *2043:143 48.9821 -15 *2043:143 *2043:145 12.4464 -16 *2043:145 *26295:A 23.8893 -17 *2043:145 *2043:163 16.1642 -18 *2043:163 *2043:166 24.5089 -19 *2043:166 *26102:A 25.7286 -20 *2043:166 *26294:B 9.3 -21 *2043:163 *26296:A 17.1482 -*END - -*D_NET *2044 0.0126807 -*CONN -*I *26339:A1 I *D sky130_fd_sc_hd__a211o_1 -*I *26742:A2 I *D sky130_fd_sc_hd__a2111o_1 -*I *26102:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *26339:A1 0.000154788 -2 *26742:A2 0.000298296 -3 *26102:Y 7.70325e-05 -4 *2044:18 0.00153747 -5 *2044:7 0.001471 -6 *26339:A1 *26339:A2 5.17728e-05 -7 *26339:A1 *26346:B 1.56e-05 -8 *26339:A1 *4189:72 0.00047567 -9 *26339:A1 *5723:75 4.73656e-05 -10 *26742:A2 *26357:A2 0.000557398 -11 *26742:A2 *26385:A 6.05161e-06 -12 *26742:A2 *26445:D 0.000106154 -13 *2044:7 *4189:72 9.41642e-05 -14 *2044:18 *26791:B1 0.000274517 -15 *2044:18 *2297:19 0.000798897 -16 *2044:18 *2297:32 0.000556995 -17 *2044:18 *2308:10 0.000101545 -18 *2044:18 *2367:5 0.000119774 -19 *2044:18 *2595:21 0.00018077 -20 *2044:18 *5723:33 0.000224819 -21 *2044:18 *5723:75 0.000721391 -22 *26102:A *26339:A1 0.000350124 -23 *26157:A *2044:18 0.00102666 -24 *26196:A2 *26742:A2 9.60875e-05 -25 *26356:A *2044:18 5.33005e-05 -26 *1188:102 *2044:18 0.000890218 -27 *1938:13 *2044:18 0.000110117 -28 *1953:57 *26742:A2 0.000633837 -29 *1972:33 *2044:18 0.000175512 -30 *2000:16 *2044:18 0.000171375 -31 *2022:28 *26339:A1 5.41794e-05 -32 *2022:28 *2044:18 0.00105363 -33 *2036:25 *2044:18 0.000194187 -*RES -1 *26102:Y *2044:7 14.7464 -2 *2044:7 *2044:18 49.6429 -3 *2044:18 *26742:A2 22.55 -4 *2044:7 *26339:A1 19.6036 -*END - -*D_NET *2045 0.0377114 -*CONN -*I *26153:B1 I *D sky130_fd_sc_hd__a21oi_1 -*I *26751:A I *D sky130_fd_sc_hd__nor3_1 -*I *26447:A I *D sky130_fd_sc_hd__or4b_1 -*I *26224:D1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26594:B1 I *D sky130_fd_sc_hd__a211o_1 -*I *26772:A I *D sky130_fd_sc_hd__nor4_1 -*I *26761:A I *D sky130_fd_sc_hd__or4_1 -*I *26103:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *26153:B1 9.94595e-05 -2 *26751:A 0.00137115 -3 *26447:A 0 -4 *26224:D1 0.00388398 -5 *26594:B1 0.000149128 -6 *26772:A 2.76557e-05 -7 *26761:A 3.93105e-05 -8 *26103:Y 0.00019362 -9 *2045:102 0.0024834 -10 *2045:97 0.00183467 -11 *2045:73 0.00186229 -12 *2045:52 0.00544875 -13 *2045:35 0.00135113 -14 *2045:21 0.000908285 -15 *2045:8 0.000435902 -16 *26153:B1 *2063:14 0.000117766 -17 *26224:D1 *26198:B 0.000642885 -18 *26224:D1 *26393:D1 0.000109657 -19 *26224:D1 *2129:43 0.000155983 -20 *26224:D1 *2131:45 0 -21 *26224:D1 *2175:11 2.26973e-05 -22 *26224:D1 *2490:23 0 -23 *26224:D1 *5782:149 0 -24 *26594:B1 *26594:A2 1.6122e-05 -25 *26594:B1 *26594:C1 0.00022459 -26 *26751:A *26492:C 1.98839e-05 -27 *26751:A *26683:B 0.000178847 -28 *26751:A *26790:A2 5.33005e-05 -29 *26751:A *2056:28 0.000372109 -30 *26751:A *2411:21 1.97695e-05 -31 *26751:A *2689:17 9.95905e-05 -32 *26772:A *26736:B 2.89016e-05 -33 *2045:8 *2554:17 5.41794e-05 -34 *2045:8 *3553:26 2.84398e-05 -35 *2045:21 *26762:B 0.00010326 -36 *2045:21 *26772:C 9.0143e-05 -37 *2045:21 *2554:17 8.08022e-05 -38 *2045:21 *2576:8 0.000109578 -39 *2045:21 *2576:17 3.84385e-05 -40 *2045:21 *3553:26 6.18306e-05 -41 *2045:35 *26594:C1 0.000166722 -42 *2045:35 *26634:D 7.05982e-05 -43 *2045:35 *26665:C1 9.25014e-06 -44 *2045:35 *2237:39 0.000216755 -45 *2045:35 *2576:8 0.000355556 -46 *2045:35 *3553:26 0.0001566 -47 *2045:52 *2439:9 2.11419e-05 -48 *2045:52 *3510:16 0.000315023 -49 *2045:73 *26159:B 8.78853e-05 -50 *2045:73 *26394:C 0.00077402 -51 *2045:73 *26447:D_N 0.000555474 -52 *2045:73 *2099:152 5.74499e-06 -53 *2045:73 *2569:6 0.000291036 -54 *2045:97 *26159:B 9.58181e-05 -55 *2045:97 *26399:A3 0 -56 *2045:97 *26447:C 5.33005e-05 -57 *2045:97 *2223:50 0.00078977 -58 *2045:97 *2287:14 6.69179e-05 -59 *2045:97 *2287:19 0.00023401 -60 *2045:97 *2483:8 0.000150625 -61 *2045:102 *26578:C 0.000128154 -62 *2045:102 *26586:A 0.000412318 -63 *2045:102 *2063:14 0.00275523 -64 *2045:102 *2526:18 0.000271261 -65 *26006:B *2045:102 2.49719e-05 -66 *26103:B *26761:A 0.000139907 -67 *26103:B *2045:8 0.000219711 -68 *26358:A *2045:52 4.53834e-05 -69 *26358:A *2045:73 0 -70 *26576:A *2045:102 0.000405388 -71 *26773:A1 *2045:35 0.000101545 -72 *26773:A2 *2045:35 0.000213868 -73 *1771:29 *26224:D1 1.94945e-05 -74 *1931:51 *26153:B1 2.85321e-05 -75 *1932:63 *26224:D1 0.000136951 -76 *1935:92 *26761:A 7.02611e-05 -77 *1940:32 *26224:D1 0 -78 *1941:50 *26751:A 3.8274e-05 -79 *1942:192 *2045:102 0.000358373 -80 *1950:64 *26224:D1 0 -81 *2011:145 *26751:A 0.000372109 -82 *2012:20 *2045:73 0.000220455 -83 *2016:61 *2045:97 0.000226021 -84 *2016:67 *26224:D1 0 -85 *2016:67 *2045:73 0 -86 *2016:74 *26224:D1 0 -87 *2021:10 *2045:52 0.00106335 -88 *2022:28 *2045:52 0.000766332 -89 *2040:28 *26153:B1 0.000200404 -90 *2040:30 *26153:B1 2.24646e-05 -91 *2040:30 *2045:102 0.000171368 -92 *2040:49 *2045:102 0.00022239 -93 *2040:50 *2045:102 0.000949795 -94 *2040:62 *2045:97 0.000148903 -95 *2040:127 *26751:A 0.000844482 -*RES -1 *26103:Y *2045:8 16.7107 -2 *2045:8 *26761:A 15.1571 -3 *2045:8 *2045:21 5.94643 -4 *2045:21 *26772:A 14.3357 -5 *2045:21 *2045:35 20.5357 -6 *2045:35 *26594:B1 12.3179 -7 *2045:35 *2045:52 25.8475 -8 *2045:52 *26224:D1 26.8903 -9 *2045:52 *2045:73 26.5431 -10 *2045:73 *26447:A 9.3 -11 *2045:73 *2045:97 35.6486 -12 *2045:97 *2045:102 39.7946 -13 *2045:102 *26751:A 43.2643 -14 *2045:102 *26153:B1 17.1929 -*END - -*D_NET *2046 0.0773725 -*CONN -*I *26105:B I *D sky130_fd_sc_hd__or2_4 -*I *26373:A_N I *D sky130_fd_sc_hd__and3b_2 -*I *26257:A I *D sky130_fd_sc_hd__nor2_1 -*I *26238:A I *D sky130_fd_sc_hd__or2_1 -*I *26785:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26262:B I *D sky130_fd_sc_hd__or2_4 -*I *26104:X O *D sky130_fd_sc_hd__or4_4 -*CAP -1 *26105:B 0 -2 *26373:A_N 0 -3 *26257:A 0.00113451 -4 *26238:A 0 -5 *26785:A1 0 -6 *26262:B 0.000573982 -7 *26104:X 8.35681e-05 -8 *2046:154 0.0027392 -9 *2046:121 0.00131014 -10 *2046:115 0.00701778 -11 *2046:88 0.0109025 -12 *2046:77 0.00215811 -13 *2046:66 0.00186816 -14 *2046:51 0.0014038 -15 *2046:20 0.00261999 -16 *2046:10 0.002297 -17 *2046:9 0.00173585 -18 *2046:5 0.00119585 -19 *26257:A *26258:A 0.000171368 -20 *26257:A *26258:D 0 -21 *26257:A *2180:13 2.59355e-05 -22 *26257:A *2180:25 5.33005e-05 -23 *26257:A *4189:41 0 -24 *26257:A *5564:32 4.35421e-05 -25 *26262:B *26096:B 0.00052086 -26 *26262:B *26096:C_N 7.86187e-05 -27 *26262:B *26313:B 0.000172015 -28 *26262:B *26329:A1 0.000108535 -29 *26262:B *26329:A2 1.21258e-05 -30 *26262:B *26330:C1 0.000263524 -31 *26262:B *26335:B1 2.58821e-05 -32 *26262:B *2227:19 5.52238e-05 -33 *26262:B *4508:42 9.21933e-05 -34 *26262:B *4530:10 5.52238e-05 -35 *26262:B *6214:10 7.38975e-05 -36 *2046:5 *4541:58 2.42516e-05 -37 *2046:9 *4541:47 2.89016e-05 -38 *2046:9 *4541:58 1.21258e-05 -39 *2046:10 *26559:A 9.27418e-05 -40 *2046:10 *2157:8 0.00421134 -41 *2046:10 *2157:16 1.09232e-05 -42 *2046:10 *2176:101 0.000311578 -43 *2046:10 *2176:112 7.19612e-05 -44 *2046:10 *2217:26 0.000317878 -45 *2046:10 *2217:34 0.000919358 -46 *2046:10 *2240:8 7.16838e-05 -47 *2046:10 *2402:11 0.000123467 -48 *2046:10 *4353:88 7.83587e-05 -49 *2046:10 *4541:40 2.99773e-05 -50 *2046:10 *5782:46 9.89509e-05 -51 *2046:10 *6291:30 6.16936e-05 -52 *2046:20 *26329:B1 0.000186421 -53 *2046:20 *26330:A1 5.46971e-05 -54 *2046:20 *26559:A 2.25163e-05 -55 *2046:20 *2157:16 5.83942e-05 -56 *2046:20 *2272:15 0 -57 *2046:20 *4253:11 0.000610754 -58 *2046:20 *4552:24 0.00014187 -59 *2046:51 *25507:A 5.33005e-05 -60 *2046:51 *25507:B 0.000183726 -61 *2046:51 *25999:A 5.52302e-05 -62 *2046:51 *25999:B 9.90431e-05 -63 *2046:51 *25999:C_N 0.00246244 -64 *2046:51 *26278:A 5.52302e-05 -65 *2046:51 *26330:C1 0.000137983 -66 *2046:51 *26334:C1 0 -67 *2046:51 *26785:A2 0.00024426 -68 *2046:51 *2056:53 0 -69 *2046:51 *2152:157 0.000819619 -70 *2046:51 *2220:19 0 -71 *2046:51 *4253:11 5.19921e-05 -72 *2046:51 *4353:181 4.68604e-05 -73 *2046:51 *4364:10 3.23542e-05 -74 *2046:51 *4364:34 6.79764e-06 -75 *2046:51 *4375:10 8.74121e-05 -76 *2046:51 *4375:37 8.6229e-06 -77 *2046:51 *4397:34 1.54142e-05 -78 *2046:51 *4508:42 3.47641e-06 -79 *2046:51 *4552:15 9.90367e-05 -80 *2046:51 *4575:8 6.90348e-06 -81 *2046:51 *6291:13 0.000248902 -82 *2046:66 *2131:22 0.000884416 -83 *2046:66 *2133:14 5.81459e-05 -84 *2046:66 *2133:32 0.00012401 -85 *2046:66 *2157:8 0.000241205 -86 *2046:66 *2157:81 0.000625471 -87 *2046:66 *2176:27 8.85664e-07 -88 *2046:66 *2187:115 0.00140123 -89 *2046:66 *2187:122 5.88652e-05 -90 *2046:66 *4353:88 5.2514e-05 -91 *2046:66 *5782:62 0.000854926 -92 *2046:77 *26267:A2 0.000124406 -93 *2046:77 *26267:B1 0.000100265 -94 *2046:77 *26267:C1 0.00020694 -95 *2046:77 *26596:B2 0.000108525 -96 *2046:77 *2047:5 0.000145239 -97 *2046:77 *2049:8 0.000366602 -98 *2046:77 *2049:10 0.000188701 -99 *2046:77 *2132:21 2.47186e-05 -100 *2046:77 *2175:22 0.000430646 -101 *2046:77 *2176:27 1.08359e-05 -102 *2046:77 *2176:70 0.000115063 -103 *2046:77 *2187:122 8.40351e-06 -104 *2046:77 *2470:11 2.5232e-05 -105 *2046:77 *3461:13 3.05203e-05 -106 *2046:88 *2190:122 2.15086e-05 -107 *2046:88 *2635:11 1.01912e-05 -108 *2046:88 *3461:13 1.98839e-05 -109 *2046:88 *6169:62 0.000130991 -110 *2046:115 *26639:C 0.00023988 -111 *2046:115 *26645:B 2.29009e-05 -112 *2046:115 *26650:A3 8.85066e-05 -113 *2046:115 *26653:B 0.000111243 -114 *2046:115 *30994:A 0.00275475 -115 *2046:115 *2047:39 5.26479e-05 -116 *2046:115 *2142:69 0.00147856 -117 *2046:115 *2147:74 0.000209614 -118 *2046:115 *2155:51 8.69554e-05 -119 *2046:115 *2159:65 4.30573e-05 -120 *2046:115 *2177:48 0 -121 *2046:115 *2204:68 0.000482087 -122 *2046:115 *2204:85 0.000127987 -123 *2046:115 *2208:8 0.000151868 -124 *2046:115 *2244:31 0.000136665 -125 *2046:115 *2592:30 0.000348693 -126 *2046:115 *5560:33 0.000109657 -127 *2046:115 *5564:40 0.000223287 -128 *2046:115 *5664:182 0.000125466 -129 *2046:115 *6169:82 0.000508543 -130 *2046:121 *5564:32 1.58163e-05 -131 *2046:121 *5566:41 0 -132 *2046:121 *6169:92 0.000224676 -133 *2046:154 *26434:B 0.000377625 -134 *2046:154 *26434:D 8.47417e-05 -135 *2046:154 *26645:B 0 -136 *2046:154 *26768:B1 1.21258e-05 -137 *2046:154 *2099:49 0 -138 *2046:154 *2104:44 0.000270243 -139 *2046:154 *2128:32 6.09762e-05 -140 *2046:154 *2141:134 2.01997e-05 -141 *2046:154 *2151:53 1.93239e-05 -142 *2046:154 *2301:9 4.49935e-05 -143 *2046:154 *2485:13 9.33978e-07 -144 *2046:154 *4508:144 0.000115139 -145 *25983:A *2046:10 0.000163911 -146 *26091:B *2046:51 4.29414e-05 -147 *26105:A *2046:77 2.93e-05 -148 *26105:A *2046:88 0.000245684 -149 *26229:B *2046:66 6.71069e-05 -150 *26278:B *2046:51 9.35228e-06 -151 *26432:B2 *2046:154 0.000124471 -152 *30593:A *2046:51 4.00349e-05 -153 *30608:A *2046:51 0.000220095 -154 *30620:A *26262:B 0.000121971 -155 *30625:A *26262:B 9.83442e-05 -156 *255:24 *2046:51 4.13496e-05 -157 *1189:76 *2046:66 0.000103974 -158 *1190:16 *2046:10 0.000383281 -159 *1190:39 *2046:10 0.000187446 -160 *1393:55 *2046:77 0.000755811 -161 *1393:55 *2046:88 3.05522e-05 -162 *1393:90 *2046:88 0.000118315 -163 *1393:110 *2046:115 1.36225e-05 -164 *1789:5 *2046:51 0.00108024 -165 *1789:7 *2046:51 0.000161382 -166 *1790:17 *2046:51 2.27416e-05 -167 *1793:22 *2046:51 0.000312215 -168 *1873:35 *26257:A 0.000633677 -169 *1874:27 *2046:66 4.58194e-05 -170 *1932:63 *2046:66 0.000126532 -171 *1935:26 *2046:115 0.000204021 -172 *1935:37 *2046:115 0.00199548 -173 *1935:72 *2046:115 1.50867e-05 -174 *1944:43 *2046:88 6.14836e-06 -175 *1944:52 *2046:88 0.000284346 -176 *1946:61 *2046:66 9.65075e-05 -177 *1946:61 *2046:77 0.000327626 -178 *1946:81 *2046:77 2.49484e-05 -179 *1956:15 *26262:B 0.000724846 -180 *1956:15 *2046:51 0.00184593 -181 *1956:35 *26262:B 2.23592e-05 -182 *2031:86 *2046:154 0.000266099 -183 *2032:89 *2046:10 0.000345803 -184 *2032:170 *2046:154 6.24939e-05 -185 *2038:10 *26262:B 0 -*RES -1 *26104:X *2046:5 10.2464 -2 *2046:5 *2046:9 5.44643 -3 *2046:9 *2046:10 55.5268 -4 *2046:10 *2046:20 17.6161 -5 *2046:20 *26262:B 35.5857 -6 *2046:20 *2046:51 44.793 -7 *2046:51 *26785:A1 9.3 -8 *2046:5 *2046:66 47.8661 -9 *2046:66 *2046:77 30.6875 -10 *2046:77 *2046:88 14.807 -11 *2046:88 *2046:115 47.5387 -12 *2046:115 *2046:121 11.4546 -13 *2046:121 *26238:A 9.3 -14 *2046:121 *26257:A 40.1036 -15 *2046:88 *2046:154 40.8284 -16 *2046:154 *26373:A_N 9.3 -17 *2046:77 *26105:B 9.3 -*END - -*D_NET *2047 0.0743523 -*CONN -*I *26596:B2 I *D sky130_fd_sc_hd__o32ai_4 -*I *26637:A I *D sky130_fd_sc_hd__or2_1 -*I *26638:B1 I *D sky130_fd_sc_hd__a21oi_1 -*I *26537:A2 I *D sky130_fd_sc_hd__o22a_1 -*I *26106:B I *D sky130_fd_sc_hd__or2_2 -*I *26121:B I *D sky130_fd_sc_hd__or2_1 -*I *26310:A I *D sky130_fd_sc_hd__or2_1 -*I *26309:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26311:B I *D sky130_fd_sc_hd__nor2_1 -*I *26527:A1 I *D sky130_fd_sc_hd__o22a_1 -*I *26729:B2 I *D sky130_fd_sc_hd__o221a_1 -*I *26261:A1 I *D sky130_fd_sc_hd__o221a_1 -*I *26105:X O *D sky130_fd_sc_hd__or2_4 -*CAP -1 *26596:B2 0.000125529 -2 *26637:A 0 -3 *26638:B1 0.000316962 -4 *26537:A2 3.78204e-05 -5 *26106:B 5.87692e-05 -6 *26121:B 0.000565613 -7 *26310:A 2.2024e-05 -8 *26309:A1 0 -9 *26311:B 0.000359543 -10 *26527:A1 2.99504e-05 -11 *26729:B2 2.58852e-05 -12 *26261:A1 0 -13 *26105:X 0.000134019 -14 *2047:155 0.00166251 -15 *2047:122 0.000396835 -16 *2047:119 0.00344979 -17 *2047:113 0.00473458 -18 *2047:103 0.00399624 -19 *2047:91 0.00197605 -20 *2047:90 0.0014569 -21 *2047:81 0.00189729 -22 *2047:66 0.0024837 -23 *2047:58 0.00227835 -24 *2047:43 0.00106998 -25 *2047:39 0.00394088 -26 *2047:23 0.00410892 -27 *2047:8 0.00221858 -28 *2047:5 0.000346221 -29 *26106:B *2048:9 4.08637e-05 -30 *26121:B *26152:C 0.000271339 -31 *26121:B *26152:D 0.000241361 -32 *26121:B *26471:B 0.000620101 -33 *26121:B *2054:15 0.000111166 -34 *26121:B *2063:5 0.000309273 -35 *26121:B *2089:20 4.58194e-05 -36 *26121:B *2092:14 4.73656e-05 -37 *26121:B *2688:17 9.20274e-05 -38 *26310:A *26154:B 1.21258e-05 -39 *26310:A *2092:13 6.05161e-06 -40 *26310:A *2252:12 5.33005e-05 -41 *26527:A1 *26527:A2 9.41642e-05 -42 *26527:A1 *26527:B1 9.41642e-05 -43 *26596:B2 *2176:70 0.000265025 -44 *26596:B2 *3461:13 6.12434e-05 -45 *26729:B2 *26729:B1 9.35228e-06 -46 *2047:5 *3461:13 0.000145239 -47 *2047:8 *2132:21 4.75812e-05 -48 *2047:8 *2161:39 0.000231948 -49 *2047:23 *26654:B 0.000476292 -50 *2047:23 *26729:A2 2.2011e-05 -51 *2047:23 *2132:21 7.39627e-05 -52 *2047:23 *2132:30 0 -53 *2047:23 *2152:92 0.000478006 -54 *2047:23 *2161:39 0.000227365 -55 *2047:23 *2161:54 0.000305557 -56 *2047:23 *2638:14 0.000472541 -57 *2047:23 *2667:11 0.000140815 -58 *2047:39 *26646:B1 0.000175321 -59 *2047:39 *26729:B1 2.16899e-05 -60 *2047:43 *26261:B2 8.42903e-06 -61 *2047:43 *26651:A 5.59013e-05 -62 *2047:43 *26653:A_N 0.000240592 -63 *2047:43 *26779:A 0.000148514 -64 *2047:43 *2104:143 1.85728e-05 -65 *2047:43 *2142:36 0.000162651 -66 *2047:43 *2142:69 0.00011994 -67 *2047:43 *2151:53 0.000465724 -68 *2047:43 *2152:88 0.000933796 -69 *2047:43 *2177:41 8.85664e-07 -70 *2047:43 *2190:80 1.90936e-05 -71 *2047:43 *2204:85 0.00113294 -72 *2047:43 *2208:8 0.000425699 -73 *2047:43 *2587:23 4.38265e-05 -74 *2047:43 *2587:36 9.22948e-05 -75 *2047:43 *2587:38 4.90803e-05 -76 *2047:43 *2587:45 0.000188376 -77 *2047:43 *2591:8 7.6644e-05 -78 *2047:43 *5564:40 0.000305889 -79 *2047:43 *5664:157 0.000314804 -80 *2047:43 *5673:60 0.000572218 -81 *2047:43 *5673:62 0.000142797 -82 *2047:43 *5673:66 0.000435385 -83 *2047:58 *26596:B1 0.000532108 -84 *2047:58 *26652:A1 0.000546198 -85 *2047:58 *26652:A2 0.000928278 -86 *2047:58 *26699:C1 0.000175892 -87 *2047:58 *2592:11 5.33005e-05 -88 *2047:58 *3510:60 2.42516e-05 -89 *2047:66 *26637:B 5.33005e-05 -90 *2047:66 *2144:41 8.55871e-05 -91 *2047:66 *2154:45 0.000801476 -92 *2047:66 *3461:20 0.000202842 -93 *2047:66 *3510:60 2.42516e-05 -94 *2047:66 *6136:8 2.15383e-05 -95 *2047:81 *26190:A 0.00019559 -96 *2047:81 *2147:61 0.000262222 -97 *2047:81 *2212:14 0.000731843 -98 *2047:81 *2226:38 8.47241e-05 -99 *2047:81 *2240:146 3.69047e-06 -100 *2047:81 *2244:14 0.00047255 -101 *2047:81 *6169:44 1.15211e-05 -102 *2047:90 *26192:A 0.000153047 -103 *2047:90 *26538:C1 8.84184e-05 -104 *2047:90 *2130:61 0.000760846 -105 *2047:90 *2204:38 0.000167056 -106 *2047:90 *2244:14 3.57762e-05 -107 *2047:90 *5573:36 0.000150263 -108 *2047:90 *6158:24 0.00112762 -109 *2047:91 *2133:51 0.000209813 -110 *2047:91 *2133:99 0.000144512 -111 *2047:91 *2133:106 0.00114612 -112 *2047:91 *2154:14 0.000581731 -113 *2047:91 *2154:16 0.000933226 -114 *2047:91 *6147:14 0.000143169 -115 *2047:103 *26302:A 0.000109494 -116 *2047:103 *26312:B 0.000116481 -117 *2047:103 *30972:A 0.000174238 -118 *2047:103 *2048:9 5.33005e-05 -119 *2047:103 *2133:106 3.17148e-05 -120 *2047:103 *2151:8 6.39901e-05 -121 *2047:103 *2151:15 0.000181851 -122 *2047:103 *2154:14 0.0009329 -123 *2047:103 *2159:118 0.000153304 -124 *2047:113 *26044:B 0.000266486 -125 *2047:113 *26293:A3 0.000126837 -126 *2047:113 *2048:10 0.000689729 -127 *2047:113 *2130:27 0.000346257 -128 *2047:113 *2130:29 0.000333571 -129 *2047:113 *2130:46 3.23658e-05 -130 *2047:113 *2141:13 0.000428908 -131 *2047:113 *2161:11 8.43535e-06 -132 *2047:113 *2161:29 0.000119913 -133 *2047:113 *2221:7 0.000257619 -134 *2047:119 *26295:B 4.98422e-05 -135 *2047:119 *26476:A3 0.000782582 -136 *2047:122 *26309:B1 6.47405e-05 -137 *2047:155 *26471:B 3.17148e-05 -138 *2047:155 *26578:B 0.000146369 -139 *2047:155 *26584:B 2.23595e-05 -140 *2047:155 *26683:A 0.000128704 -141 *2047:155 *26753:A2 0.000100831 -142 *2047:155 *26753:B1 2.49388e-05 -143 *2047:155 *26783:C 0.00013048 -144 *2047:155 *2089:20 0.000398453 -145 *2047:155 *2092:14 0.000827255 -146 *2047:155 *2252:12 0.000544206 -147 *2047:155 *2691:8 0.000466669 -148 *2047:155 *2721:9 0.000109866 -149 *2047:155 *5673:309 3.47641e-06 -150 wb_dat_o[30] *2047:81 0.000137834 -151 *26152:A_N *26121:B 6.86792e-05 -152 *26190:B *2047:81 2.84026e-05 -153 *26234:A *2047:113 9.41642e-05 -154 *26241:A2 *2047:23 6.10018e-06 -155 *26311:A *26311:B 0.000600948 -156 *26336:A *2047:103 0.000129868 -157 *26345:A *26311:B 0.000247057 -158 *26476:A2 *2047:113 0.00105723 -159 *26647:B *26537:A2 4.25176e-05 -160 *26647:B *2047:90 5.49489e-05 -161 *26729:A1 *26729:B2 3.08382e-06 -162 *26729:A1 *2047:23 0.000105407 -163 *1186:40 *2047:113 1.38323e-05 -164 *1187:59 *2047:8 0.000148189 -165 *1393:55 *26596:B2 5.41763e-05 -166 *1393:90 *2047:39 0.000108889 -167 *1393:90 *2047:58 9.41642e-05 -168 *1393:110 *2047:39 0.000108889 -169 *1930:25 *26121:B 0.000128899 -170 *1934:13 *26106:B 1.58163e-05 -171 *1934:13 *2047:103 1.92789e-05 -172 *1944:23 *2047:113 5.69532e-05 -173 *1944:122 *26311:B 9.62734e-05 -174 *1946:16 *2047:113 1.17968e-05 -175 *1965:53 *26638:B1 6.05161e-06 -176 *1965:53 *2047:81 0.000234355 -177 *1986:21 *2047:113 0.000193814 -178 *1990:81 *2047:119 6.09762e-05 -179 *1997:61 *26311:B 0.000310191 -180 *2011:140 *26311:B 0.000128154 -181 *2011:140 *2047:122 7.6574e-05 -182 *2012:17 *26311:B 0.000129366 -183 *2018:18 *2047:119 6.28203e-05 -184 *2038:34 *2047:90 0 -185 *2038:58 *2047:90 0.000139208 -186 *2038:132 *2047:119 0.000314865 -187 *2043:33 *2047:58 0.000154354 -188 *2043:58 *2047:58 5.36e-05 -189 *2043:85 *2047:23 0.000294504 -190 *2043:163 *2047:119 0.000109422 -191 *2043:163 *2047:155 8.63168e-05 -192 *2043:166 *26311:B 0.000291988 -193 *2043:166 *2047:122 1.32425e-05 -194 *2046:77 *26596:B2 0.000108525 -195 *2046:77 *2047:5 0.000145239 -196 *2046:115 *2047:39 5.26479e-05 -*RES -1 *26105:X *2047:5 12.3 -2 *2047:5 *2047:8 7.66071 -3 *2047:8 *2047:23 38.6786 -4 *2047:23 *2047:39 23.4733 -5 *2047:39 *2047:43 46.5402 -6 *2047:43 *26261:A1 9.3 -7 *2047:23 *26729:B2 9.86696 -8 *2047:8 *2047:58 23.9286 -9 *2047:58 *2047:66 33.1071 -10 *2047:66 *2047:81 38.875 -11 *2047:81 *2047:90 31.9155 -12 *2047:90 *2047:91 19.0982 -13 *2047:91 *26527:A1 14.7464 -14 *2047:91 *2047:103 34.25 -15 *2047:103 *2047:113 48.2679 -16 *2047:113 *2047:119 14.1018 -17 *2047:119 *2047:122 4.5975 -18 *2047:122 *26311:B 26.7821 -19 *2047:122 *26309:A1 13.8 -20 *2047:119 *2047:155 34.3243 -21 *2047:155 *26310:A 14.3357 -22 *2047:155 *26121:B 30.1571 -23 *2047:103 *26106:B 10.5679 -24 *2047:81 *26537:A2 9.83571 -25 *2047:66 *26638:B1 13.1214 -26 *2047:58 *26637:A 9.3 -27 *2047:5 *26596:B2 13.1214 -*END - -*D_NET *2048 0.0227124 -*CONN -*I *26569:A2 I *D sky130_fd_sc_hd__o221a_1 -*I *26107:A I *D sky130_fd_sc_hd__inv_2 -*I *26453:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *26106:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *26569:A2 0.0012497 -2 *26107:A 0.000134086 -3 *26453:A2 0 -4 *26106:X 4.10836e-05 -5 *2048:36 0.00182287 -6 *2048:19 0.000294851 -7 *2048:10 0.00138949 -8 *2048:9 0.00138013 -9 *2048:5 0.000765671 -10 *26107:A *26267:C1 5.33005e-05 -11 *26107:A *2054:56 8.2607e-05 -12 *26107:A *2133:39 0.000306042 -13 *26107:A *2394:11 5.19775e-05 -14 *26569:A2 *26569:A1 2.09826e-05 -15 *26569:A2 *2507:21 5.64464e-05 -16 *26569:A2 *2514:10 6.49819e-05 -17 *26569:A2 *2520:13 0.000513591 -18 *2048:10 *2130:46 1.41805e-05 -19 *2048:10 *2176:18 0.00119536 -20 *2048:10 *2470:11 1.53472e-05 -21 *2048:10 *4353:95 0.000607346 -22 *2048:10 *4552:105 0.000114258 -23 *2048:10 *6291:80 9.8045e-05 -24 *2048:10 *6291:88 1.94879e-05 -25 *2048:19 *26186:A 0.000175892 -26 *2048:19 *26191:B 0.000177545 -27 *2048:19 *2054:53 0.000168939 -28 *2048:19 *2054:56 0.000136682 -29 *2048:19 *2133:39 0.000177545 -30 *2048:36 *2154:111 6.0935e-05 -31 *2048:36 *2176:101 0.000220448 -32 *2048:36 *2176:112 0.0011371 -33 *2048:36 *2217:34 1.08524e-05 -34 *2048:36 *6291:40 0.000215699 -35 *2048:36 *6291:54 0.000338351 -36 *25987:B *26569:A2 2.28598e-05 -37 *25993:A *2048:36 0.000164139 -38 *26092:A *26569:A2 0.00016834 -39 *26106:A *2048:5 3.69047e-06 -40 *26106:A *2048:9 1.58163e-05 -41 *26106:B *2048:9 4.08637e-05 -42 *26286:A *2048:36 0.000135846 -43 *26286:B *26569:A2 0.000339346 -44 *26453:A1 *2048:19 2.41649e-05 -45 *26569:B2 *26569:A2 1.59935e-05 -46 *1186:40 *2048:10 0.000655087 -47 *1186:47 *2048:10 0.0010741 -48 *1187:48 *2048:10 0.00128943 -49 *1909:8 *2048:36 0.000146474 -50 *1909:13 *2048:36 8.15095e-05 -51 *1909:53 *2048:36 0.000244145 -52 *1925:13 *26569:A2 0.000389077 -53 *1928:14 *26569:A2 0.000811434 -54 *1929:34 *26569:A2 0.000464893 -55 *1934:13 *2048:5 8.25843e-06 -56 *1934:13 *2048:9 5.74499e-06 -57 *1935:135 *26569:A2 0.000359508 -58 *1935:156 *26569:A2 6.26276e-05 -59 *1946:15 *2048:36 6.8486e-05 -60 *1946:16 *2048:10 0.00106015 -61 *1946:18 *2048:10 0.000570794 -62 *1946:29 *2048:10 0.000120904 -63 *1955:31 *26569:A2 8.36572e-05 -64 *1962:8 *2048:19 0.000174805 -65 *2022:129 *26569:A2 0.000110359 -66 *2027:13 *26569:A2 0.000145002 -67 *2047:103 *2048:9 5.33005e-05 -68 *2047:113 *2048:10 0.000689729 -*RES -1 *26106:X *2048:5 9.83571 -2 *2048:5 *2048:9 6.26786 -3 *2048:9 *2048:10 47.7857 -4 *2048:10 *2048:19 19.2857 -5 *2048:19 *26453:A2 9.3 -6 *2048:19 *26107:A 13.0321 -7 *2048:5 *2048:36 28.8571 -8 *2048:36 *26569:A2 47.4875 -*END - -*D_NET *2049 0.0155045 -*CONN -*I *26224:A2 I *D sky130_fd_sc_hd__a2111o_1 -*I *26730:A I *D sky130_fd_sc_hd__or4b_1 -*I *26709:A2 I *D sky130_fd_sc_hd__a2111o_1 -*I *26107:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *26224:A2 0.000898483 -2 *26730:A 0.000304579 -3 *26709:A2 0.000191176 -4 *26107:Y 0.000157178 -5 *2049:10 0.00175859 -6 *2049:8 0.0023185 -7 *26224:A2 *26268:C 4.43256e-05 -8 *26224:A2 *26268:D_N 0.000919461 -9 *26224:A2 *2131:45 0.000121951 -10 *26224:A2 *2172:10 5.5201e-05 -11 *26709:A2 *26709:B1 8.50152e-05 -12 *26709:A2 *26711:B 1.77259e-05 -13 *26709:A2 *26780:B 1.21258e-05 -14 *26709:A2 *2250:31 0.000133417 -15 *26709:A2 *5673:40 0.000180524 -16 *26730:A *26730:C 0.000216755 -17 *26730:A *2194:30 0.000314848 -18 *2049:8 *26268:D_N 3.34366e-05 -19 *2049:8 *2187:122 0.000189645 -20 *2049:8 *2394:11 5.52302e-05 -21 *2049:10 *26267:A2 0.000124406 -22 *2049:10 *26268:D_N 0.000356422 -23 *2049:10 *2142:26 0.000285209 -24 *2049:10 *2175:22 0.0011651 -25 *2049:10 *2187:122 0.00171025 -26 *2049:10 *2250:31 0.000803367 -27 *2049:10 *2490:23 2.22043e-05 -28 *2049:10 *2636:8 0.000214379 -29 *2049:10 *5673:28 0.000285489 -30 *2049:10 *5673:40 0.00186895 -31 *26709:A1 *26709:A2 3.69933e-05 -32 *1946:98 *2049:10 6.8279e-05 -33 *2046:77 *2049:8 0.000366602 -34 *2046:77 *2049:10 0.000188701 -*RES -1 *26107:Y *2049:8 19.3179 -2 *2049:8 *2049:10 50.2143 -3 *2049:10 *26709:A2 18.2643 -4 *2049:10 *26730:A 19.2643 -5 *2049:8 *26224:A2 34.8357 -*END - -*D_NET *2050 0.00222354 -*CONN -*I *26457:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26123:C1 I *D sky130_fd_sc_hd__o311a_1 -*I *26108:X O *D sky130_fd_sc_hd__or3b_1 -*CAP -1 *26457:B1 0.000152503 -2 *26123:C1 6.42381e-05 -3 *26108:X 0.000308359 -4 *2050:11 0.0005251 -5 *26123:C1 *2065:21 9.58689e-05 -6 *26457:B1 *2500:26 2.39876e-05 -7 *26073:B *26123:C1 7.44896e-05 -8 *26073:B *26457:B1 7.29712e-05 -9 *26108:A *26123:C1 9.41642e-05 -10 *26108:A *2050:11 0.00013174 -11 *26108:B *2050:11 9.41642e-05 -12 *26108:C_N *2050:11 5.96516e-05 -13 *26480:A2 *26123:C1 7.52178e-05 -14 *26480:A2 *26457:B1 0.000272491 -15 *1908:27 *26457:B1 3.16865e-05 -16 *1995:10 *26457:B1 0.00014691 -*RES -1 *26108:X *2050:11 18.175 -2 *2050:11 *26123:C1 15.7821 -3 *2050:11 *26457:B1 18.175 -*END - -*D_NET *2051 0.0159105 -*CONN -*I *26511:C I *D sky130_fd_sc_hd__nand4b_1 -*I *26680:C1 I *D sky130_fd_sc_hd__o211a_1 -*I *26127:B I *D sky130_fd_sc_hd__and4b_1 -*I *26109:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26511:C 0 -2 *26680:C1 0.000254621 -3 *26127:B 0.000149044 -4 *26109:Y 0 -5 *2051:46 0.00149223 -6 *2051:41 0.00316808 -7 *2051:8 0.000619917 -8 *2051:4 0.0018921 -9 *26127:B *2065:21 0.000107681 -10 *26127:B *2069:21 0.000140243 -11 *2051:8 *2069:21 9.01775e-06 -12 *2051:8 *2154:143 0 -13 *2051:41 *26558:B1 2.15363e-05 -14 *2051:41 *2069:21 0.000580931 -15 *2051:41 *2161:189 0.000115935 -16 *2051:41 *2240:42 8.26552e-05 -17 *2051:41 *2598:8 3.05652e-05 -18 *2051:41 *2598:12 5.58711e-05 -19 *2051:46 *26357:B1 5.01298e-05 -20 *2051:46 *26385:A 0.000194345 -21 *2051:46 *26385:B 1.80611e-05 -22 *2051:46 *26514:B 9.60771e-05 -23 *2051:46 *26663:C_N 0.000302495 -24 *2051:46 *2297:19 0.00083701 -25 *2051:46 *2598:12 0 -26 *2051:46 *3461:13 0.000307343 -27 *26039:B *2051:41 1.21258e-05 -28 *26057:B *2051:46 0.000205244 -29 *26058:D *2051:41 1.84099e-05 -30 *26058:D *2051:46 0.000202055 -31 *26109:A *2051:41 1.58163e-05 -32 *26109:B *2051:8 1.92789e-05 -33 *26109:B *2051:41 9.43546e-06 -34 *26126:A *26680:C1 1.47872e-05 -35 *26126:C_N *26680:C1 6.05161e-06 -36 *26127:C *26127:B 3.47106e-05 -37 *26127:C *2051:8 1.49645e-05 -38 *26129:A2 *2051:41 1.37495e-05 -39 *26130:B *2051:41 0 -40 *26357:B2 *2051:46 0.000214733 -41 *26464:A1 *2051:41 4.11173e-05 -42 *26476:A2 *2051:41 5.74733e-05 -43 *26555:A *2051:41 9.45051e-05 -44 *1393:49 *2051:46 6.17897e-05 -45 *1934:22 *2051:41 0.000207911 -46 *1942:35 *26680:C1 5.51531e-05 -47 *1942:35 *2051:8 4.64488e-06 -48 *1944:116 *2051:41 0.000183539 -49 *1946:195 *2051:41 0.000148475 -50 *1967:8 *2051:41 2.26327e-05 -51 *1967:12 *2051:41 0.000175645 -52 *1967:12 *2051:46 0.000243522 -53 *1971:102 *2051:46 0.000171375 -54 *1973:35 *2051:46 0.00014576 -55 *1973:44 *2051:46 0.00021192 -56 *1975:14 *2051:41 8.6229e-06 -57 *1975:14 *2051:46 9.18765e-06 -58 *1975:16 *2051:46 9.21418e-06 -59 *1986:35 *2051:41 0 -60 *1990:81 *2051:41 0.000629885 -61 *1996:99 *2051:46 0.000114816 -62 *2000:16 *2051:46 0.000191151 -63 *2000:31 *2051:46 0.00126577 -64 *2010:8 *2051:41 0.000125241 -65 *2010:16 *2051:41 8.09493e-05 -66 *2018:18 *26680:C1 9.50088e-05 -67 *2018:18 *2051:8 9.89983e-05 -68 *2018:18 *2051:41 0 -69 *2024:25 *2051:41 0.000148975 -*RES -1 *26109:Y *2051:4 9.3 -2 *2051:4 *2051:8 7.60714 -3 *2051:8 *26127:B 16.8 -4 *2051:8 *26680:C1 18.175 -5 *2051:4 *2051:41 49.7411 -6 *2051:41 *2051:46 46.9375 -7 *2051:46 *26511:C 9.3 -*END - -*D_NET *2052 0.0143484 -*CONN -*I *26512:C1 I *D sky130_fd_sc_hd__a211o_1 -*I *26689:A I *D sky130_fd_sc_hd__or4_1 -*I *26110:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26512:C1 0.000349408 -2 *26689:A 0.000698411 -3 *26110:Y 0 -4 *2052:28 0.00183053 -5 *2052:25 0.00156998 -6 *2052:4 0.000787268 -7 *26512:C1 *26512:A2 0.000125453 -8 *26512:C1 *26514:D 0.000144986 -9 *26512:C1 *26617:B 2.06112e-05 -10 *26512:C1 *26631:B 1.76606e-05 -11 *26512:C1 *26774:C1 0.000368807 -12 *26512:C1 *2435:11 5.52302e-05 -13 *26512:C1 *2602:8 5.53892e-05 -14 *26689:A *26139:A 0.000686474 -15 *26689:A *26475:A1 9.60939e-05 -16 *26689:A *26475:A2 0.000120885 -17 *26689:A *26486:C 0.000237913 -18 *26689:A *26689:C 5.7661e-06 -19 *26689:A *2072:45 0.000286083 -20 *26689:A *2628:8 0.000304394 -21 *2052:28 *26774:C1 0 -22 *2052:28 *2113:65 0.000157584 -23 *2052:28 *2169:64 0.000101545 -24 *2052:28 *2298:36 0.000213121 -25 *2052:28 *2490:23 6.09762e-05 -26 *2052:28 *2602:8 0.000768188 -27 *26062:B *2052:28 0.000169879 -28 *26072:B *2052:28 0.000144842 -29 *26077:A *2052:28 0.000173251 -30 *26356:A *2052:28 0.000240127 -31 *26368:C *2052:28 0.000173797 -32 *1945:65 *2052:28 1.94879e-05 -33 *1950:40 *2052:28 0.00129609 -34 *1950:44 *2052:28 0.00018984 -35 *1950:64 *26512:C1 3.14163e-05 -36 *1986:51 *26689:A 5.33005e-05 -37 *1986:51 *2052:25 0.000140933 -38 *1997:61 *26689:A 0 -39 *1997:69 *26689:A 0 -40 *1997:69 *2052:28 0.000196269 -41 *1998:28 *2052:28 0.000125731 -42 *2001:18 *26689:A 0.000121573 -43 *2001:18 *2052:28 0.000104324 -44 *2001:43 *2052:28 0.000138797 -45 *2001:47 *2052:28 0.000191921 -46 *2002:8 *2052:28 0.000407204 -47 *2004:13 *26512:C1 0.000250504 -48 *2004:13 *2052:28 0.000691457 -49 *2005:19 *26689:A 3.25078e-05 -50 *2007:10 *2052:28 0.00010627 -51 *2007:56 *2052:28 9.84792e-05 -52 *2007:64 *26689:A 0 -53 *2016:14 *26689:A 0.000116449 -54 *2016:24 *26689:A 3.96001e-05 -55 *2024:95 *26512:C1 3.15772e-05 -*RES -1 *26110:Y *2052:4 9.3 -2 *2052:4 *26689:A 36.6214 -3 *2052:4 *2052:25 5.85714 -4 *2052:25 *2052:28 46.9375 -5 *2052:28 *26512:C1 23.4696 -*END - -*D_NET *2053 0.0343714 -*CONN -*I *26154:B I *D sky130_fd_sc_hd__and4_1 -*I *26521:D_N I *D sky130_fd_sc_hd__or4b_1 -*I *26267:D1 I *D sky130_fd_sc_hd__o2111a_1 -*I *26111:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *26154:B 0.00154107 -2 *26521:D_N 0.00147924 -3 *26267:D1 0.00111895 -4 *26111:Y 3.26257e-05 -5 *2053:42 0.00229593 -6 *2053:36 0.00265621 -7 *2053:34 0.00105697 -8 *2053:21 0.0023518 -9 *2053:7 0.00286852 -10 *26154:B *26690:B 0.000348154 -11 *26154:B *26690:C 1.03904e-05 -12 *26154:B *2054:8 2.02692e-05 -13 *26154:B *2092:13 0.000222666 -14 *26154:B *2252:12 8.25843e-06 -15 *26154:B *2392:10 0.000440766 -16 *26154:B *2514:17 0.000643113 -17 *26154:B *5615:8 3.72932e-05 -18 *26154:B *5673:300 0.000143813 -19 *26267:D1 *26267:A1 0.000135028 -20 *26267:D1 *26268:D_N 0.000107363 -21 *26267:D1 *26511:A_N 3.4689e-05 -22 *26267:D1 *26599:A1 2.59355e-05 -23 *26267:D1 *26599:A2 2.46432e-05 -24 *26267:D1 *26599:A3 0.000140243 -25 *26267:D1 *26599:B1 0.000252613 -26 *26267:D1 *2128:23 0.000260152 -27 *26267:D1 *3581:76 0.0028459 -28 *26521:D_N *26411:A2 0.000576427 -29 *26521:D_N *2129:44 0.00014452 -30 *26521:D_N *2352:7 5.52302e-05 -31 *26521:D_N *2439:9 0.000496024 -32 *2053:7 *26690:C 5.33005e-05 -33 *2053:21 *26172:A1 0 -34 *2053:21 *26451:A2 0.000157967 -35 *2053:21 *26690:C 0.000862902 -36 *2053:21 *26803:B1 5.74243e-05 -37 *2053:21 *2083:27 0.000252714 -38 *2053:21 *2190:139 0.000149911 -39 *2053:21 *2392:10 0.000707847 -40 *2053:21 *2628:8 0.000460398 -41 *2053:21 *5007:48 7.42583e-05 -42 *2053:34 *26623:A 0.000172332 -43 *2053:34 *2075:15 0.000337637 -44 *2053:34 *5545:21 2.29088e-05 -45 *2053:34 *5545:22 0.00141854 -46 *2053:34 *5723:26 0.000504595 -47 *2053:42 *26411:A2 0.00143032 -48 *2053:42 *2204:120 0.000169888 -49 *2053:42 *2324:20 0.000238522 -50 *26066:A *2053:21 0.000205494 -51 *26070:A *26154:B 2.89114e-05 -52 *26145:A *26154:B 0.000469778 -53 *26310:A *26154:B 1.21258e-05 -54 *26348:A *2053:34 0.000101545 -55 *31013:A *26154:B 9.46929e-05 -56 *1874:27 *2053:34 0.000142508 -57 *1931:51 *2053:7 1.98839e-05 -58 *1941:50 *2053:21 2.04825e-05 -59 *1942:169 *2053:21 0.000128323 -60 *1943:37 *2053:21 9.41642e-05 -61 *1946:208 *2053:21 9.60939e-05 -62 *1953:82 *2053:34 0.000352679 -63 *1966:58 *2053:34 1.18589e-05 -64 *1977:15 *2053:34 7.99093e-06 -65 *1987:32 *2053:34 0.00026546 -66 *1988:11 *2053:34 0.000122875 -67 *2005:45 *2053:34 0.000409666 -68 *2008:35 *2053:21 0.000405147 -69 *2011:16 *2053:34 0.000352635 -70 *2011:118 *2053:34 0.000250197 -71 *2011:140 *2053:21 0.000241765 -72 *2019:12 *2053:34 6.70047e-05 -73 *2023:15 *2053:42 2.14121e-05 -74 *2029:50 *2053:7 9.41642e-05 -75 *2036:25 *2053:21 0.000773207 -76 *2040:62 *26521:D_N 0.000135028 -*RES -1 *26111:Y *2053:7 14.7464 -2 *2053:7 *2053:21 48.6668 -3 *2053:21 *2053:34 46.4189 -4 *2053:34 *2053:36 4.5 -5 *2053:36 *2053:42 26.25 -6 *2053:42 *26267:D1 37.7821 -7 *2053:36 *26521:D_N 28.8714 -8 *2053:7 *26154:B 44.3536 -*END - -*D_NET *2054 0.0378723 -*CONN -*I *26569:C1 I *D sky130_fd_sc_hd__o221a_1 -*I *26267:C1 I *D sky130_fd_sc_hd__o2111a_1 -*I *26453:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26152:B I *D sky130_fd_sc_hd__and4b_1 -*I *26471:A I *D sky130_fd_sc_hd__nand2_1 -*I *26112:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *26569:C1 9.64262e-05 -2 *26267:C1 0.000270019 -3 *26453:B1 0 -4 *26152:B 0 -5 *26471:A 0.000282991 -6 *26112:Y 0.000757554 -7 *2054:56 0.000340516 -8 *2054:53 0.0012913 -9 *2054:51 0.00196305 -10 *2054:48 0.00238197 -11 *2054:38 0.00308035 -12 *2054:15 0.00159703 -13 *2054:8 0.00341579 -14 *26267:C1 *2176:27 0.000385717 -15 *26267:C1 *2394:11 1.98839e-05 -16 *26267:C1 *2470:11 0.000786858 -17 *26471:A *26152:D 3.57163e-05 -18 *26471:A *26471:B 0 -19 *26471:A *26750:B 3.17163e-05 -20 *26471:A *2089:20 0 -21 *26471:A *2432:11 2.66026e-05 -22 *2054:8 *26567:A2 0.000165794 -23 *2054:8 *26686:B 2.89016e-05 -24 *2054:8 *26690:B 0.00039392 -25 *2054:8 *26690:C 0.00050777 -26 *2054:8 *2522:11 0.000173182 -27 *2054:8 *2685:11 5.33005e-05 -28 *2054:15 *2520:18 0.00047376 -29 *2054:38 *26569:A1 0.000620614 -30 *2054:38 *26569:B1 6.05161e-06 -31 *2054:38 *26690:B 0.000134516 -32 *2054:38 *2154:143 2.09826e-05 -33 *2054:38 *2507:21 1.94879e-05 -34 *2054:38 *5914:90 0.000135028 -35 *2054:48 *26580:B2 0.000264934 -36 *2054:48 *2500:26 0.000132966 -37 *2054:48 *2520:13 1.90303e-05 -38 *2054:48 *5914:90 0.00105099 -39 *2054:51 *2228:11 0.000105696 -40 *2054:51 *4508:70 2.8046e-05 -41 *2054:51 *4530:37 0.000767383 -42 *2054:51 *4541:22 5.66295e-05 -43 *2054:51 *4541:24 1.09026e-05 -44 *2054:51 *4552:89 0.000264565 -45 *2054:53 *2142:25 0.00137572 -46 *2054:53 *2161:29 0.0011371 -47 *2054:53 *4552:89 9.25014e-06 -48 *2054:53 *4552:91 0.000164974 -49 *2054:53 *4552:105 0.000130051 -50 *2054:53 *6291:88 0.000160692 -51 *2054:56 *2394:11 6.05161e-06 -52 *25987:A *2054:48 0.00022266 -53 *25987:B *2054:48 8.68665e-05 -54 *25991:B *2054:51 0.000245349 -55 *26020:B *2054:53 4.22135e-06 -56 *26107:A *26267:C1 5.33005e-05 -57 *26107:A *2054:56 8.2607e-05 -58 *26112:A *2054:8 0.000178021 -59 *26121:B *2054:15 0.000111166 -60 *26152:A_N *2054:15 0.000329617 -61 *26154:B *2054:8 2.02692e-05 -62 *26286:A *2054:51 0.000802567 -63 *26291:C *2054:53 0.000551866 -64 *26325:A1 *2054:51 0.000116038 -65 *26333:A1 *2054:8 0.000359087 -66 *26333:A1 *2054:38 0.000263924 -67 *26453:A1 *2054:56 9.58126e-05 -68 *26458:A3 *2054:48 5.87854e-05 -69 *26569:B2 *26569:C1 0 -70 *26580:A2 *26569:C1 0 -71 *26580:A2 *2054:48 1.21258e-05 -72 *1186:47 *2054:56 4.35597e-05 -73 *1187:48 *2054:53 0.00137273 -74 *1874:27 *2054:53 0.000301954 -75 *1874:35 *2054:53 0.000110498 -76 *1909:18 *2054:53 0.000276517 -77 *1910:20 *2054:53 1.94945e-05 -78 *1910:27 *2054:53 0.00108472 -79 *1930:17 *2054:8 1.94945e-05 -80 *1935:135 *2054:48 0.00160262 -81 *1946:16 *2054:51 0.000849219 -82 *1946:16 *2054:53 0.000120541 -83 *1962:8 *2054:53 3.22738e-05 -84 *2006:89 *2054:8 4.92428e-05 -85 *2022:129 *2054:38 0.000115139 -86 *2025:47 *2054:38 0.000829636 -87 *2025:55 *2054:38 3.06384e-05 -88 *2027:13 *2054:15 1.38323e-05 -89 *2027:15 *2054:15 0.00085038 -90 *2032:35 *2054:38 0.000764462 -91 *2032:43 *2054:38 9.67344e-05 -92 *2038:193 *2054:8 0 -93 *2040:24 *26471:A 0 -94 *2046:77 *26267:C1 0.00020694 -95 *2048:19 *2054:53 0.000168939 -96 *2048:19 *2054:56 0.000136682 -*RES -1 *26112:Y *2054:8 32.675 -2 *2054:8 *2054:15 22.7321 -3 *2054:15 *26471:A 23.5857 -4 *2054:15 *26152:B 9.3 -5 *2054:8 *2054:38 20.4365 -6 *2054:38 *2054:48 41.875 -7 *2054:48 *2054:51 25.25 -8 *2054:51 *2054:53 46.5714 -9 *2054:53 *2054:56 6.67857 -10 *2054:56 *26453:B1 9.3 -11 *2054:56 *26267:C1 28.9786 -12 *2054:38 *26569:C1 11.6304 -*END - -*D_NET *2055 0.00227826 -*CONN -*I *26123:B1 I *D sky130_fd_sc_hd__o311a_1 -*I *26113:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *26123:B1 0.000971451 -2 *26113:X 0.000971451 -3 *26123:B1 *26581:B 0 -4 *26123:B1 *26680:A2 9.1377e-05 -5 *26123:B1 *2065:21 5.50052e-05 -6 *26123:B1 *2401:23 1.90936e-05 -7 *26123:B1 *2500:26 5.12774e-05 -8 *26123:B1 *6291:186 0 -9 *26108:A *26123:B1 5.33005e-05 -10 *1995:10 *26123:B1 6.53083e-05 -*RES -1 *26113:X *26123:B1 44.359 -*END - -*D_NET *2056 0.0260385 -*CONN -*I *26785:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26149:B1 I *D sky130_fd_sc_hd__o2111a_1 -*I *26518:D_N I *D sky130_fd_sc_hd__or4b_1 -*I *26114:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *26785:B1 0 -2 *26149:B1 0.000130153 -3 *26518:D_N 0 -4 *26114:X 0.000150489 -5 *2056:53 0.00137862 -6 *2056:37 0.00208852 -7 *2056:28 0.00162242 -8 *2056:8 0.00131099 -9 *2056:7 0.00131099 -10 *2056:5 0.00119317 -11 *2056:8 *26394:B 0.000188019 -12 *2056:8 *2343:22 4.50033e-05 -13 *2056:8 *2595:21 0.00024794 -14 *2056:8 *2741:11 0.00195439 -15 *2056:8 *5782:164 0.000243627 -16 *2056:8 *5782:183 0.000241036 -17 *2056:28 *26753:A1 0.000135028 -18 *2056:28 *26805:B1 3.90513e-05 -19 *2056:28 *2086:10 0.000136951 -20 *2056:28 *2525:13 0.000168939 -21 *2056:28 *2632:10 0.000703222 -22 *2056:28 *2632:12 0.000185252 -23 *2056:28 *2723:13 0.000210131 -24 *2056:28 *5673:309 3.14964e-05 -25 *2056:37 *2086:16 0 -26 *2056:37 *2087:13 0.000108824 -27 *2056:37 *2514:17 0.000177836 -28 *2056:37 *2687:10 0.000961367 -29 *2056:53 *25508:B 0 -30 *2056:53 *25508:C_N 0.000358971 -31 *2056:53 *25508:D_N 0.000124969 -32 *2056:53 *26465:B1 0.000445724 -33 *2056:53 *26472:A2 0.000786363 -34 *2056:53 *26489:A 0 -35 *2056:53 *26489:B 8.00806e-05 -36 *2056:53 *26678:A 0 -37 *2056:53 *26786:B 0 -38 *2056:53 *26786:D 9.91093e-05 -39 *2056:53 *2087:13 0.000148911 -40 *2056:53 *2406:8 0 -41 *2056:53 *2687:10 4.97121e-06 -42 *26144:B *2056:5 0.000301438 -43 *26144:B *2056:28 0.00029388 -44 *26151:B1 *2056:53 6.44501e-05 -45 *26465:A1 *2056:53 0.000220463 -46 *26751:A *2056:28 0.000372109 -47 *1188:40 *2056:37 0.000175892 -48 *1862:22 *2056:28 0.000135028 -49 *1931:51 *2056:37 0.000177591 -50 *1967:36 *2056:28 2.24079e-05 -51 *1967:44 *2056:28 2.89114e-05 -52 *1986:96 *2056:28 0.00106862 -53 *1986:136 *2056:37 5.41794e-05 -54 *1986:136 *2056:53 0.000150625 -55 *1996:78 *2056:8 0.000230635 -56 *1996:83 *2056:8 0.000742991 -57 *2008:12 *2056:8 0.00276166 -58 *2008:35 *2056:5 9.41642e-05 -59 *2011:145 *2056:28 0.000241163 -60 *2019:94 *2056:8 0 -61 *2022:101 *2056:28 0.000798408 -62 *2022:112 *26149:B1 0.000182694 -63 *2022:112 *2056:37 8.27532e-05 -64 *2024:65 *2056:8 0.000183213 -65 *2032:10 *2056:53 0.000229443 -66 *2032:19 *2056:53 0.000413234 -67 *2046:51 *2056:53 0 -*RES -1 *26114:X *2056:5 12.3 -2 *2056:5 *2056:7 4.5 -3 *2056:7 *2056:8 48.6964 -4 *2056:8 *26518:D_N 13.8 -5 *2056:5 *2056:28 47.125 -6 *2056:28 *2056:37 28.7857 -7 *2056:37 *26149:B1 11.0679 -8 *2056:37 *2056:53 45.125 -9 *2056:53 *26785:B1 9.3 -*END - -*D_NET *2057 0.00714193 -*CONN -*I *26630:B1 I *D sky130_fd_sc_hd__o32a_1 -*I *26617:B I *D sky130_fd_sc_hd__nor2_4 -*I *26115:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26630:B1 0 -2 *26617:B 0.0004676 -3 *26115:Y 0.000840698 -4 *2057:8 0.0013083 -5 *26617:B *26386:C1 5.41797e-06 -6 *26617:B *26631:B 3.41004e-05 -7 *26617:B *26631:D 4.08796e-05 -8 *26617:B *2490:23 0 -9 *26617:B *2602:8 1.90936e-05 -10 *26617:B *5664:86 0.000153008 -11 *2057:8 *26631:B 1.13495e-05 -12 *2057:8 *26631:C 0.000125542 -13 *2057:8 *26631:D 8.23514e-05 -14 *2057:8 *2298:26 0.000177704 -15 *2057:8 *2310:11 0.000122091 -16 *2057:8 *2564:8 0.00018119 -17 *26115:A *2057:8 5.95527e-06 -18 *26115:B *2057:8 1.21258e-05 -19 *26495:A *26617:B 5.33005e-05 -20 *26512:C1 *26617:B 2.06112e-05 -21 *26617:A *26617:B 1.21371e-05 -22 *1188:97 *2057:8 0.000353105 -23 *1930:152 *2057:8 9.59532e-06 -24 *1976:11 *2057:8 0.000263663 -25 *1989:30 *26617:B 0.000345322 -26 *1997:75 *26617:B 0.000538617 -27 *1997:75 *2057:8 0.00195818 -*RES -1 *26115:Y *2057:8 40.2643 -2 *2057:8 *26617:B 29.5994 -3 *2057:8 *26630:B1 13.8 -*END - -*D_NET *2058 0.00534152 -*CONN -*I *26468:A I *D sky130_fd_sc_hd__nand2_1 -*I *26160:A1 I *D sky130_fd_sc_hd__a41oi_1 -*I *26550:A I *D sky130_fd_sc_hd__nand2_1 -*I *26116:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *26468:A 0.000251383 -2 *26160:A1 0 -3 *26550:A 0.000107659 -4 *26116:X 0.00115891 -5 *2058:20 0.000417393 -6 *2058:7 0.00143258 -7 *26468:A *26273:A2 0.000169611 -8 *26468:A *26468:B 0.000104236 -9 *26550:A *26160:A4 4.57352e-05 -10 *26550:A *2102:12 0.000126439 -11 *2058:20 *26160:A2 1.02504e-05 -12 *2058:20 *26160:A3 2.82057e-05 -13 *2058:20 *26160:A4 0.000149744 -14 *2058:20 *26273:A2 2.89114e-05 -15 *2058:20 *26554:B 0.000101444 -16 *2058:20 *2102:12 0.000394719 -17 *2058:20 *2282:26 4.00025e-05 -18 *1880:18 *26468:A 0 -19 *1884:35 *26468:A 6.05161e-06 -20 *1942:192 *2058:7 0.00076825 -*RES -1 *26116:X *2058:7 27.4786 -2 *2058:7 *26550:A 16.3893 -3 *2058:7 *2058:20 10.9286 -4 *2058:20 *26160:A1 9.3 -5 *2058:20 *26468:A 23.5679 -*END - -*D_NET *2059 0.000384673 -*CONN -*I *26160:A2 I *D sky130_fd_sc_hd__a41oi_1 -*I *26117:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26160:A2 9.4632e-05 -2 *26117:X 9.4632e-05 -3 *26160:A2 *26160:A3 0.000127439 -4 *26160:A2 *2282:26 3.84291e-05 -5 *26117:A *26160:A2 1.92905e-05 -6 *2058:20 *26160:A2 1.02504e-05 -*RES -1 *26117:X *26160:A2 29.7786 -*END - -*D_NET *2060 0.0313559 -*CONN -*I *26393:A2 I *D sky130_fd_sc_hd__a2111o_1 -*I *26494:B I *D sky130_fd_sc_hd__nor2_1 -*I *26508:A2 I *D sky130_fd_sc_hd__o31a_1 -*I *26507:A3 I *D sky130_fd_sc_hd__o31a_1 -*I *26767:B2 I *D sky130_fd_sc_hd__a2bb2oi_1 -*I *26720:A2 I *D sky130_fd_sc_hd__o31a_1 -*I *26721:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *26602:A1 I *D sky130_fd_sc_hd__a211o_1 -*I *26717:A2 I *D sky130_fd_sc_hd__a211o_1 -*I *26352:B I *D sky130_fd_sc_hd__nor2_1 -*I *26118:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *26393:A2 0 -2 *26494:B 0.000120754 -3 *26508:A2 0 -4 *26507:A3 5.78408e-05 -5 *26767:B2 0.00059525 -6 *26720:A2 1.49752e-05 -7 *26721:A1 0 -8 *26602:A1 4.56955e-05 -9 *26717:A2 4.40243e-05 -10 *26352:B 0.000672952 -11 *26118:Y 0.000462516 -12 *2060:128 0.000835263 -13 *2060:109 0.00165087 -14 *2060:101 0.00074806 -15 *2060:91 0.000353135 -16 *2060:42 0.00118182 -17 *2060:40 0.00159063 -18 *2060:25 0.00172103 -19 *2060:15 0.000755394 -20 *2060:10 0.00097232 -21 *2060:8 0.000982193 -22 *26352:B *26603:D 5.54204e-05 -23 *26352:B *26724:B 5.54204e-05 -24 *26352:B *2150:10 0.000271958 -25 *26352:B *2634:17 0.000688862 -26 *26352:B *5664:131 0.000140011 -27 *26507:A3 *26509:C 1.88482e-05 -28 *26507:A3 *2099:68 0.000119385 -29 *26602:A1 *2146:38 9.90431e-05 -30 *26602:A1 *2154:61 5.33433e-05 -31 *26717:A2 *26717:B1 0.000180777 -32 *26717:A2 *2141:144 0.000137983 -33 *26717:A2 *2656:11 2.28598e-05 -34 *26720:A2 *26720:A3 5.33005e-05 -35 *26767:B2 *26421:B 0.000137983 -36 *26767:B2 *26767:B1 5.33005e-05 -37 *26767:B2 *2098:57 0.000163319 -38 *2060:8 *26404:A 0.000279636 -39 *2060:8 *2099:30 4.58194e-05 -40 *2060:8 *2103:84 0.000946736 -41 *2060:8 *2103:88 3.34366e-05 -42 *2060:8 *2111:69 0.000100699 -43 *2060:10 *2103:88 0.000686882 -44 *2060:10 *2111:69 0.000165055 -45 *2060:15 *26500:B 3.34295e-05 -46 *2060:15 *26720:A3 4.17433e-05 -47 *2060:15 *2103:88 0.000171375 -48 *2060:15 *2103:89 5.49544e-05 -49 *2060:15 *2124:41 4.038e-06 -50 *2060:25 *26500:B 6.49552e-05 -51 *2060:25 *26721:A2 4.18895e-05 -52 *2060:25 *26760:B 0.000151608 -53 *2060:25 *2111:69 0.000113624 -54 *2060:25 *2124:41 5.58941e-05 -55 *2060:25 *2315:8 0.000335073 -56 *2060:25 *2315:18 0.000493115 -57 *2060:25 *4508:194 2.77258e-05 -58 *2060:40 *26601:B1 0.000123295 -59 *2060:40 *26602:A2 0.000339962 -60 *2060:40 *26718:B 0.000175892 -61 *2060:40 *26721:A2 0.00109826 -62 *2060:40 *2110:26 8.08022e-05 -63 *2060:40 *2149:110 9.85835e-05 -64 *2060:40 *2680:17 7.90803e-05 -65 *2060:40 *4189:60 9.62904e-05 -66 *2060:40 *4508:194 0.000344225 -67 *2060:40 *5722:131 0 -68 *2060:42 *2149:110 0.000170661 -69 *2060:42 *2150:10 0.000873259 -70 *2060:42 *4189:41 2.99773e-05 -71 *2060:42 *5664:131 0.000428498 -72 *2060:42 *5722:131 0 -73 *2060:91 *26508:A3 0.000511661 -74 *2060:91 *2345:7 0.000298483 -75 *2060:101 *26508:A3 9.41642e-05 -76 *2060:109 *26508:A3 5.33005e-05 -77 *2060:109 *26508:B1 0.000306317 -78 *2060:109 *26630:A3 0.000204318 -79 *2060:109 *26631:D 0.000383166 -80 *2060:109 *2490:23 0.000470791 -81 *2060:128 *26364:B 8.43535e-06 -82 *2060:128 *2169:64 0.00030199 -83 *2060:128 *2306:7 0.000135028 -84 *2060:128 *2308:18 0.000338359 -85 *2060:128 *2460:8 6.57032e-05 -86 *2060:128 *2569:6 0.000338359 -87 *26084:A *26767:B2 5.52238e-05 -88 *26084:B *26767:B2 3.14193e-05 -89 *26118:B *2060:8 5.33005e-05 -90 *26156:B *2060:8 0.000232012 -91 *26364:A *2060:128 0.000272892 -92 *26393:A1 *2060:128 0.000216755 -93 *26409:A1 *26352:B 2.60984e-05 -94 *26432:B1 *26720:A2 4.08637e-05 -95 *26432:B1 *2060:15 9.86146e-05 -96 *26605:A *2060:10 8.20967e-05 -97 *26630:B2 *2060:109 1.21258e-05 -98 *26759:C1 *26767:B2 8.57023e-05 -99 *373:63 *2060:128 0.00129314 -100 *1393:49 *26494:B 6.57032e-05 -101 *1939:6 *2060:8 3.06511e-05 -102 *1939:8 *2060:8 0.000138634 -103 *1952:39 *2060:8 1.50181e-05 -104 *1952:39 *2060:10 0.000176788 -105 *1952:39 *2060:15 5.75896e-05 -106 *1996:99 *26494:B 0.000136951 -107 *1996:99 *2060:109 1.02936e-05 -108 *2005:45 *26494:B 0.000167619 -109 *2005:45 *2060:128 0.000631051 -110 *2006:12 *26494:B 0.000165911 -111 *2006:12 *2060:128 0.000638579 -112 *2016:74 *26507:A3 8.51085e-05 -113 *2026:7 *26767:B2 0.0001827 -*RES -1 *26118:Y *2060:8 27.6214 -2 *2060:8 *2060:10 8.92857 -3 *2060:10 *2060:15 8 -4 *2060:15 *2060:25 22.9821 -5 *2060:25 *2060:40 33.5714 -6 *2060:40 *2060:42 14.3929 -7 *2060:42 *26352:B 34.5143 -8 *2060:42 *26717:A2 15.5679 -9 *2060:40 *26602:A1 15.175 -10 *2060:25 *26721:A1 9.3 -11 *2060:15 *26720:A2 9.83571 -12 *2060:10 *26767:B2 24.6036 -13 *2060:8 *2060:91 9.96429 -14 *2060:91 *26507:A3 19.9429 -15 *2060:91 *2060:101 0.946429 -16 *2060:101 *26508:A2 9.3 -17 *2060:101 *2060:109 18.1786 -18 *2060:109 *26494:B 17.4071 -19 *2060:109 *2060:128 41.2321 -20 *2060:128 *26393:A2 9.3 -*END - -*D_NET *2061 0.0385621 -*CONN -*I *26401:A1 I *D sky130_fd_sc_hd__a21oi_2 -*I *26120:B I *D sky130_fd_sc_hd__or2_1 -*I *26495:B I *D sky130_fd_sc_hd__nand2_1 -*I *26737:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *26718:A I *D sky130_fd_sc_hd__nor2_1 -*I *26353:B I *D sky130_fd_sc_hd__nand2_1 -*I *26713:A2 I *D sky130_fd_sc_hd__o22a_1 -*I *26381:A2 I *D sky130_fd_sc_hd__a21oi_1 -*I *26119:Y O *D sky130_fd_sc_hd__nand2_4 -*CAP -1 *26401:A1 0.000232194 -2 *26120:B 2.23854e-05 -3 *26495:B 5.89749e-05 -4 *26737:A2 0 -5 *26718:A 0.000985748 -6 *26353:B 0 -7 *26713:A2 0.00111909 -8 *26381:A2 0.000489508 -9 *26119:Y 0 -10 *2061:102 0.00136499 -11 *2061:68 0.00226958 -12 *2061:41 0.00332726 -13 *2061:26 0.00481458 -14 *2061:21 0.00177764 -15 *2061:18 0.00203042 -16 *2061:4 0.00101342 -17 *26381:A2 *26119:A 0.000171881 -18 *26381:A2 *2327:7 4.58194e-05 -19 *26401:A1 *26158:B 0.000578438 -20 *26401:A1 *26657:B 0.000316023 -21 *26401:A1 *2342:31 1.24368e-05 -22 *26495:B *2444:8 3.69047e-06 -23 *26495:B *2595:31 1.90936e-05 -24 *26713:A2 *26442:B 0.000241085 -25 *26713:A2 *26764:A 0.000139337 -26 *26713:A2 *2201:23 5.33005e-05 -27 *26713:A2 *2295:9 0.00031203 -28 *26713:A2 *2313:7 5.33005e-05 -29 *26713:A2 *2316:28 4.54517e-05 -30 *26713:A2 *2534:37 0.00181913 -31 *26713:A2 *6358:12 4.97121e-06 -32 *26718:A *26769:C_N 0.000103041 -33 *26718:A *2110:26 0.000317594 -34 *26718:A *2212:29 0.000559554 -35 *26718:A *2658:9 0.00012501 -36 *2061:18 *26119:A 5.03772e-05 -37 *2061:18 *26365:C 4.85033e-05 -38 *2061:18 *26393:D1 0.000290078 -39 *2061:18 *26411:A1 0.00083094 -40 *2061:18 *5007:48 2.11366e-05 -41 *2061:21 *26665:C1 6.43982e-05 -42 *2061:26 *26665:C1 0.000179777 -43 *2061:26 *26806:C1 0.000414452 -44 *2061:26 *2352:24 0.000114697 -45 *2061:26 *2595:42 0.000106023 -46 *2061:41 *26439:A 2.1698e-05 -47 *2061:41 *26755:D1 0.000112577 -48 *2061:41 *26756:B 0.000121787 -49 *2061:41 *26792:A1 0.000238562 -50 *2061:41 *26806:C1 0.000486304 -51 *2061:41 *28959:A 0.000257619 -52 *2061:41 *2352:24 0.000107807 -53 *2061:41 *2352:40 0.00114975 -54 *2061:41 *2383:8 0.000937222 -55 *2061:41 *2551:14 0.000763899 -56 *2061:68 *26632:B 0.0003482 -57 *2061:68 *26632:C 0.000554173 -58 *2061:68 *2083:31 2.06178e-05 -59 *2061:68 *2104:85 0.000265453 -60 *2061:68 *2104:93 0.000301222 -61 *2061:68 *2212:29 9.86146e-05 -62 *2061:68 *2436:36 2.04825e-05 -63 *2061:68 *2557:30 8.70495e-05 -64 *2061:102 *26401:A2 0.00138373 -65 *2061:102 *26411:A1 0.000136951 -66 *2061:102 *26665:C1 3.20942e-05 -67 *2061:102 *2458:10 0.000113778 -68 *26120:A *26401:A1 6.05161e-06 -69 *26353:A *26713:A2 4.46186e-06 -70 *26358:A *2061:26 0 -71 *26495:A *26495:B 7.02525e-05 -72 *26664:A2 *2061:102 1.21258e-05 -73 *26737:A1 *2061:68 0.000167232 -74 *1930:112 *26401:A1 4.73656e-05 -75 *1930:121 *26401:A1 0.000200219 -76 *1930:152 *26381:A2 0.000922838 -77 *1930:152 *2061:18 0.000248138 -78 *1953:82 *26381:A2 0.000317983 -79 *2008:12 *2061:18 0.0011707 -80 *2008:12 *2061:102 0.000115485 -81 *2011:54 *26495:B 1.90936e-05 -82 *2011:54 *2061:41 0.0010927 -83 *2016:67 *2061:21 0 -84 *2019:125 *2061:41 6.05163e-05 -*RES -1 *26119:Y *2061:4 9.3 -2 *2061:4 *26381:A2 21.3536 -3 *2061:4 *2061:18 25.9546 -4 *2061:18 *2061:21 0.6674 -5 *2061:21 *2061:26 6.09997 -6 *2061:26 *2061:41 42.9086 -7 *2061:41 *26713:A2 41.6929 -8 *2061:41 *26353:B 9.3 -9 *2061:26 *2061:68 34.4298 -10 *2061:68 *26718:A 33.175 -11 *2061:68 *26737:A2 9.3 -12 *2061:21 *26495:B 18.4511 -13 *2061:18 *2061:102 27.7886 -14 *2061:102 *26120:B 9.72857 -15 *2061:102 *26401:A1 26.6571 -*END - -*D_NET *2062 0.0180847 -*CONN -*I *26155:B1 I *D sky130_fd_sc_hd__o311a_1 -*I *26497:A I *D sky130_fd_sc_hd__nand2_1 -*I *26674:A I *D sky130_fd_sc_hd__and3_1 -*I *26120:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26155:B1 0.000720945 -2 *26497:A 0.000193195 -3 *26674:A 0 -4 *26120:X 0.000149308 -5 *2062:44 0.00203213 -6 *2062:39 0.00216902 -7 *2062:14 0.00116602 -8 *2062:5 0.000264295 -9 *26155:B1 *26160:A3 3.52655e-05 -10 *26155:B1 *26493:A1 7.83587e-05 -11 *26155:B1 *26493:A2 0.000332779 -12 *26155:B1 *26554:C 0.000142082 -13 *26155:B1 *2282:26 0.00016577 -14 *26155:B1 *5729:110 0 -15 *26497:A *26497:B 0.000175892 -16 *26497:A *3461:11 0.000466823 -17 *26497:A *3566:7 8.54775e-05 -18 *2062:5 *2283:23 3.63033e-05 -19 *2062:5 *2342:31 1.92789e-05 -20 *2062:14 *2283:23 1.40306e-05 -21 *2062:14 *3581:33 0.0002131 -22 *2062:14 *6352:31 0.000107449 -23 *2062:39 *26449:A2 1.58163e-05 -24 *2062:39 *26522:B1 0.000175892 -25 *2062:39 *2284:35 0.000468909 -26 *2062:39 *3581:26 0.00027805 -27 *2062:39 *3581:28 0.000213131 -28 *2062:39 *3581:33 0.000413684 -29 *2062:39 *6352:31 0.000894637 -30 *2062:44 *26347:A2 0.000129868 -31 *2062:44 *26550:B 0.000143797 -32 *2062:44 *2284:35 0.000471424 -33 *2062:44 *2287:14 0.00136466 -34 *2062:44 *2287:19 0.000636107 -35 *2062:44 *2342:43 0.000362048 -36 *2062:44 *5615:21 0.00149819 -37 *26117:A *2062:44 7.70092e-05 -38 *26117:B *2062:44 0.000175892 -39 *26341:B *2062:44 0.000414748 -40 *28071:RESET_B *26155:B1 0 -41 *373:65 *2062:39 4.12921e-05 -42 *1880:18 *26155:B1 0 -43 *1884:56 *2062:14 5.85721e-05 -44 *1930:40 *26155:B1 9.82585e-05 -45 *1930:98 *2062:39 7.80714e-06 -46 *1935:193 *26155:B1 0.000399214 -47 *1997:45 *2062:44 0.000697928 -48 *2016:61 *2062:39 0.000328681 -49 *2016:61 *2062:44 0.000151588 -*RES -1 *26120:X *2062:5 11.4786 -2 *2062:5 *26674:A 9.3 -3 *2062:5 *2062:14 7.89286 -4 *2062:14 *26497:A 18.8714 -5 *2062:14 *2062:39 41.3304 -6 *2062:39 *2062:44 49.5804 -7 *2062:44 *26155:B1 35.5857 -*END - -*D_NET *2063 0.014814 -*CONN -*I *26446:D_N I *D sky130_fd_sc_hd__or4b_1 -*I *26506:A I *D sky130_fd_sc_hd__nand2_1 -*I *26152:C I *D sky130_fd_sc_hd__and4b_1 -*I *26121:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26446:D_N 0.000141439 -2 *26506:A 0.000145529 -3 *26152:C 8.16847e-05 -4 *26121:X 0.000158541 -5 *2063:17 0.000404117 -6 *2063:14 0.0017909 -7 *2063:13 0.00167376 -8 *2063:5 0.000240226 -9 *26152:C *26154:C 7.32173e-05 -10 *26446:D_N *26396:B1 0.000148903 -11 *26446:D_N *26446:C 5.33005e-05 -12 *26446:D_N *26666:B 1.17921e-05 -13 *26446:D_N *2237:26 0.000238163 -14 *26446:D_N *5615:38 6.35819e-05 -15 *2063:5 *26154:C 0.00021706 -16 *2063:14 *26492:D 0.0017092 -17 *2063:14 *26578:C 0.000128154 -18 *2063:14 *26692:B 4.53124e-05 -19 *2063:14 *2223:50 0.000913 -20 *2063:14 *5615:21 0 -21 *26121:B *26152:C 0.000271339 -22 *26121:B *2063:5 0.000309273 -23 *26153:B1 *2063:14 0.000117766 -24 *26396:A2 *26506:A 8.85532e-05 -25 *26396:A2 *2063:17 0.000105471 -26 *26469:A2 *2063:14 1.37292e-05 -27 *1935:193 *2063:5 4.87953e-05 -28 *1948:16 *2063:14 0.000411106 -29 *1997:34 *2063:14 0.000447911 -30 *1997:45 *2063:14 0.000549691 -31 *2016:61 *26506:A 0.000219711 -32 *2016:61 *2063:17 0.000290925 -33 *2040:24 *2063:14 0.000738594 -34 *2040:28 *2063:14 7.07301e-05 -35 *2040:50 *2063:14 0.000107895 -36 *2040:62 *2063:14 2.94103e-05 -37 *2045:102 *2063:14 0.00275523 -*RES -1 *26121:X *2063:5 13.9429 -2 *2063:5 *26152:C 11.8893 -3 *2063:5 *2063:13 4.5 -4 *2063:13 *2063:14 62.9643 -5 *2063:14 *2063:17 7.5 -6 *2063:17 *26506:A 12.3 -7 *2063:17 *26446:D_N 21.9964 -*END - -*D_NET *2064 0.00403257 -*CONN -*I *26123:A3 I *D sky130_fd_sc_hd__o311a_1 -*I *26122:X O *D sky130_fd_sc_hd__a2111o_1 -*CAP -1 *26123:A3 0.000669535 -2 *26122:X 0.000669535 -3 *26123:A3 *26122:C1 8.23597e-06 -4 *25986:B *26123:A3 0.000170654 -5 *26108:A *26123:A3 0.000180202 -6 *1771:43 *26123:A3 0.000587214 -7 *1907:22 *26123:A3 0.00144008 -8 *1959:59 *26123:A3 0.000260487 -9 *1959:61 *26123:A3 4.66203e-05 -*RES -1 *26122:X *26123:A3 48.3143 -*END - -*D_NET *2065 0.00394713 -*CONN -*I *26127:D I *D sky130_fd_sc_hd__and4b_1 -*I *26123:X O *D sky130_fd_sc_hd__o311a_1 -*CAP -1 *26127:D 0 -2 *26123:X 0.000798439 -3 *2065:21 0.000798439 -4 *2065:21 *2068:16 6.8646e-06 -5 *2065:21 *2250:82 5.4695e-05 -6 *26108:A *2065:21 0.000172201 -7 *26108:C_N *2065:21 0.000298483 -8 *26123:B1 *2065:21 5.50052e-05 -9 *26123:C1 *2065:21 9.58689e-05 -10 *26127:B *2065:21 0.000107681 -11 *26127:C *2065:21 6.71359e-05 -12 *26460:A *2065:21 0.000146069 -13 *1928:14 *2065:21 0.000170848 -14 *1931:45 *2065:21 0.000790546 -15 *2024:25 *2065:21 0.000373236 -16 *2033:40 *2065:21 1.16215e-05 -*RES -1 *26123:X *2065:21 45.483 -2 *2065:21 *26127:D 9.3 -*END - -*D_NET *2066 0.00535816 -*CONN -*I *26125:B1 I *D sky130_fd_sc_hd__o31ai_1 -*I *26124:X O *D sky130_fd_sc_hd__a2111o_1 -*CAP -1 *26125:B1 0 -2 *26124:X 0.000823753 -3 *2066:9 0.000823753 -4 *2066:9 *26680:B1 6.7904e-05 -5 *2066:9 *2067:5 0.000262504 -6 *26087:C_N *2066:9 0.000428224 -7 *26108:C_N *2066:9 0.000738206 -8 *1771:48 *2066:9 0.000129913 -9 *1906:20 *2066:9 0.00177585 -10 *1917:8 *2066:9 6.23017e-05 -11 *1917:13 *2066:9 9.11365e-06 -12 *1959:10 *2066:9 0.000191805 -13 *1959:19 *2066:9 4.48323e-05 -*RES -1 *26124:X *2066:9 44.3893 -2 *2066:9 *26125:B1 9.3 -*END - -*D_NET *2067 0.00279803 -*CONN -*I *26126:B I *D sky130_fd_sc_hd__or3b_1 -*I *26677:A I *D sky130_fd_sc_hd__or3b_1 -*I *26125:Y O *D sky130_fd_sc_hd__o31ai_1 -*CAP -1 *26126:B 0.000385478 -2 *26677:A 0 -3 *26125:Y 0.000146592 -4 *2067:5 0.000532071 -5 *26126:B *2507:21 0 -6 *26126:B *2514:10 0.000197984 -7 *26126:B *2616:8 9.94484e-05 -8 *26126:B *6291:186 4.04292e-05 -9 *26555:B *26126:B 0.00014161 -10 *26789:D *26126:B 7.89362e-05 -11 *1771:48 *26126:B 0.000124934 -12 *1771:48 *2067:5 8.33853e-05 -13 *1933:11 *26126:B 0.000188115 -14 *1933:11 *2067:5 0.00051654 -15 *2066:9 *2067:5 0.000262504 -*RES -1 *26125:Y *2067:5 14.3536 -2 *2067:5 *26677:A 9.3 -3 *2067:5 *26126:B 28.2464 -*END - -*D_NET *2068 0.0032741 -*CONN -*I *26127:A_N I *D sky130_fd_sc_hd__and4b_1 -*I *26126:X O *D sky130_fd_sc_hd__or3b_1 -*CAP -1 *26127:A_N 0 -2 *26126:X 0.000809555 -3 *2068:16 0.000809555 -4 *2068:16 *26558:B1 5.31122e-05 -5 *2068:16 *2069:21 0.000114143 -6 *2068:16 *2620:13 1.02504e-05 -7 *26126:A *2068:16 1.58163e-05 -8 *26555:A *2068:16 5.42384e-07 -9 *26555:B *2068:16 6.25524e-05 -10 *1906:20 *2068:16 0.000225873 -11 *1928:17 *2068:16 0.000526407 -12 *1984:16 *2068:16 8.40351e-06 -13 *2018:18 *2068:16 0.000366686 -14 *2024:25 *2068:16 0.00016677 -15 *2029:9 *2068:16 9.75679e-05 -16 *2065:21 *2068:16 6.8646e-06 -*RES -1 *26126:X *2068:16 42.2643 -2 *2068:16 *26127:A_N 9.3 -*END - -*D_NET *2069 0.00386049 -*CONN -*I *26129:B1 I *D sky130_fd_sc_hd__o211a_1 -*I *26127:X O *D sky130_fd_sc_hd__and4b_1 -*CAP -1 *26129:B1 0 -2 *26127:X 0.000895359 -3 *2069:21 0.000895359 -4 *2069:21 *26129:C1 3.69423e-05 -5 *2069:21 *26283:B 0.000109225 -6 *2069:21 *26558:B1 0.000235449 -7 *26109:B *2069:21 3.49086e-05 -8 *26127:B *2069:21 0.000140243 -9 *26129:A2 *2069:21 5.70443e-06 -10 *26130:B *2069:21 0.000102874 -11 *26476:A2 *2069:21 3.34366e-05 -12 *26555:A *2069:21 0.000195675 -13 *1934:22 *2069:21 0.000211994 -14 *1986:35 *2069:21 0.000121248 -15 *2025:114 *2069:21 0.000137983 -16 *2051:8 *2069:21 9.01775e-06 -17 *2051:41 *2069:21 0.000580931 -18 *2068:16 *2069:21 0.000114143 -*RES -1 *26127:X *2069:21 47.0812 -2 *2069:21 *26129:B1 9.3 -*END - -*D_NET *2070 0.00226018 -*CONN -*I *26129:C1 I *D sky130_fd_sc_hd__o211a_1 -*I *26128:X O *D sky130_fd_sc_hd__and3_1 -*CAP -1 *26129:C1 0.000453401 -2 *26128:X 0.000453401 -3 *26129:C1 *26562:C1 8.08521e-05 -4 *26129:C1 *2226:84 5.33005e-05 -5 *26128:B *26129:C1 0.000678969 -6 *26129:A2 *26129:C1 4.06969e-05 -7 *1986:21 *26129:C1 0.000455272 -8 *1986:35 *26129:C1 7.34745e-06 -9 *2069:21 *26129:C1 3.69423e-05 -*RES -1 *26128:X *26129:C1 29.35 -*END - -*D_NET *2071 0.00376228 -*CONN -*I *26132:C1 I *D sky130_fd_sc_hd__o2111a_1 -*I *26129:X O *D sky130_fd_sc_hd__o211a_1 -*CAP -1 *26132:C1 0 -2 *26129:X 0.000855277 -3 *2071:14 0.000855277 -4 *2071:14 *26475:A2 0.000761309 -5 *2071:14 *26689:B 3.61345e-05 -6 *2071:14 *26689:D 5.31101e-05 -7 *2071:14 *2072:10 0.000318323 -8 *2071:14 *2598:8 0.000146795 -9 *26464:B1 *2071:14 0.000118118 -10 *1952:22 *2071:14 1.25682e-05 -11 *2013:18 *2071:14 0.000566081 -12 *2023:21 *2071:14 3.92854e-05 -*RES -1 *26129:X *2071:14 44.8714 -2 *2071:14 *26132:C1 9.3 -*END - -*D_NET *2072 0.0222459 -*CONN -*I *26667:B1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26476:B1 I *D sky130_fd_sc_hd__a31o_1 -*I *26562:C1 I *D sky130_fd_sc_hd__a311o_1 -*I *26130:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *26667:B1 0.000142506 -2 *26476:B1 0.000552223 -3 *26562:C1 0.00134826 -4 *26130:Y 0.000104386 -5 *2072:46 0.00142567 -6 *2072:45 0.00229729 -7 *2072:10 0.00198858 -8 *2072:5 0.00120661 -9 *26476:B1 *26338:B 8.93488e-05 -10 *26562:C1 *26338:B 1.65169e-05 -11 *26562:C1 *26484:C 0.000326044 -12 *26562:C1 *26484:D 2.9946e-05 -13 *26562:C1 *2226:84 0.000225314 -14 *26562:C1 *2279:7 9.31011e-05 -15 *26562:C1 *2279:13 0.000494508 -16 *26667:B1 *26514:C 2.23337e-05 -17 *26667:B1 *26631:B 8.25843e-06 -18 *26667:B1 *26667:C1 1.58163e-05 -19 *26667:B1 *2559:9 7.21032e-05 -20 *2072:10 *2598:8 0.000318323 -21 *2072:45 *26139:A 7.55747e-05 -22 *2072:45 *2113:65 0.000559057 -23 *2072:45 *2190:134 4.87751e-05 -24 *2072:46 *2222:11 0.000112118 -25 *2072:46 *2598:12 0.00354809 -26 *26075:A *2072:45 2.89114e-05 -27 *26084:A *26667:B1 5.52302e-05 -28 *26128:A *26562:C1 5.33005e-05 -29 *26129:C1 *26562:C1 8.08521e-05 -30 *26130:A *2072:5 0.000136951 -31 *26130:A *2072:45 0.000219711 -32 *26476:A1 *26476:B1 0.000235669 -33 *26477:B1 *26562:C1 0.000294082 -34 *26689:A *2072:45 0.000286083 -35 *1188:85 *26476:B1 9.11365e-06 -36 *1188:85 *2072:45 0.000509226 -37 *1906:32 *26562:C1 7.50314e-05 -38 *1950:25 *2072:45 1.21258e-05 -39 *1955:115 *26476:B1 8.03134e-05 -40 *1955:115 *2072:45 0.000136021 -41 *1955:118 *2072:46 4.60232e-06 -42 *1966:39 *2072:46 4.28365e-05 -43 *1984:16 *26562:C1 2.97761e-05 -44 *1986:21 *26562:C1 0.000461609 -45 *1989:80 *26476:B1 0.000485896 -46 *1989:80 *2072:45 0 -47 *1997:69 *2072:45 6.35864e-05 -48 *1999:8 *2072:46 0.00321506 -49 *1999:28 *26667:B1 0.000170385 -50 *2001:18 *2072:45 7.89133e-05 -51 *2013:8 *2072:46 4.15526e-05 -52 *2071:14 *2072:10 0.000318323 -*RES -1 *26130:Y *2072:5 10.6571 -2 *2072:5 *2072:10 13.0714 -3 *2072:10 *26562:C1 42.1393 -4 *2072:10 *26476:B1 28.6214 -5 *2072:5 *2072:45 25.8657 -6 *2072:45 *2072:46 46.7232 -7 *2072:46 *26667:B1 17.2286 -*END - -*D_NET *2073 0.000790808 -*CONN -*I *26132:D1 I *D sky130_fd_sc_hd__o2111a_1 -*I *26131:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *26132:D1 0.000193911 -2 *26131:X 0.000193911 -3 *26132:D1 *2598:8 0.000147474 -4 *2001:17 *26132:D1 0.00018372 -5 *2013:8 *26132:D1 7.17932e-05 -*RES -1 *26131:X *26132:D1 31.3143 -*END - -*D_NET *2074 0.00189317 -*CONN -*I *26135:B1 I *D sky130_fd_sc_hd__o211a_1 -*I *26132:X O *D sky130_fd_sc_hd__o2111a_1 -*CAP -1 *26135:B1 0.000589835 -2 *26132:X 0.000589835 -3 *26135:B1 *2077:7 9.60939e-05 -4 *26132:A1 *26135:B1 0.000261905 -5 *26135:A2 *26135:B1 3.89749e-05 -6 *1187:25 *26135:B1 0.000306234 -7 *1967:36 *26135:B1 1.02936e-05 -*RES -1 *26132:X *26135:B1 29.0107 -*END - -*D_NET *2075 0.0148283 -*CONN -*I *26475:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26451:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26134:C I *D sky130_fd_sc_hd__and3_1 -*I *26797:C1 I *D sky130_fd_sc_hd__o221a_1 -*I *26133:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26475:B1 0.00020755 -2 *26451:B1 0.000141009 -3 *26134:C 0.000118951 -4 *26797:C1 0.00109642 -5 *26133:Y 7.70325e-05 -6 *2075:32 0.000441183 -7 *2075:15 0.00193526 -8 *2075:8 0.00103295 -9 *2075:7 0.000244818 -10 *26451:B1 *26451:A2 9.60939e-05 -11 *26451:B1 *2136:158 0.000108792 -12 *26475:B1 *26486:A 3.14163e-05 -13 *26475:B1 *2628:8 0.000307349 -14 *26797:C1 *26514:A 0.00026353 -15 *26797:C1 *26514:B 9.58203e-05 -16 *26797:C1 *26623:A 0.000154738 -17 *26797:C1 *26797:B1 0.000286065 -18 *26797:C1 *2099:13 0.00011327 -19 *26797:C1 *2298:11 1.90936e-05 -20 *26797:C1 *2557:8 0.000154994 -21 *2075:7 *26338:B 9.41642e-05 -22 *2075:15 *5545:22 0.000281458 -23 *26094:A *26134:C 1.98839e-05 -24 *26136:A *26451:B1 5.33005e-05 -25 *26136:B *2075:32 0.000148196 -26 *26451:A1 *26451:B1 5.33005e-05 -27 *26485:B1 *26475:B1 0.000165911 -28 *26485:B1 *2075:8 0.000160403 -29 *26485:B1 *2075:32 0.000266099 -30 *26519:A1 *26797:C1 3.29607e-05 -31 *26803:C1 *26134:C 0.000101271 -32 *1950:87 *26797:C1 6.00456e-05 -33 *1953:82 *26797:C1 5.30304e-05 -34 *1953:90 *26797:C1 0 -35 *1966:58 *26797:C1 0.000262806 -36 *1966:58 *2075:15 0.000336346 -37 *1966:62 *26797:C1 8.4841e-05 -38 *1966:95 *2075:15 6.37408e-06 -39 *1971:35 *2075:15 0.0001399 -40 *1976:31 *2075:15 0.000137983 -41 *2001:36 *2075:15 0.000346125 -42 *2005:11 *2075:15 0.000721686 -43 *2005:45 *26797:C1 0.00208374 -44 *2005:47 *26797:C1 0.000113417 -45 *2005:49 *26797:C1 5.33072e-05 -46 *2007:64 *26475:B1 7.53288e-05 -47 *2007:64 *2075:8 9.65075e-05 -48 *2007:64 *2075:15 0.000165047 -49 *2007:64 *2075:32 6.14499e-05 -50 *2014:8 *2075:8 3.34366e-05 -51 *2014:8 *2075:15 0.000661745 -52 *2016:41 *2075:15 0.000271236 -53 *2043:145 *26451:B1 0.000423003 -54 *2053:34 *2075:15 0.000337637 -*RES -1 *26133:Y *2075:7 14.7464 -2 *2075:7 *2075:8 2.55357 -3 *2075:8 *2075:15 35.9821 -4 *2075:15 *26797:C1 45.9607 -5 *2075:8 *26134:C 15.2286 -6 *2075:7 *2075:32 3.46429 -7 *2075:32 *26451:B1 18.0321 -8 *2075:32 *26475:B1 19.05 -*END - -*D_NET *2076 0.000756769 -*CONN -*I *26135:C1 I *D sky130_fd_sc_hd__o211a_1 -*I *26134:X O *D sky130_fd_sc_hd__and3_1 -*CAP -1 *26135:C1 0.000151931 -2 *26134:X 0.000151931 -3 *26135:C1 *2083:6 5.95932e-05 -4 *26135:C1 *5545:21 0.000122887 -5 *26135:A2 *26135:C1 0.000221641 -6 *2008:35 *26135:C1 4.87854e-05 -*RES -1 *26134:X *26135:C1 31.4214 -*END - -*D_NET *2077 0.00673891 -*CONN -*I *26139:A I *D sky130_fd_sc_hd__and4_1 -*I *26135:X O *D sky130_fd_sc_hd__o211a_1 -*CAP -1 *26139:A 0.00116282 -2 *26135:X 7.26505e-05 -3 *2077:7 0.00123547 -4 *26139:A *26450:B1 1.02504e-05 -5 *26139:A *26486:B 0.000127183 -6 *26139:A *26486:C 6.17624e-05 -7 *26139:A *26486:D 0.00014491 -8 *26139:A *2217:82 0.00157086 -9 *26135:B1 *2077:7 9.60939e-05 -10 *26659:A *26139:A 0.000163267 -11 *26689:A *26139:A 0.000686474 -12 *1188:54 *26139:A 0.000172498 -13 *1188:85 *26139:A 0.00040063 -14 *1942:25 *26139:A 5.96398e-05 -15 *1951:10 *26139:A 0.000323041 -16 *1951:12 *26139:A 0.000204421 -17 *2016:14 *26139:A 0.000171366 -18 *2072:45 *26139:A 7.55747e-05 -*RES -1 *26135:X *2077:7 14.7464 -2 *2077:7 *26139:A 49.1393 -*END - -*D_NET *2078 0.00813325 -*CONN -*I *26139:B I *D sky130_fd_sc_hd__and4_1 -*I *26450:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26136:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26139:B 0 -2 *26450:B1 0.000482758 -3 *26136:Y 0.00107504 -4 *2078:11 0.0015578 -5 *26450:B1 *26487:A 6.76365e-05 -6 *26450:B1 *2217:82 0.000303186 -7 *26450:B1 *2217:110 0.000180683 -8 *2078:11 *26139:C 9.41642e-05 -9 *2078:11 *26486:A 0.000128154 -10 *2078:11 *26487:A 3.34295e-05 -11 *2078:11 *26563:C 0.000211913 -12 *2078:11 *26582:B2 0.000121573 -13 *2078:11 *2080:8 0.000262092 -14 *2078:11 *2411:21 0.000123288 -15 *2078:11 *2427:21 0.000184704 -16 *2078:11 *2502:12 0.000135405 -17 *26139:A *26450:B1 1.02504e-05 -18 *1941:22 *2078:11 0.000899162 -19 *1942:25 *26450:B1 0.000388876 -20 *1942:25 *2078:11 0.000495138 -21 *1944:116 *2078:11 6.05161e-06 -22 *2007:64 *2078:11 0.000297551 -23 *2016:14 *2078:11 0.000197757 -24 *2032:255 *2078:11 4.38265e-05 -25 *2032:263 *2078:11 0.000682616 -26 *2043:143 *2078:11 1.02936e-05 -27 *2043:145 *2078:11 0.000139907 -*RES -1 *26136:Y *2078:11 49.4786 -2 *2078:11 *26450:B1 31.4071 -3 *2078:11 *26139:B 9.3 -*END - -*D_NET *2079 0.00238194 -*CONN -*I *26687:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26139:C I *D sky130_fd_sc_hd__and4_1 -*I *26137:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26687:B1 0.000455341 -2 *26139:C 0.000141818 -3 *26137:Y 0.000147023 -4 *2079:8 0.000744182 -5 *26139:C *26143:B1 0.0001839 -6 *26687:B1 *26146:B1 0.000264318 -7 *26687:B1 *26687:A2 0.000110036 -8 *26473:A1 *26687:B1 0.00012027 -9 *1771:58 *2079:8 1.58163e-05 -10 *1941:18 *26687:B1 0 -11 *1941:22 *26687:B1 0 -12 *1941:22 *2079:8 0 -13 *1942:14 *26687:B1 9.11365e-06 -14 *1966:137 *2079:8 8.6229e-06 -15 *2007:64 *2079:8 4.19624e-06 -16 *2019:50 *26687:B1 4.854e-05 -17 *2019:50 *2079:8 3.45936e-05 -18 *2078:11 *26139:C 9.41642e-05 -*RES -1 *26137:Y *2079:8 15.9786 -2 *2079:8 *26139:C 16.8 -3 *2079:8 *26687:B1 23.9429 -*END - -*D_NET *2080 0.00643085 -*CONN -*I *26487:A I *D sky130_fd_sc_hd__nand2_1 -*I *26139:D I *D sky130_fd_sc_hd__and4_1 -*I *26138:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26487:A 0.00078336 -2 *26139:D 0 -3 *26138:Y 0.000368435 -4 *2080:8 0.0011518 -5 *26487:A *26331:B 0 -6 *26487:A *26473:B1 0.00019384 -7 *26487:A *2217:110 0 -8 *26487:A *2427:21 0.000102658 -9 *2080:8 *2514:17 0.000130609 -10 *26145:A *2080:8 9.41642e-05 -11 *26450:B1 *26487:A 6.76365e-05 -12 *1935:169 *26487:A 4.27338e-05 -13 *1941:18 *26487:A 0.00167809 -14 *1941:22 *26487:A 2.48813e-05 -15 *1942:25 *26487:A 0.000783128 -16 *1942:25 *2080:8 0.000263807 -17 *1985:29 *26487:A 0.000181796 -18 *2031:35 *26487:A 0.000268396 -19 *2078:11 *26487:A 3.34295e-05 -20 *2078:11 *2080:8 0.000262092 -*RES -1 *26138:Y *2080:8 20.675 -2 *2080:8 *26139:D 13.8 -3 *2080:8 *26487:A 39.2821 -*END - -*D_NET *2081 0.000811688 -*CONN -*I *26143:B1 I *D sky130_fd_sc_hd__o2111a_1 -*I *26139:X O *D sky130_fd_sc_hd__and4_1 -*CAP -1 *26143:B1 0.0002392 -2 *26139:X 0.0002392 -3 *26143:B1 *26143:C1 5.33005e-05 -4 *26143:B1 *26143:D1 9.60875e-05 -5 *26139:C *26143:B1 0.0001839 -*RES -1 *26139:X *26143:B1 22.8321 -*END - -*D_NET *2082 0.0054576 -*CONN -*I *26474:A I *D sky130_fd_sc_hd__nand2_1 -*I *26143:C1 I *D sky130_fd_sc_hd__o2111a_1 -*I *26140:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26474:A 0.000787052 -2 *26143:C1 0.000155255 -3 *26140:Y 3.35417e-05 -4 *2082:6 0.000975848 -5 *26143:C1 *26143:D1 2.28598e-05 -6 *26474:A *26143:D1 6.89839e-05 -7 *26474:A *26488:B 0.000312743 -8 *26474:A *26748:A 0.000355787 -9 *26474:A *26748:D_N 0 -10 *26474:A *2083:6 2.1527e-05 -11 *26474:A *2415:8 7.6644e-05 -12 *2082:6 *2083:6 3.43988e-06 -13 *26143:A1 *26143:C1 3.69047e-06 -14 *26143:B1 *26143:C1 5.33005e-05 -15 *26333:A1 *26474:A 0.00175931 -16 *26333:A1 *2082:6 7.83587e-05 -17 *1985:29 *26474:A 0.000318101 -18 *2007:64 *26474:A 4.90803e-05 -19 *2007:64 *2082:6 6.39808e-05 -20 *2031:35 *26474:A 0.000318101 -*RES -1 *26140:Y *2082:6 14.8357 -2 *2082:6 *26143:C1 15.5679 -3 *2082:6 *26474:A 39.6929 -*END - -*D_NET *2083 0.0250945 -*CONN -*I *26502:A I *D sky130_fd_sc_hd__or2_1 -*I *26748:A I *D sky130_fd_sc_hd__or4b_1 -*I *26142:A I *D sky130_fd_sc_hd__inv_2 -*I *26141:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *26502:A 0 -2 *26748:A 0.000602759 -3 *26142:A 1.64323e-05 -4 *26141:Y 0 -5 *2083:31 0.00131862 -6 *2083:27 0.00225376 -7 *2083:6 0.00188606 -8 *2083:4 0.00220201 -9 *26748:A *26488:B 0.00013054 -10 *26748:A *26748:D_N 0 -11 *26748:A *2519:8 0.000293987 -12 *2083:6 *26143:D1 0.000149648 -13 *2083:6 *26567:A2 1.8441e-05 -14 *2083:6 *26747:A 0.000401838 -15 *2083:6 *2519:8 0.00107802 -16 *2083:6 *2726:10 0.0001746 -17 *2083:6 *5545:21 0.000215965 -18 *2083:27 *26445:A 6.7848e-05 -19 *2083:31 *26445:A 0.000204839 -20 *2083:31 *26517:B1 0.000149423 -21 *2083:31 *26622:A3 1.12669e-05 -22 *2083:31 *26756:B 1.43864e-05 -23 *2083:31 *2352:24 0.00258609 -24 *2083:31 *2436:36 0.000357405 -25 *2083:31 *2571:11 0.00158264 -26 *2083:31 *2595:42 1.21637e-05 -27 *26061:A *2083:27 4.66511e-05 -28 *26112:A *2083:6 4.68915e-05 -29 *26112:B *2083:6 1.31516e-05 -30 *26119:B *2083:31 0.000169804 -31 *26135:A2 *2083:27 0.000445658 -32 *26135:C1 *2083:6 5.95932e-05 -33 *26157:B *2083:31 0.00020634 -34 *26333:A1 *2083:6 0 -35 *26366:B *2083:27 0.00014955 -36 *26451:A1 *2083:6 0.000190554 -37 *26473:A1 *26748:A 0.000357758 -38 *26474:A *26748:A 0.000355787 -39 *26474:A *2083:6 2.1527e-05 -40 *26503:B1 *2083:31 1.33329e-05 -41 *1942:14 *26748:A 0 -42 *1971:45 *2083:27 0.000376678 -43 *1971:54 *2083:27 0.000325816 -44 *1986:51 *2083:27 6.87146e-05 -45 *2006:89 *2083:6 8.30528e-05 -46 *2007:64 *2083:6 4.64226e-05 -47 *2009:20 *2083:27 0.00127564 -48 *2009:20 *2083:31 0.00101998 -49 *2010:16 *2083:27 9.0573e-05 -50 *2010:18 *2083:27 4.97876e-05 -51 *2010:22 *2083:27 0.000211884 -52 *2011:16 *2083:31 0.000106352 -53 *2011:118 *2083:27 7.90803e-05 -54 *2017:47 *2083:27 0.000139907 -55 *2019:21 *2083:6 0.000171893 -56 *2019:41 *2083:6 0.00165592 -57 *2022:129 *26142:A 9.90431e-05 -58 *2024:40 *2083:6 0 -59 *2027:13 *26142:A 9.90431e-05 -60 *2032:263 *2083:6 0.00027338 -61 *2036:17 *2083:6 7.90803e-05 -62 *2036:25 *2083:27 0.000162418 -63 *2038:193 *2083:6 0.000597696 -64 *2053:21 *2083:27 0.000252714 -65 *2061:68 *2083:31 2.06178e-05 -66 *2082:6 *2083:6 3.43988e-06 -*RES -1 *26141:Y *2083:4 9.3 -2 *2083:4 *2083:6 45.6071 -3 *2083:6 *26142:A 14.7464 -4 *2083:6 *26748:A 30.6214 -5 *2083:4 *2083:27 32.9911 -6 *2083:27 *2083:31 49.4018 -7 *2083:31 *26502:A 9.3 -*END - -*D_NET *2084 0.000837174 -*CONN -*I *26143:D1 I *D sky130_fd_sc_hd__o2111a_1 -*I *26142:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *26143:D1 0.000196613 -2 *26142:Y 0.000196613 -3 *26143:D1 *2520:18 9.71197e-05 -4 *26143:B1 *26143:D1 9.60875e-05 -5 *26143:C1 *26143:D1 2.28598e-05 -6 *26474:A *26143:D1 6.89839e-05 -7 *2007:64 *26143:D1 9.25014e-06 -8 *2083:6 *26143:D1 0.000149648 -*RES -1 *26142:Y *26143:D1 31.85 -*END - -*D_NET *2085 0.00293627 -*CONN -*I *26146:B1 I *D sky130_fd_sc_hd__o2111a_1 -*I *26143:X O *D sky130_fd_sc_hd__o2111a_1 -*CAP -1 *26146:B1 0.000987999 -2 *26143:X 0.000987999 -3 *26146:B1 *26151:C1 0.000307343 -4 *26146:B1 *2509:10 2.15245e-05 -5 *26146:A2 *26146:B1 0.000235363 -6 *26687:B1 *26146:B1 0.000264318 -7 *2019:50 *26146:B1 0.00013172 -*RES -1 *26143:X *26146:B1 41.8679 -*END - -*D_NET *2086 0.0121041 -*CONN -*I *26465:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26146:C1 I *D sky130_fd_sc_hd__o2111a_1 -*I *26144:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26465:B1 0.00072994 -2 *26146:C1 1.1624e-05 -3 *26144:X 0.000755716 -4 *2086:16 0.00153021 -5 *2086:10 0.00154436 -6 *26146:C1 *26151:C1 5.52238e-05 -7 *26146:C1 *2087:13 5.52238e-05 -8 *26465:B1 *26465:A2 6.26276e-05 -9 *26465:B1 *26472:A2 0.000738998 -10 *26465:B1 *26489:B 8.00806e-05 -11 *26465:B1 *26489:C 0.000317602 -12 *26465:B1 *26678:A 0.00070959 -13 *26465:B1 *2087:13 3.17148e-05 -14 *26465:B1 *2152:173 2.59355e-05 -15 *26465:B1 *6203:31 0.000263524 -16 *2086:10 *26585:B 0.000315187 -17 *2086:10 *2621:14 9.96717e-06 -18 *2086:10 *2622:8 0.000109779 -19 *2086:10 *2727:11 0.00123666 -20 *2086:16 *26149:C1 3.75052e-05 -21 *2086:16 *26584:A 3.12583e-05 -22 *2086:16 *26678:A 5.80706e-06 -23 *2086:16 *26686:C 1.53472e-05 -24 *2086:16 *26690:A 0.00012342 -25 *2086:16 *26691:C 0.000147921 -26 *2086:16 *2087:13 0.000412349 -27 *2086:16 *2514:17 5.97182e-05 -28 *2086:16 *2622:8 7.05143e-06 -29 *26145:A *2086:16 0.000144038 -30 *26148:B *2086:16 5.58875e-06 -31 *26295:A *2086:10 0.000437212 -32 *1967:44 *2086:10 1.74352e-05 -33 *1986:96 *2086:10 0.000226277 -34 *1986:96 *2086:16 0.000301638 -35 *1986:125 *2086:16 0.000176911 -36 *2021:59 *2086:10 0.000275879 -37 *2021:59 *2086:16 0.000223279 -38 *2021:61 *2086:16 0.000228072 -39 *2024:54 *2086:10 6.07232e-05 -40 *2056:28 *2086:10 0.000136951 -41 *2056:37 *2086:16 0 -42 *2056:53 *26465:B1 0.000445724 -*RES -1 *26144:X *2086:10 37.0232 -2 *2086:10 *2086:16 20.3661 -3 *2086:16 *26146:C1 14.3357 -4 *2086:16 *26465:B1 38.3714 -*END - -*D_NET *2087 0.00567038 -*CONN -*I *26146:D1 I *D sky130_fd_sc_hd__o2111a_1 -*I *26678:A I *D sky130_fd_sc_hd__nand2_1 -*I *26145:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26146:D1 0 -2 *26678:A 0.00123117 -3 *26145:Y 0.000370677 -4 *2087:13 0.00160185 -5 *26678:A *26149:C1 3.34295e-05 -6 *26678:A *26301:B 0 -7 *26678:A *26455:B1 0 -8 *26678:A *26472:A2 1.78353e-05 -9 *26678:A *26489:C 0.000317602 -10 *26678:A *26489:D 0.000148911 -11 *26678:A *26584:A 5.41797e-05 -12 *26678:A *26678:B 0.000142856 -13 *26678:A *2152:160 0 -14 *26678:A *2617:5 2.89114e-05 -15 *26678:A *5007:48 0 -16 *2087:13 *26151:C1 4.43157e-05 -17 *26145:A *2087:13 6.05161e-06 -18 *26146:A2 *2087:13 0.000137983 -19 *26146:C1 *2087:13 5.52238e-05 -20 *26465:B1 *26678:A 0.00070959 -21 *26465:B1 *2087:13 3.17148e-05 -22 *1771:58 *2087:13 3.30352e-05 -23 *1942:7 *26678:A 7.48301e-06 -24 *1986:136 *2087:13 2.16719e-05 -25 *2056:37 *2087:13 0.000108824 -26 *2056:53 *26678:A 0 -27 *2056:53 *2087:13 0.000148911 -28 *2086:16 *26678:A 5.80706e-06 -29 *2086:16 *2087:13 0.000412349 -*RES -1 *26145:Y *2087:13 27.8357 -2 *2087:13 *26678:A 45.0143 -3 *2087:13 *26146:D1 9.3 -*END - -*D_NET *2088 0.000561764 -*CONN -*I *26149:C1 I *D sky130_fd_sc_hd__o2111a_1 -*I *26146:X O *D sky130_fd_sc_hd__o2111a_1 -*CAP -1 *26149:C1 9.88838e-05 -2 *26146:X 9.88838e-05 -3 *26149:C1 *26151:C1 4.87854e-05 -4 *26149:C1 *26584:A 0.000148189 -5 *26146:A2 *26149:C1 9.60875e-05 -6 *26678:A *26149:C1 3.34295e-05 -7 *2086:16 *26149:C1 3.75052e-05 -*RES -1 *26146:X *26149:C1 30.4929 -*END - -*D_NET *2089 0.0275526 -*CONN -*I *26671:A I *D sky130_fd_sc_hd__or4_1 -*I *26673:A I *D sky130_fd_sc_hd__or4_1 -*I *26472:B1_N I *D sky130_fd_sc_hd__o21bai_1 -*I *26147:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *26671:A 0.000254064 -2 *26673:A 0.000192375 -3 *26472:B1_N 0.000780519 -4 *26147:Y 0 -5 *2089:29 0.000752431 -6 *2089:26 0.00225402 -7 *2089:24 0.00258586 -8 *2089:20 0.00194686 -9 *2089:4 0.00208955 -10 *26472:B1_N *2723:13 0.000548974 -11 *26671:A *26672:C 0.000365674 -12 *26671:A *26727:B 0.000303368 -13 *26671:A *26791:C1 0.000365674 -14 *26673:A *26672:B 0.000280458 -15 *26673:A *2609:7 0 -16 *2089:20 *26152:D 3.34295e-05 -17 *2089:20 *26471:B 0.000692361 -18 *2089:20 *26575:A 6.10629e-05 -19 *2089:20 *26693:A 0.000890579 -20 *2089:20 *26782:C 6.48008e-05 -21 *2089:20 *26784:A 0.000214977 -22 *2089:20 *2092:14 8.41555e-06 -23 *2089:24 *26338:A 0.000128146 -24 *2089:24 *26339:C1 0.000814995 -25 *2089:24 *26782:A 0.000222428 -26 *2089:24 *26782:C 0.000268521 -27 *2089:24 *2092:14 0.000678192 -28 *2089:24 *2526:18 0.000132113 -29 *2089:26 *26281:B 0.00014008 -30 *2089:26 *26339:C1 0.000160422 -31 *2089:26 *26343:B 0.000187019 -32 *2089:26 *26446:C 0.000220455 -33 *2089:26 *26520:B 0.000313194 -34 *2089:26 *26521:B 4.00971e-05 -35 *2089:26 *26668:B 0.000199706 -36 *2089:26 *26757:B1 1.90936e-05 -37 *2089:26 *26757:C1 0.000214504 -38 *2089:26 *26763:C 1.53472e-05 -39 *2089:26 *29284:A 3.34366e-05 -40 *2089:26 *2092:14 0.00164861 -41 *2089:26 *2092:30 3.88819e-05 -42 *2089:26 *2133:81 0.000123295 -43 *2089:26 *2304:14 6.03118e-05 -44 *2089:26 *2305:8 0 -45 *2089:26 *2576:8 0.000807375 -46 *2089:26 *2691:14 0.00291146 -47 *2089:26 *2700:9 0.000551986 -48 *2089:29 *26672:B 2.59355e-05 -49 *2089:29 *3581:38 0.000174228 -50 *2089:29 *5721:19 5.52302e-05 -51 *26121:B *2089:20 4.58194e-05 -52 *26147:B *2089:20 7.32173e-05 -53 *26152:A_N *2089:20 4.30382e-05 -54 *26227:A *2089:20 0 -55 *26227:A *2089:24 0.000220448 -56 *26471:A *2089:20 0 -57 *26565:A2 *26472:B1_N 0.000546678 -58 *1883:94 *2089:26 0.000573542 -59 *1997:31 *2089:20 0.000213454 -60 *1997:31 *2089:24 0 -61 *2016:46 *2089:26 0.000307344 -62 *2016:61 *2089:26 0.000227021 -63 *2027:15 *2089:20 3.30337e-05 -64 *2040:24 *2089:20 0 -65 *2047:155 *2089:20 0.000398453 -*RES -1 *26147:Y *2089:4 9.3 -2 *2089:4 *26472:B1_N 36.425 -3 *2089:4 *2089:20 34.9107 -4 *2089:20 *2089:24 22.3304 -5 *2089:24 *2089:26 69.5268 -6 *2089:26 *2089:29 9.55357 -7 *2089:29 *26673:A 12.8536 -8 *2089:29 *26671:A 25.9786 -*END - -*D_NET *2090 0.00421814 -*CONN -*I *26455:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26149:D1 I *D sky130_fd_sc_hd__o2111a_1 -*I *26148:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26455:B1 0.00103404 -2 *26149:D1 2.17614e-05 -3 *26148:Y 0.000237583 -4 *2090:8 0.00129338 -5 *26455:B1 *26151:C1 0.000100823 -6 *26455:B1 *26489:D 5.26091e-05 -7 *26455:B1 *26584:A 0.000157465 -8 *26455:B1 *5007:48 0 -9 *2090:8 *26584:A 2.16719e-05 -10 *2090:8 *2520:18 0.000283698 -11 *26148:A *2090:8 7.34187e-06 -12 *26473:A2 *26455:B1 0.000604109 -13 *26678:A *26455:B1 0 -14 *1771:58 *2090:8 2.77258e-05 -15 *1986:125 *2090:8 7.6644e-05 -16 *2022:129 *26149:D1 9.71197e-05 -17 *2025:44 *26455:B1 1.91414e-05 -18 *2025:44 *2090:8 8.59056e-05 -19 *2027:13 *26149:D1 9.71197e-05 -20 *2038:197 *26455:B1 0 -21 *2038:197 *2090:8 0 -*RES -1 *26148:Y *2090:8 19.1214 -2 *2090:8 *26149:D1 14.7464 -3 *2090:8 *26455:B1 35.7821 -*END - -*D_NET *2091 0.00207354 -*CONN -*I *26151:C1 I *D sky130_fd_sc_hd__o221a_1 -*I *26149:X O *D sky130_fd_sc_hd__o2111a_1 -*CAP -1 *26151:C1 0.000518024 -2 *26149:X 0.000518024 -3 *26151:C1 *5914:90 5.74499e-06 -4 *26146:A2 *26151:C1 4.85294e-05 -5 *26146:B1 *26151:C1 0.000307343 -6 *26146:C1 *26151:C1 5.52238e-05 -7 *26149:C1 *26151:C1 4.87854e-05 -8 *26151:A1 *26151:C1 0.00030616 -9 *26151:A2 *26151:C1 1.24368e-05 -10 *26455:B1 *26151:C1 0.000100823 -11 *26473:A2 *26151:C1 4.28365e-05 -12 *2025:44 *26151:C1 6.52967e-05 -13 *2087:13 *26151:C1 4.43157e-05 -*RES -1 *26149:X *26151:C1 38.5286 -*END - -*D_NET *2092 0.0223493 -*CONN -*I *26467:A I *D sky130_fd_sc_hd__or2_1 -*I *26773:B1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26150:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26467:A 0.000380736 -2 *26773:B1 0.000302681 -3 *26150:Y 4.55812e-05 -4 *2092:30 0.00138826 -5 *2092:14 0.00270645 -6 *2092:13 0.00179941 -7 *2092:7 0.000604866 -8 *26467:A *2505:11 0.000584167 -9 *26467:A *2618:11 0.00122583 -10 *26773:B1 *26668:A 0.000338616 -11 *26773:B1 *26668:C 9.41642e-05 -12 *2092:13 *26310:B 7.02611e-05 -13 *2092:13 *2252:12 1.21258e-05 -14 *2092:13 *2618:11 0.000240484 -15 *2092:13 *2688:17 0.000104077 -16 *2092:13 *5673:300 6.05161e-06 -17 *2092:14 *26338:A 0.000128146 -18 *2092:14 *26338:C 0.000432368 -19 *2092:14 *26362:B 0.000193118 -20 *2092:14 *26520:B 2.82057e-05 -21 *2092:14 *26782:C 0.000615623 -22 *2092:14 *2252:12 0.00152015 -23 *2092:14 *2252:14 7.79781e-06 -24 *2092:14 *2253:12 0.000948997 -25 *2092:14 *2253:29 0.000428416 -26 *2092:30 *26401:A2 0 -27 *2092:30 *26446:A 2.42516e-05 -28 *2092:30 *26446:C 0.000197311 -29 *2092:30 *26522:A1 0.000362538 -30 *2092:30 *26665:D1 7.83587e-05 -31 *2092:30 *2304:14 0.000498507 -32 *2092:30 *2691:14 0.00206035 -33 *2092:30 *2692:11 9.24395e-05 -34 *2092:30 *5615:38 0.000158384 -35 *2092:30 *5615:42 0.000337135 -36 *26121:B *2092:14 4.73656e-05 -37 *26151:A2 *26467:A 0.000452396 -38 *26151:A2 *2092:7 1.24368e-05 -39 *26151:A2 *2092:13 3.17148e-05 -40 *26154:B *2092:13 0.000222666 -41 *26281:C *2092:30 0.000114534 -42 *26310:A *2092:13 6.05161e-06 -43 *26358:A *2092:30 5.7547e-05 -44 *1930:25 *2092:14 3.67452e-05 -45 *2011:157 *2092:7 5.33005e-05 -46 *2027:18 *26467:A 9.7299e-05 -47 *2047:155 *2092:14 0.000827255 -48 *2089:20 *2092:14 8.41555e-06 -49 *2089:24 *2092:14 0.000678192 -50 *2089:26 *2092:14 0.00164861 -51 *2089:26 *2092:30 3.88819e-05 -*RES -1 *26150:Y *2092:7 14.3357 -2 *2092:7 *2092:13 14.3393 -3 *2092:13 *2092:14 59.3214 -4 *2092:14 *2092:30 47.5714 -5 *2092:30 *26773:B1 14.3536 -6 *2092:7 *26467:A 29.7107 -*END - -*D_NET *2093 0.000660387 -*CONN -*I *26152:D I *D sky130_fd_sc_hd__and4b_1 -*I *26151:X O *D sky130_fd_sc_hd__o221a_1 -*CAP -1 *26152:D 0.000157528 -2 *26151:X 0.000157528 -3 *26152:D *26471:B 3.48235e-05 -4 *26121:B *26152:D 0.000241361 -5 *26471:A *26152:D 3.57163e-05 -6 *2089:20 *26152:D 3.34295e-05 -*RES -1 *26151:X *26152:D 31.2295 -*END - -*D_NET *2094 0.00229872 -*CONN -*I *26154:C I *D sky130_fd_sc_hd__and4_1 -*I *26152:X O *D sky130_fd_sc_hd__and4b_1 -*CAP -1 *26154:C 0.000591994 -2 *26152:X 0.000591994 -3 *26152:C *26154:C 7.32173e-05 -4 *1188:18 *26154:C 0.000536787 -5 *1935:193 *26154:C 0.000287667 -6 *2063:5 *26154:C 0.00021706 -*RES -1 *26152:X *26154:C 39.8679 -*END - -*D_NET *2095 0.00172277 -*CONN -*I *26154:D I *D sky130_fd_sc_hd__and4_1 -*I *26153:Y O *D sky130_fd_sc_hd__a21oi_1 -*CAP -1 *26154:D 0.000534269 -2 *26153:Y 0.000534269 -3 *26155:A1 *26154:D 3.54498e-05 -4 *1188:18 *26154:D 0.000105837 -5 *1931:51 *26154:D 0.000245857 -6 *1935:193 *26154:D 0.000267088 -*RES -1 *26153:Y *26154:D 36.1179 -*END - -*D_NET *2096 0.000652568 -*CONN -*I *26155:C1 I *D sky130_fd_sc_hd__o311a_1 -*I *26154:X O *D sky130_fd_sc_hd__and4_1 -*CAP -1 *26155:C1 0.0002365 -2 *26154:X 0.0002365 -3 *28070:D *26155:C1 0 -4 *1935:193 *26155:C1 0.000179568 -*RES -1 *26154:X *26155:C1 32.5107 -*END - -*D_NET *2097 0.0032662 -*CONN -*I *26160:A3 I *D sky130_fd_sc_hd__a41oi_1 -*I *26155:X O *D sky130_fd_sc_hd__o311a_1 -*CAP -1 *26160:A3 0.000850145 -2 *26155:X 0.000850145 -3 *26160:A3 *26160:A4 3.51442e-05 -4 *26160:A3 *26493:A2 1.07876e-05 -5 *26160:A3 *26554:B 2.22043e-05 -6 *26160:A3 *2282:10 0.000290986 -7 *26160:A3 *2282:26 0 -8 *26160:A3 *2434:6 5.90443e-06 -9 *26155:A3 *26160:A3 7.58841e-05 -10 *26155:B1 *26160:A3 3.52655e-05 -11 *26160:A2 *26160:A3 0.000127439 -12 *26160:B1 *26160:A3 0.00019044 -13 *26341:B *26160:A3 7.89501e-05 -14 *26554:A *26160:A3 9.41918e-05 -15 *1931:51 *26160:A3 5.08826e-05 -16 *1935:193 *26160:A3 0.000502664 -17 *2025:12 *26160:A3 1.6959e-05 -18 *2058:20 *26160:A3 2.82057e-05 -*RES -1 *26155:X *26160:A3 48.8679 -*END - -*D_NET *2098 0.0182019 -*CONN -*I *26430:A1 I *D sky130_fd_sc_hd__a31o_1 -*I *26741:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *26420:B2 I *D sky130_fd_sc_hd__a2bb2o_1 -*I *26595:A I *D sky130_fd_sc_hd__nor2_1 -*I *26422:B2 I *D sky130_fd_sc_hd__a32o_1 -*I *26410:A2 I *D sky130_fd_sc_hd__a21oi_1 -*I *26599:A1 I *D sky130_fd_sc_hd__a311o_1 -*I *26156:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *26430:A1 0 -2 *26741:A1 3.10251e-05 -3 *26420:B2 1.40668e-05 -4 *26595:A 0.000493507 -5 *26422:B2 0.00066788 -6 *26410:A2 0.00031982 -7 *26599:A1 1.78406e-05 -8 *26156:Y 0.000855248 -9 *2098:57 0.00110939 -10 *2098:35 0.00137334 -11 *2098:31 0.00119541 -12 *2098:28 0.000763065 -13 *2098:19 0.000652643 -14 *2098:8 0.00100164 -15 *26410:A2 *2662:14 0.000539819 -16 *26410:A2 *4508:194 0.000219711 -17 *26420:B2 *26421:B 2.44638e-05 -18 *26422:B2 *26760:A 5.33005e-05 -19 *26422:B2 *26760:B 0.000304394 -20 *26422:B2 *2099:70 0.000336788 -21 *26422:B2 *2660:7 5.52302e-05 -22 *26422:B2 *4508:194 0.00111328 -23 *26595:A *26420:A1_N 0 -24 *26595:A *26421:B 0.000237187 -25 *26599:A1 *26599:A2 5.50052e-05 -26 *26741:A1 *26741:A2 5.52302e-05 -27 *2098:8 *26198:B 1.32425e-05 -28 *2098:8 *26268:A 0.000236569 -29 *2098:8 *26430:B1 1.25366e-05 -30 *2098:8 *2110:18 1.16455e-05 -31 *2098:8 *2126:22 0.000351381 -32 *2098:8 *2139:30 0.000121951 -33 *2098:8 *2140:14 6.321e-05 -34 *2098:8 *2662:13 0.000218679 -35 *2098:19 *26430:B1 0.000147593 -36 *2098:19 *2110:18 1.41029e-05 -37 *2098:19 *2126:22 7.69208e-05 -38 *2098:28 *26741:A2 0.000501278 -39 *2098:28 *2110:18 0.000155764 -40 *2098:28 *2126:22 2.7728e-05 -41 *2098:28 *2126:27 7.28539e-05 -42 *2098:31 *26741:B1 0.000147833 -43 *2098:31 *2250:64 6.2589e-06 -44 *2098:31 *2536:20 0.000407776 -45 *2098:31 *4189:67 0 -46 *2098:35 *2250:51 0.000141163 -47 *2098:35 *2536:20 0.00112831 -48 *2098:57 *26421:B 9.43681e-05 -49 *2098:57 *26767:A1_N 0.000135028 -50 *2098:57 *26767:A2_N 2.33169e-05 -51 *2098:57 *26767:B1 2.89114e-05 -52 *2098:57 *26768:A2 0.000175892 -53 *26267:D1 *26599:A1 2.59355e-05 -54 *26767:B2 *2098:57 0.000163319 -55 *1989:35 *26410:A2 0.000539819 -56 *2016:105 *26422:B2 0.000172776 -57 *2031:81 *2098:31 2.1605e-05 -58 *2031:86 *2098:31 0.000344099 -59 *2031:86 *2098:35 0.00113075 -*RES -1 *26156:Y *2098:8 27.2286 -2 *2098:8 *26599:A1 14.3357 -3 *2098:8 *2098:19 2.78571 -4 *2098:19 *2098:28 12.9643 -5 *2098:28 *2098:31 11.6071 -6 *2098:31 *2098:35 19.1964 -7 *2098:35 *26410:A2 27.5857 -8 *2098:35 *26422:B2 34.7107 -9 *2098:31 *2098:57 14.4821 -10 *2098:57 *26595:A 15.4071 -11 *2098:57 *26420:B2 9.72857 -12 *2098:28 *26741:A1 9.83571 -13 *2098:19 *26430:A1 13.8 -*END - -*D_NET *2099 0.0566535 -*CONN -*I *26158:B I *D sky130_fd_sc_hd__nor2_1 -*I *26358:B I *D sky130_fd_sc_hd__nor2_1 -*I *26159:B I *D sky130_fd_sc_hd__or2_1 -*I *26415:A2 I *D sky130_fd_sc_hd__o22ai_1 -*I *26429:B2 I *D sky130_fd_sc_hd__o32a_1 -*I *26412:A2 I *D sky130_fd_sc_hd__o22ai_1 -*I *26413:A2 I *D sky130_fd_sc_hd__o22ai_1 -*I *26423:A2 I *D sky130_fd_sc_hd__o22a_1 -*I *26440:A2 I *D sky130_fd_sc_hd__o22a_1 -*I *26426:A I *D sky130_fd_sc_hd__nor4_1 -*I *26431:B2 I *D sky130_fd_sc_hd__o32a_1 -*I *26384:B1 I *D sky130_fd_sc_hd__o221a_1 -*I *26157:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *26158:B 0.000801033 -2 *26358:B 7.07573e-05 -3 *26159:B 0.000402802 -4 *26415:A2 0.000777775 -5 *26429:B2 0.000111198 -6 *26412:A2 0.000112538 -7 *26413:A2 0.000649014 -8 *26423:A2 7.70325e-05 -9 *26440:A2 0.00044582 -10 *26426:A 0.000111278 -11 *26431:B2 0.000167677 -12 *26384:B1 0 -13 *26157:Y 0 -14 *2099:171 0.00116816 -15 *2099:152 0.00210705 -16 *2099:80 0.000713614 -17 *2099:78 0.00113039 -18 *2099:76 0.000849889 -19 *2099:72 0.000808077 -20 *2099:70 0.00264645 -21 *2099:68 0.00228326 -22 *2099:49 0.00146491 -23 *2099:30 0.00297795 -24 *2099:18 0.00170602 -25 *2099:13 0.00174724 -26 *2099:4 0.00235381 -27 *26158:B *26552:C 9.15842e-06 -28 *26158:B *26604:C 0.000139913 -29 *26158:B *26657:B 0.000114838 -30 *26158:B *26754:B 0.00010326 -31 *26158:B *2342:31 0.000684121 -32 *26158:B *2464:8 0.00066904 -33 *26158:B *2464:26 0.000123153 -34 *26158:B *3581:76 0.000505335 -35 *26158:B *6352:31 4.87953e-05 -36 *26358:B *3581:76 0.000139331 -37 *26412:A2 *2190:29 5.33005e-05 -38 *26412:A2 *5722:167 8.6281e-05 -39 *26413:A2 *26413:B1 5.52238e-05 -40 *26413:A2 *26413:B2 0.000218642 -41 *26413:A2 *26612:B 0.000372465 -42 *26413:A2 *26612:D 0.000488472 -43 *26413:A2 *26613:A_N 9.41642e-05 -44 *26415:A2 *26415:B2 0.000316698 -45 *26415:A2 *26427:A2 0.000310286 -46 *26415:A2 *26428:A3 3.5298e-05 -47 *26415:A2 *26428:B1 7.47252e-05 -48 *26415:A2 *2142:153 0.000184745 -49 *26415:A2 *2672:19 1.74352e-05 -50 *26423:A2 *2104:143 9.41642e-05 -51 *26426:A *26225:B1 0.00012401 -52 *26426:A *2126:8 0.000115474 -53 *26426:A *2367:5 0.000175892 -54 *26429:B2 *26429:A3 0.000108482 -55 *26429:B2 *2157:141 0.00028638 -56 *26431:B2 *26431:A1 7.24613e-05 -57 *26431:B2 *26431:A2 4.27935e-05 -58 *26431:B2 *26595:B 0.000102593 -59 *26431:B2 *2128:23 0.000136951 -60 *26431:B2 *5673:17 5.33005e-05 -61 *26440:A2 *26440:B1 0.000610077 -62 *26440:A2 *26440:B2 2.14658e-05 -63 *26440:A2 *26441:D_N 2.95726e-05 -64 *26440:A2 *2185:24 9.64979e-05 -65 *26440:A2 *5722:167 0.000133477 -66 *2099:13 *2298:11 0 -67 *2099:13 *2367:5 0.000339346 -68 *2099:13 *5782:126 0.00137315 -69 *2099:18 *2107:27 6.47471e-05 -70 *2099:18 *5782:126 0.000388984 -71 *2099:30 *2107:27 7.95888e-05 -72 *2099:30 *2324:13 1.55885e-05 -73 *2099:30 *2394:11 0.00113053 -74 *2099:49 *26198:B 0.000149904 -75 *2099:49 *26225:B1 0.000383281 -76 *2099:49 *26233:A 0.000146352 -77 *2099:49 *26418:B 0.000307523 -78 *2099:49 *26419:A 0 -79 *2099:49 *26431:A3 0.000224384 -80 *2099:49 *26601:A2 2.26973e-05 -81 *2099:49 *2123:8 7.05447e-05 -82 *2099:49 *2126:8 0.000193125 -83 *2099:49 *2126:12 0.000196262 -84 *2099:49 *2128:32 1.90936e-05 -85 *2099:49 *2129:29 0 -86 *2099:49 *2165:31 1.37292e-05 -87 *2099:49 *2176:70 0.000158656 -88 *2099:49 *2358:17 0.000197587 -89 *2099:49 *3510:41 2.8034e-05 -90 *2099:49 *4508:144 0.000101444 -91 *2099:49 *5664:20 6.93507e-05 -92 *2099:49 *5664:33 0.000153047 -93 *2099:49 *5673:207 1.94945e-05 -94 *2099:68 *26385:D_N 8.6886e-05 -95 *2099:68 *26509:B 0.000142519 -96 *2099:68 *26509:C 7.5945e-05 -97 *2099:70 *26424:A2 0.000589726 -98 *2099:70 *26437:B 0 -99 *2099:70 *26590:B1 0 -100 *2099:70 *26760:C_N 9.11365e-06 -101 *2099:70 *2135:18 0 -102 *2099:70 *2135:29 0 -103 *2099:70 *2557:25 1.17396e-05 -104 *2099:70 *2557:30 0.00075732 -105 *2099:70 *4508:194 0.00016949 -106 *2099:72 *26437:B 0 -107 *2099:72 *26437:C 0 -108 *2099:76 *26437:B 0 -109 *2099:76 *26437:C 0 -110 *2099:76 *5664:313 0.000308423 -111 *2099:76 *5722:167 0.000161646 -112 *2099:76 *6357:10 0.000156196 -113 *2099:78 *26613:B_N 0.000283698 -114 *2099:78 *5722:167 0.000209106 -115 *2099:80 *5722:167 0.000254924 -116 *2099:152 *26447:D_N 3.82242e-05 -117 *2099:152 *2367:5 0.00163759 -118 *2099:171 *2237:26 2.31791e-05 -119 *2099:171 *2237:28 0.000687233 -120 *2099:171 *2237:33 0.000192262 -121 *2099:171 *2526:18 0.000160245 -122 *2099:171 *5615:38 0.000902674 -123 *26118:B *2099:30 1.21258e-05 -124 *26191:A *26426:A 8.55871e-05 -125 *26342:A *26158:B 3.97677e-05 -126 *26358:A *26358:B 5.33005e-05 -127 *26370:B *26415:A2 0.000253216 -128 *26377:A *2099:70 8.26291e-05 -129 *26377:B *2099:70 0.000139069 -130 *26384:B2 *2099:30 9.41642e-05 -131 *26401:A1 *26158:B 0.000578438 -132 *26422:B2 *2099:70 0.000336788 -133 *26429:B1 *26429:B2 0.000137983 -134 *26505:A1 *2099:68 0 -135 *26507:A3 *2099:68 0.000119385 -136 *26519:A1 *2099:13 0.00011327 -137 *26552:A *26158:B 9.41642e-05 -138 *26723:A1 *2099:30 9.99644e-06 -139 *26723:A3 *2099:30 3.07598e-05 -140 *26797:C1 *2099:13 0.00011327 -141 *1771:29 *2099:49 0 -142 *1883:83 *26158:B 0.000303939 -143 *1930:90 *26159:B 0.00016862 -144 *1930:98 *26158:B 7.58841e-05 -145 *1930:112 *26158:B 2.14474e-05 -146 *1938:36 *2099:70 0.000476299 -147 *1945:65 *2099:13 0.00103806 -148 *1945:65 *2099:18 0.000381416 -149 *1952:54 *2099:70 0 -150 *1952:63 *2099:70 6.57338e-05 -151 *1955:129 *2099:70 0 -152 *1966:62 *2099:13 9.25014e-06 -153 *1972:33 *2099:68 7.80714e-06 -154 *2000:31 *2099:68 0.00126169 -155 *2000:31 *2099:70 0.000149063 -156 *2005:73 *2099:70 0.000223899 -157 *2005:73 *2099:72 0.000206167 -158 *2005:73 *2099:76 1.08359e-05 -159 *2005:84 *2099:76 0.00096685 -160 *2005:92 *26440:A2 7.90803e-05 -161 *2005:92 *2099:76 0.000168687 -162 *2005:92 *2099:78 0.000744786 -163 *2005:92 *2099:80 0.000490505 -164 *2016:74 *2099:68 2.49484e-05 -165 *2016:80 *2099:68 8.13563e-05 -166 *2016:80 *2099:70 0 -167 *2016:105 *2099:70 0.000352079 -168 *2023:15 *2099:18 6.66527e-05 -169 *2023:15 *2099:30 7.00408e-05 -170 *2032:170 *2099:49 0.00124266 -171 *2045:73 *26159:B 8.78853e-05 -172 *2045:73 *2099:152 5.74499e-06 -173 *2045:97 *26159:B 9.58181e-05 -174 *2046:154 *2099:49 0 -175 *2060:8 *2099:30 4.58194e-05 -*RES -1 *26157:Y *2099:4 9.3 -2 *2099:4 *2099:13 15.3529 -3 *2099:13 *2099:18 4.91746 -4 *2099:18 *26384:B1 13.8 -5 *2099:18 *2099:30 28.6429 -6 *2099:30 *2099:49 48.5679 -7 *2099:49 *26431:B2 13.1214 -8 *2099:30 *26426:A 17.2107 -9 *2099:13 *2099:68 20.9189 -10 *2099:68 *2099:70 42.3214 -11 *2099:70 *2099:72 5.28571 -12 *2099:72 *2099:76 15.3839 -13 *2099:76 *2099:78 10.2946 -14 *2099:78 *2099:80 6.5 -15 *2099:80 *26440:A2 24.3714 -16 *2099:80 *26423:A2 14.7464 -17 *2099:78 *26413:A2 26.55 -18 *2099:76 *26412:A2 16.0589 -19 *2099:72 *26429:B2 17.3536 -20 *2099:70 *26415:A2 26.3 -21 *2099:4 *2099:152 18.2143 -22 *2099:152 *26159:B 16.5679 -23 *2099:152 *2099:171 21.1964 -24 *2099:171 *26358:B 11.0857 -25 *2099:171 *26158:B 44.5679 -*END - -*D_NET *2100 0.0205346 -*CONN -*I *26499:A I *D sky130_fd_sc_hd__or2_1 -*I *26554:B I *D sky130_fd_sc_hd__or3_1 -*I *26588:A I *D sky130_fd_sc_hd__or2_1 -*I *26158:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26499:A 5.00024e-05 -2 *26554:B 0.00354637 -3 *26588:A 0.000427426 -4 *26158:Y 0.000157049 -5 *2100:29 0.00397117 -6 *2100:28 0.00109203 -7 *2100:7 0.0013017 -8 *26499:A *26499:B 1.33343e-05 -9 *26499:A *2283:23 2.26973e-05 -10 *26499:A *2440:8 1.58163e-05 -11 *26554:B *26160:A4 0.000102535 -12 *26554:B *26344:A 0.00018699 -13 *26554:B *26344:B 0.000164928 -14 *26554:B *26399:A2 0.00018459 -15 *26554:B *26399:A4 0.000195547 -16 *26554:B *26400:A3 0 -17 *26554:B *26400:B2 4.35945e-05 -18 *26554:B *26499:B 0.000414748 -19 *26554:B *2102:12 0.000356604 -20 *26554:B *2114:12 8.68433e-06 -21 *26554:B *2169:16 0 -22 *26554:B *2282:26 0.000107784 -23 *26554:B *2283:12 0.000189314 -24 *26554:B *2283:23 2.14378e-05 -25 *26554:B *2284:35 0.000218734 -26 *26554:B *2287:14 0.000111676 -27 *26554:B *2342:43 0.000361272 -28 *26554:B *2434:6 0.00027241 -29 *26554:B *2526:18 0 -30 *26554:B *3581:26 0.000287534 -31 *26554:B *6352:14 7.30966e-05 -32 *26588:A *26587:A 0.000366691 -33 *26588:A *26675:B1 0.000487721 -34 *26588:A *2528:10 5.33005e-05 -35 *26588:A *5250:29 0.000490151 -36 *2100:7 *26604:C 2.89016e-05 -37 *2100:7 *6352:31 1.20767e-05 -38 *2100:28 *26604:C 1.02504e-05 -39 *2100:28 *26675:B1 0.00020112 -40 *2100:28 *2283:23 0.000197715 -41 *2100:28 *2342:31 0.000551129 -42 *2100:28 *5250:29 0.00114178 -43 *2100:29 *26522:A3 0.000221163 -44 *2100:29 *26587:A 2.04825e-05 -45 *2100:29 *2114:43 2.2628e-05 -46 *2100:29 *2283:23 0.00118182 -47 *2100:29 *2440:8 0.000505321 -48 *2100:29 *3581:26 6.77427e-05 -49 *2100:29 *5697:16 0.000385716 -50 *26160:A3 *26554:B 2.22043e-05 -51 *28400:D *26554:B 0.000150618 -52 *1393:39 *2100:28 0.000148215 -53 *1884:42 *26554:B 0.000214195 -54 *1930:85 *26499:A 2.14658e-05 -55 *1930:112 *2100:28 4.98055e-06 -56 *1930:121 *2100:28 6.05161e-06 -57 *1935:193 *26554:B 2.06178e-05 -58 *2058:20 *26554:B 0.000101444 -*RES -1 *26158:Y *2100:7 15.5679 -2 *2100:7 *26588:A 25.05 -3 *2100:7 *2100:28 36.7679 -4 *2100:28 *2100:29 16.0625 -5 *2100:29 *26554:B 46.4549 -6 *2100:29 *26499:A 15.0411 -*END - -*D_NET *2101 0.00635885 -*CONN -*I *26160:A4 I *D sky130_fd_sc_hd__a41oi_1 -*I *26448:A I *D sky130_fd_sc_hd__nand2_1 -*I *26159:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26160:A4 0.000957561 -2 *26448:A 0.000133832 -3 *26159:X 8.69844e-05 -4 *2101:8 0.00117838 -5 *26160:A4 *26172:B1 0.00017309 -6 *26160:A4 *26344:B 9.14537e-05 -7 *26160:A4 *26344:C 5.88598e-05 -8 *26160:A4 *26347:C1 0.000165435 -9 *26160:A4 *26398:A 1.20465e-05 -10 *26160:A4 *26399:A3 2.11419e-05 -11 *26160:A4 *26400:A3 0.00034421 -12 *26160:A4 *26400:B2 0.000132127 -13 *26160:A4 *26551:B1 0.000924498 -14 *26160:A4 *26655:C 0.000150618 -15 *26160:A4 *2102:12 3.14219e-05 -16 *26160:A4 *2114:12 0.000304293 -17 *26160:A4 *2434:6 0.00067831 -18 *26160:A4 *5250:29 2.09897e-05 -19 *26448:A *2287:19 9.41642e-05 -20 *2101:8 *26551:B1 0.00015234 -21 *2101:8 *5250:29 0.000148903 -22 *26160:A3 *26160:A4 3.51442e-05 -23 *26273:A1 *26160:A4 0.000109809 -24 *26550:A *26160:A4 4.57352e-05 -25 *26554:B *26160:A4 0.000102535 -26 *1930:85 *2101:8 5.52238e-05 -27 *2058:20 *26160:A4 0.000149744 -*RES -1 *26159:X *2101:8 16.2821 -2 *2101:8 *26448:A 15.9964 -3 *2101:8 *26160:A4 47.6214 -*END - -*D_NET *2102 0.00532847 -*CONN -*I *26400:A1 I *D sky130_fd_sc_hd__o32a_1 -*I *26160:Y O *D sky130_fd_sc_hd__a41oi_1 -*CAP -1 *26400:A1 1.99291e-05 -2 *26160:Y 0.000744826 -3 *2102:12 0.000764755 -4 *26400:A1 *26400:A3 9.90431e-05 -5 *2102:12 *26172:B1 2.37761e-05 -6 *2102:12 *26274:A 0.000153047 -7 *2102:12 *26344:A 0.000841009 -8 *2102:12 *26344:B 0.000317442 -9 *2102:12 *26344:C 0.000340052 -10 *2102:12 *26347:C1 0.000258075 -11 *2102:12 *26400:A3 3.34295e-05 -12 *2102:12 *2282:26 0.000647162 -13 *2102:12 *2283:12 0 -14 *26160:A4 *2102:12 3.14219e-05 -15 *26273:A1 *2102:12 2.26424e-05 -16 *26347:B1 *2102:12 7.83659e-05 -17 *26550:A *2102:12 0.000126439 -18 *26554:B *2102:12 0.000356604 -19 *28400:D *26400:A1 5.33334e-05 -20 *1884:42 *2102:12 2.23987e-05 -21 *2058:20 *2102:12 0.000394719 -*RES -1 *26160:Y *2102:12 47.675 -2 *2102:12 *26400:A1 14.7464 -*END - -*D_NET *2103 0.0319099 -*CONN -*I *26164:C I *D sky130_fd_sc_hd__and3_1 -*I *26444:A3 I *D sky130_fd_sc_hd__a41o_1 -*I *26443:D I *D sky130_fd_sc_hd__and4bb_1 -*I *26382:D I *D sky130_fd_sc_hd__nand4_2 -*I *26380:B2 I *D sky130_fd_sc_hd__o22a_1 -*I *26508:A3 I *D sky130_fd_sc_hd__o31a_1 -*I *26720:A3 I *D sky130_fd_sc_hd__o31a_1 -*I *26500:B I *D sky130_fd_sc_hd__nand2_1 -*I *26373:B I *D sky130_fd_sc_hd__and3b_2 -*I *26595:B I *D sky130_fd_sc_hd__nor2_1 -*I *26228:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *26174:B I *D sky130_fd_sc_hd__xnor2_1 -*I *26163:B I *D sky130_fd_sc_hd__and2_1 -*I *26175:A2 I *D sky130_fd_sc_hd__a21oi_1 -*I *26161:X O *D sky130_fd_sc_hd__and4_4 -*CAP -1 *26164:C 4.77042e-05 -2 *26444:A3 0.000154209 -3 *26443:D 0 -4 *26382:D 0 -5 *26380:B2 0.000819848 -6 *26508:A3 0.000258329 -7 *26720:A3 0.000126406 -8 *26500:B 0.000252065 -9 *26373:B 0 -10 *26595:B 0.0014541 -11 *26228:A2 5.5342e-05 -12 *26174:B 0.00062657 -13 *26163:B 0 -14 *26175:A2 9.27758e-05 -15 *26161:X 0.000201488 -16 *2103:91 0.00168633 -17 *2103:89 0.000556825 -18 *2103:88 0.00048571 -19 *2103:84 0.00107626 -20 *2103:82 0.00138301 -21 *2103:79 0.000755737 -22 *2103:70 0.000752886 -23 *2103:68 0.000572425 -24 *2103:39 0.00154765 -25 *2103:29 0.00150488 -26 *2103:19 0.000282299 -27 *2103:11 0.000270964 -28 *2103:7 0.000395169 -29 *26164:C *26175:B1 6.26281e-05 -30 *26164:C *4552:132 6.26281e-05 -31 *26174:B *26031:A 8.43535e-06 -32 *26174:B *4353:113 9.99303e-05 -33 *26174:B *4519:91 0.000189296 -34 *26175:A2 *2105:7 9.94194e-05 -35 *26228:A2 *26031:A 5.23792e-05 -36 *26228:A2 *26165:B 0 -37 *26228:A2 *26177:A 0 -38 *26228:A2 *26228:A1 1.04232e-05 -39 *26380:B2 *26385:B 1.39726e-05 -40 *26380:B2 *26445:D 0.000163629 -41 *26380:B2 *2222:11 0.000177815 -42 *26380:B2 *2297:19 6.19181e-05 -43 *26380:B2 *2310:16 1.24368e-05 -44 *26380:B2 *2324:13 5.33005e-05 -45 *26380:B2 *2598:12 0.00012401 -46 *26444:A3 *26444:A4 1.98839e-05 -47 *26444:A3 *2139:11 9.41642e-05 -48 *26444:A3 *2385:10 3.06878e-06 -49 *26500:B *26591:C1 0.000180764 -50 *26500:B *26721:B1 7.83587e-05 -51 *26500:B *26760:B 1.43e-05 -52 *26500:B *2315:8 6.19181e-05 -53 *26595:B *26373:C 0.000391181 -54 *26595:B *26431:A1 5.74499e-06 -55 *26595:B *26431:A2 5.33005e-05 -56 *26595:B *26591:A2 0.000216755 -57 *26595:B *26596:A3 8.32171e-05 -58 *26595:B *26601:A2 8.00806e-05 -59 *26595:B *2128:23 0.000135028 -60 *26595:B *2131:104 0.000421074 -61 *26595:B *5673:207 0.000151333 -62 *2103:7 *26175:B1 0.000426141 -63 *2103:11 *2105:31 0 -64 *2103:11 *4552:132 0 -65 *2103:19 *2105:7 1.38323e-05 -66 *2103:29 *26416:B 7.34839e-05 -67 *2103:29 *26416:C 5.33005e-05 -68 *2103:29 *2105:7 9.68062e-05 -69 *2103:29 *2113:18 1.38323e-05 -70 *2103:29 *2357:7 0.000260574 -71 *2103:29 *4552:132 0.000198839 -72 *2103:39 *26031:A 3.2687e-05 -73 *2103:39 *26165:B 2.05612e-05 -74 *2103:39 *26177:A 0.000345257 -75 *2103:39 *2105:31 2.59355e-05 -76 *2103:39 *2136:51 0.000456385 -77 *2103:39 *4552:142 8.40933e-05 -78 *2103:68 *26444:A4 5.41794e-05 -79 *2103:68 *26662:A3 0.000454096 -80 *2103:68 *2112:8 9.22948e-05 -81 *2103:68 *2112:12 0.00014008 -82 *2103:68 *2113:5 9.41642e-05 -83 *2103:68 *2113:18 0.000640157 -84 *2103:68 *4552:132 0.000112958 -85 *2103:70 *26444:A4 7.83587e-05 -86 *2103:70 *26662:A3 6.73939e-05 -87 *2103:79 *26444:A4 8.07951e-05 -88 *2103:79 *26662:A3 0.000609166 -89 *2103:79 *2385:10 0.000328175 -90 *2103:82 *2234:16 7.57946e-05 -91 *2103:84 *2111:69 0.00011994 -92 *2103:84 *2124:41 0.000844419 -93 *2103:84 *2234:16 0.000578466 -94 *2103:88 *2111:69 0.000183469 -95 *2103:88 *2124:41 0.000868094 -96 *26163:A *26175:A2 1.24368e-05 -97 *26163:A *2103:19 5.33005e-05 -98 *26164:B *2103:11 0.000112085 -99 *26175:A1 *26175:A2 0.000108447 -100 *26175:A1 *2103:19 4.13496e-05 -101 *26175:A1 *2103:29 2.24195e-05 -102 *26228:B1 *26174:B 5.33005e-05 -103 *26228:B1 *26228:A2 1.76879e-07 -104 *26349:C1 *2103:68 2.24079e-05 -105 *26431:B2 *26595:B 0.000102593 -106 *26432:B1 *26595:B 0.000140669 -107 *26432:B1 *26720:A3 2.28598e-05 -108 *26432:B1 *2103:89 1.5424e-05 -109 *26432:B1 *2103:91 0.000154443 -110 *26507:A1 *26508:A3 0.000181796 -111 *26720:A2 *26720:A3 5.33005e-05 -112 *1189:14 *2103:7 9.41642e-05 -113 *1902:59 *2103:68 9.90431e-05 -114 *1908:56 *2103:11 5.20232e-05 -115 *1939:6 *2103:84 5.97719e-05 -116 *1943:18 *2103:82 6.72518e-05 -117 *1943:18 *2103:84 0.000193125 -118 *1952:34 *26380:B2 0.000362408 -119 *1952:34 *2103:79 0.000175892 -120 *1952:39 *26500:B 6.1684e-05 -121 *1963:8 *26164:C 4.34069e-05 -122 *1963:8 *2103:11 0.000205912 -123 *1964:52 *2103:39 0.000216304 -124 *1964:66 *2103:39 0.000150416 -125 *1990:14 *2103:84 3.29715e-05 -126 *1996:99 *26508:A3 8.17274e-05 -127 *2032:169 *26164:C 5.05056e-05 -128 *2032:169 *2103:11 1.90936e-05 -129 *2033:40 *2103:68 8.94704e-05 -130 *2060:8 *2103:84 0.000946736 -131 *2060:8 *2103:88 3.34366e-05 -132 *2060:10 *2103:88 0.000686882 -133 *2060:15 *26500:B 3.34295e-05 -134 *2060:15 *26720:A3 4.17433e-05 -135 *2060:15 *2103:88 0.000171375 -136 *2060:15 *2103:89 5.49544e-05 -137 *2060:25 *26500:B 6.49552e-05 -138 *2060:91 *26508:A3 0.000511661 -139 *2060:101 *26508:A3 9.41642e-05 -140 *2060:109 *26508:A3 5.33005e-05 -*RES -1 *26161:X *2103:7 18.0321 -2 *2103:7 *2103:11 7.78571 -3 *2103:11 *26175:A2 11.4786 -4 *2103:11 *2103:19 0.946429 -5 *2103:19 *26163:B 9.3 -6 *2103:19 *2103:29 6.32143 -7 *2103:29 *2103:39 23.375 -8 *2103:39 *26174:B 18.2286 -9 *2103:39 *26228:A2 10.6929 -10 *2103:29 *2103:68 16.9821 -11 *2103:68 *2103:70 1.03571 -12 *2103:70 *2103:79 20.6607 -13 *2103:79 *2103:82 5.53571 -14 *2103:82 *2103:84 18.9464 -15 *2103:84 *2103:88 15.8571 -16 *2103:88 *2103:89 0.946429 -17 *2103:89 *2103:91 3 -18 *2103:91 *26595:B 37.7464 -19 *2103:91 *26373:B 9.3 -20 *2103:89 *26500:B 32.3536 -21 *2103:88 *26720:A3 11.0857 -22 *2103:84 *26508:A3 20.0857 -23 *2103:82 *26380:B2 35.8 -24 *2103:79 *26382:D 9.3 -25 *2103:70 *26443:D 13.8 -26 *2103:68 *26444:A3 15.5679 -27 *2103:7 *26164:C 15.1616 -*END - -*D_NET *2104 0.0570034 -*CONN -*I *26233:A I *D sky130_fd_sc_hd__nor3_2 -*I *26198:A I *D sky130_fd_sc_hd__nor3_1 -*I *26378:B I *D sky130_fd_sc_hd__nor2_1 -*I *26503:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *26375:B I *D sky130_fd_sc_hd__nor2_1 -*I *26371:B I *D sky130_fd_sc_hd__nor2_1 -*I *26374:B I *D sky130_fd_sc_hd__nor2_1 -*I *26376:B I *D sky130_fd_sc_hd__nor2_1 -*I *26261:A2 I *D sky130_fd_sc_hd__o221a_1 -*I *26660:A I *D sky130_fd_sc_hd__nand2_1 -*I *26208:A2 I *D sky130_fd_sc_hd__o22a_1 -*I *26729:A2 I *D sky130_fd_sc_hd__o221a_1 -*I *26229:C I *D sky130_fd_sc_hd__or3_1 -*I *26162:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *26233:A 0.00063168 -2 *26198:A 0.000128335 -3 *26378:B 0.000181176 -4 *26503:A2 0.000477296 -5 *26375:B 5.19228e-05 -6 *26371:B 0 -7 *26374:B 0.000145755 -8 *26376:B 3.97594e-05 -9 *26261:A2 0 -10 *26660:A 0 -11 *26208:A2 0.000775811 -12 *26729:A2 0.00114918 -13 *26229:C 0.000796067 -14 *26162:Y 0 -15 *2104:143 0.00207232 -16 *2104:128 0.00232409 -17 *2104:124 0.000808936 -18 *2104:118 0.00164929 -19 *2104:103 0.00213249 -20 *2104:93 0.00155176 -21 *2104:85 0.000274942 -22 *2104:80 0.00150027 -23 *2104:49 0.00270726 -24 *2104:44 0.00289284 -25 *2104:36 0.0010949 -26 *2104:34 0.00136934 -27 *2104:4 0.00144156 -28 *26198:A *2139:38 0.000219711 -29 *26208:A2 *26208:B1 5.38242e-05 -30 *26208:A2 *26719:A 6.05161e-06 -31 *26208:A2 *2149:94 5.03772e-05 -32 *26208:A2 *2149:110 0.000119125 -33 *26208:A2 *2165:14 0.00030986 -34 *26208:A2 *2165:31 0.000317955 -35 *26208:A2 *2359:13 7.83587e-05 -36 *26208:A2 *5664:10 0.000110341 -37 *26229:C *26181:C 9.41642e-05 -38 *26229:C *26229:A 0.000278482 -39 *26229:C *26232:A1 0.00018077 -40 *26229:C *26232:B1 9.60939e-05 -41 *26229:C *2120:21 9.41642e-05 -42 *26229:C *2120:36 3.08382e-06 -43 *26229:C *2127:77 0.000110107 -44 *26229:C *2136:51 4.66203e-05 -45 *26229:C *2141:106 0.000157608 -46 *26229:C *2174:8 9.91086e-05 -47 *26229:C *2213:7 0.000135028 -48 *26233:A *26268:A 0.000429934 -49 *26233:A *26268:D_N 7.15968e-05 -50 *26233:A *2126:22 0.000513591 -51 *26233:A *2210:17 5.52238e-05 -52 *26374:B *2703:12 0.000595717 -53 *26375:B *26611:B 7.95959e-05 -54 *26375:B *2317:17 6.73939e-05 -55 *26376:B *26610:B 2.79421e-05 -56 *26376:B *26722:B1 9.41642e-05 -57 *26378:B *2315:56 0.000216755 -58 *26503:A2 *26514:B 0.000187098 -59 *26503:A2 *26515:C1 0.000671262 -60 *26503:A2 *26797:B1 1.03403e-05 -61 *26503:A2 *2557:8 9.41642e-05 -62 *26503:A2 *5545:22 4.48695e-05 -63 *26729:A2 *26187:A 1.98839e-05 -64 *26729:A2 *2127:27 8.55871e-05 -65 *26729:A2 *2127:45 4.58194e-05 -66 *26729:A2 *2129:7 0.000342302 -67 *26729:A2 *4508:144 3.97677e-05 -68 *2104:34 *2213:7 0.000266479 -69 *2104:34 *4508:227 9.23706e-05 -70 *2104:36 *2662:14 5.67594e-05 -71 *2104:44 *26421:A 2.84398e-05 -72 *2104:44 *26434:D 0.000197991 -73 *2104:44 *2125:48 0.000742205 -74 *2104:44 *2250:51 0.00025486 -75 *2104:44 *2362:8 0.000128146 -76 *2104:44 *2662:14 0.000190984 -77 *2104:49 *26719:A 0.000218554 -78 *2104:49 *26719:B 0.00014183 -79 *2104:80 *2660:23 0.00107139 -80 *2104:85 *2557:30 0.000178847 -81 *2104:85 *2557:38 4.5622e-05 -82 *2104:93 *26620:B 0.000207274 -83 *2104:93 *26632:C 0.000135028 -84 *2104:93 *2557:38 0.000522439 -85 *2104:103 *26392:C1 0.000135028 -86 *2104:103 *26515:C1 0.000380137 -87 *2104:103 *2383:8 0.000645267 -88 *2104:103 *2698:11 0.000110029 -89 *2104:118 *26392:C1 0.000470798 -90 *2104:118 *26415:B1 6.22321e-05 -91 *2104:118 *26427:B1 0.000123288 -92 *2104:118 *26608:B 0 -93 *2104:118 *26609:B 7.58841e-05 -94 *2104:118 *2142:153 1.94945e-05 -95 *2104:118 *2198:23 0 -96 *2104:118 *2313:19 0.00141402 -97 *2104:118 *2650:8 5.33978e-05 -98 *2104:118 *3658:10 0.000427163 -99 *2104:124 *26413:B2 0.00019384 -100 *2104:124 *26614:B 0.000456975 -101 *2104:124 *2534:37 9.41642e-05 -102 *2104:124 *2549:8 2.28159e-05 -103 *2104:124 *3658:10 0.00113295 -104 *2104:128 *2703:12 0.000519489 -105 *2104:128 *5209:6 0.000168939 -106 *2104:128 *5722:167 8.24047e-05 -107 *2104:143 *26261:B1 5.76905e-06 -108 *2104:143 *26261:B2 0.000100011 -109 *2104:143 *26423:B1 1.21258e-05 -110 *2104:143 *26423:B2 9.71197e-05 -111 *2104:143 *2142:96 0.000412665 -112 *2104:143 *2181:25 0.00138756 -113 *2104:143 *2203:19 0.000134461 -114 *2104:143 *5209:6 0.000173804 -115 *2104:143 *5722:167 9.24395e-05 -116 *2104:143 *6357:19 5.13075e-05 -117 *26045:B *2104:34 0.000218741 -118 *26060:A *26229:C 0.000136951 -119 *26060:A *2104:34 0.000254042 -120 *26225:D1 *2104:34 0.000767868 -121 *26229:B *26229:C 0.000193548 -122 *26376:A *2104:143 0.000117553 -123 *26423:A2 *2104:143 9.41642e-05 -124 *26495:A *26503:A2 0.000221641 -125 *26723:A3 *2104:34 2.39664e-05 -126 *26729:A1 *26729:A2 5.38825e-05 -127 *26742:A1 *2104:34 0.000737118 -128 *26765:A1 *26374:B 0.000319277 -129 *26765:A1 *2104:128 0.000267418 -130 *1771:29 *26208:A2 0.000387558 -131 *1862:28 *2104:34 0.000173188 -132 *1862:28 *2104:36 2.37761e-05 -133 *1953:90 *26503:A2 0.000144042 -134 *1955:129 *26378:B 0.000168255 -135 *1955:129 *2104:80 0.00012401 -136 *1964:66 *26229:C 0.000196269 -137 *1983:65 *26378:B 9.25014e-06 -138 *1989:35 *26378:B 0.000105471 -139 *1989:35 *2104:44 0.000288557 -140 *1999:44 *26378:B 0.000210198 -141 *1999:44 *2104:80 0.000125731 -142 *2006:12 *2104:103 0.000362261 -143 *2006:36 *26375:B 0.000149419 -144 *2007:16 *2104:103 0.00159124 -145 *2009:40 *26503:A2 0.000156713 -146 *2009:42 *26503:A2 0.000546857 -147 *2009:42 *2104:103 0.000207054 -148 *2009:47 *2104:103 0.000171375 -149 *2031:81 *2104:34 0.000267607 -150 *2031:81 *2104:36 9.92871e-05 -151 *2031:81 *2104:44 0.000430568 -152 *2031:86 *2104:44 0.00034293 -153 *2043:85 *26729:A2 0.000306183 -154 *2046:154 *2104:44 0.000270243 -155 *2047:23 *26729:A2 2.2011e-05 -156 *2047:43 *2104:143 1.85728e-05 -157 *2061:68 *2104:85 0.000265453 -158 *2061:68 *2104:93 0.000301222 -159 *2099:49 *26233:A 0.000146352 -*RES -1 *26162:Y *2104:4 9.3 -2 *2104:4 *26229:C 36.0321 -3 *2104:4 *2104:34 26.6429 -4 *2104:34 *2104:36 2.55357 -5 *2104:36 *2104:44 31.6964 -6 *2104:44 *2104:49 10.5357 -7 *2104:49 *26729:A2 26.6929 -8 *2104:49 *26208:A2 33.8 -9 *2104:44 *2104:80 21.0536 -10 *2104:80 *2104:85 7.51786 -11 *2104:85 *26660:A 9.3 -12 *2104:85 *2104:93 11.6071 -13 *2104:93 *2104:103 42.4821 -14 *2104:103 *2104:118 36.3393 -15 *2104:118 *2104:124 24.6429 -16 *2104:124 *2104:128 11.8036 -17 *2104:128 *2104:143 46.5 -18 *2104:143 *26261:A2 9.3 -19 *2104:128 *26376:B 14.7464 -20 *2104:124 *26374:B 15.175 -21 *2104:118 *26371:B 9.3 -22 *2104:103 *26375:B 20.2464 -23 *2104:93 *26503:A2 28.2464 -24 *2104:80 *26378:B 19.2643 -25 *2104:36 *26198:A 15.9786 -26 *2104:34 *26233:A 25.9964 -*END - -*D_NET *2105 0.00461387 -*CONN -*I *26165:B I *D sky130_fd_sc_hd__nand2_2 -*I *26177:B I *D sky130_fd_sc_hd__nor2_1 -*I *26176:A I *D sky130_fd_sc_hd__nor2_1 -*I *26163:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *26165:B 0.000159388 -2 *26177:B 0 -3 *26176:A 0.000100192 -4 *26163:X 0.000189802 -5 *2105:31 0.000499788 -6 *2105:7 0.000630394 -7 *26165:B *26031:A 0.000355349 -8 *26165:B *26177:A 0.000141445 -9 *26165:B *26228:A1 0 -10 *26176:A *26175:B1 0.000212517 -11 *26176:A *26176:B 0.000222743 -12 *26176:A *2118:5 8.96566e-06 -13 *2105:7 *26176:B 3.45371e-05 -14 *2105:7 *26416:B 0.000288688 -15 *2105:7 *2118:5 0.00017754 -16 *2105:31 *26031:A 3.10579e-05 -17 *2105:31 *26178:A2 9.91086e-05 -18 *2105:31 *2120:21 0.000178254 -19 *2105:31 *2126:8 0.000171375 -20 *2105:31 *5673:251 0.000218019 -21 *26163:A *2105:7 5.33005e-05 -22 *26175:A1 *2105:7 8.25843e-06 -23 *26175:A2 *2105:7 9.94194e-05 -24 *26228:A2 *26165:B 0 -25 *1874:27 *2105:31 0.000344829 -26 *1932:37 *2105:31 0.000218734 -27 *1963:8 *2105:31 1.30327e-05 -28 *2103:11 *2105:31 0 -29 *2103:19 *2105:7 1.38323e-05 -30 *2103:29 *2105:7 9.68062e-05 -31 *2103:39 *26165:B 2.05612e-05 -32 *2103:39 *2105:31 2.59355e-05 -*RES -1 *26163:X *2105:7 14.6304 -2 *2105:7 *26176:A 12.1839 -3 *2105:7 *2105:31 27.2232 -4 *2105:31 *26177:B 9.3 -5 *2105:31 *26165:B 13.5679 -*END - -*D_NET *2106 0.00591229 -*CONN -*I *26166:B I *D sky130_fd_sc_hd__nand2_1 -*I *26416:A I *D sky130_fd_sc_hd__or3_1 -*I *26232:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *26178:A1 I *D sky130_fd_sc_hd__o21bai_1 -*I *26164:X O *D sky130_fd_sc_hd__and3_1 -*CAP -1 *26166:B 0.000362852 -2 *26416:A 0 -3 *26232:A1 0.00039084 -4 *26178:A1 0.000207133 -5 *26164:X 0 -6 *2106:18 0.000450179 -7 *2106:17 0.000732375 -8 *2106:4 0.000517317 -9 *26166:B *2111:58 0.000268409 -10 *26166:B *2136:51 0.000325457 -11 *26178:A1 *26178:A2 5.80706e-06 -12 *26178:A1 *4552:132 6.37633e-05 -13 *26232:A1 *26031:A 0.000168939 -14 *26232:A1 *26444:B1 0.000170654 -15 *26232:A1 *2113:18 0.000238055 -16 *26232:A1 *2127:77 1.21258e-05 -17 *26232:A1 *2136:51 4.31097e-05 -18 *2106:17 *26036:B1 4.16984e-05 -19 *2106:18 *2113:18 8.00806e-05 -20 *2106:18 *2136:51 3.34687e-05 -21 *26036:A1 *2106:17 1.58163e-05 -22 *26164:B *26178:A1 0.000120708 -23 *26175:A1 *26166:B 7.18158e-05 -24 *26175:A1 *26232:A1 5.59013e-05 -25 *26175:A1 *2106:18 0.000135347 -26 *26229:C *26232:A1 0.00018077 -27 *1189:14 *26178:A1 5.51952e-05 -28 *1189:14 *2106:17 0.000177501 -29 *1771:43 *26166:B 0.000412885 -30 *1907:30 *26166:B 0.00012401 -31 *1908:56 *26178:A1 0.000142102 -32 *1937:22 *26232:A1 0.000132113 -33 *1945:47 *26178:A1 0.000157334 -34 *1963:8 *26178:A1 1.27784e-05 -35 *1978:5 *2106:17 5.74499e-06 -*RES -1 *26164:X *2106:4 9.3 -2 *2106:4 *26178:A1 22.9071 -3 *2106:4 *2106:17 8.32143 -4 *2106:17 *2106:18 1.94643 -5 *2106:18 *26232:A1 22.4786 -6 *2106:18 *26416:A 13.8 -7 *2106:17 *26166:B 24.4071 -*END - -*D_NET *2107 0.017998 -*CONN -*I *26172:A1 I *D sky130_fd_sc_hd__o21ai_2 -*I *26404:A I *D sky130_fd_sc_hd__nor2_1 -*I *26165:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *26172:A1 0.0025376 -2 *26404:A 0.000210766 -3 *26165:Y 0.000946663 -4 *2107:27 0.00151547 -5 *2107:11 0.00478896 -6 *26172:A1 *26172:A2 0.000144501 -7 *26172:A1 *26542:A2 0.000181796 -8 *26172:A1 *2287:14 6.05161e-06 -9 *26172:A1 *2304:10 0.000345251 -10 *26172:A1 *2727:11 0.000135757 -11 *26172:A1 *4189:67 0 -12 *2107:11 *26444:B1 0.000266479 -13 *2107:11 *2110:12 1.90936e-05 -14 *2107:11 *4189:67 0 -15 *2107:11 *4552:142 0.000265447 -16 *2107:27 *26268:A 0.000128331 -17 *2107:27 *2324:20 2.88045e-05 -18 *2107:27 *2384:13 0.00016929 -19 *26040:B *2107:27 1.83776e-05 -20 *26047:C *26172:A1 0.00108449 -21 *26066:A *26172:A1 0 -22 *26156:B *26404:A 7.90803e-05 -23 *26355:B *2107:27 2.82057e-05 -24 *26361:A *26172:A1 0.000773325 -25 *26362:A *26172:A1 6.06291e-05 -26 *26382:C *2107:27 0.000128154 -27 *26505:A1 *2107:27 0.000139907 -28 *26542:B1 *26172:A1 5.52238e-05 -29 *1874:27 *26172:A1 0.000417004 -30 *1874:27 *2107:11 0.000307427 -31 *1952:39 *26404:A 0.000621852 -32 *1953:57 *2107:27 0.000384527 -33 *1964:66 *2107:11 6.2589e-06 -34 *1967:59 *26172:A1 0.000178847 -35 *1972:33 *2107:27 0 -36 *1987:8 *2107:27 0.00016284 -37 *1990:14 *2107:27 7.60981e-05 -38 *1990:19 *2107:27 0.000118052 -39 *1990:81 *2107:27 9.20383e-05 -40 *2012:20 *26172:A1 0.000133417 -41 *2018:12 *2107:27 0.000234975 -42 *2023:15 *2107:27 0.00071861 -43 *2043:166 *26172:A1 6.44668e-05 -44 *2053:21 *26172:A1 0 -45 *2060:8 *26404:A 0.000279636 -46 *2099:18 *2107:27 6.47471e-05 -47 *2099:30 *2107:27 7.95888e-05 -*RES -1 *26165:Y *2107:11 22.2851 -2 *2107:11 *2107:27 45.5975 -3 *2107:27 *26404:A 26.3179 -4 *2107:11 *26172:A1 35.7417 -*END - -*D_NET *2108 0.000850875 -*CONN -*I *26170:B I *D sky130_fd_sc_hd__xnor2_2 -*I *26166:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26170:B 0.000185273 -2 *26166:Y 0.000185273 -3 *26170:B *2110:12 0.000344932 -4 *26170:B *2233:37 0.00010369 -5 *1975:14 *26170:B 3.17067e-05 -*RES -1 *26166:Y *26170:B 32.9214 -*END - -*D_NET *2109 0.00254183 -*CONN -*I *26169:C I *D sky130_fd_sc_hd__or3_4 -*I *26199:C I *D sky130_fd_sc_hd__or3_4 -*I *26245:C I *D sky130_fd_sc_hd__or3_4 -*I *26215:C I *D sky130_fd_sc_hd__or3_4 -*I *26167:Y O *D sky130_fd_sc_hd__nand2b_1 -*CAP -1 *26169:C 1.84647e-05 -2 *26199:C 5.29214e-05 -3 *26245:C 0 -4 *26215:C 0.000258123 -5 *26167:Y 6.17422e-05 -6 *2109:23 0.000136433 -7 *2109:7 0.000341634 -8 *2109:5 8.02069e-05 -9 *26169:C *26167:B 4.08637e-05 -10 *26169:C *2190:134 2.59355e-05 -11 *26199:C *26245:B 0.000162825 -12 *26199:C *4541:40 0.000174797 -13 *26215:C *2130:46 4.22135e-06 -14 *26215:C *4353:88 6.92626e-05 -15 *26215:C *4541:40 4.56437e-05 -16 *26215:C *4541:47 0.000127439 -17 *26215:C *4552:105 4.11173e-05 -18 *26215:C *4552:107 0.000198691 -19 *26215:C *4552:112 7.6644e-05 -20 *26215:C *6291:80 1.83136e-05 -21 *2109:5 *26167:B 0.000175892 -22 *2109:5 *2190:134 9.0145e-05 -23 *2109:23 *26245:B 3.34366e-05 -24 *2109:23 *2130:46 8.90523e-05 -25 *2109:23 *4541:40 0.000218026 -*RES -1 *26167:Y *2109:5 11.0679 -2 *2109:5 *2109:7 4.5 -3 *2109:7 *26215:C 20.1036 -4 *2109:7 *2109:23 2.85714 -5 *2109:23 *26245:C 13.8 -6 *2109:23 *26199:C 16.05 -7 *2109:5 *26169:C 9.83571 -*END - -*D_NET *2110 0.0271188 -*CONN -*I *26476:A3 I *D sky130_fd_sc_hd__a31o_1 -*I *26219:B I *D sky130_fd_sc_hd__nand2_8 -*I *26443:A_N I *D sky130_fd_sc_hd__and4bb_1 -*I *26425:A2 I *D sky130_fd_sc_hd__a31o_1 -*I *26168:X O *D sky130_fd_sc_hd__and2b_4 -*CAP -1 *26476:A3 0.00129941 -2 *26219:B 0 -3 *26443:A_N 9.55793e-05 -4 *26425:A2 0.000793121 -5 *26168:X 6.47017e-05 -6 *2110:44 0.00139008 -7 *2110:26 0.00185129 -8 *2110:18 0.00223891 -9 *2110:12 0.00198955 -10 *2110:7 0.000868608 -11 *26425:A2 *26425:A3 9.60939e-05 -12 *26425:A2 *26593:B 0.000386115 -13 *26425:A2 *2319:8 0.000101342 -14 *26425:A2 *2594:15 0.00188863 -15 *26443:A_N *2127:77 0.00018077 -16 *26476:A3 *2233:37 0 -17 *2110:12 *26168:A_N 0.000114121 -18 *2110:12 *2125:28 5.41794e-05 -19 *2110:12 *2139:26 4.75812e-05 -20 *2110:12 *2233:37 0 -21 *2110:18 *26198:B 8.9071e-05 -22 *2110:18 *26430:B1 0.000171365 -23 *2110:18 *26741:A2 7.6023e-05 -24 *2110:18 *2125:28 0.000343468 -25 *2110:18 *2125:30 0.000142021 -26 *2110:18 *2139:26 0.00107468 -27 *2110:18 *2139:30 0.000118625 -28 *2110:18 *2140:14 0.000144132 -29 *2110:18 *2662:13 0.000360816 -30 *2110:18 *2680:10 0.00167621 -31 *2110:26 *26421:B 9.16089e-05 -32 *2110:26 *26591:A2 0.000195555 -33 *2110:26 *26602:C1 0.000193118 -34 *2110:26 *26725:B 8.59455e-05 -35 *2110:26 *26767:B1 1.90936e-05 -36 *2110:26 *26768:B1 0.000195555 -37 *2110:26 *26769:C_N 0.000101509 -38 *2110:26 *2126:27 1.7382e-05 -39 *2110:26 *2133:135 0.000578602 -40 *2110:26 *2133:198 0.000295246 -41 *2110:26 *2136:82 0.000611791 -42 *2110:26 *2541:8 0.000113038 -43 *2110:26 *2658:9 0.000123103 -44 *2110:26 *2680:10 9.42203e-05 -45 *2110:26 *2680:17 0.000273878 -46 *2110:26 *5722:131 0.000284867 -47 *2110:44 *2233:37 0 -48 *26168:B *2110:7 0.00014285 -49 *26170:B *2110:12 0.000344932 -50 *26208:A1 *2110:26 0.000351092 -51 *26377:A *26425:A2 8.9046e-05 -52 *26482:A1 *26476:A3 5.45888e-05 -53 *26718:A *2110:26 0.000317594 -54 *1187:14 *26476:A3 0.000274909 -55 *1862:28 *2110:12 0.00142483 -56 *1874:27 *2110:12 1.2662e-05 -57 *1914:12 *26476:A3 6.34892e-05 -58 *1914:12 *2110:12 3.67356e-05 -59 *1914:12 *2110:44 7.83462e-05 -60 *1937:36 *2110:18 5.41794e-05 -61 *1945:65 *2110:18 2.06178e-05 -62 *1950:22 *26476:A3 0.000277332 -63 *1955:99 *26476:A3 0.000423908 -64 *1955:129 *26425:A2 0.00010096 -65 *1964:66 *2110:12 0 -66 *1975:14 *2110:12 0.000162124 -67 *2038:112 *26476:A3 0.000547258 -68 *2038:132 *26476:A3 0.000346342 -69 *2047:119 *26476:A3 0.000782582 -70 *2060:40 *2110:26 8.08022e-05 -71 *2098:8 *2110:18 1.16455e-05 -72 *2098:19 *2110:18 1.41029e-05 -73 *2098:28 *2110:18 0.000155764 -74 *2107:11 *2110:12 1.90936e-05 -*RES -1 *26168:X *2110:7 15.1571 -2 *2110:7 *2110:12 21.125 -3 *2110:12 *2110:18 36.0446 -4 *2110:18 *2110:26 38.5446 -5 *2110:26 *26425:A2 30.1036 -6 *2110:12 *26443:A_N 15.5679 -7 *2110:7 *2110:44 1.94643 -8 *2110:44 *26219:B 13.8 -9 *2110:44 *26476:A3 29.4648 -*END - -*D_NET *2111 0.0502769 -*CONN -*I *26477:A1 I *D sky130_fd_sc_hd__o21a_1 -*I *26429:A2 I *D sky130_fd_sc_hd__o32a_1 -*I *26793:A1 I *D sky130_fd_sc_hd__o221a_1 -*I *26324:B1 I *D sky130_fd_sc_hd__a31o_1 -*I *26307:A I *D sky130_fd_sc_hd__or2_1 -*I *26534:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *26169:X O *D sky130_fd_sc_hd__or3_4 -*CAP -1 *26477:A1 0.000823459 -2 *26429:A2 0 -3 *26793:A1 0.000621122 -4 *26324:B1 0.000991689 -5 *26307:A 0 -6 *26534:A1 0 -7 *26169:X 0 -8 *2111:69 0.00919575 -9 *2111:58 0.0104719 -10 *2111:26 0.00229993 -11 *2111:14 0.00165119 -12 *2111:13 0.00172298 -13 *2111:4 0.00245381 -14 *26324:B1 *26325:A3 0.00013833 -15 *26324:B1 *26325:B1 9.35794e-06 -16 *26324:B1 *26327:B1 3.83239e-06 -17 *26324:B1 *2187:25 0.000166861 -18 *26324:B1 *4541:20 0.000125724 -19 *26324:B1 *4552:65 0.000125724 -20 *26477:A1 *26475:A2 1.61405e-05 -21 *26477:A1 *26477:A2 0.000135704 -22 *26477:A1 *2250:64 0.000113344 -23 *26793:A1 *2161:107 0.000358089 -24 *26793:A1 *2345:8 0.000399672 -25 *26793:A1 *2706:14 0.00027095 -26 *26793:A1 *5722:153 9.25014e-06 -27 *26793:A1 *5722:166 6.77658e-05 -28 *26793:A1 *6357:19 0.000525799 -29 *2111:13 *26245:B 9.60875e-05 -30 *2111:13 *2157:8 0.000193118 -31 *2111:13 *2190:134 2.77258e-05 -32 *2111:13 *2220:45 5.23057e-05 -33 *2111:13 *4353:88 0.000179745 -34 *2111:14 *2144:26 0.000294073 -35 *2111:14 *2154:14 0.000244476 -36 *2111:14 *2224:20 0.000584167 -37 *2111:14 *2240:111 0.00133246 -38 *2111:14 *6147:14 0.000128905 -39 *2111:26 *26205:B 0.000117144 -40 *2111:26 *26300:B2 1.31516e-05 -41 *2111:26 *26307:B 5.52238e-05 -42 *2111:26 *30974:A 0 -43 *2111:26 *2144:16 7.4826e-05 -44 *2111:26 *2144:22 0.00156553 -45 *2111:26 *2144:26 6.0038e-05 -46 *2111:26 *2240:109 0.00116206 -47 *2111:26 *2240:111 0.000785941 -48 *2111:26 *5914:99 0.000179656 -49 *2111:58 *26026:A 4.88637e-05 -50 *2111:58 *26168:A_N 2.14757e-05 -51 *2111:58 *2136:158 0 -52 *2111:58 *2190:134 0.00167477 -53 *2111:58 *2250:64 0 -54 *2111:58 *4353:88 0.000167634 -55 *2111:69 *26435:D1 0.000114275 -56 *2111:69 *26590:B1 0.000107321 -57 *2111:69 *26721:B1 0.000113064 -58 *2111:69 *26738:A 0.000239229 -59 *2111:69 *26760:B 0.000131767 -60 *2111:69 *2124:41 0.000125449 -61 *2111:69 *2234:16 0.000119924 -62 *2111:69 *2250:51 0 -63 *2111:69 *2250:64 0.00100925 -64 *2111:69 *2315:18 0.000169437 -65 *2111:69 *2324:13 0.000125423 -66 *2111:69 *2345:8 0.000644548 -67 *2111:69 *2376:15 0.000121503 -68 *2111:69 *2634:17 6.4719e-05 -69 *2111:69 *2675:9 0.000334938 -70 *2111:69 *2706:14 0.000255791 -71 *2111:69 *5722:149 0.00018171 -72 *2111:69 *5722:153 0.000338905 -73 *26166:A *2111:58 0.000136951 -74 *26166:B *2111:58 0.000268409 -75 *26169:A *2111:13 5.33005e-05 -76 *26229:B *2111:13 1.98839e-05 -77 *26245:A *2111:13 0.000205508 -78 *26312:A *2111:13 0.00147283 -79 *26325:A1 *26324:B1 4.35597e-05 -80 *26466:B1 *26477:A1 4.1879e-05 -81 *26482:A1 *26477:A1 4.65519e-05 -82 *30748:A *2111:26 0 -83 *373:60 *2111:69 0.00010283 -84 *1187:41 *2111:13 0.000464893 -85 *1189:76 *2111:13 3.17148e-05 -86 *1902:59 *2111:58 0.000190776 -87 *1943:18 *2111:69 0.000131604 -88 *1956:47 *2111:26 0 -89 *1958:19 *26477:A1 0 -90 *1986:21 *26477:A1 4.58194e-05 -91 *1990:8 *2111:69 0.000118291 -92 *1990:19 *2111:69 0.000111243 -93 *2023:15 *2111:69 0.000101866 -94 *2026:16 *2111:58 1.90936e-05 -95 *2033:40 *2111:58 9.87983e-06 -96 *2038:112 *26477:A1 2.23086e-05 -97 *2060:8 *2111:69 0.000100699 -98 *2060:10 *2111:69 0.000165055 -99 *2060:25 *2111:69 0.000113624 -100 *2103:84 *2111:69 0.00011994 -101 *2103:88 *2111:69 0.000183469 -*RES -1 *26169:X *2111:4 9.3 -2 *2111:4 *2111:13 40.125 -3 *2111:13 *2111:14 16.8214 -4 *2111:14 *26534:A1 13.8 -5 *2111:14 *2111:26 43.7143 -6 *2111:26 *26307:A 9.3 -7 *2111:26 *26324:B1 31.9071 -8 *2111:4 *2111:58 30.1498 -9 *2111:58 *2111:69 28.5848 -10 *2111:69 *26793:A1 30.9429 -11 *2111:69 *26429:A2 13.8 -12 *2111:58 *26477:A1 24.1969 -*END - -*D_NET *2112 0.00465787 -*CONN -*I *26171:B I *D sky130_fd_sc_hd__or2_2 -*I *26197:B I *D sky130_fd_sc_hd__nand2b_2 -*I *26183:B I *D sky130_fd_sc_hd__and2_2 -*I *26184:B I *D sky130_fd_sc_hd__nand2_2 -*I *26170:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *26171:B 0.00025039 -2 *26197:B 0 -3 *26183:B 8.51372e-05 -4 *26184:B 0.000113525 -5 *26170:Y 0.000296256 -6 *2112:24 0.000287502 -7 *2112:12 0.000387633 -8 *2112:8 0.000618389 -9 *26183:B *2125:5 5.28345e-05 -10 *26184:B *2126:8 1.24368e-05 -11 *26184:B *2133:56 4.43256e-05 -12 *2112:8 *26662:A3 1.30669e-05 -13 *2112:8 *2401:34 0.000243616 -14 *26170:A *2112:8 4.58194e-05 -15 *26183:A *26183:B 0.000150618 -16 *26183:A *2112:24 0.000199428 -17 *26184:A *26184:B 9.41642e-05 -18 *26184:A *2112:24 9.60939e-05 -19 *26349:C1 *26171:B 0.000224445 -20 *26355:A_N *26183:B 0.000129901 -21 *1902:59 *26171:B 3.48705e-05 -22 *1946:195 *26183:B 0.000152333 -23 *1946:195 *2112:24 0.000189178 -24 *1953:38 *2112:8 0.00024453 -25 *1958:29 *2112:24 0.000208293 -26 *2033:40 *2112:8 0.000110624 -27 *2033:40 *2112:12 0.00014008 -28 *2103:68 *2112:8 9.22948e-05 -29 *2103:68 *2112:12 0.00014008 -*RES -1 *26170:Y *2112:8 19.7286 -2 *2112:8 *2112:12 6.44643 -3 *2112:12 *26184:B 11.4964 -4 *2112:12 *2112:24 10.0536 -5 *2112:24 *26183:B 17.1214 -6 *2112:24 *26197:B 13.8 -7 *2112:8 *26171:B 17.2286 -*END - -*D_NET *2113 0.0215947 -*CONN -*I *26172:A2 I *D sky130_fd_sc_hd__o21ai_2 -*I *26182:A I *D sky130_fd_sc_hd__nor2_2 -*I *26179:A I *D sky130_fd_sc_hd__nor2_1 -*I *26426:B I *D sky130_fd_sc_hd__nor4_1 -*I *26171:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *26172:A2 0.00242793 -2 *26182:A 8.19398e-05 -3 *26179:A 0 -4 *26426:B 0.00032547 -5 *26171:X 4.98761e-05 -6 *2113:65 0.00387818 -7 *2113:23 0.00061894 -8 *2113:18 0.00171613 -9 *2113:5 0.00284084 -10 *26172:A2 *26542:A2 6.28241e-05 -11 *26172:A2 *2133:68 0.000592363 -12 *26172:A2 *2287:14 2.24796e-05 -13 *26182:A *26045:A 0.000257619 -14 *26182:A *26182:B 0.000257619 -15 *26426:B *26186:A 0.000178742 -16 *26426:B *26195:B1 0.00017309 -17 *26426:B *2347:8 6.59766e-05 -18 *26426:B *2367:5 5.33005e-05 -19 *2113:18 *2126:8 0.00038021 -20 *2113:18 *2136:51 0.000308599 -21 *2113:18 *2357:7 5.33005e-05 -22 *2113:23 *26195:B1 6.05161e-06 -23 *2113:23 *26225:B1 6.05161e-06 -24 *2113:65 *2233:37 8.7327e-05 -25 *26079:A *26172:A2 7.95355e-05 -26 *26079:B *26172:A2 2.42516e-05 -27 *26172:A1 *26172:A2 0.000144501 -28 *26232:A1 *2113:18 0.000238055 -29 *26349:A1 *2113:65 0.000204854 -30 *26349:C1 *2113:5 6.57032e-05 -31 *26349:C1 *2113:65 8.25114e-05 -32 *26351:A2 *26172:A2 2.22618e-05 -33 *26362:A *26172:A2 0.000759806 -34 *26542:B1 *26172:A2 2.59355e-05 -35 *1771:29 *2113:18 0.000891257 -36 *1771:29 *2113:23 0.00017096 -37 *1874:27 *2113:65 0.000177262 -38 *1902:59 *2113:18 0 -39 *1932:63 *26426:B 4.18174e-05 -40 *1945:47 *2113:18 0.000886872 -41 *1945:47 *2113:23 0.000172681 -42 *1953:38 *2113:65 2.09897e-05 -43 *1966:39 *2113:65 0.000322313 -44 *1969:17 *2113:65 0.000422497 -45 *1975:14 *2113:65 2.71744e-05 -46 *2007:56 *2113:65 0.00015587 -47 *2022:11 *26172:A2 0.000400449 -48 *2026:10 *2113:65 1.94945e-05 -49 *2032:170 *26426:B 0.000247877 -50 *2052:28 *2113:65 0.000157584 -51 *2072:45 *2113:65 0.000559057 -52 *2103:29 *2113:18 1.38323e-05 -53 *2103:68 *2113:5 9.41642e-05 -54 *2103:68 *2113:18 0.000640157 -55 *2106:18 *2113:18 8.00806e-05 -*RES -1 *26171:X *2113:5 10.6571 -2 *2113:5 *2113:18 41.3036 -3 *2113:18 *2113:23 9.75 -4 *2113:23 *26426:B 26.3536 -5 *2113:23 *26179:A 9.3 -6 *2113:18 *26182:A 16.3893 -7 *2113:5 *2113:65 23.3249 -8 *2113:65 *26172:A2 39.4429 -*END - -*D_NET *2114 0.0150219 -*CONN -*I *26173:A I *D sky130_fd_sc_hd__inv_2 -*I *26587:A I *D sky130_fd_sc_hd__or2_1 -*I *26272:A I *D sky130_fd_sc_hd__nor2_1 -*I *26172:Y O *D sky130_fd_sc_hd__o21ai_2 -*CAP -1 *26173:A 7.46e-05 -2 *26587:A 0.00101521 -3 *26272:A 0.000223926 -4 *26172:Y 0.000363102 -5 *2114:43 0.00160951 -6 *2114:29 0.00112705 -7 *2114:12 0.00104518 -8 *26173:A *26449:B1 2.59355e-05 -9 *26173:A *3581:26 4.53889e-05 -10 *26272:A *26272:B 3.37729e-05 -11 *26272:A *26344:B 4.43126e-05 -12 *26272:A *26347:C1 0.000177545 -13 *26272:A *26400:A3 0.000139907 -14 *26272:A *2283:12 1.10422e-05 -15 *26587:A *26497:B 0.000140138 -16 *26587:A *26588:B 0.000231701 -17 *26587:A *26736:B 9.87672e-05 -18 *26587:A *28349:D 6.09889e-05 -19 *26587:A *2483:60 0.000134882 -20 *26587:A *3583:20 0.000140561 -21 *26587:A *3656:104 0.000248493 -22 *26587:A *5688:158 2.8046e-05 -23 *26587:A *5697:16 0.000159313 -24 *26587:A *5697:23 0.00125648 -25 *26587:A *6352:31 0.000860131 -26 *2114:12 *26344:B 0.000148744 -27 *2114:12 *2283:12 3.55057e-05 -28 *2114:12 *2434:6 0.000303947 -29 *2114:29 *26344:B 0.000748236 -30 *2114:29 *3583:16 2.17591e-05 -31 *2114:29 *3583:20 0.00020919 -32 *2114:43 *26449:B1 0.000205759 -33 *2114:43 *26522:A2 8.81837e-05 -34 *2114:43 *26553:B1 0.000532665 -35 *2114:43 *28850:A 8.55871e-05 -36 *2114:43 *2283:23 0.000431641 -37 *2114:43 *3583:20 0.000513966 -38 *26160:A4 *2114:12 0.000304293 -39 *26347:B1 *2114:12 2.06112e-05 -40 *26554:B *2114:12 8.68433e-06 -41 *26588:A *26587:A 0.000366691 -42 *29311:A *2114:43 0.000128154 -43 *1883:64 *2114:43 0.000260676 -44 *1883:78 *2114:43 2.14474e-05 -45 *1884:46 *2114:43 0.000260057 -46 *1930:76 *2114:29 0.000636986 -47 *1930:85 *2114:29 0.000223592 -48 *1930:85 *2114:43 0.000126439 -49 *2100:29 *26587:A 2.04825e-05 -50 *2100:29 *2114:43 2.2628e-05 -*RES -1 *26172:Y *2114:12 26.8934 -2 *2114:12 *26272:A 18.1125 -3 *2114:12 *2114:29 18.3453 -4 *2114:29 *2114:43 30.5804 -5 *2114:43 *26587:A 45.8804 -6 *2114:29 *26173:A 15.1571 -*END - -*D_NET *2115 0.00166895 -*CONN -*I *26449:B1 I *D sky130_fd_sc_hd__o31a_1 -*I *26173:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *26449:B1 0.000435732 -2 *26173:Y 0.000435732 -3 *26449:B1 *26449:A3 4.87953e-05 -4 *26449:B1 *26553:B1 0.000120665 -5 *26449:B1 *3583:20 0.000290986 -6 *26173:A *26449:B1 2.59355e-05 -7 *1174:51 *26449:B1 9.60939e-05 -8 *1883:64 *26449:B1 9.25014e-06 -9 *2114:43 *26449:B1 0.000205759 -*RES -1 *26173:Y *26449:B1 36.9929 -*END - -*D_NET *2116 0.00436702 -*CONN -*I *26181:B I *D sky130_fd_sc_hd__or3_2 -*I *26230:D I *D sky130_fd_sc_hd__and4b_1 -*I *26406:B1_N I *D sky130_fd_sc_hd__a21bo_1 -*I *26417:B I *D sky130_fd_sc_hd__or2_1 -*I *26174:Y O *D sky130_fd_sc_hd__xnor2_1 -*CAP -1 *26181:B 0 -2 *26230:D 4.99929e-05 -3 *26406:B1_N 0.000210749 -4 *26417:B 0.000168956 -5 *26174:Y 0.00031849 -6 *2116:12 0.000455154 -7 *2116:10 0.000176387 -8 *2116:8 0.000369435 -9 *26230:D *26230:C 5.33005e-05 -10 *26230:D *2171:11 1.3701e-05 -11 *26417:B *26045:A 0.000266092 -12 *26417:B *26186:A 9.73258e-05 -13 *26417:B *26406:A1 1.41029e-05 -14 *26417:B *26406:A2 5.58941e-05 -15 *26417:B *2120:38 1.27784e-05 -16 *26417:B *2347:8 3.34687e-05 -17 *2116:8 *26031:A 1.24368e-05 -18 *2116:8 *26045:A 5.4695e-05 -19 *2116:8 *2141:106 0.000165727 -20 *2116:8 *2170:14 9.3279e-06 -21 *2116:8 *4519:91 0.000390322 -22 *2116:8 *4530:72 3.18672e-05 -23 *2116:10 *26045:A 9.49949e-05 -24 *2116:10 *2170:14 6.48415e-06 -25 *2116:12 *26045:A 0.000168939 -26 *2116:12 *26406:A2 0.000137224 -27 *2116:12 *2170:14 1.17968e-05 -28 *26228:B1 *26230:D 9.95674e-05 -29 *26228:B1 *2116:8 0.000193278 -30 *26228:B1 *2116:10 7.29712e-05 -31 *26229:B *2116:8 0 -32 *26417:A *26230:D 3.96597e-05 -33 *26417:A *26417:B 9.41642e-05 -34 *1932:37 *2116:8 0.000220935 -35 *1966:17 *26406:B1_N 0.000257619 -36 *2032:170 *2116:8 9.18765e-06 -*RES -1 *26174:Y *2116:8 21.3893 -2 *2116:8 *2116:10 1.33929 -3 *2116:10 *2116:12 2.25 -4 *2116:12 *26417:B 18.6214 -5 *2116:12 *26406:B1_N 16.3893 -6 *2116:10 *26230:D 15.4116 -7 *2116:8 *26181:B 13.8 -*END - -*D_NET *2117 0.000723782 -*CONN -*I *26176:B I *D sky130_fd_sc_hd__nor2_1 -*I *26175:Y O *D sky130_fd_sc_hd__a21oi_1 -*CAP -1 *26176:B 0.000158352 -2 *26175:Y 0.000158352 -3 *26176:B *2118:5 0.000149797 -4 *26176:A *26176:B 0.000222743 -5 *2105:7 *26176:B 3.45371e-05 -*RES -1 *26175:Y *26176:B 22.4571 -*END - -*D_NET *2118 0.00315095 -*CONN -*I *26416:B I *D sky130_fd_sc_hd__or3_1 -*I *26178:B1_N I *D sky130_fd_sc_hd__o21bai_1 -*I *26176:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26416:B 0.000437141 -2 *26178:B1_N 9.62602e-05 -3 *26176:Y 0.000418878 -4 *2118:5 0.000952279 -5 *26178:B1_N *2141:106 2.39779e-05 -6 *26178:B1_N *2187:109 0.000190554 -7 *26416:B *2357:7 9.71197e-05 -8 *26176:A *2118:5 8.96566e-06 -9 *26176:B *2118:5 0.000149797 -10 *2032:170 *26178:B1_N 0.000236268 -11 *2103:29 *26416:B 7.34839e-05 -12 *2105:7 *26416:B 0.000288688 -13 *2105:7 *2118:5 0.00017754 -*RES -1 *26176:Y *2118:5 14.3536 -2 *2118:5 *26178:B1_N 21.4607 -3 *2118:5 *26416:B 14.7643 -*END - -*D_NET *2119 0.00238017 -*CONN -*I *26416:C I *D sky130_fd_sc_hd__or3_1 -*I *26178:A2 I *D sky130_fd_sc_hd__o21bai_1 -*I *26177:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26416:C 0.000379195 -2 *26178:A2 0.000144214 -3 *26177:Y 0 -4 *2119:4 0.000523409 -5 *26178:A2 *26031:A 6.83955e-05 -6 *26416:C *26031:A 0.000287976 -7 *26416:C *2357:13 0.000305448 -8 *26416:C *4552:132 5.33005e-05 -9 *26178:A1 *26178:A2 5.80706e-06 -10 *1937:22 *26416:C 0.000303727 -11 *1945:47 *26178:A2 1.18451e-05 -12 *1963:8 *26178:A2 0.000144447 -13 *2103:29 *26416:C 5.33005e-05 -14 *2105:31 *26178:A2 9.91086e-05 -*RES -1 *26177:Y *2119:4 9.3 -2 *2119:4 *26178:A2 21.1929 -3 *2119:4 *26416:C 25.9071 -*END - -*D_NET *2120 0.00611232 -*CONN -*I *26230:A_N I *D sky130_fd_sc_hd__and4b_1 -*I *26179:B I *D sky130_fd_sc_hd__nor2_1 -*I *26426:C I *D sky130_fd_sc_hd__nor4_1 -*I *26181:C I *D sky130_fd_sc_hd__or3_2 -*I *26178:Y O *D sky130_fd_sc_hd__o21bai_1 -*CAP -1 *26230:A_N 0 -2 *26179:B 5.37304e-05 -3 *26426:C 0.000239648 -4 *26181:C 2.80387e-05 -5 *26178:Y 0.000592333 -6 *2120:38 0.000463439 -7 *2120:36 0.000410752 -8 *2120:21 0.000861063 -9 *26179:B *2121:10 9.41642e-05 -10 *26181:C *26229:A 5.33005e-05 -11 *26426:C *26426:D 5.33005e-05 -12 *26426:C *2121:10 0.00037045 -13 *26426:C *2141:134 1.20379e-05 -14 *26426:C *2347:8 0.000357907 -15 *26426:C *2347:13 3.22325e-05 -16 *26426:C *2367:5 9.71197e-05 -17 *2120:21 *2126:8 2.49719e-05 -18 *2120:21 *2141:106 1.21955e-05 -19 *2120:21 *6291:123 0.000157971 -20 *2120:36 *26229:A 0.000177815 -21 *2120:36 *2141:106 0.000111102 -22 *2120:36 *2170:14 0.000121353 -23 *2120:38 *26406:A1 0.000197269 -24 *2120:38 *2141:106 0.000566525 -25 *2120:38 *2141:134 9.86249e-07 -26 *2120:38 *2170:14 2.48938e-05 -27 *2120:38 *2347:8 0.000314764 -28 *26191:A *26426:C 6.42095e-05 -29 *26229:C *26181:C 9.41642e-05 -30 *26229:C *2120:21 9.41642e-05 -31 *26229:C *2120:36 3.08382e-06 -32 *26417:B *2120:38 1.27784e-05 -33 *1945:47 *2120:21 1.74806e-05 -34 *1963:8 *2120:21 0.000199726 -35 *2032:170 *2120:21 1.90936e-05 -36 *2105:31 *2120:21 0.000178254 -*RES -1 *26178:Y *2120:21 30.976 -2 *2120:21 *26181:C 10.2464 -3 *2120:21 *2120:36 9.48214 -4 *2120:36 *2120:38 7.41071 -5 *2120:38 *26426:C 20.8536 -6 *2120:38 *26179:B 14.7464 -7 *2120:36 *26230:A_N 13.8 -*END - -*D_NET *2121 0.00469688 -*CONN -*I *26180:A I *D sky130_fd_sc_hd__inv_2 -*I *26179:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26180:A 0 -2 *26179:Y 0.000727739 -3 *2121:10 0.000727739 -4 *2121:10 *26195:A1 3.49135e-05 -5 *2121:10 *26195:B1 0.000175892 -6 *2121:10 *26403:A2 0.00017309 -7 *2121:10 *2129:29 1.0267e-05 -8 *2121:10 *2141:134 0.000204962 -9 *2121:10 *2157:84 0.00140315 -10 *2121:10 *2347:13 0.000520681 -11 *2121:10 *5673:207 3.81909e-05 -12 *2121:10 *5673:251 0.000215635 -13 *26179:B *2121:10 9.41642e-05 -14 *26426:C *2121:10 0.00037045 -*RES -1 *26179:Y *2121:10 42.05 -2 *2121:10 *26180:A 9.3 -*END - -*D_NET *2122 0.00260955 -*CONN -*I *26407:A I *D sky130_fd_sc_hd__nor2_1 -*I *26596:A1 I *D sky130_fd_sc_hd__o32ai_4 -*I *26180:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *26407:A 0.000143565 -2 *26596:A1 2.37855e-05 -3 *26180:Y 0.000249757 -4 *2122:9 0.000417107 -5 *26407:A *26407:B 0.000175892 -6 *26407:A *2536:5 0.000127457 -7 *26407:A *3461:13 0.000426563 -8 *2122:9 *26596:A3 5.96516e-05 -9 *2122:9 *26596:B1 5.49489e-05 -10 *2122:9 *2157:84 4.56594e-05 -11 *2122:9 *2176:70 4.19371e-05 -12 *2122:9 *2536:5 0.000152811 -13 *2122:9 *3461:13 0.000589588 -14 *2122:9 *5673:207 0.000100831 -*RES -1 *26180:Y *2122:9 25.5143 -2 *2122:9 *26596:A1 9.72857 -3 *2122:9 *26407:A 13.9607 -*END - -*D_NET *2123 0.00815427 -*CONN -*I *26182:B I *D sky130_fd_sc_hd__nor2_2 -*I *26233:B I *D sky130_fd_sc_hd__nor3_2 -*I *26198:B I *D sky130_fd_sc_hd__nor3_1 -*I *26181:X O *D sky130_fd_sc_hd__or3_2 -*CAP -1 *26182:B 0.000219842 -2 *26233:B 0 -3 *26198:B 0.000611273 -4 *26181:X 0.000232399 -5 *2123:8 0.00102869 -6 *2123:6 0.000869656 -7 *26182:B *26045:A 0.000123861 -8 *26182:B *26186:A 2.89114e-05 -9 *26182:B *5782:116 0.000220304 -10 *26198:B *2139:30 6.53397e-05 -11 *26198:B *2175:11 4.32957e-05 -12 *26198:B *2358:17 0.000193579 -13 *2123:6 *2126:8 3.77109e-05 -14 *2123:6 *5782:86 8.42062e-05 -15 *2123:6 *5782:90 7.83659e-05 -16 *2123:6 *6291:123 0.000261874 -17 *2123:8 *26403:B1 7.83659e-05 -18 *2123:8 *2126:8 3.57844e-05 -19 *2123:8 *2358:17 0.00107914 -20 *2123:8 *4508:144 0.000177418 -21 *26182:A *26182:B 0.000257619 -22 *26224:D1 *26198:B 0.000642885 -23 *26403:A1 *2123:8 0.000379302 -24 *1932:63 *26198:B 6.70932e-05 -25 *1963:8 *2123:6 0.000493303 -26 *1963:8 *2123:8 0.000405889 -27 *2032:170 *2123:8 0.000115396 -28 *2098:8 *26198:B 1.32425e-05 -29 *2099:49 *26198:B 0.000149904 -30 *2099:49 *2123:8 7.05447e-05 -31 *2110:18 *26198:B 8.9071e-05 -*RES -1 *26181:X *2123:6 20.3 -2 *2123:6 *2123:8 15 -3 *2123:8 *26198:B 35.4808 -4 *2123:8 *26233:B 13.8 -5 *2123:6 *26182:B 19.2821 -*END - -*D_NET *2124 0.0161407 -*CONN -*I *26402:B I *D sky130_fd_sc_hd__nand2_1 -*I *26720:B1 I *D sky130_fd_sc_hd__o31a_1 -*I *26226:A4 I *D sky130_fd_sc_hd__a41o_1 -*I *26182:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *26402:B 0.00205771 -2 *26720:B1 0 -3 *26226:A4 0.000166798 -4 *26182:Y 0 -5 *2124:41 0.00106625 -6 *2124:26 0.00414382 -7 *2124:4 0.00118665 -8 *26226:A4 *2173:21 0.000267806 -9 *26226:A4 *2174:8 0.00012403 -10 *26402:B *26357:B1 0 -11 *26402:B *2298:11 0 -12 *2124:26 *26045:A 0.000177821 -13 *2124:26 *26357:A2 0.000158705 -14 *2124:41 *26357:A2 0.000162192 -15 *2124:41 *26760:B 2.05938e-05 -16 *2124:41 *5782:126 0.000199358 -17 *26156:B *2124:41 1.94879e-05 -18 *26225:C1 *26226:A4 0.000105689 -19 *26432:B1 *2124:41 9.90431e-05 -20 *26720:A1 *2124:41 5.62995e-05 -21 *1930:152 *2124:41 0.000199358 -22 *1939:6 *2124:41 0.00013851 -23 *1939:8 *2124:41 0.000130011 -24 *1943:18 *2124:41 3.34783e-05 -25 *1946:173 *2124:26 7.252e-05 -26 *1948:17 *2124:26 0.000226735 -27 *1952:34 *2124:26 1.12323e-05 -28 *1952:34 *2124:41 0.00059616 -29 *1952:39 *2124:41 0.000105203 -30 *1966:17 *26226:A4 0.000115051 -31 *1990:14 *2124:41 3.20046e-05 -32 *1990:19 *2124:41 0.00136573 -33 *2005:45 *26402:B 0.000558694 -34 *2006:12 *26402:B 0.000556265 -35 *2023:8 *2124:41 5.39996e-05 -36 *2023:15 *2124:41 3.56212e-05 -37 *2060:15 *2124:41 4.038e-06 -38 *2060:25 *2124:41 5.58941e-05 -39 *2103:84 *2124:41 0.000844419 -40 *2103:88 *2124:41 0.000868094 -41 *2111:69 *2124:41 0.000125449 -*RES -1 *26182:Y *2124:4 9.3 -2 *2124:4 *26226:A4 23.675 -3 *2124:4 *2124:26 17.8125 -4 *2124:26 *2124:41 44.9321 -5 *2124:41 *26720:B1 9.3 -6 *2124:26 *26402:B 31.5293 -*END - -*D_NET *2125 0.0144483 -*CONN -*I *26225:A1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26599:A2 I *D sky130_fd_sc_hd__a311o_1 -*I *26373:C I *D sky130_fd_sc_hd__and3b_2 -*I *26421:A I *D sky130_fd_sc_hd__nand2_1 -*I *26430:A2 I *D sky130_fd_sc_hd__a31o_1 -*I *26232:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *26444:A4 I *D sky130_fd_sc_hd__a41o_1 -*I *26183:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *26225:A1 0.000188137 -2 *26599:A2 0.000258084 -3 *26373:C 0.000162672 -4 *26421:A 2.03833e-05 -5 *26430:A2 0.000179336 -6 *26232:A2 4.1975e-05 -7 *26444:A4 0.000159613 -8 *26183:X 0.000146229 -9 *2125:48 0.000642913 -10 *2125:34 0.000676016 -11 *2125:30 0.000812644 -12 *2125:28 0.000985865 -13 *2125:19 0.000469458 -14 *2125:5 0.000453336 -15 *26232:A2 *2127:77 0.000137983 -16 *26232:A2 *2139:26 7.02611e-05 -17 *26373:C *2536:20 2.56601e-05 -18 *26373:C *2545:8 3.69697e-05 -19 *26421:A *2662:14 5.7616e-05 -20 *26430:A2 *26598:A2 1.24368e-05 -21 *26444:A4 *2139:11 0.000135028 -22 *26444:A4 *2385:10 9.42599e-05 -23 *26599:A2 *26599:B1 0.000179386 -24 *2125:5 *2127:77 2.44318e-05 -25 *2125:5 *2384:13 0.000431435 -26 *2125:19 *2127:77 0.000400299 -27 *2125:19 *2139:11 0.000182694 -28 *2125:19 *2139:26 6.57914e-05 -29 *2125:30 *2680:10 0.00110121 -30 *2125:34 *2680:10 7.79859e-05 -31 *2125:48 *26598:A2 5.96516e-05 -32 *2125:48 *2662:14 0.000954396 -33 *26183:B *2125:5 5.28345e-05 -34 *26225:D1 *26225:A1 0.000129913 -35 *26267:D1 *26599:A2 2.46432e-05 -36 *26355:A_N *26444:A4 0.000125724 -37 *26355:A_N *2125:5 0.000144612 -38 *26432:B1 *26373:C 0.000391181 -39 *26432:B2 *26373:C 5.95163e-05 -40 *26443:C *2125:19 2.14658e-05 -41 *26444:A3 *26444:A4 1.98839e-05 -42 *26595:B *26373:C 0.000391181 -43 *26599:A1 *26599:A2 5.50052e-05 -44 *1862:28 *2125:28 0.000729988 -45 *1862:28 *2125:30 0.00138883 -46 *1862:28 *2125:34 7.62712e-05 -47 *1946:131 *26430:A2 6.94127e-05 -48 *2103:68 *26444:A4 5.41794e-05 -49 *2103:70 *26444:A4 7.83587e-05 -50 *2103:79 *26444:A4 8.07951e-05 -51 *2104:44 *26421:A 2.84398e-05 -52 *2104:44 *2125:48 0.000742205 -53 *2110:12 *2125:28 5.41794e-05 -54 *2110:18 *2125:28 0.000343468 -55 *2110:18 *2125:30 0.000142021 -*RES -1 *26183:X *2125:5 13.9429 -2 *2125:5 *26444:A4 22.6214 -3 *2125:5 *2125:19 4.66071 -4 *2125:19 *26232:A2 10.6571 -5 *2125:19 *2125:28 14.0357 -6 *2125:28 *2125:30 18.3393 -7 *2125:30 *2125:34 5.53571 -8 *2125:34 *26430:A2 12.3357 -9 *2125:34 *2125:48 18.4286 -10 *2125:48 *26421:A 14.5321 -11 *2125:48 *26373:C 28.233 -12 *2125:30 *26599:A2 17.2286 -13 *2125:28 *26225:A1 16.8179 -*END - -*D_NET *2126 0.0187872 -*CONN -*I *26403:B1 I *D sky130_fd_sc_hd__a21oi_1 -*I *26598:B1 I *D sky130_fd_sc_hd__a211oi_1 -*I *26431:A1 I *D sky130_fd_sc_hd__o32a_1 -*I *26591:A1 I *D sky130_fd_sc_hd__o311a_1 -*I *26768:A1 I *D sky130_fd_sc_hd__o211a_1 -*I *26418:A I *D sky130_fd_sc_hd__or3_1 -*I *26233:C I *D sky130_fd_sc_hd__nor3_2 -*I *26184:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *26403:B1 0.000195348 -2 *26598:B1 0.000392528 -3 *26431:A1 0.000215754 -4 *26591:A1 0.000294057 -5 *26768:A1 3.65289e-05 -6 *26418:A 9.57058e-05 -7 *26233:C 0 -8 *26184:Y 0.00112911 -9 *2126:40 0.000426695 -10 *2126:36 0.000457872 -11 *2126:27 0.000591302 -12 *2126:22 0.00124397 -13 *2126:12 0.000588511 -14 *2126:8 0.00141112 -15 *26403:B1 *2394:11 0 -16 *26418:A *26418:C 9.74665e-05 -17 *26418:A *5664:86 0.000302665 -18 *26431:A1 *26433:C 0.000339346 -19 *26431:A1 *2131:104 7.64454e-05 -20 *26591:A1 *26591:A2 4.78624e-05 -21 *26591:A1 *26768:A2 1.16848e-05 -22 *26591:A1 *26768:B1 0.00029506 -23 *26591:A1 *26769:C_N 0.000221628 -24 *26591:A1 *2136:68 6.42095e-05 -25 *26591:A1 *2196:35 1.45567e-05 -26 *26768:A1 *26768:B1 1.81315e-05 -27 *26768:A1 *26769:C_N 3.79027e-06 -28 *26768:A1 *2196:35 1.61403e-05 -29 *2126:8 *26225:B1 3.2293e-05 -30 *2126:8 *2133:56 5.35879e-05 -31 *2126:8 *2358:17 0.000813763 -32 *2126:8 *5782:86 8.84757e-05 -33 *2126:8 *5782:90 7.6644e-05 -34 *2126:8 *6291:123 0.000438317 -35 *2126:12 *26225:B1 2.16719e-05 -36 *2126:12 *2358:17 0.000241191 -37 *2126:22 *26268:C 0.000386115 -38 *2126:22 *26268:D_N 2.2351e-05 -39 *2126:22 *2131:45 0.000295081 -40 *2126:22 *2139:30 4.00025e-05 -41 *2126:22 *2175:11 5.33005e-05 -42 *2126:22 *2539:8 7.48679e-06 -43 *2126:27 *26434:A_N 9.43401e-05 -44 *2126:27 *26741:A2 9.2666e-05 -45 *2126:27 *2133:135 9.06613e-05 -46 *2126:27 *2136:58 0.000322295 -47 *2126:27 *5664:86 0.000247112 -48 *2126:36 *26767:A2_N 5.31101e-05 -49 *2126:36 *26768:A2 0.00017309 -50 *2126:36 *2348:8 6.14002e-05 -51 *2126:36 *4189:60 0.000393217 -52 *2126:36 *5722:112 5.58941e-05 -53 *2126:40 *26768:A2 0.000146474 -54 *2126:40 *2348:8 2.49484e-05 -55 *2126:40 *4189:60 0.000197969 -56 *26184:B *2126:8 1.24368e-05 -57 *26233:A *2126:22 0.000513591 -58 *26403:A1 *26403:B1 5.52238e-05 -59 *26426:A *2126:8 0.000115474 -60 *26431:B2 *26431:A1 7.24613e-05 -61 *26595:B *26431:A1 5.74499e-06 -62 *373:44 *26418:A 0.000100736 -63 *373:44 *2126:27 0.000125355 -64 *1874:27 *2126:8 0.000674097 -65 *1945:47 *2126:8 0.00140081 -66 *1946:131 *26598:B1 0.000501178 -67 *1962:30 *26403:B1 0.000136951 -68 *1973:8 *2126:8 0.000219711 -69 *2032:170 *26403:B1 7.83659e-05 -70 *2098:8 *2126:22 0.000351381 -71 *2098:19 *2126:22 7.69208e-05 -72 *2098:28 *2126:22 2.7728e-05 -73 *2098:28 *2126:27 7.28539e-05 -74 *2099:49 *2126:8 0.000193125 -75 *2099:49 *2126:12 0.000196262 -76 *2105:31 *2126:8 0.000171375 -77 *2110:26 *2126:27 1.7382e-05 -78 *2113:18 *2126:8 0.00038021 -79 *2120:21 *2126:8 2.49719e-05 -80 *2123:6 *2126:8 3.77109e-05 -81 *2123:8 *26403:B1 7.83659e-05 -82 *2123:8 *2126:8 3.57844e-05 -*RES -1 *26184:Y *2126:8 47.3893 -2 *2126:8 *2126:12 7.66071 -3 *2126:12 *26233:C 9.3 -4 *2126:12 *2126:22 18.4107 -5 *2126:22 *2126:27 13.8929 -6 *2126:27 *26418:A 12.3 -7 *2126:27 *2126:36 9.78571 -8 *2126:36 *2126:40 7.05357 -9 *2126:40 *26768:A1 10.2464 -10 *2126:40 *26591:A1 15.4964 -11 *2126:36 *26431:A1 18.4607 -12 *2126:22 *26598:B1 18.8536 -13 *2126:8 *26403:B1 26.1571 -*END - -*D_NET *2127 0.0365639 -*CONN -*I *26339:A2 I *D sky130_fd_sc_hd__a211o_1 -*I *26422:A2 I *D sky130_fd_sc_hd__a32o_1 -*I *26187:B I *D sky130_fd_sc_hd__nand2_2 -*I *26185:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *26339:A2 0.0012708 -2 *26422:A2 0.000320088 -3 *26187:B 0 -4 *26185:Y 0.00044587 -5 *2127:77 0.00426331 -6 *2127:45 0.00220621 -7 *2127:27 0.00395364 -8 *2127:15 0.00550589 -9 *26339:A2 *26346:B 9.60875e-05 -10 *26339:A2 *4189:72 9.8637e-05 -11 *26422:A2 *26424:A2 2.14658e-05 -12 *26422:A2 *26435:B1 0.000175892 -13 *26422:A2 *2146:38 9.60939e-05 -14 *2127:15 *25968:B 2.22164e-05 -15 *2127:15 *26185:A 8.89458e-05 -16 *2127:15 *6291:95 6.98537e-05 -17 *2127:27 *26549:C_N 0.000217105 -18 *2127:27 *26645:B 0.000131766 -19 *2127:27 *2132:37 9.23689e-05 -20 *2127:27 *2141:134 0 -21 *2127:27 *2142:26 0.000122713 -22 *2127:27 *2145:87 9.06613e-05 -23 *2127:27 *2145:91 0.000138792 -24 *2127:27 *2151:53 0.000734948 -25 *2127:27 *2159:92 0.000357287 -26 *2127:27 *2161:35 0.000310089 -27 *2127:27 *2208:8 0.000216639 -28 *2127:27 *2346:38 0.00282364 -29 *2127:27 *2582:28 2.63501e-05 -30 *2127:27 *2584:12 0.00014833 -31 *2127:27 *2587:11 0 -32 *2127:27 *6291:95 0.00016499 -33 *2127:45 *26435:C1 4.43042e-05 -34 *2127:45 *26435:D1 1.51018e-05 -35 *2127:45 *26600:A1 0.000339346 -36 *2127:45 *26600:A2 5.33005e-05 -37 *2127:45 *26600:B1 0.000259549 -38 *2127:45 *2128:32 0.000100823 -39 *2127:45 *2154:61 0.00158786 -40 *2127:45 *2155:106 2.24079e-05 -41 *2127:45 *2165:14 1.08359e-05 -42 *2127:45 *5664:131 7.6644e-05 -43 *2127:45 *5673:40 0.000408196 -44 *2127:77 *26185:A 0.000611141 -45 *2127:77 *26232:B1 9.60939e-05 -46 *2127:77 *2174:8 4.58194e-05 -47 *2127:77 *2357:13 9.23689e-05 -48 *2127:77 *2384:13 0.000472299 -49 *2127:77 *4530:72 0.0001711 -50 *2127:77 *5782:86 0.000135204 -51 *2127:77 *6291:123 0.000700288 -52 *26023:A *2127:15 0.000256798 -53 *26034:A_N *2127:77 5.33005e-05 -54 *26035:B *26339:A2 0.000238448 -55 *26061:B *26339:A2 5.33005e-05 -56 *26076:A *26339:A2 5.54401e-05 -57 *26076:B *26339:A2 0.000178847 -58 *26102:A *26339:A2 0.0003482 -59 *26185:B *2127:15 2.59355e-05 -60 *26229:C *2127:77 0.000110107 -61 *26232:A1 *2127:77 1.21258e-05 -62 *26232:A2 *2127:77 0.000137983 -63 *26241:A2 *2127:45 0.000409911 -64 *26339:A1 *26339:A2 5.17728e-05 -65 *26348:A *26339:A2 0.000159159 -66 *26348:B *26339:A2 9.41642e-05 -67 *26355:A_N *2127:77 6.50354e-05 -68 *26355:C *2127:77 0.000263524 -69 *26443:A_N *2127:77 0.00018077 -70 *26644:A2 *2127:27 0.000126169 -71 *26729:A2 *2127:27 8.55871e-05 -72 *26729:A2 *2127:45 4.58194e-05 -73 *1874:27 *2127:77 2.62977e-05 -74 *1874:35 *2127:27 0.00139391 -75 *1874:36 *2127:27 0.000234866 -76 *1909:30 *2127:15 0.000131531 -77 *1910:20 *2127:15 0.000329376 -78 *1910:20 *2127:77 0.000127359 -79 *1935:72 *2127:45 0.000177815 -80 *1937:22 *2127:77 9.06613e-05 -81 *1942:70 *2127:15 8.22793e-06 -82 *1943:18 *2127:77 0.000125724 -83 *1946:98 *2127:27 0 -84 *1962:8 *2127:27 0.000183617 -85 *1966:39 *2127:77 6.51946e-05 -86 *1971:57 *26339:A2 8.33968e-05 -87 *1976:7 *26339:A2 2.40107e-05 -88 *1996:48 *26339:A2 9.60875e-05 -89 *2003:8 *26339:A2 9.80173e-05 -90 *2018:12 *26339:A2 6.05161e-06 -91 *2018:12 *2127:77 0.000216556 -92 *2032:185 *2127:45 5.51918e-05 -93 *2043:85 *2127:27 0.000177815 -94 *2043:85 *2127:45 8.17274e-05 -95 *2125:5 *2127:77 2.44318e-05 -96 *2125:19 *2127:77 0.000400299 -*RES -1 *26185:Y *2127:15 22.4493 -2 *2127:15 *2127:27 26.9115 -3 *2127:27 *26187:B 9.3 -4 *2127:27 *2127:45 49.6429 -5 *2127:45 *26422:A2 14.3714 -6 *2127:15 *2127:77 49.2421 -7 *2127:77 *26339:A2 31.6214 -*END - -*D_NET *2128 0.0284068 -*CONN -*I *26195:A1 I *D sky130_fd_sc_hd__o211a_1 -*I *26431:A2 I *D sky130_fd_sc_hd__o32a_1 -*I *26193:B I *D sky130_fd_sc_hd__nor2_1 -*I *26221:A1 I *D sky130_fd_sc_hd__a21oi_1 -*I *26267:A1 I *D sky130_fd_sc_hd__o2111a_1 -*I *26186:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *26195:A1 0.000163444 -2 *26431:A2 1.23018e-05 -3 *26193:B 0.000141469 -4 *26221:A1 0.00022285 -5 *26267:A1 5.97053e-05 -6 *26186:X 0.000376079 -7 *2128:44 0.00123286 -8 *2128:41 0.00171863 -9 *2128:32 0.00159418 -10 *2128:23 0.0012911 -11 *2128:10 0.000870258 -12 *2128:7 0.000815363 -13 *26193:B *26193:A 0.000420446 -14 *26193:B *26204:A 0.00013039 -15 *26195:A1 *26186:A 3.97677e-05 -16 *26195:A1 *26196:B1 0.000136958 -17 *26195:A1 *2131:23 0.000221219 -18 *26195:A1 *2141:134 2.04825e-05 -19 *26195:A1 *2157:84 0.000112189 -20 *26195:A1 *2187:122 9.03514e-05 -21 *26221:A1 *26221:B1 9.90431e-05 -22 *26221:A1 *2149:41 9.60875e-05 -23 *26221:A1 *2178:24 0.000902034 -24 *26221:A1 *2187:173 0.000368407 -25 *26267:A1 *26268:D_N 5.33005e-05 -26 *2128:7 *26186:A 2.08465e-06 -27 *2128:7 *26191:B 0.000259111 -28 *2128:7 *2133:14 9.60875e-05 -29 *2128:10 *2157:84 0.000927411 -30 *2128:10 *2187:122 0.000927411 -31 *2128:10 *5673:251 0.000243611 -32 *2128:23 *2129:29 0.00104567 -33 *2128:23 *2133:135 0.000661471 -34 *2128:23 *5673:207 1.721e-05 -35 *2128:32 *26204:A 0.000290271 -36 *2128:32 *26431:A3 1.66595e-05 -37 *2128:32 *26433:C 7.83659e-05 -38 *2128:32 *26434:B 0.000124404 -39 *2128:32 *2141:134 1.53191e-05 -40 *2128:32 *2141:144 0.000471631 -41 *2128:32 *2165:14 2.84879e-05 -42 *2128:32 *2165:31 0.000314216 -43 *2128:32 *2485:24 0.000958359 -44 *2128:32 *5664:131 0.00014617 -45 *2128:41 *26193:A 0.000252114 -46 *2128:41 *26705:B1 1.98839e-05 -47 *2128:41 *26734:C 0.00031588 -48 *2128:41 *2187:131 0.000288263 -49 *2128:41 *2194:45 0.000787195 -50 *2128:41 *2716:11 9.60939e-05 -51 *2128:44 *26239:A2 5.48376e-05 -52 *2128:44 *26734:C 1.17968e-05 -53 *2128:44 *26777:C_N 0.000311614 -54 *2128:44 *2133:212 4.11388e-05 -55 *2128:44 *2157:106 0.00182693 -56 *2128:44 *2159:42 0.000366633 -57 *2128:44 *2159:54 0.000105638 -58 *2128:44 *2196:47 0.00137754 -59 *2128:44 *2636:28 0.00080339 -60 *2128:44 *2716:11 0.000752498 -61 *26191:A *2128:7 3.82357e-05 -62 *26267:D1 *26267:A1 0.000135028 -63 *26267:D1 *2128:23 0.000260152 -64 *26431:B2 *26431:A2 4.27935e-05 -65 *26431:B2 *2128:23 0.000136951 -66 *26595:B *26431:A2 5.33005e-05 -67 *26595:B *2128:23 0.000135028 -68 *373:12 *2128:41 4.75812e-05 -69 *373:44 *2128:23 0.00038879 -70 *1932:63 *26195:A1 8.82238e-05 -71 *2032:170 *2128:23 1.53472e-05 -72 *2032:170 *2128:32 0.000236523 -73 *2032:185 *2128:41 0.00105595 -74 *2043:85 *2128:32 0.000332779 -75 *2046:154 *2128:32 6.09762e-05 -76 *2099:49 *2128:32 1.90936e-05 -77 *2121:10 *26195:A1 3.49135e-05 -78 *2127:45 *2128:32 0.000100823 -*RES -1 *26186:X *2128:7 19.675 -2 *2128:7 *2128:10 16.4643 -3 *2128:10 *26267:A1 10.6571 -4 *2128:10 *2128:23 27.0357 -5 *2128:23 *2128:32 34.6786 -6 *2128:32 *2128:41 36.0893 -7 *2128:41 *2128:44 44.3929 -8 *2128:44 *26221:A1 18.05 -9 *2128:32 *26193:B 13.5321 -10 *2128:23 *26431:A2 9.83571 -11 *2128:7 *26195:A1 18.8357 -*END - -*D_NET *2129 0.0221711 -*CONN -*I *26638:A2 I *D sky130_fd_sc_hd__a21oi_1 -*I *26342:B I *D sky130_fd_sc_hd__nor2_1 -*I *28961:A I *D sky130_fd_sc_hd__buf_6 -*I *26187:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *26638:A2 0.00130716 -2 *26342:B 0.000535121 -3 *28961:A 8.58389e-05 -4 *26187:Y 0.000105818 -5 *2129:44 0.00364901 -6 *2129:43 0.00370939 -7 *2129:29 0.00274431 -8 *2129:7 0.00103331 -9 *26342:B *26521:A 2.97578e-05 -10 *26342:B *26521:C 1.21258e-05 -11 *26342:B *26522:A4 0.000343579 -12 *26342:B *2223:50 0.000144132 -13 *26342:B *2483:8 7.17717e-05 -14 *26638:A2 *2133:135 4.32e-05 -15 *26638:A2 *2347:13 7.28524e-05 -16 *28961:A *2141:134 1.08359e-05 -17 *28961:A *2149:110 5.33005e-05 -18 *28961:A *4508:153 0.000171375 -19 *2129:7 *26187:A 0.000298483 -20 *2129:7 *4508:144 5.33005e-05 -21 *2129:29 *2133:135 0.000115243 -22 *2129:29 *2141:134 0.000308482 -23 *2129:29 *2347:13 0.000376242 -24 *2129:29 *2485:13 0.000381447 -25 *2129:29 *2490:23 0 -26 *2129:29 *4508:144 3.35973e-05 -27 *2129:29 *5673:28 3.53786e-05 -28 *2129:29 *5673:207 0.000791953 -29 *2129:29 *5673:251 4.22135e-06 -30 *2129:43 *2131:45 1.78465e-05 -31 *2129:43 *2165:31 9.41642e-05 -32 *2129:43 *2172:10 4.28365e-05 -33 *2129:43 *2175:11 2.02794e-05 -34 *2129:43 *2358:17 0 -35 *2129:44 *26224:B1 0.000264546 -36 *2129:44 *26411:A2 0.00028184 -37 *2129:44 *26411:B1_N 0.000137561 -38 *2129:44 *26663:B 5.50052e-05 -39 *2129:44 *26663:C_N 0.000195575 -40 *2129:44 *2139:38 0.00046757 -41 *2129:44 *2140:14 5.49995e-05 -42 *2129:44 *2439:9 0.000340823 -43 *2129:44 *2602:8 9.41642e-05 -44 *26224:D1 *2129:43 0.000155983 -45 *26521:D_N *2129:44 0.00014452 -46 *26729:A2 *2129:7 0.000342302 -47 *373:44 *28961:A 0.00019384 -48 *373:44 *2129:29 0.000751028 -49 *1771:29 *2129:43 1.90936e-05 -50 *1932:63 *26638:A2 6.05161e-06 -51 *1932:63 *2129:43 9.37043e-05 -52 *1935:72 *28961:A 5.52302e-05 -53 *1950:64 *2129:44 0.000551499 -54 *1950:87 *2129:44 0.0002083 -55 *2040:62 *26342:B 5.20359e-06 -56 *2099:49 *2129:29 0 -57 *2121:10 *2129:29 1.0267e-05 -58 *2128:23 *2129:29 0.00104567 -*RES -1 *26187:Y *2129:7 17.2107 -2 *2129:7 *28961:A 16.8893 -3 *2129:7 *2129:29 39.6943 -4 *2129:29 *2129:43 25.55 -5 *2129:43 *2129:44 49.8214 -6 *2129:44 *26342:B 26.1393 -7 *2129:29 *26638:A2 32.2107 -*END - -*D_NET *2130 0.0212446 -*CONN -*I *26290:B I *D sky130_fd_sc_hd__nor2_1 -*I *26234:B I *D sky130_fd_sc_hd__or2_2 -*I *26536:A1 I *D sky130_fd_sc_hd__o2111a_1 -*I *26192:A I *D sky130_fd_sc_hd__or2_1 -*I *26526:B2 I *D sky130_fd_sc_hd__o221a_1 -*I *26189:B I *D sky130_fd_sc_hd__or2_4 -*I *26320:B2 I *D sky130_fd_sc_hd__o22a_1 -*I *26188:Y O *D sky130_fd_sc_hd__nand3b_4 -*CAP -1 *26290:B 0.000217131 -2 *26234:B 0 -3 *26536:A1 0.000141511 -4 *26192:A 0.00136782 -5 *26526:B2 0.000108383 -6 *26189:B 0 -7 *26320:B2 0.00032403 -8 *26188:Y 0 -9 *2130:61 0.00170238 -10 *2130:50 0.000642259 -11 *2130:46 0.00198355 -12 *2130:29 0.00181165 -13 *2130:27 0.000630883 -14 *2130:11 0.000826835 -15 *2130:5 0.000747629 -16 *26192:A *26192:B 9.41642e-05 -17 *26192:A *31000:A 1.24368e-05 -18 *26192:A *2244:14 0.000153047 -19 *26290:B *26003:D 0.000595037 -20 *26290:B *26194:D 4.85049e-06 -21 *26290:B *4552:220 0.000129913 -22 *26290:B *5782:46 8.94592e-05 -23 *26320:B2 *24860:A 0.000140488 -24 *26320:B2 *26213:A 0.000719765 -25 *26320:B2 *26534:B1 0.000148658 -26 *26320:B2 *2155:8 9.58632e-05 -27 *26320:B2 *2277:8 0.00015018 -28 *26526:B2 *26526:A2 2.59355e-05 -29 *26526:B2 *26526:B1 0.00026546 -30 *26536:A1 *26536:D1 2.59355e-05 -31 *26536:A1 *2240:127 5.03772e-05 -32 *2130:11 *24860:A 0.000333929 -33 *2130:11 *26189:A 5.49544e-05 -34 *2130:11 *26213:A 0.000306068 -35 *2130:11 *2131:7 0.000159071 -36 *2130:29 *4541:34 5.68684e-06 -37 *2130:29 *4541:40 7.85465e-05 -38 *2130:46 *26161:B 0.000136958 -39 *2130:46 *26245:B 0.000197969 -40 *2130:46 *2161:29 9.58181e-05 -41 *2130:46 *2176:18 0.00100753 -42 *2130:46 *2230:19 5.0803e-05 -43 *2130:46 *2470:7 0.000106894 -44 *2130:46 *2484:20 5.01649e-06 -45 *2130:46 *4541:40 8.122e-05 -46 *2130:46 *4552:105 3.18672e-05 -47 *2130:46 *4552:107 0.000190148 -48 *2130:46 *4552:112 0.000266829 -49 *2130:50 *25968:A 6.05161e-06 -50 *2130:50 *26526:B1 1.33343e-05 -51 *2130:50 *26528:B1 2.14658e-05 -52 *2130:61 *2244:14 0.000751596 -53 *25992:B *2130:11 8.6229e-06 -54 *25993:A *2130:11 5.73777e-06 -55 *26215:C *2130:46 4.22135e-06 -56 *26290:A *26290:B 5.33005e-05 -57 *1909:8 *2130:27 0.000137939 -58 *1909:13 *2130:27 0.00040396 -59 *1909:13 *2130:29 0.000165418 -60 *1909:53 *2130:11 9.77095e-05 -61 *1909:53 *2130:27 4.66511e-05 -62 *1934:7 *2130:11 5.33005e-05 -63 *1934:13 *2130:11 0.000217606 -64 *1934:13 *2130:27 8.00986e-05 -65 *1935:25 *2130:50 0.000434944 -66 *1942:70 *2130:46 0.000159512 -67 *1946:16 *2130:27 2.50556e-05 -68 *1956:65 *2130:50 0.000436598 -69 *1965:31 *26192:A 7.72101e-05 -70 *2047:90 *26192:A 0.000153047 -71 *2047:90 *2130:61 0.000760846 -72 *2047:113 *2130:27 0.000346257 -73 *2047:113 *2130:29 0.000333571 -74 *2047:113 *2130:46 3.23658e-05 -75 *2048:10 *2130:46 1.41805e-05 -76 *2109:23 *2130:46 8.90523e-05 -*RES -1 *26188:Y *2130:5 13.8 -2 *2130:5 *2130:11 15.8214 -3 *2130:11 *26320:B2 27.3536 -4 *2130:11 *26189:B 9.3 -5 *2130:5 *2130:27 7.71429 -6 *2130:27 *2130:29 4.67857 -7 *2130:29 *2130:46 48.2857 -8 *2130:46 *2130:50 13.0893 -9 *2130:50 *26526:B2 11.8893 -10 *2130:50 *2130:61 14.4643 -11 *2130:61 *26192:A 33.1393 -12 *2130:61 *26536:A1 15.9786 -13 *2130:29 *26234:B 13.8 -14 *2130:27 *26290:B 20.1036 -*END - -*D_NET *2131 0.0559334 -*CONN -*I *26788:A2 I *D sky130_fd_sc_hd__o31a_1 -*I *26458:B2 I *D sky130_fd_sc_hd__o32a_1 -*I *26317:C I *D sky130_fd_sc_hd__or3_1 -*I *26321:A1 I *D sky130_fd_sc_hd__o211a_1 -*I *26479:B2 I *D sky130_fd_sc_hd__o221a_1 -*I *26420:A2_N I *D sky130_fd_sc_hd__a2bb2o_1 -*I *26591:A2 I *D sky130_fd_sc_hd__o311a_1 -*I *26432:A2 I *D sky130_fd_sc_hd__o32a_1 -*I *26304:A I *D sky130_fd_sc_hd__nor2_1 -*I *26408:A I *D sky130_fd_sc_hd__nor2_1 -*I *26253:B I *D sky130_fd_sc_hd__or2_1 -*I *26195:A2 I *D sky130_fd_sc_hd__o211a_1 -*I *26189:X O *D sky130_fd_sc_hd__or2_4 -*CAP -1 *26788:A2 8.57073e-05 -2 *26458:B2 0.00054251 -3 *26317:C 0 -4 *26321:A1 0.00035765 -5 *26479:B2 9.52456e-05 -6 *26420:A2_N 6.84035e-05 -7 *26591:A2 0.000258327 -8 *26432:A2 0 -9 *26304:A 0.000146054 -10 *26408:A 4.29478e-05 -11 *26253:B 0.000181093 -12 *26195:A2 2.23854e-05 -13 *26189:X 0.000530316 -14 *2131:163 0.00118987 -15 *2131:158 0.00179569 -16 *2131:152 0.000598395 -17 *2131:139 0.000464703 -18 *2131:104 0.000446841 -19 *2131:72 0.00134616 -20 *2131:64 0.00249689 -21 *2131:63 0.00353935 -22 *2131:46 0.00253672 -23 *2131:45 0.00212483 -24 *2131:23 0.00224471 -25 *2131:22 0.00270256 -26 *2131:7 0.00330714 -27 *26253:B *2149:10 0.00018232 -28 *26253:B *5542:44 0.000367382 -29 *26253:B *5573:8 0.000139913 -30 *26253:B *5573:21 6.8646e-06 -31 *26304:A *26707:B 1.21258e-05 -32 *26304:A *30991:A 8.23597e-06 -33 *26304:A *2194:10 2.09897e-05 -34 *26304:A *2194:30 2.89114e-05 -35 *26321:A1 *26321:A2 8.40485e-06 -36 *26321:A1 *26321:B1 4.6025e-06 -37 *26321:A1 *26322:B 4.13595e-05 -38 *26321:A1 *2144:22 5.69509e-05 -39 *26321:A1 *5914:99 2.14757e-05 -40 *26408:A *26408:B 0.000135028 -41 *26408:A *5565:45 0.000135028 -42 *26420:A2_N *26420:A1_N 1.21436e-05 -43 *26420:A2_N *26420:B1 6.05161e-06 -44 *26420:A2_N *26421:B 7.18448e-05 -45 *26420:A2_N *26767:A2_N 1.21258e-05 -46 *26420:A2_N *2165:31 5.35702e-05 -47 *26420:A2_N *2359:6 6.09762e-05 -48 *26458:B2 *26573:B1 0.000148303 -49 *26458:B2 *26574:C 0.000148964 -50 *26458:B2 *26788:B1 7.80096e-05 -51 *26458:B2 *2187:22 2.4227e-05 -52 *26458:B2 *2513:7 2.24195e-05 -53 *26479:B2 *26479:A2 2.59355e-05 -54 *26479:B2 *26479:B1 3.45371e-05 -55 *26479:B2 *2154:111 0.000219366 -56 *26479:B2 *2226:62 1.149e-05 -57 *26479:B2 *2401:23 2.59355e-05 -58 *26591:A2 *26421:B 5.58941e-05 -59 *26591:A2 *26432:A1 1.40034e-05 -60 *26591:A2 *26591:C1 0 -61 *26591:A2 *2133:141 0.000222666 -62 *26591:A2 *2136:68 0.0001399 -63 *26591:A2 *2680:10 6.92626e-05 -64 *2131:7 *24860:A 0.000219711 -65 *2131:7 *5673:264 0.000152763 -66 *2131:22 *26185:A 2.83129e-05 -67 *2131:22 *2141:106 0.000108889 -68 *2131:22 *4353:68 1.09611e-05 -69 *2131:22 *4508:132 0.000157238 -70 *2131:22 *4530:72 0.000974551 -71 *2131:22 *4552:105 0 -72 *2131:22 *5673:251 7.68507e-05 -73 *2131:22 *5673:264 0.00038697 -74 *2131:22 *5782:46 0.000469746 -75 *2131:22 *5782:86 0.000331089 -76 *2131:23 *26186:A 2.42516e-05 -77 *2131:23 *26196:B1 2.14658e-05 -78 *2131:45 *26196:B1 0.000237113 -79 *2131:45 *26268:B 0.000263604 -80 *2131:45 *26430:A3 1.58559e-05 -81 *2131:45 *26723:B1 0.000123295 -82 *2131:45 *26767:B1 3.50637e-05 -83 *2131:45 *2139:30 0 -84 *2131:45 *2165:31 0.00072061 -85 *2131:45 *2172:10 0.000194564 -86 *2131:45 *2173:21 0.000215583 -87 *2131:45 *2358:17 0.000478144 -88 *2131:45 *2490:23 0.000343915 -89 *2131:45 *2539:8 0.000158332 -90 *2131:45 *4508:144 4.26136e-05 -91 *2131:45 *5664:20 0.000113781 -92 *2131:46 *2165:31 7.93407e-05 -93 *2131:46 *2359:6 8.60466e-05 -94 *2131:63 *26433:C 9.77414e-05 -95 *2131:63 *26697:A 0.000121258 -96 *2131:63 *26719:A 4.85033e-05 -97 *2131:63 *30994:A 3.50637e-05 -98 *2131:63 *2145:87 0.000149797 -99 *2131:63 *2155:42 8.92267e-05 -100 *2131:63 *2165:31 0.000195562 -101 *2131:63 *2196:35 0.000381098 -102 *2131:63 *2359:6 4.80729e-05 -103 *2131:63 *2359:13 0.000148196 -104 *2131:63 *2578:12 0.00037962 -105 *2131:63 *2592:30 0.000377905 -106 *2131:63 *2635:11 7.57394e-05 -107 *2131:64 *26706:A1 0.000206481 -108 *2131:64 *30994:A 0.00063931 -109 *2131:64 *2155:42 5.16399e-06 -110 *2131:64 *2244:14 0.000153078 -111 *2131:64 *5572:15 0.000303586 -112 *2131:64 *5573:36 0.00014686 -113 *2131:64 *6147:14 0 -114 *2131:72 *26540:B 0.000950144 -115 *2131:72 *26706:A1 0.000231618 -116 *2131:72 *26707:D 0.000342488 -117 *2131:72 *2179:42 0.000142156 -118 *2131:72 *2244:31 4.65519e-05 -119 *2131:72 *2581:6 1.20719e-05 -120 *2131:72 *5542:44 0.0019586 -121 *2131:72 *5573:21 7.85979e-05 -122 *2131:72 *5573:31 0.000146395 -123 *2131:72 *6147:14 0 -124 *2131:104 *26432:A1 1.07719e-05 -125 *2131:104 *26433:C 8.25843e-06 -126 *2131:139 *26481:A 0.000203533 -127 *2131:139 *26481:B 7.74068e-05 -128 *2131:139 *26481:C 0.000158354 -129 *2131:139 *2154:111 4.06087e-07 -130 *2131:139 *2187:22 0 -131 *2131:139 *2401:23 0.00014062 -132 *2131:139 *5673:264 1.55485e-05 -133 *2131:139 *6291:54 8.6229e-06 -134 *2131:152 *26481:A 5.14271e-05 -135 *2131:152 *5673:281 7.6644e-05 -136 *2131:152 *6291:54 0.000147402 -137 *2131:158 *26573:B1 0.000660813 -138 *2131:158 *6291:54 8.25016e-05 -139 *2131:163 *26322:B 0.000184521 -140 *2131:163 *26573:B1 5.52302e-05 -141 *2131:163 *4552:79 0.000266301 -142 *2131:163 *5914:99 0.000473325 -143 spimemio_flash_io2_di *26321:A1 0.000137955 -144 wb_dat_o[22] *2131:72 6.60633e-06 -145 wb_dat_o[23] *26304:A 1.52332e-05 -146 wb_dat_o[26] *2131:63 6.58294e-06 -147 *25992:B *2131:7 4.87854e-05 -148 *26195:A1 *2131:23 0.000221219 -149 *26196:A1 *2131:45 0.000175892 -150 *26196:A2 *2131:45 5.33005e-05 -151 *26224:A2 *2131:45 0.000121951 -152 *26224:D1 *2131:45 0 -153 *26317:A *26321:A1 0.000165385 -154 *26317:A *2131:163 2.14658e-05 -155 *26431:A1 *2131:104 7.64454e-05 -156 *26432:B1 *26591:A2 5.33005e-05 -157 *26432:B2 *26591:A2 2.42516e-05 -158 *26458:A1 *26458:B2 5.33005e-05 -159 *26458:A3 *26458:B2 5.33005e-05 -160 *26479:A1 *26479:B2 5.33005e-05 -161 *26573:A2 *26458:B2 0.0002701 -162 *26591:A1 *26591:A2 4.78624e-05 -163 *26595:B *26591:A2 0.000216755 -164 *26595:B *2131:104 0.000421074 -165 *28821:D *26253:B 0.0001399 -166 *1186:15 *2131:7 0.000608306 -167 *1911:61 *2131:158 0.000660003 -168 *1927:15 *26458:B2 9.71607e-05 -169 *1932:31 *2131:22 0.000224955 -170 *1932:31 *2131:139 6.48415e-06 -171 *1932:37 *2131:22 0.000171255 -172 *1932:63 *2131:22 0.00088062 -173 *1934:7 *2131:7 1.02936e-05 -174 *1935:37 *2131:72 5.90443e-06 -175 *1937:22 *2131:45 0.000238202 -176 *1944:23 *2131:22 4.88232e-05 -177 *1945:10 *2131:22 2.11419e-05 -178 *1945:47 *2131:22 0.00149131 -179 *1955:52 *2131:22 0.000602371 -180 *1956:47 *26321:A1 0.000390994 -181 *1960:24 *26788:A2 0.000172309 -182 *1960:24 *2131:158 0.000135028 -183 *1993:10 *26458:B2 5.1588e-05 -184 *1993:12 *26458:B2 9.91086e-05 -185 *2038:87 *2131:139 3.67306e-05 -186 *2043:58 *2131:63 8.99901e-05 -187 *2046:66 *2131:22 0.000884416 -188 *2110:26 *26591:A2 0.000195555 -189 *2126:22 *2131:45 0.000295081 -190 *2129:43 *2131:45 1.78465e-05 -191 *2130:11 *2131:7 0.000159071 -*RES -1 *26189:X *2131:7 25.425 -2 *2131:7 *2131:22 48.8849 -3 *2131:22 *2131:23 3.41071 -4 *2131:23 *26195:A2 9.72857 -5 *2131:23 *2131:45 44.8931 -6 *2131:45 *2131:46 1.1875 -7 *2131:46 *2131:63 45.9713 -8 *2131:63 *2131:64 4.41487 -9 *2131:64 *2131:72 33.2257 -10 *2131:72 *26253:B 20.2464 -11 *2131:72 *26408:A 15.1571 -12 *2131:64 *26304:A 21.9983 -13 *2131:46 *2131:104 8.73214 -14 *2131:104 *26432:A2 9.3 -15 *2131:104 *26591:A2 25.9429 -16 *2131:45 *26420:A2_N 15.6482 -17 *2131:7 *2131:139 6.25 -18 *2131:139 *26479:B2 16.4071 -19 *2131:139 *2131:152 7.05357 -20 *2131:152 *2131:158 20.625 -21 *2131:158 *2131:163 15.7679 -22 *2131:163 *26321:A1 26.425 -23 *2131:163 *26317:C 9.3 -24 *2131:158 *26458:B2 28.6571 -25 *2131:152 *26788:A2 11.0679 -*END - -*D_NET *2132 0.0167515 -*CONN -*I *26191:B I *D sky130_fd_sc_hd__or2_4 -*I *26647:C I *D sky130_fd_sc_hd__and3_2 -*I *26530:B I *D sky130_fd_sc_hd__nor3_1 -*I *26729:B1 I *D sky130_fd_sc_hd__o221a_1 -*I *26240:A I *D sky130_fd_sc_hd__nor2_1 -*I *26642:B I *D sky130_fd_sc_hd__nor3_1 -*I *26190:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *26191:B 0.000317068 -2 *26647:C 0.000128163 -3 *26530:B 5.55937e-05 -4 *26729:B1 0.000199686 -5 *26240:A 0.000320378 -6 *26642:B 0.000360248 -7 *26190:X 0.000154162 -8 *2132:37 0.000867795 -9 *2132:30 0.000733873 -10 *2132:21 0.00214798 -11 *2132:7 0.00158535 -12 *2132:5 0.00047123 -13 *26191:B *26186:A 0.000145772 -14 *26240:A *26646:B1 3.61123e-05 -15 *26240:A *2155:106 9.18347e-05 -16 *26240:A *2182:8 9.18347e-05 -17 *26240:A *6169:62 0.000143862 -18 *26530:B *26530:C 0.000180501 -19 *26530:B *2212:29 2.12005e-05 -20 *26642:B *28967:A 2.12005e-05 -21 *26642:B *2151:53 0.000178425 -22 *26642:B *2638:11 0.000242398 -23 *26647:C *2230:20 0.000147588 -24 *26729:B1 *26646:B1 6.90552e-05 -25 *26729:B1 *26729:C1 5.40901e-06 -26 *26729:B1 *2226:38 0 -27 *2132:21 *2176:70 0.00022287 -28 *2132:21 *2230:20 0.000255489 -29 *2132:21 *2470:11 0.00093286 -30 *2132:21 *2484:20 0.000239229 -31 *2132:21 *2638:11 8.78754e-05 -32 *2132:30 *2145:87 0.000205128 -33 *2132:30 *2161:39 0.00017309 -34 *2132:30 *2161:54 5.12344e-05 -35 *2132:37 *2145:87 0.000129447 -36 *2132:37 *2149:110 0.000804033 -37 *26190:B *26191:B 8.55871e-05 -38 *26190:B *2132:5 0.000160372 -39 *26191:A *26191:B 0 -40 *26241:A2 *2132:21 0.00012385 -41 *26642:A *26642:B 9.58181e-05 -42 *26729:B2 *26729:B1 9.35228e-06 -43 *1186:55 *2132:30 0.000767716 -44 *1186:55 *2132:37 0.00035647 -45 *1187:48 *2132:21 2.47517e-05 -46 *1187:59 *2132:21 0.00132591 -47 *1393:90 *26240:A 0.000145618 -48 *1910:27 *26191:B 2.05612e-05 -49 *1935:72 *26240:A 8.89031e-05 -50 *1935:72 *2132:37 0.000805963 -51 *1946:98 *2132:21 3.4323e-06 -52 *1946:105 *26530:B 0.000222244 -53 *2046:77 *2132:21 2.47186e-05 -54 *2047:8 *2132:21 4.75812e-05 -55 *2047:23 *2132:21 7.39627e-05 -56 *2047:23 *2132:30 0 -57 *2047:39 *26729:B1 2.16899e-05 -58 *2048:19 *26191:B 0.000177545 -59 *2127:27 *2132:37 9.23689e-05 -60 *2128:7 *26191:B 0.000259111 -*RES -1 *26190:X *2132:5 12.3 -2 *2132:5 *2132:7 4.5 -3 *2132:7 *2132:21 38.9646 -4 *2132:21 *26642:B 15.9964 -5 *2132:21 *2132:30 14.6429 -6 *2132:30 *2132:37 21.6071 -7 *2132:37 *26240:A 29.3179 -8 *2132:37 *26729:B1 17.2554 -9 *2132:30 *26530:B 15.9786 -10 *2132:7 *26647:C 16.2821 -11 *2132:5 *26191:B 16.0902 -*END - -*D_NET *2133 0.0820667 -*CONN -*I *26590:A1 I *D sky130_fd_sc_hd__o211a_1 -*I *26206:A I *D sky130_fd_sc_hd__nor2_1 -*I *26203:B I *D sky130_fd_sc_hd__or2_4 -*I *26256:A I *D sky130_fd_sc_hd__nor2_1 -*I *26218:A I *D sky130_fd_sc_hd__nor2_1 -*I *26243:A I *D sky130_fd_sc_hd__nor2_1 -*I *26211:A I *D sky130_fd_sc_hd__nor2_1 -*I *26600:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *26640:A I *D sky130_fd_sc_hd__and2_1 -*I *26591:A3 I *D sky130_fd_sc_hd__o311a_1 -*I *26312:B I *D sky130_fd_sc_hd__or3_1 -*I *26527:A2 I *D sky130_fd_sc_hd__o22a_1 -*I *26524:B I *D sky130_fd_sc_hd__and2_1 -*I *26343:B I *D sky130_fd_sc_hd__nor2_1 -*I *26542:A2 I *D sky130_fd_sc_hd__a21oi_2 -*I *26345:C I *D sky130_fd_sc_hd__or3_2 -*I *26535:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *6610:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *26192:B I *D sky130_fd_sc_hd__or2_1 -*I *26191:X O *D sky130_fd_sc_hd__or2_4 -*CAP -1 *26590:A1 8.30842e-05 -2 *26206:A 0 -3 *26203:B 0.00167512 -4 *26256:A 0.000204851 -5 *26218:A 2.23854e-05 -6 *26243:A 0.000153412 -7 *26211:A 8.24713e-05 -8 *26600:A2 0.000206648 -9 *26640:A 0.00110647 -10 *26591:A3 0 -11 *26312:B 0.00019934 -12 *26527:A2 7.2811e-05 -13 *26524:B 0 -14 *26343:B 0.000246398 -15 *26542:A2 5.30172e-05 -16 *26345:C 0.000306116 -17 *26535:A2 4.09337e-05 -18 *6610:DIODE 0.00010295 -19 *26192:B 0.000102506 -20 *26191:X 0 -21 *2133:243 0.00038756 -22 *2133:229 0.000665486 -23 *2133:217 0.00123701 -24 *2133:212 0.00406816 -25 *2133:198 0.00263833 -26 *2133:168 0.00186541 -27 *2133:160 0.00216848 -28 *2133:151 0.00149231 -29 *2133:141 0.00113871 -30 *2133:135 0.00204005 -31 *2133:106 0.000579008 -32 *2133:99 0.000343868 -33 *2133:81 0.000748656 -34 *2133:68 0.00271883 -35 *2133:56 0.00458676 -36 *2133:53 0.00262328 -37 *2133:51 0.000680899 -38 *2133:39 0.00263856 -39 *2133:32 0.00374292 -40 *2133:14 0.000402788 -41 *2133:4 0.000244062 -42 *6610:DIODE *26195:B1 0.000135028 -43 *26203:B *2179:27 2.09826e-05 -44 *26203:B *3510:137 2.59355e-05 -45 *26203:B *5565:35 9.09604e-05 -46 *26203:B *5566:41 1.94879e-05 -47 *26211:A *26211:B 8.91726e-05 -48 *26211:A *26247:B 0 -49 *26211:A *2153:10 0.000101545 -50 *26243:A *26251:A 0.000196269 -51 *26243:A *26606:A 0 -52 *26243:A *2159:11 3.28686e-05 -53 *26243:A *2161:130 5.33005e-05 -54 *26243:A *5673:126 5.33005e-05 -55 *26256:A *26715:C1 1.58163e-05 -56 *26256:A *2160:8 1.21258e-05 -57 *26256:A *2198:23 0.000193833 -58 *26256:A *5267:11 0.000213172 -59 *26256:A *5824:50 9.92409e-05 -60 *26312:B *26312:C 3.67257e-05 -61 *26312:B *2151:15 0.00012401 -62 *26343:B *26339:C1 0.000196269 -63 *26343:B *6376:175 0.000551499 -64 *26345:C *2293:15 3.92854e-05 -65 *26527:A2 *26527:B1 3.97677e-05 -66 *26535:A2 *26536:C1 0.000126963 -67 *26535:A2 *2212:14 0.000125241 -68 *26590:A1 *26593:A 2.14658e-05 -69 *26590:A1 *2155:124 0.000136958 -70 *26590:A1 *2351:11 1.98839e-05 -71 *26600:A2 *26193:A 0.000196262 -72 *26600:A2 *2187:131 0.000104534 -73 *26600:A2 *4508:158 0.000163911 -74 *26640:A *26193:A 0.000148911 -75 *26640:A *26308:B 5.52238e-05 -76 *26640:A *2250:31 0.000101011 -77 *26640:A *3510:90 0.000563286 -78 *2133:14 *2176:27 0.000374776 -79 *2133:14 *2187:115 0.000311281 -80 *2133:32 *2187:122 0.00012401 -81 *2133:39 *30999:A 0.000105471 -82 *2133:39 *2234:48 7.43258e-05 -83 *2133:39 *2394:11 1.32552e-05 -84 *2133:51 *26536:C1 0.000757887 -85 *2133:51 *2151:15 5.83233e-05 -86 *2133:51 *2154:16 4.61895e-05 -87 *2133:51 *2154:32 0.000722892 -88 *2133:51 *2212:14 0.00079133 -89 *2133:51 *2224:20 0.000363752 -90 *2133:51 *2240:127 2.4004e-05 -91 *2133:51 *2244:14 0 -92 *2133:56 *26031:A 5.33005e-05 -93 *2133:56 *2151:15 0.000165123 -94 *2133:56 *2187:109 0.000425683 -95 *2133:68 *2293:15 9.90431e-05 -96 *2133:68 *2303:5 0.000207954 -97 *2133:81 *26339:C1 0.000121573 -98 *2133:81 *2293:15 0.000496275 -99 *2133:99 *2151:15 0.000153054 -100 *2133:106 *2151:15 0.00118127 -101 *2133:106 *6147:14 0.000146966 -102 *2133:135 *26767:B1 7.68235e-05 -103 *2133:135 *2136:58 1.721e-05 -104 *2133:135 *2141:134 0.000236068 -105 *2133:135 *2165:31 1.28958e-05 -106 *2133:135 *2347:13 1.50181e-05 -107 *2133:135 *2358:17 4.33043e-05 -108 *2133:135 *2394:11 0.000254503 -109 *2133:135 *2490:23 0 -110 *2133:135 *4189:60 0.000360678 -111 *2133:135 *5664:20 0 -112 *2133:135 *5722:113 0.000379874 -113 *2133:135 *5722:131 7.83587e-05 -114 *2133:141 *26434:D 5.83304e-05 -115 *2133:141 *2136:68 4.13595e-05 -116 *2133:141 *2362:8 4.80729e-05 -117 *2133:141 *2662:14 0.000105682 -118 *2133:151 *26591:C1 6.81459e-05 -119 *2133:151 *2155:106 0.000369887 -120 *2133:151 *2155:117 3.34366e-05 -121 *2133:151 *2536:20 0.000480069 -122 *2133:151 *2536:25 0.000119121 -123 *2133:151 *2545:8 0.000304015 -124 *2133:160 *26593:A 2.89114e-05 -125 *2133:160 *2149:94 9.53879e-05 -126 *2133:160 *2351:11 0.000156723 -127 *2133:168 *26204:A 0.000298483 -128 *2133:168 *26208:B1 0.000448645 -129 *2133:168 *2149:94 1.98839e-05 -130 *2133:168 *2149:110 2.14658e-05 -131 *2133:168 *2150:7 9.60939e-05 -132 *2133:198 *26206:B 5.71755e-05 -133 *2133:198 *26409:B1 0.000432354 -134 *2133:198 *26410:B1 0 -135 *2133:198 *26802:D 0.000312729 -136 *2133:198 *2136:82 0.000100818 -137 *2133:198 *2141:144 9.77024e-05 -138 *2133:198 *2142:153 0.000161141 -139 *2133:198 *2155:117 0 -140 *2133:198 *2156:10 7.83174e-05 -141 *2133:198 *2187:141 0.000255116 -142 *2133:198 *2718:10 0 -143 *2133:198 *5664:131 0.000295988 -144 *2133:198 *5664:139 0.000199691 -145 *2133:198 *5722:131 0.000290993 -146 *2133:212 *26239:A2 2.5206e-05 -147 *2133:212 *26608:A 2.15245e-05 -148 *2133:212 *26704:A1 0.000343751 -149 *2133:212 *26704:A2 0.000120369 -150 *2133:212 *26704:B1_N 5.49544e-05 -151 *2133:212 *26733:A 0.000581406 -152 *2133:212 *26776:A2 0.000149604 -153 *2133:212 *26777:C_N 8.399e-05 -154 *2133:212 *2142:96 0.000332433 -155 *2133:212 *2148:8 2.22999e-05 -156 *2133:212 *2149:30 0.000885789 -157 *2133:212 *2157:106 0.000498882 -158 *2133:212 *2161:89 0.000121424 -159 *2133:212 *2482:6 0.000865903 -160 *2133:212 *2716:11 9.00971e-05 -161 *2133:217 *26239:A2 1.50573e-05 -162 *2133:217 *2149:41 0.00014297 -163 *2133:217 *2149:59 6.8646e-06 -164 *2133:217 *2159:11 0.000301024 -165 *2133:217 *5673:102 5.52302e-05 -166 *2133:217 *5673:115 0.000770975 -167 *2133:229 *2159:11 0.000443087 -168 *2133:229 *5267:11 0.000295752 -169 *2133:243 *2159:11 0.000680207 -170 *2133:243 *2160:8 1.92789e-05 -171 *2133:243 *5267:11 0.000467667 -172 *26029:A *2133:56 0.000219289 -173 *26029:C *2133:56 0.000219711 -174 *26031:B *2133:56 5.33005e-05 -175 *26077:C *2133:68 5.33005e-05 -176 *26107:A *2133:39 0.000306042 -177 *26172:A1 *26542:A2 0.000181796 -178 *26172:A2 *26542:A2 6.28241e-05 -179 *26172:A2 *2133:68 0.000592363 -180 *26184:A *2133:56 0.000175892 -181 *26184:B *2133:56 4.43256e-05 -182 *26191:A *2133:14 4.58194e-05 -183 *26192:A *26192:B 9.41642e-05 -184 *26208:A1 *2133:160 5.52238e-05 -185 *26343:A *26343:B 0.000177821 -186 *26348:A *2133:68 9.25014e-06 -187 *26348:B *2133:68 2.13481e-06 -188 *26351:A2 *2133:68 1.21258e-05 -189 *26410:A1 *2133:160 9.71197e-05 -190 *26453:A1 *2133:39 5.03616e-05 -191 *26526:A1 *2133:51 9.4995e-05 -192 *26527:A1 *26527:A2 9.41642e-05 -193 *26591:A2 *2133:141 0.000222666 -194 *26638:A2 *2133:135 4.32e-05 -195 *373:12 *2133:212 0.000448662 -196 *373:12 *2133:217 9.96694e-05 -197 *373:32 *26600:A2 2.2628e-05 -198 *373:44 *26600:A2 6.28203e-05 -199 *1874:11 *26343:B 0.000119763 -200 *1874:27 *2133:56 0.000821239 -201 *1908:51 *2133:56 0.000127476 -202 *1908:56 *2133:56 0.00101282 -203 *1932:63 *2133:135 0.000300489 -204 *1946:195 *2133:56 0.000760885 -205 *1946:208 *26345:C 0.000148196 -206 *1958:29 *2133:56 0.000263108 -207 *1967:50 *26345:C 0.000207099 -208 *1971:7 *2133:56 6.22596e-05 -209 *1971:18 *2133:56 2.59355e-05 -210 *1971:18 *2133:68 0.0001626 -211 *1973:8 *2133:56 0.000110029 -212 *1998:31 *2133:68 9.02017e-05 -213 *2003:13 *2133:68 0.000218679 -214 *2008:11 *2133:68 5.21937e-05 -215 *2009:20 *2133:68 0.000171368 -216 *2011:118 *2133:68 0.000144589 -217 *2012:20 *26345:C 0.000674327 -218 *2019:7 *2133:68 0.000123861 -219 *2019:12 *2133:68 1.17921e-05 -220 *2019:88 *2133:68 4.34543e-05 -221 *2022:20 *26345:C 2.18827e-05 -222 *2032:170 *2133:135 0.00148311 -223 *2032:185 *26600:A2 0.000289617 -224 *2032:185 *26640:A 0.000150632 -225 *2043:14 *26527:A2 8.55871e-05 -226 *2043:166 *26345:C 0 -227 *2046:66 *2133:14 5.81459e-05 -228 *2046:66 *2133:32 0.00012401 -229 *2047:91 *2133:51 0.000209813 -230 *2047:91 *2133:99 0.000144512 -231 *2047:91 *2133:106 0.00114612 -232 *2047:103 *26312:B 0.000116481 -233 *2047:103 *2133:106 3.17148e-05 -234 *2048:19 *2133:39 0.000177545 -235 *2089:26 *26343:B 0.000187019 -236 *2089:26 *2133:81 0.000123295 -237 *2110:26 *2133:135 0.000578602 -238 *2110:26 *2133:198 0.000295246 -239 *2126:8 *2133:56 5.35879e-05 -240 *2126:27 *2133:135 9.06613e-05 -241 *2127:45 *26600:A2 5.33005e-05 -242 *2128:7 *2133:14 9.60875e-05 -243 *2128:23 *2133:135 0.000661471 -244 *2128:44 *2133:212 4.11388e-05 -245 *2129:29 *2133:135 0.000115243 -*RES -1 *26191:X *2133:4 9.3 -2 *2133:4 *2133:14 15.5893 -3 *2133:14 *26192:B 11.0857 -4 *2133:14 *6610:DIODE 11.0679 -5 *2133:4 *2133:32 10.6429 -6 *2133:32 *2133:39 26.9286 -7 *2133:39 *26535:A2 15.4429 -8 *2133:39 *2133:51 23.8571 -9 *2133:51 *2133:53 4.5 -10 *2133:53 *2133:56 49.4286 -11 *2133:56 *2133:68 38.3393 -12 *2133:68 *26345:C 27.2286 -13 *2133:68 *2133:81 11.1964 -14 *2133:81 *26542:A2 15.5679 -15 *2133:81 *26343:B 21.8179 -16 *2133:51 *2133:99 1.94643 -17 *2133:99 *26524:B 13.8 -18 *2133:99 *2133:106 15 -19 *2133:106 *26527:A2 15.5679 -20 *2133:106 *26312:B 17.2107 -21 *2133:32 *2133:135 48.022 -22 *2133:135 *2133:141 13.75 -23 *2133:141 *26591:A3 9.3 -24 *2133:141 *2133:151 29.2321 -25 *2133:151 *2133:160 8.92857 -26 *2133:160 *2133:168 13.3929 -27 *2133:168 *26640:A 32.7107 -28 *2133:168 *26600:A2 20.5857 -29 *2133:160 *2133:198 38.625 -30 *2133:198 *2133:212 49.3661 -31 *2133:212 *2133:217 20.4732 -32 *2133:217 *26211:A 20.55 -33 *2133:217 *2133:229 8.5625 -34 *2133:229 *26243:A 21.7196 -35 *2133:229 *2133:243 7.3125 -36 *2133:243 *26218:A 9.72857 -37 *2133:243 *26256:A 23.5679 -38 *2133:212 *26203:B 24.2901 -39 *2133:198 *26206:A 9.3 -40 *2133:151 *26590:A1 11.0679 -*END - -*D_NET *2134 0.00141775 -*CONN -*I *26195:B1 I *D sky130_fd_sc_hd__o211a_1 -*I *26192:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26195:B1 0.000377298 -2 *26192:X 0.000377298 -3 *6610:DIODE *26195:B1 0.000135028 -4 *26426:B *26195:B1 0.00017309 -5 *2032:170 *26195:B1 0.00017309 -6 *2113:23 *26195:B1 6.05161e-06 -7 *2121:10 *26195:B1 0.000175892 -*RES -1 *26192:X *26195:B1 34.4929 -*END - -*D_NET *2135 0.00860469 -*CONN -*I *26427:A2 I *D sky130_fd_sc_hd__a31o_1 -*I *26428:A2 I *D sky130_fd_sc_hd__a31o_1 -*I *26425:A3 I *D sky130_fd_sc_hd__a31o_1 -*I *26424:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *26193:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26427:A2 0.000150255 -2 *26428:A2 6.35059e-05 -3 *26425:A3 2.1939e-05 -4 *26424:A1 0 -5 *26193:Y 0.00172206 -6 *2135:29 0.000503372 -7 *2135:18 0.000548038 -8 *2135:9 0.00195855 -9 *26425:A3 *2594:15 9.80173e-05 -10 *26427:A2 *26428:A3 0.000171338 -11 *26427:A2 *26428:B1 6.05161e-06 -12 *26427:A2 *26608:B 0.00021517 -13 *26427:A2 *2672:19 2.24079e-05 -14 *26428:A2 *26428:A3 0.000171338 -15 *26428:A2 *26437:B 5.48198e-05 -16 *26428:A2 *2318:20 5.1588e-05 -17 *26428:A2 *2672:19 0.000229456 -18 *2135:9 *26193:A 3.84946e-05 -19 *2135:9 *26204:A 5.33433e-05 -20 *2135:9 *26424:B1 9.90367e-05 -21 *2135:9 *5722:149 0.000171711 -22 *2135:18 *26388:C 0 -23 *2135:18 *26424:B1 0.000225616 -24 *2135:29 *26388:C 3.84385e-05 -25 *2135:29 *26437:B 0.00065187 -26 *2135:29 *2318:20 0.000590008 -27 *26377:A *2135:18 3.0285e-05 -28 *26415:A2 *26427:A2 0.000310286 -29 *26425:A2 *26425:A3 9.60939e-05 -30 *26545:A1 *26427:A2 7.80714e-06 -31 *1955:129 *2135:18 0.000100823 -32 *1955:140 *26427:A2 3.10819e-05 -33 *1955:142 *26427:A2 0.000171886 -34 *2099:70 *2135:18 0 -35 *2099:70 *2135:29 0 -*RES -1 *26193:Y *2135:9 29.4786 -2 *2135:9 *26424:A1 9.3 -3 *2135:9 *2135:18 8.625 -4 *2135:18 *26425:A3 14.7464 -5 *2135:18 *2135:29 9.76786 -6 *2135:29 *26428:A2 16.7107 -7 *2135:29 *26427:A2 28.6571 -*END - -*D_NET *2136 0.0683957 -*CONN -*I *26287:B I *D sky130_fd_sc_hd__nor2_1 -*I *26288:B I *D sky130_fd_sc_hd__or2_1 -*I *26273:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *26309:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *26767:A1_N I *D sky130_fd_sc_hd__a2bb2oi_1 -*I *26432:A3 I *D sky130_fd_sc_hd__o32a_1 -*I *26435:A1 I *D sky130_fd_sc_hd__o2111a_1 -*I *26438:B I *D sky130_fd_sc_hd__or3_1 -*I *26201:A I *D sky130_fd_sc_hd__nor2_1 -*I *26207:B I *D sky130_fd_sc_hd__or2_4 -*I *26336:B I *D sky130_fd_sc_hd__or3_1 -*I *26194:X O *D sky130_fd_sc_hd__or4_4 -*CAP -1 *26287:B 2.11954e-05 -2 *26288:B 0.000138662 -3 *26273:A2 0.00183576 -4 *26309:A2 0 -5 *26767:A1_N 4.76304e-05 -6 *26432:A3 0 -7 *26435:A1 0.000897814 -8 *26438:B 0.00160997 -9 *26201:A 0 -10 *26207:B 0.00168351 -11 *26336:B 0.000347594 -12 *26194:X 0.000150262 -13 *2136:166 0.00225673 -14 *2136:158 0.00192477 -15 *2136:89 0.00275908 -16 *2136:82 0.00349886 -17 *2136:68 0.00213355 -18 *2136:60 0.000489765 -19 *2136:58 0.00135275 -20 *2136:51 0.00208654 -21 *2136:43 0.00401011 -22 *2136:36 0.00204696 -23 *2136:27 0.000361833 -24 *2136:10 0.000587748 -25 *26207:B *26207:A 0.000225982 -26 *26207:B *26261:B1 5.63217e-05 -27 *26207:B *26261:B2 3.69047e-06 -28 *26207:B *26261:C1 0.000182598 -29 *26207:B *2142:69 6.60857e-05 -30 *26207:B *2149:10 1.76164e-05 -31 *26207:B *3510:90 5.38004e-05 -32 *26207:B *5564:40 0.000402687 -33 *26207:B *5664:201 3.02944e-05 -34 *26207:B *6169:92 0.000396575 -35 *26273:A2 *26309:B1 3.97677e-05 -36 *26273:A2 *26338:A 2.48109e-05 -37 *26273:A2 *26468:B 2.18733e-05 -38 *26273:A2 *2283:12 0.000173587 -39 *26287:B *2279:7 2.84109e-05 -40 *26287:B *4519:53 1.32056e-05 -41 *26288:B *26527:B1 7.09971e-05 -42 *26336:B *26337:D 9.41642e-05 -43 *26336:B *2147:55 4.85033e-05 -44 *26336:B *2224:20 5.17614e-05 -45 *26336:B *2277:8 0.000148182 -46 *26336:B *2279:7 0.000383166 -47 *26336:B *5542:44 0.000146474 -48 *26435:A1 *26414:B1 8.33274e-05 -49 *26435:A1 *26435:B1 0.000192983 -50 *26435:A1 *2315:18 0.000246551 -51 *26435:A1 *2345:8 2.3796e-05 -52 *26435:A1 *2706:13 0.000897155 -53 *26438:B *26438:C 0.00119651 -54 *26438:B *2142:153 0.000928531 -55 *26438:B *2376:15 0.000192269 -56 *26438:B *2661:26 0.000190547 -57 *26767:A1_N *26421:B 1.21258e-05 -58 *26767:A1_N *26767:A2_N 9.03486e-05 -59 *2136:10 *2226:31 0.000147474 -60 *2136:10 *4353:68 2.84125e-05 -61 *2136:27 *2147:55 6.05161e-06 -62 *2136:27 *2279:7 8.71079e-05 -63 *2136:36 *26293:B1 8.9224e-06 -64 *2136:36 *2235:10 1.96616e-05 -65 *2136:36 *4519:53 0.000556619 -66 *2136:36 *6169:44 2.39441e-05 -67 *2136:43 *26293:B1 1.98207e-05 -68 *2136:43 *26527:B1 0.000178144 -69 *2136:43 *2346:5 0.00132199 -70 *2136:43 *4519:53 3.27461e-05 -71 *2136:43 *6169:44 0 -72 *2136:51 *26444:B1 0.000216304 -73 *2136:51 *2172:8 1.21258e-05 -74 *2136:51 *2173:21 0.000191403 -75 *2136:51 *2174:8 0.000121573 -76 *2136:51 *2210:17 0.000117848 -77 *2136:51 *2233:37 0.000261386 -78 *2136:51 *6291:67 0.000125731 -79 *2136:58 *26430:A3 0.000178305 -80 *2136:58 *26434:A_N 0.000489734 -81 *2136:58 *2172:8 0.000852356 -82 *2136:58 *2172:10 0.000944312 -83 *2136:58 *2344:8 1.3142e-05 -84 *2136:58 *2357:13 0.00226938 -85 *2136:58 *2539:8 8.92415e-06 -86 *2136:58 *3510:21 6.43196e-05 -87 *2136:58 *4508:144 0.000108996 -88 *2136:58 *5722:112 0.000214619 -89 *2136:58 *5722:113 0.000145497 -90 *2136:60 *26434:A_N 0.000157967 -91 *2136:60 *5722:113 0.000168217 -92 *2136:68 *26434:A_N 0.000199691 -93 *2136:68 *26768:B1 0.000180764 -94 *2136:68 *2531:6 0.000827615 -95 *2136:68 *2680:10 4.53834e-05 -96 *2136:68 *2680:17 1.27529e-05 -97 *2136:68 *5722:113 7.39741e-05 -98 *2136:68 *5722:131 0.000120624 -99 *2136:82 *26409:B1 0.000354306 -100 *2136:82 *26717:C1 0.000148196 -101 *2136:82 *26725:B 0.000728759 -102 *2136:82 *2155:117 3.52655e-05 -103 *2136:82 *2531:6 0.000411758 -104 *2136:82 *2680:17 0.000108031 -105 *2136:89 *26739:B 0.000226513 -106 *2136:89 *26801:C_N 0.000337637 -107 *2136:89 *2142:153 0.000139907 -108 *2136:89 *2143:5 0.000222666 -109 *2136:89 *2148:8 0.000214275 -110 *2136:89 *2150:10 0.00230036 -111 *2136:89 *2187:131 7.02611e-05 -112 *2136:89 *2191:18 0.000986985 -113 *2136:89 *2672:19 5.74499e-06 -114 *2136:89 *4189:41 0.000125674 -115 *2136:89 *5664:131 0.000416386 -116 *2136:158 *26451:A2 0.000304394 -117 *2136:158 *26486:C 0.00144479 -118 *2136:158 *2250:64 0.00017743 -119 *2136:158 *2401:34 2.09897e-05 -120 *2136:166 *26309:B1 6.05161e-06 -121 *26060:A *2136:51 0.00022266 -122 *26101:A *26288:B 5.49544e-05 -123 *26136:A *2136:158 5.33005e-05 -124 *26166:B *2136:51 0.000325457 -125 *26229:C *2136:51 4.66203e-05 -126 *26232:A1 *2136:51 4.31097e-05 -127 *26288:A *26288:B 0.000312769 -128 *26336:A *26336:B 7.51141e-05 -129 *26336:A *2136:10 1.9774e-05 -130 *26336:A *2136:36 0.000416334 -131 *26405:B *2136:43 2.24195e-05 -132 *26409:A2 *2136:82 4.98776e-05 -133 *26451:A1 *2136:158 5.52238e-05 -134 *26451:B1 *2136:158 0.000108792 -135 *26468:A *26273:A2 0.000169611 -136 *26591:A1 *2136:68 6.42095e-05 -137 *26591:A2 *2136:68 0.0001399 -138 *1771:29 *26207:B 1.90936e-05 -139 *1771:43 *2136:51 7.9463e-05 -140 *1862:28 *2136:68 0.000906695 -141 *1862:28 *2136:82 0.000408252 -142 *1880:18 *26273:A2 8.77116e-05 -143 *1884:35 *26273:A2 0.000206964 -144 *1902:41 *2136:158 8.23597e-06 -145 *1930:76 *26273:A2 0.000294191 -146 *1937:22 *2136:58 6.02724e-05 -147 *1944:28 *2136:36 6.20458e-05 -148 *1944:28 *2136:43 1.30583e-05 -149 *1944:43 *2136:43 7.69776e-06 -150 *1944:122 *2136:158 0.00102883 -151 *1944:122 *2136:166 0.000229676 -152 *1955:77 *2136:43 0.000215468 -153 *1955:77 *2136:158 0.00035753 -154 *1955:99 *2136:158 0.000680673 -155 *1964:52 *2136:51 8.5662e-05 -156 *1964:66 *2136:51 2.46318e-05 -157 *1964:89 *2136:51 8.14438e-05 -158 *2033:40 *2136:158 1.94945e-05 -159 *2043:14 *26288:B 0.000520506 -160 *2043:143 *2136:158 0.00163759 -161 *2043:145 *2136:158 0.000102856 -162 *2043:145 *2136:166 0.000286917 -163 *2043:163 *2136:166 0.000418722 -164 *2058:20 *26273:A2 2.89114e-05 -165 *2098:57 *26767:A1_N 0.000135028 -166 *2103:39 *2136:51 0.000456385 -167 *2106:18 *2136:51 3.34687e-05 -168 *2110:26 *2136:82 0.000611791 -169 *2111:58 *2136:158 0 -170 *2113:18 *2136:51 0.000308599 -171 *2126:27 *2136:58 0.000322295 -172 *2133:135 *2136:58 1.721e-05 -173 *2133:141 *2136:68 4.13595e-05 -174 *2133:198 *2136:82 0.000100818 -*RES -1 *26194:X *2136:10 20.7821 -2 *2136:10 *26336:B 26.6929 -3 *2136:10 *2136:27 1.76786 -4 *2136:27 *2136:36 21.3036 -5 *2136:36 *2136:43 25.8036 -6 *2136:43 *2136:51 39.4821 -7 *2136:51 *2136:58 48.1607 -8 *2136:58 *2136:60 2.25 -9 *2136:60 *2136:68 26.2143 -10 *2136:68 *2136:82 34.4231 -11 *2136:82 *2136:89 46.7857 -12 *2136:89 *26207:B 39.3858 -13 *2136:89 *26201:A 9.3 -14 *2136:82 *26438:B 41.6393 -15 *2136:68 *26435:A1 36.6571 -16 *2136:60 *26432:A3 13.8 -17 *2136:58 *26767:A1_N 15.1571 -18 *2136:43 *2136:158 49.8485 -19 *2136:158 *2136:166 9.72321 -20 *2136:166 *26309:A2 9.3 -21 *2136:166 *26273:A2 45.1036 -22 *2136:36 *26288:B 19.2821 -23 *2136:27 *26287:B 9.83571 -*END - -*D_NET *2137 0.00185872 -*CONN -*I *26196:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26195:X O *D sky130_fd_sc_hd__o211a_1 -*CAP -1 *26196:B1 0.000389618 -2 *26195:X 0.000389618 -3 *26196:B1 *26186:A 0.000136958 -4 *26196:B1 *26190:A 0.000240188 -5 *26196:B1 *26225:A2 3.18676e-05 -6 *26195:A1 *26196:B1 0.000136958 -7 *26196:A1 *26196:B1 0.000175892 -8 *26196:A2 *26196:B1 9.90431e-05 -9 *2131:23 *26196:B1 2.14658e-05 -10 *2131:45 *26196:B1 0.000237113 -*RES -1 *26195:X *26196:B1 27.7607 -*END - -*D_NET *2138 0.000806236 -*CONN -*I *26225:A2 I *D sky130_fd_sc_hd__a2111o_1 -*I *26196:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *26225:A2 0.0001858 -2 *26196:Y 0.0001858 -3 *26225:A2 *26742:B1 9.60939e-05 -4 *26225:A2 *2139:26 0.000148196 -5 *26225:A2 *2210:17 0.000148196 -6 *26196:A2 *26225:A2 1.02821e-05 -7 *26196:B1 *26225:A2 3.18676e-05 -*RES -1 *26196:Y *26225:A2 31.3143 -*END - -*D_NET *2139 0.0113261 -*CONN -*I *26231:A_N I *D sky130_fd_sc_hd__and4b_1 -*I *26404:B I *D sky130_fd_sc_hd__nor2_1 -*I *26605:C I *D sky130_fd_sc_hd__nor3_1 -*I *26198:C I *D sky130_fd_sc_hd__nor3_1 -*I *26443:B_N I *D sky130_fd_sc_hd__and4bb_1 -*I *26197:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *26231:A_N 0.000194199 -2 *26404:B 0 -3 *26605:C 0.000149648 -4 *26198:C 0 -5 *26443:B_N 0 -6 *26197:Y 0.000271562 -7 *2139:38 0.000701839 -8 *2139:30 0.000841007 -9 *2139:26 0.00117234 -10 *2139:11 0.000960888 -11 *26231:A_N *26231:D 2.3541e-05 -12 *26231:A_N *2367:5 0.000357726 -13 *26605:C *26741:A2 0 -14 *26605:C *2545:7 1.24368e-05 -15 *26605:C *3510:21 4.58194e-05 -16 *2139:11 *26662:A3 0.000121573 -17 *2139:11 *2385:10 5.74499e-06 -18 *2139:26 *26045:A 0.000246057 -19 *2139:26 *2210:17 0.000257892 -20 *2139:30 *2210:17 0.000520505 -21 *2139:30 *2662:13 0.000361809 -22 *26191:A *26231:A_N 6.57032e-05 -23 *26197:A_N *2139:11 5.33005e-05 -24 *26198:A *2139:38 0.000219711 -25 *26198:B *2139:30 6.53397e-05 -26 *26225:A2 *2139:26 0.000148196 -27 *26232:A2 *2139:26 7.02611e-05 -28 *26443:C *2139:11 0.000136951 -29 *26444:A3 *2139:11 9.41642e-05 -30 *26444:A4 *2139:11 0.000135028 -31 *26598:A1 *26605:C 0.000194698 -32 *1188:104 *26231:A_N 0.000262362 -33 *1937:36 *26231:A_N 0.000135028 -34 *1937:36 *2139:30 5.58941e-05 -35 *1945:65 *2139:30 2.22043e-05 -36 *1952:39 *26605:C 0.000242321 -37 *1952:39 *2139:38 0.000383233 -38 *1953:203 *26605:C 5.52302e-05 -39 *1953:221 *26605:C 3.34366e-05 -40 *1964:66 *2139:26 0.000266092 -41 *2026:10 *26605:C 1.08359e-05 -42 *2026:10 *2139:38 0.000189296 -43 *2031:81 *2139:11 0.000123295 -44 *2098:8 *2139:30 0.000121951 -45 *2110:12 *2139:26 4.75812e-05 -46 *2110:18 *2139:26 0.00107468 -47 *2110:18 *2139:30 0.000118625 -48 *2125:19 *2139:11 0.000182694 -49 *2125:19 *2139:26 6.57914e-05 -50 *2126:22 *2139:30 4.00025e-05 -51 *2129:44 *2139:38 0.00046757 -52 *2131:45 *2139:30 0 -*RES -1 *26197:Y *2139:11 24.7107 -2 *2139:11 *26443:B_N 9.3 -3 *2139:11 *2139:26 23.4821 -4 *2139:26 *2139:30 14.3393 -5 *2139:30 *26198:C 9.3 -6 *2139:30 *2139:38 18.2321 -7 *2139:38 *26605:C 18.3357 -8 *2139:38 *26404:B 13.8 -9 *2139:26 *26231:A_N 19.4071 -*END - -*D_NET *2140 0.00176099 -*CONN -*I *26599:C1 I *D sky130_fd_sc_hd__a311o_1 -*I *26430:B1 I *D sky130_fd_sc_hd__a31o_1 -*I *26224:B1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26198:Y O *D sky130_fd_sc_hd__nor3_1 -*CAP -1 *26599:C1 0 -2 *26430:B1 6.9429e-05 -3 *26224:B1 0.000265329 -4 *26198:Y 0 -5 *2140:14 0.000170307 -6 *2140:4 0.000366207 -7 *1932:63 *26224:B1 3.13387e-05 -8 *2098:8 *26430:B1 1.25366e-05 -9 *2098:8 *2140:14 6.321e-05 -10 *2098:19 *26430:B1 0.000147593 -11 *2110:18 *26430:B1 0.000171365 -12 *2110:18 *2140:14 0.000144132 -13 *2129:44 *26224:B1 0.000264546 -14 *2129:44 *2140:14 5.49995e-05 -*RES -1 *26198:Y *2140:4 9.3 -2 *2140:4 *26224:B1 12.7107 -3 *2140:4 *2140:14 6.98214 -4 *2140:14 *26430:B1 16.05 -5 *2140:14 *26599:C1 13.8 -*END - -*D_NET *2141 0.0544388 -*CONN -*I *26438:C I *D sky130_fd_sc_hd__or3_1 -*I *26328:A I *D sky130_fd_sc_hd__or2_1 -*I *26329:A1 I *D sky130_fd_sc_hd__o211a_1 -*I *26316:A I *D sky130_fd_sc_hd__or2_1 -*I *26326:A1 I *D sky130_fd_sc_hd__o22a_1 -*I *26450:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26200:B I *D sky130_fd_sc_hd__or2_4 -*I *26199:X O *D sky130_fd_sc_hd__or3_4 -*CAP -1 *26438:C 0.000761167 -2 *26328:A 0 -3 *26329:A1 0.000204124 -4 *26316:A 8.14089e-05 -5 *26326:A1 3.02845e-05 -6 *26450:A1 0.000707335 -7 *26200:B 0.0001473 -8 *26199:X 0 -9 *2141:144 0.00144768 -10 *2141:134 0.00398075 -11 *2141:106 0.00450355 -12 *2141:71 0.00052366 -13 *2141:58 0.000363955 -14 *2141:52 0.000458773 -15 *2141:39 0.00208547 -16 *2141:28 0.00206948 -17 *2141:16 0.00137609 -18 *2141:13 0.00135888 -19 *2141:4 0.00166752 -20 *26200:B *26200:A 5.33005e-05 -21 *26200:B *2142:10 2.59355e-05 -22 *26316:A *2269:23 0.000157278 -23 *26326:A1 *26326:B1 9.71197e-05 -24 *26326:A1 *26327:C1 2.89114e-05 -25 *26329:A1 *26329:A2 0.000549983 -26 *26329:A1 *26330:C1 0.000139907 -27 *26329:A1 *4242:9 9.3056e-05 -28 *26438:C *26438:A 0.000915356 -29 *26438:C *26717:C1 0.000179745 -30 *26438:C *2155:117 0.000601223 -31 *26438:C *2634:17 7.65804e-05 -32 *26450:A1 *2240:29 0.000441737 -33 *26450:A1 *2497:14 0.000134461 -34 *26450:A1 *2511:25 0.00213138 -35 *2141:13 *26293:A3 0.000267913 -36 *2141:13 *2176:18 0.000178847 -37 *2141:13 *2187:11 8.30774e-05 -38 *2141:13 *2346:5 5.71472e-05 -39 *2141:13 *4530:50 0.000169377 -40 *2141:13 *4530:56 9.25014e-06 -41 *2141:16 *2142:10 0.00263373 -42 *2141:16 *4541:22 0.000919775 -43 *2141:16 *4541:24 0.000759848 -44 *2141:16 *4541:29 0.000389854 -45 *2141:16 *4552:89 6.24851e-05 -46 *2141:16 *4552:91 7.4555e-05 -47 *2141:16 *4552:105 2.26327e-05 -48 *2141:16 *5782:20 0.000150803 -49 *2141:28 *26676:B2 0.000465257 -50 *2141:28 *2142:10 4.87953e-05 -51 *2141:28 *2142:189 9.20484e-05 -52 *2141:28 *2217:26 0.000231982 -53 *2141:28 *2240:29 3.34295e-05 -54 *2141:28 *6291:40 8.55871e-05 -55 *2141:39 *2240:29 0.000741584 -56 *2141:39 *2497:14 5.74499e-06 -57 *2141:39 *2512:20 0.0003331 -58 *2141:39 *4275:8 9.90367e-05 -59 *2141:39 *4508:58 0.000125375 -60 *2141:52 *2227:85 4.87854e-05 -61 *2141:52 *2240:8 0.000744187 -62 *2141:52 *2240:29 9.91086e-05 -63 *2141:52 *4519:8 0.00012401 -64 *2141:58 *26326:B1 4.08637e-05 -65 *2141:58 *26328:B 1.00733e-05 -66 *2141:58 *2227:64 3.23206e-05 -67 *2141:58 *2227:85 4.27437e-05 -68 *2141:71 *26327:A1 0 -69 *2141:71 *26328:B 8.14093e-06 -70 *2141:71 *26329:A2 0.000300866 -71 *2141:71 *2227:30 0.000165411 -72 *2141:71 *4242:9 6.28185e-05 -73 *2141:106 *2157:81 0.00013857 -74 *2141:106 *2170:14 5.91429e-05 -75 *2141:106 *2171:11 0.00012157 -76 *2141:106 *2187:109 0.0010422 -77 *2141:106 *2346:5 0.000123617 -78 *2141:106 *5673:251 0.000125466 -79 *2141:106 *5782:46 9.64979e-05 -80 *2141:134 *26601:A2 0.00063427 -81 *2141:134 *2142:26 0 -82 *2141:134 *2157:81 0.000193281 -83 *2141:134 *2157:84 6.45077e-05 -84 *2141:134 *2347:13 0.000164129 -85 *2141:134 *2485:13 9.53216e-05 -86 *2141:134 *2485:24 0.000247316 -87 *2141:134 *4508:132 1.19751e-05 -88 *2141:134 *4508:144 0.000306872 -89 *2141:134 *4508:153 0.00023267 -90 *2141:134 *4508:158 0.000110238 -91 *2141:134 *5664:20 0 -92 *2141:134 *5664:117 0 -93 *2141:134 *5673:207 0.000106126 -94 *2141:134 *5673:251 0.0015249 -95 *2141:144 *26717:B1 0.000215468 -96 *2141:144 *2485:24 0.000895334 -97 *2141:144 *2656:11 5.33005e-05 -98 *2141:144 *5664:131 0.000195547 -99 *26087:B *26450:A1 0.000181796 -100 *26087:C_N *26450:A1 5.52238e-05 -101 *26178:B1_N *2141:106 2.39779e-05 -102 *26195:A1 *2141:134 2.04825e-05 -103 *26229:B *2141:106 8.6229e-06 -104 *26229:C *2141:106 0.000157608 -105 *26262:B *26329:A1 0.000108535 -106 *26335:A3 *2141:52 8.27532e-05 -107 *26335:A3 *2141:58 0.00015079 -108 *26409:A1 *26438:C 0.000513591 -109 *26417:A *2141:106 0.000388146 -110 *26426:C *2141:134 1.20379e-05 -111 *26438:B *26438:C 0.00119651 -112 *26557:A *2141:39 0.000295112 -113 *26572:A2 *2141:39 8.6229e-06 -114 *26717:A2 *2141:144 0.000137983 -115 *28961:A *2141:134 1.08359e-05 -116 *30625:A *26316:A 0.00026353 -117 *373:32 *2141:134 0.000240849 -118 *373:32 *2141:144 0.000531426 -119 *373:44 *2141:134 1.93337e-05 -120 *1909:18 *2141:16 0.000237284 -121 *1927:15 *2141:39 6.00359e-05 -122 *1932:37 *2141:106 0.00140782 -123 *1932:63 *2141:134 0.000163917 -124 *1935:156 *26450:A1 0.000155938 -125 *1946:8 *2141:52 6.98515e-05 -126 *1946:15 *2141:52 0.000294776 -127 *1946:98 *2141:134 0.000156295 -128 *1946:154 *2141:134 0.000128748 -129 *1952:63 *26438:C 0.000392063 -130 *1953:111 *26438:C 1.33343e-05 -131 *2032:170 *2141:106 0.000232406 -132 *2043:143 *2141:13 2.89114e-05 -133 *2043:143 *2141:106 6.86693e-05 -134 *2046:154 *2141:134 2.01997e-05 -135 *2047:113 *2141:13 0.000428908 -136 *2116:8 *2141:106 0.000165727 -137 *2120:21 *2141:106 1.21955e-05 -138 *2120:36 *2141:106 0.000111102 -139 *2120:38 *2141:106 0.000566525 -140 *2120:38 *2141:134 9.86249e-07 -141 *2121:10 *2141:134 0.000204962 -142 *2127:27 *2141:134 0 -143 *2128:32 *2141:134 1.53191e-05 -144 *2128:32 *2141:144 0.000471631 -145 *2129:29 *2141:134 0.000308482 -146 *2131:22 *2141:106 0.000108889 -147 *2133:135 *2141:134 0.000236068 -148 *2133:198 *2141:144 9.77024e-05 -*RES -1 *26199:X *2141:4 9.3 -2 *2141:4 *2141:13 23.3929 -3 *2141:13 *2141:16 38.9286 -4 *2141:16 *26200:B 11.4964 -5 *2141:16 *2141:28 14.2143 -6 *2141:28 *2141:39 32.5536 -7 *2141:39 *26450:A1 30.8 -8 *2141:28 *2141:52 16.5 -9 *2141:52 *2141:58 2.73214 -10 *2141:58 *26326:A1 10.2464 -11 *2141:58 *2141:71 10.1429 -12 *2141:71 *26316:A 16.3893 -13 *2141:71 *26329:A1 20.0679 -14 *2141:52 *26328:A 9.3 -15 *2141:4 *2141:106 49.4732 -16 *2141:106 *2141:134 45.1237 -17 *2141:134 *2141:144 34.2411 -18 *2141:144 *26438:C 34.1036 -*END - -*D_NET *2142 0.08014 -*CONN -*I *26687:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *26525:A1 I *D sky130_fd_sc_hd__a41o_1 -*I *26415:B2 I *D sky130_fd_sc_hd__o22ai_1 -*I *26256:B I *D sky130_fd_sc_hd__nor2_1 -*I *26259:A I *D sky130_fd_sc_hd__nor2_1 -*I *26201:B I *D sky130_fd_sc_hd__nor2_1 -*I *26541:A2 I *D sky130_fd_sc_hd__o21bai_1 -*I *26261:B2 I *D sky130_fd_sc_hd__o221a_1 -*I *26260:A1 I *D sky130_fd_sc_hd__o22a_1 -*I *26651:A I *D sky130_fd_sc_hd__nor2_1 -*I *26704:A1 I *D sky130_fd_sc_hd__o21ba_1 -*I *26200:X O *D sky130_fd_sc_hd__or2_4 -*CAP -1 *26687:A2 0.000111797 -2 *26525:A1 0.000289701 -3 *26415:B2 0.000113987 -4 *26256:B 0.00030915 -5 *26259:A 0 -6 *26201:B 1.62364e-05 -7 *26541:A2 0 -8 *26261:B2 0.000230839 -9 *26260:A1 0.000243843 -10 *26651:A 1.63101e-05 -11 *26704:A1 0.00103344 -12 *26200:X 0 -13 *2142:189 0.00263539 -14 *2142:153 0.00241912 -15 *2142:125 0.000388306 -16 *2142:119 0.00232752 -17 *2142:103 0.00240985 -18 *2142:96 0.000652124 -19 *2142:82 0.00073771 -20 *2142:69 0.00130727 -21 *2142:36 0.00113814 -22 *2142:31 0.00285762 -23 *2142:26 0.00660608 -24 *2142:25 0.00375214 -25 *2142:19 0.00164186 -26 *2142:12 0.000994476 -27 *2142:10 0.00130192 -28 *2142:4 0.0036056 -29 *26256:B *26715:C1 0.000210753 -30 *26256:B *2201:8 0.00014183 -31 *26260:A1 *26260:B1 0.000347785 -32 *26260:A1 *2151:56 6.95205e-05 -33 *26260:A1 *2204:85 7.24711e-05 -34 *26261:B2 *5664:201 5.38444e-06 -35 *26415:B2 *26428:B1 0.000177815 -36 *26415:B2 *2672:19 0.000145609 -37 *26525:A1 *26525:A2 0.000383048 -38 *26525:A1 *26525:A4 0.000185118 -39 *26525:A1 *26543:B1_N 9.41642e-05 -40 *26525:A1 *2145:97 5.33005e-05 -41 *26651:A *5564:40 4.73656e-05 -42 *26704:A1 *26704:A2 0.000152649 -43 *26704:A1 *2639:11 0.000466547 -44 *26704:A1 *2639:23 4.17433e-05 -45 *26704:A1 *2639:31 0.000122591 -46 *2142:10 *2152:118 4.34472e-05 -47 *2142:10 *2152:125 0.00265266 -48 *2142:10 *4530:23 8.77179e-05 -49 *2142:10 *4541:22 2.49484e-05 -50 *2142:10 *5782:20 0.000124653 -51 *2142:12 *26528:A1 0.000201127 -52 *2142:12 *2152:118 3.58434e-05 -53 *2142:12 *2346:38 7.44945e-07 -54 *2142:12 *4519:61 0.000504613 -55 *2142:19 *26528:A1 3.17148e-05 -56 *2142:19 *2145:97 0.000889819 -57 *2142:19 *2152:102 0.000848862 -58 *2142:19 *2152:118 6.37408e-06 -59 *2142:19 *2346:38 0.000162234 -60 *2142:25 *2161:39 0.000873782 -61 *2142:26 *2145:87 0.000123605 -62 *2142:26 *2151:53 0 -63 *2142:26 *2157:92 0 -64 *2142:26 *2161:54 0.000111976 -65 *2142:26 *2183:11 0.000295434 -66 *2142:26 *2187:122 0.00014529 -67 *2142:26 *2250:31 0.000123067 -68 *2142:26 *2471:9 0.000389073 -69 *2142:26 *2582:28 4.77348e-05 -70 *2142:26 *2587:23 0.00201101 -71 *2142:26 *2636:8 0.000325647 -72 *2142:26 *2638:14 0.000592283 -73 *2142:26 *5673:40 0.000293473 -74 *2142:31 *2145:81 0.00010827 -75 *2142:31 *2157:92 0 -76 *2142:31 *2157:105 0 -77 *2142:31 *2161:63 0.000243607 -78 *2142:31 *2183:29 0.000129366 -79 *2142:31 *2587:23 0.000278816 -80 *2142:31 *3510:90 0.000470856 -81 *2142:31 *5673:51 0.000401075 -82 *2142:36 *5564:40 0.000163627 -83 *2142:69 *2151:56 6.65506e-05 -84 *2142:69 *2208:8 0.000251387 -85 *2142:69 *3510:90 0.000405693 -86 *2142:69 *5673:60 0.000134168 -87 *2142:96 *2149:30 0.000303368 -88 *2142:103 *26541:B1_N 9.3111e-05 -89 *2142:103 *26739:B 7.32272e-05 -90 *2142:103 *2194:52 2.24079e-05 -91 *2142:119 *26222:D 0.000150625 -92 *2142:119 *26223:A 6.4915e-05 -93 *2142:119 *26251:A 0.00131108 -94 *2142:119 *26251:D 0 -95 *2142:119 *26258:A 1.02504e-05 -96 *2142:119 *26259:B 4.88637e-05 -97 *2142:119 *26531:A 0 -98 *2142:119 *26715:C1 3.61629e-06 -99 *2142:119 *26739:B 1.21289e-05 -100 *2142:119 *2143:5 0.000392923 -101 *2142:119 *2156:10 0.00106263 -102 *2142:119 *2187:164 0 -103 *2142:119 *2191:18 0 -104 *2142:119 *2677:23 5.33978e-05 -105 *2142:119 *4189:41 0 -106 *2142:119 *5673:115 6.09256e-05 -107 *2142:125 *26259:B 1.33343e-05 -108 *2142:125 *26715:C1 9.22103e-06 -109 *2142:125 *2201:8 2.44318e-05 -110 *2142:153 *26428:A3 0.000985239 -111 *2142:153 *26437:B 1.90936e-05 -112 *2142:153 *2145:81 8.94556e-05 -113 *2142:153 *2149:87 9.41642e-05 -114 *2142:153 *2157:92 8.42e-05 -115 *2142:153 *2157:141 3.81452e-05 -116 *2142:153 *2161:63 0 -117 *2142:153 *2187:141 0.000136247 -118 *2142:153 *2250:31 2.48731e-05 -119 *2142:153 *2315:25 0.000183059 -120 *2142:153 *2634:17 0.000233726 -121 *2142:153 *2672:19 0.000330202 -122 *2142:153 *2717:33 0 -123 *2142:153 *5664:131 1.31516e-05 -124 *2142:153 *5673:40 0 -125 *2142:189 *2217:77 0.000140645 -126 *2142:189 *2507:21 0.00167066 -127 *2142:189 *2519:8 0.000906201 -128 *25987:A *2142:189 0.000119837 -129 *26023:A *2142:19 6.13757e-06 -130 *26053:A *2142:189 4.04037e-05 -131 *26092:C_N *2142:189 1.98839e-05 -132 *26093:A *2142:189 6.06291e-05 -133 *26185:B *2142:19 1.98839e-05 -134 *26200:B *2142:10 2.59355e-05 -135 *26207:B *26261:B2 3.69047e-06 -136 *26207:B *2142:69 6.60857e-05 -137 *26241:A2 *2142:26 0.000122509 -138 *26415:A2 *26415:B2 0.000316698 -139 *26415:A2 *2142:153 0.000184745 -140 *26438:B *2142:153 0.000928531 -141 *26458:B1 *2142:189 6.17358e-05 -142 *26541:A1 *2142:103 5.38437e-05 -143 *26568:B *2142:189 0.000120724 -144 *26687:B1 *26687:A2 0.000110036 -145 *26709:A1 *2142:26 0.000288872 -146 *373:12 *2142:96 0.000332433 -147 *1186:55 *2142:25 0.001579 -148 *1187:48 *2142:25 0.00150724 -149 *1187:59 *2142:25 0.000317211 -150 *1862:34 *2142:119 0 -151 *1874:35 *2142:25 0.00025189 -152 *1874:36 *26260:A1 0.000197984 -153 *1874:36 *2142:69 0.000410882 -154 *1909:18 *2142:10 3.69155e-05 -155 *1909:30 *2142:19 0.000135028 -156 *1917:13 *2142:189 7.31843e-05 -157 *1941:18 *26687:A2 6.74663e-05 -158 *1942:14 *26687:A2 9.25014e-06 -159 *1942:70 *2142:10 1.27625e-05 -160 *1942:70 *2142:12 0.000767388 -161 *1946:98 *2142:26 0.000106386 -162 *1962:8 *2142:25 1.27784e-05 -163 *1993:24 *2142:189 0.000727789 -164 *1994:25 *2142:189 2.14658e-05 -165 *2032:43 *2142:189 0.00047594 -166 *2033:19 *2142:189 0.00035476 -167 *2035:10 *2142:189 0.000180777 -168 *2046:115 *2142:69 0.00147856 -169 *2047:43 *26261:B2 8.42903e-06 -170 *2047:43 *26651:A 5.59013e-05 -171 *2047:43 *2142:36 0.000162651 -172 *2047:43 *2142:69 0.00011994 -173 *2049:10 *2142:26 0.000285209 -174 *2054:53 *2142:25 0.00137572 -175 *2104:118 *2142:153 1.94945e-05 -176 *2104:143 *26261:B2 0.000100011 -177 *2104:143 *2142:96 0.000412665 -178 *2127:27 *2142:26 0.000122713 -179 *2133:198 *2142:153 0.000161141 -180 *2133:212 *26704:A1 0.000343751 -181 *2133:212 *2142:96 0.000332433 -182 *2136:89 *2142:153 0.000139907 -183 *2141:16 *2142:10 0.00263373 -184 *2141:28 *2142:10 4.87953e-05 -185 *2141:28 *2142:189 9.20484e-05 -186 *2141:134 *2142:26 0 -*RES -1 *26200:X *2142:4 9.3 -2 *2142:4 *2142:10 43.0982 -3 *2142:10 *2142:12 9.99107 -4 *2142:12 *2142:19 23.25 -5 *2142:19 *2142:25 45.9496 -6 *2142:25 *2142:26 7.29127 -7 *2142:26 *2142:31 6.5684 -8 *2142:31 *2142:36 5.59125 -9 *2142:36 *26704:A1 28.0857 -10 *2142:36 *26651:A 14.5321 -11 *2142:31 *2142:69 16.3526 -12 *2142:69 *26260:A1 20.1929 -13 *2142:69 *2142:82 4.5 -14 *2142:82 *26261:B2 12.7286 -15 *2142:82 *2142:96 21.3036 -16 *2142:96 *26541:A2 9.3 -17 *2142:96 *2142:103 3.42857 -18 *2142:103 *26201:B 9.72857 -19 *2142:103 *2142:119 49.75 -20 *2142:119 *2142:125 1.80357 -21 *2142:125 *26259:A 9.3 -22 *2142:125 *26256:B 15.9964 -23 *2142:26 *2142:153 48.5041 -24 *2142:153 *26415:B2 17.6393 -25 *2142:12 *26525:A1 20.6393 -26 *2142:4 *2142:189 46.875 -27 *2142:189 *26687:A2 21.1571 -*END - -*D_NET *2143 0.00139762 -*CONN -*I *26223:A I *D sky130_fd_sc_hd__or4b_1 -*I *26739:A I *D sky130_fd_sc_hd__or2_1 -*I *26201:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26223:A 0.000148313 -2 *26739:A 2.23854e-05 -3 *26201:Y 0.000108734 -4 *2143:5 0.000279432 -5 *26223:A *26531:A 0.000106542 -6 *26223:A *2148:8 5.80706e-06 -7 *26223:A *2156:10 0 -8 *2143:5 *26739:B 4.58976e-05 -9 *2136:89 *2143:5 0.000222666 -10 *2142:119 *26223:A 6.4915e-05 -11 *2142:119 *2143:5 0.000392923 -*RES -1 *26201:Y *2143:5 13.1214 -2 *2143:5 *26739:A 9.72857 -3 *2143:5 *26223:A 21.3893 -*END - -*D_NET *2144 0.0447689 -*CONN -*I *26205:B I *D sky130_fd_sc_hd__or2_4 -*I *26300:A1 I *D sky130_fd_sc_hd__o22a_1 -*I *26534:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *26466:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26544:B2 I *D sky130_fd_sc_hd__o221a_1 -*I *26208:B1 I *D sky130_fd_sc_hd__o22a_1 -*I *26204:B I *D sky130_fd_sc_hd__nor2_2 -*I *26270:A I *D sky130_fd_sc_hd__or2_1 -*I *26202:X O *D sky130_fd_sc_hd__or4b_4 -*CAP -1 *26205:B 0.00021738 -2 *26300:A1 1.55834e-05 -3 *26534:A2 0 -4 *26466:A1 0 -5 *26544:B2 0.000839056 -6 *26208:B1 0.000391724 -7 *26204:B 0 -8 *26270:A 0.00016113 -9 *26202:X 0.000902477 -10 *2144:95 0.00233185 -11 *2144:68 0.00232155 -12 *2144:48 0.00221144 -13 *2144:46 0.00141969 -14 *2144:41 0.00123912 -15 *2144:35 0.00215561 -16 *2144:26 0.00379932 -17 *2144:22 0.00100476 -18 *2144:16 0.00101847 -19 *2144:15 0.00120881 -20 *26205:B *5544:15 6.81335e-05 -21 *26208:B1 *26204:A 0.000461937 -22 *26208:B1 *2149:110 0.000185643 -23 *26270:A *26270:B 9.41642e-05 -24 *26270:A *2212:7 0.000136958 -25 *26270:A *2240:156 0.000125316 -26 *26270:A *2242:27 0.000123594 -27 *26300:A1 *26300:A2 1.92789e-05 -28 *26300:A1 *2240:98 5.52238e-05 -29 *26544:B2 *26544:A2 3.45371e-05 -30 *26544:B2 *26544:B1 0.00065108 -31 *26544:B2 *26544:C1 5.49544e-05 -32 *26544:B2 *28967:A 8.25843e-06 -33 *2144:15 *26202:A 5.49489e-05 -34 *2144:15 *26202:B 5.33005e-05 -35 *2144:15 *26202:C 0.000227635 -36 *2144:15 *26323:A 1.02936e-05 -37 *2144:15 *4519:23 6.94952e-05 -38 *2144:15 *4552:65 9.18273e-05 -39 *2144:15 *6180:10 0.000232208 -40 *2144:22 *26302:A 0 -41 *2144:22 *26321:A2 0 -42 *2144:22 *26321:B1 0 -43 *2144:22 *2154:14 0.000249579 -44 *2144:22 *5914:99 0.000177941 -45 *2144:26 *2154:14 0.000343861 -46 *2144:35 *6451:DIODE 2.59355e-05 -47 *2144:35 *2475:11 0.000679221 -48 *2144:35 *6125:7 7.02611e-05 -49 *2144:35 *6125:41 8.55871e-05 -50 *2144:35 *6136:8 0.00111772 -51 *2144:41 *2154:32 0.000371978 -52 *2144:41 *2224:8 0.000964622 -53 *2144:41 *2224:20 0.00132293 -54 *2144:41 *2240:111 0.000891504 -55 *2144:41 *2479:8 0.00091482 -56 *2144:41 *6147:14 0.000313721 -57 *2144:46 *26699:C1 0.000128146 -58 *2144:46 *26699:D1 0.000353409 -59 *2144:46 *27978:A0 0.00030998 -60 *2144:46 *2212:14 0.00110317 -61 *2144:46 *2224:8 9.62734e-05 -62 *2144:46 *2240:156 4.80729e-05 -63 *2144:46 *3510:60 0.000287579 -64 *2144:48 *2212:14 0.000206073 -65 *2144:48 *2240:156 0.000408641 -66 *2144:48 *2242:27 3.17148e-05 -67 *2144:68 *26204:A 3.03071e-05 -68 *2144:68 *30992:A 0.000520499 -69 *2144:68 *30994:A 0.000298258 -70 *2144:68 *2155:42 0.000110945 -71 *2144:68 *2155:61 0.000369818 -72 *2144:68 *2226:38 0.00106384 -73 *2144:68 *2242:27 5.33005e-05 -74 *2144:68 *2592:30 0.000191113 -75 *2144:95 *24811:A 5.52238e-05 -76 *2144:95 *26209:A 0.000329865 -77 *2144:95 *2151:7 0.00203751 -78 *2144:95 *4541:29 0.000385089 -79 *26049:A *2144:95 5.52238e-05 -80 *26049:B *2144:95 0.000271068 -81 *26208:A2 *26208:B1 5.38242e-05 -82 *26209:C_N *2144:95 0.000232732 -83 *26282:A *2144:68 0.000190178 -84 *26306:A1 *2144:15 0.000111216 -85 *26306:A2 *2144:15 0.000353901 -86 *26321:A1 *2144:22 5.69509e-05 -87 *26466:B1 *2144:95 9.58318e-06 -88 *30743:A *2144:35 3.34366e-05 -89 *30744:A *2144:35 0.000187622 -90 *30745:A *2144:35 7.33295e-05 -91 *1171:8 *2144:95 0.000269428 -92 *1935:72 *2144:68 1.94879e-05 -93 *1956:47 *26205:B 0 -94 *1956:47 *2144:16 0 -95 *1956:47 *2144:22 0 -96 *1991:8 *2144:95 0.000178847 -97 *2025:114 *2144:95 2.32625e-05 -98 *2043:22 *2144:41 5.76755e-05 -99 *2047:66 *2144:41 8.55871e-05 -100 *2111:14 *2144:26 0.000294073 -101 *2111:26 *26205:B 0.000117144 -102 *2111:26 *2144:16 7.4826e-05 -103 *2111:26 *2144:22 0.00156553 -104 *2111:26 *2144:26 6.0038e-05 -105 *2133:168 *26208:B1 0.000448645 -*RES -1 *26202:X *2144:15 30.1214 -2 *2144:15 *2144:16 1.94643 -3 *2144:16 *2144:22 25.0714 -4 *2144:22 *2144:26 8.875 -5 *2144:26 *2144:35 48.1786 -6 *2144:35 *2144:41 40.0357 -7 *2144:41 *2144:46 18.0893 -8 *2144:46 *2144:48 5.28571 -9 *2144:48 *26270:A 17.6214 -10 *2144:48 *2144:68 49.3214 -11 *2144:68 *26204:B 9.3 -12 *2144:68 *26208:B1 18.4607 -13 *2144:46 *26544:B2 27.4964 -14 *2144:26 *2144:95 45.25 -15 *2144:95 *26466:A1 9.3 -16 *2144:22 *26534:A2 13.8 -17 *2144:16 *26300:A1 14.3357 -18 *2144:15 *26205:B 18.425 -*END - -*D_NET *2145 0.0435615 -*CONN -*I *26543:A2 I *D sky130_fd_sc_hd__o21ba_1 -*I *26528:A1 I *D sky130_fd_sc_hd__o221a_1 -*I *26263:A I *D sky130_fd_sc_hd__nor2_1 -*I *26265:A1 I *D sky130_fd_sc_hd__o221a_1 -*I *26257:B I *D sky130_fd_sc_hd__nor2_1 -*I *26203:X O *D sky130_fd_sc_hd__or2_4 -*CAP -1 *26543:A2 0 -2 *26528:A1 0.000924439 -3 *26263:A 0.00126691 -4 *26265:A1 0 -5 *26257:B 0.0010354 -6 *26203:X 0.000390278 -7 *2145:97 0.00128705 -8 *2145:91 0.00147636 -9 *2145:87 0.0021495 -10 *2145:81 0.00239723 -11 *2145:29 0.00359988 -12 *2145:21 0.000971496 -13 *2145:8 0.00142568 -14 *26257:B *26265:A2 3.17148e-05 -15 *26257:B *26266:A 0.000522451 -16 *26257:B *2180:13 0.000712712 -17 *26257:B *2180:25 0.000528508 -18 *26257:B *2208:8 0.000215714 -19 *26257:B *3510:137 5.95378e-05 -20 *26257:B *5560:33 7.80714e-06 -21 *26257:B *5564:35 0.000534373 -22 *26263:A *26263:B 3.69047e-06 -23 *26263:A *26547:A 2.76499e-05 -24 *26263:A *26547:B 3.00769e-05 -25 *26263:A *26547:C 0.000130378 -26 *26263:A *26547:D 9.41642e-05 -27 *26263:A *26708:A1 2.89114e-05 -28 *26263:A *26776:A2 2.42516e-05 -29 *26263:A *26793:C1 0.000115991 -30 *26263:A *2156:10 2.50767e-05 -31 *26263:A *2187:145 5.27209e-06 -32 *26263:A *2191:18 9.41642e-05 -33 *26263:A *2587:79 2.24079e-05 -34 *26528:A1 *26528:B1 0.000119125 -35 *26528:A1 *2152:118 0.000226021 -36 *26528:A1 *4519:61 6.05161e-06 -37 *2145:8 *26210:B 4.58194e-05 -38 *2145:8 *26265:A2 0.000146992 -39 *2145:8 *2208:8 9.21418e-06 -40 *2145:8 *5564:35 0.000149512 -41 *2145:8 *5564:40 4.22135e-06 -42 *2145:8 *5722:224 0.000175892 -43 *2145:29 *26265:A2 5.33005e-05 -44 *2145:29 *26266:D 0.000139393 -45 *2145:29 *26708:C1 0.000646703 -46 *2145:29 *26776:B1 2.05938e-05 -47 *2145:29 *28878:A 0.00059433 -48 *2145:29 *2151:56 0 -49 *2145:29 *2152:13 0.000198748 -50 *2145:29 *2152:33 0.000322914 -51 *2145:29 *2152:202 9.60875e-05 -52 *2145:29 *2183:33 0.00212691 -53 *2145:81 *26702:A2 0.000320271 -54 *2145:81 *26708:C1 7.12222e-05 -55 *2145:81 *26709:D1 0.000951509 -56 *2145:81 *26776:B1 1.5341e-05 -57 *2145:81 *2161:54 0.00030188 -58 *2145:81 *2161:63 0.000186718 -59 *2145:81 *2161:82 6.96758e-06 -60 *2145:81 *2183:29 0.000268567 -61 *2145:81 *2639:36 3.61589e-06 -62 *2145:81 *2647:8 0.000215108 -63 *2145:81 *5673:40 0.000153125 -64 *2145:81 *5673:51 0.000166776 -65 *2145:87 *26697:A 0.000301438 -66 *2145:87 *26710:A 0.000202128 -67 *2145:87 *26710:C 0.00034993 -68 *2145:87 *2161:54 0.00230362 -69 *2145:87 *2489:10 0.000542609 -70 *2145:87 *2587:23 0.000282931 -71 *2145:87 *2642:6 0.000150625 -72 *2145:91 *2152:92 0.000270847 -73 *2145:91 *2152:102 0.000908069 -74 *2145:91 *2161:35 0.00195798 -75 *2145:91 *2176:44 0.000718813 -76 *2145:91 *2208:8 0.00114983 -77 *2145:91 *2346:38 0.000369016 -78 *2145:91 *2484:28 1.94945e-05 -79 *2145:91 *2584:12 0.000871951 -80 *2145:97 *26525:A2 9.60939e-05 -81 *2145:97 *2152:102 0.000165558 -82 *2145:97 *2346:38 0.000321964 -83 *2145:97 *2484:20 0.000315442 -84 *2145:97 *5722:69 0.000508406 -85 *25812:S *26257:B 9.30093e-05 -86 *26453:A1 *2145:91 0.000222885 -87 *26525:A1 *2145:97 5.33005e-05 -88 *26528:B2 *26528:A1 0.000473747 -89 *26644:A2 *2145:91 8.05747e-05 -90 *1186:55 *2145:87 0.000256143 -91 *1862:28 *26263:A 4.65158e-06 -92 *1873:38 *26257:B 0.000297566 -93 *2032:203 *2145:81 1.03904e-05 -94 *2032:220 *26263:A 0.00022266 -95 *2127:27 *2145:87 9.06613e-05 -96 *2127:27 *2145:91 0.000138792 -97 *2131:63 *2145:87 0.000149797 -98 *2132:30 *2145:87 0.000205128 -99 *2132:37 *2145:87 0.000129447 -100 *2142:12 *26528:A1 0.000201127 -101 *2142:19 *26528:A1 3.17148e-05 -102 *2142:19 *2145:97 0.000889819 -103 *2142:26 *2145:87 0.000123605 -104 *2142:31 *2145:81 0.00010827 -105 *2142:153 *2145:81 8.94556e-05 -*RES -1 *26203:X *2145:8 21.1036 -2 *2145:8 *26257:B 41.9429 -3 *2145:8 *2145:21 4.5 -4 *2145:21 *26265:A1 9.3 -5 *2145:21 *2145:29 37.5 -6 *2145:29 *26263:A 42.0857 -7 *2145:29 *2145:81 31.8074 -8 *2145:81 *2145:87 45.9732 -9 *2145:87 *2145:91 47.4643 -10 *2145:91 *2145:97 23.7321 -11 *2145:97 *26528:A1 35.2464 -12 *2145:97 *26543:A2 9.3 -*END - -*D_NET *2146 0.00788344 -*CONN -*I *26422:A3 I *D sky130_fd_sc_hd__a32o_1 -*I *26424:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *26241:A4 I *D sky130_fd_sc_hd__a41o_1 -*I *26308:B I *D sky130_fd_sc_hd__nand2_2 -*I *26204:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *26422:A3 0 -2 *26424:A2 0.000313414 -3 *26241:A4 5.02731e-05 -4 *26308:B 8.43934e-05 -5 *26204:Y 0 -6 *2146:38 0.00176378 -7 *2146:17 0.00154231 -8 *2146:5 0.000126068 -9 *26241:A4 *26193:A 0.000129901 -10 *26241:A4 *4508:158 4.58194e-05 -11 *26308:B *2157:92 0.000220455 -12 *26308:B *2196:35 0.000219741 -13 *26308:B *2250:31 5.33005e-05 -14 *26424:A2 *26424:B1 9.80173e-05 -15 *2146:17 *2157:92 0.000125717 -16 *2146:17 *2196:35 0.000115467 -17 *2146:38 *26193:A 0.000163455 -18 *2146:38 *26435:C1 8.6229e-06 -19 *2146:38 *26590:B1 4.5539e-05 -20 *2146:38 *26602:B1 2.89016e-05 -21 *2146:38 *26602:C1 7.16452e-05 -22 *2146:38 *26725:C 1.0562e-05 -23 *2146:38 *26738:A 2.75651e-06 -24 *2146:38 *2154:61 0.000506469 -25 *2146:38 *2542:7 0.000762748 -26 *2146:38 *4508:158 6.57032e-05 -27 *26422:A2 *26424:A2 2.14658e-05 -28 *26422:A2 *2146:38 9.60939e-05 -29 *26602:A1 *2146:38 9.90431e-05 -30 *26640:A *26308:B 5.52238e-05 -31 *1935:92 *2146:38 0.000124858 -32 *1952:63 *26424:A2 0.000322397 -33 *1952:63 *2146:38 1.95746e-05 -34 *2099:70 *26424:A2 0.000589726 -*RES -1 *26204:Y *2146:5 13.8 -2 *2146:5 *26308:B 17.1929 -3 *2146:5 *2146:17 6.14286 -4 *2146:17 *26241:A4 10.675 -5 *2146:17 *2146:38 38.3747 -6 *2146:38 *26424:A2 28.4429 -7 *2146:38 *26422:A3 9.3 -*END - -*D_NET *2147 0.0498106 -*CONN -*I *26306:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *26319:A2 I *D sky130_fd_sc_hd__o221a_1 -*I *26746:A1 I *D sky130_fd_sc_hd__o211a_1 -*I *26483:A2 I *D sky130_fd_sc_hd__o211a_1 -*I *26649:A1 I *D sky130_fd_sc_hd__a31o_1 -*I *26206:B I *D sky130_fd_sc_hd__nor2_1 -*I *26701:B2 I *D sky130_fd_sc_hd__o221a_1 -*I *26205:X O *D sky130_fd_sc_hd__or2_4 -*CAP -1 *26306:B1 0 -2 *26319:A2 6.51507e-05 -3 *26746:A1 0.000290014 -4 *26483:A2 4.51999e-05 -5 *26649:A1 4.45393e-05 -6 *26206:B 0.00116711 -7 *26701:B2 3.08845e-05 -8 *26205:X 0.000225954 -9 *2147:136 0.00234037 -10 *2147:81 0.00178075 -11 *2147:74 0.00173758 -12 *2147:65 0.00225046 -13 *2147:61 0.00172407 -14 *2147:55 0.00165047 -15 *2147:38 0.00350594 -16 *2147:24 0.00125863 -17 *2147:10 0.000985234 -18 *26206:B *26546:A 0.000144574 -19 *26206:B *26546:C 1.21258e-05 -20 *26206:B *26650:A2 7.61333e-05 -21 *26206:B *26701:A2 2.59355e-05 -22 *26206:B *26704:B1_N 7.31195e-06 -23 *26206:B *26733:A 0.000175892 -24 *26206:B *26733:D 0.000219711 -25 *26206:B *26801:C_N 4.98055e-06 -26 *26206:B *2151:56 0.000100823 -27 *26206:B *2183:29 9.91086e-05 -28 *26206:B *2191:23 0.00019554 -29 *26206:B *2250:31 9.43546e-06 -30 *26206:B *2486:21 2.97829e-05 -31 *26206:B *2587:73 0.000344769 -32 *26206:B *5664:131 7.97875e-05 -33 *26206:B *5664:139 0.000197977 -34 *26319:A2 *26319:B2 9.41642e-05 -35 *26319:A2 *2217:38 6.09764e-05 -36 *26319:A2 *2226:7 3.97677e-05 -37 *26483:A2 *26483:B1 3.45257e-05 -38 *26649:A1 *2177:41 8.78375e-05 -39 *26649:A1 *2587:23 6.05161e-06 -40 *26701:B2 *26701:B1 2.44266e-05 -41 *26746:A1 *26483:B1 3.99614e-06 -42 *26746:A1 *26484:D 7.48301e-06 -43 *26746:A1 *26746:A2 1.04232e-05 -44 *26746:A1 *2226:84 0.000343205 -45 *26746:A1 *2684:11 7.48444e-05 -46 *2147:10 *26205:A 5.19659e-05 -47 *2147:10 *26323:B 6.05161e-06 -48 *2147:10 *2204:28 0.000113038 -49 *2147:10 *2220:33 0.000123288 -50 *2147:24 *26277:C 1.24368e-05 -51 *2147:24 *26321:C1 6.94127e-05 -52 *2147:24 *26322:C 0.000553844 -53 *2147:24 *2204:28 0.000231688 -54 *2147:24 *2204:30 0.000517754 -55 *2147:24 *2220:33 0.000547732 -56 *2147:24 *4353:48 0.000343302 -57 *2147:38 *26319:C1 2.23592e-05 -58 *2147:38 *2155:13 7.73628e-05 -59 *2147:38 *2155:146 1.24368e-05 -60 *2147:38 *2226:31 9.59532e-06 -61 *2147:38 *4353:48 6.04444e-05 -62 *2147:55 *2155:18 0.000140025 -63 *2147:55 *2226:31 0.00102776 -64 *2147:55 *2226:36 0.00027794 -65 *2147:55 *6169:44 0 -66 *2147:61 *2151:22 0.000113778 -67 *2147:61 *2155:25 0.00199074 -68 *2147:61 *2226:38 9.28313e-05 -69 *2147:65 *2154:61 0.00017754 -70 *2147:65 *2155:42 0.00162205 -71 *2147:65 *2204:38 0.000224348 -72 *2147:65 *2204:46 0.000416839 -73 *2147:65 *2220:69 0.00109077 -74 *2147:65 *5542:44 0.00338402 -75 *2147:65 *5573:31 0.000151333 -76 *2147:74 *26639:C 0.0010336 -77 *2147:74 *26643:C 0.000577475 -78 *2147:74 *26654:D_N 0 -79 *2147:74 *26709:C1 0.000392856 -80 *2147:74 *2177:41 0.000450632 -81 *2147:74 *2190:104 2.39441e-05 -82 *2147:74 *2204:68 2.94501e-05 -83 *2147:74 *2349:30 0 -84 *2147:74 *2580:23 1.27529e-05 -85 *2147:74 *2592:30 0.0012542 -86 *2147:74 *5564:40 8.92708e-06 -87 *2147:81 *26649:A2 4.12798e-05 -88 *2147:81 *26653:A_N 5.96516e-05 -89 *2147:81 *26653:C 0.000121842 -90 *2147:81 *26653:D 8.37122e-05 -91 *2147:81 *26654:D_N 0 -92 *2147:81 *26701:B1 0.000101432 -93 *2147:81 *2250:31 1.21258e-05 -94 *2147:81 *2587:31 0.00019654 -95 *2147:81 *2587:36 5.33005e-05 -96 *2147:81 *5564:40 1.07068e-05 -97 *2147:81 *6169:82 1.67953e-05 -98 *2147:136 *26194:B 0.00215718 -99 *2147:136 *26483:B1 0.000503957 -100 *2147:136 *26484:D 0.00026737 -101 *2147:136 *26562:A3 3.93848e-05 -102 *2147:136 *2159:117 1.35471e-05 -103 *2147:136 *2187:73 7.08123e-05 -104 *2147:136 *6291:58 4.5539e-05 -105 *26128:A *2147:136 9.25014e-06 -106 *26319:A1 *26319:A2 5.49489e-05 -107 *26320:A1 *2147:38 4.62713e-05 -108 *26336:A *2147:55 4.70193e-05 -109 *26336:B *2147:55 4.85033e-05 -110 *26483:A1 *26483:A2 0.00011909 -111 *26483:A1 *26746:A1 0.000114048 -112 *26746:B1 *26746:A1 0.000117339 -113 *1935:8 *2147:38 5.14352e-05 -114 *1935:19 *2147:38 0.00095247 -115 *1935:19 *2147:55 0.000255519 -116 *1935:25 *2147:61 1.08359e-05 -117 *1935:109 *2147:24 9.02649e-06 -118 *1935:109 *2147:38 0.00012249 -119 *1935:122 *2147:24 1.83819e-05 -120 *1944:43 *2147:61 0.000346477 -121 *1945:13 *2147:136 0.000380121 -122 *1945:47 *2147:136 2.02018e-05 -123 *1956:50 *2147:24 0.000360823 -124 *1956:50 *2147:38 0.000940131 -125 *1956:50 *2147:55 0.000232181 -126 *1991:8 *2147:136 2.31791e-05 -127 *1991:10 *2147:136 4.90803e-05 -128 *2025:114 *2147:136 0.000181803 -129 *2031:73 *2147:136 7.90803e-05 -130 *2032:100 *2147:24 0.000255744 -131 *2038:30 *2147:38 0.000213877 -132 *2038:58 *2147:55 2.49564e-05 -133 *2038:58 *2147:61 0.00153559 -134 *2046:115 *2147:74 0.000209614 -135 *2047:81 *2147:61 0.000262222 -136 *2133:198 *26206:B 5.71755e-05 -137 *2136:27 *2147:55 6.05161e-06 -*RES -1 *26205:X *2147:10 17.6393 -2 *2147:10 *2147:24 32.7679 -3 *2147:24 *2147:38 25.2946 -4 *2147:38 *2147:55 37.6581 -5 *2147:55 *2147:61 34.9375 -6 *2147:61 *2147:65 49.5 -7 *2147:65 *2147:74 45.4464 -8 *2147:74 *2147:81 13.4464 -9 *2147:81 *26701:B2 10.0321 -10 *2147:81 *26206:B 47.2821 -11 *2147:74 *26649:A1 14.7643 -12 *2147:38 *2147:136 41.434 -13 *2147:136 *26483:A2 10.675 -14 *2147:136 *26746:A1 16.5679 -15 *2147:24 *26319:A2 15.5679 -16 *2147:10 *26306:B1 13.8 -*END - -*D_NET *2148 0.00893938 -*CONN -*I *26608:A I *D sky130_fd_sc_hd__or3_1 -*I *26223:C I *D sky130_fd_sc_hd__or4b_1 -*I *26531:A I *D sky130_fd_sc_hd__or4_1 -*I *26206:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26608:A 0.00154138 -2 *26223:C 0 -3 *26531:A 0.000308383 -4 *26206:Y 0 -5 *2148:8 0.000961398 -6 *2148:4 0.0021944 -7 *26531:A *26531:C 0.000355009 -8 *26531:A *2191:18 0.000389868 -9 *26531:A *2677:23 3.79467e-05 -10 *26608:A *26246:A 9.25014e-06 -11 *26608:A *26545:B1 0.000730738 -12 *26608:A *26608:C 2.89016e-05 -13 *26608:A *2187:145 0.000266099 -14 *26608:A *2545:8 0.000136754 -15 *26608:A *5722:166 2.24195e-05 -16 *2148:8 *26801:C_N 0.000200413 -17 *2148:8 *2156:10 0 -18 *2148:8 *2191:18 0.000762441 -19 *2148:8 *2191:23 0.000623526 -20 *26223:A *26531:A 0.000106542 -21 *26223:A *2148:8 5.80706e-06 -22 *2133:212 *26608:A 2.15245e-05 -23 *2133:212 *2148:8 2.22999e-05 -24 *2136:89 *2148:8 0.000214275 -25 *2142:119 *26531:A 0 -*RES -1 *26206:Y *2148:4 9.3 -2 *2148:4 *2148:8 23.1786 -3 *2148:8 *26531:A 22.1929 -4 *2148:8 *26223:C 13.8 -5 *2148:4 *26608:A 39.175 -*END - -*D_NET *2149 0.0402275 -*CONN -*I *26414:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26208:B2 I *D sky130_fd_sc_hd__o22a_1 -*I *26282:B I *D sky130_fd_sc_hd__or2_1 -*I *26793:A2 I *D sky130_fd_sc_hd__o221a_1 -*I *26247:A I *D sky130_fd_sc_hd__nor2_1 -*I *26239:A1 I *D sky130_fd_sc_hd__o22a_1 -*I *26236:A I *D sky130_fd_sc_hd__or2_1 -*I *26408:B I *D sky130_fd_sc_hd__nor2_1 -*I *26207:X O *D sky130_fd_sc_hd__or2_4 -*CAP -1 *26414:A1 0.000159681 -2 *26208:B2 2.23854e-05 -3 *26282:B 3.04774e-05 -4 *26793:A2 0.000251361 -5 *26247:A 0 -6 *26239:A1 1.69531e-05 -7 *26236:A 0 -8 *26408:B 0.000187555 -9 *26207:X 0.000621693 -10 *2149:110 0.00232329 -11 *2149:94 0.00298007 -12 *2149:87 0.00189545 -13 *2149:68 0.00257521 -14 *2149:59 0.00148078 -15 *2149:41 0.00169135 -16 *2149:30 0.000977092 -17 *2149:19 0.00316227 -18 *2149:10 0.0019351 -19 *26239:A1 *26239:A2 5.33005e-05 -20 *26239:A1 *2152:212 5.33005e-05 -21 *26282:B *26270:B 0.000104974 -22 *26282:B *2240:156 0.00010326 -23 *26408:B *5565:45 8.70594e-05 -24 *26414:A1 *2155:106 0.000301064 -25 *26414:A1 *2545:8 0.000308592 -26 *26414:A1 *2706:13 9.31209e-05 -27 *26793:A2 *26793:B1 1.92789e-05 -28 *26793:A2 *26793:C1 2.79421e-05 -29 *26793:A2 *2294:27 2.88018e-06 -30 *26793:A2 *2315:29 5.65899e-05 -31 *26793:A2 *2706:14 1.66763e-05 -32 *2149:10 *26207:A 2.07878e-05 -33 *2149:10 *27980:A0 4.54158e-05 -34 *2149:10 *28880:A 2.51343e-06 -35 *2149:10 *30984:A 7.60306e-05 -36 *2149:10 *5542:44 9.58557e-05 -37 *2149:10 *5573:8 2.04825e-05 -38 *2149:10 *5573:21 0.000300256 -39 *2149:19 *26548:B 0.000214006 -40 *2149:19 *2489:7 7.37485e-05 -41 *2149:19 *5565:45 0.000291805 -42 *2149:30 *26255:A_N 0.000455331 -43 *2149:30 *2157:106 0.000885789 -44 *2149:30 *2183:33 0.000155244 -45 *2149:30 *5673:76 0.000318323 -46 *2149:30 *5673:82 0.000265016 -47 *2149:41 *26239:A2 0.000183833 -48 *2149:41 *2152:212 9.41642e-05 -49 *2149:41 *2178:5 2.59355e-05 -50 *2149:41 *2178:24 3.53079e-05 -51 *2149:41 *2187:173 0.000304394 -52 *2149:41 *5673:102 3.03304e-05 -53 *2149:59 *26239:A2 3.17148e-05 -54 *2149:59 *26251:A 5.33005e-05 -55 *2149:59 *26715:C1 1.58163e-05 -56 *2149:59 *2180:30 0.000121573 -57 *2149:59 *2186:9 0 -58 *2149:59 *2196:47 0.000342488 -59 *2149:59 *3564:11 0.00187669 -60 *2149:68 *26548:B 0.000226078 -61 *2149:68 *2178:36 6.07626e-05 -62 *2149:68 *2190:29 1.18064e-05 -63 *2149:68 *2294:27 0.000334903 -64 *2149:68 *2545:8 0.000144551 -65 *2149:87 *26428:A3 0.000144079 -66 *2149:87 *26603:B 0 -67 *2149:87 *2155:106 5.41794e-05 -68 *2149:87 *2155:117 0.00109613 -69 *2149:87 *2294:27 0.000125165 -70 *2149:87 *2376:15 0.000247778 -71 *2149:87 *2545:8 0.00294357 -72 *2149:87 *2661:26 0.000401917 -73 *2149:87 *2672:19 4.87854e-05 -74 *2149:94 *2351:11 0.000549569 -75 *2149:110 *26601:A1 5.33005e-05 -76 *2149:110 *26601:A2 6.10062e-05 -77 *2149:110 *30993:A 6.05161e-06 -78 *2149:110 *2221:8 0.000263412 -79 *2149:110 *2359:13 0.000136676 -80 *2149:110 *4189:41 0.000312736 -81 *2149:110 *4189:60 0.000277913 -82 *2149:110 *5664:10 8.55871e-05 -83 *26207:B *2149:10 1.76164e-05 -84 *26208:A1 *2149:94 5.33005e-05 -85 *26208:A2 *2149:94 5.03772e-05 -86 *26208:A2 *2149:110 0.000119125 -87 *26208:B1 *2149:110 0.000185643 -88 *26221:A1 *2149:41 9.60875e-05 -89 *26253:B *2149:10 0.00018232 -90 *26408:A *26408:B 0.000135028 -91 *26793:B2 *26793:A2 3.81556e-05 -92 *28257:D *2149:10 0.000287849 -93 *28821:D *2149:10 0.000177941 -94 *28961:A *2149:110 5.33005e-05 -95 *373:12 *2149:59 0 -96 *1393:124 *2149:10 5.56962e-05 -97 *1935:72 *2149:110 0.000490226 -98 *2043:102 *26414:A1 0.000180777 -99 *2060:40 *2149:110 9.85835e-05 -100 *2060:42 *2149:110 0.000170661 -101 *2132:37 *2149:110 0.000804033 -102 *2133:160 *2149:94 9.53879e-05 -103 *2133:168 *2149:94 1.98839e-05 -104 *2133:168 *2149:110 2.14658e-05 -105 *2133:212 *2149:30 0.000885789 -106 *2133:217 *2149:41 0.00014297 -107 *2133:217 *2149:59 6.8646e-06 -108 *2142:96 *2149:30 0.000303368 -109 *2142:153 *2149:87 9.41642e-05 -*RES -1 *26207:X *2149:10 33.0679 -2 *2149:10 *26408:B 12.7286 -3 *2149:10 *2149:19 18.1964 -4 *2149:19 *2149:30 43.4107 -5 *2149:30 *26236:A 9.3 -6 *2149:30 *2149:41 14.8929 -7 *2149:41 *26239:A1 9.83571 -8 *2149:41 *2149:59 42.3393 -9 *2149:59 *26247:A 9.3 -10 *2149:19 *2149:68 26.6607 -11 *2149:68 *26793:A2 26.1214 -12 *2149:68 *2149:87 48.1429 -13 *2149:87 *2149:94 14.1071 -14 *2149:94 *2149:110 49.3929 -15 *2149:110 *26282:B 19.6393 -16 *2149:94 *26208:B2 9.72857 -17 *2149:87 *26414:A1 19.6393 -*END - -*D_NET *2150 0.00926398 -*CONN -*I *26223:D_N I *D sky130_fd_sc_hd__or4b_1 -*I *26208:X O *D sky130_fd_sc_hd__o22a_1 -*CAP -1 *26223:D_N 0 -2 *26208:X 7.26505e-05 -3 *2150:10 0.00102403 -4 *2150:7 0.00109668 -5 *2150:10 *4189:41 0.00350932 -6 *2150:10 *5664:131 1.9643e-05 -7 *26352:B *2150:10 0.000271958 -8 *2060:42 *2150:10 0.000873259 -9 *2133:168 *2150:7 9.60939e-05 -10 *2136:89 *2150:10 0.00230036 -*RES -1 *26208:X *2150:7 14.7464 -2 *2150:7 *2150:10 49.5536 -3 *2150:10 *26223:D_N 9.3 -*END - -*D_NET *2151 0.0460636 -*CONN -*I *26302:A I *D sky130_fd_sc_hd__or2_2 -*I *26336:C I *D sky130_fd_sc_hd__or3_1 -*I *26642:C I *D sky130_fd_sc_hd__nor3_1 -*I *26236:B I *D sky130_fd_sc_hd__or2_1 -*I *26210:B I *D sky130_fd_sc_hd__or2_4 -*I *26209:X O *D sky130_fd_sc_hd__or3b_4 -*CAP -1 *26302:A 0.000554821 -2 *26336:C 4.02701e-05 -3 *26642:C 0 -4 *26236:B 0.00018366 -5 *26210:B 0.000830499 -6 *26209:X 0.00155859 -7 *2151:58 0.00101416 -8 *2151:56 0.00134062 -9 *2151:55 0.00134062 -10 *2151:53 0.00205688 -11 *2151:31 0.0023675 -12 *2151:22 0.00148512 -13 *2151:15 0.00209876 -14 *2151:8 0.00101079 -15 *2151:7 0.00215967 -16 *26210:B *26210:A 9.83388e-05 -17 *26210:B *26265:B2 0.000127439 -18 *26210:B *2187:173 0.000266473 -19 *26210:B *3510:137 0.000176226 -20 *26210:B *5564:35 0.000365674 -21 *26210:B *5722:244 0.000295286 -22 *26210:B *6169:92 3.28812e-05 -23 *26236:B *2187:173 0.000240986 -24 *26302:A *26321:B1 0.000156332 -25 *26302:A *2154:14 0.000167822 -26 *26302:A *2220:40 4.00918e-05 -27 *26302:A *6158:22 0.000320386 -28 *26336:C *2220:40 5.33005e-05 -29 *2151:8 *2220:40 3.34366e-05 -30 *2151:8 *6158:22 4.75715e-05 -31 *2151:15 *26525:B1 5.01876e-06 -32 *2151:15 *26528:B1 0 -33 *2151:15 *2220:40 0.000379603 -34 *2151:15 *2220:45 0.000366675 -35 *2151:15 *2244:14 0.00010522 -36 *2151:15 *6158:24 0 -37 *2151:22 *26535:A1 0.000242771 -38 *2151:22 *2204:38 0.00035669 -39 *2151:22 *2220:54 0.00141582 -40 *2151:22 *2226:38 0.00177061 -41 *2151:22 *2234:48 5.41797e-06 -42 *2151:22 *5664:53 0.00102988 -43 *2151:31 *26652:A1 7.54868e-05 -44 *2151:31 *2162:32 8.61086e-05 -45 *2151:31 *2226:38 2.29102e-05 -46 *2151:31 *2638:11 6.96796e-05 -47 *2151:31 *5664:40 9.31595e-05 -48 *2151:31 *6169:62 1.15916e-05 -49 *2151:53 *26544:C1 2.00659e-05 -50 *2151:53 *26731:A 8.48807e-05 -51 *2151:53 *28967:A 0.000119738 -52 *2151:53 *30992:A 1.30275e-05 -53 *2151:53 *2152:88 0.000242127 -54 *2151:53 *2159:92 0.00231646 -55 *2151:53 *2177:41 4.67657e-05 -56 *2151:53 *2183:29 5.33005e-05 -57 *2151:53 *2190:104 0.000667328 -58 *2151:53 *2582:28 0.00135278 -59 *2151:53 *2635:11 2.63443e-05 -60 *2151:53 *2638:11 0.000219289 -61 *2151:53 *3510:90 4.37712e-06 -62 *2151:53 *6169:82 0.000322258 -63 *2151:56 *26248:A 7.76056e-05 -64 *2151:56 *26260:B1 0.000131216 -65 *2151:56 *26708:C1 0.000181336 -66 *2151:56 *2152:33 0.000413345 -67 *2151:56 *2152:37 0.000329679 -68 *2151:56 *2183:29 0.000140354 -69 *2151:56 *2190:6 2.05938e-05 -70 *2151:56 *2203:19 0.000763742 -71 *2151:56 *2471:14 4.53427e-05 -72 *2151:56 *2489:10 0.00175856 -73 *2151:56 *3510:90 0.000270135 -74 *2151:56 *5673:40 0.000514966 -75 *2151:56 *5673:51 0.000762631 -76 spimemio_flash_io0_di *2151:15 0.000140263 -77 spimemio_flash_io1_di *26302:A 0.000160427 -78 *26206:B *2151:56 0.000100823 -79 *26260:A1 *2151:56 6.95205e-05 -80 *26312:A *2151:15 0 -81 *26312:B *2151:15 0.00012401 -82 *26336:A *26336:C 9.41642e-05 -83 *26336:A *2151:15 0.000126439 -84 *26526:A1 *2151:15 0.000223599 -85 *26642:A *2151:31 0.000140088 -86 *26642:A *2151:53 1.38323e-05 -87 *26642:B *2151:53 0.000178425 -88 *1874:36 *2151:56 0 -89 *1935:25 *2151:22 1.68164e-05 -90 *1944:43 *2151:22 0.000353612 -91 *1944:52 *2151:31 3.61682e-05 -92 *1956:65 *2151:22 0.00114586 -93 *1965:53 *2151:22 0.000331977 -94 *2038:34 *2151:15 1.17396e-05 -95 *2046:154 *2151:53 1.93239e-05 -96 *2047:43 *2151:53 0.000465724 -97 *2047:103 *26302:A 0.000109494 -98 *2047:103 *2151:8 6.39901e-05 -99 *2047:103 *2151:15 0.000181851 -100 *2127:27 *2151:53 0.000734948 -101 *2133:51 *2151:15 5.83233e-05 -102 *2133:56 *2151:15 0.000165123 -103 *2133:99 *2151:15 0.000153054 -104 *2133:106 *2151:15 0.00118127 -105 *2142:26 *2151:53 0 -106 *2142:69 *2151:56 6.65506e-05 -107 *2144:22 *26302:A 0 -108 *2144:95 *2151:7 0.00203751 -109 *2145:8 *26210:B 4.58194e-05 -110 *2145:29 *2151:56 0 -111 *2147:61 *2151:22 0.000113778 -*RES -1 *26209:X *2151:7 34.05 -2 *2151:7 *2151:8 1.64286 -3 *2151:8 *2151:15 37.125 -4 *2151:15 *2151:22 47.9244 -5 *2151:22 *2151:31 19.7857 -6 *2151:31 *2151:53 46.4181 -7 *2151:53 *2151:55 4.5 -8 *2151:55 *2151:56 46.2679 -9 *2151:56 *2151:58 4.5 -10 *2151:58 *26210:B 33.4964 -11 *2151:58 *26236:B 11.9071 -12 *2151:31 *26642:C 9.3 -13 *2151:8 *26336:C 14.7464 -14 *2151:7 *26302:A 28.8 -*END - -*D_NET *2152 0.0798852 -*CONN -*I *26440:B2 I *D sky130_fd_sc_hd__o22a_1 -*I *26211:B I *D sky130_fd_sc_hd__nor2_1 -*I *26239:B2 I *D sky130_fd_sc_hd__o22a_1 -*I *26532:B2 I *D sky130_fd_sc_hd__o22ai_1 -*I *26301:B I *D sky130_fd_sc_hd__or2_1 -*I *26465:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *26472:A2 I *D sky130_fd_sc_hd__o21bai_1 -*I *26333:A2 I *D sky130_fd_sc_hd__o211a_1 -*I *26334:A2 I *D sky130_fd_sc_hd__o221a_1 -*I *26525:A2 I *D sky130_fd_sc_hd__a41o_1 -*I *26650:A1 I *D sky130_fd_sc_hd__a31o_1 -*I *26708:B2 I *D sky130_fd_sc_hd__o221ai_2 -*I *26776:A1 I *D sky130_fd_sc_hd__o22a_1 -*I *26264:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *26210:X O *D sky130_fd_sc_hd__or2_4 -*CAP -1 *26440:B2 0.00122387 -2 *26211:B 9.19572e-05 -3 *26239:B2 1.91209e-05 -4 *26532:B2 0 -5 *26301:B 3.0575e-05 -6 *26465:A2 0.000103662 -7 *26472:A2 0.000238712 -8 *26333:A2 0.000131322 -9 *26334:A2 0.0003154 -10 *26525:A2 0.000360621 -11 *26650:A1 0.000108385 -12 *26708:B2 0.000356246 -13 *26776:A1 0.000229918 -14 *26264:A1 0.000211518 -15 *26210:X 0.000803889 -16 *2152:212 0.00196991 -17 *2152:202 0.000806944 -18 *2152:173 0.00054948 -19 *2152:160 0.000406812 -20 *2152:157 0.00373638 -21 *2152:125 0.00639457 -22 *2152:118 0.00276461 -23 *2152:102 0.00137242 -24 *2152:92 0.00185415 -25 *2152:88 0.00210328 -26 *2152:67 0.0012723 -27 *2152:48 0.000645396 -28 *2152:37 0.000612739 -29 *2152:33 0.000685932 -30 *2152:16 0.000708618 -31 *2152:13 0.00106831 -32 *26211:B *2153:10 2.37761e-05 -33 *26264:A1 *26264:A2 0.00046238 -34 *26264:A1 *26265:B2 2.13218e-05 -35 *26264:A1 *5722:187 0.000178847 -36 *26264:A1 *5722:189 0.000518356 -37 *26264:A1 *5722:202 3.77902e-06 -38 *26301:B *5007:48 1.07561e-05 -39 *26333:A2 *26333:C1 9.20547e-05 -40 *26333:A2 *6203:23 2.50004e-05 -41 *26333:A2 *6203:31 0.000273269 -42 *26334:A2 *26335:B1 6.45815e-05 -43 *26334:A2 *26455:A2 0.000250183 -44 *26334:A2 *2227:19 0.00035153 -45 *26334:A2 *2228:46 0.000119306 -46 *26334:A2 *2269:23 2.72602e-05 -47 *26334:A2 *5722:16 2.36643e-05 -48 *26334:A2 *6203:11 9.38476e-05 -49 *26440:B2 *26440:B1 0.000735624 -50 *26440:B2 *26441:D_N 0.000125547 -51 *26440:B2 *26531:B 0 -52 *26440:B2 *26589:C_N 0.00150467 -53 *26440:B2 *2153:10 0.000115342 -54 *26525:A2 *26525:A3 9.58126e-05 -55 *26525:A2 *26543:B1_N 9.58126e-05 -56 *26650:A1 *26650:A2 0.000257619 -57 *26650:A1 *26650:B1 5.33005e-05 -58 *26650:A1 *2587:73 4.85033e-05 -59 *26708:B2 *2647:8 5.33005e-05 -60 *26776:A1 *26540:B 0.000721891 -61 *26776:A1 *26776:B1 0.000177821 -62 *26776:A1 *26776:B2 0.000150983 -63 *26776:A1 *2157:105 5.03772e-05 -64 *26776:A1 *5673:51 0.000135028 -65 *2152:13 *26265:A2 2.42516e-05 -66 *2152:13 *2204:85 0.00021623 -67 *2152:13 *3510:137 3.06878e-06 -68 *2152:13 *5566:41 0.000168334 -69 *2152:13 *5722:244 0.000325402 -70 *2152:13 *6169:92 0 -71 *2152:16 *28878:A 0.000307728 -72 *2152:16 *2203:19 0.000309443 -73 *2152:33 *28878:A 0.00027095 -74 *2152:33 *2203:19 0.000545872 -75 *2152:37 *26532:B1 5.52302e-05 -76 *2152:37 *2471:14 0.000772037 -77 *2152:37 *2473:7 6.05161e-06 -78 *2152:37 *2489:10 0.000148189 -79 *2152:48 *2471:14 0.000212919 -80 *2152:48 *2489:10 0.000219788 -81 *2152:67 *2471:14 0.000697869 -82 *2152:67 *2489:10 0.000706298 -83 *2152:88 *26654:B 0.000682422 -84 *2152:88 *26701:B1 8.43535e-06 -85 *2152:88 *26703:B 0.000409343 -86 *2152:88 *2190:80 0.000175892 -87 *2152:88 *2190:104 0.000414454 -88 *2152:88 *2208:8 0.00107851 -89 *2152:88 *2250:31 9.41642e-05 -90 *2152:88 *2471:14 0.000229512 -91 *2152:88 *2489:10 0.000241198 -92 *2152:88 *2587:31 5.49489e-05 -93 *2152:88 *2594:8 0.000177948 -94 *2152:88 *6169:82 0.000109214 -95 *2152:92 *26654:B 0.000220047 -96 *2152:92 *2159:92 0.00014833 -97 *2152:92 *2176:44 0.000718813 -98 *2152:92 *2190:104 9.75027e-06 -99 *2152:92 *2190:122 1.9814e-05 -100 *2152:92 *2484:28 2.09897e-05 -101 *2152:92 *2584:12 0.000907326 -102 *2152:92 *2587:11 0.00328811 -103 *2152:92 *5722:76 0.000149257 -104 *2152:102 *26185:A 6.28203e-05 -105 *2152:102 *2161:35 0.000839725 -106 *2152:102 *2230:20 9.99931e-06 -107 *2152:102 *2346:38 0.000358787 -108 *2152:102 *2484:20 0.000388872 -109 *2152:102 *2587:11 5.41797e-06 -110 *2152:118 *4519:61 0.000502899 -111 *2152:118 *4552:105 0.000106386 -112 *2152:125 *4353:34 0.00111186 -113 *2152:125 *4475:21 0.000273684 -114 *2152:125 *4530:23 0.000815454 -115 *2152:125 *5782:20 0.000501475 -116 *2152:157 *25509:D_N 0 -117 *2152:157 *25962:C 0.000335871 -118 *2152:157 *25969:C1 0.000261895 -119 *2152:157 *26334:C1 0.000793631 -120 *2152:157 *2258:41 0.000766222 -121 *2152:157 *2272:15 2.14757e-05 -122 *2152:157 *4297:15 0.000962787 -123 *2152:157 *4508:42 0.00115932 -124 *2152:157 *4541:8 9.23689e-05 -125 *2152:157 *4541:10 1.02504e-05 -126 *2152:157 *5782:11 3.81062e-05 -127 *2152:157 *5782:20 8.02222e-05 -128 *2152:157 *6291:13 3.58773e-05 -129 *2152:160 *5007:48 2.95466e-05 -130 *2152:173 *6203:31 0.000127031 -131 *2152:202 *2181:5 0.000137983 -132 *2152:202 *5673:102 1.58163e-05 -133 *2152:212 *26239:A2 1.98839e-05 -134 *2152:212 *2159:11 0.00116889 -135 *2152:212 *2181:5 1.24368e-05 -136 *2152:212 *2181:25 0.000542024 -137 *2152:212 *5673:102 6.48868e-05 -138 *2152:212 *5673:115 4.43256e-05 -139 *26211:A *26211:B 8.91726e-05 -140 *26239:A1 *2152:212 5.33005e-05 -141 *26305:A1 *26708:B2 0.000113906 -142 *26333:A1 *26333:A2 1.04232e-05 -143 *26440:A2 *26440:B2 2.14658e-05 -144 *26453:A1 *2152:92 0.000224592 -145 *26465:B1 *26465:A2 6.26276e-05 -146 *26465:B1 *26472:A2 0.000738998 -147 *26465:B1 *2152:173 2.59355e-05 -148 *26525:A1 *26525:A2 0.000383048 -149 *26528:A1 *2152:118 0.000226021 -150 *26559:B *2152:157 7.80714e-06 -151 *26678:A *26301:B 0 -152 *26678:A *26472:A2 1.78353e-05 -153 *26678:A *2152:160 0 -154 *256:22 *2152:157 6.8194e-05 -155 *1393:132 *2152:13 1.81805e-05 -156 *1862:28 *26440:B2 0.000213954 -157 *1862:34 *26211:B 0.000245349 -158 *1862:34 *26440:B2 0.000354554 -159 *1908:8 *2152:157 6.05161e-06 -160 *1909:18 *2152:102 6.89723e-05 -161 *1909:18 *2152:118 0.000864181 -162 *1921:10 *2152:157 2.63433e-05 -163 *1931:10 *2152:125 0.000138934 -164 *1942:54 *2152:125 0.000942952 -165 *1942:70 *2152:125 0.000506032 -166 *1942:95 *2152:125 8.54483e-05 -167 *1956:15 *2152:157 0.000415599 -168 *1966:17 *2152:102 0.000128161 -169 *2032:127 *26334:A2 5.20751e-05 -170 *2032:203 *26708:B2 2.76499e-05 -171 *2032:220 *26708:B2 2.56723e-05 -172 *2043:143 *2152:118 9.41642e-05 -173 *2046:51 *2152:157 0.000819619 -174 *2047:23 *2152:92 0.000478006 -175 *2047:43 *2152:88 0.000933796 -176 *2056:53 *26472:A2 0.000786363 -177 *2142:10 *2152:118 4.34472e-05 -178 *2142:10 *2152:125 0.00265266 -179 *2142:12 *2152:118 3.58434e-05 -180 *2142:19 *2152:102 0.000848862 -181 *2142:19 *2152:118 6.37408e-06 -182 *2145:29 *2152:13 0.000198748 -183 *2145:29 *2152:33 0.000322914 -184 *2145:29 *2152:202 9.60875e-05 -185 *2145:91 *2152:92 0.000270847 -186 *2145:91 *2152:102 0.000908069 -187 *2145:97 *26525:A2 9.60939e-05 -188 *2145:97 *2152:102 0.000165558 -189 *2149:41 *2152:212 9.41642e-05 -190 *2151:53 *2152:88 0.000242127 -191 *2151:56 *2152:33 0.000413345 -192 *2151:56 *2152:37 0.000329679 -*RES -1 *26210:X *2152:13 30.7643 -2 *2152:13 *2152:16 8.57143 -3 *2152:16 *26264:A1 21.2286 -4 *2152:16 *2152:33 18.0357 -5 *2152:33 *2152:37 15.6964 -6 *2152:37 *26776:A1 21.3357 -7 *2152:37 *2152:48 2.85714 -8 *2152:48 *26708:B2 19.5679 -9 *2152:48 *2152:67 9.23214 -10 *2152:67 *26650:A1 16.3893 -11 *2152:67 *2152:88 43.6339 -12 *2152:88 *2152:92 43.7679 -13 *2152:92 *2152:102 26.1875 -14 *2152:102 *26525:A2 20.5143 -15 *2152:102 *2152:118 21 -16 *2152:118 *2152:125 45.3872 -17 *2152:125 *26334:A2 25.7687 -18 *2152:125 *2152:157 37.8587 -19 *2152:157 *2152:160 5.6875 -20 *2152:160 *26333:A2 13.5991 -21 *2152:160 *2152:173 3.82143 -22 *2152:173 *26472:A2 28.4429 -23 *2152:173 *26465:A2 11.0857 -24 *2152:157 *26301:B 14.3804 -25 *2152:33 *26532:B2 9.3 -26 *2152:13 *2152:202 2.58929 -27 *2152:202 *26239:B2 9.72857 -28 *2152:202 *2152:212 19.8214 -29 *2152:212 *26211:B 16.9607 -30 *2152:212 *26440:B2 44.3179 -*END - -*D_NET *2153 0.00341101 -*CONN -*I *26589:A I *D sky130_fd_sc_hd__or3b_1 -*I *26222:A I *D sky130_fd_sc_hd__or4_1 -*I *26211:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26589:A 0.000328316 -2 *26222:A 0.000179888 -3 *26211:Y 0.00046497 -4 *2153:10 0.000973174 -5 *26222:A *26222:C 0.000393943 -6 *26589:A *26222:C 0.000172309 -7 *26589:A *26606:A 0.000175519 -8 *26589:A *26713:B2 0 -9 *26589:A *2160:11 0.000353086 -10 *26589:A *2529:8 5.59013e-05 -11 *2153:10 *26247:B 0 -12 *2153:10 *26251:C 7.3237e-05 -13 *2153:10 *2180:30 0 -14 *26211:A *2153:10 0.000101545 -15 *26211:B *2153:10 2.37761e-05 -16 *26440:B2 *2153:10 0.000115342 -*RES -1 *26211:Y *2153:10 26.4607 -2 *2153:10 *26222:A 13.1214 -3 *2153:10 *26589:A 25.6036 -*END - -*D_NET *2154 0.0527838 -*CONN -*I *26478:A3 I *D sky130_fd_sc_hd__o31a_1 -*I *26299:A I *D sky130_fd_sc_hd__nor2_1 -*I *26681:A3 I *D sky130_fd_sc_hd__o311a_1 -*I *26300:B2 I *D sky130_fd_sc_hd__o22a_1 -*I *26213:B I *D sky130_fd_sc_hd__or2_4 -*I *26528:A2 I *D sky130_fd_sc_hd__o221a_1 -*I *26526:A2 I *D sky130_fd_sc_hd__o221a_1 -*I *26538:A1 I *D sky130_fd_sc_hd__o2111a_1 -*I *26414:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *26252:A I *D sky130_fd_sc_hd__nor2_2 -*I *26212:X O *D sky130_fd_sc_hd__or4bb_4 -*CAP -1 *26478:A3 0 -2 *26299:A 0 -3 *26681:A3 2.37855e-05 -4 *26300:B2 0.00033778 -5 *26213:B 0 -6 *26528:A2 0 -7 *26526:A2 6.02681e-05 -8 *26538:A1 0.000214625 -9 *26414:A2 7.81143e-05 -10 *26252:A 0 -11 *26212:X 0 -12 *2154:143 0.00140197 -13 *2154:122 0.00290019 -14 *2154:111 0.00235433 -15 *2154:61 0.00228487 -16 *2154:51 0.00275229 -17 *2154:45 0.00160105 -18 *2154:32 0.00186654 -19 *2154:16 0.000901118 -20 *2154:14 0.000796402 -21 *2154:11 0.000861746 -22 *2154:7 0.00174297 -23 *2154:4 0.00197528 -24 *26300:B2 *2240:109 0.0010898 -25 *26300:B2 *2242:8 0.000573223 -26 *26414:A2 *26435:D1 5.03952e-05 -27 *26414:A2 *26725:C 6.94775e-05 -28 *26526:A2 *26526:B1 3.99614e-06 -29 *26538:A1 *26538:B1 0.000167564 -30 *26538:A1 *26538:C1 3.98517e-05 -31 *2154:7 *26212:C_N 0.000140488 -32 *2154:7 *26212:D_N 1.21258e-05 -33 *2154:7 *26302:B 6.09773e-05 -34 *2154:7 *2244:7 0.000104511 -35 *2154:7 *4530:23 0.000136951 -36 *2154:7 *4530:37 0.000122591 -37 *2154:11 *2240:109 7.29667e-05 -38 *2154:11 *2240:111 0.000507699 -39 *2154:11 *2242:8 0.00031367 -40 *2154:14 *2224:20 0.000927905 -41 *2154:16 *2224:20 0.00098571 -42 *2154:32 *26526:C1 2.22891e-05 -43 *2154:32 *2224:20 0.000104974 -44 *2154:32 *2234:48 0.000259218 -45 *2154:32 *2240:127 4.33002e-05 -46 *2154:32 *2244:14 3.69865e-05 -47 *2154:45 *26538:B1 0.000177821 -48 *2154:45 *3461:19 0.000165123 -49 *2154:45 *6114:10 0.000561976 -50 *2154:45 *6125:8 0.00056363 -51 *2154:45 *6136:8 0.00120749 -52 *2154:45 *6147:14 0.000114602 -53 *2154:51 *2479:8 0.00191475 -54 *2154:51 *5541:8 0.00191561 -55 *2154:51 *6147:14 0.000358471 -56 *2154:61 *26241:B1 0.00034295 -57 *2154:61 *26270:B 9.41642e-05 -58 *2154:61 *26602:B1 5.33005e-05 -59 *2154:61 *26602:C1 0.000136951 -60 *2154:61 *2179:42 0.000432038 -61 *2154:61 *2187:131 0.000344225 -62 *2154:61 *4508:158 0.000262498 -63 *2154:111 *26479:A2 8.43535e-06 -64 *2154:111 *26479:B1 0.000216755 -65 *2154:111 *2187:22 0.000211589 -66 *2154:111 *2217:38 0.000180195 -67 *2154:111 *2401:23 9.86486e-06 -68 *2154:111 *6291:54 0.000165798 -69 *2154:122 *26481:C 4.36989e-05 -70 *2154:122 *26481:D 0.000632314 -71 *2154:122 *26562:A3 2.04825e-05 -72 *2154:122 *2187:22 0.000168661 -73 *2154:122 *2500:28 0.000174942 -74 *2154:143 *26314:B 1.02504e-05 -75 *2154:143 *26569:B1 0.000109271 -76 *2154:143 *26681:B1 0.000171011 -77 *2154:143 *26789:B_N 2.06178e-05 -78 *2154:143 *2240:42 0.000111636 -79 *2154:143 *2497:14 0.000101545 -80 *2154:143 *2507:10 0.000168912 -81 *2154:143 *2507:21 0.00022906 -82 *2154:143 *2514:10 0.000310286 -83 *2154:143 *2616:8 8.76501e-05 -84 *2154:143 *4353:187 6.73313e-05 -85 *2154:143 *4475:34 0.000126847 -86 *2154:143 *6180:11 0.000118282 -87 wb_dat_o[31] *2154:32 0 -88 *26092:A *2154:143 0.000393091 -89 *26098:A *2154:143 0.000227532 -90 *26126:A *2154:143 0 -91 *26127:C *2154:143 0.000125129 -92 *26241:A2 *2154:61 9.90367e-05 -93 *26302:A *2154:14 0.000167822 -94 *26478:B1 *2154:122 0.000149963 -95 *26479:B2 *2154:111 0.000219366 -96 *26526:B2 *26526:A2 2.59355e-05 -97 *26602:A1 *2154:61 5.33433e-05 -98 *1186:55 *2154:61 0.000260574 -99 *1902:36 *2154:143 0.000326181 -100 *1930:17 *2154:143 0.000161858 -101 *1935:92 *26414:A2 4.34543e-05 -102 *1942:35 *2154:143 0.000119249 -103 *1942:106 *2154:7 0.000472299 -104 *1946:15 *2154:111 0.000177377 -105 *1984:29 *2154:122 2.14658e-05 -106 *1984:29 *2154:143 6.71755e-05 -107 *2032:76 *2154:122 0.000197139 -108 *2032:255 *2154:122 9.60875e-05 -109 *2033:12 *2154:143 0.000209721 -110 *2038:87 *2154:111 0.000167174 -111 *2038:87 *2154:122 9.54798e-06 -112 *2038:94 *2154:122 7.9693e-05 -113 *2038:104 *2154:122 0.000216755 -114 *2038:179 *2154:122 0.000467842 -115 *2040:17 *2154:143 0.000139907 -116 *2043:22 *2154:32 0.000376357 -117 *2043:33 *2154:45 6.05161e-06 -118 *2047:66 *2154:45 0.000801476 -119 *2047:91 *2154:14 0.000581731 -120 *2047:91 *2154:16 0.000933226 -121 *2047:103 *2154:14 0.0009329 -122 *2048:36 *2154:111 6.0935e-05 -123 *2051:8 *2154:143 0 -124 *2054:38 *2154:143 2.09826e-05 -125 *2111:14 *2154:14 0.000244476 -126 *2111:26 *26300:B2 1.31516e-05 -127 *2127:45 *2154:61 0.00158786 -128 *2131:139 *2154:111 4.06087e-07 -129 *2133:51 *2154:16 4.61895e-05 -130 *2133:51 *2154:32 0.000722892 -131 *2144:22 *2154:14 0.000249579 -132 *2144:26 *2154:14 0.000343861 -133 *2144:41 *2154:32 0.000371978 -134 *2146:38 *2154:61 0.000506469 -135 *2147:65 *2154:61 0.00017754 -*RES -1 *26212:X *2154:4 9.3 -2 *2154:4 *2154:7 23.1071 -3 *2154:7 *2154:11 13.6786 -4 *2154:11 *2154:14 29.5179 -5 *2154:14 *2154:16 12.5714 -6 *2154:16 *2154:32 35.7095 -7 *2154:32 *2154:45 47.7679 -8 *2154:45 *2154:51 33.9464 -9 *2154:51 *26252:A 9.3 -10 *2154:51 *2154:61 48.1786 -11 *2154:61 *26414:A2 15.7464 -12 *2154:32 *26538:A1 12.7286 -13 *2154:16 *26526:A2 14.7643 -14 *2154:14 *26528:A2 13.8 -15 *2154:11 *26213:B 9.3 -16 *2154:7 *26300:B2 27.8893 -17 *2154:4 *2154:111 27.8929 -18 *2154:111 *2154:122 35.3929 -19 *2154:122 *26681:A3 9.72857 -20 *2154:122 *2154:143 48.5714 -21 *2154:143 *26299:A 13.8 -22 *2154:111 *26478:A3 13.8 -*END - -*D_NET *2155 0.0579547 -*CONN -*I *26319:B1 I *D sky130_fd_sc_hd__o221a_1 -*I *26320:A2 I *D sky130_fd_sc_hd__o22a_1 -*I *26680:A2 I *D sky130_fd_sc_hd__o211a_1 -*I *26318:B I *D sky130_fd_sc_hd__or2_1 -*I *26435:A2 I *D sky130_fd_sc_hd__o2111a_1 -*I *26590:A2 I *D sky130_fd_sc_hd__o211a_1 -*I *26214:B I *D sky130_fd_sc_hd__nor2_1 -*I *26240:B I *D sky130_fd_sc_hd__nor2_1 -*I *26297:A I *D sky130_fd_sc_hd__nor2_1 -*I *26706:A1 I *D sky130_fd_sc_hd__o21bai_1 -*I *26213:X O *D sky130_fd_sc_hd__or2_4 -*CAP -1 *26319:B1 3.65602e-05 -2 *26320:A2 0 -3 *26680:A2 0.00201717 -4 *26318:B 0 -5 *26435:A2 0.000297417 -6 *26590:A2 1.41579e-05 -7 *26214:B 0 -8 *26240:B 0 -9 *26297:A 0 -10 *26706:A1 0.000901318 -11 *26213:X 0.00014152 -12 *2155:172 7.02863e-05 -13 *2155:146 0.00204626 -14 *2155:124 0.000368941 -15 *2155:117 0.00146362 -16 *2155:106 0.00323938 -17 *2155:91 0.00183767 -18 *2155:61 0.00126513 -19 *2155:51 0.000761623 -20 *2155:42 0.00186086 -21 *2155:25 0.00218888 -22 *2155:18 0.00136255 -23 *2155:13 0.00103023 -24 *2155:8 0.000420387 -25 *26319:B1 *2204:30 0.00015244 -26 *26319:B1 *2217:38 0.000142351 -27 *26435:A2 *26435:B1 1.58163e-05 -28 *26435:A2 *26590:B1 0.00035761 -29 *26435:A2 *2315:18 0.000101545 -30 *26435:A2 *2345:8 3.34672e-05 -31 *26435:A2 *2351:11 0.000148407 -32 *26590:A2 *26590:B1 3.57366e-05 -33 *26680:A2 *26319:C1 4.01955e-05 -34 *26680:A2 *26581:B 0 -35 *26680:A2 *26680:B1 2.23559e-05 -36 *26680:A2 *26681:B1 0.000180195 -37 *26680:A2 *4353:48 2.18078e-05 -38 *26680:A2 *4475:34 0.000101921 -39 *26680:A2 *4508:107 0.000161083 -40 *26680:A2 *6169:44 1.7922e-05 -41 *26706:A1 *26297:B 4.55338e-05 -42 *26706:A1 *26707:B 9.20457e-05 -43 *26706:A1 *29552:A 0.000163072 -44 *26706:A1 *30989:A 0.000389847 -45 *26706:A1 *30991:A 2.26973e-05 -46 *26706:A1 *30994:A 0.000844381 -47 *26706:A1 *2179:42 0.000152348 -48 *26706:A1 *2239:12 4.58194e-05 -49 *26706:A1 *2244:31 0.00026777 -50 *26706:A1 *5542:44 0.000136501 -51 *26706:A1 *6147:14 0 -52 *26706:A1 *6158:24 0.000874228 -53 *2155:8 *26213:A 4.11572e-05 -54 *2155:8 *26534:B1 0.000121897 -55 *2155:8 *2204:30 0.000120368 -56 *2155:13 *26319:C1 8.25843e-06 -57 *2155:18 *2220:54 2.61758e-05 -58 *2155:18 *2226:31 0.00217987 -59 *2155:18 *2226:36 5.01876e-06 -60 *2155:25 *2220:54 9.25014e-06 -61 *2155:25 *2226:36 0 -62 *2155:25 *2226:38 3.4323e-06 -63 *2155:42 *26699:A2 8.0859e-05 -64 *2155:42 *30994:A 0.000345458 -65 *2155:42 *2177:25 3.37419e-06 -66 *2155:42 *2204:38 0.000248883 -67 *2155:42 *2204:46 0.000219638 -68 *2155:42 *2220:69 0.00164451 -69 *2155:42 *2226:38 0.000110386 -70 *2155:42 *2242:27 0 -71 *2155:42 *2578:12 4.98055e-06 -72 *2155:42 *2592:30 0.000729084 -73 *2155:42 *5542:44 1.41029e-05 -74 *2155:51 *26639:C 0.000423676 -75 *2155:51 *30994:A 2.04745e-05 -76 *2155:51 *2592:30 0.000421968 -77 *2155:61 *26297:B 0.000538253 -78 *2155:61 *26643:C 9.60939e-05 -79 *2155:61 *2226:38 0.000169221 -80 *2155:61 *2239:12 2.05612e-05 -81 *2155:61 *2580:8 0.000126439 -82 *2155:61 *2580:23 0.000193675 -83 *2155:61 *2592:30 0.000523126 -84 *2155:106 *26600:B1 0.00029194 -85 *2155:106 *26601:B1 0.000839773 -86 *2155:106 *2177:25 0.00021545 -87 *2155:106 *2182:8 1.13883e-05 -88 *2155:106 *2545:8 1.17968e-05 -89 *2155:106 *2658:9 0.000136951 -90 *2155:106 *2706:13 0.000552531 -91 *2155:106 *6169:82 2.95586e-05 -92 *2155:117 *26410:B1 0.000453268 -93 *2155:117 *26593:A 0.000273545 -94 *2155:117 *26603:B 9.9201e-05 -95 *2155:117 *26725:D 5.33882e-05 -96 *2155:117 *26802:D 0 -97 *2155:117 *2486:21 9.60875e-05 -98 *2155:117 *2533:6 2.56694e-05 -99 *2155:117 *2536:25 0 -100 *2155:117 *2718:10 0.00012401 -101 *2155:117 *5664:243 4.58194e-05 -102 *2155:124 *2351:11 0.000152372 -103 *2155:146 *26319:C1 1.46231e-05 -104 *2155:172 *26534:B1 7.18367e-05 -105 *2155:172 *2204:30 0.000128538 -106 *2155:172 *2217:38 5.51716e-05 -107 *26108:C_N *26680:A2 0.000139337 -108 *26123:B1 *26680:A2 9.1377e-05 -109 *26240:A *2155:106 9.18347e-05 -110 *26318:A *26680:A2 7.4048e-05 -111 *26320:A1 *2155:13 5.42547e-05 -112 *26320:B2 *2155:8 9.58632e-05 -113 *26409:A2 *2155:117 8.00806e-05 -114 *26414:A1 *2155:106 0.000301064 -115 *26438:C *2155:117 0.000601223 -116 *26480:A1 *26680:A2 0.000320554 -117 *26590:A1 *2155:124 0.000136958 -118 *26680:A1 *26680:A2 5.13787e-05 -119 *1931:45 *26680:A2 0.000118603 -120 *1935:19 *2155:18 0.00241172 -121 *1935:25 *2155:25 0.00176608 -122 *1935:25 *2155:42 5.00695e-06 -123 *1935:26 *2155:42 0.000364188 -124 *1935:72 *2155:106 5.67737e-05 -125 *1942:45 *26680:A2 0.00252779 -126 *1944:43 *2155:25 0.000407939 -127 *1956:65 *2155:25 1.9419e-05 -128 *1958:8 *26680:A2 6.51263e-05 -129 *2032:89 *26680:A2 0.00121927 -130 *2032:185 *2155:106 0.00022111 -131 *2038:23 *26680:A2 1.68484e-05 -132 *2038:30 *2155:18 0.000552651 -133 *2038:87 *26680:A2 6.78222e-05 -134 *2043:58 *2155:42 0.000588704 -135 *2043:85 *2155:106 0.000586458 -136 *2043:102 *2155:106 0.000342902 -137 *2046:115 *2155:51 8.69554e-05 -138 *2127:45 *2155:106 2.24079e-05 -139 *2131:63 *2155:42 8.92267e-05 -140 *2131:64 *26706:A1 0.000206481 -141 *2131:64 *2155:42 5.16399e-06 -142 *2131:72 *26706:A1 0.000231618 -143 *2133:151 *2155:106 0.000369887 -144 *2133:151 *2155:117 3.34366e-05 -145 *2133:198 *2155:117 0 -146 *2136:82 *2155:117 3.52655e-05 -147 *2144:68 *2155:42 0.000110945 -148 *2144:68 *2155:61 0.000369818 -149 *2147:38 *2155:13 7.73628e-05 -150 *2147:38 *2155:146 1.24368e-05 -151 *2147:55 *2155:18 0.000140025 -152 *2147:61 *2155:25 0.00199074 -153 *2147:65 *2155:42 0.00162205 -154 *2149:87 *2155:106 5.41794e-05 -155 *2149:87 *2155:117 0.00109613 -*RES -1 *26213:X *2155:8 17.2107 -2 *2155:8 *2155:13 7.51786 -3 *2155:13 *2155:18 36.7321 -4 *2155:18 *2155:25 34.2679 -5 *2155:25 *2155:42 48.174 -6 *2155:42 *2155:51 17.859 -7 *2155:51 *2155:61 23.3929 -8 *2155:61 *26706:A1 47.1631 -9 *2155:61 *26297:A 9.3 -10 *2155:51 *2155:91 1.76786 -11 *2155:91 *26240:B 9.3 -12 *2155:91 *2155:106 42.1071 -13 *2155:106 *2155:117 47.2857 -14 *2155:117 *26214:B 9.3 -15 *2155:106 *2155:124 6.26786 -16 *2155:124 *26590:A2 9.72857 -17 *2155:124 *26435:A2 24.425 -18 *2155:13 *2155:146 0.535714 -19 *2155:146 *26318:B 9.3 -20 *2155:146 *26680:A2 37.1976 -21 *2155:8 *2155:172 1.64286 -22 *2155:172 *26320:A2 13.8 -23 *2155:172 *26319:B1 15.7464 -*END - -*D_NET *2156 0.0101138 -*CONN -*I *26410:B1 I *D sky130_fd_sc_hd__a21oi_1 -*I *26222:B I *D sky130_fd_sc_hd__or4_1 -*I *26214:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26410:B1 0.000729056 -2 *26222:B 0 -3 *26214:Y 0 -4 *2156:10 0.00155513 -5 *2156:4 0.00228418 -6 *26410:B1 *26592:A 0.000218734 -7 *26410:B1 *2531:6 0.000529514 -8 *26410:B1 *2680:17 9.41918e-05 -9 *26410:B1 *2718:10 0.000168939 -10 *2156:10 *26223:B 0.000421263 -11 *2156:10 *26547:C 6.5381e-05 -12 *2156:10 *26609:A 1.21955e-05 -13 *2156:10 *26793:C1 2.06725e-05 -14 *2156:10 *2187:164 0 -15 *2156:10 *2486:21 7.03393e-05 -16 *2156:10 *2718:19 5.52238e-05 -17 *2156:10 *5664:139 0.000137673 -18 *26223:A *2156:10 0 -19 *26263:A *2156:10 2.50767e-05 -20 *26409:A2 *26410:B1 3.65544e-05 -21 *1862:28 *26410:B1 0.00209547 -22 *1862:28 *2156:10 0 -23 *2133:198 *26410:B1 0 -24 *2133:198 *2156:10 7.83174e-05 -25 *2142:119 *2156:10 0.00106263 -26 *2148:8 *2156:10 0 -27 *2155:117 *26410:B1 0.000453268 -*RES -1 *26214:Y *2156:4 9.3 -2 *2156:4 *2156:10 44.8929 -3 *2156:10 *26222:B 9.3 -4 *2156:4 *26410:B1 45.4429 -*END - -*D_NET *2157 0.0662551 -*CONN -*I *26216:A I *D sky130_fd_sc_hd__inv_2 -*I *26217:B I *D sky130_fd_sc_hd__or2_4 -*I *26239:A2 I *D sky130_fd_sc_hd__o22a_1 -*I *26330:A1 I *D sky130_fd_sc_hd__o211a_1 -*I *26315:A I *D sky130_fd_sc_hd__or2_1 -*I *26331:A I *D sky130_fd_sc_hd__or2_1 -*I *26314:A I *D sky130_fd_sc_hd__or2_1 -*I *26215:X O *D sky130_fd_sc_hd__or3_4 -*CAP -1 *26216:A 0.000175314 -2 *26217:B 0.00105766 -3 *26239:A2 0.000168336 -4 *26330:A1 0.000187305 -5 *26315:A 0.000485331 -6 *26331:A 6.06631e-05 -7 *26314:A 3.39848e-05 -8 *26215:X 0 -9 *2157:141 0.0025322 -10 *2157:106 0.00178555 -11 *2157:105 0.00225365 -12 *2157:92 0.00519502 -13 *2157:84 0.00226408 -14 *2157:81 0.00204134 -15 *2157:27 9.46479e-05 -16 *2157:25 0.00215363 -17 *2157:16 0.00212404 -18 *2157:8 0.00196602 -19 *2157:7 0.00171188 -20 *2157:4 0.000935578 -21 *26216:A *26415:B1 0.000154423 -22 *26216:A *26427:A3 0.000182694 -23 *26216:A *26437:C 5.52302e-05 -24 *26216:A *2738:7 1.74352e-05 -25 *26217:B *26222:D 0.000230482 -26 *26217:B *26243:B 0 -27 *26217:B *2159:20 0.000222666 -28 *26217:B *2161:130 4.70078e-05 -29 *26217:B *2178:24 0.00180546 -30 *26217:B *2185:5 9.90367e-05 -31 *26217:B *2185:24 0.000212153 -32 *26217:B *5673:126 0.000391626 -33 *26239:A2 *2196:47 6.90817e-05 -34 *26239:A2 *5673:102 0.000136958 -35 *26314:A *26331:B 3.92757e-05 -36 *26314:A *4419:20 7.83587e-05 -37 *26315:A *26333:C1 9.41642e-05 -38 *26315:A *26748:D_N 0.000143188 -39 *26315:A *5672:5 0.000460291 -40 *26315:A *6203:23 6.54263e-05 -41 *26330:A1 *26330:A2 5.33005e-05 -42 *26330:A1 *26330:B1 7.61504e-05 -43 *26330:A1 *4253:11 0.000127064 -44 *26330:A1 *4552:24 6.67055e-05 -45 *26331:A *26331:B 6.85303e-05 -46 *26331:A *4419:20 0.000147332 -47 *2157:7 *26032:A1 5.33005e-05 -48 *2157:7 *26215:A 5.52238e-05 -49 *2157:8 *26293:A3 9.91086e-05 -50 *2157:8 *26461:A1 7.86093e-05 -51 *2157:8 *26573:B1 0.000912426 -52 *2157:8 *4353:88 4.54254e-05 -53 *2157:8 *4519:70 0.000146474 -54 *2157:8 *4530:46 8.0089e-05 -55 *2157:8 *4530:48 3.90683e-05 -56 *2157:8 *4530:50 0.000116004 -57 *2157:8 *4530:56 6.09476e-05 -58 *2157:8 *5673:264 0.000248914 -59 *2157:8 *5673:281 6.44798e-06 -60 *2157:16 *4253:11 0.000340793 -61 *2157:25 *26455:A2 0.00288895 -62 *2157:25 *2227:85 9.25014e-06 -63 *2157:25 *4297:15 4.33002e-05 -64 *2157:25 *5672:5 0.000315158 -65 *2157:25 *6291:30 0.000565182 -66 *2157:81 *26032:A1 5.33005e-05 -67 *2157:81 *26215:A 4.08637e-05 -68 *2157:81 *2171:11 0.000123278 -69 *2157:81 *2484:20 0 -70 *2157:81 *4508:132 9.09469e-06 -71 *2157:81 *5673:251 0.000165782 -72 *2157:81 *5782:62 2.90068e-05 -73 *2157:84 *26596:A3 0.000384247 -74 *2157:84 *26596:B1 0.000221163 -75 *2157:84 *26601:A2 0.00111633 -76 *2157:84 *2176:70 8.07879e-05 -77 *2157:84 *2187:122 0.000555201 -78 *2157:84 *2196:35 0.000984472 -79 *2157:84 *4508:158 9.99931e-06 -80 *2157:84 *5673:207 1.5942e-05 -81 *2157:84 *5673:251 0.000276268 -82 *2157:92 *2196:14 0.000435998 -83 *2157:92 *2196:35 0.000469228 -84 *2157:105 *26540:B 3.63775e-05 -85 *2157:105 *26781:A2 6.99815e-05 -86 *2157:105 *2190:12 9.25014e-06 -87 *2157:105 *2190:38 0.000559599 -88 *2157:141 *26415:B1 4.88546e-05 -89 *2157:141 *26429:A3 1.21258e-05 -90 *2157:141 *26437:C 6.42095e-05 -91 *2157:141 *26608:C 7.6644e-05 -92 *2157:141 *26802:B 2.06178e-05 -93 *2157:141 *2345:8 7.83587e-05 -94 *2157:141 *2376:15 0.000431857 -95 *2157:141 *2717:12 7.69776e-06 -96 *26021:B *2157:8 0.00036452 -97 *26195:A1 *2157:84 0.000112189 -98 *26229:B *2157:81 0.000871989 -99 *26239:A1 *26239:A2 5.33005e-05 -100 *26245:A *2157:8 2.49484e-05 -101 *26291:B *2157:8 0.000153742 -102 *26298:B *2157:25 0.000122778 -103 *26308:B *2157:92 0.000220455 -104 *26333:A1 *26315:A 0 -105 *26417:A *2157:81 0.000391568 -106 *26429:B2 *2157:141 0.00028638 -107 *26776:A1 *2157:105 5.03772e-05 -108 *30592:A *26330:A1 0.000134733 -109 *30592:A *2157:16 0.000384542 -110 *373:12 *2157:105 0.000719117 -111 *1189:76 *2157:8 3.98524e-05 -112 *1871:37 *2157:141 3.63775e-05 -113 *1871:43 *2157:141 0.00038236 -114 *1874:35 *2157:81 0 -115 *1902:8 *2157:25 0 -116 *1911:61 *2157:8 0.000423513 -117 *1911:61 *2157:16 1.02504e-05 -118 *1912:17 *2157:25 0.000126641 -119 *1932:63 *2157:81 0.00125306 -120 *1946:154 *2157:81 0.000107155 -121 *1955:19 *2157:25 0.00131779 -122 *2031:38 *2157:25 0.000279232 -123 *2032:185 *2157:84 5.41797e-06 -124 *2032:185 *2157:92 0.00166342 -125 *2046:10 *2157:8 0.00421134 -126 *2046:10 *2157:16 1.09232e-05 -127 *2046:20 *26330:A1 5.46971e-05 -128 *2046:20 *2157:16 5.83942e-05 -129 *2046:66 *2157:8 0.000241205 -130 *2046:66 *2157:81 0.000625471 -131 *2111:13 *2157:8 0.000193118 -132 *2121:10 *2157:84 0.00140315 -133 *2122:9 *2157:84 4.56594e-05 -134 *2128:10 *2157:84 0.000927411 -135 *2128:44 *26239:A2 5.48376e-05 -136 *2128:44 *2157:106 0.00182693 -137 *2133:212 *26239:A2 2.5206e-05 -138 *2133:212 *2157:106 0.000498882 -139 *2133:217 *26239:A2 1.50573e-05 -140 *2141:106 *2157:81 0.00013857 -141 *2141:134 *2157:81 0.000193281 -142 *2141:134 *2157:84 6.45077e-05 -143 *2142:26 *2157:92 0 -144 *2142:31 *2157:92 0 -145 *2142:31 *2157:105 0 -146 *2142:153 *2157:92 8.42e-05 -147 *2142:153 *2157:141 3.81452e-05 -148 *2146:17 *2157:92 0.000125717 -149 *2149:30 *2157:106 0.000885789 -150 *2149:41 *26239:A2 0.000183833 -151 *2149:59 *26239:A2 3.17148e-05 -152 *2152:212 *26239:A2 1.98839e-05 -*RES -1 *26215:X *2157:4 9.3 -2 *2157:4 *2157:7 5.03571 -3 *2157:7 *2157:8 58.1071 -4 *2157:8 *2157:16 7.04464 -5 *2157:16 *2157:25 27.4136 -6 *2157:25 *2157:27 4.5 -7 *2157:27 *26314:A 14.8357 -8 *2157:27 *26331:A 15.7464 -9 *2157:25 *26315:A 25.8357 -10 *2157:16 *26330:A1 27.0946 -11 *2157:4 *2157:81 43.5394 -12 *2157:81 *2157:84 43.1607 -13 *2157:84 *2157:92 29.3246 -14 *2157:92 *2157:105 29.2171 -15 *2157:105 *2157:106 23.5 -16 *2157:106 *26239:A2 18.3179 -17 *2157:106 *26217:B 38.8893 -18 *2157:92 *2157:141 48.824 -19 *2157:141 *26216:A 13.55 -*END - -*D_NET *2158 0.000799394 -*CONN -*I *26427:A3 I *D sky130_fd_sc_hd__a31o_1 -*I *26216:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *26427:A3 0.000213781 -2 *26216:Y 0.000213781 -3 *26427:A3 *26439:C 0.000100823 -4 *26427:A3 *26608:B 6.09572e-05 -5 *26427:A3 *2738:7 1.32552e-05 -6 *26216:A *26427:A3 0.000182694 -7 *26545:A1 *26427:A3 1.41029e-05 -*RES -1 *26216:Y *26427:A3 31.4214 -*END - -*D_NET *2159 0.0631456 -*CONN -*I *26413:B2 I *D sky130_fd_sc_hd__o22ai_1 -*I *26218:B I *D sky130_fd_sc_hd__nor2_1 -*I *26244:A I *D sky130_fd_sc_hd__nor2_1 -*I *26732:A I *D sky130_fd_sc_hd__nor2_1 -*I *26582:B2 I *D sky130_fd_sc_hd__o221a_1 -*I *26537:B1 I *D sky130_fd_sc_hd__o22a_1 -*I *26649:A2 I *D sky130_fd_sc_hd__a31o_1 -*I *26708:A1 I *D sky130_fd_sc_hd__o221ai_2 -*I *26532:A2 I *D sky130_fd_sc_hd__o22ai_1 -*I *26264:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *26217:X O *D sky130_fd_sc_hd__or2_4 -*CAP -1 *26413:B2 0.000972433 -2 *26218:B 0 -3 *26244:A 0 -4 *26732:A 4.55101e-05 -5 *26582:B2 5.06997e-05 -6 *26537:B1 0.000182213 -7 *26649:A2 0.000241 -8 *26708:A1 1.4107e-05 -9 *26532:A2 0.000149438 -10 *26264:A2 0.000353896 -11 *26217:X 8.49105e-05 -12 *2159:118 0.003921 -13 *2159:117 0.00717408 -14 *2159:92 0.0080412 -15 *2159:65 0.00592583 -16 *2159:54 0.00151551 -17 *2159:42 0.000657023 -18 *2159:33 0.000758534 -19 *2159:20 0.00108977 -20 *2159:11 0.00133175 -21 *2159:10 0.000988574 -22 *2159:5 0.00114348 -23 *26264:A2 *26265:B2 0.000139202 -24 *26264:A2 *2190:9 0.000552525 -25 *26264:A2 *5722:187 0.00031527 -26 *26413:B2 *26413:B1 5.33005e-05 -27 *26413:B2 *26612:D 0.000358781 -28 *26413:B2 *26715:B1 0.000422746 -29 *26413:B2 *26715:D1 0.000187892 -30 *26413:B2 *2354:10 2.38113e-05 -31 *26413:B2 *2549:8 2.84494e-05 -32 *26413:B2 *2654:10 6.39434e-05 -33 *26413:B2 *3658:10 0.000601904 -34 *26413:B2 *3740:30 0.000465264 -35 *26532:A2 *2473:7 0.000221628 -36 *26537:B1 *26190:A 1.98839e-05 -37 *26649:A2 *26653:C 6.05161e-06 -38 *26649:A2 *26654:D_N 0 -39 *26649:A2 *2177:41 1.31802e-07 -40 *26649:A2 *2177:43 1.24368e-05 -41 *26649:A2 *2177:48 1.00399e-05 -42 *26649:A2 *6169:82 6.90381e-06 -43 *26708:A1 *2587:79 5.50052e-05 -44 *26732:A *26704:A2 1.85392e-05 -45 *26732:A *26732:B 1.04232e-05 -46 *26732:A *2639:31 5.33005e-05 -47 *2159:5 *26217:A 0.000144773 -48 *2159:5 *26715:D1 2.14658e-05 -49 *2159:10 *2201:8 0 -50 *2159:10 *5209:6 7.92975e-05 -51 *2159:11 *5673:115 0.000697703 -52 *2159:20 *26255:A_N 0.000125724 -53 *2159:20 *2178:5 6.05161e-06 -54 *2159:20 *2178:24 5.96516e-05 -55 *2159:20 *2186:12 0.000116098 -56 *2159:20 *2196:47 0.00012401 -57 *2159:33 *26255:A_N 0.000895009 -58 *2159:33 *26539:C 1.11654e-05 -59 *2159:33 *2196:47 0.000995697 -60 *2159:42 *2196:14 1.25366e-05 -61 *2159:42 *2196:47 0.000201127 -62 *2159:54 *26704:A2 0.000136951 -63 *2159:54 *2196:14 7.92596e-06 -64 *2159:54 *2587:74 0.000291655 -65 *2159:54 *2587:79 0.000306928 -66 *2159:54 *2636:28 0.000402865 -67 *2159:54 *2639:31 8.43535e-06 -68 *2159:65 *26648:B 4.22349e-05 -69 *2159:65 *26650:A3 0.000380739 -70 *2159:65 *2190:104 0.000151685 -71 *2159:65 *2587:45 0.000178847 -72 *2159:65 *2591:8 9.60939e-05 -73 *2159:65 *2639:11 0.000110979 -74 *2159:65 *2639:23 1.58163e-05 -75 *2159:65 *2639:31 1.21258e-05 -76 *2159:65 *3510:90 1.08455e-05 -77 *2159:92 *26267:A2 9.97363e-06 -78 *2159:92 *26269:B 1.39782e-05 -79 *2159:92 *26698:B1 0.000214825 -80 *2159:92 *2162:17 0.00048287 -81 *2159:92 *2190:104 0.000434854 -82 *2159:92 *2190:122 0.000255289 -83 *2159:92 *2230:20 4.42509e-05 -84 *2159:92 *2234:23 0.000269379 -85 *2159:92 *2346:38 0.000370798 -86 *2159:92 *2587:11 0.000414517 -87 *2159:92 *5722:76 0.000146449 -88 *2159:92 *6169:44 0.000484585 -89 *2159:92 *6169:82 0.000385123 -90 *2159:117 *25968:B 2.83893e-05 -91 *2159:117 *2162:17 0.00095695 -92 *2159:117 *2190:122 7.2902e-05 -93 *2159:117 *2190:133 0.000112789 -94 *2159:117 *2230:19 0.000475664 -95 *2159:117 *4519:30 0.00028131 -96 *2159:117 *5782:28 0 -97 *2159:117 *6169:44 0.00168418 -98 *2159:118 *2620:13 0.00132229 -99 *26217:B *2159:20 0.000222666 -100 *26243:A *2159:11 3.28686e-05 -101 *26263:A *26708:A1 2.89114e-05 -102 *26264:A1 *26264:A2 0.00046238 -103 *26413:A2 *26413:B2 0.000218642 -104 *26470:C *2159:118 8.11174e-05 -105 *26470:D *2159:118 5.33005e-05 -106 *26532:A1 *26532:A2 0.000132879 -107 *26537:B2 *26537:B1 1.02132e-05 -108 *26541:A1 *26532:A2 1.39726e-05 -109 *26647:B *26537:B1 2.18902e-05 -110 *26715:A1 *26413:B2 2.37575e-05 -111 *373:12 *2159:20 0.000238047 -112 *1188:54 *2159:118 0.000114587 -113 *1934:13 *2159:118 0.000552531 -114 *1934:22 *2159:118 0.000943307 -115 *1942:70 *2159:117 0 -116 *1965:31 *26537:B1 9.41642e-05 -117 *2007:64 *26582:B2 0.000123295 -118 *2032:220 *2159:33 5.55449e-05 -119 *2032:220 *2159:42 0.000872394 -120 *2038:58 *26537:B1 2.07878e-05 -121 *2046:115 *2159:65 4.30573e-05 -122 *2047:103 *2159:118 0.000153304 -123 *2078:11 *26582:B2 0.000121573 -124 *2104:124 *26413:B2 0.00019384 -125 *2127:27 *2159:92 0.000357287 -126 *2128:44 *2159:42 0.000366633 -127 *2128:44 *2159:54 0.000105638 -128 *2133:217 *2159:11 0.000301024 -129 *2133:229 *2159:11 0.000443087 -130 *2133:243 *2159:11 0.000680207 -131 *2147:81 *26649:A2 4.12798e-05 -132 *2147:136 *2159:117 1.35471e-05 -133 *2151:53 *2159:92 0.00231646 -134 *2152:92 *2159:92 0.00014833 -135 *2152:212 *2159:11 0.00116889 -*RES -1 *26217:X *2159:5 11.0679 -2 *2159:5 *2159:10 10.9464 -3 *2159:10 *2159:11 26.4107 -4 *2159:11 *2159:20 21.7143 -5 *2159:20 *26264:A2 23.925 -6 *2159:20 *2159:33 12.875 -7 *2159:33 *26532:A2 17.2107 -8 *2159:33 *2159:42 11.6607 -9 *2159:42 *26708:A1 14.3357 -10 *2159:42 *2159:54 14.6964 -11 *2159:54 *2159:65 20.4535 -12 *2159:65 *26649:A2 21.6291 -13 *2159:65 *2159:92 44.978 -14 *2159:92 *26537:B1 16.8179 -15 *2159:92 *2159:117 46.5806 -16 *2159:117 *2159:118 48.5893 -17 *2159:118 *26582:B2 19.9429 -18 *2159:54 *26732:A 10.5679 -19 *2159:11 *26244:A 9.3 -20 *2159:10 *26218:B 9.3 -21 *2159:5 *26413:B2 45.675 -*END - -*D_NET *2160 0.0105335 -*CONN -*I *26607:A I *D sky130_fd_sc_hd__nor3_1 -*I *26531:B I *D sky130_fd_sc_hd__or4_1 -*I *26222:C I *D sky130_fd_sc_hd__or4_1 -*I *26218:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26607:A 0.000688163 -2 *26531:B 0.00126371 -3 *26222:C 0.000143214 -4 *26218:Y 0.000355437 -5 *2160:11 0.00204569 -6 *2160:8 0.00168237 -7 *26222:C *5722:187 0.000354682 -8 *26531:B *26531:C 0.000598894 -9 *26531:B *26539:B 9.90367e-05 -10 *26531:B *2180:30 0.000381859 -11 *26607:A *26607:B 9.90367e-05 -12 *26607:A *26607:C 0.000140933 -13 *26607:A *26722:B1 5.33433e-05 -14 *26607:A *2198:23 0.000287129 -15 *26607:A *2547:7 0.000212591 -16 *26607:A *5209:6 0 -17 *2160:8 *2198:23 0.000161702 -18 *2160:8 *5209:6 0 -19 *2160:8 *5824:50 0.000142856 -20 *2160:11 *5722:187 0.000669243 -21 *26222:A *26222:C 0.000393943 -22 *26256:A *2160:8 1.21258e-05 -23 *26376:A *26607:A 0.000202842 -24 *26440:B2 *26531:B 0 -25 *26589:A *26222:C 0.000172309 -26 *26589:A *2160:11 0.000353086 -27 *1862:28 *26531:B 0 -28 *2133:243 *2160:8 1.92789e-05 -*RES -1 *26218:Y *2160:8 20.05 -2 *2160:8 *2160:11 19.4107 -3 *2160:11 *26222:C 15.175 -4 *2160:11 *26531:B 39.1571 -5 *2160:8 *26607:A 29.925 -*END - -*D_NET *2161 0.0559892 -*CONN -*I *26464:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *26283:B I *D sky130_fd_sc_hd__nor2_1 -*I *26279:B I *D sky130_fd_sc_hd__nor2_2 -*I *26702:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26650:A2 I *D sky130_fd_sc_hd__a31o_1 -*I *26423:B2 I *D sky130_fd_sc_hd__o22a_1 -*I *26243:B I *D sky130_fd_sc_hd__nor2_1 -*I *26221:B1 I *D sky130_fd_sc_hd__a21oi_1 -*I *26713:B1 I *D sky130_fd_sc_hd__o22a_1 -*I *26800:A1 I *D sky130_fd_sc_hd__o22a_1 -*I *26701:A1 I *D sky130_fd_sc_hd__o221a_1 -*I *26544:A1 I *D sky130_fd_sc_hd__o221a_1 -*I *26219:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *26464:A2 0 -2 *26283:B 0.000198727 -3 *26279:B 0 -4 *26702:A1 0 -5 *26650:A2 0.000442179 -6 *26423:B2 5.19097e-05 -7 *26243:B 1.99857e-05 -8 *26221:B1 0.000415512 -9 *26713:B1 0.000281213 -10 *26800:A1 0 -11 *26701:A1 0.000119583 -12 *26544:A1 0.000101831 -13 *26219:Y 0 -14 *2161:189 0.00131948 -15 *2161:130 0.00100315 -16 *2161:121 0.00112422 -17 *2161:107 0.00227555 -18 *2161:89 0.00169584 -19 *2161:82 0.00113065 -20 *2161:63 0.00119078 -21 *2161:54 0.0016909 -22 *2161:39 0.00163745 -23 *2161:35 0.00137191 -24 *2161:29 0.002209 -25 *2161:11 0.00178373 -26 *2161:4 0.00163378 -27 *26221:B1 *26247:B 0.000438788 -28 *26221:B1 *2178:24 1.54142e-05 -29 *26221:B1 *2187:173 0.00048555 -30 *26221:B1 *5673:126 0.00132963 -31 *26243:B *5673:126 1.04232e-05 -32 *26283:B *26689:B 3.69047e-06 -33 *26283:B *2187:73 5.33005e-05 -34 *26423:B2 *26423:B1 3.93247e-05 -35 *26423:B2 *6357:19 3.69047e-06 -36 *26544:A1 *26544:A2 0.000259549 -37 *26544:A1 *28967:A 7.99196e-05 -38 *26650:A2 *26733:A 4.58194e-05 -39 *26650:A2 *26733:B 0.000712332 -40 *26650:A2 *26733:D 0.000110029 -41 *26650:A2 *2587:73 2.33664e-05 -42 *26701:A1 *26709:D1 6.8194e-05 -43 *26701:A1 *2196:14 5.41797e-06 -44 *26701:A1 *2250:31 0.000175892 -45 *26713:B1 *26612:A 6.07659e-05 -46 *26713:B1 *26713:B2 2.95746e-06 -47 *26713:B1 *26716:A 5.91971e-05 -48 *26713:B1 *2345:8 0.000350244 -49 *26713:B1 *2546:18 0.000192769 -50 *26713:B1 *2655:8 0.000192789 -51 *26713:B1 *5664:255 0.000218685 -52 *2161:11 *26562:B1 4.29696e-05 -53 *2161:11 *2221:7 5.96516e-05 -54 *2161:11 *2221:8 9.80405e-05 -55 *2161:11 *2233:37 9.90367e-05 -56 *2161:11 *4353:68 6.87834e-06 -57 *2161:29 *26293:A3 0.000431669 -58 *2161:35 *26185:A 6.25005e-05 -59 *2161:35 *26268:D_N 6.05161e-06 -60 *2161:35 *3581:76 0.000216755 -61 *2161:35 *6291:88 0.000173073 -62 *2161:39 *28967:A 2.34059e-05 -63 *2161:54 *26544:A2 8.57023e-05 -64 *2161:54 *26709:D1 0.000223108 -65 *2161:54 *26710:A 0.000198698 -66 *2161:54 *26710:C 8.50971e-06 -67 *2161:54 *28967:A 3.67142e-05 -68 *2161:54 *2183:11 2.69389e-05 -69 *2161:54 *2183:29 0.000327804 -70 *2161:54 *2471:9 0.00167352 -71 *2161:54 *2489:10 0 -72 *2161:54 *2587:23 0.000309967 -73 *2161:54 *2638:14 0.000433644 -74 *2161:54 *5673:40 4.22135e-06 -75 *2161:63 *2183:29 4.75911e-05 -76 *2161:63 *5673:51 4.4321e-05 -77 *2161:82 *26705:B1 6.60111e-05 -78 *2161:82 *2716:11 5.6761e-05 -79 *2161:89 *26705:B1 0.00010326 -80 *2161:89 *26733:A 4.63958e-05 -81 *2161:89 *26800:A2 5.74499e-06 -82 *2161:89 *26800:B1 5.49995e-05 -83 *2161:89 *26800:B2 6.45356e-05 -84 *2161:89 *26801:C_N 0.00021846 -85 *2161:89 *2716:11 0.000314903 -86 *2161:107 *26603:A 0.000469771 -87 *2161:107 *26603:B 2.59355e-05 -88 *2161:107 *26614:A_N 0.000596665 -89 *2161:107 *26794:D_N 0.000395608 -90 *2161:107 *26800:B2 1.32293e-05 -91 *2161:107 *26801:C_N 0.000250539 -92 *2161:107 *2178:36 0.000107363 -93 *2161:107 *2190:29 0 -94 *2161:107 *2190:58 0.000177591 -95 *2161:107 *2706:14 0.000815067 -96 *2161:107 *6357:19 2.80928e-05 -97 *2161:121 *26610:C_N 0.000148189 -98 *2161:121 *26612:A 0.000281475 -99 *2161:121 *26713:B2 0 -100 *2161:121 *2201:23 0.000304577 -101 *2161:130 *26259:B 1.97381e-05 -102 *2161:130 *26713:B2 0.000347739 -103 *2161:130 *29438:A 0.000389438 -104 *2161:130 *2201:23 2.46553e-05 -105 *2161:130 *5673:126 4.27338e-05 -106 *2161:189 *26475:A2 9.99644e-06 -107 *26020:B *2161:35 0.000161649 -108 *26020:C *2161:35 2.26973e-05 -109 *26130:B *26283:B 0.000449349 -110 *26206:B *26650:A2 7.61333e-05 -111 *26217:B *26243:B 0 -112 *26217:B *2161:130 4.70078e-05 -113 *26221:A1 *26221:B1 9.90431e-05 -114 *26243:A *2161:130 5.33005e-05 -115 *26279:A *2161:11 9.41642e-05 -116 *26279:A *2161:29 0.000118145 -117 *26466:B1 *2161:189 0.000135028 -118 *26476:A2 *26283:B 0.000213019 -119 *26476:A2 *2161:189 0.000136958 -120 *26477:B1 *2161:189 0.000315889 -121 *26644:A2 *2161:35 0.000625155 -122 *26650:A1 *26650:A2 0.000257619 -123 *26722:A1 *2161:121 5.10822e-05 -124 *26793:A1 *2161:107 0.000358089 -125 *1186:40 *2161:11 0.000118226 -126 *1186:55 *2161:39 2.84988e-05 -127 *1187:59 *2161:39 0.000456863 -128 *1909:18 *2161:29 0.00114124 -129 *1909:18 *2161:35 0.000787094 -130 *1910:27 *2161:35 4.60066e-05 -131 *1944:116 *26283:B 9.16325e-06 -132 *1946:81 *2161:35 3.63775e-05 -133 *1955:77 *2161:11 6.52967e-05 -134 *1955:99 *2161:189 0.000265447 -135 *1962:8 *2161:35 0.00120953 -136 *1990:81 *2161:189 0.00011746 -137 *2026:19 *2161:189 0.000196539 -138 *2032:203 *26701:A1 5.69617e-05 -139 *2032:203 *2161:82 0.000115139 -140 *2043:143 *2161:11 0.000480556 -141 *2043:143 *2161:189 0.00105868 -142 *2047:8 *2161:39 0.000231948 -143 *2047:23 *2161:39 0.000227365 -144 *2047:23 *2161:54 0.000305557 -145 *2047:113 *2161:11 8.43535e-06 -146 *2047:113 *2161:29 0.000119913 -147 *2051:41 *2161:189 0.000115935 -148 *2054:53 *2161:29 0.0011371 -149 *2069:21 *26283:B 0.000109225 -150 *2104:143 *26423:B2 9.71197e-05 -151 *2127:27 *2161:35 0.000310089 -152 *2130:46 *2161:29 9.58181e-05 -153 *2132:30 *2161:39 0.00017309 -154 *2132:30 *2161:54 5.12344e-05 -155 *2133:212 *2161:89 0.000121424 -156 *2142:25 *2161:39 0.000873782 -157 *2142:26 *2161:54 0.000111976 -158 *2142:31 *2161:63 0.000243607 -159 *2142:153 *2161:63 0 -160 *2145:81 *2161:54 0.00030188 -161 *2145:81 *2161:63 0.000186718 -162 *2145:81 *2161:82 6.96758e-06 -163 *2145:87 *2161:54 0.00230362 -164 *2145:91 *2161:35 0.00195798 -165 *2152:102 *2161:35 0.000839725 -*RES -1 *26219:Y *2161:4 9.3 -2 *2161:4 *2161:11 18.1786 -3 *2161:11 *2161:29 40.4286 -4 *2161:29 *2161:35 48.3393 -5 *2161:35 *2161:39 19.625 -6 *2161:39 *26544:A1 11.8893 -7 *2161:39 *2161:54 47.2143 -8 *2161:54 *2161:63 4.88022 -9 *2161:63 *26701:A1 19.8618 -10 *2161:63 *2161:82 5.22453 -11 *2161:82 *2161:89 11.1786 -12 *2161:89 *26800:A1 9.3 -13 *2161:89 *2161:107 42.3798 -14 *2161:107 *26713:B1 22.6304 -15 *2161:107 *2161:121 11.7411 -16 *2161:121 *2161:130 18.6071 -17 *2161:130 *26221:B1 23.3893 -18 *2161:130 *26243:B 9.72857 -19 *2161:121 *26423:B2 15.175 -20 *2161:82 *26650:A2 23.8 -21 *2161:54 *26702:A1 13.8 -22 *2161:11 *26279:B 9.3 -23 *2161:4 *2161:189 29.0893 -24 *2161:189 *26283:B 24.7286 -25 *2161:189 *26464:A2 9.3 -*END - -*D_NET *2162 0.0121659 -*CONN -*I *28967:A I *D sky130_fd_sc_hd__buf_8 -*I *26652:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *26698:A2 I *D sky130_fd_sc_hd__o21a_1 -*I *26220:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *28967:A 0.000955155 -2 *26652:A1 0.000572295 -3 *26698:A2 3.41982e-05 -4 *26220:X 0.0010883 -5 *2162:32 0.00220502 -6 *2162:17 0.00180007 -7 *26698:A2 *26698:B1 0.000141729 -8 *28967:A *26544:A2 2.47753e-05 -9 *28967:A *26544:B1 8.80543e-05 -10 *28967:A *26544:C1 1.91994e-05 -11 *28967:A *2635:11 8.64929e-05 -12 *2162:17 *25968:B 6.89087e-05 -13 *2162:17 *26024:A 1.26939e-05 -14 *2162:17 *26269:B 9.11048e-06 -15 *2162:17 *26696:A1 3.39964e-05 -16 *2162:17 *26698:B1 0.000120074 -17 *2162:17 *2635:11 0.000119738 -18 *2162:17 *6169:44 2.99773e-05 -19 *2162:32 *2190:122 0.000175197 -20 *2162:32 *2204:114 0 -21 *2162:32 *2226:38 0 -22 *2162:32 *6169:62 0.00120786 -23 *26220:A *2162:17 7.44316e-05 -24 *26544:A1 *28967:A 7.99196e-05 -25 *26544:B2 *28967:A 8.25843e-06 -26 *26642:A *26652:A1 0.000140856 -27 *26642:A *28967:A 0.000165988 -28 *26642:B *28967:A 2.12005e-05 -29 *26698:A1 *26698:A2 4.60153e-05 -30 *26698:A1 *2162:17 0.000142061 -31 *1393:69 *2162:32 0.0001459 -32 *1944:52 *26652:A1 7.6997e-05 -33 *1965:31 *2162:17 0.000143967 -34 *2047:58 *26652:A1 0.000546198 -35 *2151:31 *26652:A1 7.54868e-05 -36 *2151:31 *2162:32 8.61086e-05 -37 *2151:53 *28967:A 0.000119738 -38 *2159:92 *2162:17 0.00048287 -39 *2159:117 *2162:17 0.00095695 -40 *2161:39 *28967:A 2.34059e-05 -41 *2161:54 *28967:A 3.67142e-05 -*RES -1 *26220:X *2162:17 44.3 -2 *2162:17 *26698:A2 10.6571 -3 *2162:17 *2162:32 25.875 -4 *2162:32 *26652:A1 26.1571 -5 *2162:32 *28967:A 31.175 -*END - -*D_NET *2163 0.000857165 -*CONN -*I *26222:D I *D sky130_fd_sc_hd__or4_1 -*I *26221:Y O *D sky130_fd_sc_hd__a21oi_1 -*CAP -1 *26222:D 0.000238029 -2 *26221:Y 0.000238029 -3 *26222:D *2191:18 0 -4 *26217:B *26222:D 0.000230482 -5 *2142:119 *26222:D 0.000150625 -*RES -1 *26221:Y *26222:D 31.725 -*END - -*D_NET *2164 0.00286751 -*CONN -*I *26223:B I *D sky130_fd_sc_hd__or4b_1 -*I *26222:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26223:B 0.000633224 -2 *26222:X 0.000633224 -3 *26223:B *2165:10 2.72967e-05 -4 *26223:B *2187:164 0.0011525 -5 *2156:10 *26223:B 0.000421263 -*RES -1 *26222:X *26223:B 45.725 -*END - -*D_NET *2165 0.016941 -*CONN -*I *26224:C1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26223:X O *D sky130_fd_sc_hd__or4b_1 -*CAP -1 *26224:C1 0 -2 *26223:X 0.000734071 -3 *2165:31 0.00125257 -4 *2165:14 0.00249477 -5 *2165:10 0.00197627 -6 *2165:10 *26548:B 0.000292715 -7 *2165:10 *2194:52 0 -8 *2165:14 *26204:A 9.74684e-05 -9 *2165:14 *26781:B1 8.15843e-05 -10 *2165:14 *2190:58 0.000542133 -11 *2165:14 *2194:45 0.000200812 -12 *2165:14 *2672:10 0.000100673 -13 *2165:14 *2717:12 0.000653243 -14 *2165:14 *5664:131 0.000448083 -15 *2165:31 *26431:A3 0.000262343 -16 *2165:31 *26433:C 7.6644e-05 -17 *2165:31 *26434:B 0.000122697 -18 *2165:31 *26719:A 0.000576274 -19 *2165:31 *26767:B1 7.27167e-05 -20 *2165:31 *2175:11 0.000330204 -21 *2165:31 *2176:70 2.04825e-05 -22 *2165:31 *2358:17 0.000412102 -23 *2165:31 *2359:6 1.36991e-05 -24 *2165:31 *2359:13 3.86574e-05 -25 *2165:31 *2360:8 3.25745e-05 -26 *2165:31 *2490:23 8.88417e-05 -27 *2165:31 *4508:144 0.00072537 -28 *2165:31 *5664:20 0.000133138 -29 *26208:A2 *2165:14 0.00030986 -30 *26208:A2 *2165:31 0.000317955 -31 *26223:B *2165:10 2.72967e-05 -32 *26420:A2_N *2165:31 5.35702e-05 -33 *373:32 *2165:14 0.000226658 -34 *1771:29 *2165:10 0.000688533 -35 *1771:29 *2165:14 0.00146859 -36 *1771:29 *2165:31 6.90348e-06 -37 *1932:63 *2165:31 0.000260574 -38 *2043:85 *2165:31 0.000331064 -39 *2099:49 *2165:31 1.37292e-05 -40 *2127:45 *2165:14 1.08359e-05 -41 *2128:32 *2165:14 2.84879e-05 -42 *2128:32 *2165:31 0.000314216 -43 *2129:43 *2165:31 9.41642e-05 -44 *2131:45 *2165:31 0.00072061 -45 *2131:46 *2165:31 7.93407e-05 -46 *2131:63 *2165:31 0.000195562 -47 *2133:135 *2165:31 1.28958e-05 -*RES -1 *26223:X *2165:10 27.7554 -2 *2165:10 *2165:14 41.9464 -3 *2165:14 *2165:31 45.7476 -4 *2165:31 *26224:C1 9.3 -*END - -*D_NET *2166 0.00360555 -*CONN -*I *26225:B1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26224:X O *D sky130_fd_sc_hd__a2111o_1 -*CAP -1 *26225:B1 0.000587243 -2 *26224:X 0.000587243 -3 *26225:B1 *26226:B1 1.90303e-05 -4 *26225:B1 *2175:11 0.000120504 -5 *26225:B1 *2358:17 4.97121e-06 -6 *26225:B1 *4508:227 0.000303362 -7 *26225:D1 *26225:B1 9.90431e-05 -8 *26426:A *26225:B1 0.00012401 -9 *1771:29 *26225:B1 0.00102985 -10 *1945:47 *26225:B1 0.000287 -11 *2099:49 *26225:B1 0.000383281 -12 *2113:23 *26225:B1 6.05161e-06 -13 *2126:8 *26225:B1 3.2293e-05 -14 *2126:12 *26225:B1 2.16719e-05 -*RES -1 *26224:X *26225:B1 45.3143 -*END - -*D_NET *2167 0.00103944 -*CONN -*I *26226:B1 I *D sky130_fd_sc_hd__a41o_1 -*I *26225:X O *D sky130_fd_sc_hd__a2111o_1 -*CAP -1 *26226:B1 0.000201464 -2 *26225:X 0.000201464 -3 *26226:B1 *2221:8 0.0003096 -4 *26226:B1 *2357:13 0.000307885 -5 *26225:B1 *26226:B1 1.90303e-05 -*RES -1 *26225:X *26226:B1 32.6179 -*END - -*D_NET *2168 0.00114226 -*CONN -*I *26271:A I *D sky130_fd_sc_hd__nor2_1 -*I *26226:X O *D sky130_fd_sc_hd__a41o_1 -*CAP -1 *26271:A 0.000323992 -2 *26226:X 0.000323992 -3 *26271:A *2173:21 0.000112674 -4 *26271:A *2221:8 4.29471e-05 -5 *26271:A *2357:13 4.11173e-05 -6 *26271:A *6291:123 9.41642e-05 -7 *1937:22 *26271:A 0.000107278 -8 *1966:17 *26271:A 9.60939e-05 -*RES -1 *26226:X *26271:A 37.8452 -*END - -*D_NET *2169 0.0305896 -*CONN -*I *26683:A I *D sky130_fd_sc_hd__nor3_1 -*I *26268:A I *D sky130_fd_sc_hd__or4b_1 -*I *26523:A I *D sky130_fd_sc_hd__or2_1 -*I *26604:A I *D sky130_fd_sc_hd__or3_1 -*I *26227:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *26683:A 0.000877439 -2 *26268:A 0.00106745 -3 *26523:A 0.00013093 -4 *26604:A 0.000419171 -5 *26227:Y 0 -6 *2169:64 0.00292693 -7 *2169:24 0.00125214 -8 *2169:16 0.0051938 -9 *2169:5 0.00350973 -10 *26268:A *26505:A2 2.77289e-05 -11 *26268:A *2306:7 0.000136951 -12 *26268:A *2662:13 0.000105471 -13 *26604:A *26604:B 0.000337637 -14 *26604:A *26668:C 0.000195555 -15 *26604:A *2483:8 0.000112372 -16 *26604:A *2483:14 0.000304858 -17 *26604:A *2605:8 0.000624277 -18 *26683:A *26492:C 5.33005e-05 -19 *26683:A *26576:C 0.000176866 -20 *26683:A *26578:B 2.3695e-05 -21 *26683:A *26584:B 0 -22 *26683:A *26683:B 5.52238e-05 -23 *26683:A *2515:8 1.04707e-05 -24 *26683:A *2687:17 2.04825e-05 -25 *26683:A *5673:309 0.000245031 -26 *2169:16 *26399:A3 0 -27 *2169:16 *26782:A 0.000370808 -28 *2169:16 *2237:16 0.000897573 -29 *2169:16 *2304:10 0.000494595 -30 *2169:16 *2526:18 0.00128152 -31 *2169:24 *26551:A2 2.23277e-05 -32 *2169:24 *2223:50 0.000363656 -33 *2169:24 *2483:8 0.000173306 -34 *2169:24 *2526:18 0.000267695 -35 *2169:24 *2605:8 4.66203e-05 -36 *2169:64 *26364:B 0.000387353 -37 *2169:64 *26623:A 4.87953e-05 -38 *2169:64 *26624:A2 0.000175892 -39 *2169:64 *2237:28 2.09897e-05 -40 *2169:64 *2306:7 8.46559e-05 -41 *26156:B *26268:A 9.41642e-05 -42 *26233:A *26268:A 0.000429934 -43 *26364:A *2169:64 0.00012062 -44 *26542:A1 *2169:16 0.000503906 -45 *26554:B *2169:16 0 -46 *26624:B1 *26268:A 0.000975872 -47 *373:60 *26268:A 4.88515e-05 -48 *373:63 *26268:A 0.00126492 -49 *373:63 *2169:64 0.000422039 -50 *1393:39 *26523:A 0.000120972 -51 *1677:26 *26604:A 9.1235e-05 -52 *1940:32 *2169:64 0.000500749 -53 *1943:40 *2169:16 0.000299191 -54 *1943:40 *2169:24 0.000120151 -55 *1943:40 *2169:64 1.94945e-05 -56 *1948:16 *2169:16 0.000564156 -57 *1997:31 *26683:A 0.000368963 -58 *1997:31 *2169:16 0.000218019 -59 *2004:13 *2169:64 0.00010326 -60 *2016:61 *2169:64 3.69047e-06 -61 *2022:101 *26683:A 1.90936e-05 -62 *2040:49 *26683:A 0.000103041 -63 *2040:49 *2169:16 0.000663769 -64 *2040:62 *2169:16 0.000193071 -65 *2047:155 *26683:A 0.000128704 -66 *2052:28 *2169:64 0.000101545 -67 *2060:128 *2169:64 0.00030199 -68 *2098:8 *26268:A 0.000236569 -69 *2107:27 *26268:A 0.000128331 -*RES -1 *26227:Y *2169:5 13.8 -2 *2169:5 *2169:16 42.4771 -3 *2169:16 *2169:24 12.6142 -4 *2169:24 *26604:A 28.55 -5 *2169:24 *26523:A 15.9786 -6 *2169:16 *2169:64 49.1632 -7 *2169:64 *26268:A 36.6929 -8 *2169:5 *26683:A 27.8953 -*END - -*D_NET *2170 0.00192469 -*CONN -*I *26230:B I *D sky130_fd_sc_hd__and4b_1 -*I *26406:A1 I *D sky130_fd_sc_hd__a21bo_1 -*I *26228:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *26230:B 3.26257e-05 -2 *26406:A1 6.41005e-05 -3 *26228:X 0.000294592 -4 *2170:14 0.000391318 -5 *26230:B *26230:C 5.33005e-05 -6 *26230:B *2171:11 1.98839e-05 -7 *26406:A1 *26406:A2 0.000170654 -8 *2170:14 *26406:A2 3.34366e-05 -9 *26228:B1 *2170:14 0.000281452 -10 *26229:B *2170:14 4.47876e-05 -11 *26417:A *26230:B 9.41642e-05 -12 *26417:B *26406:A1 1.41029e-05 -13 *2116:8 *2170:14 9.3279e-06 -14 *2116:10 *2170:14 6.48415e-06 -15 *2116:12 *2170:14 1.17968e-05 -16 *2120:36 *2170:14 0.000121353 -17 *2120:38 *26406:A1 0.000197269 -18 *2120:38 *2170:14 2.48938e-05 -19 *2141:106 *2170:14 5.91429e-05 -*RES -1 *26228:X *2170:14 20.3804 -2 *2170:14 *26406:A1 16.3536 -3 *2170:14 *26230:B 14.7464 -*END - -*D_NET *2171 0.00145531 -*CONN -*I *26230:C I *D sky130_fd_sc_hd__and4b_1 -*I *26406:A2 I *D sky130_fd_sc_hd__a21bo_1 -*I *26229:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *26230:C 3.34978e-05 -2 *26406:A2 7.69854e-05 -3 *26229:X 0.000184336 -4 *2171:11 0.000294819 -5 *26228:B1 *26230:C 1.38323e-05 -6 *26228:B1 *2171:11 1.33343e-05 -7 *26230:B *26230:C 5.33005e-05 -8 *26230:B *2171:11 1.98839e-05 -9 *26230:D *26230:C 5.33005e-05 -10 *26230:D *2171:11 1.3701e-05 -11 *26406:A1 *26406:A2 0.000170654 -12 *26417:A *26230:C 1.98839e-05 -13 *26417:A *2171:11 3.63775e-05 -14 *26417:B *26406:A2 5.58941e-05 -15 *2116:12 *26406:A2 0.000137224 -16 *2141:106 *2171:11 0.00012157 -17 *2157:81 *2171:11 0.000123278 -18 *2170:14 *26406:A2 3.34366e-05 -*RES -1 *26229:X *2171:11 22.1393 -2 *2171:11 *26406:A2 20.8536 -3 *2171:11 *26230:C 10.2464 -*END - -*D_NET *2172 0.00659592 -*CONN -*I *26231:D I *D sky130_fd_sc_hd__and4b_1 -*I *26599:A3 I *D sky130_fd_sc_hd__a311o_1 -*I *26430:A3 I *D sky130_fd_sc_hd__a31o_1 -*I *26230:X O *D sky130_fd_sc_hd__and4b_1 -*CAP -1 *26231:D 7.48761e-06 -2 *26599:A3 5.41621e-05 -3 *26430:A3 0.000160011 -4 *26230:X 0.000728648 -5 *2172:10 0.000659826 -6 *2172:8 0.00118179 -7 *26430:A3 *2539:8 6.65808e-05 -8 *26599:A3 *2539:8 2.85234e-05 -9 *2172:8 *2173:21 5.51502e-05 -10 *2172:8 *2210:17 1.24368e-05 -11 *2172:10 *26723:B1 6.32633e-05 -12 *2172:10 *2173:21 3.34687e-05 -13 *26060:A *2172:8 1.57414e-05 -14 *26224:A2 *2172:10 5.5201e-05 -15 *26231:A_N *26231:D 2.3541e-05 -16 *26267:D1 *26599:A3 0.000140243 -17 *26417:A *2172:8 1.24368e-05 -18 *1188:104 *26231:D 2.3541e-05 -19 *1189:15 *2172:8 6.10786e-05 -20 *1937:22 *2172:8 0.000747847 -21 *1946:131 *26430:A3 0.000224583 -22 *2129:43 *2172:10 4.28365e-05 -23 *2131:45 *26430:A3 1.58559e-05 -24 *2131:45 *2172:10 0.000194564 -25 *2136:51 *2172:8 1.21258e-05 -26 *2136:58 *26430:A3 0.000178305 -27 *2136:58 *2172:8 0.000852356 -28 *2136:58 *2172:10 0.000944312 -*RES -1 *26230:X *2172:8 29.3893 -2 *2172:8 *2172:10 12.5714 -3 *2172:10 *26430:A3 18.2286 -4 *2172:10 *26599:A3 15.1571 -5 *2172:8 *26231:D 14.0366 -*END - -*D_NET *2173 0.00640554 -*CONN -*I *26444:B1 I *D sky130_fd_sc_hd__a41o_1 -*I *26232:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *26723:B1 I *D sky130_fd_sc_hd__a311o_1 -*I *26231:X O *D sky130_fd_sc_hd__and4b_1 -*CAP -1 *26444:B1 0.000299426 -2 *26232:B1 2.46036e-05 -3 *26723:B1 0.00026829 -4 *26231:X 0 -5 *2173:21 0.000877337 -6 *2173:5 0.000821597 -7 *26444:B1 *2385:10 9.41642e-05 -8 *26444:B1 *4552:142 4.59823e-05 -9 *26723:B1 *2394:11 0.000637208 -10 *2173:21 *26162:A 9.8958e-05 -11 *2173:21 *26268:B 1.8338e-05 -12 *2173:21 *2174:8 8.30806e-05 -13 *26225:C1 *2173:21 6.39901e-05 -14 *26226:A4 *2173:21 0.000267806 -15 *26229:C *26232:B1 9.60939e-05 -16 *26232:A1 *26444:B1 0.000170654 -17 *26271:A *2173:21 0.000112674 -18 *26723:A1 *26723:B1 8.32577e-05 -19 *26723:A2 *26723:B1 0.0001305 -20 *26723:A3 *26723:B1 1.08506e-05 -21 *1937:22 *26444:B1 1.27784e-05 -22 *1937:22 *2173:21 0.000713886 -23 *1945:54 *26723:B1 0.000213024 -24 *2107:11 *26444:B1 0.000266479 -25 *2127:77 *26232:B1 9.60939e-05 -26 *2131:45 *26723:B1 0.000123295 -27 *2131:45 *2173:21 0.000215583 -28 *2136:51 *26444:B1 0.000216304 -29 *2136:51 *2173:21 0.000191403 -30 *2172:8 *2173:21 5.51502e-05 -31 *2172:10 *26723:B1 6.32633e-05 -32 *2172:10 *2173:21 3.34687e-05 -*RES -1 *26231:X *2173:5 13.8 -2 *2173:5 *26723:B1 22.1571 -3 *2173:5 *2173:21 16.2679 -4 *2173:21 *26232:B1 14.7464 -5 *2173:21 *26444:B1 20.9071 -*END - -*D_NET *2174 0.00546743 -*CONN -*I *26742:B1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26268:B I *D sky130_fd_sc_hd__or4b_1 -*I *26232:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *26742:B1 0.00036485 -2 *26268:B 0.000345162 -3 *26232:X 0.00044184 -4 *2174:8 0.00115185 -5 *26268:B *2210:17 0.000728854 -6 *2174:8 *26162:A 0.000101545 -7 *2174:8 *2210:17 0.000708896 -8 *26196:A2 *26742:B1 0.000127873 -9 *26225:A2 *26742:B1 9.60939e-05 -10 *26225:C1 *2174:8 0.000221163 -11 *26226:A4 *2174:8 0.00012403 -12 *26229:C *2174:8 9.91086e-05 -13 *26742:A1 *26742:B1 0.000262504 -14 *1937:22 *26268:B 0.000144038 -15 *1964:66 *2174:8 1.721e-05 -16 *2127:77 *2174:8 4.58194e-05 -17 *2131:45 *26268:B 0.000263604 -18 *2136:51 *2174:8 0.000121573 -19 *2173:21 *26268:B 1.8338e-05 -20 *2173:21 *2174:8 8.30806e-05 -*RES -1 *26232:X *2174:8 25.8 -2 *2174:8 *26268:B 23.3357 -3 *2174:8 *26742:B1 20.0857 -*END - -*D_NET *2175 0.0123052 -*CONN -*I *26268:C I *D sky130_fd_sc_hd__or4b_1 -*I *26719:A I *D sky130_fd_sc_hd__or4_1 -*I *26697:A I *D sky130_fd_sc_hd__or3b_1 -*I *26549:A I *D sky130_fd_sc_hd__or3b_1 -*I *26233:Y O *D sky130_fd_sc_hd__nor3_2 -*CAP -1 *26268:C 0.000120726 -2 *26719:A 0.000695928 -3 *26697:A 0.000295362 -4 *26549:A 2.04425e-05 -5 *26233:Y 0 -6 *2175:22 0.00135401 -7 *2175:11 0.000995838 -8 *2175:4 0.000733399 -9 *26268:C *26268:D_N 0.000245182 -10 *26549:A *26267:A2 2.59355e-05 -11 *26697:A *26697:B 0.000137561 -12 *26697:A *2636:8 0.000266024 -13 *26719:A *26433:A_N 0.000344835 -14 *26719:A *2196:35 0.000553597 -15 *26719:A *2359:13 0.000577601 -16 *26719:A *2636:8 6.12335e-05 -17 *26719:A *5664:20 0.000106451 -18 *2175:11 *26267:A2 6.05161e-06 -19 *2175:11 *26268:D_N 5.33005e-05 -20 *2175:11 *2358:17 8.00842e-05 -21 *26198:B *2175:11 4.32957e-05 -22 *26208:A2 *26719:A 6.05161e-06 -23 *26224:A2 *26268:C 4.43256e-05 -24 *26224:D1 *2175:11 2.26973e-05 -25 *26225:B1 *2175:11 0.000120504 -26 *1771:29 *2175:11 0.000186008 -27 *1946:81 *26549:A 5.33005e-05 -28 *1946:81 *2175:11 8.17274e-05 -29 *1946:98 *2175:22 0.000784011 -30 *1946:131 *2175:11 0.000638018 -31 *2046:77 *2175:22 0.000430646 -32 *2049:10 *2175:22 0.0011651 -33 *2104:49 *26719:A 0.000218554 -34 *2126:22 *26268:C 0.000386115 -35 *2126:22 *2175:11 5.33005e-05 -36 *2129:43 *2175:11 2.02794e-05 -37 *2131:63 *26697:A 0.000121258 -38 *2131:63 *26719:A 4.85033e-05 -39 *2145:87 *26697:A 0.000301438 -40 *2165:31 *26719:A 0.000576274 -41 *2165:31 *2175:11 0.000330204 -*RES -1 *26233:Y *2175:4 9.3 -2 *2175:4 *2175:11 23.75 -3 *2175:11 *26549:A 9.83571 -4 *2175:11 *2175:22 24 -5 *2175:22 *26697:A 16.4071 -6 *2175:22 *26719:A 37.05 -7 *2175:4 *26268:C 13.1214 -*END - -*D_NET *2176 0.0297212 -*CONN -*I *26676:B1 I *D sky130_fd_sc_hd__o22a_1 -*I *26277:C I *D sky130_fd_sc_hd__or3_1 -*I *26276:B I *D sky130_fd_sc_hd__or2_1 -*I *26767:A2_N I *D sky130_fd_sc_hd__a2bb2oi_1 -*I *26431:A3 I *D sky130_fd_sc_hd__o32a_1 -*I *26235:B I *D sky130_fd_sc_hd__or2_2 -*I *26269:B I *D sky130_fd_sc_hd__or2_1 -*I *26234:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *26676:B1 4.99847e-05 -2 *26277:C 0.000833744 -3 *26276:B 0.000177381 -4 *26767:A2_N 0.000405403 -5 *26431:A3 0.000103342 -6 *26235:B 0.000323829 -7 *26269:B 0.00015331 -8 *26234:X 0 -9 *2176:112 0.0012782 -10 *2176:101 0.00100556 -11 *2176:70 0.00135753 -12 *2176:44 0.00137402 -13 *2176:27 0.0014487 -14 *2176:18 0.00182145 -15 *2176:4 0.00116117 -16 *26235:B *26235:A 0.000183477 -17 *26235:B *26544:C1 6.81704e-05 -18 *26235:B *26644:A1 6.40939e-05 -19 *26235:B *26644:B1 6.05161e-06 -20 *26235:B *2177:25 9.18429e-05 -21 *26235:B *3510:41 3.97677e-05 -22 *26269:B *26269:A 5.60962e-05 -23 *26269:B *26698:B1 0.0002359 -24 *26269:B *2635:11 2.21639e-05 -25 *26276:B *4530:46 0.000222666 -26 *26277:C *25989:B 9.23096e-05 -27 *26277:C *25991:A 0 -28 *26277:C *26321:C1 4.64967e-05 -29 *26277:C *26676:B2 0.000138881 -30 *26277:C *4353:48 0.000210176 -31 *26277:C *4508:65 0.000108345 -32 *26277:C *4508:70 5.79452e-05 -33 *26676:B1 *26676:B2 5.96516e-05 -34 *26676:B1 *4508:65 0.000135028 -35 *26767:A2_N *26420:A1_N 3.69047e-06 -36 *26767:A2_N *26420:B1 0.000135028 -37 *26767:A2_N *26421:B 0.000297715 -38 *26767:A2_N *2348:8 5.15925e-05 -39 *2176:18 *26175:B1 2.30116e-06 -40 *2176:18 *2470:11 7.83587e-05 -41 *2176:18 *4519:84 6.94238e-05 -42 *2176:18 *4552:105 0.000105594 -43 *2176:27 *26175:B1 4.88798e-05 -44 *2176:27 *26186:A 0.000177941 -45 *2176:27 *26226:A1 0.000118349 -46 *2176:27 *2187:115 0.00120137 -47 *2176:27 *2470:11 0.000143795 -48 *2176:27 *4353:113 0.000170328 -49 *2176:27 *4519:84 0.000221657 -50 *2176:27 *5782:62 1.9643e-05 -51 *2176:44 *2346:38 0.000168046 -52 *2176:70 *26418:B 9.80394e-05 -53 *2176:70 *26596:A3 0.000212284 -54 *2176:70 *26596:B1 0.000114048 -55 *2176:70 *26644:B1 1.98839e-05 -56 *2176:70 *2360:8 0.000129108 -57 *2176:70 *2536:5 5.33005e-05 -58 *2176:70 *3461:13 0.000388655 -59 *2176:70 *3510:41 0.000305966 -60 *2176:70 *5673:207 1.81709e-05 -61 *2176:101 *4541:32 0.000168217 -62 *2176:101 *4541:34 0.000212733 -63 *2176:101 *4541:40 0.000229624 -64 *2176:101 *5782:46 9.89509e-05 -65 *2176:112 *2217:34 0.000523869 -66 *2176:112 *2402:11 0.000123467 -67 *25983:A *2176:101 0.000156185 -68 *26267:C1 *2176:27 0.000385717 -69 *26420:A2_N *26767:A2_N 1.21258e-05 -70 *26596:B2 *2176:70 0.000265025 -71 *26644:A2 *2176:70 2.42516e-05 -72 *26698:A1 *26269:B 0.000303542 -73 *26698:A1 *2176:27 0.000175892 -74 *26767:A1_N *26767:A2_N 9.03486e-05 -75 *1190:39 *2176:101 7.25338e-05 -76 *1393:55 *2176:70 0.000388911 -77 *1909:13 *2176:101 7.03642e-05 -78 *1946:53 *2176:27 0.000300088 -79 *1946:61 *2176:27 0.000844088 -80 *2032:89 *26276:B 3.97677e-05 -81 *2032:89 *2176:112 0.000347511 -82 *2046:10 *2176:101 0.000311578 -83 *2046:10 *2176:112 7.19612e-05 -84 *2046:66 *2176:27 8.85664e-07 -85 *2046:77 *2176:27 1.08359e-05 -86 *2046:77 *2176:70 0.000115063 -87 *2048:10 *2176:18 0.00119536 -88 *2048:36 *2176:101 0.000220448 -89 *2048:36 *2176:112 0.0011371 -90 *2098:57 *26767:A2_N 2.33169e-05 -91 *2099:49 *26431:A3 0.000224384 -92 *2099:49 *2176:70 0.000158656 -93 *2122:9 *2176:70 4.19371e-05 -94 *2126:36 *26767:A2_N 5.31101e-05 -95 *2128:32 *26431:A3 1.66595e-05 -96 *2130:46 *2176:18 0.00100753 -97 *2132:21 *2176:70 0.00022287 -98 *2133:14 *2176:27 0.000374776 -99 *2141:13 *2176:18 0.000178847 -100 *2145:91 *2176:44 0.000718813 -101 *2147:24 *26277:C 1.24368e-05 -102 *2152:92 *2176:44 0.000718813 -103 *2157:84 *2176:70 8.07879e-05 -104 *2159:92 *26269:B 1.39782e-05 -105 *2162:17 *26269:B 9.11048e-06 -106 *2165:31 *26431:A3 0.000262343 -107 *2165:31 *2176:70 2.04825e-05 -*RES -1 *26234:X *2176:4 9.3 -2 *2176:4 *2176:18 33.4732 -3 *2176:18 *2176:27 39.6339 -4 *2176:27 *26269:B 22.5679 -5 *2176:27 *2176:44 18.2321 -6 *2176:44 *26235:B 15.1036 -7 *2176:44 *2176:70 42.4464 -8 *2176:70 *26431:A3 17.2643 -9 *2176:70 *26767:A2_N 29.2821 -10 *2176:4 *2176:101 17.0714 -11 *2176:101 *26276:B 16.8 -12 *2176:101 *2176:112 19.5 -13 *2176:112 *26277:C 25.2286 -14 *2176:112 *26676:B1 10.6571 -*END - -*D_NET *2177 0.0215688 -*CONN -*I *26267:A2 I *D sky130_fd_sc_hd__o2111a_1 -*I *26600:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *26649:A3 I *D sky130_fd_sc_hd__a31o_1 -*I *26648:A I *D sky130_fd_sc_hd__nor2_1 -*I *26305:A2 I *D sky130_fd_sc_hd__o22a_1 -*I *26235:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *26267:A2 0.000873149 -2 *26600:B1 0.000288169 -3 *26649:A3 0 -4 *26648:A 0.000191522 -5 *26305:A2 0.000343209 -6 *26235:X 0 -7 *2177:48 0.000980473 -8 *2177:43 0.000468928 -9 *2177:41 0.0011493 -10 *2177:25 0.00393819 -11 *2177:4 0.00339705 -12 *26267:A2 *26267:B1 0.000424029 -13 *26267:A2 *2635:11 0.000114626 -14 *26267:A2 *5722:76 3.26486e-05 -15 *26267:A2 *5722:80 6.23879e-05 -16 *26267:A2 *5722:112 6.40836e-05 -17 *26305:A2 *26305:B1 0.000141734 -18 *26305:A2 *26648:B 3.29297e-05 -19 *26305:A2 *26779:D_N 0.000103437 -20 *26305:A2 *2204:68 7.62318e-05 -21 *26305:A2 *5566:52 0.000320411 -22 *26648:A *26648:B 0.000226867 -23 *26648:A *26779:A 1.65169e-05 -24 *2177:25 *2226:38 0.000253078 -25 *2177:25 *2667:11 4.30573e-05 -26 *2177:25 *3510:41 5.07116e-05 -27 *2177:25 *6169:62 1.37853e-05 -28 *2177:25 *6169:82 4.79581e-05 -29 *2177:41 *26709:C1 0.000100697 -30 *2177:41 *30992:A 0.000202142 -31 *2177:41 *2190:104 0.000112777 -32 *2177:41 *2471:14 0.000433075 -33 *2177:41 *2489:10 0.00153044 -34 *2177:41 *2587:23 1.46231e-05 -35 *2177:41 *5564:40 0.000257796 -36 *2177:43 *26653:C 9.76435e-06 -37 *2177:48 *26648:B 0.000140253 -38 *2177:48 *26653:C 6.82414e-05 -39 *2177:48 *26654:D_N 0.000153047 -40 *2177:48 *5566:52 0.00023089 -41 *2177:48 *6169:82 0 -42 *26235:B *2177:25 9.18429e-05 -43 *26305:B2 *26305:A2 2.36643e-05 -44 *26549:A *26267:A2 2.59355e-05 -45 *26649:A1 *2177:41 8.78375e-05 -46 *26649:A2 *2177:41 1.31802e-07 -47 *26649:A2 *2177:43 1.24368e-05 -48 *26649:A2 *2177:48 1.00399e-05 -49 *1393:69 *2177:25 0.000214773 -50 *1874:36 *2177:41 0.000577407 -51 *1935:26 *2177:25 0.00159354 -52 *1935:37 *2177:25 0.000175369 -53 *1935:72 *2177:25 1.95113e-06 -54 *1944:43 *2177:25 0.000140144 -55 *1944:52 *2177:25 1.58302e-05 -56 *1946:81 *26267:A2 1.02821e-05 -57 *2043:58 *2177:25 0.000145943 -58 *2046:77 *26267:A2 0.000124406 -59 *2046:115 *2177:48 0 -60 *2047:43 *2177:41 8.85664e-07 -61 *2049:10 *26267:A2 0.000124406 -62 *2127:45 *26600:B1 0.000259549 -63 *2147:74 *2177:41 0.000450632 -64 *2151:53 *2177:41 4.67657e-05 -65 *2155:42 *2177:25 3.37419e-06 -66 *2155:106 *26600:B1 0.00029194 -67 *2155:106 *2177:25 0.00021545 -68 *2159:92 *26267:A2 9.97363e-06 -69 *2175:11 *26267:A2 6.05161e-06 -*RES -1 *26235:X *2177:4 9.3 -2 *2177:4 *2177:25 39.6562 -3 *2177:25 *2177:41 49.6786 -4 *2177:41 *2177:43 0.535714 -5 *2177:43 *2177:48 14.9821 -6 *2177:48 *26305:A2 22.6393 -7 *2177:48 *26648:A 17.2286 -8 *2177:43 *26649:A3 9.3 -9 *2177:25 *26600:B1 15.175 -10 *2177:4 *26267:A2 40.55 -*END - -*D_NET *2178 0.0170671 -*CONN -*I *26442:C_N I *D sky130_fd_sc_hd__or3b_1 -*I *26765:C1 I *D sky130_fd_sc_hd__o211a_1 -*I *26242:C I *D sky130_fd_sc_hd__and4b_1 -*I *26236:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26442:C_N 0 -2 *26765:C1 0 -3 *26242:C 0.000375759 -4 *26236:X 3.15764e-05 -5 *2178:36 0.00211651 -6 *2178:24 0.00303503 -7 *2178:5 0.00132585 -8 *26242:C *26242:D 2.3541e-05 -9 *26242:C *26255:A_N 0.000905832 -10 *26242:C *2180:25 6.21184e-05 -11 *26242:C *2196:47 0.000903403 -12 *2178:24 *26540:A 9.25014e-06 -13 *2178:24 *26589:C_N 0.000276809 -14 *2178:24 *26606:A 0 -15 *2178:24 *26722:A2 7.36248e-05 -16 *2178:24 *2180:30 0.00111793 -17 *2178:24 *5673:126 0.000913824 -18 *2178:36 *26540:A 0.000765065 -19 *2178:36 *26603:A 0.000303705 -20 *2178:36 *26614:A_N 0.000239077 -21 *2178:36 *26614:B 1.62025e-05 -22 *2178:36 *26714:C_N 5.33005e-05 -23 *2178:36 *26722:A2 0 -24 *2178:36 *26743:C 0 -25 *2178:36 *26793:C1 4.43299e-05 -26 *2178:36 *2187:145 0.000473666 -27 *2178:36 *2190:29 6.83553e-05 -28 *2178:36 *2294:27 0 -29 *2178:36 *2383:7 9.58632e-05 -30 *2178:36 *2545:8 0.00026362 -31 *2178:36 *2554:11 0.000554885 -32 *26217:B *2178:24 0.00180546 -33 *26221:A1 *2178:24 0.000902034 -34 *26221:B1 *2178:24 1.54142e-05 -35 *2149:41 *2178:5 2.59355e-05 -36 *2149:41 *2178:24 3.53079e-05 -37 *2149:68 *2178:36 6.07626e-05 -38 *2159:20 *2178:5 6.05161e-06 -39 *2159:20 *2178:24 5.96516e-05 -40 *2161:107 *2178:36 0.000107363 -*RES -1 *26236:X *2178:5 9.83571 -2 *2178:5 *26242:C 31.3179 -3 *2178:5 *2178:24 38.1607 -4 *2178:24 *26765:C1 13.8 -5 *2178:24 *2178:36 47.8393 -6 *2178:36 *26442:C_N 9.3 -*END - -*D_NET *2179 0.0192436 -*CONN -*I *26252:B I *D sky130_fd_sc_hd__nor2_2 -*I *26270:B I *D sky130_fd_sc_hd__or2_1 -*I *26238:B I *D sky130_fd_sc_hd__or2_1 -*I *26237:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *26252:B 0 -2 *26270:B 0.000382372 -3 *26238:B 0.000355611 -4 *26237:X 0.000136924 -5 *2179:42 0.00132864 -6 *2179:27 0.00249476 -7 *2179:7 0.00204102 -8 *26238:B *25791:A0 0.000142955 -9 *26238:B *26237:A 0 -10 *26238:B *5564:32 0.00021642 -11 *26238:B *5565:35 0 -12 *26270:B *30993:A 0.000389591 -13 *26270:B *2224:8 0.000220279 -14 *26270:B *2240:156 4.38461e-05 -15 *26270:B *2242:27 4.58194e-05 -16 *26270:B *2479:8 0.000795633 -17 *2179:7 *26237:B 0.000101859 -18 *2179:27 *25797:A0 0.000267814 -19 *2179:27 *26237:A 0 -20 *2179:27 *26253:A 0 -21 *2179:27 *26653:B 0.000164994 -22 *2179:27 *26706:A2 0.000215056 -23 *2179:27 *30984:A 1.5942e-05 -24 *2179:27 *2195:6 0.000513623 -25 *2179:27 *2195:17 0.000148315 -26 *2179:27 *2580:23 0.000204265 -27 *2179:27 *2639:8 0.000291637 -28 *2179:27 *3510:108 0 -29 *2179:27 *3510:137 0 -30 *2179:27 *5565:35 0 -31 *2179:27 *5566:41 0.000368513 -32 *2179:27 *5566:52 0 -33 *2179:27 *5664:182 0 -34 *2179:27 *5664:201 0 -35 *2179:27 *5722:202 0 -36 *2179:42 *26643:C 0.000362055 -37 *2179:42 *26653:B 1.19021e-05 -38 *2179:42 *26731:D_N 3.55471e-05 -39 *2179:42 *30994:A 0.00018381 -40 *2179:42 *2242:27 3.97677e-05 -41 *2179:42 *2580:23 0.000402016 -42 *2179:42 *5542:44 0.00144962 -43 *2179:42 *5573:31 0.00161877 -44 *25793:A1 *2179:27 0.000247786 -45 *25812:S *2179:7 2.94004e-05 -46 *26203:B *2179:27 2.09826e-05 -47 *26270:A *26270:B 9.41642e-05 -48 *26282:B *26270:B 0.000104974 -49 *26305:B2 *2179:27 0.000173015 -50 *26706:A1 *2179:42 0.000152348 -51 *27980:A1 *2179:27 0.000505335 -52 *1393:110 *2179:27 0.000100248 -53 *1393:124 *2179:27 0.000825147 -54 *1545:96 *26238:B 0.000230139 -55 *1545:96 *2179:27 0.000479171 -56 *1871:63 *2179:27 0.000311036 -57 *1935:37 *2179:27 0.000312137 -58 *2131:72 *2179:42 0.000142156 -59 *2154:61 *26270:B 9.41642e-05 -60 *2154:61 *2179:42 0.000432038 -*RES -1 *26237:X *2179:7 16.3893 -2 *2179:7 *26238:B 22.2643 -3 *2179:7 *2179:27 48.9375 -4 *2179:27 *2179:42 46.1696 -5 *2179:42 *26270:B 31.1571 -6 *2179:42 *26252:B 9.3 -*END - -*D_NET *2180 0.0134058 -*CONN -*I *26540:A I *D sky130_fd_sc_hd__nand2_1 -*I *26765:B1 I *D sky130_fd_sc_hd__o211a_1 -*I *26242:B I *D sky130_fd_sc_hd__and4b_1 -*I *26238:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26540:A 0.000836985 -2 *26765:B1 1.1624e-05 -3 *26242:B 0 -4 *26238:X 0.00036223 -5 *2180:30 0.00196357 -6 *2180:25 0.00194583 -7 *2180:13 0.0011931 -8 *26540:A *26743:C 0.000405727 -9 *26540:A *2190:29 0.00133719 -10 *26765:B1 *26765:A2 5.52238e-05 -11 *26765:B1 *26766:C_N 5.52238e-05 -12 *2180:13 *2183:33 9.60939e-05 -13 *2180:13 *3510:137 0.00015304 -14 *2180:25 *26242:D 2.524e-05 -15 *2180:25 *26258:D 0.000184745 -16 *2180:25 *2199:17 0.000823609 -17 *2180:30 *26247:B 0.000178101 -18 *2180:30 *26606:A 0 -19 *26242:C *2180:25 6.21184e-05 -20 *26257:A *2180:13 2.59355e-05 -21 *26257:A *2180:25 5.33005e-05 -22 *26257:B *2180:13 0.000712712 -23 *26257:B *2180:25 0.000528508 -24 *26531:B *2180:30 0.000381859 -25 *1862:28 *26540:A 0 -26 *1862:28 *2180:30 0 -27 *1862:34 *2180:30 0 -28 *2149:59 *2180:30 0.000121573 -29 *2153:10 *2180:30 0 -30 *2178:24 *26540:A 9.25014e-06 -31 *2178:24 *2180:30 0.00111793 -32 *2178:36 *26540:A 0.000765065 -*RES -1 *26238:X *2180:13 27.0857 -2 *2180:13 *26242:B 9.3 -3 *2180:13 *2180:25 24.8929 -4 *2180:25 *2180:30 26.8929 -5 *2180:30 *26765:B1 14.3357 -6 *2180:30 *26540:A 36.4964 -*END - -*D_NET *2181 0.0104313 -*CONN -*I *26722:C1 I *D sky130_fd_sc_hd__o211ai_1 -*I *26242:D I *D sky130_fd_sc_hd__and4b_1 -*I *26239:X O *D sky130_fd_sc_hd__o22a_1 -*CAP -1 *26722:C1 7.96098e-05 -2 *26242:D 0.000253377 -3 *26239:X 0.000105728 -4 *2181:25 0.00204633 -5 *2181:5 0.00232583 -6 *26242:D *26237:B 0.000368111 -7 *26242:D *26255:A_N 0.000794358 -8 *26242:D *3564:14 0.000176907 -9 *26242:D *5673:82 5.58941e-05 -10 *26242:D *5673:84 5.83304e-05 -11 *26722:C1 *2315:29 0.000123295 -12 *26722:C1 *6357:19 0 -13 *2181:25 *26539:A 0.00095649 -14 *2181:25 *2186:12 0.000958205 -15 *26242:C *26242:D 2.3541e-05 -16 *2104:143 *2181:25 0.00138756 -17 *2152:202 *2181:5 0.000137983 -18 *2152:212 *2181:5 1.24368e-05 -19 *2152:212 *2181:25 0.000542024 -20 *2180:25 *26242:D 2.524e-05 -*RES -1 *26239:X *2181:5 10.6571 -2 *2181:5 *26242:D 28.6795 -3 *2181:5 *2181:25 48.6071 -4 *2181:25 *26722:C1 15.4429 -*END - -*D_NET *2182 0.00422037 -*CONN -*I *26241:B1 I *D sky130_fd_sc_hd__a41o_1 -*I *26643:A I *D sky130_fd_sc_hd__or4_1 -*I *26240:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26241:B1 0.000457032 -2 *26643:A 0.000481755 -3 *26240:Y 0.000256556 -4 *2182:8 0.00119534 -5 *26241:B1 *2183:11 4.58194e-05 -6 *26643:A *26654:D_N 0.000123295 -7 *26643:A *26730:B 0.000180066 -8 *26643:A *30992:A 5.80858e-06 -9 *26643:A *3510:90 5.36703e-05 -10 *2182:8 *6169:62 9.11048e-06 -11 *26240:A *2182:8 9.18347e-05 -12 *1186:55 *26241:B1 0.000220333 -13 *1393:90 *26643:A 0.000589692 -14 *1393:90 *2182:8 0.000140368 -15 *1393:110 *26643:A 1.53472e-05 -16 *2154:61 *26241:B1 0.00034295 -17 *2155:106 *2182:8 1.13883e-05 -*RES -1 *26240:Y *2182:8 17.925 -2 *2182:8 *26643:A 25.1036 -3 *2182:8 *26241:B1 22.55 -*END - -*D_NET *2183 0.0180336 -*CONN -*I *26242:A_N I *D sky130_fd_sc_hd__and4b_1 -*I *26731:A I *D sky130_fd_sc_hd__or4b_1 -*I *26241:X O *D sky130_fd_sc_hd__a41o_1 -*CAP -1 *26242:A_N 0 -2 *26731:A 0.000434291 -3 *26241:X 0.000606881 -4 *2183:33 0.000987212 -5 *2183:29 0.00175303 -6 *2183:11 0.00180699 -7 *26731:A *26709:C1 3.23614e-05 -8 *26731:A *2646:15 4.50349e-06 -9 *26731:A *2669:11 0.00039047 -10 *2183:11 *26709:D1 0.000171375 -11 *2183:11 *26710:A 6.76944e-05 -12 *2183:11 *26710:C 0.000219074 -13 *2183:11 *26710:D 0.000100823 -14 *2183:11 *2250:31 1.18064e-05 -15 *2183:11 *2638:14 0.00122547 -16 *2183:29 *26540:B 0.000136951 -17 *2183:29 *26702:A2 0.000544691 -18 *2183:29 *26708:C1 0.000149588 -19 *2183:29 *26710:C 9.58126e-05 -20 *2183:29 *5673:40 0.000547274 -21 *2183:29 *5673:51 0.000694515 -22 *2183:33 *26237:B 0.000170289 -23 *2183:33 *26255:A_N 0 -24 *2183:33 *26266:D 0.000702063 -25 *2183:33 *26539:C 2.39779e-05 -26 *2183:33 *26548:A 0.000718099 -27 *2183:33 *26776:B1 3.92757e-05 -28 *2183:33 *28878:A 3.45894e-05 -29 *2183:33 *2196:14 5.02836e-05 -30 *2183:33 *3564:14 0.000414762 -31 *2183:33 *5673:76 0.00030978 -32 *2183:33 *5673:82 0.000345915 -33 *2183:33 *5673:84 2.82057e-05 -34 *26206:B *2183:29 9.91086e-05 -35 *26241:B1 *2183:11 4.58194e-05 -36 *26709:A1 *2183:11 0.00131814 -37 *2142:26 *2183:11 0.000295434 -38 *2142:31 *2183:29 0.000129366 -39 *2145:29 *2183:33 0.00212691 -40 *2145:81 *2183:29 0.000268567 -41 *2149:30 *2183:33 0.000155244 -42 *2151:53 *26731:A 8.48807e-05 -43 *2151:53 *2183:29 5.33005e-05 -44 *2151:56 *2183:29 0.000140354 -45 *2161:54 *2183:11 2.69389e-05 -46 *2161:54 *2183:29 0.000327804 -47 *2161:63 *2183:29 4.75911e-05 -48 *2180:13 *2183:33 9.60939e-05 -*RES -1 *26241:X *2183:11 40.4786 -2 *2183:11 *26731:A 18.05 -3 *2183:11 *2183:29 37.7143 -4 *2183:29 *2183:33 42.6071 -5 *2183:33 *26242:A_N 9.3 -*END - -*D_NET *2184 0.00144692 -*CONN -*I *26266:A I *D sky130_fd_sc_hd__and4_1 -*I *26242:X O *D sky130_fd_sc_hd__and4b_1 -*CAP -1 *26266:A 0.000419759 -2 *26242:X 0.000419759 -3 *26266:A *2203:19 3.25247e-05 -4 *26257:B *26266:A 0.000522451 -5 *1874:36 *26266:A 5.24274e-05 -*RES -1 *26242:X *26266:A 33.9929 -*END - -*D_NET *2185 0.0111497 -*CONN -*I *26610:A I *D sky130_fd_sc_hd__or3b_1 -*I *26531:C I *D sky130_fd_sc_hd__or4_1 -*I *26251:A I *D sky130_fd_sc_hd__or4_1 -*I *26243:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26610:A 0 -2 *26531:C 0.00103006 -3 *26251:A 0.000588429 -4 *26243:Y 6.59684e-05 -5 *2185:24 0.0017826 -6 *2185:5 0.00140694 -7 *26251:A *26251:C 0.000426956 -8 *26251:A *26259:B 0.000405024 -9 *26251:A *26606:A 0 -10 *26251:A *26713:B2 4.49293e-05 -11 *26251:A *26715:C1 0.000164832 -12 *26251:A *29438:A 0 -13 *26251:A *5267:17 0 -14 *26531:C *26539:B 0.00014285 -15 *26531:C *26722:A2 9.60939e-05 -16 *26531:C *26722:B1 0.000504655 -17 *26531:C *2677:23 0.000548428 -18 *2185:24 *26217:A 1.55885e-05 -19 *2185:24 *26440:B1 0.000146474 -20 *2185:24 *26715:D1 5.33433e-05 -21 *2185:24 *2201:23 0.000278603 -22 *2185:24 *5664:266 0.000222892 -23 *2185:24 *5722:167 0 -24 *26217:B *2185:5 9.90367e-05 -25 *26217:B *2185:24 0.000212153 -26 *26243:A *26251:A 0.000196269 -27 *26440:A2 *2185:24 9.64979e-05 -28 *26531:A *26531:C 0.000355009 -29 *26531:B *26531:C 0.000598894 -30 *26722:A1 *26531:C 0.000105555 -31 *2005:92 *2185:24 0.000197269 -32 *2142:119 *26251:A 0.00131108 -33 *2149:59 *26251:A 5.33005e-05 -*RES -1 *26243:Y *2185:5 10.2464 -2 *2185:5 *26251:A 38.6036 -3 *2185:5 *2185:24 24.5893 -4 *2185:24 *26531:C 33.4429 -5 *2185:24 *26610:A 9.3 -*END - -*D_NET *2186 0.0064222 -*CONN -*I *26251:B I *D sky130_fd_sc_hd__or4_1 -*I *26541:B1_N I *D sky130_fd_sc_hd__o21bai_1 -*I *26739:B I *D sky130_fd_sc_hd__or2_1 -*I *26244:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26251:B 0.000418724 -2 *26541:B1_N 0.000293314 -3 *26739:B 0.00022983 -4 *26244:Y 0.000207996 -5 *2186:12 0.00105582 -6 *2186:9 0.00115939 -7 *26541:B1_N *2190:12 9.32359e-05 -8 *26541:B1_N *2194:52 0.000101136 -9 *26739:B *2194:52 5.33005e-05 -10 *2186:12 *26539:A 0.000148381 -11 *2186:12 *2190:12 0.000912072 -12 *2186:12 *2194:52 3.06466e-05 -13 *26541:A1 *26541:B1_N 2.47753e-05 -14 *373:12 *2186:9 7.83659e-05 -15 *373:12 *2186:12 9.00379e-05 -16 *1771:29 *2186:12 0 -17 *2136:89 *26739:B 0.000226513 -18 *2142:103 *26541:B1_N 9.3111e-05 -19 *2142:103 *26739:B 7.32272e-05 -20 *2142:119 *26739:B 1.21289e-05 -21 *2143:5 *26739:B 4.58976e-05 -22 *2149:59 *2186:9 0 -23 *2159:20 *2186:12 0.000116098 -24 *2181:25 *2186:12 0.000958205 -*RES -1 *26244:Y *2186:9 21.1036 -2 *2186:9 *2186:12 22.8393 -3 *2186:12 *26739:B 18.4429 -4 *2186:12 *26541:B1_N 18.1393 -5 *2186:9 *26251:B 13.9429 -*END - -*D_NET *2187 0.0644499 -*CONN -*I *26246:A I *D sky130_fd_sc_hd__inv_2 -*I *26247:B I *D sky130_fd_sc_hd__nor2_1 -*I *26248:B I *D sky130_fd_sc_hd__or2_4 -*I *26265:A2 I *D sky130_fd_sc_hd__o221a_1 -*I *26263:B I *D sky130_fd_sc_hd__nor2_1 -*I *26485:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26475:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26327:A1 I *D sky130_fd_sc_hd__o211a_1 -*I *26326:B2 I *D sky130_fd_sc_hd__o22a_1 -*I *26325:A3 I *D sky130_fd_sc_hd__o311a_1 -*I *26245:X O *D sky130_fd_sc_hd__or3_4 -*CAP -1 *26246:A 9.32295e-05 -2 *26247:B 0.000523224 -3 *26248:B 0 -4 *26265:A2 0.000151871 -5 *26263:B 0.000141528 -6 *26485:A1 0 -7 *26475:A1 0.000315711 -8 *26327:A1 0.000271796 -9 *26326:B2 0 -10 *26325:A3 3.57808e-05 -11 *26245:X 2.89939e-05 -12 *2187:173 0.00155934 -13 *2187:164 0.00274893 -14 *2187:145 0.00176833 -15 *2187:141 0.00162826 -16 *2187:131 0.00186445 -17 *2187:122 0.00236553 -18 *2187:115 0.00184924 -19 *2187:109 0.00142944 -20 *2187:73 0.00235632 -21 *2187:36 0.000487175 -22 *2187:25 0.00086268 -23 *2187:22 0.00208559 -24 *2187:11 0.00412836 -25 *2187:5 0.00145042 -26 *26246:A *26545:B1 0.00022459 -27 *26246:A *26603:B 2.72545e-05 -28 *26246:A *2545:8 1.37292e-05 -29 *26265:A2 *2208:8 0.000167871 -30 *26327:A1 *26326:B1 3.63587e-05 -31 *26327:A1 *26327:B1 0.00011942 -32 *26327:A1 *26327:C1 0.000136958 -33 *26327:A1 *26335:B1 0 -34 *26327:A1 *2227:19 6.73534e-05 -35 *26327:A1 *2269:23 0.000192313 -36 *26327:A1 *4475:21 2.60996e-05 -37 *26327:A1 *6203:11 8.93791e-05 -38 *26475:A1 *26475:A2 9.60939e-05 -39 *26475:A1 *26477:A2 2.06178e-05 -40 *26475:A1 *26486:B 2.61099e-05 -41 *26475:A1 *26486:D 3.76357e-05 -42 *26475:A1 *2217:82 6.93335e-05 -43 *2187:11 *2260:33 6.13757e-06 -44 *2187:11 *4530:48 1.08192e-05 -45 *2187:11 *4530:50 5.67634e-05 -46 *2187:11 *4530:56 0.000115654 -47 *2187:11 *5782:46 0.000175892 -48 *2187:22 *26481:A 2.68632e-05 -49 *2187:22 *26572:A4 0.000451426 -50 *2187:22 *26788:A3 0.000206976 -51 *2187:22 *26788:B1 0.000211661 -52 *2187:22 *2226:84 0.000398213 -53 *2187:22 *2400:10 5.86416e-05 -54 *2187:22 *2495:14 0.000400288 -55 *2187:22 *5673:264 1.20379e-05 -56 *2187:22 *5673:281 1.52978e-05 -57 *2187:22 *6291:54 3.65881e-05 -58 *2187:25 *6291:40 0.000106953 -59 *2187:36 *26325:C1 5.41794e-05 -60 *2187:36 *4353:34 0.000489535 -61 *2187:36 *4475:21 2.61928e-05 -62 *2187:36 *4530:14 0.000142021 -63 *2187:36 *4563:21 0.000312029 -64 *2187:73 *26475:A2 0.000159157 -65 *2187:73 *26477:A2 5.09065e-05 -66 *2187:73 *26483:B1 6.57914e-05 -67 *2187:73 *26562:A3 6.2589e-06 -68 *2187:73 *26689:B 5.74499e-06 -69 *2187:73 *2260:33 0.000105386 -70 *2187:73 *2502:12 0.000677367 -71 *2187:73 *2684:11 0.00115901 -72 *2187:109 *5782:46 0.000302493 -73 *2187:109 *5782:51 0.000400681 -74 *2187:115 *5782:62 6.1684e-05 -75 *2187:122 *26268:D_N 0.000378887 -76 *2187:122 *26596:B1 0.000221163 -77 *2187:122 *2196:35 5.88682e-05 -78 *2187:122 *2490:23 2.06178e-05 -79 *2187:122 *2636:8 0.00101887 -80 *2187:131 *26193:A 0.000550257 -81 *2187:131 *2194:45 0.000364477 -82 *2187:131 *2485:24 0.000249212 -83 *2187:131 *2636:28 0.000410195 -84 *2187:131 *2672:19 0.00016968 -85 *2187:141 *26545:A3 2.35432e-05 -86 *2187:141 *26545:B1 5.52238e-05 -87 *2187:141 *2486:21 9.71197e-05 -88 *2187:141 *2718:10 0.000353073 -89 *2187:141 *5664:131 0.000623375 -90 *2187:145 *26603:B 0.000301204 -91 *2187:145 *26743:C 0 -92 *2187:145 *2536:25 4.2383e-05 -93 *2187:145 *2545:8 7.86094e-05 -94 *2187:164 *26609:A 9.60337e-06 -95 *2187:173 *26248:A 9.90431e-05 -96 *26108:A *2187:22 0.000435512 -97 *26178:B1_N *2187:109 0.000190554 -98 *26191:A *2187:115 0.000135028 -99 *26195:A1 *2187:122 9.03514e-05 -100 *26210:B *2187:173 0.000266473 -101 *26211:A *26247:B 0 -102 *26221:A1 *2187:173 0.000368407 -103 *26221:B1 *26247:B 0.000438788 -104 *26221:B1 *2187:173 0.00048555 -105 *26223:B *2187:164 0.0011525 -106 *26236:B *2187:173 0.000240986 -107 *26257:B *26265:A2 3.17148e-05 -108 *26263:A *26263:B 3.69047e-06 -109 *26263:A *2187:145 5.27209e-06 -110 *26283:A *2187:73 0.000139907 -111 *26283:B *2187:73 5.33005e-05 -112 *26324:B1 *26325:A3 0.00013833 -113 *26324:B1 *2187:25 0.000166861 -114 *26325:A1 *26325:A3 0.000136676 -115 *26325:A1 *2187:25 0.000385699 -116 *26335:A3 *26327:A1 3.84261e-05 -117 *26458:B2 *2187:22 2.4227e-05 -118 *26476:A1 *26475:A1 0.000159099 -119 *26545:A1 *26246:A 0.000117553 -120 *26545:A1 *2187:141 1.00073e-05 -121 *26545:A2 *2187:141 5.52662e-05 -122 *26573:A2 *2187:22 0.000244628 -123 *26600:A2 *2187:131 0.000104534 -124 *26608:A *26246:A 9.25014e-06 -125 *26608:A *2187:145 0.000266099 -126 *26689:A *26475:A1 9.60939e-05 -127 *26746:C1 *2187:73 0.000141859 -128 *373:32 *2187:131 0.000915695 -129 *1187:7 *2187:25 0.000174275 -130 *1187:41 *2187:5 2.89114e-05 -131 *1187:41 *2187:109 6.12335e-05 -132 *1188:85 *26475:A1 0.000292227 -133 *1189:14 *2187:109 3.17264e-05 -134 *1862:22 *2187:73 1.39841e-05 -135 *1862:28 *2187:164 0.00183837 -136 *1862:34 *2187:164 0.000130529 -137 *1911:61 *2187:11 5.97507e-05 -138 *1932:37 *2187:11 0.000695382 -139 *1932:63 *2187:122 4.15161e-05 -140 *1942:169 *2187:73 0.000219841 -141 *1945:10 *2187:11 1.21258e-05 -142 *1946:98 *2187:122 1.90936e-05 -143 *1955:31 *2187:22 9.5561e-05 -144 *1958:19 *2187:73 2.01997e-05 -145 *1963:8 *2187:22 0.000383595 -146 *1963:8 *2187:109 1.09232e-05 -147 *1993:8 *2187:22 0.000349151 -148 *1993:10 *2187:22 0.00022867 -149 *1993:12 *2187:22 9.0573e-05 -150 *2018:18 *2187:73 6.8646e-06 -151 *2025:114 *2187:73 5.55317e-05 -152 *2032:150 *2187:22 0.000672626 -153 *2032:170 *2187:109 2.77721e-05 -154 *2046:66 *2187:115 0.00140123 -155 *2046:66 *2187:122 5.88652e-05 -156 *2046:77 *2187:122 8.40351e-06 -157 *2049:8 *2187:122 0.000189645 -158 *2049:10 *2187:122 0.00171025 -159 *2128:10 *2187:122 0.000927411 -160 *2128:41 *2187:131 0.000288263 -161 *2131:139 *2187:22 0 -162 *2133:14 *2187:115 0.000311281 -163 *2133:32 *2187:122 0.00012401 -164 *2133:56 *2187:109 0.000425683 -165 *2133:198 *2187:141 0.000255116 -166 *2136:89 *2187:131 7.02611e-05 -167 *2141:13 *2187:11 8.30774e-05 -168 *2141:71 *26327:A1 0 -169 *2141:106 *2187:109 0.0010422 -170 *2142:26 *2187:122 0.00014529 -171 *2142:119 *2187:164 0 -172 *2142:153 *2187:141 0.000136247 -173 *2145:8 *26265:A2 0.000146992 -174 *2145:29 *26265:A2 5.33005e-05 -175 *2147:136 *2187:73 7.08123e-05 -176 *2149:41 *2187:173 0.000304394 -177 *2152:13 *26265:A2 2.42516e-05 -178 *2153:10 *26247:B 0 -179 *2154:61 *2187:131 0.000344225 -180 *2154:111 *2187:22 0.000211589 -181 *2154:122 *2187:22 0.000168661 -182 *2156:10 *2187:164 0 -183 *2157:84 *2187:122 0.000555201 -184 *2176:27 *2187:115 0.00120137 -185 *2178:36 *2187:145 0.000473666 -186 *2180:30 *26247:B 0.000178101 -*RES -1 *26245:X *2187:5 9.83571 -2 *2187:5 *2187:11 21.2321 -3 *2187:11 *2187:22 45.9286 -4 *2187:22 *2187:25 15.7143 -5 *2187:25 *26325:A3 10.6571 -6 *2187:25 *2187:36 16.7143 -7 *2187:36 *26326:B2 9.3 -8 *2187:36 *26327:A1 24.8179 -9 *2187:11 *2187:73 39.9598 -10 *2187:73 *26475:A1 35.4964 -11 *2187:73 *26485:A1 9.3 -12 *2187:5 *2187:109 32.875 -13 *2187:109 *2187:115 29.9107 -14 *2187:115 *2187:122 49 -15 *2187:122 *2187:131 43.7679 -16 *2187:131 *2187:141 25.9107 -17 *2187:141 *2187:145 21.4107 -18 *2187:145 *26263:B 11.6839 -19 *2187:145 *2187:164 35.8393 -20 *2187:164 *2187:173 21.25 -21 *2187:173 *26265:A2 21.9071 -22 *2187:173 *26248:B 9.3 -23 *2187:164 *26247:B 26.9071 -24 *2187:141 *26246:A 16.7107 -*END - -*D_NET *2188 0.00522348 -*CONN -*I *26428:A3 I *D sky130_fd_sc_hd__a31o_1 -*I *26545:A3 I *D sky130_fd_sc_hd__a31o_1 -*I *26246:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *26428:A3 0.000494485 -2 *26545:A3 0.000384282 -3 *26246:Y 0 -4 *2188:4 0.000878767 -5 *26428:A3 *2376:15 0.000247786 -6 *26428:A3 *2661:26 0.00052537 -7 *26428:A3 *2672:19 0.000546671 -8 *26545:A3 *26603:D 5.7616e-05 -9 *26545:A3 *26724:B 5.41794e-05 -10 *26415:A2 *26428:A3 3.5298e-05 -11 *26427:A2 *26428:A3 0.000171338 -12 *26428:A2 *26428:A3 0.000171338 -13 *26545:A1 *26545:A3 0.000356028 -14 *26545:A2 *26545:A3 0.000147465 -15 *2142:153 *26428:A3 0.000985239 -16 *2149:87 *26428:A3 0.000144079 -17 *2187:141 *26545:A3 2.35432e-05 -*RES -1 *26246:Y *2188:4 9.3 -2 *2188:4 *26545:A3 24.2107 -3 *2188:4 *26428:A3 37.0232 -*END - -*D_NET *2189 0.00503449 -*CONN -*I *26715:C1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26251:C I *D sky130_fd_sc_hd__or4_1 -*I *26247:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26715:C1 0.00137415 -2 *26251:C 0.000380667 -3 *26247:Y 0 -4 *2189:4 0.00175482 -5 *26715:C1 *26259:B 3.67257e-05 -6 *26715:C1 *2198:23 0.00031275 -7 *26715:C1 *2201:8 9.7659e-05 -8 *26715:C1 *3740:30 0.000157474 -9 *26251:A *26251:C 0.000426956 -10 *26251:A *26715:C1 0.000164832 -11 *26256:A *26715:C1 1.58163e-05 -12 *26256:B *26715:C1 0.000210753 -13 *2142:119 *26715:C1 3.61629e-06 -14 *2142:125 *26715:C1 9.22103e-06 -15 *2149:59 *26715:C1 1.58163e-05 -16 *2153:10 *26251:C 7.3237e-05 -*RES -1 *26247:Y *2189:4 9.3 -2 *2189:4 *26251:C 18.0857 -3 *2189:4 *26715:C1 38.5143 -*END - -*D_NET *2190 0.0591974 -*CONN -*I *26260:B1 I *D sky130_fd_sc_hd__o22a_1 -*I *26249:B I *D sky130_fd_sc_hd__nor2_1 -*I *26803:A2 I *D sky130_fd_sc_hd__o2111a_1 -*I *26451:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *26303:B I *D sky130_fd_sc_hd__or2_1 -*I *26525:A3 I *D sky130_fd_sc_hd__a41o_1 -*I *26650:A3 I *D sky130_fd_sc_hd__a31o_1 -*I *26705:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26800:B2 I *D sky130_fd_sc_hd__o22a_1 -*I *26412:B2 I *D sky130_fd_sc_hd__o22ai_1 -*I *26248:X O *D sky130_fd_sc_hd__or2_4 -*CAP -1 *26260:B1 0.000302262 -2 *26249:B 6.21578e-05 -3 *26803:A2 8.26616e-05 -4 *26451:A2 0.000171565 -5 *26303:B 1.16062e-05 -6 *26525:A3 7.30841e-05 -7 *26650:A3 0.000486798 -8 *26705:A1 1.54414e-05 -9 *26800:B2 8.18433e-05 -10 *26412:B2 0 -11 *26248:X 6.61485e-05 -12 *2190:139 0.000266092 -13 *2190:134 0.00362593 -14 *2190:133 0.0035851 -15 *2190:122 0.00595304 -16 *2190:104 0.00669086 -17 *2190:80 0.00200828 -18 *2190:58 0.00121412 -19 *2190:38 0.000900843 -20 *2190:29 0.00168573 -21 *2190:12 0.00246763 -22 *2190:9 0.000987487 -23 *2190:6 0.000717978 -24 *26249:B *26249:A 0.000221634 -25 *26249:B *5722:187 0.000108525 -26 *26260:B1 *26260:A2 0.000267706 -27 *26260:B1 *26260:B2 3.8624e-05 -28 *26260:B1 *2204:85 4.1639e-05 -29 *26260:B1 *5664:209 5.7661e-06 -30 *26260:B1 *5673:76 0.000135028 -31 *26451:A2 *5007:48 8.03134e-05 -32 *26650:A3 *26653:D 1.58163e-05 -33 *26650:A3 *3510:90 9.53224e-05 -34 *26650:A3 *6169:82 7.9204e-05 -35 *26705:A1 *26705:A2 1.00733e-05 -36 *26705:A1 *2250:31 0 -37 *26800:B2 *26800:A2 4.58194e-05 -38 *2190:6 *26248:A 7.83587e-05 -39 *2190:9 *26249:A 0.000555487 -40 *2190:9 *5722:187 0.000195594 -41 *2190:12 *2194:52 0.000359002 -42 *2190:29 *26540:B 1.92905e-05 -43 *2190:29 *26609:A 0.000649333 -44 *2190:29 *26743:C 3.97677e-05 -45 *2190:29 *2353:7 2.42516e-05 -46 *2190:29 *2481:8 9.99644e-06 -47 *2190:29 *2545:8 4.32258e-05 -48 *2190:29 *5209:6 5.79313e-05 -49 *2190:29 *5664:260 2.82997e-06 -50 *2190:29 *5722:167 0.00012726 -51 *2190:38 *26547:D 3.29901e-05 -52 *2190:38 *26777:A 0.000158407 -53 *2190:38 *26781:A2 0.000101915 -54 *2190:38 *2194:52 5.08577e-05 -55 *2190:38 *2481:8 0.00015127 -56 *2190:58 *26705:A2 6.46382e-05 -57 *2190:58 *26705:B1 3.97677e-05 -58 *2190:58 *26781:A1 0.000222244 -59 *2190:58 *26781:A3 2.42516e-05 -60 *2190:58 *2194:45 0.000532926 -61 *2190:58 *2644:7 2.59355e-05 -62 *2190:58 *2718:10 0.000260152 -63 *2190:80 *26702:B1 9.60939e-05 -64 *2190:80 *2250:31 0.000351963 -65 *2190:80 *2587:23 1.09611e-05 -66 *2190:80 *2587:31 4.35597e-05 -67 *2190:80 *5564:40 2.21972e-05 -68 *2190:80 *6169:82 4.8817e-05 -69 *2190:104 *26654:A 0.000125243 -70 *2190:104 *26730:B 0.000461257 -71 *2190:104 *2594:8 0.000179656 -72 *2190:104 *2667:11 4.1342e-05 -73 *2190:104 *5564:40 3.94839e-05 -74 *2190:104 *6169:82 0.00125469 -75 *2190:122 *26544:C1 0.000722924 -76 *2190:122 *2230:19 0.000255457 -77 *2190:122 *2346:38 2.33699e-05 -78 *2190:122 *2582:28 0.000682664 -79 *2190:122 *2587:11 0.001822 -80 *2190:122 *2667:11 0.000338767 -81 *2190:122 *5722:76 3.79901e-06 -82 *2190:122 *6169:44 0.000480568 -83 *2190:122 *6169:62 0.000183485 -84 *2190:133 *2230:19 0.000487721 -85 *2190:133 *6169:44 0.000477757 -86 *2190:134 *26167:A_N 1.38239e-05 -87 *2190:134 *26167:B 2.82057e-05 -88 *2190:139 *26803:B1 8.00806e-05 -89 *2190:139 *5007:48 3.34687e-05 -90 *26001:A *2190:134 0.000265628 -91 *26075:A *2190:134 2.89114e-05 -92 *26094:A *2190:134 0.000157311 -93 *26094:B *2190:134 2.89016e-05 -94 *26130:A *2190:134 0.000140661 -95 *26169:C *2190:134 2.59355e-05 -96 *26229:B *2190:134 0.000216755 -97 *26260:A1 *26260:B1 0.000347785 -98 *26264:A2 *2190:9 0.000552525 -99 *26412:A1 *2190:29 1.43508e-05 -100 *26412:A2 *2190:29 5.33005e-05 -101 *26451:B1 *26451:A2 9.60939e-05 -102 *26525:A2 *26525:A3 9.58126e-05 -103 *26540:A *2190:29 0.00133719 -104 *26541:B1_N *2190:12 9.32359e-05 -105 *26803:C1 *2190:134 3.98547e-05 -106 *373:12 *2190:12 0.000880754 -107 *1874:36 *26260:B1 0.000356431 -108 *1874:36 *2190:6 0.000145753 -109 *1902:41 *2190:134 1.98839e-05 -110 *1902:59 *2190:134 9.76435e-06 -111 *1908:35 *2190:134 0.00014183 -112 *1908:44 *2190:134 4.18895e-05 -113 *1942:169 *26803:A2 0.000122591 -114 *1942:169 *2190:134 0.000137983 -115 *1950:25 *2190:134 5.52302e-05 -116 *1965:31 *2190:122 0.000131496 -117 *2024:54 *26303:B 5.52302e-05 -118 *2036:5 *2190:134 0.000348207 -119 *2036:17 *2190:134 0.000255547 -120 *2038:140 *26303:B 5.52302e-05 -121 *2043:145 *26451:A2 0.000105559 -122 *2046:88 *2190:122 2.15086e-05 -123 *2046:115 *26650:A3 8.85066e-05 -124 *2047:43 *2190:80 1.90936e-05 -125 *2053:21 *26451:A2 0.000157967 -126 *2053:21 *2190:139 0.000149911 -127 *2072:45 *2190:134 4.87751e-05 -128 *2109:5 *2190:134 9.0145e-05 -129 *2111:13 *2190:134 2.77258e-05 -130 *2111:58 *2190:134 0.00167477 -131 *2136:158 *26451:A2 0.000304394 -132 *2147:74 *2190:104 2.39441e-05 -133 *2149:68 *2190:29 1.18064e-05 -134 *2151:53 *2190:104 0.000667328 -135 *2151:56 *26260:B1 0.000131216 -136 *2151:56 *2190:6 2.05938e-05 -137 *2152:88 *2190:80 0.000175892 -138 *2152:88 *2190:104 0.000414454 -139 *2152:92 *2190:104 9.75027e-06 -140 *2152:92 *2190:122 1.9814e-05 -141 *2157:105 *2190:12 9.25014e-06 -142 *2157:105 *2190:38 0.000559599 -143 *2159:65 *26650:A3 0.000380739 -144 *2159:65 *2190:104 0.000151685 -145 *2159:92 *2190:104 0.000434854 -146 *2159:92 *2190:122 0.000255289 -147 *2159:117 *2190:122 7.2902e-05 -148 *2159:117 *2190:133 0.000112789 -149 *2161:89 *26800:B2 6.45356e-05 -150 *2161:107 *26800:B2 1.32293e-05 -151 *2161:107 *2190:29 0 -152 *2161:107 *2190:58 0.000177591 -153 *2162:32 *2190:122 0.000175197 -154 *2165:14 *2190:58 0.000542133 -155 *2177:41 *2190:104 0.000112777 -156 *2178:36 *2190:29 6.83553e-05 -157 *2186:12 *2190:12 0.000912072 -*RES -1 *26248:X *2190:6 15.7464 -2 *2190:6 *2190:9 13.6607 -3 *2190:9 *2190:12 25.875 -4 *2190:12 *2190:29 41.2771 -5 *2190:29 *26412:B2 9.3 -6 *2190:12 *2190:38 12.3393 -7 *2190:38 *26800:B2 11.0857 -8 *2190:38 *2190:58 24.6071 -9 *2190:58 *26705:A1 9.72857 -10 *2190:58 *2190:80 19.7691 -11 *2190:80 *26650:A3 22.9007 -12 *2190:80 *2190:104 30.1047 -13 *2190:104 *2190:122 49.4942 -14 *2190:122 *26525:A3 14.7464 -15 *2190:122 *2190:133 10.6964 -16 *2190:133 *2190:134 56.8036 -17 *2190:134 *2190:139 6.875 -18 *2190:139 *26303:B 14.3357 -19 *2190:139 *26451:A2 19.05 -20 *2190:134 *26803:A2 10.6571 -21 *2190:9 *26249:B 11.4786 -22 *2190:6 *26260:B1 23.9607 -*END - -*D_NET *2191 0.00859973 -*CONN -*I *26250:A I *D sky130_fd_sc_hd__inv_2 -*I *26801:A I *D sky130_fd_sc_hd__or3b_1 -*I *26546:A I *D sky130_fd_sc_hd__or4b_1 -*I *26251:D I *D sky130_fd_sc_hd__or4_1 -*I *26249:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26250:A 0 -2 *26801:A 1.76505e-05 -3 *26546:A 0.00015664 -4 *26251:D 0.00033348 -5 *26249:Y 0.000212995 -6 *2191:23 0.000471679 -7 *2191:18 0.00113036 -8 *2191:7 0.00137945 -9 *26251:D *4189:41 0.000175162 -10 *26251:D *5673:115 6.09256e-05 -11 *26546:A *26546:C 0.000137983 -12 *26546:A *2486:21 0.000212563 -13 *26546:A *5664:131 5.52238e-05 -14 *26546:A *5664:139 0 -15 *26801:A *2486:21 1.98839e-05 -16 *26801:A *5664:131 5.33005e-05 -17 *2191:7 *5722:187 0.000312215 -18 *2191:18 *26547:C 4.84159e-05 -19 *2191:18 *4189:41 0.000358548 -20 *2191:23 *26801:C_N 5.51406e-05 -21 *2191:23 *5664:139 0.00021101 -22 *26206:B *26546:A 0.000144574 -23 *26206:B *2191:23 0.00019554 -24 *26222:D *2191:18 0 -25 *26263:A *2191:18 9.41642e-05 -26 *26531:A *2191:18 0.000389868 -27 *2136:89 *2191:18 0.000986985 -28 *2142:119 *26251:D 0 -29 *2142:119 *2191:18 0 -30 *2148:8 *2191:18 0.000762441 -31 *2148:8 *2191:23 0.000623526 -*RES -1 *26249:Y *2191:7 16.8 -2 *2191:7 *26251:D 20.6036 -3 *2191:7 *2191:18 30.7679 -4 *2191:18 *2191:23 18.5357 -5 *2191:23 *26546:A 13.675 -6 *2191:23 *26801:A 9.83571 -7 *2191:18 *26250:A 9.3 -*END - -*D_NET *2192 0.00277282 -*CONN -*I *26793:C1 I *D sky130_fd_sc_hd__o221a_1 -*I *26250:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *26793:C1 0.000455128 -2 *26250:Y 0.000455128 -3 *26793:C1 *26547:C 0.000141935 -4 *26793:C1 *26609:A 0.000700347 -5 *26793:C1 *2294:27 2.59355e-05 -6 *26793:C1 *2483:45 0.000742735 -7 *26793:C1 *2545:8 4.26759e-05 -8 *26263:A *26793:C1 0.000115991 -9 *26793:A2 *26793:C1 2.79421e-05 -10 *2156:10 *26793:C1 2.06725e-05 -11 *2178:36 *26793:C1 4.43299e-05 -*RES -1 *26250:Y *26793:C1 40.4236 -*END - -*D_NET *2193 0.00130238 -*CONN -*I *26258:A I *D sky130_fd_sc_hd__nor4_1 -*I *26251:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26258:A 0.000532767 -2 *26251:X 0.000532767 -3 *26258:A *26258:C 5.52238e-05 -4 *26258:A *26258:D 0 -5 *26258:A *4189:41 0 -6 *26257:A *26258:A 0.000171368 -7 *1862:34 *26258:A 0 -8 *2142:119 *26258:A 1.02504e-05 -*RES -1 *26251:X *26258:A 37.0643 -*END - -*D_NET *2194 0.0253976 -*CONN -*I *26717:B1 I *D sky130_fd_sc_hd__a211o_1 -*I *26255:A_N I *D sky130_fd_sc_hd__nand2b_1 -*I *26707:A I *D sky130_fd_sc_hd__or4_1 -*I *26252:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *26717:B1 0.000300034 -2 *26255:A_N 0.000891302 -3 *26707:A 0.000565153 -4 *26252:Y 0.000542651 -5 *2194:52 0.00183186 -6 *2194:45 0.0018187 -7 *2194:30 0.00257694 -8 *2194:10 0.00250657 -9 *26255:A_N *26539:C 6.32633e-05 -10 *26255:A_N *2196:47 0.000240002 -11 *26255:A_N *3564:14 0.000133568 -12 *26255:A_N *5673:82 3.45739e-05 -13 *26707:A *26643:C 7.04747e-05 -14 *26707:A *26707:B 0.000257619 -15 *26707:A *30989:A 8.55871e-05 -16 *26707:A *30991:A 2.40261e-05 -17 *26707:A *5563:43 0.000510872 -18 *26707:A *5565:45 0.000767172 -19 *26707:A *5573:21 6.54263e-05 -20 *26717:B1 *2636:11 6.05161e-06 -21 *26717:B1 *2636:28 3.97677e-05 -22 *26717:B1 *2650:8 0.000806574 -23 *26717:B1 *2656:11 1.02821e-05 -24 *26717:B1 *2668:8 3.37161e-05 -25 *2194:10 *30991:A 8.42344e-05 -26 *2194:10 *30993:A 9.98998e-06 -27 *2194:10 *2240:156 0.000989548 -28 *2194:10 *2242:27 3.34366e-05 -29 *2194:10 *2244:31 0 -30 *2194:10 *5565:45 1.24469e-05 -31 *2194:10 *5572:6 0 -32 *2194:10 *5572:15 0 -33 *2194:30 *26707:B 0.000181374 -34 *2194:30 *26711:B 0.000135028 -35 *2194:30 *26730:B 5.33005e-05 -36 *2194:30 *26730:C 0.000257619 -37 *2194:30 *2636:11 6.26177e-05 -38 *2194:30 *2667:11 1.83053e-05 -39 *2194:30 *2668:8 0.000482499 -40 *2194:45 *26547:D 0.000659438 -41 *2194:45 *26777:A 1.03904e-05 -42 *2194:45 *26781:A2 0.000172831 -43 *2194:45 *26781:B1 0.00015234 -44 *2194:45 *26802:A 2.42516e-05 -45 *2194:45 *26802:B 3.34295e-05 -46 *2194:45 *26802:C 9.41642e-05 -47 *2194:45 *26802:D 2.6269e-05 -48 *2194:45 *2481:8 1.79948e-05 -49 *2194:45 *2485:24 5.49257e-05 -50 *2194:45 *2636:28 2.15383e-05 -51 *2194:45 *2717:12 2.31791e-05 -52 *2194:52 *26548:B 0.000103343 -53 *2194:52 *2481:8 0.000115233 -54 wb_dat_o[23] *2194:10 7.7269e-05 -55 wb_dat_o[24] *2194:10 4.87219e-05 -56 *26242:C *26255:A_N 0.000905832 -57 *26242:D *26255:A_N 0.000794358 -58 *26304:A *2194:10 2.09897e-05 -59 *26304:A *2194:30 2.89114e-05 -60 *26541:A1 *2194:52 0.00038214 -61 *26541:B1_N *2194:52 0.000101136 -62 *26717:A1 *26717:B1 5.15855e-05 -63 *26717:A2 *26717:B1 0.000180777 -64 *26730:A *2194:30 0.000314848 -65 *26739:B *2194:52 5.33005e-05 -66 *373:12 *2194:45 0.000381975 -67 *1771:29 *2194:52 0 -68 *1989:44 *26717:B1 3.99614e-06 -69 *2128:41 *2194:45 0.000787195 -70 *2141:144 *26717:B1 0.000215468 -71 *2142:103 *2194:52 2.24079e-05 -72 *2149:30 *26255:A_N 0.000455331 -73 *2159:20 *26255:A_N 0.000125724 -74 *2159:33 *26255:A_N 0.000895009 -75 *2165:10 *2194:52 0 -76 *2165:14 *2194:45 0.000200812 -77 *2183:33 *26255:A_N 0 -78 *2186:12 *2194:52 3.06466e-05 -79 *2187:131 *2194:45 0.000364477 -80 *2190:12 *2194:52 0.000359002 -81 *2190:38 *2194:52 5.08577e-05 -82 *2190:58 *2194:45 0.000532926 -*RES -1 *26252:Y *2194:10 29.4607 -2 *2194:10 *26707:A 29.55 -3 *2194:10 *2194:30 28.1786 -4 *2194:30 *2194:45 41.5625 -5 *2194:45 *2194:52 26.7768 -6 *2194:52 *26255:A_N 44.5857 -7 *2194:30 *26717:B1 18.4786 -*END - -*D_NET *2195 0.00460748 -*CONN -*I *26254:B I *D sky130_fd_sc_hd__or2_2 -*I *26305:B1 I *D sky130_fd_sc_hd__o22a_1 -*I *26641:A I *D sky130_fd_sc_hd__nor2_1 -*I *26778:B1 I *D sky130_fd_sc_hd__a21oi_1 -*I *26253:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26254:B 0.000519545 -2 *26305:B1 0.000118106 -3 *26641:A 7.78774e-05 -4 *26778:B1 0.000173217 -5 *26253:X 0 -6 *2195:17 0.000301946 -7 *2195:6 0.000536364 -8 *2195:4 0.00077673 -9 *26254:B *26253:A 0.000142944 -10 *26254:B *5566:52 2.42516e-05 -11 *26305:B1 *2204:68 7.10177e-05 -12 *26641:A *2580:23 0.000178503 -13 *26641:A *5573:21 6.10411e-05 -14 *26778:B1 *26540:B 0.000214724 -15 *2195:17 *2204:68 4.2381e-05 -16 *2195:17 *2580:23 3.14003e-05 -17 *26305:A2 *26305:B1 0.000141734 -18 *26305:B2 *26305:B1 0.000437899 -19 *26305:B2 *26641:A 9.58632e-05 -20 *2179:27 *2195:6 0.000513623 -21 *2179:27 *2195:17 0.000148315 -*RES -1 *26253:X *2195:4 9.3 -2 *2195:4 *2195:6 11 -3 *2195:6 *26778:B1 17.6214 -4 *2195:6 *2195:17 7.35714 -5 *2195:17 *26641:A 11.4786 -6 *2195:17 *26305:B1 13.5321 -7 *2195:4 *26254:B 15.6036 -*END - -*D_NET *2196 0.0223665 -*CONN -*I *26255:B I *D sky130_fd_sc_hd__nand2b_1 -*I *26768:C1 I *D sky130_fd_sc_hd__o211a_1 -*I *26254:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *26255:B 0 -2 *26768:C1 0 -3 *26254:X 0.000400663 -4 *2196:47 0.00115829 -5 *2196:35 0.00131615 -6 *2196:14 0.00236895 -7 *2196:5 0.00261175 -8 *2196:5 *26254:A 6.05161e-06 -9 *2196:5 *26533:A 0.000177815 -10 *2196:5 *26708:C1 5.52238e-05 -11 *2196:14 *26548:A 0.000198748 -12 *2196:14 *26705:A2 6.63267e-05 -13 *2196:14 *26709:B1 0.000221396 -14 *2196:14 *26709:D1 4.038e-06 -15 *2196:14 *26711:B 0.000337637 -16 *2196:14 *26733:C 1.21637e-05 -17 *2196:14 *26776:B1 0.00019256 -18 *2196:14 *26802:A 6.12335e-05 -19 *2196:14 *2250:31 0.000181424 -20 *2196:14 *2587:74 0.000487845 -21 *2196:14 *2587:79 0.00030772 -22 *2196:14 *2636:8 1.88578e-05 -23 *2196:14 *2636:28 7.90803e-05 -24 *2196:14 *2639:34 0.000148282 -25 *2196:14 *2639:36 0.000404058 -26 *2196:14 *2641:5 2.89114e-05 -27 *2196:35 *26433:A_N 7.95355e-05 -28 *2196:35 *26768:A2 9.50968e-06 -29 *2196:35 *26768:B1 3.21041e-05 -30 *2196:35 *26769:C_N 4.81887e-06 -31 *2196:35 *2636:8 0.00214482 -32 *26239:A2 *2196:47 6.90817e-05 -33 *26242:C *2196:47 0.000903403 -34 *26255:A_N *2196:47 0.000240002 -35 *26308:B *2196:35 0.000219741 -36 *26591:A1 *2196:35 1.45567e-05 -37 *26701:A1 *2196:14 5.41797e-06 -38 *26719:A *2196:35 0.000553597 -39 *26768:A1 *2196:35 1.61403e-05 -40 *373:12 *2196:47 0 -41 *2032:185 *2196:14 0.000463028 -42 *2032:203 *2196:14 0.000516612 -43 *2032:220 *2196:14 0.000692204 -44 *2128:44 *2196:47 0.00137754 -45 *2131:63 *2196:35 0.000381098 -46 *2146:17 *2196:35 0.000115467 -47 *2149:59 *2196:47 0.000342488 -48 *2157:84 *2196:35 0.000984472 -49 *2157:92 *2196:14 0.000435998 -50 *2157:92 *2196:35 0.000469228 -51 *2159:20 *2196:47 0.00012401 -52 *2159:33 *2196:47 0.000995697 -53 *2159:42 *2196:14 1.25366e-05 -54 *2159:42 *2196:47 0.000201127 -55 *2159:54 *2196:14 7.92596e-06 -56 *2183:33 *2196:14 5.02836e-05 -57 *2187:122 *2196:35 5.88682e-05 -*RES -1 *26254:X *2196:5 15.175 -2 *2196:5 *2196:14 49.9732 -3 *2196:14 *2196:35 45.2232 -4 *2196:35 *26768:C1 9.3 -5 *2196:5 *2196:47 45.0446 -6 *2196:47 *26255:B 9.3 -*END - -*D_NET *2197 0.00684607 -*CONN -*I *26539:A I *D sky130_fd_sc_hd__or4b_1 -*I *26258:B I *D sky130_fd_sc_hd__nor4_1 -*I *26255:Y O *D sky130_fd_sc_hd__nand2b_1 -*CAP -1 *26539:A 0.00149462 -2 *26258:B 0.000387823 -3 *26255:Y 0.000497017 -4 *2197:8 0.00237946 -5 *26258:B *26258:C 5.71472e-05 -6 *26258:B *26266:B 0.000123367 -7 *26539:A *26531:D 0.000252192 -8 *26539:A *26539:B 0.000549576 -9 *2197:8 *5728:296 0 -10 *373:12 *26539:A 0 -11 *373:12 *2197:8 0 -12 *1771:29 *26539:A 0 -13 *1771:29 *2197:8 0 -14 *2181:25 *26539:A 0.00095649 -15 *2186:12 *26539:A 0.000148381 -*RES -1 *26255:Y *2197:8 20.175 -2 *2197:8 *26258:B 18.8536 -3 *2197:8 *26539:A 45.6214 -*END - -*D_NET *2198 0.0155458 -*CONN -*I *26611:A I *D sky130_fd_sc_hd__or3_1 -*I *26258:C I *D sky130_fd_sc_hd__nor4_1 -*I *26256:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26611:A 0.00074367 -2 *26258:C 0.00175558 -3 *26256:Y 5.50157e-06 -4 *2198:23 0.00260191 -5 *2198:6 0.00361932 -6 *26258:C *28305:D 3.55552e-05 -7 *26258:C *29041:A 9.71197e-05 -8 *26258:C *3586:14 0.000186577 -9 *26258:C *3740:30 0 -10 *26258:C *5209:30 0.000475583 -11 *26258:C *5611:34 0.000477305 -12 *26258:C *5688:242 0.000100215 -13 *26258:C *5761:7 9.71197e-05 -14 *26258:C *5778:80 0.000269428 -15 *26258:C *5824:50 0 -16 *26611:A *2352:40 0.000240484 -17 *26611:A *2383:8 0.000240484 -18 *26611:A *2738:7 0.000894193 -19 *2198:6 *5824:50 0 -20 *2198:23 *26437:A 0 -21 *2198:23 *26608:B 7.6644e-05 -22 *2198:23 *26609:A 2.77312e-05 -23 *2198:23 *26613:C 0.000330349 -24 *2198:23 *26614:B 0.000198013 -25 *2198:23 *26715:B1 0.00114385 -26 *2198:23 *2318:20 0 -27 *2198:23 *2483:45 8.22793e-06 -28 *2198:23 *2549:8 0.000750497 -29 *2198:23 *3658:10 0 -30 *2198:23 *3740:30 7.01086e-05 -31 *2198:23 *5209:6 0 -32 *2198:23 *5824:50 0 -33 *26256:A *2198:23 0.000193833 -34 *26258:A *26258:C 5.52238e-05 -35 *26258:B *26258:C 5.71472e-05 -36 *26376:A *2198:23 0 -37 *26545:A1 *2198:23 3.2534e-05 -38 *26607:A *2198:23 0.000287129 -39 *26715:C1 *2198:23 0.00031275 -40 *2104:118 *2198:23 0 -41 *2160:8 *2198:23 0.000161702 -*RES -1 *26256:Y *2198:6 14.0768 -2 *2198:6 *26258:C 45.3982 -3 *2198:6 *2198:23 47.6161 -4 *2198:23 *26611:A 34.7107 -*END - -*D_NET *2199 0.00893455 -*CONN -*I *26606:A I *D sky130_fd_sc_hd__or3_1 -*I *26258:D I *D sky130_fd_sc_hd__nor4_1 -*I *26257:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26606:A 0.00133685 -2 *26258:D 0.000283597 -3 *26257:Y 0 -4 *2199:17 0.00205086 -5 *2199:4 0.000997606 -6 *26258:D *4189:41 9.87092e-05 -7 *26606:A *26589:C_N 0.000102797 -8 *26606:A *26713:B2 0 -9 *26606:A *26722:A2 0.000243642 -10 *26606:A *2294:27 0.000758417 -11 *26606:A *2529:8 0.000329272 -12 *26606:A *2661:8 0.000199706 -13 *26606:A *2661:26 0.000702516 -14 *26606:A *5267:17 0.000646703 -15 *26243:A *26606:A 0 -16 *26251:A *26606:A 0 -17 *26257:A *26258:D 0 -18 *26258:A *26258:D 0 -19 *26589:A *26606:A 0.000175519 -20 *2178:24 *26606:A 0 -21 *2180:25 *26258:D 0.000184745 -22 *2180:25 *2199:17 0.000823609 -23 *2180:30 *26606:A 0 -*RES -1 *26257:Y *2199:4 9.3 -2 *2199:4 *26258:D 23.5321 -3 *2199:4 *2199:17 14.4821 -4 *2199:17 *26606:A 49.4429 -*END - -*D_NET *2200 0.0022503 -*CONN -*I *26266:B I *D sky130_fd_sc_hd__and4_1 -*I *26258:Y O *D sky130_fd_sc_hd__nor4_1 -*CAP -1 *26266:B 0.000821168 -2 *26258:Y 0.000821168 -3 *26266:B *26266:D 9.44054e-05 -4 *26266:B *2203:19 5.71472e-05 -5 *26266:B *5728:296 0.000333046 -6 *26258:B *26266:B 0.000123367 -*RES -1 *26258:Y *26266:B 32.85 -*END - -*D_NET *2201 0.0114475 -*CONN -*I *26545:B1 I *D sky130_fd_sc_hd__a31o_1 -*I *26715:D1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26259:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26545:B1 0.00024425 -2 *26715:D1 0.000234995 -3 *26259:Y 0.000271473 -4 *2201:23 0.00149852 -5 *2201:8 0.00176073 -6 *26545:B1 *5722:166 9.31011e-05 -7 *26715:D1 *26217:A 0.000272371 -8 *26715:D1 *2654:10 0.000139907 -9 *2201:8 *29438:A 0.00020305 -10 *2201:8 *3710:77 0 -11 *2201:8 *5209:6 0 -12 *2201:23 *26217:A 0 -13 *2201:23 *26440:B1 0.000148189 -14 *2201:23 *26612:A 0.000957912 -15 *2201:23 *26794:B 0.00012401 -16 *2201:23 *29438:A 0.000360988 -17 *2201:23 *2345:8 0.000666645 -18 *2201:23 *2534:37 6.22396e-05 -19 *2201:23 *2653:6 0.000622152 -20 *2201:23 *2655:8 4.43046e-05 -21 *2201:23 *5664:255 0.000527728 -22 *2201:23 *5664:260 7.33494e-06 -23 *2201:23 *5664:266 0.000814239 -24 *2201:23 *5664:313 4.90803e-05 -25 *26246:A *26545:B1 0.00022459 -26 *26256:B *2201:8 0.00014183 -27 *26413:B2 *26715:D1 0.000187892 -28 *26545:A1 *26545:B1 0.000145985 -29 *26608:A *26545:B1 0.000730738 -30 *26713:A2 *2201:23 5.33005e-05 -31 *26715:C1 *2201:8 9.7659e-05 -32 *2142:125 *2201:8 2.44318e-05 -33 *2159:5 *26715:D1 2.14658e-05 -34 *2159:10 *2201:8 0 -35 *2161:121 *2201:23 0.000304577 -36 *2161:130 *2201:23 2.46553e-05 -37 *2185:24 *26715:D1 5.33433e-05 -38 *2185:24 *2201:23 0.000278603 -39 *2187:141 *26545:B1 5.52238e-05 -*RES -1 *26259:Y *2201:8 20.55 -2 *2201:8 *26715:D1 20.0857 -3 *2201:8 *2201:23 49.2679 -4 *2201:23 *26545:B1 22.1571 -*END - -*D_NET *2202 0.000787785 -*CONN -*I *26261:C1 I *D sky130_fd_sc_hd__o221a_1 -*I *26260:X O *D sky130_fd_sc_hd__o22a_1 -*CAP -1 *26261:C1 8.09451e-05 -2 *26260:X 8.09451e-05 -3 *26261:C1 *26253:A 9.25014e-06 -4 *26261:C1 *5566:52 0.000125724 -5 *26261:C1 *5664:201 0.000182598 -6 *26261:C1 *5722:202 0.000125724 -7 *26207:B *26261:C1 0.000182598 -*RES -1 *26260:X *26261:C1 31.0107 -*END - -*D_NET *2203 0.00480746 -*CONN -*I *26266:C I *D sky130_fd_sc_hd__and4_1 -*I *26261:X O *D sky130_fd_sc_hd__o221a_1 -*CAP -1 *26266:C 0 -2 *26261:X 0.00118546 -3 *2203:19 0.00118546 -4 *2203:19 *28878:A 0.000531443 -5 *2203:19 *5728:296 5.33433e-05 -6 *2203:19 *5742:282 4.46186e-06 -7 *26266:A *2203:19 3.25247e-05 -8 *26266:B *2203:19 5.71472e-05 -9 *1874:36 *2203:19 4.09488e-06 -10 *2104:143 *2203:19 0.000134461 -11 *2151:56 *2203:19 0.000763742 -12 *2152:16 *2203:19 0.000309443 -13 *2152:33 *2203:19 0.000545872 -*RES -1 *26261:X *2203:19 47.2643 -2 *2203:19 *26266:C 9.3 -*END - -*D_NET *2204 0.0810575 -*CONN -*I *26455:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *26313:B I *D sky130_fd_sc_hd__or2_1 -*I *26334:B1 I *D sky130_fd_sc_hd__o221a_1 -*I *26525:A4 I *D sky130_fd_sc_hd__a41o_1 -*I *26411:A2 I *D sky130_fd_sc_hd__o21bai_2 -*I *26646:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26776:B2 I *D sky130_fd_sc_hd__o22a_1 -*I *26265:B1 I *D sky130_fd_sc_hd__o221a_1 -*I *26262:X O *D sky130_fd_sc_hd__or2_4 -*CAP -1 *26455:A2 0.00219849 -2 *26313:B 0.00043214 -3 *26334:B1 0 -4 *26525:A4 0.00026062 -5 *26411:A2 0.000710772 -6 *26646:A1 0.000161355 -7 *26776:B2 0.000510222 -8 *26265:B1 2.22847e-05 -9 *26262:X 0.000153571 -10 *2204:120 0.00370481 -11 *2204:114 0.00332329 -12 *2204:85 0.00115287 -13 *2204:69 0.0017962 -14 *2204:68 0.00292434 -15 *2204:46 0.00414218 -16 *2204:38 0.00320514 -17 *2204:30 0.00320605 -18 *2204:28 0.00187009 -19 *2204:20 0.000599406 -20 *2204:16 0.000812261 -21 *2204:10 0.00272144 -22 *26313:B *26096:C_N 9.60875e-05 -23 *26313:B *26096:D_N 2.44318e-05 -24 *26313:B *26335:B1 6.25993e-05 -25 *26313:B *2220:33 0.000308308 -26 *26313:B *2227:19 5.77138e-05 -27 *26313:B *6214:10 2.65105e-05 -28 *26411:A2 *26411:B1_N 0.000124121 -29 *26411:A2 *26663:C_N 0.000596344 -30 *26411:A2 *2298:36 9.41642e-05 -31 *26411:A2 *2352:7 5.52302e-05 -32 *26455:A2 *26314:B 0.000130713 -33 *26455:A2 *26330:A2 0.000200288 -34 *26455:A2 *26334:C1 0.00174433 -35 *26455:A2 *2227:85 9.7659e-05 -36 *26455:A2 *2228:46 7.29957e-05 -37 *26455:A2 *4419:20 8.56851e-05 -38 *26455:A2 *5672:5 0.00151438 -39 *26455:A2 *6291:30 0.000129719 -40 *26525:A4 *26525:B1 0.00034188 -41 *26525:A4 *2226:31 4.82865e-05 -42 *26646:A1 *26646:A2 5.33005e-05 -43 *26776:B2 *26776:B1 0.000179745 -44 *26776:B2 *26778:A2 9.41642e-05 -45 *26776:B2 *5673:51 0.000287976 -46 *2204:10 *5722:5 9.83388e-05 -47 *2204:10 *5722:16 4.26491e-05 -48 *2204:16 *26334:C1 0.000330121 -49 *2204:16 *2220:33 1.70366e-05 -50 *2204:16 *2227:19 5.4869e-05 -51 *2204:16 *2228:46 5.59923e-05 -52 *2204:20 *2220:33 2.06112e-05 -53 *2204:28 *26323:B 0.000149911 -54 *2204:28 *2220:33 0.000450892 -55 *2204:30 *26194:C 0.000582445 -56 *2204:30 *26529:B 0.000117896 -57 *2204:30 *26534:B1 0.00010291 -58 *2204:30 *2217:38 1.12578e-05 -59 *2204:30 *2244:13 0.00261712 -60 *2204:30 *2244:48 0.000260986 -61 *2204:30 *2277:8 0 -62 *2204:30 *5542:44 0.000602715 -63 *2204:38 *26529:A 0.000126963 -64 *2204:38 *26529:B 0.000198835 -65 *2204:38 *2220:54 0.000665677 -66 *2204:38 *2220:69 0.000115552 -67 *2204:38 *2244:13 4.15161e-05 -68 *2204:38 *5542:44 0.00056054 -69 *2204:38 *6147:14 0 -70 *2204:46 *26639:C 0.00123204 -71 *2204:46 *30994:A 0.000317785 -72 *2204:46 *2220:69 0.00117719 -73 *2204:46 *2578:12 0.00118735 -74 *2204:46 *5542:44 0.000144902 -75 *2204:46 *5573:31 0.000788252 -76 *2204:46 *6147:14 0 -77 *2204:68 *26639:C 0.000389861 -78 *2204:68 *30994:A 0.000101444 -79 *2204:68 *2580:23 9.76291e-06 -80 *2204:68 *2592:30 0.0002948 -81 *2204:68 *5560:33 0.000188026 -82 *2204:68 *5566:52 0 -83 *2204:68 *5573:21 7.97786e-05 -84 *2204:68 *5573:31 0.000740411 -85 *2204:68 *6169:90 6.33984e-05 -86 *2204:69 *26540:B 1.34631e-05 -87 *2204:69 *26778:A1 2.2351e-05 -88 *2204:69 *26778:A2 0.000175892 -89 *2204:85 *26260:B2 5.49544e-05 -90 *2204:85 *26265:C1 0.000140856 -91 *2204:85 *3510:137 2.07394e-05 -92 *2204:85 *5564:40 0.00125084 -93 *2204:85 *5566:52 0.000196458 -94 *2204:85 *5664:209 0.000226581 -95 *2204:85 *5673:66 5.84267e-05 -96 *2204:85 *5722:224 0.000168631 -97 *2204:85 *6169:92 0 -98 *2204:114 *2226:38 4.28585e-05 -99 *2204:120 *3581:76 0.00389187 -100 *25970:A *26455:A2 0.000140471 -101 *25970:B *26455:A2 0.000396898 -102 *26016:B1 *26455:A2 6.47405e-05 -103 *26260:A1 *2204:85 7.24711e-05 -104 *26260:B1 *2204:85 4.1639e-05 -105 *26262:B *26313:B 0.000172015 -106 *26305:A2 *2204:68 7.62318e-05 -107 *26305:B1 *2204:68 7.10177e-05 -108 *26313:A *26313:B 7.77652e-05 -109 *26319:B1 *2204:30 0.00015244 -110 *26334:A2 *26455:A2 0.000250183 -111 *26334:B2 *2204:28 2.286e-05 -112 *26521:D_N *26411:A2 0.000576427 -113 *26525:A1 *26525:A4 0.000185118 -114 *26776:A1 *26776:B2 0.000150983 -115 *30609:A *26455:A2 0.000353079 -116 *31018:A *26455:A2 0.000312215 -117 *1393:124 *2204:85 1.53472e-05 -118 *1393:132 *2204:85 0.000320383 -119 *1902:8 *26455:A2 6.30931e-05 -120 *1912:17 *26455:A2 0.000914042 -121 *1935:25 *2204:38 0.000367416 -122 *1935:25 *2204:46 0.000135968 -123 *1935:26 *2204:46 0.000227061 -124 *1935:37 *2204:68 0.000190686 -125 *1942:106 *2204:28 0 -126 *1942:106 *2204:30 0.000565836 -127 *1944:43 *2204:38 0.00148827 -128 *1944:43 *2204:46 0.000325678 -129 *1950:64 *26411:A2 0.000119391 -130 *1950:87 *26411:A2 0.000110029 -131 *1956:35 *26313:B 0 -132 *1956:50 *2204:30 9.72343e-05 -133 *1956:65 *2204:38 0.000608808 -134 *1965:53 *2204:114 0 -135 *2023:15 *2204:120 8.55766e-05 -136 *2032:127 *2204:28 0.00137971 -137 *2038:10 *2204:10 0.000154823 -138 *2038:30 *2204:30 0.000555225 -139 *2038:34 *2204:38 1.91871e-05 -140 *2038:197 *26455:A2 5.52302e-05 -141 *2038:203 *26455:A2 5.71472e-05 -142 *2046:115 *2204:68 0.000482087 -143 *2046:115 *2204:85 0.000127987 -144 *2047:43 *2204:85 0.00113294 -145 *2047:90 *2204:38 0.000167056 -146 *2053:42 *26411:A2 0.00143032 -147 *2053:42 *2204:120 0.000169888 -148 *2129:44 *26411:A2 0.00028184 -149 *2147:10 *2204:28 0.000113038 -150 *2147:24 *2204:28 0.000231688 -151 *2147:24 *2204:30 0.000517754 -152 *2147:65 *2204:38 0.000224348 -153 *2147:65 *2204:46 0.000416839 -154 *2147:74 *2204:68 2.94501e-05 -155 *2151:22 *2204:38 0.00035669 -156 *2152:13 *2204:85 0.00021623 -157 *2155:8 *2204:30 0.000120368 -158 *2155:42 *2204:38 0.000248883 -159 *2155:42 *2204:46 0.000219638 -160 *2155:172 *2204:30 0.000128538 -161 *2157:25 *26455:A2 0.00288895 -162 *2162:32 *2204:114 0 -163 *2195:17 *2204:68 4.2381e-05 -*RES -1 *26262:X *2204:10 20.2546 -2 *2204:10 *2204:16 5.22932 -3 *2204:16 *2204:20 4.77679 -4 *2204:20 *2204:28 24.5089 -5 *2204:28 *2204:30 48.2411 -6 *2204:30 *2204:38 19.8208 -7 *2204:38 *2204:46 38.3778 -8 *2204:46 *2204:68 49.9273 -9 *2204:68 *2204:69 2.58929 -10 *2204:69 *2204:85 49.8929 -11 *2204:85 *26265:B1 9.72857 -12 *2204:69 *26776:B2 18.0679 -13 *2204:46 *26646:A1 15.9786 -14 *2204:38 *2204:114 12.4158 -15 *2204:114 *2204:120 49.5714 -16 *2204:120 *26411:A2 30.925 -17 *2204:30 *26525:A4 19.2643 -18 *2204:20 *26334:B1 9.3 -19 *2204:16 *26313:B 23.8089 -20 *2204:10 *26455:A2 49.2695 -*END - -*D_NET *2205 0.00479203 -*CONN -*I *26609:A I *D sky130_fd_sc_hd__or3_1 -*I *26263:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26609:A 0.00109476 -2 *26263:Y 0.00109476 -3 *26609:A *26437:A 9.54798e-06 -4 *26609:A *2318:20 2.48984e-05 -5 *26609:A *2483:45 0.000308639 -6 *26793:B2 *26609:A 0.000860214 -7 *26793:C1 *26609:A 0.000700347 -8 *2156:10 *26609:A 1.21955e-05 -9 *2187:164 *26609:A 9.60337e-06 -10 *2190:29 *26609:A 0.000649333 -11 *2198:23 *26609:A 2.77312e-05 -*RES -1 *26263:Y *26609:A 39.3905 -*END - -*D_NET *2206 0.00124308 -*CONN -*I *26265:C1 I *D sky130_fd_sc_hd__o221a_1 -*I *26264:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *26265:C1 0.000217743 -2 *26264:X 0.000217743 -3 *26265:C1 *3510:137 1.7521e-05 -4 *26265:C1 *5565:35 0.000204197 -5 *26265:C1 *5566:41 9.41642e-05 -6 *26265:C1 *5722:244 3.97677e-05 -7 *1393:132 *26265:C1 0.000311087 -8 *2204:85 *26265:C1 0.000140856 -*RES -1 *26264:X *26265:C1 33.85 -*END - -*D_NET *2207 0.00328076 -*CONN -*I *26266:D I *D sky130_fd_sc_hd__and4_1 -*I *26265:X O *D sky130_fd_sc_hd__o221a_1 -*CAP -1 *26266:D 0.000630635 -2 *26265:X 0.000630635 -3 *26266:D *28878:A 0.000906681 -4 *26266:D *3564:14 0.0001008 -5 *26266:D *5728:296 7.61504e-05 -6 *26266:B *26266:D 9.44054e-05 -7 *2145:29 *26266:D 0.000139393 -8 *2183:33 *26266:D 0.000702063 -*RES -1 *26265:X *26266:D 44.5821 -*END - -*D_NET *2208 0.0243046 -*CONN -*I *26267:B1 I *D sky130_fd_sc_hd__o2111a_1 -*I *26266:X O *D sky130_fd_sc_hd__and4_1 -*CAP -1 *26267:B1 0.00018 -2 *26266:X 0.000293192 -3 *2208:8 0.0030029 -4 *2208:7 0.00311609 -5 *26267:B1 *26549:C_N 0.000257619 -6 *26267:B1 *5722:112 3.45453e-05 -7 *2208:7 *5728:296 0.000210732 -8 *2208:8 *26549:C_N 2.52223e-05 -9 *2208:8 *26654:B 0.00159581 -10 *2208:8 *26703:B 0.000410921 -11 *2208:8 *2584:12 3.3245e-05 -12 *2208:8 *2587:36 9.91086e-05 -13 *2208:8 *2587:38 5.66157e-05 -14 *2208:8 *2587:45 0.000191403 -15 *2208:8 *5564:40 0 -16 *2208:8 *5673:60 0.00057916 -17 *2208:8 *5673:62 0.00015134 -18 *2208:8 *5673:66 0.000643154 -19 *2208:8 *6169:82 0.000221011 -20 *25812:S *2208:8 0.000101545 -21 *26257:B *2208:8 0.000215714 -22 *26265:A2 *2208:8 0.000167871 -23 *26267:A2 *26267:B1 0.000424029 -24 *26644:A2 *2208:8 0.000242657 -25 *1873:38 *2208:8 0.000389861 -26 *1874:36 *2208:8 0.00814981 -27 *1946:81 *26267:B1 0.000127647 -28 *2046:77 *26267:B1 0.000100265 -29 *2046:115 *2208:8 0.000151868 -30 *2047:43 *2208:8 0.000425699 -31 *2127:27 *2208:8 0.000216639 -32 *2142:69 *2208:8 0.000251387 -33 *2145:8 *2208:8 9.21418e-06 -34 *2145:91 *2208:8 0.00114983 -35 *2152:88 *2208:8 0.00107851 -*RES -1 *26266:X *2208:7 17.6214 -2 *2208:7 *2208:8 109.107 -3 *2208:8 *26267:B1 28.3714 -*END - -*D_NET *2209 0.00385151 -*CONN -*I *26268:D_N I *D sky130_fd_sc_hd__or4b_1 -*I *26267:X O *D sky130_fd_sc_hd__o2111a_1 -*CAP -1 *26268:D_N 0.000697792 -2 *26267:X 0.000697792 -3 *26268:D_N *3581:76 3.2687e-05 -4 *26224:A2 *26268:D_N 0.000919461 -5 *26233:A *26268:D_N 7.15968e-05 -6 *26267:A1 *26268:D_N 5.33005e-05 -7 *26267:D1 *26268:D_N 0.000107363 -8 *26268:C *26268:D_N 0.000245182 -9 *1946:81 *26268:D_N 0.000175892 -10 *2049:8 *26268:D_N 3.34366e-05 -11 *2049:10 *26268:D_N 0.000356422 -12 *2126:22 *26268:D_N 2.2351e-05 -13 *2161:35 *26268:D_N 6.05161e-06 -14 *2175:11 *26268:D_N 5.33005e-05 -15 *2187:122 *26268:D_N 0.000378887 -*RES -1 *26267:X *26268:D_N 45.5643 -*END - -*D_NET *2210 0.00440174 -*CONN -*I *26271:B I *D sky130_fd_sc_hd__nor2_1 -*I *26268:X O *D sky130_fd_sc_hd__or4b_1 -*CAP -1 *26271:B 0 -2 *26268:X 0.000715992 -3 *2210:17 0.000715992 -4 *2210:17 *26045:A 0.000247771 -5 *2210:17 *26162:A 9.41642e-05 -6 *26060:A *2210:17 6.87146e-05 -7 *26225:A2 *2210:17 0.000148196 -8 *26233:A *2210:17 5.52238e-05 -9 *26268:B *2210:17 0.000728854 -10 *1964:66 *2210:17 9.25014e-06 -11 *2136:51 *2210:17 0.000117848 -12 *2139:26 *2210:17 0.000257892 -13 *2139:30 *2210:17 0.000520505 -14 *2172:8 *2210:17 1.24368e-05 -15 *2174:8 *2210:17 0.000708896 -*RES -1 *26268:X *2210:17 41.05 -2 *2210:17 *26271:B 9.3 -*END - -*D_NET *2211 0.000979241 -*CONN -*I *26696:A1 I *D sky130_fd_sc_hd__a31o_1 -*I *26269:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26696:A1 0.000238369 -2 *26269:X 0.000238369 -3 *26696:A1 *2635:11 7.6644e-05 -4 *26696:A1 *6169:44 0.00012501 -5 *26698:A1 *26696:A1 0.000266853 -6 *2162:17 *26696:A1 3.39964e-05 -*RES -1 *26269:X *26696:A1 31.8321 -*END - -*D_NET *2212 0.0163283 -*CONN -*I *26737:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26536:D1 I *D sky130_fd_sc_hd__o2111a_1 -*I *26270:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26737:B1 0 -2 *26536:D1 0.000152068 -3 *26270:X 0.000166488 -4 *2212:29 0.00272252 -5 *2212:14 0.00129434 -6 *2212:7 0.00403128 -7 *26536:D1 *26536:A2 5.52302e-05 -8 *26536:D1 *26536:B1 5.7661e-06 -9 *26536:D1 *26536:C1 0.000135028 -10 *26536:D1 *2240:114 5.74499e-06 -11 *26536:D1 *2240:127 7.48301e-06 -12 *26536:D1 *2475:11 4.58194e-05 -13 *2212:7 *2220:69 0 -14 *2212:14 *26536:C1 3.18952e-05 -15 *2212:14 *26699:C1 0.000126439 -16 *2212:14 *26699:D1 7.17146e-05 -17 *2212:14 *27978:A0 7.38844e-05 -18 *2212:14 *2240:127 0.000353853 -19 *2212:14 *2240:146 0.000125731 -20 *2212:14 *2242:27 0.000124518 -21 *2212:14 *2244:14 0.000656706 -22 *2212:14 *2592:11 0.000126439 -23 *2212:29 *26530:C 0.00147257 -24 wb_dat_o[26] *2212:14 0.000124661 -25 wb_dat_o[28] *2212:14 0.000137834 -26 wb_dat_o[29] *2212:14 0.000161595 -27 *26270:A *2212:7 0.000136958 -28 *26530:B *2212:29 2.12005e-05 -29 *26535:A2 *2212:14 0.000125241 -30 *26536:A1 *26536:D1 2.59355e-05 -31 *26718:A *2212:29 0.000559554 -32 *26737:A1 *2212:29 0.000137983 -33 *1393:69 *2212:14 0.000153047 -34 *1946:105 *2212:29 2.77258e-05 -35 *2047:81 *2212:14 0.000731843 -36 *2061:68 *2212:29 9.86146e-05 -37 *2133:51 *2212:14 0.00079133 -38 *2144:46 *2212:14 0.00110317 -39 *2144:48 *2212:14 0.000206073 -*RES -1 *26270:X *2212:7 12.2107 -2 *2212:7 *2212:14 45.6607 -3 *2212:14 *26536:D1 16.8179 -4 *2212:7 *2212:29 43.6964 -5 *2212:29 *26737:B1 9.3 -*END - -*D_NET *2213 0.0129991 -*CONN -*I *26272:B I *D sky130_fd_sc_hd__nor2_1 -*I *26271:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26272:B 0.00141747 -2 *26271:Y 0.00282334 -3 *2213:7 0.00424081 -4 *26272:B *26347:C1 1.24368e-05 -5 *26272:B *26395:A2 4.96113e-05 -6 *26272:B *26395:B1 0.000226078 -7 *26272:B *26396:C1 3.45337e-05 -8 *26272:B *5615:21 0.000165123 -9 *26060:A *2213:7 0.000251916 -10 *26061:B *2213:7 0.000675122 -11 *26229:C *2213:7 0.000135028 -12 *26272:A *26272:B 3.37729e-05 -13 *1948:16 *26272:B 0.000177815 -14 *1973:22 *2213:7 0.000853999 -15 *1973:35 *2213:7 5.67737e-05 -16 *2002:5 *2213:7 0.00126095 -17 *2006:74 *26272:B 6.96405e-05 -18 *2006:74 *2213:7 2.39999e-05 -19 *2016:41 *26272:B 0.000224179 -20 *2104:34 *2213:7 0.000266479 -*RES -1 *26271:Y *2213:7 45.9964 -2 *2213:7 *26272:B 31.925 -*END - -*D_NET *2214 0.00162371 -*CONN -*I *26347:C1 I *D sky130_fd_sc_hd__a211o_1 -*I *26272:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26347:C1 0.000430363 -2 *26272:Y 0.000430363 -3 *26347:C1 *26347:A1 3.08382e-06 -4 *26347:C1 *26347:A2 5.33005e-05 -5 *26347:C1 *26551:B1 1.98839e-05 -6 *26347:C1 *2216:11 7.32272e-05 -7 *26160:A4 *26347:C1 0.000165435 -8 *26272:A *26347:C1 0.000177545 -9 *26272:B *26347:C1 1.24368e-05 -10 *2102:12 *26347:C1 0.000258075 -*RES -1 *26272:Y *26347:C1 35.0464 -*END - -*D_NET *2215 0.00133494 -*CONN -*I *26274:A I *D sky130_fd_sc_hd__inv_2 -*I *26655:A I *D sky130_fd_sc_hd__or3_2 -*I *26273:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *26274:A 3.99324e-05 -2 *26655:A 7.98386e-05 -3 *26273:Y 0.000226419 -4 *2215:5 0.00034619 -5 *26655:A *2595:15 1.46148e-05 -6 *26273:A1 *26274:A 0.000153047 -7 *26273:A1 *26655:A 5.33005e-05 -8 *26273:A1 *2215:5 0.000262498 -9 *26273:B1 *2215:5 6.05161e-06 -10 *2102:12 *26274:A 0.000153047 -*RES -1 *26273:Y *2215:5 13.1214 -2 *2215:5 *26655:A 10.675 -3 *2215:5 *26274:A 20.2464 -*END - -*D_NET *2216 0.00854238 -*CONN -*I *26347:A1 I *D sky130_fd_sc_hd__a211o_1 -*I *26551:B1 I *D sky130_fd_sc_hd__o21a_1 -*I *26274:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *26347:A1 2.17274e-05 -2 *26551:B1 0.00078528 -3 *26274:Y 0.00055831 -4 *2216:11 0.00136532 -5 *26551:B1 *26347:A2 0.000135028 -6 *26551:B1 *26522:B1 0.00014576 -7 *26551:B1 *26551:A1 9.90431e-05 -8 *26551:B1 *26552:D 0.000152679 -9 *26551:B1 *2342:31 4.67113e-05 -10 *26551:B1 *2434:6 0.0020303 -11 *26551:B1 *4189:72 0.000175892 -12 *26551:B1 *5250:29 0.000489257 -13 *2216:11 *2283:12 0.000712396 -14 *2216:11 *2293:16 0.00027095 -15 *2216:11 *4189:72 0.000212153 -16 *26160:A4 *26551:B1 0.000924498 -17 *26347:C1 *26347:A1 3.08382e-06 -18 *26347:C1 *26551:B1 1.98839e-05 -19 *26347:C1 *2216:11 7.32272e-05 -20 *1783:10 *2216:11 0.000107381 -21 *1930:76 *2216:11 0 -22 *1930:112 *26551:B1 6.11677e-05 -23 *2101:8 *26551:B1 0.00015234 -*RES -1 *26274:Y *2216:11 31.4786 -2 *2216:11 *26551:B1 49.9786 -3 *2216:11 *26347:A1 9.72857 -*END - -*D_NET *2217 0.0391123 -*CONN -*I *26329:A2 I *D sky130_fd_sc_hd__o211a_1 -*I *26331:B I *D sky130_fd_sc_hd__or2_1 -*I *26450:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *26485:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *26477:A2 I *D sky130_fd_sc_hd__o21a_1 -*I *26479:B1 I *D sky130_fd_sc_hd__o221a_1 -*I *26320:B1 I *D sky130_fd_sc_hd__o22a_1 -*I *26534:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *26324:A1 I *D sky130_fd_sc_hd__a31o_1 -*I *26275:X O *D sky130_fd_sc_hd__or2_4 -*CAP -1 *26329:A2 0.000681021 -2 *26331:B 0.000735349 -3 *26450:A2 0 -4 *26485:A2 0 -5 *26477:A2 0.00114066 -6 *26479:B1 8.64387e-05 -7 *26320:B1 7.92308e-05 -8 *26534:B1 0.000548414 -9 *26324:A1 3.93016e-05 -10 *26275:X 0.000658824 -11 *2217:110 0.000905408 -12 *2217:82 0.00214132 -13 *2217:77 0.00324538 -14 *2217:38 0.00172232 -15 *2217:34 0.00161733 -16 *2217:26 0.00285271 -17 *2217:16 0.000502168 -18 *2217:13 0.00146088 -19 *26320:B1 *26319:C1 5.49995e-05 -20 *26324:A1 *2240:90 0.000139913 -21 *26324:A1 *5544:15 7.02611e-05 -22 *26329:A2 *26004:A 6.35864e-05 -23 *26329:A2 *26326:B1 2.04825e-05 -24 *26329:A2 *26327:C1 0.0001243 -25 *26329:A2 *26330:C1 2.14658e-05 -26 *26329:A2 *2227:30 1.76606e-05 -27 *26329:A2 *2227:34 0.000322629 -28 *26329:A2 *4242:9 0.000315141 -29 *26329:A2 *4530:10 0.000263067 -30 *26329:A2 *4541:20 0.000101697 -31 *26329:A2 *4563:21 0 -32 *26329:A2 *6214:10 9.90431e-05 -33 *26331:B *26332:A 0 -34 *26331:B *26473:B1 0 -35 *26331:B *26748:C 3.38229e-05 -36 *26331:B *2428:8 0.000146638 -37 *26331:B *2501:11 0.000112402 -38 *26331:B *4419:20 1.00375e-05 -39 *26477:A2 *26475:A2 5.96932e-05 -40 *26477:A2 *26486:D 2.06178e-05 -41 *26477:A2 *2502:12 0.000666584 -42 *26479:B1 *26479:A2 2.59355e-05 -43 *26479:B1 *2226:62 6.92966e-06 -44 *26479:B1 *2402:11 0.000123498 -45 *26534:B1 *2277:8 0.000125435 -46 *2217:13 *2228:46 0.000340773 -47 *2217:13 *2277:7 9.60875e-05 -48 *2217:13 *6192:9 0.00127502 -49 *2217:16 *2227:34 0.000410618 -50 *2217:16 *4541:20 0.000410618 -51 *2217:26 *26676:B2 4.97121e-06 -52 *2217:26 *2240:8 3.17148e-05 -53 *2217:26 *2240:29 0.000121573 -54 *2217:26 *2240:90 0.000290027 -55 *2217:26 *2250:94 1.98839e-05 -56 *2217:26 *5544:15 0.00016968 -57 *2217:34 *26676:B2 9.11365e-06 -58 *2217:34 *6291:40 0.000143255 -59 *2217:38 *26319:B2 1.21258e-05 -60 *2217:38 *26319:C1 0.000175281 -61 *2217:38 *2226:7 3.71353e-05 -62 *2217:38 *2226:62 0.000131579 -63 *2217:38 *2277:8 2.04626e-05 -64 *2217:38 *2402:11 1.32293e-05 -65 *2217:77 *26122:C1 0.000101945 -66 *2217:77 *26569:B1 0 -67 *2217:77 *26574:C 0.000705884 -68 *2217:77 *2240:29 0 -69 *2217:77 *4364:92 5.2908e-05 -70 *2217:77 *4508:65 9.11102e-06 -71 *2217:82 *26486:D 9.54798e-06 -72 *2217:82 *2404:10 0.00147018 -73 *2217:82 *2501:11 0.000113499 -74 *2217:110 *2501:11 0.000124564 -75 *26052:B *2217:77 7.97064e-05 -76 *26087:B *2217:77 0.000131693 -77 *26128:B *26477:A2 2.04825e-05 -78 *26139:A *2217:82 0.00157086 -79 *26262:B *26329:A2 1.21258e-05 -80 *26275:B *2217:13 4.87854e-05 -81 *26284:B *2217:38 5.49544e-05 -82 *26286:A *2217:34 0.000182497 -83 *26314:A *26331:B 3.92757e-05 -84 *26319:A1 *2217:38 5.49489e-05 -85 *26319:A2 *2217:38 6.09764e-05 -86 *26319:B1 *2217:38 0.000142351 -87 *26320:B2 *26534:B1 0.000148658 -88 *26329:A1 *26329:A2 0.000549983 -89 *26331:A *26331:B 6.85303e-05 -90 *26450:B1 *2217:82 0.000303186 -91 *26450:B1 *2217:110 0.000180683 -92 *26458:A3 *2217:77 5.68437e-05 -93 *26475:A1 *26477:A2 2.06178e-05 -94 *26475:A1 *2217:82 6.93335e-05 -95 *26477:A1 *26477:A2 0.000135704 -96 *26479:B2 *26479:B1 3.45371e-05 -97 *26487:A *26331:B 0 -98 *26487:A *2217:110 0 -99 *26746:C1 *26477:A2 4.35004e-05 -100 *30623:A *2217:13 0.000137983 -101 *1188:85 *2217:82 0.000184223 -102 *1922:19 *2217:77 4.64311e-05 -103 *1927:15 *2217:77 4.36551e-06 -104 *1942:95 *2217:38 0.000212363 -105 *1942:106 *2217:38 2.84109e-05 -106 *1942:115 *2217:13 0.000342488 -107 *1959:31 *2217:77 0 -108 *1985:29 *26331:B 0.000197998 -109 *1986:21 *26477:A2 0.000140467 -110 *1986:35 *26477:A2 0 -111 *1993:24 *2217:77 6.595e-05 -112 *2023:21 *26477:A2 2.60487e-05 -113 *2032:35 *2217:77 0.00120882 -114 *2032:43 *2217:77 0.000649447 -115 *2034:16 *2217:77 0.000937257 -116 *2046:10 *2217:26 0.000317878 -117 *2046:10 *2217:34 0.000919358 -118 *2048:36 *2217:34 1.08524e-05 -119 *2141:28 *2217:26 0.000231982 -120 *2141:71 *26329:A2 0.000300866 -121 *2142:189 *2217:77 0.000140645 -122 *2154:111 *26479:B1 0.000216755 -123 *2154:111 *2217:38 0.000180195 -124 *2155:8 *26534:B1 0.000121897 -125 *2155:172 *26534:B1 7.18367e-05 -126 *2155:172 *2217:38 5.51716e-05 -127 *2176:112 *2217:34 0.000523869 -128 *2187:73 *26477:A2 5.09065e-05 -129 *2204:30 *26534:B1 0.00010291 -130 *2204:30 *2217:38 1.12578e-05 -*RES -1 *26275:X *2217:13 40.5679 -2 *2217:13 *2217:16 9.78571 -3 *2217:16 *26324:A1 10.6571 -4 *2217:16 *2217:26 15.625 -5 *2217:26 *2217:34 18.9464 -6 *2217:34 *2217:38 23.9107 -7 *2217:38 *26534:B1 24.675 -8 *2217:38 *26320:B1 15.175 -9 *2217:34 *26479:B1 11.9071 -10 *2217:26 *2217:77 30.1349 -11 *2217:77 *2217:82 30.9911 -12 *2217:82 *26477:A2 28.8628 -13 *2217:82 *26485:A2 13.8 -14 *2217:77 *2217:110 4.83036 -15 *2217:110 *26450:A2 13.8 -16 *2217:110 *26331:B 28.4964 -17 *2217:13 *26329:A2 31.6929 -*END - -*D_NET *2218 0.00125836 -*CONN -*I *26461:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *26276:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26461:A1 0.000319179 -2 *26276:X 0.000319179 -3 *26461:A1 *5673:264 0.000100936 -4 *1911:61 *26461:A1 0.000260839 -5 *2032:81 *26461:A1 9.71197e-05 -6 *2032:89 *26461:A1 8.25016e-05 -7 *2157:8 *26461:A1 7.86093e-05 -*RES -1 *26276:X *26461:A1 33.6536 -*END - -*D_NET *2219 0.00176092 -*CONN -*I *26321:C1 I *D sky130_fd_sc_hd__o211a_1 -*I *26277:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *26321:C1 0.000511131 -2 *26277:X 0.000511131 -3 *26321:C1 *26322:D 5.33005e-05 -4 *26321:C1 *2220:33 1.58163e-05 -5 *26321:C1 *2277:8 0.000250193 -6 *26321:C1 *4353:48 5.49544e-05 -7 *26277:C *26321:C1 4.64967e-05 -8 *1942:106 *26321:C1 0.000248486 -9 *2147:24 *26321:C1 6.94127e-05 -*RES -1 *26277:X *26321:C1 37.3143 -*END - -*D_NET *2220 0.0436727 -*CONN -*I *26312:C I *D sky130_fd_sc_hd__or3_1 -*I *26289:A I *D sky130_fd_sc_hd__nor2_1 -*I *26530:C I *D sky130_fd_sc_hd__nor3_1 -*I *26645:C I *D sky130_fd_sc_hd__or3_1 -*I *26278:X O *D sky130_fd_sc_hd__or3b_4 -*CAP -1 *26312:C 4.63321e-05 -2 *26289:A 0.000343543 -3 *26530:C 0.00041826 -4 *26645:C 0 -5 *26278:X 0.00065936 -6 *2220:69 0.00138957 -7 *2220:54 0.00176784 -8 *2220:45 0.00154675 -9 *2220:40 0.0011128 -10 *2220:33 0.00208274 -11 *2220:23 0.00334243 -12 *2220:19 0.00257883 -13 *26289:A *2231:7 5.65955e-05 -14 *26530:C *6169:62 9.41642e-05 -15 *2220:19 *25504:A 5.4772e-05 -16 *2220:19 *25504:C 4.43256e-05 -17 *2220:19 *25504:D_N 1.94945e-05 -18 *2220:19 *25509:D_N 0.00020338 -19 *2220:19 *25999:C_N 6.71252e-05 -20 *2220:19 *26012:A 5.52238e-05 -21 *2220:19 *4375:10 2.79235e-05 -22 *2220:19 *6214:22 0.00123042 -23 *2220:23 *4508:14 5.02808e-05 -24 *2220:23 *4541:8 0.000266761 -25 *2220:23 *6214:22 3.97602e-05 -26 *2220:33 *26096:A 0 -27 *2220:33 *26321:A2 6.05161e-06 -28 *2220:33 *26322:A_N 9.41642e-05 -29 *2220:33 *26322:B 8.00806e-05 -30 *2220:33 *26322:D 4.12729e-05 -31 *2220:33 *26323:B 0.000148196 -32 *2220:33 *26334:C1 2.06112e-05 -33 *2220:33 *2227:19 8.85664e-07 -34 *2220:33 *4508:14 4.23215e-05 -35 *2220:33 *6291:8 0.000186631 -36 *2220:33 *6291:13 2.05484e-05 -37 *2220:40 *26321:B1 0.000846494 -38 *2220:40 *2277:8 0.0018189 -39 *2220:40 *6158:22 0.00126188 -40 *2220:45 *6158:24 0 -41 *2220:54 *2470:7 0.000139631 -42 *2220:54 *5542:44 0.00182085 -43 *2220:69 *26645:B 3.51368e-05 -44 *2220:69 *2578:12 0.000847654 -45 *2220:69 *5542:44 1.09232e-05 -46 *25972:C *2220:19 1.39841e-05 -47 *26091:B *2220:19 4.43256e-05 -48 *26278:B *2220:19 0 -49 *26302:A *2220:40 4.00918e-05 -50 *26312:A *26289:A 0.000307336 -51 *26312:A *2220:45 0.000263687 -52 *26312:B *26312:C 3.67257e-05 -53 *26313:A *2220:33 0 -54 *26313:B *2220:33 0.000308308 -55 *26321:C1 *2220:33 1.58163e-05 -56 *26334:B2 *2220:33 0.00171488 -57 *26336:A *2220:40 2.28598e-05 -58 *26336:C *2220:40 5.33005e-05 -59 *26530:B *26530:C 0.000180501 -60 *26645:A *26530:C 0.000219289 -61 *26645:A *2220:69 1.24368e-05 -62 *30600:A *2220:19 0 -63 *30601:A *2220:19 0.00081242 -64 *30605:A *2220:19 0.0001399 -65 *30615:A *2220:33 0 -66 *30618:A *2220:23 9.90431e-05 -67 *30618:A *2220:33 1.76135e-05 -68 *30619:A *2220:33 0 -69 *30621:A *2220:23 0.00116321 -70 *1935:19 *2220:54 0.000781574 -71 *1935:25 *2220:69 3.75628e-05 -72 *1935:122 *2220:33 9.99364e-05 -73 *1942:115 *2220:33 0 -74 *1946:105 *26530:C 0.000625229 -75 *1956:50 *2220:33 0.000251085 -76 *1956:50 *2220:54 0.000437934 -77 *1956:65 *2220:54 0.000429118 -78 *1956:65 *2220:69 0.000495817 -79 *1965:53 *2220:69 0.000334192 -80 *2032:127 *2220:33 9.33673e-06 -81 *2038:30 *2220:54 0.000175197 -82 *2046:51 *2220:19 0 -83 *2111:13 *2220:45 5.23057e-05 -84 *2147:10 *2220:33 0.000123288 -85 *2147:24 *2220:33 0.000547732 -86 *2147:65 *2220:69 0.00109077 -87 *2151:8 *2220:40 3.34366e-05 -88 *2151:15 *2220:40 0.000379603 -89 *2151:15 *2220:45 0.000366675 -90 *2151:22 *2220:54 0.00141582 -91 *2155:18 *2220:54 2.61758e-05 -92 *2155:25 *2220:54 9.25014e-06 -93 *2155:42 *2220:69 0.00164451 -94 *2204:16 *2220:33 1.70366e-05 -95 *2204:20 *2220:33 2.06112e-05 -96 *2204:28 *2220:33 0.000450892 -97 *2204:38 *2220:54 0.000665677 -98 *2204:38 *2220:69 0.000115552 -99 *2204:46 *2220:69 0.00117719 -100 *2212:7 *2220:69 0 -101 *2212:29 *26530:C 0.00147257 -*RES -1 *26278:X *2220:19 38.4964 -2 *2220:19 *2220:23 30.5 -3 *2220:23 *2220:33 48.7143 -4 *2220:33 *2220:40 38.4286 -5 *2220:40 *2220:45 13.4107 -6 *2220:45 *2220:54 49.0625 -7 *2220:54 *2220:69 43.5982 -8 *2220:69 *26645:C 9.3 -9 *2220:69 *26530:C 23.8 -10 *2220:45 *26289:A 15.6036 -11 *2220:40 *26312:C 14.7464 -*END - -*D_NET *2221 0.0259278 -*CONN -*I *26562:B1 I *D sky130_fd_sc_hd__a311o_1 -*I *26546:C I *D sky130_fd_sc_hd__or4b_1 -*I *26801:B I *D sky130_fd_sc_hd__or3b_1 -*I *26279:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *26562:B1 0.000555301 -2 *26546:C 5.20128e-05 -3 *26801:B 0.000194797 -4 *26279:Y 8.58711e-05 -5 *2221:8 0.00320794 -6 *2221:7 0.0036023 -7 *26546:C *2486:21 0.000178847 -8 *26562:B1 *26562:A1 2.6726e-05 -9 *26562:B1 *2233:37 0.000488643 -10 *26562:B1 *4353:68 0 -11 *26562:B1 *4508:107 4.49293e-05 -12 *26562:B1 *4508:109 5.15925e-05 -13 *26562:B1 *6291:60 0 -14 *26801:B *2486:21 0.000218685 -15 *26801:B *4189:41 5.41794e-05 -16 *2221:8 *26190:A 7.83659e-05 -17 *2221:8 *26719:B 0.000482149 -18 *2221:8 *2344:8 0.00199027 -19 *2221:8 *2357:13 0.000163557 -20 *2221:8 *4189:41 0.00241342 -21 *2221:8 *4189:60 0.000103852 -22 *2221:8 *4508:109 0.000670597 -23 *2221:8 *4508:116 0.000401453 -24 *2221:8 *4508:202 8.26492e-05 -25 *2221:8 *4552:132 0.000145781 -26 *2221:8 *5664:20 0.000116627 -27 *26206:B *26546:C 1.21258e-05 -28 *26226:B1 *2221:8 0.0003096 -29 *26271:A *2221:8 4.29471e-05 -30 *26546:A *26546:C 0.000137983 -31 *1186:40 *2221:7 0.000137983 -32 *1186:40 *2221:8 0.00036122 -33 *1189:14 *2221:8 0.000855416 -34 *1771:29 *26801:B 5.41794e-05 -35 *1771:29 *2221:8 0.00733314 -36 *1914:12 *26562:B1 1.46883e-05 -37 *1937:22 *2221:8 2.4004e-05 -38 *1944:23 *26562:B1 7.17235e-05 -39 *1945:47 *2221:8 0.000265378 -40 *1946:154 *2221:8 6.74867e-05 -41 *1946:173 *2221:8 3.49187e-05 -42 *1955:77 *2221:8 3.34783e-05 -43 *1986:21 *26562:B1 3.92854e-05 -44 *2047:113 *2221:7 0.000257619 -45 *2149:110 *2221:8 0.000263412 -46 *2161:11 *26562:B1 4.29696e-05 -47 *2161:11 *2221:7 5.96516e-05 -48 *2161:11 *2221:8 9.80405e-05 -*RES -1 *26279:Y *2221:7 16.3893 -2 *2221:7 *2221:8 111.536 -3 *2221:8 *26801:B 16.7107 -4 *2221:8 *26546:C 15.5679 -5 *2221:7 *26562:B1 31.6571 -*END - -*D_NET *2222 0.00496321 -*CONN -*I *26357:A1 I *D sky130_fd_sc_hd__o22a_1 -*I *26280:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26357:A1 0 -2 *26280:Y 0.000813861 -3 *2222:11 0.000813861 -4 *2222:11 *26385:A 6.05161e-06 -5 *2222:11 *26385:B 8.85631e-05 -6 *2222:11 *26445:D 1.74352e-05 -7 *26071:B *2222:11 0.000239634 -8 *26380:B2 *2222:11 0.000177815 -9 *1946:195 *2222:11 7.02611e-05 -10 *1952:22 *2222:11 5.91221e-05 -11 *1955:118 *2222:11 0.00107891 -12 *1966:39 *2222:11 4.11218e-05 -13 *1971:18 *2222:11 5.94467e-05 -14 *1971:20 *2222:11 2.49484e-05 -15 *1999:8 *2222:11 0.00126335 -16 *2013:8 *2222:11 9.67203e-05 -17 *2072:46 *2222:11 0.000112118 -*RES -1 *26280:Y *2222:11 43.2107 -2 *2222:11 *26357:A1 9.3 -*END - -*D_NET *2223 0.010827 -*CONN -*I *26346:A I *D sky130_fd_sc_hd__or3_1 -*I *26664:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *26754:A I *D sky130_fd_sc_hd__or4b_1 -*I *26604:B I *D sky130_fd_sc_hd__or3_1 -*I *26281:X O *D sky130_fd_sc_hd__and3_1 -*CAP -1 *26346:A 0 -2 *26664:B1 2.04425e-05 -3 *26754:A 0.000144523 -4 *26604:B 0.000102746 -5 *26281:X 0 -6 *2223:50 0.00113047 -7 *2223:13 0.00041605 -8 *2223:8 0.000467745 -9 *2223:4 0.001409 -10 *26604:B *2483:14 0.000340066 -11 *26664:B1 *26635:A3 5.33005e-05 -12 *26754:A *26754:C 5.33005e-05 -13 *26754:A *26754:D_N 7.64598e-05 -14 *2223:8 *26401:A2 0.000171375 -15 *2223:8 *2237:33 0.0006027 -16 *2223:8 *2483:8 0.000110066 -17 *2223:8 *2483:14 4.16877e-05 -18 *2223:13 *26401:A2 0.000198698 -19 *2223:13 *26754:D_N 6.05161e-06 -20 *2223:13 *2237:33 0.000148903 -21 *2223:13 *2692:11 5.72869e-05 -22 *2223:50 *26346:C 3.63587e-05 -23 *2223:50 *2287:14 4.06087e-07 -24 *2223:50 *2293:15 3.63775e-05 -25 *2223:50 *2483:8 5.46676e-05 -26 *2223:50 *2605:8 0.000825422 -27 *2223:50 *5615:21 7.08569e-05 -28 *26281:A *2223:8 0.000103974 -29 *26342:B *2223:50 0.000144132 -30 *26604:A *26604:B 0.000337637 -31 *26664:A1 *26664:B1 2.59355e-05 -32 *1393:39 *2223:8 1.58163e-05 -33 *1393:39 *2223:50 6.69194e-05 -34 *1930:90 *2223:50 0.000456702 -35 *1930:98 *2223:50 1.08359e-05 -36 *1950:101 *2223:13 2.49484e-05 -37 *1997:45 *2223:50 0.000225307 -38 *2016:61 *2223:50 5.72222e-05 -39 *2040:62 *2223:50 0.000716144 -40 *2045:97 *2223:50 0.00078977 -41 *2063:14 *2223:50 0.000913 -42 *2169:24 *2223:50 0.000363656 -*RES -1 *26281:X *2223:4 9.3 -2 *2223:4 *2223:8 13.0536 -3 *2223:8 *2223:13 8.82143 -4 *2223:13 *26604:B 22.675 -5 *2223:13 *26754:A 11.9071 -6 *2223:8 *26664:B1 14.3357 -7 *2223:4 *2223:50 46.5357 -8 *2223:50 *26346:A 9.3 -*END - -*D_NET *2224 0.0171791 -*CONN -*I *26729:C1 I *D sky130_fd_sc_hd__o221a_1 -*I *26337:A I *D sky130_fd_sc_hd__nand4_1 -*I *26538:D1 I *D sky130_fd_sc_hd__o2111a_1 -*I *26282:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26729:C1 0.000426266 -2 *26337:A 0 -3 *26538:D1 0 -4 *26282:X 0 -5 *2224:20 0.00126425 -6 *2224:8 0.00225108 -7 *2224:4 0.0014131 -8 *2224:8 *26536:C1 0.00062372 -9 *2224:8 *26699:D1 0.0014441 -10 *2224:8 *27978:S 0.00025263 -11 *2224:8 *2240:156 0.000771037 -12 *2224:8 *2242:27 0.000786541 -13 *2224:8 *2479:8 0.000282263 -14 *2224:20 *26536:C1 0.000337024 -15 *2224:20 *2240:111 0.000611089 -16 *2224:20 *6147:14 0.000148082 -17 *26270:B *2224:8 0.000220279 -18 *26282:A *26729:C1 0.000537314 -19 *26282:A *2224:8 9.80173e-05 -20 *26336:A *2224:20 6.45518e-05 -21 *26336:B *2224:20 5.17614e-05 -22 *26729:B1 *26729:C1 5.40901e-06 -23 *2043:33 *2224:8 0.000240221 -24 *2111:14 *2224:20 0.000584167 -25 *2133:51 *2224:20 0.000363752 -26 *2144:41 *2224:8 0.000964622 -27 *2144:41 *2224:20 0.00132293 -28 *2144:46 *2224:8 9.62734e-05 -29 *2154:14 *2224:20 0.000927905 -30 *2154:16 *2224:20 0.00098571 -31 *2154:32 *2224:20 0.000104974 -*RES -1 *26282:X *2224:4 9.3 -2 *2224:4 *2224:8 44.9464 -3 *2224:8 *26538:D1 13.8 -4 *2224:8 *2224:20 48.25 -5 *2224:20 *26337:A 9.3 -6 *2224:4 *26729:C1 16.8357 -*END - -*D_NET *2225 0.00175147 -*CONN -*I *26689:B I *D sky130_fd_sc_hd__or4_1 -*I *26283:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26689:B 0.000377468 -2 *26283:Y 0.000377468 -3 *26689:B *26689:D 0.000307295 -4 *26283:B *26689:B 3.69047e-06 -5 *1862:22 *26689:B 0.000266479 -6 *1952:22 *26689:B 0.000377192 -7 *2071:14 *26689:B 3.61345e-05 -8 *2187:73 *26689:B 5.74499e-06 -*RES -1 *26283:Y *26689:B 35.5821 -*END - -*D_NET *2226 0.0341698 -*CONN -*I *26788:A3 I *D sky130_fd_sc_hd__o31a_1 -*I *26746:A2 I *D sky130_fd_sc_hd__o211a_1 -*I *26640:B I *D sky130_fd_sc_hd__and2_1 -*I *26297:B I *D sky130_fd_sc_hd__nor2_1 -*I *26319:B2 I *D sky130_fd_sc_hd__o221a_1 -*I *26284:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *26788:A3 8.78592e-05 -2 *26746:A2 9.36393e-06 -3 *26640:B 0 -4 *26297:B 0.000367418 -5 *26319:B2 0.000103081 -6 *26284:X 0 -7 *2226:84 0.001625 -8 *2226:62 0.00305843 -9 *2226:38 0.00314067 -10 *2226:36 0.0029744 -11 *2226:31 0.00127584 -12 *2226:7 0.00158089 -13 *2226:4 0.00175807 -14 *26297:B *26643:C 2.39313e-05 -15 *26297:B *2582:28 0.000184138 -16 *26788:A3 *26788:B1 1.02504e-05 -17 *2226:31 *4353:48 0.0001531 -18 *2226:31 *4353:54 0.000771695 -19 *2226:31 *6169:44 0 -20 *2226:38 *26645:B 2.30116e-06 -21 *2226:38 *26646:B1 0 -22 *2226:38 *26699:A2 0 -23 *2226:38 *30994:A 0.00020221 -24 *2226:62 *26479:A2 6.57032e-05 -25 *2226:62 *26481:C 5.33005e-05 -26 *2226:62 *2401:23 0.00026023 -27 *2226:62 *2402:11 4.27381e-05 -28 *2226:84 *26483:B1 0.000108474 -29 *2226:84 *2250:82 0.000160888 -30 *2226:84 *2279:7 0.000986691 -31 *2226:84 *2684:11 1.21258e-05 -32 *26108:A *2226:84 0.000232952 -33 *26128:B *2226:84 3.97677e-05 -34 *26129:C1 *2226:84 5.33005e-05 -35 *26319:A2 *26319:B2 9.41642e-05 -36 *26319:A2 *2226:7 3.97677e-05 -37 *26336:A *2226:31 0 -38 *26479:A1 *2226:62 1.58163e-05 -39 *26479:B1 *2226:62 6.92966e-06 -40 *26479:B2 *2226:62 1.149e-05 -41 *26525:A4 *2226:31 4.82865e-05 -42 *26562:C1 *2226:84 0.000225314 -43 *26706:A1 *26297:B 4.55338e-05 -44 *26729:B1 *2226:38 0 -45 *26746:A1 *26746:A2 1.04232e-05 -46 *26746:A1 *2226:84 0.000343205 -47 *26746:B1 *26746:A2 2.44266e-05 -48 *26746:B1 *2226:84 0.000400909 -49 *1393:69 *2226:38 0 -50 *1393:90 *2226:38 0 -51 *1910:20 *2226:38 9.7413e-06 -52 *1925:8 *26788:A3 0.00010199 -53 *1925:8 *2226:84 0.000448172 -54 *1935:8 *2226:31 4.25594e-05 -55 *1935:19 *2226:31 9.32003e-06 -56 *1935:109 *2226:31 8.956e-05 -57 *1942:95 *2226:7 8.67936e-05 -58 *1942:95 *2226:62 0.000329077 -59 *1942:106 *2226:7 2.976e-05 -60 *1944:43 *2226:31 0.000153078 -61 *1944:52 *2226:38 0.000158918 -62 *1956:50 *2226:31 0.000135732 -63 *1965:31 *2226:38 0.00028325 -64 *2032:100 *2226:31 8.96293e-05 -65 *2032:150 *2226:84 0.00073977 -66 *2033:40 *2226:84 8.16514e-05 -67 *2038:30 *2226:31 2.75158e-05 -68 *2038:58 *2226:38 0.00153388 -69 *2043:58 *2226:38 0.000622523 -70 *2047:81 *2226:38 8.47241e-05 -71 *2136:10 *2226:31 0.000147474 -72 *2144:68 *2226:38 0.00106384 -73 *2147:38 *2226:31 9.59532e-06 -74 *2147:55 *2226:31 0.00102776 -75 *2147:55 *2226:36 0.00027794 -76 *2147:61 *2226:38 9.28313e-05 -77 *2151:22 *2226:38 0.00177061 -78 *2151:31 *2226:38 2.29102e-05 -79 *2155:18 *2226:31 0.00217987 -80 *2155:18 *2226:36 5.01876e-06 -81 *2155:25 *2226:36 0 -82 *2155:25 *2226:38 3.4323e-06 -83 *2155:42 *2226:38 0.000110386 -84 *2155:61 *26297:B 0.000538253 -85 *2155:61 *2226:38 0.000169221 -86 *2162:32 *2226:38 0 -87 *2177:25 *2226:38 0.000253078 -88 *2187:22 *26788:A3 0.000206976 -89 *2187:22 *2226:84 0.000398213 -90 *2204:114 *2226:38 4.28585e-05 -91 *2217:38 *26319:B2 1.21258e-05 -92 *2217:38 *2226:7 3.71353e-05 -93 *2217:38 *2226:62 0.000131579 -*RES -1 *26284:X *2226:4 9.3 -2 *2226:4 *2226:7 5.07143 -3 *2226:7 *26319:B2 11.0857 -4 *2226:7 *2226:31 48.3696 -5 *2226:31 *2226:36 9.10714 -6 *2226:36 *2226:38 72.0714 -7 *2226:38 *26297:B 21.9071 -8 *2226:38 *26640:B 13.8 -9 *2226:4 *2226:62 21.6071 -10 *2226:62 *2226:84 49.7679 -11 *2226:84 *26746:A2 9.72857 -12 *2226:62 *26788:A3 16.6571 -*END - -*D_NET *2227 0.02255 -*CONN -*I *26315:B I *D sky130_fd_sc_hd__or2_1 -*I *26785:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *26328:B I *D sky130_fd_sc_hd__or2_1 -*I *26676:B2 I *D sky130_fd_sc_hd__o22a_1 -*I *26324:A2 I *D sky130_fd_sc_hd__a31o_1 -*I *26327:A2 I *D sky130_fd_sc_hd__o211a_1 -*I *26285:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *26315:B 0 -2 *26785:A2 0.000487905 -3 *26328:B 1.7759e-05 -4 *26676:B2 0.000698165 -5 *26324:A2 0.000369487 -6 *26327:A2 0 -7 *26285:X 0.000545138 -8 *2227:90 0.00147568 -9 *2227:85 0.00313561 -10 *2227:64 0.00219867 -11 *2227:34 0.00142514 -12 *2227:30 0.000596643 -13 *2227:19 0.000751201 -14 *26324:A2 *26202:A 1.34548e-05 -15 *26324:A2 *2240:90 2.59355e-05 -16 *26324:A2 *2240:98 0.000126759 -17 *26324:A2 *4530:14 0.000146474 -18 *26324:A2 *4552:65 9.58126e-05 -19 *26324:A2 *5544:15 9.58126e-05 -20 *26324:A2 *5722:42 2.58997e-05 -21 *26676:B2 *4508:65 1.21258e-05 -22 *26676:B2 *5673:299 0 -23 *26676:B2 *6291:40 0.000473662 -24 *26785:A2 *25509:D_N 0 -25 *26785:A2 *26332:D 1.0945e-05 -26 *26785:A2 *26333:C1 9.80415e-05 -27 *2227:19 *26327:B1 0.000210008 -28 *2227:19 *26335:C1 9.78745e-05 -29 *2227:19 *2269:23 9.58181e-05 -30 *2227:19 *5722:16 0.000137819 -31 *2227:19 *6203:11 2.74419e-05 -32 *2227:30 *26327:B1 3.52082e-05 -33 *2227:30 *26327:C1 0.000132818 -34 *2227:30 *4242:9 0.00021236 -35 *2227:30 *6203:11 0.000260574 -36 *2227:34 *26326:B1 1.90936e-05 -37 *2227:34 *4242:9 0.000323193 -38 *2227:34 *4541:20 5.41797e-05 -39 *2227:34 *6291:40 0.000332786 -40 *2227:85 *26013:A 4.53889e-05 -41 *2227:85 *2240:5 0.000225616 -42 *2227:85 *2240:192 6.42095e-05 -43 *2227:85 *4297:15 7.23544e-05 -44 *2227:90 *26332:B 0.000135028 -45 *2227:90 *6203:23 0.00118642 -46 *25970:A *2227:85 2.65242e-05 -47 *26017:A2 *2227:85 4.58194e-05 -48 *26017:B1 *2227:85 7.68201e-05 -49 *26051:A2 *2227:85 1.58163e-05 -50 *26091:A *2227:85 5.6711e-05 -51 *26262:B *2227:19 5.52238e-05 -52 *26277:C *26676:B2 0.000138881 -53 *26298:B *2227:85 4.27338e-05 -54 *26313:B *2227:19 5.77138e-05 -55 *26327:A1 *2227:19 6.73534e-05 -56 *26329:A2 *2227:30 1.76606e-05 -57 *26329:A2 *2227:34 0.000322629 -58 *26334:A2 *2227:19 0.00035153 -59 *26334:B2 *2227:19 4.46186e-06 -60 *26335:A3 *2227:85 0.000147492 -61 *26455:A2 *2227:85 9.7659e-05 -62 *26676:B1 *26676:B2 5.96516e-05 -63 *30596:A *26785:A2 9.25014e-06 -64 *30609:A *26785:A2 4.31097e-05 -65 *30623:A *2227:34 3.67548e-05 -66 *30625:A *2227:19 0.000137505 -67 *1788:28 *2227:85 5.05056e-05 -68 *1790:17 *26785:A2 3.80953e-06 -69 *1902:8 *2227:85 1.76755e-05 -70 *1912:17 *2227:85 0.000473881 -71 *1912:28 *2227:85 8.37136e-05 -72 *1942:14 *26785:A2 0.000192983 -73 *1946:15 *26676:B2 0.000977035 -74 *1946:15 *2227:34 5.88598e-05 -75 *1955:19 *2227:85 0.000320706 -76 *1955:31 *2227:85 0.000224596 -77 *1955:190 *2227:85 2.13481e-06 -78 *1956:35 *2227:19 0.000326373 -79 *1959:10 *2227:85 5.20359e-06 -80 *2046:51 *26785:A2 0.00024426 -81 *2141:28 *26676:B2 0.000465257 -82 *2141:52 *2227:85 4.87854e-05 -83 *2141:58 *26328:B 1.00733e-05 -84 *2141:58 *2227:64 3.23206e-05 -85 *2141:58 *2227:85 4.27437e-05 -86 *2141:71 *26328:B 8.14093e-06 -87 *2141:71 *2227:30 0.000165411 -88 *2157:25 *2227:85 9.25014e-06 -89 *2204:16 *2227:19 5.4869e-05 -90 *2217:16 *2227:34 0.000410618 -91 *2217:26 *26676:B2 4.97121e-06 -92 *2217:34 *26676:B2 9.11365e-06 -93 *2220:33 *2227:19 8.85664e-07 -*RES -1 *26285:X *2227:19 32.0679 -2 *2227:19 *26327:A2 9.3 -3 *2227:19 *2227:30 10.375 -4 *2227:30 *2227:34 16.1607 -5 *2227:34 *26324:A2 24.3179 -6 *2227:34 *26676:B2 36.3714 -7 *2227:30 *2227:64 5.03571 -8 *2227:64 *26328:B 9.72857 -9 *2227:64 *2227:85 41.5357 -10 *2227:85 *2227:90 15.0536 -11 *2227:90 *26785:A2 26.7107 -12 *2227:90 *26315:B 9.3 -*END - -*D_NET *2228 0.0143144 -*CONN -*I *26330:A2 I *D sky130_fd_sc_hd__o211a_1 -*I *26326:A2 I *D sky130_fd_sc_hd__o22a_1 -*I *26307:B I *D sky130_fd_sc_hd__or2_1 -*I *26321:A2 I *D sky130_fd_sc_hd__o211a_1 -*I *26300:A2 I *D sky130_fd_sc_hd__o22a_1 -*I *26286:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *26330:A2 0.000487597 -2 *26326:A2 8.1604e-06 -3 *26307:B 2.36895e-05 -4 *26321:A2 0.000284214 -5 *26300:A2 0.000272721 -6 *26286:Y 0.00133739 -7 *2228:46 0.00163689 -8 *2228:34 0.00139165 -9 *2228:13 0.000737176 -10 *2228:11 0.00174446 -11 *26300:A2 *2240:98 7.95668e-05 -12 *26321:A2 *26321:B1 3.34366e-05 -13 *26321:A2 *26322:D 4.87854e-05 -14 *26321:A2 *2277:8 0.000652283 -15 *26326:A2 *4530:10 2.06112e-05 -16 *26326:A2 *4563:21 2.06112e-05 -17 *26330:A2 *26326:B1 0.000204854 -18 *26330:A2 *26329:C1 6.43814e-05 -19 *26330:A2 *26330:B1 1.85392e-05 -20 *26330:A2 *2240:8 1.90936e-05 -21 *26330:A2 *2272:15 9.54798e-06 -22 *26330:A2 *4541:17 0 -23 *26330:A2 *4552:24 0.000200351 -24 *26330:A2 *6203:11 1.21258e-05 -25 *26330:A2 *6291:30 2.83129e-05 -26 *2228:11 *4541:22 0.00035392 -27 *2228:11 *6180:11 0.00122485 -28 *2228:11 *6291:40 0.000170523 -29 *2228:13 *2240:98 3.72768e-05 -30 *2228:13 *6180:11 5.52302e-05 -31 *2228:34 *6180:10 0.00012401 -32 *2228:46 *26334:C1 3.24808e-05 -33 spimemio_flash_io2_di *26321:A2 7.05699e-05 -34 *26286:B *2228:11 1.24368e-05 -35 *26300:A1 *26300:A2 1.92789e-05 -36 *26321:A1 *26321:A2 8.40485e-06 -37 *26325:A1 *2228:11 8.18551e-05 -38 *26330:A1 *26330:A2 5.33005e-05 -39 *26334:A2 *2228:46 0.000119306 -40 *26455:A2 *26330:A2 0.000200288 -41 *26455:A2 *2228:46 7.29957e-05 -42 *1935:135 *2228:11 7.80759e-05 -43 *1942:106 *2228:34 2.49484e-05 -44 *1942:115 *2228:34 0.000195481 -45 *1942:115 *2228:46 0.000128283 -46 *1956:47 *26321:A2 0.000235483 -47 *2032:127 *2228:34 0.000525377 -48 *2032:127 *2228:46 0.000589813 -49 *2054:51 *2228:11 0.000105696 -50 *2111:26 *26307:B 5.52238e-05 -51 *2144:22 *26321:A2 0 -52 *2204:16 *2228:46 5.59923e-05 -53 *2217:13 *2228:46 0.000340773 -54 *2220:33 *26321:A2 6.05161e-06 -*RES -1 *26286:Y *2228:11 41.55 -2 *2228:11 *2228:13 2.17857 -3 *2228:13 *26300:A2 12.7107 -4 *2228:13 *26321:A2 27.9964 -5 *2228:11 *2228:34 11.3036 -6 *2228:34 *26307:B 14.3357 -7 *2228:34 *2228:46 12.8212 -8 *2228:46 *26326:A2 17.4868 -9 *2228:46 *26330:A2 22.0057 -*END - -*D_NET *2229 0.00105377 -*CONN -*I *26293:A1 I *D sky130_fd_sc_hd__o32a_1 -*I *26287:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26293:A1 0.000299326 -2 *26287:Y 0.000299326 -3 *26293:A1 *26100:D_N 0.000129021 -4 *26293:A1 *26293:A2 9.91224e-05 -5 *26293:A1 *26293:B1 5.63498e-05 -6 *26293:A1 *4519:53 0.000136432 -7 *26293:A1 *5722:69 1.30594e-05 -8 *2042:9 *26293:A1 2.11302e-05 -*RES -1 *26287:Y *26293:A1 32.2429 -*END - -*D_NET *2230 0.0111897 -*CONN -*I *26289:B I *D sky130_fd_sc_hd__nor2_1 -*I *26696:A2 I *D sky130_fd_sc_hd__a31o_1 -*I *26698:B1 I *D sky130_fd_sc_hd__o21a_1 -*I *26288:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26289:B 0 -2 *26696:A2 0.000135729 -3 *26698:B1 0.000285495 -4 *26288:X 0.000276138 -5 *2230:20 0.000951766 -6 *2230:19 0.00144594 -7 *2230:12 0.00119154 -8 *26696:A2 *2234:23 0.000351992 -9 *26698:B1 *26269:A 0.000326934 -10 *26698:B1 *2587:11 0.000221163 -11 *2230:12 *26527:B1 0.000182592 -12 *2230:12 *6169:44 6.90197e-05 -13 *2230:19 *25968:A 0.000266301 -14 *2230:19 *6169:44 0.000103161 -15 *2230:20 *2484:20 0.00111479 -16 *2230:20 *2587:11 0.0005023 -17 *2230:20 *5722:76 0.000183469 -18 *26269:B *26698:B1 0.0002359 -19 *26647:C *2230:20 0.000147588 -20 *26698:A1 *26698:B1 1.51489e-05 -21 *26698:A2 *26698:B1 0.000141729 -22 *1942:70 *2230:19 0 -23 *1942:70 *2230:20 0.00107837 -24 *1956:65 *26696:A2 4.82947e-05 -25 *2130:46 *2230:19 5.0803e-05 -26 *2132:21 *2230:20 0.000255489 -27 *2152:102 *2230:20 9.99931e-06 -28 *2159:92 *26698:B1 0.000214825 -29 *2159:92 *2230:20 4.42509e-05 -30 *2159:117 *2230:19 0.000475664 -31 *2162:17 *26698:B1 0.000120074 -32 *2190:122 *2230:19 0.000255457 -33 *2190:133 *2230:19 0.000487721 -*RES -1 *26288:X *2230:12 17.8714 -2 *2230:12 *2230:19 29.625 -3 *2230:19 *2230:20 21.0714 -4 *2230:20 *26698:B1 23.1036 -5 *2230:20 *26696:A2 17.2107 -6 *2230:12 *26289:B 13.8 -*END - -*D_NET *2231 0.00357883 -*CONN -*I *26293:B1 I *D sky130_fd_sc_hd__o32a_1 -*I *26543:B1_N I *D sky130_fd_sc_hd__o21ba_1 -*I *26289:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26293:B1 0.000497327 -2 *26543:B1_N 0.000393073 -3 *26289:Y 0.000130505 -4 *2231:7 0.0010209 -5 *26293:B1 *26293:A2 0 -6 *26293:B1 *26293:A3 6.83684e-05 -7 *26293:B1 *4519:53 0.000147005 -8 *26293:B1 *5722:69 0.000301307 -9 *26543:B1_N *5722:69 0.000639154 -10 *26289:A *2231:7 5.65955e-05 -11 *26293:A1 *26293:B1 5.63498e-05 -12 *26312:A *2231:7 4.53295e-05 -13 *26525:A1 *26543:B1_N 9.41642e-05 -14 *26525:A2 *26543:B1_N 9.58126e-05 -15 *1944:28 *26293:B1 4.19624e-06 -16 *2136:36 *26293:B1 8.9224e-06 -17 *2136:43 *26293:B1 1.98207e-05 -*RES -1 *26289:Y *2231:7 15.5679 -2 *2231:7 *26543:B1_N 23.0679 -3 *2231:7 *26293:B1 22.7643 -*END - -*D_NET *2232 0.000999036 -*CONN -*I *26293:A2 I *D sky130_fd_sc_hd__o32a_1 -*I *26290:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26293:A2 0.00025301 -2 *26290:Y 0.00025301 -3 *26293:A2 *26003:D 5.49489e-05 -4 *26293:A2 *26293:A3 9.05976e-06 -5 *26293:A2 *4353:68 4.34734e-05 -6 *26293:A2 *5722:69 0.000281965 -7 *26293:A1 *26293:A2 9.91224e-05 -8 *26293:B1 *26293:A2 0 -9 *26293:B2 *26293:A2 4.44623e-06 -*RES -1 *26290:Y *26293:A2 32.6357 -*END - -*D_NET *2233 0.0158256 -*CONN -*I *26357:A2 I *D sky130_fd_sc_hd__o22a_1 -*I *26293:A3 I *D sky130_fd_sc_hd__o32a_1 -*I *26291:X O *D sky130_fd_sc_hd__and3b_1 -*CAP -1 *26357:A2 0.000883667 -2 *26293:A3 0.000439504 -3 *26291:X 0.000143891 -4 *2233:37 0.00311133 -5 *2233:5 0.00281106 -6 *26293:A3 *4530:50 9.91086e-05 -7 *26357:A2 *26385:A 6.595e-05 -8 *26357:A2 *26445:D 0.000391289 -9 *26357:A2 *2250:64 0.000116155 -10 *2233:5 *26100:C 0.000175892 -11 *2233:37 *26044:C 5.33005e-05 -12 *2233:37 *26100:C 5.33005e-05 -13 *2233:37 *26168:A_N 0.000113151 -14 *2233:37 *26562:A1 0.000537133 -15 *2233:37 *4353:68 0.000103253 -16 *2233:37 *4508:107 0 -17 *2233:37 *6291:67 0 -18 *26170:B *2233:37 0.00010369 -19 *26234:A *26293:A3 9.41642e-05 -20 *26293:A2 *26293:A3 9.05976e-06 -21 *26293:B1 *26293:A3 6.83684e-05 -22 *26293:B2 *26293:A3 2.58896e-05 -23 *26476:A3 *2233:37 0 -24 *26562:B1 *2233:37 0.000488643 -25 *26742:A2 *26357:A2 0.000557398 -26 *1186:19 *2233:37 0.000137983 -27 *1186:40 *2233:37 5.21062e-05 -28 *1914:12 *2233:37 0 -29 *1943:18 *26357:A2 0.00163929 -30 *1944:23 *2233:37 0.00020016 -31 *1946:173 *26357:A2 0.000106431 -32 *1953:38 *2233:37 5.05964e-05 -33 *1964:52 *2233:37 4.34472e-05 -34 *1964:89 *2233:37 0.000173804 -35 *1975:14 *2233:37 0.000258287 -36 *1984:12 *26357:A2 0.00102415 -37 *2047:113 *26293:A3 0.000126837 -38 *2110:12 *2233:37 0 -39 *2110:44 *2233:37 0 -40 *2113:65 *2233:37 8.7327e-05 -41 *2124:26 *26357:A2 0.000158705 -42 *2124:41 *26357:A2 0.000162192 -43 *2136:51 *2233:37 0.000261386 -44 *2141:13 *26293:A3 0.000267913 -45 *2157:8 *26293:A3 9.91086e-05 -46 *2161:11 *2233:37 9.90367e-05 -47 *2161:29 *26293:A3 0.000431669 -*RES -1 *26291:X *2233:5 11.0679 -2 *2233:5 *26293:A3 28.9786 -3 *2233:5 *2233:37 47.2312 -4 *2233:37 *26357:A2 46.2546 -*END - -*D_NET *2234 0.0205589 -*CONN -*I *26662:A2 I *D sky130_fd_sc_hd__a31o_1 -*I *26403:A2 I *D sky130_fd_sc_hd__a21oi_1 -*I *26696:A3 I *D sky130_fd_sc_hd__a31o_1 -*I *26526:C1 I *D sky130_fd_sc_hd__o221a_1 -*I *26292:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *26662:A2 0.000230554 -2 *26403:A2 0.000268899 -3 *26696:A3 0 -4 *26526:C1 0.000629053 -5 *26292:X 0.000748231 -6 *2234:48 0.00212234 -7 *2234:23 0.00219947 -8 *2234:19 0.00251367 -9 *2234:16 0.00251738 -10 *26403:A2 *2344:8 1.21258e-05 -11 *26403:A2 *2347:13 0.000173797 -12 *26403:A2 *2394:11 0.000424029 -13 *26526:C1 *26526:B1 1.24368e-05 -14 *26526:C1 *2475:11 0.000226021 -15 *26526:C1 *6125:8 0.000126209 -16 *26662:A2 *26662:A3 0.000224007 -17 *26662:A2 *26663:C_N 0.000139907 -18 *2234:16 *2250:64 0.0001791 -19 *2234:16 *2324:13 0.000518722 -20 *2234:16 *4189:67 0.000177271 -21 *2234:16 *5782:126 0.000332483 -22 *2234:19 *26662:A3 6.42095e-05 -23 *2234:48 *26535:A1 5.50154e-05 -24 *2234:48 *30999:A 6.05161e-06 -25 *2234:48 *2240:127 0.000237261 -26 *2234:48 *2244:14 0.000910264 -27 *2234:48 *5573:36 0.000185916 -28 *2234:48 *6158:24 0.000104702 -29 wb_dat_o[31] *2234:48 2.97059e-05 -30 *25998:B *26662:A2 1.39726e-05 -31 *26060:A *2234:16 4.96113e-05 -32 *26225:D1 *2234:19 0.00018077 -33 *26292:B *2234:16 9.66977e-05 -34 *26403:A1 *26403:A2 0.000135028 -35 *26696:A2 *2234:23 0.000351992 -36 *1935:25 *2234:48 0.0002253 -37 *1940:32 *2234:16 4.14498e-05 -38 *1943:18 *2234:16 0.000269438 -39 *1945:65 *2234:16 0.000504234 -40 *1956:65 *2234:23 9.76435e-06 -41 *1956:65 *2234:48 0.000156784 -42 *1962:30 *2234:19 0.000220306 -43 *1962:30 *2234:23 0.000756428 -44 *1965:53 *2234:48 0.00021236 -45 *1966:39 *2234:16 0.000122941 -46 *1990:8 *2234:16 0.000127196 -47 *1990:14 *2234:16 6.84325e-05 -48 *2023:15 *2234:16 6.94348e-05 -49 *2103:82 *2234:16 7.57946e-05 -50 *2103:84 *2234:16 0.000578466 -51 *2111:69 *2234:16 0.000119924 -52 *2121:10 *26403:A2 0.00017309 -53 *2133:39 *2234:48 7.43258e-05 -54 *2151:22 *2234:48 5.41797e-06 -55 *2154:32 *26526:C1 2.22891e-05 -56 *2154:32 *2234:48 0.000259218 -57 *2159:92 *2234:23 0.000269379 -*RES -1 *26292:X *2234:16 44.4597 -2 *2234:16 *2234:19 17.8929 -3 *2234:19 *2234:23 14.1071 -4 *2234:23 *2234:48 47.6479 -5 *2234:48 *26526:C1 28.3893 -6 *2234:23 *26696:A3 9.3 -7 *2234:19 *26403:A2 25.2107 -8 *2234:16 *26662:A2 13.6929 -*END - -*D_NET *2235 0.00709899 -*CONN -*I *26322:A_N I *D sky130_fd_sc_hd__and4b_1 -*I *26293:X O *D sky130_fd_sc_hd__o32a_1 -*CAP -1 *26322:A_N 0.000438533 -2 *26293:X 0.000943813 -3 *2235:10 0.00138235 -4 *26322:A_N *26322:C 6.56534e-05 -5 *26322:A_N *26322:D 5.05507e-05 -6 *2235:10 *4353:48 0 -7 *2235:10 *4519:26 0.000519264 -8 *2235:10 *4519:28 0.000527151 -9 *2235:10 *4519:30 0.000797084 -10 *2235:10 *4519:38 0.000153085 -11 *2235:10 *6169:44 0.00175782 -12 *26336:A *2235:10 3.04311e-05 -13 *1935:135 *26322:A_N 7.62968e-05 -14 *2038:22 *2235:10 0.000121565 -15 *2042:20 *2235:10 0.000121573 -16 *2136:36 *2235:10 1.96616e-05 -17 *2220:33 *26322:A_N 9.41642e-05 -*RES -1 *26293:X *2235:10 47.8179 -2 *2235:10 *26322:A_N 15.7464 -*END - -*D_NET *2236 0.00713466 -*CONN -*I *26339:B1 I *D sky130_fd_sc_hd__a211o_1 -*I *26395:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *26757:B1 I *D sky130_fd_sc_hd__a211o_1 -*I *26294:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26339:B1 0 -2 *26395:B1 0.000135465 -3 *26757:B1 0.00106 -4 *26294:Y 0.000102858 -5 *2236:10 0.00127645 -6 *2236:8 0.000183839 -7 *26395:B1 *26396:C1 0.00010096 -8 *26757:B1 *26394:C 0.00106777 -9 *26757:B1 *26520:B 0 -10 *26757:B1 *26521:B 1.90936e-05 -11 *26757:B1 *26757:C1 3.42217e-05 -12 *26757:B1 *2300:11 5.36422e-05 -13 *26757:B1 *2691:8 0.00107053 -14 *26757:B1 *3581:76 8.25843e-06 -15 *2236:8 *2303:5 1.21258e-05 -16 *2236:8 *2691:8 0.000125717 -17 *2236:10 *2691:8 0.000222892 -18 *26102:A *2236:8 6.05161e-06 -19 *26272:B *26395:B1 0.000226078 -20 *26358:A *26757:B1 0.000260282 -21 *26757:A1 *26757:B1 2.95693e-05 -22 *26773:A2 *26757:B1 0.000275345 -23 *1950:87 *26757:B1 1.85992e-05 -24 *2012:20 *26757:B1 0.000270481 -25 *2012:20 *2236:8 3.75052e-05 -26 *2012:20 *2236:10 7.45011e-05 -27 *2016:41 *26395:B1 0.000168187 -28 *2040:62 *26757:B1 0.00027515 -29 *2089:26 *26757:B1 1.90936e-05 -*RES -1 *26294:Y *2236:8 15.9786 -2 *2236:8 *2236:10 2.85714 -3 *2236:10 *26757:B1 47.8178 -4 *2236:10 *26395:B1 18.0321 -5 *2236:8 *26339:B1 13.8 -*END - -*D_NET *2237 0.0174947 -*CONN -*I *26782:A I *D sky130_fd_sc_hd__nor3_1 -*I *26397:D1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26447:B I *D sky130_fd_sc_hd__or4b_1 -*I *26521:A I *D sky130_fd_sc_hd__or4b_1 -*I *26665:B1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26628:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *26594:C1 I *D sky130_fd_sc_hd__a211o_1 -*I *26295:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *26782:A 0.000255253 -2 *26397:D1 0 -3 *26447:B 0 -4 *26521:A 6.81137e-05 -5 *26665:B1 0 -6 *26628:B1 0 -7 *26594:C1 0.000158532 -8 *26295:Y 0.00050872 -9 *2237:39 0.000381466 -10 *2237:33 0.000784531 -11 *2237:28 0.000849686 -12 *2237:26 0.000639659 -13 *2237:16 0.00111202 -14 *2237:11 0.00145631 -15 *26521:A *26522:A1 0.000180764 -16 *26521:A *26522:A4 6.86693e-05 -17 *26594:C1 *26594:A2 0.000358608 -18 *26782:A *26339:C1 4.8936e-05 -19 *2237:11 *26295:B 0.000224314 -20 *2237:16 *26339:C1 0.000421239 -21 *2237:16 *26346:B 2.16719e-05 -22 *2237:16 *26396:C1 1.3142e-05 -23 *2237:16 *2304:10 0.000667446 -24 *2237:16 *2305:8 0.000566622 -25 *2237:16 *2526:18 0.000375961 -26 *2237:26 *26396:B1 9.13162e-05 -27 *2237:26 *26396:C1 0.000139621 -28 *2237:26 *26397:C1 7.83659e-05 -29 *2237:26 *26447:C 0.000127446 -30 *2237:26 *26666:B 0.000172475 -31 *2237:26 *2305:8 5.83304e-05 -32 *2237:26 *5615:38 0.000358664 -33 *2237:28 *2526:18 0.000113277 -34 *2237:33 *26665:A2 6.15544e-05 -35 *2237:33 *5615:38 0.000103112 -36 *2237:39 *26594:A2 0.00011842 -37 *2237:39 *26665:A2 2.286e-05 -38 *2237:39 *26665:C1 0.000264428 -39 *2237:39 *5615:43 0.00042994 -40 *26281:A *2237:33 4.25042e-05 -41 *26342:B *26521:A 2.97578e-05 -42 *26446:D_N *2237:26 0.000238163 -43 *26594:B1 *26594:C1 0.00022459 -44 *1942:179 *2237:11 0.0001064 -45 *1942:192 *2237:11 0.000187931 -46 *1943:40 *2237:26 7.48521e-05 -47 *1943:40 *2237:28 0.000295276 -48 *1950:96 *2237:33 0.000675633 -49 *1950:101 *2237:33 0.000198698 -50 *1997:31 *26782:A 1.02504e-05 -51 *2016:65 *2237:28 0.000123295 -52 *2016:65 *2237:33 0.000414802 -53 *2040:62 *26521:A 2.14757e-05 -54 *2045:35 *26594:C1 0.000166722 -55 *2045:35 *2237:39 0.000216755 -56 *2089:24 *26782:A 0.000222428 -57 *2099:171 *2237:26 2.31791e-05 -58 *2099:171 *2237:28 0.000687233 -59 *2099:171 *2237:33 0.000192262 -60 *2169:16 *26782:A 0.000370808 -61 *2169:16 *2237:16 0.000897573 -62 *2169:64 *2237:28 2.09897e-05 -63 *2223:8 *2237:33 0.0006027 -64 *2223:13 *2237:33 0.000148903 -*RES -1 *26295:Y *2237:11 23.1036 -2 *2237:11 *2237:16 22.9464 -3 *2237:16 *2237:26 12.0714 -4 *2237:26 *2237:28 8.92857 -5 *2237:28 *2237:33 22.375 -6 *2237:33 *2237:39 6.625 -7 *2237:39 *26594:C1 14.7643 -8 *2237:39 *26628:B1 9.3 -9 *2237:33 *26665:B1 9.3 -10 *2237:28 *26521:A 15.9786 -11 *2237:26 *26447:B 13.8 -12 *2237:16 *26397:D1 13.8 -13 *2237:11 *26782:A 22.7286 -*END - -*D_NET *2238 0.00180208 -*CONN -*I *26752:B I *D sky130_fd_sc_hd__and4b_1 -*I *26309:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26296:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26752:B 0.000169155 -2 *26309:B1 0.000156222 -3 *26296:X 3.92213e-05 -4 *2238:7 0.000364598 -5 *26309:B1 *26338:A 3.69047e-06 -6 *26309:B1 *2691:8 2.16719e-05 -7 *26752:B *26783:C 0.000267092 -8 *26752:B *2691:8 0.000100735 -9 *2238:7 *2525:13 5.52302e-05 -10 *26273:A2 *26309:B1 3.97677e-05 -11 *26296:A *26309:B1 0.000170821 -12 *2011:140 *26309:B1 9.15214e-05 -13 *2011:140 *26752:B 0.000232066 -14 *2043:166 *26309:B1 1.94945e-05 -15 *2047:122 *26309:B1 6.47405e-05 -16 *2136:166 *26309:B1 6.05161e-06 -*RES -1 *26296:X *2238:7 14.3357 -2 *2238:7 *26309:B1 17.9071 -3 *2238:7 *26752:B 19.6929 -*END - -*D_NET *2239 0.00206509 -*CONN -*I *26639:A I *D sky130_fd_sc_hd__or3_1 -*I *26731:C I *D sky130_fd_sc_hd__or4b_1 -*I *26297:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26639:A 2.80855e-05 -2 *26731:C 0.000326125 -3 *26297:Y 0.000341277 -4 *2239:12 0.000695487 -5 *26639:A *26639:B 2.84026e-05 -6 *26731:C *26709:C1 6.31012e-05 -7 *26731:C *2580:23 0.000227178 -8 *2239:12 *26643:B 0.000117533 -9 *2239:12 *26643:C 4.58194e-05 -10 *2239:12 *2580:23 0.000125699 -11 *26706:A1 *2239:12 4.58194e-05 -12 *1393:110 *2239:12 0 -13 *2155:61 *2239:12 2.05612e-05 -*RES -1 *26297:Y *2239:12 19.4071 -2 *2239:12 *26731:C 22.2286 -3 *2239:12 *26639:A 14.3357 -*END - -*D_NET *2240 0.06106 -*CONN -*I *26316:B I *D sky130_fd_sc_hd__or2_1 -*I *26326:B1 I *D sky130_fd_sc_hd__o22a_1 -*I *26324:A3 I *D sky130_fd_sc_hd__a31o_1 -*I *26302:B I *D sky130_fd_sc_hd__or2_2 -*I *26538:A2 I *D sky130_fd_sc_hd__o2111a_1 -*I *26544:B1 I *D sky130_fd_sc_hd__o221a_1 -*I *26304:B I *D sky130_fd_sc_hd__nor2_1 -*I *26536:A2 I *D sky130_fd_sc_hd__o2111a_1 -*I *26300:B1 I *D sky130_fd_sc_hd__o22a_1 -*I *26299:B I *D sky130_fd_sc_hd__nor2_1 -*I *26314:B I *D sky130_fd_sc_hd__or2_1 -*I *26475:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *26466:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *26298:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *26316:B 0.000106857 -2 *26326:B1 0.00027278 -3 *26324:A3 2.23854e-05 -4 *26302:B 0.000111933 -5 *26538:A2 0 -6 *26544:B1 0.000727657 -7 *26304:B 0 -8 *26536:A2 6.01121e-05 -9 *26300:B1 9.72221e-05 -10 *26299:B 0 -11 *26314:B 0.00115046 -12 *26475:A2 0.00101065 -13 *26466:A2 9.41821e-05 -14 *26298:Y 0.000111894 -15 *2240:192 0.000512791 -16 *2240:156 0.000742097 -17 *2240:150 0.00159618 -18 *2240:146 0.00138521 -19 *2240:127 0.0015386 -20 *2240:114 0.000423793 -21 *2240:111 0.00125337 -22 *2240:109 0.00156516 -23 *2240:98 0.00146301 -24 *2240:90 0.00124427 -25 *2240:42 0.00264894 -26 *2240:31 0.00269457 -27 *2240:29 0.00174245 -28 *2240:8 0.0021827 -29 *2240:5 0.00054547 -30 *26314:B *2258:41 5.43687e-05 -31 *26314:B *4353:183 0 -32 *26314:B *4353:187 0.000189134 -33 *26316:B *4519:8 0.000282774 -34 *26326:B1 *26327:C1 3.65133e-05 -35 *26326:B1 *4519:8 8.72997e-05 -36 *26326:B1 *4541:17 3.06626e-05 -37 *26475:A2 *26689:C 4.66711e-05 -38 *26475:A2 *26689:D 5.33005e-05 -39 *26536:A2 *26536:B1 3.51368e-05 -40 *26544:B1 *26544:C1 5.33005e-05 -41 *2240:8 *26329:C1 9.54798e-06 -42 *2240:8 *4519:8 2.90049e-05 -43 *2240:8 *4552:24 1.79038e-05 -44 *2240:8 *6291:30 0.000533214 -45 *2240:29 *26052:A 2.04825e-05 -46 *2240:29 *26572:B1 5.33005e-05 -47 *2240:29 *2496:22 0.000472307 -48 *2240:29 *2512:20 0.000789492 -49 *2240:42 *26558:A2 7.12892e-05 -50 *2240:42 *26691:A 2.79167e-05 -51 *2240:42 *2497:14 3.93115e-05 -52 *2240:42 *2620:13 6.57914e-05 -53 *2240:90 *2250:94 0.000499231 -54 *2240:90 *5544:15 8.43535e-06 -55 *2240:98 *2250:94 5.33005e-05 -56 *2240:98 *4530:14 5.72318e-05 -57 *2240:98 *4530:23 0.000171368 -58 *2240:98 *4552:79 9.56233e-05 -59 *2240:98 *5722:42 0.000382573 -60 *2240:98 *6180:11 0.000215354 -61 *2240:111 *26528:C1 0.000516745 -62 *2240:111 *2242:8 0.000474616 -63 *2240:111 *6147:11 1.01912e-05 -64 *2240:111 *6147:14 0.000368872 -65 *2240:114 *2475:11 2.59355e-05 -66 *2240:127 *2244:14 3.15841e-05 -67 *2240:146 *29567:A 0.000166983 -68 *2240:146 *2242:8 0.00130564 -69 *2240:146 *2244:14 6.42338e-05 -70 *2240:146 *3510:60 0.00039229 -71 *2240:146 *5541:8 1.27529e-05 -72 *2240:146 *5568:14 0.000727101 -73 *2240:146 *5569:8 0.000153503 -74 *2240:146 *6114:10 0.00044153 -75 *2240:146 *6114:17 0.000722628 -76 *2240:146 *6147:14 0.000340673 -77 *2240:156 *26707:B 2.27416e-05 -78 *2240:156 *30993:A 0.00153415 -79 *2240:156 *2242:27 0.000409678 -80 wb_dat_o[27] *2240:146 0.000136951 -81 wb_dat_o[31] *2240:127 0.000162849 -82 *25970:A *26314:B 9.60939e-05 -83 *26015:B1 *26314:B 8.6229e-06 -84 *26049:B *26466:A2 5.71472e-05 -85 *26087:B *2240:29 0.000387366 -86 *26270:A *2240:156 0.000125316 -87 *26270:B *2240:156 4.38461e-05 -88 *26282:B *2240:156 0.00010326 -89 *26300:A1 *2240:98 5.52238e-05 -90 *26300:A2 *2240:98 7.95668e-05 -91 *26300:B2 *2240:109 0.0010898 -92 *26324:A1 *2240:90 0.000139913 -93 *26324:A2 *2240:90 2.59355e-05 -94 *26324:A2 *2240:98 0.000126759 -95 *26326:A1 *26326:B1 9.71197e-05 -96 *26327:A1 *26326:B1 3.63587e-05 -97 *26329:A2 *26326:B1 2.04825e-05 -98 *26330:A2 *26326:B1 0.000204854 -99 *26330:A2 *2240:8 1.90936e-05 -100 *26335:A3 *26326:B1 0.000303972 -101 *26450:A1 *2240:29 0.000441737 -102 *26455:A2 *26314:B 0.000130713 -103 *26456:B *2240:29 1.78465e-05 -104 *26463:B *2240:42 0.000108409 -105 *26464:A1 *26475:A2 1.98839e-05 -106 *26464:B1 *26475:A2 6.57032e-05 -107 *26466:B1 *26466:A2 2.89114e-05 -108 *26466:B1 *26475:A2 0.000186771 -109 *26475:A1 *26475:A2 9.60939e-05 -110 *26477:A1 *26475:A2 1.61405e-05 -111 *26477:A2 *26475:A2 5.96932e-05 -112 *26536:A1 *2240:127 5.03772e-05 -113 *26536:D1 *26536:A2 5.52302e-05 -114 *26536:D1 *2240:114 5.74499e-06 -115 *26536:D1 *2240:127 7.48301e-06 -116 *26544:B2 *26544:B1 0.00065108 -117 *26555:A *2240:42 0.000214664 -118 *26572:A3 *2240:29 2.59355e-05 -119 *26581:A *2240:42 0.000185806 -120 *26689:A *26475:A2 0.000120885 -121 *28819:D *2240:146 0.000100635 -122 *28967:A *26544:B1 8.80543e-05 -123 *30623:A *26316:B 0.000274238 -124 *30623:A *26326:B1 8.90144e-05 -125 *31018:A *26314:B 0.000169383 -126 *1902:13 *2240:29 4.11218e-05 -127 *1902:36 *26475:A2 0 -128 *1902:36 *2240:42 0 -129 *1907:22 *2240:29 1.09241e-05 -130 *1934:22 *2240:42 0.000309273 -131 *1944:116 *26475:A2 0.000390987 -132 *1959:31 *2240:29 0.000116734 -133 *1959:36 *2240:29 0.000252747 -134 *2018:18 *26475:A2 3.32172e-05 -135 *2018:18 *2240:42 5.4061e-05 -136 *2023:21 *26475:A2 1.64584e-05 -137 *2024:25 *2240:42 0.000420389 -138 *2025:84 *2240:42 0.00074522 -139 *2025:86 *2240:42 9.21418e-06 -140 *2025:88 *2240:42 8.76561e-05 -141 *2025:114 *2240:42 0.000471236 -142 *2029:9 *2240:42 0 -143 *2031:35 *26314:B 8.77613e-05 -144 *2032:127 *2240:98 0.000112985 -145 *2034:16 *2240:29 0 -146 *2039:40 *26314:B 0 -147 *2039:40 *2240:42 0 -148 *2043:14 *2240:111 8.32171e-05 -149 *2043:22 *2240:111 0.00169224 -150 *2046:10 *2240:8 7.16838e-05 -151 *2047:81 *2240:146 3.69047e-06 -152 *2051:41 *2240:42 8.26552e-05 -153 *2071:14 *26475:A2 0.000761309 -154 *2111:14 *2240:111 0.00133246 -155 *2111:26 *2240:109 0.00116206 -156 *2111:26 *2240:111 0.000785941 -157 *2133:51 *2240:127 2.4004e-05 -158 *2141:28 *2240:29 3.34295e-05 -159 *2141:39 *2240:29 0.000741584 -160 *2141:52 *2240:8 0.000744187 -161 *2141:52 *2240:29 9.91086e-05 -162 *2141:58 *26326:B1 4.08637e-05 -163 *2144:41 *2240:111 0.000891504 -164 *2144:46 *2240:156 4.80729e-05 -165 *2144:48 *2240:156 0.000408641 -166 *2154:7 *26302:B 6.09773e-05 -167 *2154:11 *2240:109 7.29667e-05 -168 *2154:11 *2240:111 0.000507699 -169 *2154:32 *2240:127 4.33002e-05 -170 *2154:143 *26314:B 1.02504e-05 -171 *2154:143 *2240:42 0.000111636 -172 *2161:189 *26475:A2 9.99644e-06 -173 *2187:73 *26475:A2 0.000159157 -174 *2194:10 *2240:156 0.000989548 -175 *2212:14 *2240:127 0.000353853 -176 *2212:14 *2240:146 0.000125731 -177 *2217:26 *2240:8 3.17148e-05 -178 *2217:26 *2240:29 0.000121573 -179 *2217:26 *2240:90 0.000290027 -180 *2217:77 *2240:29 0 -181 *2224:8 *2240:156 0.000771037 -182 *2224:20 *2240:111 0.000611089 -183 *2227:34 *26326:B1 1.90936e-05 -184 *2227:85 *2240:5 0.000225616 -185 *2227:85 *2240:192 6.42095e-05 -186 *2228:13 *2240:98 3.72768e-05 -187 *2234:48 *2240:127 0.000237261 -*RES -1 *26298:Y *2240:5 11.4786 -2 *2240:5 *2240:8 15.25 -3 *2240:8 *2240:29 40.749 -4 *2240:29 *2240:31 4.5 -5 *2240:31 *2240:42 45.7143 -6 *2240:42 *26466:A2 15.2821 -7 *2240:42 *26475:A2 35.1036 -8 *2240:31 *26314:B 33.2286 -9 *2240:29 *26299:B 9.3 -10 *2240:8 *2240:90 9.55357 -11 *2240:90 *2240:98 29.8393 -12 *2240:98 *26300:B1 10.675 -13 *2240:98 *2240:109 19.1964 -14 *2240:109 *2240:111 52.3393 -15 *2240:111 *2240:114 5.44643 -16 *2240:114 *26536:A2 10.8714 -17 *2240:114 *2240:127 12.1607 -18 *2240:127 *2240:146 49.6071 -19 *2240:146 *2240:150 1.78571 -20 *2240:150 *2240:156 41.2321 -21 *2240:156 *26304:B 9.3 -22 *2240:150 *26544:B1 22.9964 -23 *2240:127 *26538:A2 13.8 -24 *2240:109 *26302:B 15.5679 -25 *2240:90 *26324:A3 9.72857 -26 *2240:5 *2240:192 6.28571 -27 *2240:192 *26326:B1 25.8481 -28 *2240:192 *26316:B 17.5679 -*END - -*D_NET *2241 0.00175704 -*CONN -*I *26452:B1 I *D sky130_fd_sc_hd__a31o_1 -*I *26299:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26452:B1 0.000480938 -2 *26299:Y 0.000480938 -3 *26452:B1 *2501:11 0.000125724 -4 *26452:B1 *2511:25 0.000605211 -5 *26452:A2 *26452:B1 6.42242e-05 -*RES -1 *26299:Y *26452:B1 35.1179 -*END - -*D_NET *2242 0.0278275 -*CONN -*I *26731:D_N I *D sky130_fd_sc_hd__or4b_1 -*I *26300:X O *D sky130_fd_sc_hd__o22a_1 -*CAP -1 *26731:D_N 0.000968493 -2 *26300:X 5.05962e-05 -3 *2242:27 0.00222858 -4 *2242:8 0.00365839 -5 *2242:7 0.0024489 -6 *26731:D_N *30993:A 0.0011455 -7 *26731:D_N *2479:8 0.00146995 -8 *26731:D_N *5565:45 0.000115849 -9 *26731:D_N *6147:14 0.000116155 -10 *2242:8 *26538:B1 0.000315015 -11 *2242:8 *29567:A 0.000175519 -12 *2242:8 *30973:A 0.000129868 -13 *2242:8 *2475:11 0.00339858 -14 *2242:8 *5541:8 0.00374497 -15 *2242:8 *5569:8 0.000151981 -16 *2242:8 *6114:10 0.000107927 -17 *2242:8 *6147:11 2.11419e-05 -18 *2242:8 *6147:14 0.000889083 -19 *2242:27 *30994:A 0.000175892 -20 *2242:27 *2244:14 0.000156219 -21 *2242:27 *2479:8 0.000788256 -22 *2242:27 *5572:15 0 -23 *2242:27 *6147:14 0.000167406 -24 wb_dat_o[25] *2242:27 0.000101535 -25 wb_dat_o[26] *2242:27 5.58875e-06 -26 wb_dat_o[28] *2242:27 3.48661e-05 -27 *26270:A *2242:27 0.000123594 -28 *26270:B *2242:27 4.58194e-05 -29 *26300:B2 *2242:8 0.000573223 -30 *30746:A *2242:8 7.06575e-05 -31 *30747:A *2242:8 0.000101535 -32 *2043:14 *2242:8 4.23086e-05 -33 *2043:22 *2242:8 0.000695655 -34 *2144:48 *2242:27 3.17148e-05 -35 *2144:68 *2242:27 5.33005e-05 -36 *2154:11 *2242:8 0.00031367 -37 *2155:42 *2242:27 0 -38 *2179:42 *26731:D_N 3.55471e-05 -39 *2179:42 *2242:27 3.97677e-05 -40 *2194:10 *2242:27 3.34366e-05 -41 *2212:14 *2242:27 0.000124518 -42 *2224:8 *2242:27 0.000786541 -43 *2240:111 *2242:8 0.000474616 -44 *2240:146 *2242:8 0.00130564 -45 *2240:156 *2242:27 0.000409678 -*RES -1 *26300:X *2242:7 14.3357 -2 *2242:7 *2242:8 95.5982 -3 *2242:8 *2242:27 46.757 -4 *2242:27 *26731:D_N 44.05 -*END - -*D_NET *2243 0.00142556 -*CONN -*I *26678:B I *D sky130_fd_sc_hd__nand2_1 -*I *26333:B1 I *D sky130_fd_sc_hd__o211a_1 -*I *26301:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26678:B 9.25507e-05 -2 *26333:B1 0.000423445 -3 *26301:X 0 -4 *2243:4 0.000515996 -5 *26333:B1 *25509:C_N 1.92905e-05 -6 *26333:B1 *5007:48 3.87217e-05 -7 *26301:A *26678:B 5.7661e-06 -8 *26333:A1 *26333:B1 2.8266e-06 -9 *26678:A *26678:B 0.000142856 -10 *1942:7 *26333:B1 8.81471e-06 -11 *1942:7 *26678:B 9.24825e-05 -12 *1942:14 *26333:B1 8.28147e-05 -*RES -1 *26301:X *2243:4 9.3 -2 *2243:4 *26333:B1 24.175 -3 *2243:4 *26678:B 12.2107 -*END - -*D_NET *2244 0.0429307 -*CONN -*I *26580:C1 I *D sky130_fd_sc_hd__o221a_1 -*I *26540:B I *D sky130_fd_sc_hd__nand2_1 -*I *26302:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *26580:C1 0.000198964 -2 *26540:B 0.00144059 -3 *26302:X 0.000187987 -4 *2244:48 0.0020375 -5 *2244:31 0.00298321 -6 *2244:14 0.0031751 -7 *2244:13 0.00262578 -8 *2244:7 0.00301982 -9 *26540:B *26777:C_N 0.000180777 -10 *26540:B *26778:A1 0.00013921 -11 *26540:B *26778:A2 4.10843e-05 -12 *26540:B *26779:C 0.000222591 -13 *26540:B *2481:8 1.33343e-05 -14 *26540:B *2581:6 0.000757138 -15 *26540:B *5573:21 9.87772e-05 -16 *26540:B *5664:157 9.41642e-05 -17 *26540:B *5673:51 7.95355e-05 -18 *26580:C1 *26556:B 9.71673e-05 -19 *26580:C1 *26580:B1 1.02504e-05 -20 *2244:14 *26525:B1 0.000298699 -21 *2244:14 *26526:B1 0.00040857 -22 *2244:14 *2592:11 0.000126439 -23 *2244:14 *5572:15 0.00308301 -24 *2244:14 *5573:36 0.000373038 -25 *2244:14 *6158:24 4.06087e-07 -26 *2244:31 *26707:B 0.000130095 -27 *2244:31 *29552:A 4.39649e-05 -28 *2244:31 *30990:A 0.000125369 -29 *2244:31 *30991:A 0.000229681 -30 *2244:31 *30994:A 0.000228149 -31 *2244:31 *5563:43 5.00194e-05 -32 *2244:31 *5572:6 0.000125724 -33 *2244:31 *5572:15 0.00055513 -34 *2244:31 *5573:31 6.05161e-06 -35 *2244:31 *6147:14 0 -36 *2244:31 *6158:24 0.00184008 -37 *2244:48 *26277:A 0.000565537 -38 *2244:48 *6169:23 2.51343e-06 -39 *2244:48 *6169:29 4.05548e-05 -40 *2244:48 *6169:35 3.83168e-05 -41 *2244:48 *6169:43 4.21437e-05 -42 spimemio_flash_io0_di *2244:14 0.000140263 -43 wb_dat_o[25] *2244:14 0.000190051 -44 wb_dat_o[26] *2244:14 0.000135526 -45 wb_dat_o[28] *2244:14 0.000135405 -46 wb_dat_o[29] *2244:14 0.000159287 -47 wb_dat_o[30] *2244:14 0.000137834 -48 wb_dat_o[31] *2244:14 0.00015949 -49 *25990:A *2244:48 9.41642e-05 -50 *26113:A *2244:48 9.71197e-05 -51 *26113:C *2244:48 0.000338511 -52 *26125:A3 *2244:48 4.43256e-05 -53 *26192:A *2244:14 0.000153047 -54 *26526:A1 *2244:14 0.000408215 -55 *26706:A1 *2244:31 0.00026777 -56 *26776:A1 *26540:B 0.000721891 -57 *26778:B1 *26540:B 0.000214724 -58 *1393:69 *2244:14 0.000151333 -59 *1771:48 *2244:48 0.000765697 -60 *1928:14 *26580:C1 0.000286128 -61 *1929:13 *2244:48 0.000345251 -62 *1932:5 *2244:48 3.55471e-05 -63 *1932:31 *2244:48 2.65242e-05 -64 *1933:11 *2244:48 0.00036993 -65 *1935:19 *2244:13 2.30813e-05 -66 *1956:50 *2244:13 0.00243863 -67 *1956:50 *2244:48 0.000268521 -68 *1956:65 *2244:13 0.0002085 -69 *1959:127 *2244:48 4.30328e-05 -70 *2025:55 *26580:C1 2.22043e-05 -71 *2031:68 *26580:C1 0.000516519 -72 *2038:30 *2244:13 0.000558433 -73 *2038:34 *2244:14 1.94945e-05 -74 *2046:115 *2244:31 0.000136665 -75 *2047:81 *2244:14 0.00047255 -76 *2047:90 *2244:14 3.57762e-05 -77 *2130:61 *2244:14 0.000751596 -78 *2131:64 *2244:14 0.000153078 -79 *2131:72 *26540:B 0.000950144 -80 *2131:72 *2244:31 4.65519e-05 -81 *2133:51 *2244:14 0 -82 *2151:15 *2244:14 0.00010522 -83 *2154:7 *2244:7 0.000104511 -84 *2154:32 *2244:14 3.69865e-05 -85 *2157:105 *26540:B 3.63775e-05 -86 *2183:29 *26540:B 0.000136951 -87 *2190:29 *26540:B 1.92905e-05 -88 *2194:10 *2244:31 0 -89 *2204:30 *2244:13 0.00261712 -90 *2204:30 *2244:48 0.000260986 -91 *2204:38 *2244:13 4.15161e-05 -92 *2204:69 *26540:B 1.34631e-05 -93 *2212:14 *2244:14 0.000656706 -94 *2234:48 *2244:14 0.000910264 -95 *2240:127 *2244:14 3.15841e-05 -96 *2240:146 *2244:14 6.42338e-05 -97 *2242:27 *2244:14 0.000156219 -*RES -1 *26302:X *2244:7 16.8 -2 *2244:7 *2244:13 41.3447 -3 *2244:13 *2244:14 68.2768 -4 *2244:14 *2244:31 47.0155 -5 *2244:31 *26540:B 47.7196 -6 *2244:7 *2244:48 45.4464 -7 *2244:48 *26580:C1 20.6036 -*END - -*D_NET *2245 0.000862126 -*CONN -*I *26803:B1 I *D sky130_fd_sc_hd__o2111a_1 -*I *26303:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26803:B1 0.000198793 -2 *26303:X 0.000198793 -3 *26803:B1 *26338:B 0.000178847 -4 *26803:B1 *5007:48 0.000148189 -5 *2053:21 *26803:B1 5.74243e-05 -6 *2190:139 *26803:B1 8.00806e-05 -*RES -1 *26303:X *26803:B1 31.3143 -*END - -*D_NET *2246 0.00327155 -*CONN -*I *26707:B I *D sky130_fd_sc_hd__or4_1 -*I *26304:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26707:B 0.000717468 -2 *26304:Y 0.000717468 -3 *26707:B *29552:A 0.000246574 -4 *26707:B *30989:A 7.90803e-05 -5 *26707:B *30990:A 0.000115822 -6 *26707:B *30991:A 6.59118e-05 -7 *26707:B *5563:43 0.000437649 -8 wb_dat_o[21] *26707:B 5.7616e-05 -9 wb_dat_o[22] *26707:B 0.000137955 -10 *26304:A *26707:B 1.21258e-05 -11 *26706:A1 *26707:B 9.20457e-05 -12 *26707:A *26707:B 0.000257619 -13 *2194:30 *26707:B 0.000181374 -14 *2240:156 *26707:B 2.27416e-05 -15 *2244:31 *26707:B 0.000130095 -*RES -1 *26304:Y *26707:B 43.6893 -*END - -*D_NET *2247 0.000509251 -*CONN -*I *26779:D_N I *D sky130_fd_sc_hd__or4b_1 -*I *26305:X O *D sky130_fd_sc_hd__o22a_1 -*CAP -1 *26779:D_N 0.00013204 -2 *26305:X 0.00013204 -3 *26779:D_N *26700:B 0.000141734 -4 *26305:A2 *26779:D_N 0.000103437 -*RES -1 *26305:X *26779:D_N 30.2964 -*END - -*D_NET *2248 0.000766615 -*CONN -*I *26323:A I *D sky130_fd_sc_hd__and4_1 -*I *26306:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *26323:A 0.000234867 -2 *26306:X 0.000234867 -3 *26323:A *4519:23 0 -4 *26306:A1 *26323:A 0.000175892 -5 *26306:A2 *26323:A 7.43578e-06 -6 *26334:B2 *26323:A 0.00010326 -7 *2144:15 *26323:A 1.02936e-05 -*RES -1 *26306:X *26323:A 31.1179 -*END - -*D_NET *2249 0.000837823 -*CONN -*I *26323:B I *D sky130_fd_sc_hd__and4_1 -*I *26307:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26323:B 0.00015489 -2 *26307:X 0.00015489 -3 *26323:B *26205:A 0.000136958 -4 *26323:B *5722:29 8.69268e-05 -5 *2147:10 *26323:B 6.05161e-06 -6 *2204:28 *26323:B 0.000149911 -7 *2220:33 *26323:B 0.000148196 -*RES -1 *26307:X *26323:B 31.725 -*END - -*D_NET *2250 0.0446642 -*CONN -*I *26323:C I *D sky130_fd_sc_hd__and4_1 -*I *26484:B I *D sky130_fd_sc_hd__nand4_1 -*I *26701:C1 I *D sky130_fd_sc_hd__o221a_1 -*I *26308:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *26323:C 0 -2 *26484:B 0.000129564 -3 *26701:C1 0 -4 *26308:Y 0 -5 *2250:94 0.00237676 -6 *2250:82 0.00417044 -7 *2250:64 0.00574663 -8 *2250:51 0.0063753 -9 *2250:31 0.00136819 -10 *2250:4 0.0039201 -11 *2250:31 *26701:A2 2.59355e-05 -12 *2250:31 *26701:B1 8.57339e-05 -13 *2250:31 *26702:B1 0.000128154 -14 *2250:31 *26709:B1 5.73244e-05 -15 *2250:31 *26709:D1 0.00022187 -16 *2250:31 *26710:B 0.000148402 -17 *2250:31 *26710:D 4.46618e-05 -18 *2250:31 *26711:B 6.67824e-05 -19 *2250:31 *2587:31 3.51368e-05 -20 *2250:31 *2636:8 0.000778467 -21 *2250:31 *5673:40 7.11388e-05 -22 *2250:51 *26603:D 0.000286135 -23 *2250:51 *2490:23 7.94186e-06 -24 *2250:51 *2545:8 0.000101105 -25 *2250:51 *4189:60 1.47728e-05 -26 *2250:51 *5664:131 0.000388045 -27 *2250:64 *26599:B1 4.33582e-05 -28 *2250:64 *26662:A3 5.37513e-05 -29 *2250:64 *26741:B1 0 -30 *2250:64 *26742:D1 0 -31 *2250:64 *2385:10 0.000109778 -32 *2250:64 *4189:67 0.00065271 -33 *2250:94 *26323:D 0.000139202 -34 *2250:94 *2500:26 0 -35 *2250:94 *4275:8 0.000216147 -36 *2250:94 *5544:15 0.000542297 -37 *2250:94 *5722:29 0.000102077 -38 *2250:94 *5722:42 4.14246e-05 -39 *25987:B *2250:94 0.000240491 -40 *26108:C_N *2250:82 0.000439919 -41 *26118:A *2250:64 0.000181279 -42 *26128:C *2250:82 0.000301863 -43 *26206:B *2250:31 9.43546e-06 -44 *26308:A *2250:31 5.33005e-05 -45 *26308:A *2250:51 0.00115896 -46 *26308:B *2250:31 5.33005e-05 -47 *26357:A2 *2250:64 0.000116155 -48 *26432:B2 *2250:51 0.000114468 -49 *26459:A *2250:94 0.000268396 -50 *26477:A1 *2250:64 0.000113344 -51 *26480:A2 *2250:82 9.99644e-06 -52 *26557:A *2250:94 2.1698e-05 -53 *26571:A *2250:94 0.000348207 -54 *26640:A *2250:31 0.000101011 -55 *26701:A1 *2250:31 0.000175892 -56 *26705:A1 *2250:31 0 -57 *26709:A2 *2250:31 0.000133417 -58 *1771:43 *2250:94 3.48139e-05 -59 *1906:20 *2250:82 0.00053994 -60 *1922:19 *2250:94 3.84385e-05 -61 *1931:45 *2250:82 1.12196e-05 -62 *1940:32 *2250:64 1.78465e-05 -63 *1943:18 *2250:64 0.000204649 -64 *1945:65 *2250:64 6.90595e-05 -65 *1946:173 *2250:64 3.74833e-05 -66 *1953:11 *2250:82 0.000470804 -67 *1953:38 *2250:64 0.000159968 -68 *1958:8 *2250:82 0.000791926 -69 *1958:19 *2250:64 0.000645963 -70 *1959:31 *2250:94 0.000136624 -71 *1959:53 *2250:94 0.000136357 -72 *1959:59 *2250:94 0.000758483 -73 *1959:61 *2250:94 0.000166503 -74 *1959:65 *2250:94 5.41794e-05 -75 *1960:20 *2250:82 0.000224161 -76 *1960:24 *2250:82 7.32955e-05 -77 *1984:16 *2250:64 1.90936e-05 -78 *1984:16 *2250:82 0.00116849 -79 *1989:35 *2250:51 0.000342498 -80 *1993:39 *2250:94 0.000146823 -81 *2024:25 *2250:82 2.17728e-05 -82 *2026:10 *2250:64 0.000103262 -83 *2026:16 *2250:64 2.22043e-05 -84 *2026:16 *2250:82 6.61033e-05 -85 *2031:81 *2250:64 0 -86 *2031:86 *2250:51 0.001478 -87 *2032:67 *2250:82 6.26276e-05 -88 *2033:40 *2250:82 3.09687e-05 -89 *2043:143 *26484:B 0.000181374 -90 *2049:10 *2250:31 0.000803367 -91 *2065:21 *2250:82 5.4695e-05 -92 *2098:31 *2250:64 6.2589e-06 -93 *2098:35 *2250:51 0.000141163 -94 *2104:44 *2250:51 0.00025486 -95 *2111:58 *2250:64 0 -96 *2111:69 *2250:51 0 -97 *2111:69 *2250:64 0.00100925 -98 *2136:158 *2250:64 0.00017743 -99 *2142:26 *2250:31 0.000123067 -100 *2142:153 *2250:31 2.48731e-05 -101 *2147:81 *2250:31 1.21258e-05 -102 *2152:88 *2250:31 9.41642e-05 -103 *2183:11 *2250:31 1.18064e-05 -104 *2190:80 *2250:31 0.000351963 -105 *2196:14 *2250:31 0.000181424 -106 *2217:26 *2250:94 1.98839e-05 -107 *2226:84 *2250:82 0.000160888 -108 *2234:16 *2250:64 0.0001791 -109 *2240:90 *2250:94 0.000499231 -110 *2240:98 *2250:94 5.33005e-05 -*RES -1 *26308:Y *2250:4 9.3 -2 *2250:4 *2250:31 46.1027 -3 *2250:31 *26701:C1 9.3 -4 *2250:4 *2250:51 49.8142 -5 *2250:51 *2250:64 38.724 -6 *2250:64 *26484:B 15.5679 -7 *2250:64 *2250:82 47.2143 -8 *2250:82 *2250:94 48.1964 -9 *2250:94 *26323:C 9.3 -*END - -*D_NET *2251 0.000822582 -*CONN -*I *26338:A I *D sky130_fd_sc_hd__or4b_1 -*I *26309:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *26338:A 0.000268894 -2 *26309:Y 0.000268894 -3 *26273:A2 *26338:A 2.48109e-05 -4 *26309:B1 *26338:A 3.69047e-06 -5 *2089:24 *26338:A 0.000128146 -6 *2092:14 *26338:A 0.000128146 -*RES -1 *26309:Y *26338:A 31.8321 -*END - -*D_NET *2252 0.0136736 -*CONN -*I *26471:B I *D sky130_fd_sc_hd__nand2_1 -*I *26338:D_N I *D sky130_fd_sc_hd__or4b_1 -*I *26506:B I *D sky130_fd_sc_hd__nand2_1 -*I *26394:D_N I *D sky130_fd_sc_hd__or4b_1 -*I *26310:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26471:B 0.000469287 -2 *26338:D_N 2.76557e-05 -3 *26506:B 4.06461e-05 -4 *26394:D_N 0.000173911 -5 *26310:X 0 -6 *2252:14 0.00109349 -7 *2252:12 0.00184384 -8 *2252:4 0.00140653 -9 *26338:D_N *26338:B 2.89016e-05 -10 *26394:D_N *26394:C 9.60939e-05 -11 *26394:D_N *26520:B 0.000199706 -12 *26394:D_N *26629:B1 4.80729e-05 -13 *26394:D_N *2691:8 0.000128214 -14 *26471:B *26750:B 7.3237e-05 -15 *26471:B *2432:11 4.25523e-05 -16 *26471:B *2688:17 0.000125505 -17 *26506:B *2447:7 6.05161e-06 -18 *2252:12 *26578:B 0.00017153 -19 *2252:12 *26753:B1 0.000148911 -20 *2252:12 *2688:17 2.71356e-05 -21 *2252:12 *2691:8 0.00012473 -22 *2252:14 *26338:C 0.000217963 -23 *2252:14 *26629:B1 0.00015134 -24 *2252:14 *26629:C1 2.04825e-05 -25 *2252:14 *2253:12 0.00140466 -26 *2252:14 *2253:29 2.04866e-05 -27 *2252:14 *2691:8 0.00108423 -28 *26121:B *26471:B 0.000620101 -29 *26151:A2 *26471:B 5.41794e-05 -30 *26151:B2 *26471:B 2.60158e-05 -31 *26152:D *26471:B 3.48235e-05 -32 *26154:B *2252:12 8.25843e-06 -33 *26310:A *2252:12 5.33005e-05 -34 *26471:A *26471:B 0 -35 *1930:25 *2252:12 0.000701987 -36 *1967:59 *2252:14 0.000191403 -37 *2047:155 *26471:B 3.17148e-05 -38 *2047:155 *2252:12 0.000544206 -39 *2089:20 *26471:B 0.000692361 -40 *2092:13 *2252:12 1.21258e-05 -41 *2092:14 *2252:12 0.00152015 -42 *2092:14 *2252:14 7.79781e-06 -*RES -1 *26310:X *2252:4 9.3 -2 *2252:4 *2252:12 32.8571 -3 *2252:12 *2252:14 29.2679 -4 *2252:14 *26394:D_N 18.4429 -5 *2252:14 *26506:B 14.3357 -6 *2252:12 *26338:D_N 14.3357 -7 *2252:4 *26471:B 32.8357 -*END - -*D_NET *2253 0.00788888 -*CONN -*I *26338:C I *D sky130_fd_sc_hd__or4b_1 -*I *26446:A I *D sky130_fd_sc_hd__or4b_1 -*I *26394:A I *D sky130_fd_sc_hd__or4b_1 -*I *26629:C1 I *D sky130_fd_sc_hd__a311o_1 -*I *26311:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26338:C 0.000155761 -2 *26446:A 0.000249167 -3 *26394:A 0 -4 *26629:C1 4.71088e-05 -5 *26311:Y 0.000238733 -6 *2253:29 0.000411906 -7 *2253:12 0.000806363 -8 *2253:7 0.000991009 -9 *26446:A *26446:B 0.000345251 -10 *26446:A *26446:C 0.000303368 -11 *26446:A *26447:C 2.42516e-05 -12 *26629:C1 *26629:B1 3.63587e-05 -13 *2253:12 *26362:B 0.000195555 -14 *2253:29 *26520:B 0.000175519 -15 *2253:29 *26629:B1 0.000198705 -16 *26311:A *2253:7 0.000158902 -17 *26396:A2 *26629:C1 0 -18 *26629:A2 *26629:C1 0 -19 *1948:17 *26629:C1 5.33005e-05 -20 *2092:14 *26338:C 0.000432368 -21 *2092:14 *2253:12 0.000948997 -22 *2092:14 *2253:29 0.000428416 -23 *2092:30 *26446:A 2.42516e-05 -24 *2252:14 *26338:C 0.000217963 -25 *2252:14 *26629:C1 2.04825e-05 -26 *2252:14 *2253:12 0.00140466 -27 *2252:14 *2253:29 2.04866e-05 -*RES -1 *26311:Y *2253:7 16.8 -2 *2253:7 *2253:12 21.5804 -3 *2253:12 *26629:C1 15.0411 -4 *2253:12 *2253:29 10.4732 -5 *2253:29 *26394:A 9.3 -6 *2253:29 *26446:A 15.2107 -7 *2253:7 *26338:C 19.3893 -*END - -*D_NET *2254 0.00035993 -*CONN -*I *26337:B I *D sky130_fd_sc_hd__nand4_1 -*I *26312:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *26337:B 0.000126828 -2 *26312:X 0.000126828 -3 *26337:B *5542:44 7.83659e-05 -4 *26337:B *6158:22 2.79092e-05 -*RES -1 *26312:X *26337:B 29.5821 -*END - -*D_NET *2255 0.00346657 -*CONN -*I *26335:B1 I *D sky130_fd_sc_hd__o311a_1 -*I *26313:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26335:B1 0.000611913 -2 *26313:X 0.000611913 -3 *26335:B1 *26096:C_N 0.00011897 -4 *26335:B1 *26096:D_N 9.71197e-05 -5 *26335:B1 *2269:23 1.63471e-05 -6 *26335:B1 *4475:21 0 -7 *26335:B1 *4508:42 0.000184689 -8 *26335:B1 *5782:6 0 -9 *26335:B1 *5782:11 0 -10 *26335:B1 *6214:10 0.000783626 -11 *26262:B *26335:B1 2.58821e-05 -12 *26313:A *26335:B1 5.52238e-05 -13 *26313:B *26335:B1 6.25993e-05 -14 *26327:A1 *26335:B1 0 -15 *26334:A2 *26335:B1 6.45815e-05 -16 *26335:A3 *26335:B1 7.65142e-05 -17 *30620:A *26335:B1 8.30056e-05 -18 *2038:10 *26335:B1 0.000500371 -19 *2038:12 *26335:B1 2.95642e-05 -20 *2038:247 *26335:B1 0.000144251 -*RES -1 *26313:X *26335:B1 45.0286 -*END - -*D_NET *2256 0.00385133 -*CONN -*I *26332:A I *D sky130_fd_sc_hd__and4_1 -*I *26473:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26314:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26332:A 0.000162996 -2 *26473:B1 0.000491071 -3 *26314:X 0 -4 *2256:5 0.000654068 -5 *26332:A *4419:20 0.000378431 -6 *26473:B1 *26489:C 3.69047e-06 -7 *26473:B1 *26748:C 0.000258024 -8 *26473:B1 *6192:9 0.000823237 -9 *26473:B1 *6192:13 0.000207834 -10 *26331:B *26332:A 0 -11 *26331:B *26473:B1 0 -12 *26473:A1 *26473:B1 0.000294825 -13 *26487:A *26473:B1 0.00019384 -14 *1941:18 *26332:A 0.000267339 -15 *1941:18 *26473:B1 5.64954e-05 -16 *1985:29 *26473:B1 5.9483e-05 -*RES -1 *26314:X *2256:5 13.8 -2 *2256:5 *26473:B1 29.4964 -3 *2256:5 *26332:A 19.0857 -*END - -*D_NET *2257 0.00246436 -*CONN -*I *26748:D_N I *D sky130_fd_sc_hd__or4b_1 -*I *26332:B I *D sky130_fd_sc_hd__and4_1 -*I *26315:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26748:D_N 0.000449211 -2 *26332:B 0.000242559 -3 *26315:X 3.72435e-05 -4 *2257:5 0.000729014 -5 *26332:B *26333:C1 9.41642e-05 -6 *26332:B *6203:23 1.21289e-05 -7 *26748:D_N *26332:D 0.000120724 -8 *26748:D_N *26474:B 0.000305441 -9 *26748:D_N *26488:B 0 -10 *26748:D_N *2415:8 0 -11 *2257:5 *26333:C1 4.27935e-05 -12 *26315:A *26748:D_N 0.000143188 -13 *26333:A1 *26748:D_N 0 -14 *26474:A *26748:D_N 0 -15 *26748:A *26748:D_N 0 -16 *30609:A *26332:B 7.55769e-05 -17 *1941:18 *26332:B 7.72916e-05 -18 *1942:14 *26748:D_N 0 -19 *2227:90 *26332:B 0.000135028 -*RES -1 *26315:X *2257:5 9.83571 -2 *2257:5 *26332:B 21.925 -3 *2257:5 *26748:D_N 26.6214 -*END - -*D_NET *2258 0.00973374 -*CONN -*I *26487:B I *D sky130_fd_sc_hd__nand2_1 -*I *26330:B1 I *D sky130_fd_sc_hd__o211a_1 -*I *26316:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26487:B 0 -2 *26330:B1 0.000105195 -3 *26316:X 0.000381578 -4 *2258:41 0.00148689 -5 *2258:10 0.00197366 -6 *26330:B1 *4552:24 0.000114973 -7 *2258:10 *25966:C 0.000137964 -8 *2258:10 *2269:23 0.00010096 -9 *2258:10 *2272:15 0.000145327 -10 *2258:41 *26015:A2 4.60232e-06 -11 *2258:41 *26334:C1 0.00141701 -12 *2258:41 *2397:16 6.58013e-05 -13 *2258:41 *4297:15 0 -14 *2258:41 *4308:8 4.43126e-05 -15 *2258:41 *4319:8 4.21517e-05 -16 *2258:41 *4397:49 1.90936e-05 -17 *2258:41 *6203:23 0.000322501 -18 *25510:A *2258:41 2.95076e-06 -19 *25971:B *2258:41 0.000175892 -20 *25979:A2 *2258:41 0.000122279 -21 *25980:B2 *2258:41 5.33005e-05 -22 *26015:B1 *2258:41 0.000254214 -23 *26314:B *2258:41 5.43687e-05 -24 *26330:A1 *26330:B1 7.61504e-05 -25 *26330:A2 *26330:B1 1.85392e-05 -26 *30592:A *2258:10 1.0946e-05 -27 *1788:17 *2258:41 4.21517e-05 -28 *1908:140 *2258:41 0.000282824 -29 *1911:9 *26330:B1 5.52238e-05 -30 *1911:9 *2258:10 0.00011594 -31 *1911:9 *2258:41 0.000351162 -32 *1922:11 *2258:41 0.000135028 -33 *1935:169 *2258:41 0.000277502 -34 *2031:35 *2258:41 0.000577016 -35 *2152:157 *2258:41 0.000766222 -*RES -1 *26316:X *2258:10 24.0679 -2 *2258:10 *26330:B1 12.0321 -3 *2258:10 *2258:41 44.4761 -4 *2258:41 *26487:B 9.3 -*END - -*D_NET *2259 0.00082351 -*CONN -*I *26322:B I *D sky130_fd_sc_hd__and4b_1 -*I *26317:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *26322:B 0.000219595 -2 *26317:X 0.000219595 -3 *26321:A1 *26322:B 4.13595e-05 -4 *26334:B2 *26322:B 6.81083e-05 -5 *1935:122 *26322:B 1.02504e-05 -6 *2131:163 *26322:B 0.000184521 -7 *2220:33 *26322:B 8.00806e-05 -*RES -1 *26317:X *26322:B 31.225 -*END - -*D_NET *2260 0.00845709 -*CONN -*I *26482:B1 I *D sky130_fd_sc_hd__o211a_1 -*I *26319:C1 I *D sky130_fd_sc_hd__o221a_1 -*I *26318:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26482:B1 0 -2 *26319:C1 0.000314426 -3 *26318:X 2.37855e-05 -4 *2260:33 0.00180627 -5 *2260:5 0.00214448 -6 *26319:C1 *2277:8 0.000176941 -7 *2260:33 *26003:D 2.60984e-05 -8 *2260:33 *26209:B 0.000179233 -9 *2260:33 *5782:46 1.40034e-05 -10 *25993:A *2260:33 0.000248635 -11 *25993:B *2260:33 1.58163e-05 -12 *26318:A *26319:C1 2.59355e-05 -13 *26320:A1 *26319:C1 0.000136465 -14 *26320:B1 *26319:C1 5.49995e-05 -15 *26461:B1 *2260:33 0.000126292 -16 *26482:A1 *2260:33 5.33005e-05 -17 *26680:A2 *26319:C1 4.01955e-05 -18 *1911:61 *2260:33 0.000934086 -19 *1931:17 *2260:33 1.21258e-05 -20 *1931:45 *2260:33 5.69386e-05 -21 *1932:37 *2260:33 1.95304e-05 -22 *1935:7 *26319:C1 0.000266859 -23 *1935:7 *2260:33 0.000601341 -24 *1945:10 *2260:33 2.59355e-05 -25 *1955:52 *2260:33 0.000755473 -26 *2025:114 *2260:33 6.58794e-05 -27 *2147:38 *26319:C1 2.23592e-05 -28 *2155:13 *26319:C1 8.25843e-06 -29 *2155:146 *26319:C1 1.46231e-05 -30 *2187:11 *2260:33 6.13757e-06 -31 *2187:73 *2260:33 0.000105386 -32 *2217:38 *26319:C1 0.000175281 -*RES -1 *26318:X *2260:5 9.72857 -2 *2260:5 *26319:C1 26.0143 -3 *2260:5 *2260:33 44.1964 -4 *2260:33 *26482:B1 9.3 -*END - -*D_NET *2261 0.00196774 -*CONN -*I *26322:C I *D sky130_fd_sc_hd__and4b_1 -*I *26319:X O *D sky130_fd_sc_hd__o221a_1 -*CAP -1 *26322:C 0.000297286 -2 *26319:X 0.000297286 -3 *26322:C *26322:D 2.14199e-05 -4 *26322:A_N *26322:C 6.56534e-05 -5 *1935:122 *26322:C 0.000674791 -6 *2032:100 *26322:C 1.31516e-05 -7 *2032:109 *26322:C 4.43046e-05 -8 *2147:24 *26322:C 0.000553844 -*RES -1 *26319:X *26322:C 37.725 -*END - -*D_NET *2262 0.00184895 -*CONN -*I *26321:B1 I *D sky130_fd_sc_hd__o211a_1 -*I *26320:X O *D sky130_fd_sc_hd__o22a_1 -*CAP -1 *26321:B1 0.000393324 -2 *26320:X 0.000393324 -3 *26321:B1 *2277:8 2.14378e-05 -4 *26302:A *26321:B1 0.000156332 -5 *26321:A1 *26321:B1 4.6025e-06 -6 *26321:A2 *26321:B1 3.34366e-05 -7 *2144:22 *26321:B1 0 -8 *2220:40 *26321:B1 0.000846494 -*RES -1 *26320:X *26321:B1 39.2607 -*END - -*D_NET *2263 0.0012507 -*CONN -*I *26322:D I *D sky130_fd_sc_hd__and4b_1 -*I *26321:X O *D sky130_fd_sc_hd__o211a_1 -*CAP -1 *26322:D 0.000481539 -2 *26321:X 0.000481539 -3 *26321:A2 *26322:D 4.87854e-05 -4 *26321:C1 *26322:D 5.33005e-05 -5 *26322:A_N *26322:D 5.05507e-05 -6 *26322:C *26322:D 2.14199e-05 -7 *1935:135 *26322:D 7.22925e-05 -8 *2220:33 *26322:D 4.12729e-05 -*RES -1 *26321:X *26322:D 26.3723 -*END - -*D_NET *2264 0.00276616 -*CONN -*I *26323:D I *D sky130_fd_sc_hd__and4_1 -*I *26322:X O *D sky130_fd_sc_hd__and4b_1 -*CAP -1 *26323:D 0.000633804 -2 *26322:X 0.000633804 -3 *26323:D *4519:23 0.00021393 -4 *26323:D *4519:26 8.86189e-05 -5 *26323:D *4552:79 0.000466264 -6 *26323:D *5722:29 7.19508e-05 -7 *26334:B2 *26323:D 0 -8 *1935:135 *26323:D 0.000230155 -9 *2032:127 *26323:D 0 -10 *2038:12 *26323:D 0.000139653 -11 *2038:22 *26323:D 0.000148779 -12 *2250:94 *26323:D 0.000139202 -*RES -1 *26322:X *26323:D 42.1893 -*END - -*D_NET *2265 0.00125372 -*CONN -*I *26325:B1 I *D sky130_fd_sc_hd__o311a_1 -*I *26323:X O *D sky130_fd_sc_hd__and4_1 -*CAP -1 *26325:B1 0.000344022 -2 *26323:X 0.000344022 -3 *26325:B1 *26325:A2 4.63699e-05 -4 *26325:B1 *26327:B1 0.000141734 -5 *26325:B1 *5544:15 0.000226417 -6 *26324:B1 *26325:B1 9.35794e-06 -7 *26325:A1 *26325:B1 7.91464e-05 -8 *2038:12 *26325:B1 6.26466e-05 -*RES -1 *26323:X *26325:B1 34.225 -*END - -*D_NET *2266 0.000476638 -*CONN -*I *26325:C1 I *D sky130_fd_sc_hd__o311a_1 -*I *26324:X O *D sky130_fd_sc_hd__a31o_1 -*CAP -1 *26325:C1 9.59928e-05 -2 *26324:X 9.59928e-05 -3 *26325:C1 *4475:21 0.000147474 -4 *26325:C1 *4530:14 2.96981e-05 -5 *26325:A1 *26325:C1 5.33005e-05 -6 *2187:36 *26325:C1 5.41794e-05 -*RES -1 *26324:X *26325:C1 30.0821 -*END - -*D_NET *2267 0.0025733 -*CONN -*I *26327:B1 I *D sky130_fd_sc_hd__o211a_1 -*I *26325:X O *D sky130_fd_sc_hd__o311a_1 -*CAP -1 *26327:B1 0.000719583 -2 *26325:X 0.000719583 -3 *26327:B1 *26327:C1 0 -4 *26327:B1 *4475:21 0.000623927 -5 *26324:B1 *26327:B1 3.83239e-06 -6 *26325:B1 *26327:B1 0.000141734 -7 *26327:A1 *26327:B1 0.00011942 -8 *2038:12 *26327:B1 0 -9 *2227:19 *26327:B1 0.000210008 -10 *2227:30 *26327:B1 3.52082e-05 -*RES -1 *26325:X *26327:B1 41.0286 -*END - -*D_NET *2268 0.00149782 -*CONN -*I *26327:C1 I *D sky130_fd_sc_hd__o211a_1 -*I *26326:X O *D sky130_fd_sc_hd__o22a_1 -*CAP -1 *26327:C1 0.000377795 -2 *26326:X 0.000377795 -3 *26327:C1 *2269:23 0.000136958 -4 *26327:C1 *4530:10 0.000125886 -5 *26327:C1 *6203:11 1.98839e-05 -6 *26326:A1 *26327:C1 2.89114e-05 -7 *26326:B1 *26327:C1 3.65133e-05 -8 *26327:A1 *26327:C1 0.000136958 -9 *26327:B1 *26327:C1 0 -10 *26329:A2 *26327:C1 0.0001243 -11 *2227:30 *26327:C1 0.000132818 -*RES -1 *26326:X *26327:C1 36.4571 -*END - -*D_NET *2269 0.00393854 -*CONN -*I *26329:B1 I *D sky130_fd_sc_hd__o211a_1 -*I *26327:X O *D sky130_fd_sc_hd__o211a_1 -*CAP -1 *26329:B1 0.000123289 -2 *26327:X 0.000927049 -3 *2269:23 0.00105034 -4 *26329:B1 *26329:C1 5.80706e-06 -5 *26329:B1 *2272:15 9.69119e-06 -6 *26329:B1 *4253:11 8.92415e-06 -7 *2269:23 *2272:15 0.000140933 -8 *2269:23 *4353:34 0.000253196 -9 *2269:23 *4563:21 0.000171578 -10 *2269:23 *5722:5 5.33005e-05 -11 *2269:23 *6203:11 1.21258e-05 -12 *26316:A *2269:23 0.000157278 -13 *26327:A1 *2269:23 0.000192313 -14 *26327:C1 *2269:23 0.000136958 -15 *26334:A2 *2269:23 2.72602e-05 -16 *26335:A3 *2269:23 3.79877e-06 -17 *26335:B1 *2269:23 1.63471e-05 -18 *30625:A *26329:B1 0.000183739 -19 *30625:A *2269:23 8.14128e-05 -20 *2046:20 *26329:B1 0.000186421 -21 *2227:19 *2269:23 9.58181e-05 -22 *2258:10 *2269:23 0.00010096 -*RES -1 *26327:X *2269:23 47.2821 -2 *2269:23 *26329:B1 16.9607 -*END - -*D_NET *2270 0.00237847 -*CONN -*I *26329:C1 I *D sky130_fd_sc_hd__o211a_1 -*I *26328:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26329:C1 0.000631837 -2 *26328:X 0.000631837 -3 *26329:C1 *2272:15 0.000190258 -4 *26329:C1 *4253:11 3.51442e-05 -5 *26329:C1 *4519:8 0.000635781 -6 *26329:C1 *4563:21 2.69405e-05 -7 *25503:C *26329:C1 2.51343e-06 -8 *26329:B1 *26329:C1 5.80706e-06 -9 *26330:A2 *26329:C1 6.43814e-05 -10 *30592:A *26329:C1 2.83916e-05 -11 *30625:A *26329:C1 0.000116031 -12 *2240:8 *26329:C1 9.54798e-06 -*RES -1 *26328:X *26329:C1 49.4571 -*END - -*D_NET *2271 0.0018767 -*CONN -*I *26330:C1 I *D sky130_fd_sc_hd__o211a_1 -*I *26329:X O *D sky130_fd_sc_hd__o211a_1 -*CAP -1 *26330:C1 0.000276507 -2 *26329:X 0.000276507 -3 *26330:C1 *4242:9 5.54432e-05 -4 *26330:C1 *4253:11 0.000364888 -5 *26262:B *26330:C1 0.000263524 -6 *26329:A1 *26330:C1 0.000139907 -7 *26329:A2 *26330:C1 2.14658e-05 -8 *30592:A *26330:C1 0.00034047 -9 *2046:51 *26330:C1 0.000137983 -*RES -1 *26329:X *26330:C1 36.1 -*END - -*D_NET *2272 0.00843336 -*CONN -*I *26332:C I *D sky130_fd_sc_hd__and4_1 -*I *26330:X O *D sky130_fd_sc_hd__o211a_1 -*CAP -1 *26332:C 0.000999789 -2 *26330:X 0.00105738 -3 *2272:15 0.00205716 -4 *26332:C *25960:B 9.31893e-05 -5 *26332:C *25960:C 0.00010219 -6 *26332:C *25962:C 1.24368e-05 -7 *26332:C *26016:A2 3.98559e-05 -8 *26332:C *4297:15 0.000137983 -9 *26332:C *4364:34 0.000650272 -10 *26332:C *4375:45 0.000354105 -11 *26332:C *4386:13 0.000312209 -12 *26332:C *4386:15 0.000177085 -13 *26332:C *4386:17 1.5424e-05 -14 *2272:15 *25962:C 0.000388334 -15 *2272:15 *25966:A 2.60984e-05 -16 *2272:15 *25966:B 0.000216755 -17 *2272:15 *25966:C 1.35455e-05 -18 *2272:15 *25969:A2 2.89016e-05 -19 *2272:15 *4353:181 0.000383166 -20 *2272:15 *4508:54 0.000201351 -21 *2272:15 *4552:24 2.2355e-05 -22 *2272:15 *4575:8 0.000168187 -23 *25511:C *26332:C 5.03772e-05 -24 *26091:A *26332:C 0.000274288 -25 *26091:B *26332:C 2.89016e-05 -26 *26329:B1 *2272:15 9.69119e-06 -27 *26329:C1 *2272:15 0.000190258 -28 *26330:A2 *2272:15 9.54798e-06 -29 *30625:A *2272:15 9.90431e-05 -30 *1908:8 *2272:15 5.74499e-06 -31 *2046:20 *2272:15 0 -32 *2152:157 *2272:15 2.14757e-05 -33 *2258:10 *2272:15 0.000145327 -34 *2269:23 *2272:15 0.000140933 -*RES -1 *26330:X *2272:15 39.925 -2 *2272:15 *26332:C 32.5679 -*END - -*D_NET *2273 0.0020771 -*CONN -*I *26332:D I *D sky130_fd_sc_hd__and4_1 -*I *26474:B I *D sky130_fd_sc_hd__nand2_1 -*I *26331:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26332:D 0.000215444 -2 *26474:B 0.000153128 -3 *26331:X 0.000238733 -4 *2273:7 0.000607305 -5 *26332:D *26333:C1 9.80415e-05 -6 *2273:7 *6203:23 0.000158902 -7 *26748:D_N *26332:D 0.000120724 -8 *26748:D_N *26474:B 0.000305441 -9 *26785:A2 *26332:D 1.0945e-05 -10 *1942:14 *26332:D 7.09516e-05 -11 *1942:14 *26474:B 9.74883e-05 -*RES -1 *26331:X *2273:7 16.8 -2 *2273:7 *26474:B 17.8714 -3 *2273:7 *26332:D 18.0143 -*END - -*D_NET *2274 0.00145609 -*CONN -*I *26333:C1 I *D sky130_fd_sc_hd__o211a_1 -*I *26332:X O *D sky130_fd_sc_hd__and4_1 -*CAP -1 *26333:C1 0.000374841 -2 *26332:X 0.000374841 -3 *26333:C1 *6203:23 0.000130181 -4 *26315:A *26333:C1 9.41642e-05 -5 *26332:B *26333:C1 9.41642e-05 -6 *26332:D *26333:C1 9.80415e-05 -7 *26333:A1 *26333:C1 5.69708e-05 -8 *26333:A2 *26333:C1 9.20547e-05 -9 *26785:A2 *26333:C1 9.80415e-05 -10 *2257:5 *26333:C1 4.27935e-05 -*RES -1 *26332:X *26333:C1 36.0643 -*END - -*D_NET *2275 0.0142789 -*CONN -*I *26334:C1 I *D sky130_fd_sc_hd__o221a_1 -*I *26333:X O *D sky130_fd_sc_hd__o211a_1 -*CAP -1 *26334:C1 0.00354816 -2 *26333:X 0.00354816 -3 *26334:C1 *25969:C1 0.00103505 -4 *26334:C1 *4508:42 0 -5 *26334:C1 *5782:11 0.000259413 -6 *26334:C1 *6291:13 0 -7 *26333:A1 *26334:C1 1.01912e-05 -8 *26455:A2 *26334:C1 0.00174433 -9 *1912:17 *26334:C1 0.00152752 -10 *1956:15 *26334:C1 0 -11 *2032:127 *26334:C1 1.21859e-05 -12 *2046:51 *26334:C1 0 -13 *2152:157 *26334:C1 0.000793631 -14 *2204:16 *26334:C1 0.000330121 -15 *2220:33 *26334:C1 2.06112e-05 -16 *2228:46 *26334:C1 3.24808e-05 -17 *2258:41 *26334:C1 0.00141701 -*RES -1 *26333:X *26334:C1 45.5266 -*END - -*D_NET *2276 0.000587477 -*CONN -*I *26335:C1 I *D sky130_fd_sc_hd__o311a_1 -*I *26334:X O *D sky130_fd_sc_hd__o221a_1 -*CAP -1 *26335:C1 0.00017128 -2 *26334:X 0.00017128 -3 *26335:C1 *5722:16 1.89507e-06 -4 *2038:10 *26335:C1 9.18513e-05 -5 *2038:12 *26335:C1 5.32956e-05 -6 *2227:19 *26335:C1 9.78745e-05 -*RES -1 *26334:X *26335:C1 30.4929 -*END - -*D_NET *2277 0.00877693 -*CONN -*I *26337:C I *D sky130_fd_sc_hd__nand4_1 -*I *26335:X O *D sky130_fd_sc_hd__o311a_1 -*CAP -1 *26337:C 0 -2 *26335:X 0.000361314 -3 *2277:8 0.0013035 -4 *2277:7 0.00166481 -5 *2277:7 *26335:A1 6.05161e-06 -6 *2277:8 *26194:C 5.29648e-05 -7 *2277:8 *26337:D 0.000150611 -8 *2277:8 *5542:44 0.000241857 -9 *2277:8 *6158:22 3.98428e-05 -10 *26275:B *2277:7 0.000100495 -11 *26319:C1 *2277:8 0.000176941 -12 *26320:B2 *2277:8 0.00015018 -13 *26321:A2 *2277:8 0.000652283 -14 *26321:B1 *2277:8 2.14378e-05 -15 *26321:C1 *2277:8 0.000250193 -16 *26336:B *2277:8 0.000148182 -17 *26534:B1 *2277:8 0.000125435 -18 *1942:106 *2277:8 0.000565159 -19 *1942:115 *2277:8 0.000459727 -20 *1956:47 *2277:8 0.000370497 -21 *2204:30 *2277:8 0 -22 *2217:13 *2277:7 9.60875e-05 -23 *2217:38 *2277:8 2.04626e-05 -24 *2220:40 *2277:8 0.0018189 -*RES -1 *26335:X *2277:7 18.0321 -2 *2277:7 *2277:8 48.0893 -3 *2277:8 *26337:C 13.8 -*END - -*D_NET *2278 0.000724049 -*CONN -*I *26337:D I *D sky130_fd_sc_hd__nand4_1 -*I *26336:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *26337:D 0.00016347 -2 *26336:X 0.00016347 -3 *26337:D *6158:22 0.000152333 -4 *26336:B *26337:D 9.41642e-05 -5 *2277:8 *26337:D 0.000150611 -*RES -1 *26336:X *26337:D 30.9036 -*END - -*D_NET *2279 0.0164997 -*CONN -*I *26338:B I *D sky130_fd_sc_hd__or4b_1 -*I *26337:Y O *D sky130_fd_sc_hd__nand4_1 -*CAP -1 *26338:B 0.00180822 -2 *26337:Y 0.00140609 -3 *2279:13 0.00261011 -4 *2279:7 0.00220797 -5 *2279:7 *26100:A 8.11174e-05 -6 *2279:7 *26100:B 0.000178847 -7 *2279:7 *26100:C 3.2687e-05 -8 *2279:7 *26562:A1 4.45417e-05 -9 *2279:7 *4519:53 2.18902e-05 -10 *25983:A *2279:7 0.000105569 -11 *26133:B *26338:B 5.47748e-05 -12 *26136:B *26338:B 2.77143e-05 -13 *26287:A *2279:7 2.59355e-05 -14 *26287:B *2279:7 2.84109e-05 -15 *26291:B *2279:7 0.000262504 -16 *26336:A *2279:7 0.000510816 -17 *26336:B *2279:7 0.000383166 -18 *26338:D_N *26338:B 2.89016e-05 -19 *26405:A *2279:7 0.000298483 -20 *26476:B1 *26338:B 8.93488e-05 -21 *26562:A2 *2279:7 0.000554461 -22 *26562:C1 *26338:B 1.65169e-05 -23 *26562:C1 *2279:7 9.31011e-05 -24 *26562:C1 *2279:13 0.000494508 -25 *26803:B1 *26338:B 0.000178847 -26 *1862:27 *2279:13 0.000693742 -27 *1908:30 *2279:13 0.000585957 -28 *1908:35 *2279:13 9.25014e-06 -29 *1909:13 *2279:7 0.000175892 -30 *1909:18 *2279:7 0.000178847 -31 *1911:64 *2279:7 9.71197e-05 -32 *1925:5 *2279:7 5.52238e-05 -33 *1953:24 *2279:13 0.000137983 -34 *2011:140 *26338:B 0.000114302 -35 *2024:54 *26338:B 0.00100216 -36 *2031:73 *2279:13 0.000253777 -37 *2031:77 *2279:13 0.00033218 -38 *2042:9 *2279:7 0.000150723 -39 *2075:7 *26338:B 9.41642e-05 -40 *2136:27 *2279:7 8.71079e-05 -41 *2226:84 *2279:7 0.000986691 -*RES -1 *26337:Y *2279:7 46.3714 -2 *2279:7 *2279:13 27.4464 -3 *2279:13 *26338:B 38.1929 -*END - -*D_NET *2280 0.00388107 -*CONN -*I *26339:C1 I *D sky130_fd_sc_hd__a211o_1 -*I *26338:X O *D sky130_fd_sc_hd__or4b_1 -*CAP -1 *26339:C1 0.000699772 -2 *26338:X 0.000699772 -3 *26339:C1 *26346:B 0.000374351 -4 *26339:C1 *2305:8 2.49484e-05 -5 *26339:C1 *2526:18 0.000137026 -6 *26339:C1 *4189:72 0.000181762 -7 *26343:B *26339:C1 0.000196269 -8 *26782:A *26339:C1 4.8936e-05 -9 *2089:24 *26339:C1 0.000814995 -10 *2089:26 *26339:C1 0.000160422 -11 *2133:81 *26339:C1 0.000121573 -12 *2237:16 *26339:C1 0.000421239 -*RES -1 *26338:X *26339:C1 49.6536 -*END - -*D_NET *2281 0.0033623 -*CONN -*I *26346:B I *D sky130_fd_sc_hd__or3_1 -*I *26339:X O *D sky130_fd_sc_hd__a211o_1 -*CAP -1 *26346:B 0.00103528 -2 *26339:X 0.00103528 -3 *26346:B *2293:15 0.00059044 -4 *26346:B *2305:8 0.000151333 -5 *26346:B *4189:72 4.22519e-05 -6 *26339:A1 *26346:B 1.56e-05 -7 *26339:A2 *26346:B 9.60875e-05 -8 *26339:C1 *26346:B 0.000374351 -9 *2237:16 *26346:B 2.16719e-05 -*RES -1 *26339:X *26346:B 42.3143 -*END - -*D_NET *2282 0.00902127 -*CONN -*I *26655:B I *D sky130_fd_sc_hd__or3_2 -*I *26344:A I *D sky130_fd_sc_hd__or3_1 -*I *26576:B I *D sky130_fd_sc_hd__or3_1 -*I *26340:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26655:B 0.000134858 -2 *26344:A 0.000231284 -3 *26576:B 0.000318067 -4 *26340:Y 0.000168541 -5 *2282:26 0.00100151 -6 *2282:10 0.00112197 -7 *26576:B *26492:B 9.41642e-05 -8 *26576:B *26492:D 7.02611e-05 -9 *26576:B *2631:9 0.000130416 -10 *26655:B *2595:15 0.000100262 -11 *2282:26 *26468:B 3.32346e-05 -12 *2282:26 *26492:B 0.000307349 -13 *2282:26 *26493:A1 7.83587e-05 -14 *2282:26 *26554:C 0.000151333 -15 *2282:26 *2631:9 0.00010269 -16 *26155:B1 *2282:26 0.00016577 -17 *26160:A2 *2282:26 3.84291e-05 -18 *26160:A3 *2282:10 0.000290986 -19 *26160:A3 *2282:26 0 -20 *26273:A1 *26655:B 2.60984e-05 -21 *26341:B *2282:10 0.000288557 -22 *26554:B *26344:A 0.00018699 -23 *26554:B *2282:26 0.000107784 -24 *1880:18 *2282:26 0 -25 *1884:42 *26344:A 0.000841009 -26 *1884:42 *2282:26 0.000489429 -27 *1930:25 *2282:10 5.52238e-05 -28 *1930:40 *2282:10 4.08637e-05 -29 *1930:40 *2282:26 0.000426969 -30 *1930:42 *2282:26 4.66511e-05 -31 *1930:49 *2282:26 7.6644e-05 -32 *1930:62 *2282:26 0.000367396 -33 *2058:20 *2282:26 4.00025e-05 -34 *2102:12 *26344:A 0.000841009 -35 *2102:12 *2282:26 0.000647162 -*RES -1 *26340:Y *2282:10 23.0143 -2 *2282:10 *26576:B 14.7821 -3 *2282:10 *2282:26 27.4107 -4 *2282:26 *26344:A 24.55 -5 *2282:26 *26655:B 15.5679 -*END - -*D_NET *2283 0.0158448 -*CONN -*I *26468:B I *D sky130_fd_sc_hd__nand2_1 -*I *26398:A I *D sky130_fd_sc_hd__nand2_1 -*I *26497:B I *D sky130_fd_sc_hd__nand2_1 -*I *26674:B I *D sky130_fd_sc_hd__and3_1 -*I *26341:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26468:B 0.000202517 -2 *26398:A 0.000284485 -3 *26497:B 0.000280868 -4 *26674:B 0 -5 *26341:X 0.000118975 -6 *2283:23 0.00166926 -7 *2283:12 0.00250258 -8 *2283:7 0.00115119 -9 *26398:A *26399:A3 0.00018077 -10 *26398:A *26399:A4 6.57032e-05 -11 *26398:A *26400:A3 0.000153047 -12 *26398:A *26400:B2 0.000176234 -13 *26398:A *2284:35 6.71327e-05 -14 *26497:B *26674:C 2.19028e-05 -15 *26497:B *2613:13 5.33005e-05 -16 *26497:B *3566:7 0.000175892 -17 *26497:B *3583:20 0.00014101 -18 *2283:12 *26399:A2 2.41865e-05 -19 *2283:12 *26400:A2 7.40571e-05 -20 *2283:12 *26400:A3 0 -21 *2283:12 *2293:16 0.000380947 -22 *2283:23 *26399:A2 0.000168939 -23 *2283:23 *26499:B 0.000413033 -24 *2283:23 *26551:A1 4.87953e-05 -25 *2283:23 *26551:A2 1.90936e-05 -26 *2283:23 *2293:16 9.4724e-05 -27 *2283:23 *2293:20 0.00112102 -28 *2283:23 *2342:31 5.52238e-05 -29 *2283:23 *3583:20 8.54279e-05 -30 *2283:23 *5250:29 1.34809e-05 -31 *26160:A4 *26398:A 1.20465e-05 -32 *26272:A *2283:12 1.10422e-05 -33 *26273:A2 *26468:B 2.18733e-05 -34 *26273:A2 *2283:12 0.000173587 -35 *26341:A *2283:7 2.42516e-05 -36 *26347:B1 *2283:12 5.62487e-05 -37 *26468:A *26468:B 0.000104236 -38 *26497:A *26497:B 0.000175892 -39 *26499:A *2283:23 2.26973e-05 -40 *26554:B *2283:12 0.000189314 -41 *26554:B *2283:23 2.14378e-05 -42 *26587:A *26497:B 0.000140138 -43 *28400:D *2283:12 0.000150618 -44 *28401:D *2283:23 6.05161e-06 -45 *1884:35 *26468:B 0.000106552 -46 *1884:35 *2283:7 5.33005e-05 -47 *1884:42 *26468:B 2.24646e-05 -48 *1884:42 *2283:12 0.00134022 -49 *1930:49 *26468:B 6.05161e-06 -50 *1930:62 *26468:B 0.000367396 -51 *1930:76 *2283:12 0 -52 *1930:112 *2283:23 0.000452902 -53 *2062:5 *2283:23 3.63033e-05 -54 *2062:14 *2283:23 1.40306e-05 -55 *2100:28 *2283:23 0.000197715 -56 *2100:29 *2283:23 0.00118182 -57 *2102:12 *2283:12 0 -58 *2114:12 *2283:12 3.55057e-05 -59 *2114:43 *2283:23 0.000431641 -60 *2216:11 *2283:12 0.000712396 -61 *2282:26 *26468:B 3.32346e-05 -*RES -1 *26341:X *2283:7 15.1571 -2 *2283:7 *2283:12 26.2857 -3 *2283:12 *2283:23 49.8214 -4 *2283:23 *26674:B 9.3 -5 *2283:23 *26497:B 23.7821 -6 *2283:12 *26398:A 28.9964 -7 *2283:7 *26468:B 20.2286 -*END - -*D_NET *2284 0.010022 -*CONN -*I *26344:B I *D sky130_fd_sc_hd__or3_1 -*I *26399:A1 I *D sky130_fd_sc_hd__o41a_1 -*I *26523:B I *D sky130_fd_sc_hd__or2_1 -*I *26342:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26344:B 0.000604732 -2 *26399:A1 1.11927e-05 -3 *26523:B 0.000139139 -4 *26342:Y 0.000110462 -5 *2284:35 0.0015491 -6 *2284:5 0.00118278 -7 *26344:B *26344:C 7.83587e-05 -8 *26344:B *2293:15 5.33005e-05 -9 *26344:B *3583:16 0.000185916 -10 *26344:B *3583:20 0.000223592 -11 *26523:B *2605:8 0.00045429 -12 *2284:5 *3581:76 0.000135028 -13 *2284:35 *26399:A3 5.33005e-05 -14 *2284:35 *26399:B1 8.04523e-05 -15 *2284:35 *26552:C 7.74135e-05 -16 *2284:35 *2342:31 0.000560696 -17 *2284:35 *2342:43 0.000546594 -18 *2284:35 *3581:76 0.000124296 -19 *2284:35 *6352:14 0.000222892 -20 *26160:A4 *26344:B 9.14537e-05 -21 *26272:A *26344:B 4.43126e-05 -22 *26347:B1 *26344:B 9.90819e-06 -23 *26398:A *2284:35 6.71327e-05 -24 *26554:B *26344:B 0.000164928 -25 *26554:B *2284:35 0.000218734 -26 *1883:78 *26523:B 6.73181e-05 -27 *1883:83 *26523:B 0.000386972 -28 *1930:98 *2284:35 0.000228789 -29 *1997:45 *2284:35 0.000194112 -30 *2062:39 *2284:35 0.000468909 -31 *2062:44 *2284:35 0.000471424 -32 *2102:12 *26344:B 0.000317442 -33 *2114:12 *26344:B 0.000148744 -34 *2114:29 *26344:B 0.000748236 -*RES -1 *26342:Y *2284:5 10.6571 -2 *2284:5 *26523:B 24.1929 -3 *2284:5 *2284:35 44.9286 -4 *2284:35 *26399:A1 9.72857 -5 *2284:35 *26344:B 38.4578 -*END - -*D_NET *2285 0.00195408 -*CONN -*I *26344:C I *D sky130_fd_sc_hd__or3_1 -*I *26343:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26344:C 0.000477673 -2 *26343:Y 0.000477673 -3 *26344:C *26172:B1 5.10822e-05 -4 *26344:C *6376:175 0.000470382 -5 *26160:A4 *26344:C 5.88598e-05 -6 *26344:B *26344:C 7.83587e-05 -7 *2102:12 *26344:C 0.000340052 -*RES -1 *26343:Y *26344:C 36.6179 -*END - -*D_NET *2286 0.00122035 -*CONN -*I *26346:C I *D sky130_fd_sc_hd__or3_1 -*I *26344:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *26346:C 0.000284334 -2 *26344:X 0.000284334 -3 *26346:C *26347:A2 2.79421e-05 -4 *26346:C *2293:15 0.000538597 -5 *26347:B1 *26346:C 4.87854e-05 -6 *2223:50 *26346:C 3.63587e-05 -*RES -1 *26344:X *26346:C 24.9214 -*END - -*D_NET *2287 0.0115308 -*CONN -*I *26550:B I *D sky130_fd_sc_hd__nand2_1 -*I *26398:B I *D sky130_fd_sc_hd__nand2_1 -*I *26448:B I *D sky130_fd_sc_hd__nand2_1 -*I *26616:B I *D sky130_fd_sc_hd__and3b_1 -*I *26345:X O *D sky130_fd_sc_hd__or3_2 -*CAP -1 *26550:B 0.000144146 -2 *26398:B 0.00013426 -3 *26448:B 0 -4 *26616:B 0.000439082 -5 *26345:X 0.000884343 -6 *2287:19 0.000861985 -7 *2287:14 0.00108038 -8 *2287:7 0.00155171 -9 *26398:B *26397:A2 0 -10 *26550:B *26655:C 3.69047e-06 -11 *26550:B *2342:43 0.000151333 -12 *26616:B *26449:A3 0.000295144 -13 *26616:B *5250:29 0.00069892 -14 *26616:B *6352:14 0.000175318 -15 *26616:B *6352:31 7.83659e-05 -16 *2287:7 *2595:15 0.000524768 -17 *2287:14 *2342:43 0.000699634 -18 *2287:14 *5615:21 0.000527092 -19 *26172:A1 *2287:14 6.05161e-06 -20 *26172:A2 *2287:14 2.24796e-05 -21 *26448:A *2287:19 9.41642e-05 -22 *26554:B *2287:14 0.000111676 -23 *373:65 *26616:B 0.000303362 -24 *1948:16 *2287:7 9.41642e-05 -25 *2016:61 *2287:19 0.000202835 -26 *2045:97 *2287:14 6.69179e-05 -27 *2045:97 *2287:19 0.00023401 -28 *2062:44 *26550:B 0.000143797 -29 *2062:44 *2287:14 0.00136466 -30 *2062:44 *2287:19 0.000636107 -31 *2223:50 *2287:14 4.06087e-07 -*RES -1 *26345:X *2287:7 24.6036 -2 *2287:7 *2287:14 27.5 -3 *2287:14 *2287:19 15.9286 -4 *2287:19 *26616:B 30.2286 -5 *2287:19 *26448:B 9.3 -6 *2287:14 *26398:B 15.7107 -7 *2287:7 *26550:B 17.1036 -*END - -*D_NET *2288 0.00104937 -*CONN -*I *26347:A2 I *D sky130_fd_sc_hd__a211o_1 -*I *26346:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *26347:A2 0.000257088 -2 *26346:X 0.000257088 -3 *26347:A2 *2342:43 0.000126439 -4 *26347:A2 *4189:72 6.26177e-05 -5 *26346:C *26347:A2 2.79421e-05 -6 *26347:C1 *26347:A2 5.33005e-05 -7 *26551:B1 *26347:A2 0.000135028 -8 *2062:44 *26347:A2 0.000129868 -*RES -1 *26346:X *26347:A2 32.7786 -*END - -*D_NET *2289 0.00141636 -*CONN -*I *26400:A2 I *D sky130_fd_sc_hd__o32a_1 -*I *26347:X O *D sky130_fd_sc_hd__a211o_1 -*CAP -1 *26400:A2 0.000439798 -2 *26347:X 0.000439798 -3 *26400:A2 *26400:A3 3.18676e-05 -4 *26400:A2 *26400:B2 1.15281e-05 -5 *26400:A2 *2293:16 7.40571e-05 -6 *26400:A2 *4189:72 0.000345251 -7 *2283:12 *26400:A2 7.40571e-05 -*RES -1 *26347:X *26400:A2 33.8321 -*END - -*D_NET *2290 0.00650159 -*CONN -*I *26366:C I *D sky130_fd_sc_hd__and3_1 -*I *26519:A2 I *D sky130_fd_sc_hd__a31o_1 -*I *26365:B I *D sky130_fd_sc_hd__and3_1 -*I *26364:B I *D sky130_fd_sc_hd__nand2_1 -*I *26348:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26366:C 1.42863e-05 -2 *26519:A2 6.1348e-05 -3 *26365:B 0.000277608 -4 *26364:B 0.000202444 -5 *26348:Y 0.000387261 -6 *2290:12 0.000604966 -7 *2290:10 0.000310238 -8 *2290:8 0.000525522 -9 *26364:B *2306:7 2.59355e-05 -10 *26364:B *2595:31 0.000167872 -11 *26364:B *5007:48 0.000166347 -12 *26366:C *26446:B 5.7993e-06 -13 *2290:8 *4189:67 0.000162211 -14 *2290:8 *4189:72 9.41642e-05 -15 *2290:8 *5007:48 0.000928296 -16 *2290:10 *2595:31 0.000203769 -17 *2290:10 *5007:48 0.000305314 -18 *2290:12 *2595:31 0.000274067 -19 *2290:12 *5007:48 0.000284458 -20 *26364:A *26364:B 0.000303972 -21 *26519:A1 *26519:A2 1.58163e-05 -22 *1930:152 *26365:B 0.000339346 -23 *2036:25 *2290:8 0.000398144 -24 *2036:25 *2290:10 4.66203e-05 -25 *2060:128 *26364:B 8.43535e-06 -26 *2169:64 *26364:B 0.000387353 -*RES -1 *26348:Y *2290:8 26.7107 -2 *2290:8 *2290:10 4.07143 -3 *2290:10 *2290:12 3.76786 -4 *2290:12 *26364:B 20.3 -5 *2290:12 *26365:B 17.2107 -6 *2290:10 *26519:A2 14.7643 -7 *2290:8 *26366:C 13.9964 -*END - -*D_NET *2291 0.0105863 -*CONN -*I *26350:A I *D sky130_fd_sc_hd__inv_2 -*I *26361:B I *D sky130_fd_sc_hd__nand2_1 -*I *26629:A3 I *D sky130_fd_sc_hd__a311o_1 -*I *26498:C I *D sky130_fd_sc_hd__and3_1 -*I *26625:C I *D sky130_fd_sc_hd__and3_1 -*I *26349:Y O *D sky130_fd_sc_hd__a211oi_4 -*CAP -1 *26350:A 0.000293264 -2 *26361:B 0 -3 *26629:A3 0.000193582 -4 *26498:C 0 -5 *26625:C 8.68706e-05 -6 *26349:Y 0.00131987 -7 *2291:32 0.000349591 -8 *2291:20 0.000535409 -9 *2291:14 0.000462871 -10 *2291:11 0.00178348 -11 *26350:A *5723:75 4.38056e-05 -12 *26625:C *5723:26 1.79251e-05 -13 *2291:14 *2741:11 0.000143109 -14 *2291:20 *26791:B1 0.000110057 -15 *26066:B *2291:11 0.000443937 -16 *26361:A *2291:20 2.11419e-05 -17 *26498:A *26625:C 7.83659e-05 -18 *26498:A *2291:20 2.31791e-05 -19 *26498:A *2291:32 9.41642e-05 -20 *26629:A1 *26629:A3 0.000183726 -21 *26629:A2 *26629:A3 0.000434397 -22 *26629:A2 *2291:32 2.05612e-05 -23 *1874:11 *26350:A 0.000549576 -24 *1874:11 *2291:11 0.000806574 -25 *1950:37 *2291:11 0.000178425 -26 *1966:39 *2291:11 7.48301e-06 -27 *1966:47 *2291:11 0.000171241 -28 *1966:57 *2291:11 2.14658e-05 -29 *2010:16 *2291:11 1.57937e-05 -30 *2013:8 *2291:11 0.000137983 -31 *2014:8 *2291:11 0.00026353 -32 *2019:88 *2291:14 0.000136452 -33 *2019:88 *2291:20 0.000655923 -34 *2021:59 *2291:14 0.000290264 -35 *2024:54 *26625:C 7.6644e-05 -36 *2024:54 *2291:14 6.69189e-05 -37 *2024:54 *2291:20 0.000568715 -*RES -1 *26349:Y *2291:11 30.5321 -2 *2291:11 *2291:14 10.0893 -3 *2291:14 *2291:20 11.1071 -4 *2291:20 *26625:C 15.4429 -5 *2291:20 *2291:32 6.33929 -6 *2291:32 *26498:C 9.3 -7 *2291:32 *26629:A3 14.2643 -8 *2291:14 *26361:B 13.8 -9 *2291:11 *26350:A 15.4964 -*END - -*D_NET *2292 0.000454818 -*CONN -*I *26351:A3 I *D sky130_fd_sc_hd__o31a_1 -*I *26350:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *26351:A3 0.00010212 -2 *26350:Y 0.00010212 -3 *26351:A3 *6376:175 0.000123288 -4 *1874:11 *26351:A3 4.00276e-06 -5 *2012:20 *26351:A3 0.000123288 -*RES -1 *26350:Y *26351:A3 29.7786 -*END - -*D_NET *2293 0.0116668 -*CONN -*I *26399:B1 I *D sky130_fd_sc_hd__o41a_1 -*I *26616:C I *D sky130_fd_sc_hd__and3b_1 -*I *26522:B1 I *D sky130_fd_sc_hd__o41a_1 -*I *26351:X O *D sky130_fd_sc_hd__o31a_1 -*CAP -1 *26399:B1 0.000225355 -2 *26616:C 0 -3 *26522:B1 0.000263369 -4 *26351:X 0.000935593 -5 *2293:20 0.000600996 -6 *2293:16 0.000845847 -7 *2293:15 0.00121846 -8 *26399:B1 *26399:A3 1.98839e-05 -9 *26522:B1 *26522:A1 5.33005e-05 -10 *26522:B1 *26522:A4 2.89114e-05 -11 *26522:B1 *5250:29 0.000144038 -12 *2293:15 *26363:B 9.41642e-05 -13 *2293:15 *2303:5 0.000475664 -14 *2293:15 *2305:8 0.000136951 -15 *2293:16 *3583:16 0.000516003 -16 *2293:16 *3583:20 0.000295851 -17 *2293:20 *3583:20 0.00111617 -18 *26344:B *2293:15 5.33005e-05 -19 *26345:C *2293:15 3.92854e-05 -20 *26346:B *2293:15 0.00059044 -21 *26346:C *2293:15 0.000538597 -22 *26347:B1 *2293:15 1.65169e-05 -23 *26363:A *2293:15 1.02936e-05 -24 *26400:A2 *2293:16 7.40571e-05 -25 *26551:B1 *26522:B1 0.00014576 -26 *373:65 *26522:B1 2.42516e-05 -27 *1783:10 *2293:16 4.00025e-05 -28 *1883:78 *26522:B1 0.000301438 -29 *2012:20 *2293:15 5.28368e-06 -30 *2022:20 *2293:15 0.000101346 -31 *2062:39 *26522:B1 0.000175892 -32 *2133:68 *2293:15 9.90431e-05 -33 *2133:81 *2293:15 0.000496275 -34 *2216:11 *2293:16 0.00027095 -35 *2223:50 *2293:15 3.63775e-05 -36 *2283:12 *2293:16 0.000380947 -37 *2283:23 *2293:16 9.4724e-05 -38 *2283:23 *2293:20 0.00112102 -39 *2284:35 *26399:B1 8.04523e-05 -*RES -1 *26351:X *2293:15 43.3 -2 *2293:15 *2293:16 11.3571 -3 *2293:16 *2293:20 18.8929 -4 *2293:20 *26522:B1 24.6036 -5 *2293:20 *26616:C 9.3 -6 *2293:16 *26399:B1 16.9607 -*END - -*D_NET *2294 0.00928784 -*CONN -*I *26765:A2 I *D sky130_fd_sc_hd__o211a_1 -*I *26722:A2 I *D sky130_fd_sc_hd__o211ai_1 -*I *26793:B1 I *D sky130_fd_sc_hd__o221a_1 -*I *26352:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26765:A2 8.0618e-05 -2 *26722:A2 0.000156089 -3 *26793:B1 5.21355e-05 -4 *26352:Y 0.000938102 -5 *2294:27 0.000727288 -6 *2294:12 0.00148082 -7 *26765:A2 *26766:C_N 0.000137515 -8 *26793:B1 *26603:A 5.36136e-05 -9 *26793:B1 *2661:26 5.36136e-05 -10 *2294:12 *26603:A 0.000333688 -11 *2294:12 *2315:29 0.00115672 -12 *2294:12 *2661:26 0.00154309 -13 *2294:12 *2706:14 0 -14 *2294:27 *2661:26 0.000236331 -15 *26409:A1 *2294:12 1.21258e-05 -16 *26531:C *26722:A2 9.60939e-05 -17 *26606:A *26722:A2 0.000243642 -18 *26606:A *2294:27 0.000758417 -19 *26765:A1 *26765:A2 0.000347946 -20 *26765:B1 *26765:A2 5.52238e-05 -21 *26793:A2 *26793:B1 1.92789e-05 -22 *26793:A2 *2294:27 2.88018e-06 -23 *26793:C1 *2294:27 2.59355e-05 -24 *1952:63 *2294:12 0.000242986 -25 *2149:68 *2294:27 0.000334903 -26 *2149:87 *2294:27 0.000125165 -27 *2178:24 *26722:A2 7.36248e-05 -28 *2178:36 *26722:A2 0 -29 *2178:36 *2294:27 0 -*RES -1 *26352:Y *2294:12 39.9786 -2 *2294:12 *26793:B1 15.0679 -3 *2294:12 *2294:27 22.8214 -4 *2294:27 *26722:A2 17.9071 -5 *2294:27 *26765:A2 17.2286 -*END - -*D_NET *2295 0.00828273 -*CONN -*I *26740:A2 I *D sky130_fd_sc_hd__a2111o_1 -*I *26715:A2 I *D sky130_fd_sc_hd__a2111o_1 -*I *26353:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26740:A2 0 -2 *26715:A2 0.000916585 -3 *26353:Y 0.000431381 -4 *2295:9 0.00134797 -5 *26715:A2 *25850:A1 0.000151349 -6 *26715:A2 *25850:S 1.19402e-05 -7 *26715:A2 *26607:B 0.000246057 -8 *26715:A2 *29431:A 0.00012401 -9 *26715:A2 *30529:A 0.00038571 -10 *26715:A2 *2654:10 5.17614e-05 -11 *26715:A2 *3684:64 0 -12 *26715:A2 *5824:37 9.31893e-05 -13 *2295:9 *26770:C 0.000345257 -14 *2295:9 *2316:28 1.05521e-05 -15 *2295:9 *2730:13 0.000177214 -16 *2295:9 *6358:12 0.00110114 -17 *26374:A *26715:A2 9.64979e-05 -18 *26440:A1 *26715:A2 0.000515037 -19 *26713:A2 *2295:9 0.00031203 -20 *26715:A1 *26715:A2 0.000615956 -21 *26740:A1 *26715:A2 0.00012401 -22 *29891:A *26715:A2 4.01768e-05 -23 *2006:36 *26715:A2 0 -24 *2011:84 *2295:9 0.000768376 -25 *2022:56 *26715:A2 0.000416531 -*RES -1 *26353:Y *2295:9 36.1036 -2 *2295:9 *26715:A2 45.3714 -3 *2295:9 *26740:A2 9.3 -*END - -*D_NET *2296 0.00522962 -*CONN -*I *26755:B1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26390:B1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26439:A I *D sky130_fd_sc_hd__or4b_1 -*I *26354:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26755:B1 0.000265532 -2 *26390:B1 0.000210276 -3 *26439:A 0.000372717 -4 *26354:Y 0 -5 *2296:19 0.000646496 -6 *2296:5 0.000543405 -7 *26390:B1 *26391:D1 3.30337e-05 -8 *26439:A *26439:C 1.97695e-05 -9 *26439:A *26441:C 9.71197e-05 -10 *26439:A *26611:C 1.39726e-05 -11 *26439:A *2316:28 8.24031e-05 -12 *26439:A *2352:40 1.90303e-05 -13 *26439:A *2534:34 0.000128954 -14 *26439:A *2551:14 5.69856e-05 -15 *26755:B1 *26391:D1 2.47753e-05 -16 *26755:B1 *26501:B1 0.000177948 -17 *26755:B1 *2302:37 0.000410611 -18 *26755:B1 *2334:8 1.81709e-05 -19 *2296:19 *2534:34 0.000520099 -20 *26389:A2 *26755:B1 0.000265385 -21 *26390:A1 *26390:B1 2.59355e-05 -22 *26390:A2 *26390:B1 2.95726e-05 -23 *1871:37 *26439:A 0.000140393 -24 *1953:122 *26439:A 2.06112e-05 -25 *2011:54 *26439:A 0.000134275 -26 *2011:54 *26755:B1 0 -27 *2011:54 *2296:19 0.000540758 -28 *2019:125 *26439:A 0.000109803 -29 *2022:56 *26439:A 0.000299887 -30 *2061:41 *26439:A 2.1698e-05 -*RES -1 *26354:Y *2296:5 13.8 -2 *2296:5 *26439:A 23.4607 -3 *2296:5 *2296:19 11.6071 -4 *2296:19 *26390:B1 12.3 -5 *2296:19 *26755:B1 25.8536 -*END - -*D_NET *2297 0.0121701 -*CONN -*I *26519:A3 I *D sky130_fd_sc_hd__a31o_1 -*I *26365:C I *D sky130_fd_sc_hd__and3_1 -*I *26622:A3 I *D sky130_fd_sc_hd__a311o_1 -*I *26356:C I *D sky130_fd_sc_hd__and3_1 -*I *26355:X O *D sky130_fd_sc_hd__and3b_1 -*CAP -1 *26519:A3 0 -2 *26365:C 0.000428942 -3 *26622:A3 0.00045217 -4 *26356:C 0 -5 *26355:X 0.00113862 -6 *2297:44 0.000517426 -7 *2297:32 0.000918903 -8 *2297:19 0.00151687 -9 *26365:C *26119:A 5.52238e-05 -10 *26365:C *26445:A 0.000145405 -11 *26365:C *2595:31 0.000143887 -12 *26622:A3 *26411:B1_N 0.000216755 -13 *26622:A3 *2571:11 2.85234e-05 -14 *26622:A3 *3581:76 3.51056e-05 -15 *2297:19 *26385:A 9.60808e-05 -16 *2297:19 *2598:12 0.000223272 -17 *2297:32 *2298:11 0.000218685 -18 *2297:44 *2343:22 0.000105471 -19 *26034:B *2297:19 5.33005e-05 -20 *26042:B *2297:19 8.91726e-05 -21 *26356:A *2297:19 5.33005e-05 -22 *26380:B2 *2297:19 6.19181e-05 -23 *26382:B *2297:19 5.10822e-05 -24 *26503:B1 *26622:A3 0.000763978 -25 *26503:B1 *2297:32 0.000205325 -26 *26519:A1 *2297:44 3.97677e-05 -27 *26622:A2 *26622:A3 0.000259267 -28 *1930:152 *26365:C 2.22618e-05 -29 *1938:13 *2297:19 0.000470798 -30 *1938:159 *2297:44 0.000135028 -31 *1973:35 *2297:19 0.000121573 -32 *1996:75 *26365:C 0.000103714 -33 *2009:20 *26622:A3 0.000874482 -34 *2009:20 *2297:32 0.000213868 -35 *2018:12 *2297:19 0.000103977 -36 *2036:25 *2297:32 5.33005e-05 -37 *2044:18 *2297:19 0.000798897 -38 *2044:18 *2297:32 0.000556995 -39 *2051:46 *2297:19 0.00083701 -40 *2061:18 *26365:C 4.85033e-05 -41 *2083:31 *26622:A3 1.12669e-05 -*RES -1 *26355:X *2297:19 44.4071 -2 *2297:19 *26356:C 9.3 -3 *2297:19 *2297:32 13.7143 -4 *2297:32 *26622:A3 28.175 -5 *2297:32 *2297:44 6.67857 -6 *2297:44 *26365:C 24.55 -7 *2297:44 *26519:A3 9.3 -*END - -*D_NET *2298 0.0077226 -*CONN -*I *26631:A I *D sky130_fd_sc_hd__or4_1 -*I *26509:A I *D sky130_fd_sc_hd__or4_1 -*I *26663:A I *D sky130_fd_sc_hd__or3b_1 -*I *26357:B1 I *D sky130_fd_sc_hd__o22a_1 -*I *26356:X O *D sky130_fd_sc_hd__and3_1 -*CAP -1 *26631:A 0 -2 *26509:A 0.000548235 -3 *26663:A 0 -4 *26357:B1 0.000847753 -5 *26356:X 0.000553102 -6 *2298:36 0.00065616 -7 *2298:26 0.000540487 -8 *2298:11 0.00183342 -9 *26509:A *26511:A_N 4.84073e-05 -10 *26509:A *26631:C 9.84673e-05 -11 *26509:A *3581:76 0.00045731 -12 *2298:26 *2564:8 5.64732e-05 -13 *26357:B2 *26357:B1 1.02504e-05 -14 *26402:B *26357:B1 0 -15 *26402:B *2298:11 0 -16 *26411:A2 *2298:36 9.41642e-05 -17 *26797:C1 *2298:11 1.90936e-05 -18 *1188:97 *2298:26 4.22132e-05 -19 *1930:152 *2298:26 1.90936e-05 -20 *1945:65 *26357:B1 0 -21 *1950:64 *2298:36 9.60875e-05 -22 *1966:62 *2298:11 1.90936e-05 -23 *2000:16 *26357:B1 0.000114492 -24 *2004:13 *2298:26 0.000793346 -25 *2004:13 *2298:36 0.000215309 -26 *2051:46 *26357:B1 5.01298e-05 -27 *2052:28 *2298:36 0.000213121 -28 *2057:8 *2298:26 0.000177704 -29 *2099:13 *2298:11 0 -30 *2297:32 *2298:11 0.000218685 -*RES -1 *26356:X *2298:11 20.433 -2 *2298:11 *26357:B1 20.2615 -3 *2298:11 *2298:26 18.5082 -4 *2298:26 *26663:A 9.3 -5 *2298:26 *2298:36 12.8036 -6 *2298:36 *26509:A 19.2821 -7 *2298:36 *26631:A 9.3 -*END - -*D_NET *2299 0.00175096 -*CONN -*I *26385:A I *D sky130_fd_sc_hd__or4b_1 -*I *26357:X O *D sky130_fd_sc_hd__o22a_1 -*CAP -1 *26385:A 0.000446751 -2 *26357:X 0.000446751 -3 *26385:A *26385:B 0.000486839 -4 *26385:A *26445:D 2.13481e-06 -5 *26357:A2 *26385:A 6.595e-05 -6 *26742:A2 *26385:A 6.05161e-06 -7 *2051:46 *26385:A 0.000194345 -8 *2222:11 *26385:A 6.05161e-06 -9 *2297:19 *26385:A 9.60808e-05 -*RES -1 *26357:X *26385:A 36.6893 -*END - -*D_NET *2300 0.00766791 -*CONN -*I *26394:C I *D sky130_fd_sc_hd__or4b_1 -*I *26622:B1 I *D sky130_fd_sc_hd__a311o_1 -*I *26411:B1_N I *D sky130_fd_sc_hd__o21bai_2 -*I *26358:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26394:C 0.000416732 -2 *26622:B1 2.13113e-05 -3 *26411:B1_N 0.000272574 -4 *26358:Y 0.000373544 -5 *2300:11 0.000552775 -6 *2300:7 0.00104917 -7 *26394:C *26446:B 9.0145e-05 -8 *26394:C *2569:6 4.35731e-05 -9 *26411:B1_N *26622:A1 4.58194e-05 -10 *26411:B1_N *2352:24 8.71507e-05 -11 *26411:B1_N *2562:8 8.43535e-06 -12 *26411:B1_N *2595:31 0.000167427 -13 *26411:B1_N *3581:76 0.00038021 -14 *2300:7 *3581:76 0.000463642 -15 *2300:11 *26622:A1 5.96516e-05 -16 *2300:11 *3581:76 0.000720092 -17 *2300:11 *5723:46 0.000256395 -18 *26394:D_N *26394:C 9.60939e-05 -19 *26411:A2 *26411:B1_N 0.000124121 -20 *26622:A2 *26411:B1_N 1.98839e-05 -21 *26622:A3 *26411:B1_N 0.000216755 -22 *26757:B1 *26394:C 0.00106777 -23 *26757:B1 *2300:11 5.36422e-05 -24 *1950:87 *2300:11 8.21742e-05 -25 *2009:20 *26411:B1_N 9.15842e-06 -26 *2012:20 *26394:C 7.80929e-05 -27 *2045:73 *26394:C 0.00077402 -28 *2129:44 *26411:B1_N 0.000137561 -*RES -1 *26358:Y *2300:7 18.4429 -2 *2300:7 *2300:11 12.3393 -3 *2300:11 *26411:B1_N 26.1571 -4 *2300:11 *26622:B1 9.72857 -5 *2300:7 *26394:C 29.3536 -*END - -*D_NET *2301 0.00326626 -*CONN -*I *26434:C I *D sky130_fd_sc_hd__and4b_1 -*I *26768:B1 I *D sky130_fd_sc_hd__o211a_1 -*I *26359:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26434:C 0 -2 *26768:B1 0.000228887 -3 *26359:Y 0.000407341 -4 *2301:9 0.000636228 -5 *26768:B1 *26434:B 5.33005e-05 -6 *26768:B1 *2680:10 5.41797e-06 -7 *26768:B1 *2680:17 0.000182608 -8 *2301:9 *26434:D 0.000169666 -9 *2301:9 *26435:D1 4.43256e-05 -10 *2301:9 *26721:B1 0.000153054 -11 *2301:9 *2545:8 0 -12 *26591:A1 *26768:B1 0.00029506 -13 *26768:A1 *26768:B1 1.81315e-05 -14 *1989:35 *2301:9 0.000606699 -15 *2046:154 *26768:B1 1.21258e-05 -16 *2046:154 *2301:9 4.49935e-05 -17 *2110:26 *26768:B1 0.000195555 -18 *2136:68 *26768:B1 0.000180764 -19 *2196:35 *26768:B1 3.21041e-05 -*RES -1 *26359:Y *2301:9 28.175 -2 *2301:9 *26768:B1 25.1482 -3 *2301:9 *26434:C 9.3 -*END - -*D_NET *2302 0.00953413 -*CONN -*I *26391:B1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26671:B I *D sky130_fd_sc_hd__or4_1 -*I *26673:B I *D sky130_fd_sc_hd__or4_1 -*I *26427:B1 I *D sky130_fd_sc_hd__a31o_1 -*I *26360:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26391:B1 0 -2 *26671:B 0 -3 *26673:B 0.000132842 -4 *26427:B1 0.000913358 -5 *26360:Y 0 -6 *2302:37 0.000883578 -7 *2302:30 0.0010639 -8 *2302:4 0.00122652 -9 *26427:B1 *26439:C 0.000181796 -10 *26427:B1 *26806:B1 0.00092519 -11 *26427:B1 *26806:C1 7.6644e-05 -12 *26427:B1 *2313:19 0.000121573 -13 *26427:B1 *2738:7 1.82657e-05 -14 *26673:B *2728:12 0.000379546 -15 *26673:B *2735:8 0.000379546 -16 *2302:30 *26806:C1 4.32957e-05 -17 *2302:30 *2316:28 6.9389e-05 -18 *2302:30 *5007:44 0.000362875 -19 *2302:30 *5007:46 3.53422e-06 -20 *2302:30 *5007:48 1.94879e-05 -21 *2302:37 *26391:D1 0.000267814 -22 *2302:37 *26501:B1 4.86923e-05 -23 *2302:37 *26671:C 0.000103977 -24 *2302:37 *26712:B2 0.000137983 -25 *2302:37 *2316:28 5.4646e-05 -26 *2302:37 *2534:11 0.000216755 -27 *2302:37 *2534:34 0.000812494 -28 *2302:37 *2595:54 0.0001026 -29 *26360:A *2302:30 2.59355e-05 -30 *26391:A1 *2302:37 7.02611e-05 -31 *26755:B1 *2302:37 0.000410611 -32 *1871:37 *26427:B1 0.000153884 -33 *1953:130 *2302:30 0.000108538 -34 *1953:137 *26427:B1 7.83659e-05 -35 *1953:137 *2302:30 8.85664e-07 -36 *2011:54 *2302:37 7.80851e-06 -37 *2022:39 *2302:30 8.25843e-06 -38 *2104:118 *26427:B1 0.000123288 -*RES -1 *26360:Y *2302:4 9.3 -2 *2302:4 *26427:B1 41.7286 -3 *2302:4 *2302:30 16.1964 -4 *2302:30 *2302:37 26.5714 -5 *2302:37 *26673:B 23.2821 -6 *2302:37 *26671:B 9.3 -7 *2302:30 *26391:B1 9.3 -*END - -*D_NET *2303 0.00265943 -*CONN -*I *26363:B I *D sky130_fd_sc_hd__nor2_1 -*I *26362:B I *D sky130_fd_sc_hd__nor2_1 -*I *26361:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26363:B 4.68128e-05 -2 *26362:B 6.86456e-05 -3 *26361:Y 0.000323364 -4 *2303:5 0.000438822 -5 *26102:A *2303:5 0.000181796 -6 *26361:A *2303:5 2.59355e-05 -7 *26363:A *26363:B 8.26197e-05 -8 *26363:A *2303:5 0.000136958 -9 *2022:20 *2303:5 0.000175892 -10 *2092:14 *26362:B 0.000193118 -11 *2133:68 *2303:5 0.000207954 -12 *2236:8 *2303:5 1.21258e-05 -13 *2253:12 *26362:B 0.000195555 -14 *2293:15 *26363:B 9.41642e-05 -15 *2293:15 *2303:5 0.000475664 -*RES -1 *26361:Y *2303:5 18.05 -2 *2303:5 *26362:B 20.8536 -3 *2303:5 *26363:B 10.675 -*END - -*D_NET *2304 0.00940503 -*CONN -*I *26397:A2 I *D sky130_fd_sc_hd__a2111o_1 -*I *26666:A I *D sky130_fd_sc_hd__or3_1 -*I *26522:A1 I *D sky130_fd_sc_hd__o41a_1 -*I *26362:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26397:A2 0.000118763 -2 *26666:A 0 -3 *26522:A1 0.000550385 -4 *26362:Y 0.000471561 -5 *2304:14 0.00098796 -6 *2304:10 0.0010279 -7 *26397:A2 *26397:A1 0.000135028 -8 *26397:A2 *26397:B1 1.34548e-05 -9 *26522:A1 *26522:A3 7.02611e-05 -10 *26522:A1 *26522:A4 0.000197974 -11 *26522:A1 *26666:B 8.25098e-05 -12 *26522:A1 *5615:38 0.000144089 -13 *2304:10 *26396:C1 0.00012401 -14 *2304:10 *2526:18 0.000115552 -15 *2304:10 *5615:38 1.08359e-05 -16 *2304:14 *26397:A1 0.000135028 -17 *2304:14 *26397:B1 3.04394e-05 -18 *2304:14 *26399:A3 0.000110238 -19 *2304:14 *26446:C 2.49484e-05 -20 *2304:14 *26666:B 0.00108924 -21 *2304:14 *2305:8 7.29667e-05 -22 *2304:14 *2526:18 0.00020965 -23 *26172:A1 *2304:10 0.000345251 -24 *26396:A2 *2304:14 0.000126439 -25 *26398:B *26397:A2 0 -26 *26521:A *26522:A1 0.000180764 -27 *26522:B1 *26522:A1 5.33005e-05 -28 *1883:78 *26522:A1 0.000385095 -29 *1943:40 *2304:10 9.99931e-06 -30 *2016:46 *2304:14 6.18294e-05 -31 *2016:61 *2304:14 4.8542e-05 -32 *2040:62 *26522:A1 0.000387622 -33 *2089:26 *2304:14 6.03118e-05 -34 *2092:30 *26522:A1 0.000362538 -35 *2092:30 *2304:14 0.000498507 -36 *2169:16 *2304:10 0.000494595 -37 *2237:16 *2304:10 0.000667446 -*RES -1 *26362:Y *2304:10 30.3357 -2 *2304:10 *2304:14 20.8571 -3 *2304:14 *26522:A1 28.8893 -4 *2304:14 *26666:A 13.8 -5 *2304:10 *26397:A2 11.4964 -*END - -*D_NET *2305 0.0052431 -*CONN -*I *26397:B1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26666:B I *D sky130_fd_sc_hd__or3_1 -*I *26363:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26397:B1 9.29601e-05 -2 *26666:B 0.000357979 -3 *26363:Y 0.000309667 -4 *2305:8 0.000760606 -5 *26666:B *26396:B1 0.000265385 -6 *26666:B *2526:18 0.00015948 -7 *26666:B *5615:38 0.000665216 -8 *2305:8 *2526:18 0.00013713 -9 *26339:C1 *2305:8 2.49484e-05 -10 *26346:B *2305:8 0.000151333 -11 *26363:A *2305:8 5.52238e-05 -12 *26397:A2 *26397:B1 1.34548e-05 -13 *26446:D_N *26666:B 1.17921e-05 -14 *26522:A1 *26666:B 8.25098e-05 -15 *2016:46 *2305:8 2.83916e-05 -16 *2089:26 *2305:8 0 -17 *2237:16 *2305:8 0.000566622 -18 *2237:26 *26666:B 0.000172475 -19 *2237:26 *2305:8 5.83304e-05 -20 *2293:15 *2305:8 0.000136951 -21 *2304:14 *26397:B1 3.04394e-05 -22 *2304:14 *26666:B 0.00108924 -23 *2304:14 *2305:8 7.29667e-05 -*RES -1 *26363:Y *2305:8 23.175 -2 *2305:8 *26666:B 28.8 -3 *2305:8 *26397:B1 15.1571 -*END - -*D_NET *2306 0.00347528 -*CONN -*I *26624:A1 I *D sky130_fd_sc_hd__a21oi_1 -*I *26505:A2 I *D sky130_fd_sc_hd__a21oi_1 -*I *26364:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26624:A1 0 -2 *26505:A2 0.000499153 -3 *26364:Y 0.000298538 -4 *2306:7 0.000797691 -5 *26505:A2 *26509:B 5.52238e-05 -6 *26505:A2 *2564:8 1.51018e-05 -7 *2306:7 *26623:A 9.41642e-05 -8 *2306:7 *26624:A2 0.000135156 -9 *26268:A *26505:A2 2.77289e-05 -10 *26268:A *2306:7 0.000136951 -11 *26364:B *2306:7 2.59355e-05 -12 *26623:B *2306:7 5.33005e-05 -13 *26624:B1 *26505:A2 0.000879655 -14 *26624:B1 *2306:7 1.97695e-05 -15 *373:63 *2306:7 0.000217229 -16 *2060:128 *2306:7 0.000135028 -17 *2169:64 *2306:7 8.46559e-05 -*RES -1 *26364:Y *2306:7 17.1393 -2 *2306:7 *26505:A2 19.425 -3 *2306:7 *26624:A1 9.3 -*END - -*D_NET *2307 0.00160974 -*CONN -*I *26393:B1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26519:B1 I *D sky130_fd_sc_hd__a31o_1 -*I *26365:X O *D sky130_fd_sc_hd__and3_1 -*CAP -1 *26393:B1 0 -2 *26519:B1 0.000173729 -3 *26365:X 0.000150482 -4 *2307:10 0.000324211 -5 *26519:B1 *26393:D1 0.000348213 -6 *26519:B1 *2343:22 0.000265031 -7 *26519:B1 *2460:8 4.02038e-05 -8 *2307:10 *5723:33 4.12023e-05 -9 *1930:152 *2307:10 1.26099e-05 -10 *1996:75 *2307:10 0.000114277 -11 *2022:28 *2307:10 0.000139783 -*RES -1 *26365:X *2307:10 21.1929 -2 *2307:10 *26519:B1 13.9429 -3 *2307:10 *26393:B1 9.3 -*END - -*D_NET *2308 0.00644064 -*CONN -*I *26520:A I *D sky130_fd_sc_hd__or4_1 -*I *26758:A I *D sky130_fd_sc_hd__or4_1 -*I *26393:C1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26366:X O *D sky130_fd_sc_hd__and3_1 -*CAP -1 *26520:A 9.40766e-05 -2 *26758:A 0.000253486 -3 *26393:C1 0 -4 *26366:X 0.000449579 -5 *2308:18 0.00099896 -6 *2308:10 0.00110098 -7 *26520:A *26520:C 2.59355e-05 -8 *26758:A *26669:A 0.000215116 -9 *26758:A *26758:D 9.90431e-05 -10 *26758:A *2460:8 3.51442e-05 -11 *26758:A *2608:5 6.42095e-05 -12 *26758:A *2608:26 2.14658e-05 -13 *26758:A *2632:12 1.03403e-05 -14 *26758:A *2696:8 9.71197e-05 -15 *2308:10 *26446:B 8.18469e-05 -16 *2308:10 *5723:33 0.000265378 -17 *2308:18 *2343:22 0.000375659 -18 *2308:18 *2460:8 0.000828986 -19 *2308:18 *2569:6 4.85325e-05 -20 *2308:18 *2632:12 1.20729e-05 -21 *26736:A *26758:A 4.22135e-06 -22 *1393:39 *26758:A 1.04232e-05 -23 *1940:32 *26758:A 0.000250945 -24 *1940:32 *2308:18 0.000488385 -25 *1996:75 *2308:18 8.64454e-05 -26 *2022:28 *2308:10 8.2395e-05 -27 *2044:18 *2308:10 0.000101545 -28 *2060:128 *2308:18 0.000338359 -*RES -1 *26366:X *2308:10 25.5857 -2 *2308:10 *26393:C1 9.3 -3 *2308:10 *2308:18 20.4821 -4 *2308:18 *26758:A 20.2821 -5 *2308:18 *26520:A 15.175 -*END - -*D_NET *2309 0.000870273 -*CONN -*I *26425:B1 I *D sky130_fd_sc_hd__a31o_1 -*I *26388:A I *D sky130_fd_sc_hd__or3_1 -*I *26367:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26425:B1 0 -2 *26388:A 7.18731e-05 -3 *26367:Y 4.48842e-05 -4 *2309:6 0.000116757 -5 *26388:A *26388:C 0.00019484 -6 *2309:6 *26388:C 0.000117196 -7 *1953:111 *26388:A 0.000197276 -8 *1953:111 *2309:6 0.000127446 -*RES -1 *26367:Y *2309:6 15.4429 -2 *2309:6 *26388:A 16.3536 -3 *2309:6 *26425:B1 13.8 -*END - -*D_NET *2310 0.00946433 -*CONN -*I *26380:A2 I *D sky130_fd_sc_hd__o22a_1 -*I *26630:A3 I *D sky130_fd_sc_hd__o32a_1 -*I *26508:B1 I *D sky130_fd_sc_hd__o31a_1 -*I *26774:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *26368:X O *D sky130_fd_sc_hd__and3_1 -*CAP -1 *26380:A2 0 -2 *26630:A3 7.11942e-05 -3 *26508:B1 0.000145878 -4 *26774:B2 0.00062039 -5 *26368:X 0.000261727 -6 *2310:32 0.000374278 -7 *2310:16 0.00138254 -8 *2310:11 0.00086667 -9 *26774:B2 *26667:C1 2.88381e-05 -10 *26774:B2 *26774:A2 1.06229e-05 -11 *26774:B2 *26774:B1 9.0447e-05 -12 *26774:B2 *26774:C1 9.12578e-06 -13 *26774:B2 *2320:30 0.000212074 -14 *26774:B2 *2598:15 5.5668e-05 -15 *2310:11 *26445:D 0.000175892 -16 *2310:16 *26380:B1 5.7616e-05 -17 *2310:16 *26445:D 9.41642e-05 -18 *26368:B *2310:11 0.000177821 -19 *26379:B1 *2310:16 0.000162587 -20 *26380:B2 *2310:16 1.24368e-05 -21 *26630:A1 *26630:A3 9.41642e-05 -22 *26630:A1 *2310:32 1.98839e-05 -23 *26630:B2 *26630:A3 1.98839e-05 -24 *26630:B2 *2310:32 0 -25 *1188:97 *2310:11 0.000120505 -26 *1938:14 *2310:16 0.000204264 -27 *1938:19 *26774:B2 8.6229e-06 -28 *1938:19 *2310:16 0.000897866 -29 *1938:19 *2310:32 0 -30 *1938:30 *26630:A3 1.98839e-05 -31 *1938:30 *26774:B2 0.000429826 -32 *1938:30 *2310:32 5.33005e-05 -33 *1938:48 *26774:B2 3.06451e-05 -34 *1950:64 *26774:B2 0.000841768 -35 *1950:64 *2310:16 0.000296825 -36 *1953:69 *2310:16 0.000141895 -37 *1955:118 *26774:B2 4.73082e-05 -38 *1955:118 *2310:16 9.01449e-05 -39 *1955:129 *26774:B2 0 -40 *1971:29 *2310:11 2.42516e-05 -41 *1971:35 *2310:11 9.0145e-05 -42 *1983:32 *26774:B2 1.17968e-05 -43 *1983:32 *2310:16 0.000229052 -44 *1989:8 *26774:B2 1.65157e-05 -45 *1989:30 *26774:B2 1.62539e-05 -46 *1996:99 *26508:B1 4.97479e-05 -47 *1996:99 *2310:32 0 -48 *1999:28 *26774:B2 0.000188478 -49 *1999:35 *26774:B2 7.86062e-05 -50 *2057:8 *2310:11 0.000122091 -51 *2060:109 *26508:B1 0.000306317 -52 *2060:109 *26630:A3 0.000204318 -*RES -1 *26368:X *2310:11 24.3 -2 *2310:11 *2310:16 22.2679 -3 *2310:16 *26774:B2 30.983 -4 *2310:16 *2310:32 6.89286 -5 *2310:32 *26508:B1 12.7286 -6 *2310:32 *26630:A3 11.4786 -7 *2310:11 *26380:A2 9.3 -*END - -*D_NET *2311 0.0020969 -*CONN -*I *26399:A2 I *D sky130_fd_sc_hd__o41a_1 -*I *26499:B I *D sky130_fd_sc_hd__or2_1 -*I *26369:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26399:A2 8.46827e-05 -2 *26499:B 0.000133785 -3 *26369:Y 0.000156936 -4 *2311:7 0.000375404 -5 *26499:B *2440:8 8.25843e-06 -6 *26499:A *26499:B 1.33343e-05 -7 *26554:B *26399:A2 0.00018459 -8 *26554:B *26499:B 0.000414748 -9 *1930:85 *26499:B 5.33005e-05 -10 *1997:45 *2311:7 6.57032e-05 -11 *2283:12 *26399:A2 2.41865e-05 -12 *2283:23 *26399:A2 0.000168939 -13 *2283:23 *26499:B 0.000413033 -*RES -1 *26369:Y *2311:7 15.5679 -2 *2311:7 *26499:B 19.6214 -3 *2311:7 *26399:A2 16.3536 -*END - -*D_NET *2312 0.00416576 -*CONN -*I *26670:B1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26389:B1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26428:B1 I *D sky130_fd_sc_hd__a31o_1 -*I *26370:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26670:B1 0.000325185 -2 *26389:B1 0 -3 *26428:B1 0.000269862 -4 *26370:Y 0 -5 *2312:19 0.000521103 -6 *2312:5 0.00046578 -7 *26428:B1 *26439:D_N 5.47391e-05 -8 *26428:B1 *2313:19 2.28566e-05 -9 *26428:B1 *2672:19 0.000393943 -10 *26428:B1 *2676:15 0.00024222 -11 *26670:B1 *26515:B1 1.17968e-05 -12 *26670:B1 *26608:B 0 -13 *26670:B1 *2445:8 0.000167275 -14 *26670:B1 *2609:7 7.02611e-05 -15 *26670:B1 *5545:22 5.84547e-05 -16 *2312:19 *26389:D1 3.34783e-05 -17 *2312:19 *2313:19 0.000130169 -18 *2312:19 *2676:15 0.000290264 -19 *26367:B *26670:B1 0.000115467 -20 *26367:B *2312:19 7.83659e-05 -21 *26370:B *26428:B1 0.000123589 -22 *26387:A2 *26670:B1 0 -23 *26415:A2 *26428:B1 7.47252e-05 -24 *26415:B2 *26428:B1 0.000177815 -25 *26427:A2 *26428:B1 6.05161e-06 -26 *1938:67 *26670:B1 0.000114912 -27 *1938:75 *26670:B1 5.83233e-05 -28 *1938:75 *2312:19 0.000199691 -29 *2005:69 *2312:19 2.14474e-05 -30 *2024:95 *26670:B1 0.000137983 -*RES -1 *26370:Y *2312:5 13.8 -2 *2312:5 *26428:B1 21.7464 -3 *2312:5 *2312:19 6.80357 -4 *2312:19 *26389:B1 13.8 -5 *2312:19 *26670:B1 22.5679 -*END - -*D_NET *2313 0.00702314 -*CONN -*I *26504:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *26389:C1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26609:B I *D sky130_fd_sc_hd__or3_1 -*I *26371:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26504:B1 9.92634e-05 -2 *26389:C1 3.50215e-05 -3 *26609:B 0.000325708 -4 *26371:Y 4.36033e-05 -5 *2313:19 0.00101879 -6 *2313:7 0.00125382 -7 *26389:C1 *26390:D1 9.71197e-05 -8 *26504:B1 *26656:A2 0.000187012 -9 *26504:B1 *26727:B 0.000137983 -10 *26609:B *26441:D_N 4.24291e-05 -11 *26609:B *2549:8 5.33005e-05 -12 *26609:B *3658:10 0.000105638 -13 *2313:19 *26389:D1 7.83659e-05 -14 *2313:19 *26439:D_N 0.000332771 -15 *2313:19 *26441:B 0.000277497 -16 *2313:19 *26441:D_N 7.48644e-05 -17 *2313:19 *26608:B 0 -18 *2313:19 *26656:A2 9.25014e-06 -19 *2313:19 *2650:8 7.79781e-06 -20 *2313:19 *2665:10 0 -21 *2313:19 *2676:15 0 -22 *2313:19 *2717:33 6.99087e-05 -23 *26367:B *26504:B1 4.00121e-05 -24 *26367:B *2313:19 2.26327e-05 -25 *26370:B *2313:19 2.22641e-05 -26 *26427:B1 *2313:19 0.000121573 -27 *26428:B1 *2313:19 2.28566e-05 -28 *26713:A2 *2313:7 5.33005e-05 -29 *1938:67 *26389:C1 1.92789e-05 -30 *1938:67 *26504:B1 0.000123288 -31 *2009:81 *26609:B 0.000589732 -32 *2016:105 *26504:B1 0.000137983 -33 *2104:118 *26609:B 7.58841e-05 -34 *2104:118 *2313:19 0.00141402 -35 *2312:19 *2313:19 0.000130169 -*RES -1 *26371:Y *2313:7 14.3357 -2 *2313:7 *26609:B 21.8179 -3 *2313:7 *2313:19 25.9286 -4 *2313:19 *26389:C1 14.7464 -5 *2313:19 *26504:B1 17.7107 -*END - -*D_NET *2314 0.0048071 -*CONN -*I *26392:B1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26442:B I *D sky130_fd_sc_hd__or3b_1 -*I *26372:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26392:B1 0.000551328 -2 *26442:B 0.000212388 -3 *26372:Y 0 -4 *2314:4 0.000763716 -5 *26392:B1 *26392:C1 1.24368e-05 -6 *26392:B1 *26392:D1 0.000103267 -7 *26392:B1 *5007:44 0.000866569 -8 *26392:B1 *5007:48 4.78562e-05 -9 *26442:B *2316:28 0.000502124 -10 *26353:A *26442:B 2.18827e-05 -11 *26392:A1 *26392:B1 5.33005e-05 -12 *26713:A2 *26442:B 0.000241085 -13 *28405:D *26442:B 7.48356e-05 -14 *1953:137 *26442:B 5.58941e-05 -15 *2011:63 *26442:B 1.24368e-05 -16 *2011:84 *26392:B1 0.000209204 -17 *2011:84 *26442:B 5.33005e-05 -18 *2020:28 *26392:B1 0.00083889 -19 *2020:41 *26392:B1 0.000186582 -*RES -1 *26372:Y *2314:4 9.3 -2 *2314:4 *26442:B 25.3357 -3 *2314:4 *26392:B1 34.8 -*END - -*D_NET *2315 0.0282389 -*CONN -*I *26518:A I *D sky130_fd_sc_hd__or4b_1 -*I *26773:C1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26392:C1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26589:B I *D sky130_fd_sc_hd__or3b_1 -*I *26373:X O *D sky130_fd_sc_hd__and3b_2 -*CAP -1 *26518:A 0.000235508 -2 *26773:C1 0.000149104 -3 *26392:C1 0.00124675 -4 *26589:B 0 -5 *26373:X 0.000242592 -6 *2315:56 0.00225094 -7 *2315:29 0.00144639 -8 *2315:25 0.0017071 -9 *2315:18 0.00244039 -10 *2315:8 0.00304185 -11 *26392:C1 *2650:8 0.00123882 -12 *26518:A *26633:B 0.0008104 -13 *26518:A *26791:B1 0.000130975 -14 *26773:C1 *26668:A 0.000474838 -15 *26773:C1 *26773:D1 5.33005e-05 -16 *26773:C1 *26775:C 0.000304394 -17 *2315:8 *26721:B1 0.000112895 -18 *2315:18 *26435:D1 9.21108e-05 -19 *2315:18 *26721:B1 3.60533e-05 -20 *2315:18 *26760:B 0 -21 *2315:18 *2345:8 0 -22 *2315:18 *2675:9 0.000499635 -23 *2315:18 *2706:14 0.00132568 -24 *2315:18 *5722:149 0.000346094 -25 *2315:25 *2706:14 0.000309457 -26 *2315:25 *5722:149 8.0089e-05 -27 *2315:25 *5722:153 7.29922e-05 -28 *2315:29 *26603:A 0.00135486 -29 *2315:29 *26713:B2 0 -30 *2315:29 *26794:D_N 0 -31 *2315:29 *2529:8 0.000687474 -32 *2315:29 *2677:23 0 -33 *2315:29 *2706:14 0.000709887 -34 *2315:29 *3553:17 2.22999e-05 -35 *2315:29 *6357:19 0 -36 *2315:56 *26633:B 9.91086e-05 -37 *2315:56 *26633:C 0.000139637 -38 *2315:56 *26668:A 0.000250068 -39 *2315:56 *26760:A 0.000304394 -40 *26378:B *2315:56 0.000216755 -41 *26391:A1 *26392:C1 4.87953e-05 -42 *26392:A1 *26392:C1 0.000346464 -43 *26392:B1 *26392:C1 1.24368e-05 -44 *26432:B1 *2315:8 0.000266486 -45 *26435:A1 *2315:18 0.000246551 -46 *26435:A2 *2315:18 0.000101545 -47 *26500:B *2315:8 6.19181e-05 -48 *26722:C1 *2315:29 0.000123295 -49 *26793:A2 *2315:29 5.65899e-05 -50 *26793:B2 *2315:29 9.92439e-06 -51 *1952:51 *2315:8 7.69776e-06 -52 *1983:57 *2315:56 0.000304394 -53 *1983:65 *2315:56 0.000135028 -54 *1989:30 *2315:56 1.39726e-05 -55 *1989:35 *2315:56 0.000222565 -56 *2019:94 *26518:A 0.000804294 -57 *2019:94 *2315:56 0.000100831 -58 *2060:25 *2315:8 0.000335073 -59 *2060:25 *2315:18 0.000493115 -60 *2104:103 *26392:C1 0.000135028 -61 *2104:118 *26392:C1 0.000470798 -62 *2111:69 *2315:18 0.000169437 -63 *2142:153 *2315:25 0.000183059 -64 *2294:12 *2315:29 0.00115672 -*RES -1 *26373:X *2315:8 20.7643 -2 *2315:8 *2315:18 28.7679 -3 *2315:18 *2315:25 14.8393 -4 *2315:25 *2315:29 46.8571 -5 *2315:29 *26589:B 9.3 -6 *2315:18 *26392:C1 39.1393 -7 *2315:8 *2315:56 38.9464 -8 *2315:56 *26773:C1 18.4429 -9 *2315:56 *26518:A 24.2464 -*END - -*D_NET *2316 0.00966195 -*CONN -*I *26501:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *26391:C1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26607:B I *D sky130_fd_sc_hd__nor3_1 -*I *26374:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26501:B1 0.000244771 -2 *26391:C1 0 -3 *26607:B 0.000408817 -4 *26374:Y 2.40236e-05 -5 *2316:28 0.00155535 -6 *2316:5 0.00174342 -7 *26501:B1 *26391:D1 0.000257564 -8 *26501:B1 *2334:8 0.000345632 -9 *26501:B1 *5007:48 9.88232e-05 -10 *26607:B *26607:C 4.72917e-05 -11 *2316:5 *2703:12 5.33334e-05 -12 *2316:28 *26391:D1 1.02504e-05 -13 *2316:28 *2534:34 0.00126033 -14 *2316:28 *2703:12 0.000135923 -15 *2316:28 *5007:48 2.98523e-05 -16 *26374:A *26607:B 3.34295e-05 -17 *26439:A *2316:28 8.24031e-05 -18 *26442:B *2316:28 0.000502124 -19 *26501:A1 *26501:B1 0.000177821 -20 *26607:A *26607:B 9.90367e-05 -21 *26713:A2 *2316:28 4.54517e-05 -22 *26715:A2 *26607:B 0.000246057 -23 *26755:B1 *26501:B1 0.000177948 -24 *26765:A1 *2316:5 9.60875e-05 -25 *26765:A1 *2316:28 0.000266473 -26 *1953:130 *2316:28 0.000191594 -27 *1953:137 *2316:28 0.000262051 -28 *2006:36 *26607:B 0 -29 *2011:84 *2316:28 0.000574848 -30 *2019:125 *2316:28 0.000507957 -31 *2022:56 *2316:28 0 -32 *2295:9 *2316:28 1.05521e-05 -33 *2302:30 *2316:28 6.9389e-05 -34 *2302:37 *26501:B1 4.86923e-05 -35 *2302:37 *2316:28 5.4646e-05 -*RES -1 *26374:Y *2316:5 10.2464 -2 *2316:5 *26607:B 26.1036 -3 *2316:5 *2316:28 43.5536 -4 *2316:28 *26391:C1 13.8 -5 *2316:28 *26501:B1 22.3714 -*END - -*D_NET *2317 0.0050427 -*CONN -*I *26502:B I *D sky130_fd_sc_hd__or2_1 -*I *26390:C1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26611:B I *D sky130_fd_sc_hd__or3_1 -*I *26375:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26502:B 0.000370199 -2 *26390:C1 0 -3 *26611:B 0.000238931 -4 *26375:Y 4.70928e-05 -5 *2317:17 0.000498886 -6 *2317:7 0.000414711 -7 *26502:B *26390:D1 9.30093e-05 -8 *26502:B *26516:D1 8.17663e-05 -9 *26611:B *26611:C 9.60875e-05 -10 *26611:B *2383:8 0 -11 *2317:7 *26712:B2 2.59355e-05 -12 *2317:17 *26390:D1 1.02504e-05 -13 *26103:B *26502:B 6.12335e-05 -14 *26375:B *26611:B 7.95959e-05 -15 *26375:B *2317:17 6.73939e-05 -16 *1871:24 *26611:B 7.55769e-05 -17 *1938:80 *2317:17 0.000171368 -18 *2005:69 *26502:B 0.000770472 -19 *2006:15 *26502:B 0.000136958 -20 *2006:34 *2317:17 3.55503e-05 -21 *2006:36 *26611:B 0.000116837 -22 *2006:36 *2317:17 3.13225e-05 -23 *2007:16 *26502:B 0.000913269 -24 *2007:16 *26611:B 7.0048e-05 -25 *2007:16 *2317:17 0.000382566 -26 *2007:21 *26611:B 0.000169764 -27 *2009:47 *26502:B 1.81805e-05 -28 *2011:63 *26611:B 6.56976e-05 -*RES -1 *26375:Y *2317:7 14.3357 -2 *2317:7 *26611:B 19.7286 -3 *2317:7 *2317:17 4.98214 -4 *2317:17 *26390:C1 13.8 -5 *2317:17 *26502:B 28.05 -*END - -*D_NET *2318 0.00797483 -*CONN -*I *26388:B I *D sky130_fd_sc_hd__or3_1 -*I *26610:B I *D sky130_fd_sc_hd__or3b_1 -*I *26376:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26388:B 0 -2 *26610:B 0.000313284 -3 *26376:Y 3.92213e-05 -4 *2318:20 0.00183268 -5 *2318:7 0.00218519 -6 *26610:B *26722:B1 5.13075e-05 -7 *26610:B *5209:6 0.000128161 -8 *2318:7 *26722:B1 5.52302e-05 -9 *2318:20 *26388:C 4.25427e-05 -10 *2318:20 *26437:A 0.000583942 -11 *2318:20 *26437:B 0.000157509 -12 *2318:20 *26437:D 0.000100823 -13 *2318:20 *26613:C 0 -14 *2318:20 *2665:10 9.71197e-05 -15 *2318:20 *5209:6 0.00157631 -16 *2318:20 *5664:313 0 -17 *2318:20 *5722:167 0 -18 *26376:A *26610:B 4.35131e-05 -19 *26376:B *26610:B 2.79421e-05 -20 *26428:A2 *2318:20 5.1588e-05 -21 *26545:A1 *2318:20 7.35585e-05 -22 *26609:A *2318:20 2.48984e-05 -23 *1953:111 *2318:20 0 -24 *1955:140 *2318:20 0 -25 *1955:142 *2318:20 0 -26 *2135:29 *2318:20 0.000590008 -27 *2198:23 *2318:20 0 -*RES -1 *26376:Y *2318:7 14.3357 -2 *2318:7 *26610:B 18.4429 -3 *2318:7 *2318:20 46.8571 -4 *2318:20 *26388:B 9.3 -*END - -*D_NET *2319 0.00238897 -*CONN -*I *26387:B1 I *D sky130_fd_sc_hd__a211o_1 -*I *26424:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *26377:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26387:B1 9.76509e-05 -2 *26424:B1 0.000152388 -3 *26377:Y 0.00029909 -4 *2319:8 0.000549129 -5 *26387:B1 *26387:C1 1.02504e-05 -6 *26387:B1 *26388:C 8.68665e-05 -7 *2319:8 *26388:C 5.10822e-05 -8 *26377:A *26424:B1 0.000119125 -9 *26377:A *2319:8 9.71197e-05 -10 *26424:A2 *26424:B1 9.80173e-05 -11 *26425:A2 *2319:8 0.000101342 -12 *1938:52 *26387:B1 1.18064e-05 -13 *1953:98 *26387:B1 0 -14 *1955:129 *26387:B1 0.000233677 -15 *1955:129 *2319:8 0.000156775 -16 *2135:9 *26424:B1 9.90367e-05 -17 *2135:18 *26424:B1 0.000225616 -*RES -1 *26377:Y *2319:8 18.55 -2 *2319:8 *26424:B1 18.05 -3 *2319:8 *26387:B1 16.9607 -*END - -*D_NET *2320 0.0113449 -*CONN -*I *26667:C1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26512:B1 I *D sky130_fd_sc_hd__a211o_1 -*I *26386:B1 I *D sky130_fd_sc_hd__a211o_1 -*I *26608:B I *D sky130_fd_sc_hd__or3_1 -*I *26378:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26667:C1 0.000194573 -2 *26512:B1 2.22612e-05 -3 *26386:B1 3.72704e-05 -4 *26608:B 0.00174706 -5 *26378:Y 0.000391191 -6 *2320:30 0.000448255 -7 *2320:8 0.00203161 -8 *2320:7 0.000869891 -9 *26512:B1 *26512:A2 2.89114e-05 -10 *26608:B *26386:C1 3.47641e-06 -11 *26608:B *26415:B1 0.000331708 -12 *26608:B *26656:A2 0 -13 *26608:B *2650:8 4.25716e-05 -14 *26608:B *2665:10 0.000315172 -15 *26608:B *6357:10 1.86687e-05 -16 *26667:C1 *26386:C1 0.000188215 -17 *26667:C1 *26514:C 1.37638e-05 -18 *26667:C1 *26631:B 9.41642e-05 -19 *2320:8 *26386:C1 0.000376919 -20 *2320:8 *2599:6 3.34687e-05 -21 *2320:30 *26386:C1 0.000126392 -22 *2320:30 *26661:A2 0.000144038 -23 *2320:30 *26774:B1 7.91281e-05 -24 *2320:30 *2599:6 2.39876e-05 -25 *26387:A2 *26608:B 0 -26 *26427:A2 *26608:B 0.00021517 -27 *26427:A3 *26608:B 6.09572e-05 -28 *26545:A1 *26608:B 0.000566245 -29 *26667:B1 *26667:C1 1.58163e-05 -30 *26670:B1 *26608:B 0 -31 *26774:B2 *26667:C1 2.88381e-05 -32 *26774:B2 *2320:30 0.000212074 -33 *1938:67 *26608:B 0 -34 *1950:64 *26512:B1 1.58163e-05 -35 *1950:64 *26667:C1 0.000120506 -36 *1953:98 *26608:B 0.000773127 -37 *1953:98 *2320:8 0.000640814 -38 *1953:111 *26608:B 8.87787e-05 -39 *1955:140 *26608:B 0.000455785 -40 *1989:30 *2320:7 4.18895e-05 -41 *1989:35 *2320:7 0.000469778 -42 *2104:118 *26608:B 0 -43 *2198:23 *26608:B 7.6644e-05 -44 *2313:19 *26608:B 0 -*RES -1 *26378:Y *2320:7 18.8536 -2 *2320:7 *2320:8 9.23214 -3 *2320:8 *26608:B 49.925 -4 *2320:8 *26386:B1 14.3357 -5 *2320:7 *2320:30 5.58929 -6 *2320:30 *26512:B1 14.3357 -7 *2320:30 *26667:C1 18.2643 -*END - -*D_NET *2321 0.000631287 -*CONN -*I *26380:B1 I *D sky130_fd_sc_hd__o22a_1 -*I *26379:X O *D sky130_fd_sc_hd__a41o_1 -*CAP -1 *26380:B1 0.000186486 -2 *26379:X 0.000186486 -3 *26379:B1 *26380:B1 9.95285e-05 -4 *1952:34 *26380:B1 2.61099e-05 -5 *1955:118 *26380:B1 7.50601e-05 -6 *2310:16 *26380:B1 5.7616e-05 -*RES -1 *26379:X *26380:B1 30.9036 -*END - -*D_NET *2322 0.00212095 -*CONN -*I *26385:B I *D sky130_fd_sc_hd__or4b_1 -*I *26380:X O *D sky130_fd_sc_hd__o22a_1 -*CAP -1 *26385:B 0.000450901 -2 *26380:X 0.000450901 -3 *26385:B *26385:C 5.52238e-05 -4 *26385:B *26663:C_N 3.47641e-06 -5 *26385:B *2598:12 0.000553015 -6 *26380:B2 *26385:B 1.39726e-05 -7 *26385:A *26385:B 0.000486839 -8 *2051:46 *26385:B 1.80611e-05 -9 *2222:11 *26385:B 8.85631e-05 -*RES -1 *26380:X *26385:B 37.725 -*END - -*D_NET *2323 0.000718446 -*CONN -*I *26385:C I *D sky130_fd_sc_hd__or4b_1 -*I *26381:Y O *D sky130_fd_sc_hd__a21oi_1 -*CAP -1 *26385:C 0.000158142 -2 *26381:Y 0.000158142 -3 *26385:C *26663:C_N 1.24368e-05 -4 *26385:C *2327:7 0.000219711 -5 *26381:B1 *26385:C 0.00011479 -6 *26385:B *26385:C 5.52238e-05 -*RES -1 *26381:Y *26385:C 22.4393 -*END - -*D_NET *2324 0.0115337 -*CONN -*I *26384:C1 I *D sky130_fd_sc_hd__o221a_1 -*I *26510:B1 I *D sky130_fd_sc_hd__o211a_1 -*I *26759:B1 I *D sky130_fd_sc_hd__o2111ai_1 -*I *26590:B1 I *D sky130_fd_sc_hd__o211a_1 -*I *26382:Y O *D sky130_fd_sc_hd__nand4_2 -*CAP -1 *26384:C1 0 -2 *26510:B1 4.02392e-05 -3 *26759:B1 3.98906e-05 -4 *26590:B1 0.000946562 -5 *26382:Y 0.000269029 -6 *2324:22 0.00107185 -7 *2324:20 0.00105362 -8 *2324:13 0.00119702 -9 *26590:B1 *26510:A2 0 -10 *26590:B1 *26511:D 0.000334859 -11 *26590:B1 *2345:8 0.00120038 -12 *26590:B1 *2363:6 0.000128161 -13 *26759:B1 *26759:A2 5.33005e-05 -14 *2324:20 *26509:B 7.84709e-05 -15 *2324:20 *26509:C 4.93469e-05 -16 *2324:20 *26509:D 0.000101757 -17 *2324:20 *26511:A_N 6.25807e-05 -18 *2324:20 *26511:B 0.000150618 -19 *2324:20 *26511:D 0.000226782 -20 *2324:20 *2345:8 0.000147058 -21 *2324:22 *26511:D 0.000180847 -22 *26084:A *26759:B1 1.4487e-05 -23 *26084:B *26759:B1 4.58194e-05 -24 *26380:B2 *2324:13 5.33005e-05 -25 *26384:B2 *2324:13 6.05161e-06 -26 *26384:B2 *2324:20 2.65133e-05 -27 *26432:B1 *26590:B1 0.000171375 -28 *26432:B1 *2324:22 9.25014e-06 -29 *26435:A2 *26590:B1 0.00035761 -30 *26505:A1 *2324:20 0.000277304 -31 *26507:A1 *2324:20 0.000213402 -32 *26590:A2 *26590:B1 3.57366e-05 -33 *373:60 *2324:20 1.41029e-05 -34 *1938:36 *26590:B1 0 -35 *1952:34 *2324:13 5.33005e-05 -36 *1952:54 *26590:B1 0.000655138 -37 *1952:63 *26590:B1 8.11885e-05 -38 *1953:57 *2324:20 5.52238e-05 -39 *1972:33 *2324:20 3.71862e-05 -40 *1972:44 *2324:20 0.000209911 -41 *1990:8 *2324:13 0.000483578 -42 *1990:14 *2324:13 3.51442e-05 -43 *1990:22 *2324:22 0.000134633 -44 *2016:80 *26590:B1 1.3142e-05 -45 *2016:80 *2324:20 0.000114669 -46 *2016:80 *2324:22 2.33003e-05 -47 *2023:15 *2324:20 0 -48 *2053:42 *2324:20 0.000238522 -49 *2099:30 *2324:13 1.55885e-05 -50 *2099:70 *26590:B1 0 -51 *2107:27 *2324:20 2.88045e-05 -52 *2111:69 *26590:B1 0.000107321 -53 *2111:69 *2324:13 0.000125423 -54 *2146:38 *26590:B1 4.5539e-05 -55 *2234:16 *2324:13 0.000518722 -*RES -1 *26382:Y *2324:13 26.6036 -2 *2324:13 *2324:20 26.3571 -3 *2324:20 *2324:22 2.55357 -4 *2324:22 *26590:B1 41.6214 -5 *2324:22 *26759:B1 14.7464 -6 *2324:20 *26510:B1 14.3357 -7 *2324:13 *26384:C1 9.3 -*END - -*D_NET *2325 0.00233821 -*CONN -*I *26760:C_N I *D sky130_fd_sc_hd__or3b_1 -*I *26435:B1 I *D sky130_fd_sc_hd__o2111a_1 -*I *26414:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26383:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26760:C_N 0.000170621 -2 *26435:B1 0.000176398 -3 *26414:B1 0.000102427 -4 *26383:Y 0 -5 *2325:9 0.000418126 -6 *2325:4 0.000309923 -7 *26414:B1 *2706:13 0.000147465 -8 *26435:B1 *2345:8 9.56345e-05 -9 *26760:C_N *4508:194 0.000121573 -10 *26383:B *26760:C_N 6.87574e-05 -11 *26383:B *2325:9 5.92264e-05 -12 *26422:A2 *26435:B1 0.000175892 -13 *26435:A1 *26414:B1 8.33274e-05 -14 *26435:A1 *26435:B1 0.000192983 -15 *26435:A2 *26435:B1 1.58163e-05 -16 *1952:54 *26760:C_N 7.17932e-05 -17 *2043:102 *26414:B1 8.11273e-05 -18 *2043:102 *2325:9 3.80079e-05 -19 *2099:70 *26760:C_N 9.11365e-06 -*RES -1 *26383:Y *2325:4 9.3 -2 *2325:4 *2325:9 2.625 -3 *2325:9 *26414:B1 11.8893 -4 *2325:9 *26435:B1 22.6214 -5 *2325:4 *26760:C_N 21.6036 -*END - -*D_NET *2326 0.00035687 -*CONN -*I *26385:D_N I *D sky130_fd_sc_hd__or4b_1 -*I *26384:X O *D sky130_fd_sc_hd__o221a_1 -*CAP -1 *26385:D_N 9.55056e-05 -2 *26384:X 9.55056e-05 -3 *1953:69 *26385:D_N 2.89016e-05 -4 *1972:33 *26385:D_N 4.58502e-05 -5 *2000:31 *26385:D_N 4.22135e-06 -6 *2099:68 *26385:D_N 8.6886e-05 -*RES -1 *26384:X *26385:D_N 29.475 -*END - -*D_NET *2327 0.00757118 -*CONN -*I *26386:C1 I *D sky130_fd_sc_hd__a211o_1 -*I *26385:X O *D sky130_fd_sc_hd__or4b_1 -*CAP -1 *26386:C1 0.00132976 -2 *26385:X 0.000351995 -3 *2327:7 0.00168176 -4 *26386:C1 *26514:C 2.06112e-05 -5 *26386:C1 *26661:A2 0.000144038 -6 *26381:A2 *2327:7 4.58194e-05 -7 *26385:C *2327:7 0.000219711 -8 *26387:A2 *26386:C1 0 -9 *26608:B *26386:C1 3.47641e-06 -10 *26617:B *26386:C1 5.41797e-06 -11 *26667:C1 *26386:C1 0.000188215 -12 *1950:64 *26386:C1 0.000264277 -13 *1953:69 *2327:7 1.40306e-05 -14 *1953:82 *2327:7 5.74499e-06 -15 *1983:52 *26386:C1 0.000466549 -16 *1983:57 *26386:C1 0.00019435 -17 *1989:8 *26386:C1 0.000672721 -18 *1989:30 *26386:C1 0.000258306 -19 *1997:75 *26386:C1 0.00120109 -20 *2320:8 *26386:C1 0.000376919 -21 *2320:30 *26386:C1 0.000126392 -*RES -1 *26385:X *2327:7 18.0321 -2 *2327:7 *26386:C1 49.7464 -*END - -*D_NET *2328 0.0012267 -*CONN -*I *26387:C1 I *D sky130_fd_sc_hd__a211o_1 -*I *26386:X O *D sky130_fd_sc_hd__a211o_1 -*CAP -1 *26387:C1 0.000187747 -2 *26386:X 0.000187747 -3 *26387:B1 *26387:C1 1.02504e-05 -4 *1938:52 *26387:C1 0.000514323 -5 *1955:129 *26387:C1 0.00027333 -6 *2043:102 *26387:C1 5.33005e-05 -*RES -1 *26386:X *26387:C1 34.6357 -*END - -*D_NET *2329 0.00174532 -*CONN -*I *26388:C I *D sky130_fd_sc_hd__or3_1 -*I *26387:X O *D sky130_fd_sc_hd__a211o_1 -*CAP -1 *26388:C 0.000508386 -2 *26387:X 0.000508386 -3 *26387:A1 *26388:C 9.3111e-05 -4 *26387:A2 *26388:C 1.32552e-05 -5 *26387:B1 *26388:C 8.68665e-05 -6 *26388:A *26388:C 0.00019484 -7 *1953:98 *26388:C 4.53031e-05 -8 *1953:111 *26388:C 3.90513e-05 -9 *1955:129 *26388:C 6.8646e-06 -10 *2135:18 *26388:C 0 -11 *2135:29 *26388:C 3.84385e-05 -12 *2309:6 *26388:C 0.000117196 -13 *2318:20 *26388:C 4.25427e-05 -14 *2319:8 *26388:C 5.10822e-05 -*RES -1 *26387:X *26388:C 37.4036 -*END - -*D_NET *2330 0.00085369 -*CONN -*I *26389:D1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26388:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *26389:D1 0.000296111 -2 *26388:X 0.000296111 -3 *26389:D1 *2665:10 5.33005e-05 -4 *26389:D1 *2676:11 8.60735e-05 -5 *26367:B *26389:D1 1.02504e-05 -6 *2312:19 *26389:D1 3.34783e-05 -7 *2313:19 *26389:D1 7.83659e-05 -*RES -1 *26388:X *26389:D1 32.0464 -*END - -*D_NET *2331 0.0014125 -*CONN -*I *26390:D1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26389:X O *D sky130_fd_sc_hd__a2111o_1 -*CAP -1 *26390:D1 0.000262768 -2 *26389:X 0.000262768 -3 *26390:D1 *2594:15 5.71472e-05 -4 *26367:B *26390:D1 0.000216755 -5 *26389:A1 *26390:D1 5.74499e-06 -6 *26389:C1 *26390:D1 9.71197e-05 -7 *26502:B *26390:D1 9.30093e-05 -8 *1938:67 *26390:D1 1.65169e-05 -9 *2005:69 *26390:D1 0.000219711 -10 *2006:34 *26390:D1 4.48252e-05 -11 *2009:47 *26390:D1 0.000125879 -12 *2317:17 *26390:D1 1.02504e-05 -*RES -1 *26389:X *26390:D1 33.9929 -*END - -*D_NET *2332 0.00214146 -*CONN -*I *26391:D1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26390:X O *D sky130_fd_sc_hd__a2111o_1 -*CAP -1 *26391:D1 0.000621562 -2 *26390:X 0.000621562 -3 *26390:A2 *26391:D1 0.000260574 -4 *26390:B1 *26391:D1 3.30337e-05 -5 *26501:B1 *26391:D1 0.000257564 -6 *26755:B1 *26391:D1 2.47753e-05 -7 *1938:75 *26391:D1 4.43256e-05 -8 *2302:37 *26391:D1 0.000267814 -9 *2316:28 *26391:D1 1.02504e-05 -*RES -1 *26390:X *26391:D1 37.35 -*END - -*D_NET *2333 0.000924587 -*CONN -*I *26392:D1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26391:X O *D sky130_fd_sc_hd__a2111o_1 -*CAP -1 *26392:D1 0.000206079 -2 *26391:X 0.000206079 -3 *26392:D1 *26712:B2 0.000305895 -4 *26392:D1 *5007:48 0.000103267 -5 *26392:B1 *26392:D1 0.000103267 -*RES -1 *26391:X *26392:D1 31.9393 -*END - -*D_NET *2334 0.0128077 -*CONN -*I *26393:D1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26392:X O *D sky130_fd_sc_hd__a2111o_1 -*CAP -1 *26393:D1 0.000376585 -2 *26392:X 4.37578e-05 -3 *2334:8 0.00163225 -4 *2334:7 0.00129942 -5 *26393:D1 *2460:8 0.000178796 -6 *26393:D1 *5007:48 0.000475776 -7 *2334:8 *26775:D 0.00104246 -8 *2334:8 *26798:C 0.000259786 -9 *2334:8 *26806:C1 0.000484603 -10 *2334:8 *2595:31 0.00128883 -11 *2334:8 *5007:48 0.00375181 -12 *26224:D1 *26393:D1 0.000109657 -13 *26389:A2 *2334:8 0.000665038 -14 *26392:A1 *2334:7 2.84109e-05 -15 *26501:B1 *2334:8 0.000345632 -16 *26519:B1 *26393:D1 0.000348213 -17 *26755:B1 *2334:8 1.81709e-05 -18 *1940:32 *26393:D1 1.49746e-05 -19 *2008:12 *26393:D1 9.17377e-05 -20 *2011:54 *2334:8 6.17431e-05 -21 *2061:18 *26393:D1 0.000290078 -*RES -1 *26392:X *2334:7 14.3357 -2 *2334:7 *2334:8 48.8482 -3 *2334:8 *26393:D1 30.5701 -*END - -*D_NET *2335 0.00290179 -*CONN -*I *26394:B I *D sky130_fd_sc_hd__or4b_1 -*I *26393:X O *D sky130_fd_sc_hd__a2111o_1 -*CAP -1 *26394:B 0.000752487 -2 *26393:X 0.000752487 -3 *26394:B *26446:B 0.00080319 -4 *26394:B *2343:22 2.06112e-05 -5 *2024:65 *26394:B 0.000384995 -6 *2056:8 *26394:B 0.000188019 -*RES -1 *26393:X *26394:B 40.5107 -*END - -*D_NET *2336 0.00146774 -*CONN -*I *26396:B1 I *D sky130_fd_sc_hd__a211o_1 -*I *26394:X O *D sky130_fd_sc_hd__or4b_1 -*CAP -1 *26396:B1 0.000339604 -2 *26394:X 0.000339604 -3 *26396:B1 *26396:C1 2.92424e-05 -4 *26396:B1 *26446:B 0.00022266 -5 *26396:A1 *26396:B1 1.64748e-05 -6 *26396:A2 *26396:B1 8.56315e-07 -7 *26446:D_N *26396:B1 0.000148903 -8 *26666:B *26396:B1 0.000265385 -9 *1948:17 *26396:B1 1.36921e-05 -10 *2237:26 *26396:B1 9.13162e-05 -*RES -1 *26394:X *26396:B1 34.7027 -*END - -*D_NET *2337 0.00184521 -*CONN -*I *26396:C1 I *D sky130_fd_sc_hd__a211o_1 -*I *26395:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *26396:C1 0.000327018 -2 *26395:X 0.000327018 -3 *26396:C1 *26395:A2 5.33005e-05 -4 *26396:C1 *26397:C1 7.83659e-05 -5 *26396:C1 *5615:38 0.000402467 -6 *26272:B *26396:C1 3.45337e-05 -7 *26395:A1 *26396:C1 0.0001399 -8 *26395:B1 *26396:C1 0.00010096 -9 *26396:B1 *26396:C1 2.92424e-05 -10 *1948:17 *26396:C1 2.04016e-05 -11 *2016:41 *26396:C1 5.52302e-05 -12 *2237:16 *26396:C1 1.3142e-05 -13 *2237:26 *26396:C1 0.000139621 -14 *2304:10 *26396:C1 0.00012401 -*RES -1 *26395:X *26396:C1 37.1357 -*END - -*D_NET *2338 0.00078611 -*CONN -*I *26397:C1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26396:X O *D sky130_fd_sc_hd__a211o_1 -*CAP -1 *26397:C1 0.000206311 -2 *26396:X 0.000206311 -3 *26396:C1 *26397:C1 7.83659e-05 -4 *1948:17 *26397:C1 0.000216755 -5 *2237:26 *26397:C1 7.83659e-05 -*RES -1 *26396:X *26397:C1 30.8143 -*END - -*D_NET *2339 0.0023713 -*CONN -*I *26399:A3 I *D sky130_fd_sc_hd__o41a_1 -*I *26397:X O *D sky130_fd_sc_hd__a2111o_1 -*CAP -1 *26399:A3 0.000932834 -2 *26397:X 0.000932834 -3 *26399:A3 *26400:B2 8.23597e-06 -4 *26399:A3 *5615:38 0 -5 *26160:A4 *26399:A3 2.11419e-05 -6 *26398:A *26399:A3 0.00018077 -7 *26399:B1 *26399:A3 1.98839e-05 -8 *2016:46 *26399:A3 0.000112063 -9 *2045:97 *26399:A3 0 -10 *2169:16 *26399:A3 0 -11 *2284:35 *26399:A3 5.33005e-05 -12 *2304:14 *26399:A3 0.000110238 -*RES -1 *26397:X *26399:A3 39.9989 -*END - -*D_NET *2340 0.00112795 -*CONN -*I *26399:A4 I *D sky130_fd_sc_hd__o41a_1 -*I *26398:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26399:A4 0.000324831 -2 *26398:Y 0.000324831 -3 *26399:A4 *26400:B2 5.85517e-05 -4 *26399:A4 *28400:CLK 5.52238e-05 -5 *26399:A4 *6352:14 0.00010326 -6 *26398:A *26399:A4 6.57032e-05 -7 *26554:B *26399:A4 0.000195547 -*RES -1 *26398:Y *26399:A4 32.7429 -*END - -*D_NET *2341 0.00123719 -*CONN -*I *26400:A3 I *D sky130_fd_sc_hd__o32a_1 -*I *26399:X O *D sky130_fd_sc_hd__o41a_1 -*CAP -1 *26400:A3 0.000169274 -2 *26399:X 0.000169274 -3 *26400:A3 *26400:B2 8.68471e-05 -4 *26160:A4 *26400:A3 0.00034421 -5 *26272:A *26400:A3 0.000139907 -6 *26398:A *26400:A3 0.000153047 -7 *26400:A1 *26400:A3 9.90431e-05 -8 *26400:A2 *26400:A3 3.18676e-05 -9 *26554:B *26400:A3 0 -10 *28400:D *26400:A3 1.02936e-05 -11 *2102:12 *26400:A3 3.34295e-05 -12 *2283:12 *26400:A3 0 -*RES -1 *26399:X *26400:A3 34.1536 -*END - -*D_NET *2342 0.0199591 -*CONN -*I *26578:A I *D sky130_fd_sc_hd__or4_1 -*I *26782:B I *D sky130_fd_sc_hd__nor3_1 -*I *26449:A1 I *D sky130_fd_sc_hd__o31a_1 -*I *26604:C I *D sky130_fd_sc_hd__or3_1 -*I *26401:Y O *D sky130_fd_sc_hd__a21oi_2 -*CAP -1 *26578:A 0.000443776 -2 *26782:B 0.000173445 -3 *26449:A1 2.3932e-05 -4 *26604:C 0.0003051 -5 *26401:Y 0 -6 *2342:43 0.00162902 -7 *2342:31 0.00181771 -8 *2342:5 0.00108708 -9 *26449:A1 *26449:A2 2.59355e-05 -10 *26449:A1 *26553:B1 2.59355e-05 -11 *26578:A *26462:B 1.33343e-05 -12 *26578:A *26492:A 1.41029e-05 -13 *26578:A *26492:C 0.000416584 -14 *26578:A *26578:C 0.000265453 -15 *26578:A *26692:B 1.5424e-05 -16 *26578:A *5615:21 0.000522941 -17 *26604:C *26657:B 0.000104002 -18 *26604:C *2544:14 8.69349e-05 -19 *26604:C *5250:29 2.14474e-05 -20 *26604:C *6352:31 1.74352e-05 -21 *26782:B *5545:11 0.000686926 -22 *2342:31 *26552:C 0.000124019 -23 *2342:31 *2434:6 0.000695232 -24 *2342:43 *2434:6 0.0033921 -25 *2342:43 *5545:11 9.41642e-05 -26 *26120:A *2342:31 1.21258e-05 -27 *26158:A *26604:C 0.000198698 -28 *26158:B *26604:C 0.000139913 -29 *26158:B *2342:31 0.000684121 -30 *26160:B1 *2342:43 1.91414e-05 -31 *26227:A *26782:B 0.000431857 -32 *26341:B *26578:A 0.000315664 -33 *26341:B *2342:43 0.000555122 -34 *26347:A2 *2342:43 0.000126439 -35 *26401:A1 *2342:31 1.24368e-05 -36 *26462:A *26578:A 6.40487e-05 -37 *26550:B *2342:43 0.000151333 -38 *26551:B1 *2342:31 4.67113e-05 -39 *26554:A *2342:43 3.34295e-05 -40 *26554:B *2342:43 0.000361272 -41 *1930:112 *2342:31 0.000680843 -42 *1930:121 *26604:C 5.83304e-05 -43 *1930:121 *2342:31 0.000387182 -44 *1930:187 *26782:B 0.000102225 -45 *1930:187 *2342:43 4.13496e-05 -46 *1997:45 *2342:43 0.000701079 -47 *2062:5 *2342:31 1.92789e-05 -48 *2062:44 *2342:43 0.000362048 -49 *2100:7 *26604:C 2.89016e-05 -50 *2100:28 *26604:C 1.02504e-05 -51 *2100:28 *2342:31 0.000551129 -52 *2283:23 *2342:31 5.52238e-05 -53 *2284:35 *2342:31 0.000560696 -54 *2284:35 *2342:43 0.000546594 -55 *2287:14 *2342:43 0.000699634 -*RES -1 *26401:Y *2342:5 13.8 -2 *2342:5 *26604:C 21.7464 -3 *2342:5 *2342:31 37.1964 -4 *2342:31 *26449:A1 14.3357 -5 *2342:31 *2342:43 49.5893 -6 *2342:43 *26782:B 15.9964 -7 *2342:43 *26578:A 31.9964 -*END - -*D_NET *2343 0.00481741 -*CONN -*I *26447:D_N I *D sky130_fd_sc_hd__or4b_1 -*I *26402:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26447:D_N 0.000249756 -2 *26402:Y 0.000943708 -3 *2343:22 0.00119346 -4 *26447:D_N *2367:5 0.000282754 -5 *2343:22 *26445:A 2.04825e-05 -6 *2343:22 *26806:C1 1.30751e-05 -7 *2343:22 *2460:8 5.74499e-06 -8 *2343:22 *2569:6 0.000171375 -9 *2343:22 *2595:31 1.90936e-05 -10 *2343:22 *5782:149 8.40264e-05 -11 *26393:A1 *2343:22 0.000300412 -12 *26394:B *2343:22 2.06112e-05 -13 *26519:B1 *2343:22 0.000265031 -14 *1938:151 *2343:22 2.59355e-05 -15 *1938:159 *2343:22 2.05612e-05 -16 *1996:75 *2343:22 7.05982e-05 -17 *2024:65 *2343:22 1.0946e-05 -18 *2045:73 *26447:D_N 0.000555474 -19 *2056:8 *2343:22 4.50033e-05 -20 *2099:152 *26447:D_N 3.82242e-05 -21 *2297:44 *2343:22 0.000105471 -22 *2308:18 *2343:22 0.000375659 -*RES -1 *26402:Y *2343:22 47.1364 -2 *2343:22 *26447:D_N 15.9964 -*END - -*D_NET *2344 0.00888905 -*CONN -*I *26433:A_N I *D sky130_fd_sc_hd__and3b_1 -*I *26719:B I *D sky130_fd_sc_hd__or4_1 -*I *26403:Y O *D sky130_fd_sc_hd__a21oi_1 -*CAP -1 *26433:A_N 0.000272578 -2 *26719:B 0.000238795 -3 *26403:Y 0.00106949 -4 *2344:8 0.00158087 -5 *26433:A_N *26433:B 9.41642e-05 -6 *26433:A_N *26769:C_N 5.33005e-05 -7 *26719:B *4189:60 0.000480434 -8 *26719:B *5664:20 0.000107784 -9 *2344:8 *2357:13 0.00108217 -10 *2344:8 *2394:11 3.05203e-05 -11 *2344:8 *4189:60 0.000711342 -12 *2344:8 *5722:112 7.30345e-05 -13 *26403:A1 *2344:8 2.46387e-05 -14 *26403:A2 *2344:8 1.21258e-05 -15 *26719:A *26433:A_N 0.000344835 -16 *1945:54 *2344:8 6.05161e-06 -17 *2104:49 *26719:B 0.00014183 -18 *2136:58 *2344:8 1.3142e-05 -19 *2196:35 *26433:A_N 7.95355e-05 -20 *2221:8 *26719:B 0.000482149 -21 *2221:8 *2344:8 0.00199027 -*RES -1 *26403:Y *2344:8 42.8982 -2 *2344:8 *26719:B 21.3536 -3 *2344:8 *26433:A_N 18.8536 -*END - -*D_NET *2345 0.0143551 -*CONN -*I *26743:A I *D sky130_fd_sc_hd__nor3_1 -*I *26716:A I *D sky130_fd_sc_hd__or4_1 -*I *26404:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26743:A 2.25053e-05 -2 *26716:A 7.95947e-05 -3 *26404:Y 0.00038583 -4 *2345:8 0.00220059 -5 *2345:7 0.00248432 -6 *26716:A *26713:B2 0.000196908 -7 *26716:A *26716:C 5.52238e-05 -8 *26716:A *26716:D 5.33005e-05 -9 *26716:A *2546:18 0.00012401 -10 *26743:A *26606:B 5.33005e-05 -11 *26743:A *2546:18 1.58163e-05 -12 *2345:8 *26511:A_N 0.000310866 -13 *2345:8 *26511:D 0.000742488 -14 *2345:8 *26608:C 0.000138821 -15 *2345:8 *26713:B2 0.00031785 -16 *2345:8 *26714:C_N 0.000148376 -17 *2345:8 *26760:B 0.00116222 -18 *2345:8 *2363:6 0.000803443 -19 *2345:8 *2655:8 4.98055e-06 -20 *2345:8 *2717:33 4.29471e-05 -21 *2345:8 *5664:86 4.11218e-05 -22 *2345:8 *5664:255 3.17203e-05 -23 *2345:8 *5722:149 0.000425222 -24 *2345:8 *5722:153 0.000107706 -25 *2345:8 *6357:19 0.000117055 -26 *26435:A1 *2345:8 2.3796e-05 -27 *26435:A2 *2345:8 3.34672e-05 -28 *26435:B1 *2345:8 9.56345e-05 -29 *26590:B1 *2345:8 0.00120038 -30 *26605:A *2345:7 9.41642e-05 -31 *26713:B1 *26716:A 5.91971e-05 -32 *26713:B1 *2345:8 0.000350244 -33 *26793:A1 *2345:8 0.000399672 -34 *2023:8 *2345:8 2.82057e-05 -35 *2023:15 *2345:8 0.000169013 -36 *2060:91 *2345:7 0.000298483 -37 *2111:69 *2345:8 0.000644548 -38 *2157:141 *2345:8 7.83587e-05 -39 *2201:23 *2345:8 0.000666645 -40 *2315:18 *2345:8 0 -41 *2324:20 *2345:8 0.000147058 -*RES -1 *26404:Y *2345:7 18.4429 -2 *2345:7 *2345:8 69.6429 -3 *2345:8 *26716:A 16.8893 -4 *2345:8 *26743:A 14.3357 -*END - -*D_NET *2346 0.0259293 -*CONN -*I *26596:B1 I *D sky130_fd_sc_hd__o32ai_4 -*I *26652:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *26527:B1 I *D sky130_fd_sc_hd__o22a_1 -*I *26405:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *26596:B1 0.000523807 -2 *26652:A2 0.000249431 -3 *26527:B1 0.00106176 -4 *26405:Y 0.000409351 -5 *2346:38 0.00271299 -6 *2346:5 0.00341086 -7 *26527:B1 *26528:C1 5.33005e-05 -8 *26527:B1 *4519:53 0.000136676 -9 *26596:B1 *26596:A3 5.33005e-05 -10 *26596:B1 *3461:13 1.51571e-05 -11 *26596:B1 *5664:40 0.000961168 -12 *26652:A2 *26637:B 9.76435e-06 -13 *26652:A2 *5664:40 0.000594655 -14 *2346:5 *26199:A 0.000137983 -15 *2346:5 *26245:B 0.000286046 -16 *2346:5 *4519:53 0.000135028 -17 *2346:5 *4519:133 0.000122591 -18 *2346:38 *26544:C1 0.000224397 -19 *2346:38 *2484:28 9.11825e-07 -20 *2346:38 *2635:11 0 -21 *2346:38 *5722:69 0.00105789 -22 *2346:38 *5722:76 0.00267013 -23 *2346:38 *6291:88 0.000373583 -24 *2346:38 *6291:95 7.93022e-05 -25 *26101:A *26527:B1 5.65955e-05 -26 *26105:A *2346:38 5.31465e-05 -27 *26288:A *26527:B1 0.000314416 -28 *26288:B *26527:B1 7.09971e-05 -29 *26405:A *2346:5 5.52238e-05 -30 *26405:B *2346:5 4.13595e-05 -31 *26527:A1 *26527:B1 9.41642e-05 -32 *26527:A2 *26527:B1 3.97677e-05 -33 *1190:5 *2346:5 3.97677e-05 -34 *1190:13 *2346:5 1.98839e-05 -35 *1393:55 *26596:B1 2.42516e-05 -36 *1393:90 *2346:38 4.2453e-05 -37 *1942:70 *2346:38 0.000725612 -38 *2043:14 *26527:B1 0.000170245 -39 *2043:58 *26652:A2 0.000300137 -40 *2043:143 *2346:5 6.73681e-05 -41 *2047:58 *26596:B1 0.000532108 -42 *2047:58 *26652:A2 0.000928278 -43 *2122:9 *26596:B1 5.49489e-05 -44 *2127:27 *2346:38 0.00282364 -45 *2136:43 *26527:B1 0.000178144 -46 *2136:43 *2346:5 0.00132199 -47 *2141:13 *2346:5 5.71472e-05 -48 *2141:106 *2346:5 0.000123617 -49 *2142:12 *2346:38 7.44945e-07 -50 *2142:19 *2346:38 0.000162234 -51 *2145:91 *2346:38 0.000369016 -52 *2145:97 *2346:38 0.000321964 -53 *2152:102 *2346:38 0.000358787 -54 *2157:84 *26596:B1 0.000221163 -55 *2159:92 *2346:38 0.000370798 -56 *2176:44 *2346:38 0.000168046 -57 *2176:70 *26596:B1 0.000114048 -58 *2187:122 *26596:B1 0.000221163 -59 *2190:122 *2346:38 2.33699e-05 -60 *2230:12 *26527:B1 0.000182592 -*RES -1 *26405:Y *2346:5 22.5679 -2 *2346:5 *26527:B1 26.5679 -3 *2346:5 *2346:38 45.9023 -4 *2346:38 *26652:A2 18.4607 -5 *2346:38 *26596:B1 32.4964 -*END - -*D_NET *2347 0.00890167 -*CONN -*I *26426:D I *D sky130_fd_sc_hd__nor4_1 -*I *26407:B I *D sky130_fd_sc_hd__nor2_1 -*I *26419:A I *D sky130_fd_sc_hd__nor2_1 -*I *26406:X O *D sky130_fd_sc_hd__a21bo_1 -*CAP -1 *26426:D 1.69531e-05 -2 *26407:B 0.00043653 -3 *26419:A 0.000151998 -4 *26406:X 0.000385777 -5 *2347:13 0.00134577 -6 *2347:8 0.00115997 -7 *26407:B *2536:5 0.000177815 -8 *26407:B *2539:8 0.000306001 -9 *26407:B *5722:112 0.000603336 -10 *26419:A *26598:A2 9.54418e-05 -11 *26419:A *2357:13 2.4559e-05 -12 *26419:A *3510:21 5.65955e-05 -13 *26419:A *3510:41 2.8266e-06 -14 *2347:8 *26186:A 0.000404181 -15 *2347:8 *26226:A1 0.000137983 -16 *2347:13 *5722:112 0.000388219 -17 *26191:A *26426:D 5.33005e-05 -18 *26403:A2 *2347:13 0.000173797 -19 *26407:A *26407:B 0.000175892 -20 *26417:B *2347:8 3.34687e-05 -21 *26426:B *2347:8 6.59766e-05 -22 *26426:C *26426:D 5.33005e-05 -23 *26426:C *2347:8 0.000357907 -24 *26426:C *2347:13 3.22325e-05 -25 *26638:A2 *2347:13 7.28524e-05 -26 *1771:29 *26419:A 4.37327e-05 -27 *1932:63 *2347:8 1.90936e-05 -28 *1932:63 *2347:13 0.000715443 -29 *1946:131 *26407:B 1.98839e-05 -30 *2099:49 *26419:A 0 -31 *2120:38 *2347:8 0.000314764 -32 *2121:10 *2347:13 0.000520681 -33 *2129:29 *2347:13 0.000376242 -34 *2133:135 *2347:13 1.50181e-05 -35 *2141:134 *2347:13 0.000164129 -*RES -1 *26406:X *2347:8 24.0857 -2 *2347:8 *2347:13 23.9286 -3 *2347:13 *26419:A 21.5679 -4 *2347:13 *26407:B 27.55 -5 *2347:8 *26426:D 14.3357 -*END - -*D_NET *2348 0.0101303 -*CONN -*I *26741:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *26721:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *26602:A2 I *D sky130_fd_sc_hd__a211o_1 -*I *26407:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26741:A2 0.000373718 -2 *26721:A2 0.000465028 -3 *26602:A2 0.000224674 -4 *26407:Y 0 -5 *2348:8 0.00132144 -6 *2348:4 0.00100545 -7 *26602:A2 *26602:B1 0.000400165 -8 *26602:A2 *4189:60 1.69115e-05 -9 *26721:A2 *26718:B 0.000135028 -10 *26721:A2 *26719:D 0.000175892 -11 *26721:A2 *4508:194 0.000508063 -12 *26741:A2 *2536:5 9.6596e-05 -13 *26741:A2 *3510:21 0.000305613 -14 *2348:8 *26602:B1 0.00017309 -15 *2348:8 *26719:D 0.00010326 -16 *2348:8 *26768:A2 0.000311021 -17 *2348:8 *2536:5 0.000175892 -18 *2348:8 *2539:8 0.00125309 -19 *2348:8 *4189:60 0.000200547 -20 *2348:8 *5664:20 0.000136133 -21 *2348:8 *5722:112 0.000145372 -22 *26605:C *26741:A2 0 -23 *26741:A1 *26741:A2 5.52302e-05 -24 *26767:A2_N *2348:8 5.15925e-05 -25 *1393:55 *26741:A2 8.41592e-05 -26 *1393:55 *2348:8 0.000175892 -27 *2060:25 *26721:A2 4.18895e-05 -28 *2060:40 *26602:A2 0.000339962 -29 *2060:40 *26721:A2 0.00109826 -30 *2098:28 *26741:A2 0.000501278 -31 *2110:18 *26741:A2 7.6023e-05 -32 *2126:27 *26741:A2 9.2666e-05 -33 *2126:36 *2348:8 6.14002e-05 -34 *2126:40 *2348:8 2.49484e-05 -*RES -1 *26407:Y *2348:4 9.3 -2 *2348:4 *2348:8 24.9107 -3 *2348:8 *26602:A2 19.6214 -4 *2348:8 *26721:A2 27.4964 -5 *2348:4 *26741:A2 28.1036 -*END - -*D_NET *2349 0.0134637 -*CONN -*I *26706:B1_N I *D sky130_fd_sc_hd__o21bai_1 -*I *26409:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *26639:B I *D sky130_fd_sc_hd__or3_1 -*I *26408:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26706:B1_N 0 -2 *26409:B1 0.00178727 -3 *26639:B 0.000242655 -4 *26408:Y 0.000644538 -5 *2349:30 0.0036611 -6 *2349:13 0.00227571 -7 *26409:B1 *26703:B 4.58194e-05 -8 *26409:B1 *2471:9 0.000109263 -9 *26409:B1 *2471:14 2.59355e-05 -10 *26639:B *26643:B 9.83442e-05 -11 *2349:13 *26707:D 6.94399e-06 -12 *2349:13 *29553:A 0.000246803 -13 *2349:13 *30986:A 0.000344617 -14 *2349:13 *30989:A 0.000330674 -15 *2349:13 *5563:43 9.09693e-05 -16 *2349:13 *5565:45 5.52238e-05 -17 *2349:30 *25796:A0 0.00046908 -18 *2349:30 *26654:D_N 0.000733805 -19 *2349:30 *26730:B 0 -20 *2349:30 *2667:11 0 -21 *2349:30 *5565:45 0.000509209 -22 wb_dat_o[19] *2349:13 0.000164487 -23 wb_dat_o[20] *2349:13 0.000140263 -24 *26639:A *26639:B 2.84026e-05 -25 *1358:86 *2349:30 0.000510916 -26 *1871:43 *2349:30 0.000154982 -27 *2133:198 *26409:B1 0.000432354 -28 *2136:82 *26409:B1 0.000354306 -29 *2147:74 *2349:30 0 -*RES -1 *26408:Y *2349:13 34.4071 -2 *2349:13 *2349:30 48.375 -3 *2349:30 *26639:B 13.1393 -4 *2349:30 *26409:B1 45.3714 -5 *2349:13 *26706:B1_N 9.3 -*END - -*D_NET *2350 0.000850462 -*CONN -*I *26717:C1 I *D sky130_fd_sc_hd__a211o_1 -*I *26409:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *26717:C1 0.000185948 -2 *26409:X 0.000185948 -3 *26409:A2 *26717:C1 0.000150625 -4 *26438:C *26717:C1 0.000179745 -5 *2136:82 *26717:C1 0.000148196 -*RES -1 *26409:X *26717:C1 31.3143 -*END - -*D_NET *2351 0.00241864 -*CONN -*I *26435:C1 I *D sky130_fd_sc_hd__o2111a_1 -*I *26590:C1 I *D sky130_fd_sc_hd__o211a_1 -*I *26410:Y O *D sky130_fd_sc_hd__a21oi_1 -*CAP -1 *26435:C1 0.000132863 -2 *26590:C1 3.89604e-05 -3 *26410:Y 0.000387993 -4 *2351:11 0.000559816 -5 *26435:C1 *26435:D1 1.61917e-05 -6 *26435:C1 *26725:C 4.97121e-06 -7 *26435:C1 *26738:A 5.13232e-05 -8 *26435:C1 *2376:15 3.48235e-05 -9 *26590:C1 *26738:A 3.92757e-05 -10 *26590:C1 *2376:15 3.92757e-05 -11 *26410:A1 *2351:11 3.32631e-05 -12 *26435:A2 *2351:11 0.000148407 -13 *26590:A1 *2351:11 1.98839e-05 -14 *2127:45 *26435:C1 4.43042e-05 -15 *2133:160 *2351:11 0.000156723 -16 *2146:38 *26435:C1 8.6229e-06 -17 *2149:94 *2351:11 0.000549569 -18 *2155:124 *2351:11 0.000152372 -*RES -1 *26410:Y *2351:11 22.2821 -2 *2351:11 *26590:C1 14.8357 -3 *2351:11 *26435:C1 16.8179 -*END - -*D_NET *2352 0.0225699 -*CONN -*I *26445:A I *D sky130_fd_sc_hd__or4_1 -*I *26606:B I *D sky130_fd_sc_hd__or3_1 -*I *26411:Y O *D sky130_fd_sc_hd__o21bai_2 -*CAP -1 *26445:A 0.000508867 -2 *26606:B 0.00103298 -3 *26411:Y 1.16062e-05 -4 *2352:40 0.0022864 -5 *2352:24 0.00255098 -6 *2352:7 0.00181803 -7 *26445:A *26445:B 1.58163e-05 -8 *26445:A *26446:B 0.000117543 -9 *26445:A *2595:31 0.000287714 -10 *26606:B *26743:C 0.000306311 -11 *26606:B *2546:5 8.25843e-06 -12 *26606:B *2546:18 2.47753e-05 -13 *26606:B *2681:8 0.00186949 -14 *2352:24 *26516:A2 0.000109657 -15 *2352:24 *26516:D1 0.000103253 -16 *2352:24 *26517:B1 4.96531e-05 -17 *2352:24 *26755:C1 9.99931e-06 -18 *2352:24 *26755:D1 6.12308e-05 -19 *2352:24 *26756:B 0.000540276 -20 *2352:24 *26798:A 0.000236581 -21 *2352:24 *2383:8 2.61928e-05 -22 *2352:24 *2444:8 8.72671e-05 -23 *2352:24 *2595:31 1.75989e-05 -24 *2352:24 *2595:42 0.00104378 -25 *2352:40 *2383:8 0.00186715 -26 *26119:B *26445:A 0.000167368 -27 *26157:B *26445:A 0.00021559 -28 *26365:C *26445:A 0.000145405 -29 *26366:A *26445:A 0.000307343 -30 *26411:A2 *2352:7 5.52302e-05 -31 *26411:B1_N *2352:24 8.71507e-05 -32 *26439:A *2352:40 1.90303e-05 -33 *26521:D_N *2352:7 5.52302e-05 -34 *26611:A *2352:40 0.000240484 -35 *26622:A2 *2352:24 0.000114434 -36 *26743:A *26606:B 5.33005e-05 -37 *26755:A2 *2352:24 9.24395e-05 -38 *1871:24 *2352:40 3.67364e-05 -39 *1935:92 *2352:24 0.000109657 -40 *2006:36 *2352:40 0 -41 *2009:20 *2352:24 4.29143e-05 -42 *2009:33 *2352:24 0.000124312 -43 *2011:44 *2352:24 0.000129477 -44 *2011:54 *2352:24 0.000355431 -45 *2011:54 *2352:40 1.721e-05 -46 *2011:63 *2352:40 2.18827e-05 -47 *2022:56 *2352:40 0.000812318 -48 *2036:25 *26445:A 0.00012401 -49 *2061:26 *2352:24 0.000114697 -50 *2061:41 *2352:24 0.000107807 -51 *2061:41 *2352:40 0.00114975 -52 *2083:27 *26445:A 6.7848e-05 -53 *2083:31 *26445:A 0.000204839 -54 *2083:31 *2352:24 0.00258609 -55 *2343:22 *26445:A 2.04825e-05 -*RES -1 *26411:Y *2352:7 14.3357 -2 *2352:7 *2352:24 49.3415 -3 *2352:24 *2352:40 46.5804 -4 *2352:40 *26606:B 36.1214 -5 *2352:7 *26445:A 28.4607 -*END - -*D_NET *2353 0.00271143 -*CONN -*I *26609:C I *D sky130_fd_sc_hd__or3_1 -*I *26437:A I *D sky130_fd_sc_hd__or4_1 -*I *26412:Y O *D sky130_fd_sc_hd__o22ai_1 -*CAP -1 *26609:C 0 -2 *26437:A 0.000640107 -3 *26412:Y 0.00025398 -4 *2353:7 0.000894088 -5 *26437:A *2483:45 8.22793e-06 -6 *26412:A1 *2353:7 3.75141e-05 -7 *26545:A1 *26437:A 0.000167619 -8 *26609:A *26437:A 9.54798e-06 -9 *2009:81 *2353:7 9.21499e-05 -10 *2190:29 *2353:7 2.42516e-05 -11 *2198:23 *26437:A 0 -12 *2318:20 *26437:A 0.000583942 -*RES -1 *26412:Y *2353:7 13.2375 -2 *2353:7 *26437:A 32.9964 -3 *2353:7 *26609:C 9.3 -*END - -*D_NET *2354 0.00346202 -*CONN -*I *26441:A I *D sky130_fd_sc_hd__or4b_1 -*I *26607:C I *D sky130_fd_sc_hd__nor3_1 -*I *26413:Y O *D sky130_fd_sc_hd__o22ai_1 -*CAP -1 *26441:A 0.00043939 -2 *26607:C 0.000280687 -3 *26413:Y 4.86308e-05 -4 *2354:10 0.000768707 -5 *26441:A *26441:C 1.00887e-05 -6 *26441:A *5545:28 0.000573055 -7 *26607:C *2547:7 1.24368e-05 -8 *26607:C *5545:28 0.000184166 -9 *2354:10 *26612:D 2.22085e-05 -10 *2354:10 *5545:28 2.21972e-05 -11 *26413:B2 *2354:10 2.38113e-05 -12 *26607:A *26607:C 0.000140933 -13 *26607:B *26607:C 4.72917e-05 -14 *2006:36 *26441:A 0.000471421 -15 *2006:36 *26607:C 0.000199628 -16 *2009:75 *26441:A 0.000217368 -*RES -1 *26413:Y *2354:10 14.8357 -2 *2354:10 *26607:C 21.1125 -3 *2354:10 *26441:A 28.3446 -*END - -*D_NET *2355 0.00276067 -*CONN -*I *26738:A I *D sky130_fd_sc_hd__or4_1 -*I *26414:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *26738:A 0.000588191 -2 *26414:Y 0.000588191 -3 *26738:A *26435:D1 9.91086e-05 -4 *26738:A *26725:C 0 -5 *26738:A *2376:15 0 -6 *26738:A *2675:9 0.0010993 -7 *26435:C1 *26738:A 5.13232e-05 -8 *26590:C1 *26738:A 3.92757e-05 -9 *2043:102 *26738:A 5.33005e-05 -10 *2111:69 *26738:A 0.000239229 -11 *2146:38 *26738:A 2.75651e-06 -*RES -1 *26414:Y *26738:A 42.225 -*END - -*D_NET *2356 0.000965491 -*CONN -*I *26439:B I *D sky130_fd_sc_hd__or4b_1 -*I *26611:C I *D sky130_fd_sc_hd__or3_1 -*I *26415:Y O *D sky130_fd_sc_hd__o22ai_1 -*CAP -1 *26439:B 0 -2 *26611:C 0.000202495 -3 *26415:Y 3.16607e-05 -4 *2356:8 0.000234156 -5 *26611:C *2551:14 0 -6 *2356:8 *5545:28 8.00806e-05 -7 *26439:A *26611:C 1.39726e-05 -8 *26611:B *26611:C 9.60875e-05 -9 *1871:37 *26611:C 0.000265447 -10 *2009:75 *2356:8 4.15914e-05 -*RES -1 *26415:Y *2356:8 19.3357 -2 *2356:8 *26611:C 13.4607 -3 *2356:8 *26439:B 9.3 -*END - -*D_NET *2357 0.0102048 -*CONN -*I *26418:B I *D sky130_fd_sc_hd__or3_1 -*I *26419:B I *D sky130_fd_sc_hd__nor2_1 -*I *26416:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *26418:B 0.000167757 -2 *26419:B 0 -3 *26416:X 0.000107762 -4 *2357:13 0.00152776 -5 *2357:7 0.00146777 -6 *26418:B *2360:8 5.12344e-05 -7 *26418:B *3510:41 2.59355e-05 -8 *2357:13 *26190:A 7.6644e-05 -9 *2357:13 *26598:A2 6.8445e-06 -10 *2357:13 *2360:8 0.000140088 -11 *2357:13 *3510:21 0.000135383 -12 *2357:13 *4508:202 9.11849e-05 -13 *26226:B1 *2357:13 0.000307885 -14 *26271:A *2357:13 4.11173e-05 -15 *26416:B *2357:7 9.71197e-05 -16 *26416:C *2357:13 0.000305448 -17 *26419:A *2357:13 2.4559e-05 -18 *1189:14 *2357:13 0.00074846 -19 *1937:22 *2357:13 0.000183965 -20 *1945:47 *2357:13 0.00026367 -21 *1946:154 *2357:13 6.57648e-05 -22 *1946:173 *2357:13 4.15183e-05 -23 *2099:49 *26418:B 0.000307523 -24 *2103:29 *2357:7 0.000260574 -25 *2113:18 *2357:7 5.33005e-05 -26 *2127:77 *2357:13 9.23689e-05 -27 *2136:58 *2357:13 0.00226938 -28 *2176:70 *26418:B 9.80394e-05 -29 *2221:8 *2357:13 0.000163557 -30 *2344:8 *2357:13 0.00108217 -*RES -1 *26416:X *2357:7 16.3893 -2 *2357:7 *2357:13 48.6429 -3 *2357:13 *26419:B 9.3 -4 *2357:13 *26418:B 22.9071 -*END - -*D_NET *2358 0.0078337 -*CONN -*I *26596:A2 I *D sky130_fd_sc_hd__o32ai_4 -*I *26418:C I *D sky130_fd_sc_hd__or3_1 -*I *26417:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26596:A2 0 -2 *26418:C 0.000151107 -3 *26417:X 0.001522 -4 *2358:20 0.000156729 -5 *2358:17 0.00152762 -6 *26418:C *2360:8 0.000192276 -7 *26418:C *5664:86 4.10926e-05 -8 *2358:17 *26045:A 0.000136898 -9 *2358:17 *2490:23 4.41872e-05 -10 *2358:17 *4189:60 3.48358e-06 -11 *2358:17 *4508:144 1.49122e-05 -12 *2358:20 *2360:8 1.19468e-05 -13 *26198:B *2358:17 0.000193579 -14 *26225:B1 *2358:17 4.97121e-06 -15 *26418:A *26418:C 9.74665e-05 -16 *373:44 *26418:C 0.000178425 -17 *1771:29 *26418:C 0.000191072 -18 *1771:29 *2358:20 2.06112e-05 -19 *2099:49 *2358:17 0.000197587 -20 *2123:8 *2358:17 0.00107914 -21 *2126:8 *2358:17 0.000813763 -22 *2126:12 *2358:17 0.000241191 -23 *2129:43 *2358:17 0 -24 *2131:45 *2358:17 0.000478144 -25 *2133:135 *2358:17 4.33043e-05 -26 *2165:31 *2358:17 0.000412102 -27 *2175:11 *2358:17 8.00842e-05 -*RES -1 *26417:X *2358:17 48.4314 -2 *2358:17 *2358:20 3.68679 -3 *2358:20 *26418:C 18.55 -4 *2358:20 *26596:A2 13.8 -*END - -*D_NET *2359 0.00470571 -*CONN -*I *26431:B1 I *D sky130_fd_sc_hd__o32a_1 -*I *26718:B I *D sky130_fd_sc_hd__nor2_1 -*I *26601:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26418:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *26431:B1 0 -2 *26718:B 9.51401e-05 -3 *26601:A1 3.0714e-05 -4 *26418:X 0.000179219 -5 *2359:13 0.000569492 -6 *2359:6 0.000622857 -7 *26601:A1 *26601:A2 5.33005e-05 -8 *26601:A1 *4508:194 1.98839e-05 -9 *26718:B *26719:D 2.28499e-05 -10 *26718:B *4508:194 3.37161e-05 -11 *2359:6 *26767:B1 1.94879e-05 -12 *2359:6 *2360:8 9.67203e-05 -13 *2359:13 *5664:20 0.000206726 -14 *26208:A2 *2359:13 7.83587e-05 -15 *26420:A2_N *2359:6 6.09762e-05 -16 *26719:A *2359:13 0.000577601 -17 *26721:A2 *26718:B 0.000135028 -18 *1771:29 *2359:6 0.00031957 -19 *1771:29 *2359:13 0.000883531 -20 *2060:40 *26718:B 0.000175892 -21 *2131:46 *2359:6 8.60466e-05 -22 *2131:63 *2359:6 4.80729e-05 -23 *2131:63 *2359:13 0.000148196 -24 *2149:110 *26601:A1 5.33005e-05 -25 *2149:110 *2359:13 0.000136676 -26 *2165:31 *2359:6 1.36991e-05 -27 *2165:31 *2359:13 3.86574e-05 -*RES -1 *26418:X *2359:6 18.175 -2 *2359:6 *2359:13 18.3571 -3 *2359:13 *26601:A1 10.2464 -4 *2359:13 *26718:B 11.8893 -5 *2359:6 *26431:B1 13.8 -*END - -*D_NET *2360 0.00316725 -*CONN -*I *26420:B1 I *D sky130_fd_sc_hd__a2bb2o_1 -*I *26767:B1 I *D sky130_fd_sc_hd__a2bb2oi_1 -*I *26419:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26420:B1 4.67232e-05 -2 *26767:B1 0.000532225 -3 *26419:Y 0.000331456 -4 *2360:8 0.000910404 -5 *26420:B1 *26421:B 0.0001026 -6 *26767:B1 *26421:B 1.90936e-05 -7 *26767:B1 *5664:20 4.65519e-05 -8 *26418:B *2360:8 5.12344e-05 -9 *26418:C *2360:8 0.000192276 -10 *26420:A2_N *26420:B1 6.05161e-06 -11 *26767:A2_N *26420:B1 0.000135028 -12 *26767:B2 *26767:B1 5.33005e-05 -13 *1771:29 *2360:8 7.77686e-05 -14 *2098:57 *26767:B1 2.89114e-05 -15 *2110:26 *26767:B1 1.90936e-05 -16 *2131:45 *26767:B1 3.50637e-05 -17 *2133:135 *26767:B1 7.68235e-05 -18 *2165:31 *26767:B1 7.27167e-05 -19 *2165:31 *2360:8 3.25745e-05 -20 *2176:70 *2360:8 0.000129108 -21 *2357:13 *2360:8 0.000140088 -22 *2358:20 *2360:8 1.19468e-05 -23 *2359:6 *26767:B1 1.94879e-05 -24 *2359:6 *2360:8 9.67203e-05 -*RES -1 *26419:Y *2360:8 21.3536 -2 *2360:8 *26767:B1 22.8373 -3 *2360:8 *26420:B1 15.1571 -*END - -*D_NET *2361 0.00311119 -*CONN -*I *26421:B I *D sky130_fd_sc_hd__nand2_1 -*I *26420:X O *D sky130_fd_sc_hd__a2bb2o_1 -*CAP -1 *26421:B 0.000663117 -2 *26420:X 0.000663117 -3 *26421:B *26420:A1_N 9.60875e-05 -4 *26421:B *2680:10 0.000266099 -5 *26420:A2_N *26421:B 7.18448e-05 -6 *26420:B1 *26421:B 0.0001026 -7 *26420:B2 *26421:B 2.44638e-05 -8 *26432:B1 *26421:B 0.000137983 -9 *26432:B2 *26421:B 0.000139907 -10 *26591:A2 *26421:B 5.58941e-05 -11 *26595:A *26421:B 0.000237187 -12 *26767:A1_N *26421:B 1.21258e-05 -13 *26767:A2_N *26421:B 0.000297715 -14 *26767:B1 *26421:B 1.90936e-05 -15 *26767:B2 *26421:B 0.000137983 -16 *2098:57 *26421:B 9.43681e-05 -17 *2110:26 *26421:B 9.16089e-05 -*RES -1 *26420:X *26421:B 42.725 -*END - -*D_NET *2362 0.00124339 -*CONN -*I *26591:B1 I *D sky130_fd_sc_hd__o311a_1 -*I *26434:D I *D sky130_fd_sc_hd__and4b_1 -*I *26421:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26591:B1 0 -2 *26434:D 0.000116966 -3 *26421:Y 7.99679e-05 -4 *2362:8 0.000196934 -5 *26434:D *2662:14 7.25296e-05 -6 *2362:8 *2662:14 3.48235e-05 -7 *26432:B2 *2362:8 5.52238e-05 -8 *2046:154 *26434:D 8.47417e-05 -9 *2104:44 *26434:D 0.000197991 -10 *2104:44 *2362:8 0.000128146 -11 *2133:141 *26434:D 5.83304e-05 -12 *2133:141 *2362:8 4.80729e-05 -13 *2301:9 *26434:D 0.000169666 -*RES -1 *26421:Y *2362:8 15.9786 -2 *2362:8 *26434:D 18.0098 -3 *2362:8 *26591:B1 13.8 -*END - -*D_NET *2363 0.00437406 -*CONN -*I *26436:A I *D sky130_fd_sc_hd__or4bb_1 -*I *26608:C I *D sky130_fd_sc_hd__or3_1 -*I *26422:X O *D sky130_fd_sc_hd__a32o_1 -*CAP -1 *26436:A 0 -2 *26608:C 0.000182576 -3 *26422:X 0.000600471 -4 *2363:6 0.000783046 -5 *26608:C *2655:8 0.000377102 -6 *26608:C *2717:33 4.11173e-05 -7 *26608:C *5722:166 5.33005e-05 -8 *2363:6 *2365:6 0.000213652 -9 *2363:6 *2655:8 0.000755047 -10 *26590:B1 *2363:6 0.000128161 -11 *26608:A *26608:C 2.89016e-05 -12 *1952:63 *2363:6 0.000191778 -13 *2157:141 *26608:C 7.6644e-05 -14 *2345:8 *26608:C 0.000138821 -15 *2345:8 *2363:6 0.000803443 -*RES -1 *26422:X *2363:6 35.175 -2 *2363:6 *26608:C 19.3179 -3 *2363:6 *26436:A 13.8 -*END - -*D_NET *2364 0.000530305 -*CONN -*I *26610:C_N I *D sky130_fd_sc_hd__or3b_1 -*I *26423:X O *D sky130_fd_sc_hd__o22a_1 -*CAP -1 *26610:C_N 0.000117169 -2 *26423:X 0.000117169 -3 *26610:C_N *26713:B2 5.16852e-05 -4 *26610:C_N *26722:B1 9.60939e-05 -5 *2161:121 *26610:C_N 0.000148189 -*RES -1 *26423:X *26610:C_N 30.4929 -*END - -*D_NET *2365 0.00525911 -*CONN -*I *26436:B I *D sky130_fd_sc_hd__or4bb_1 -*I *26714:A I *D sky130_fd_sc_hd__or3b_1 -*I *26424:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *26436:B 8.31973e-05 -2 *26714:A 0.00038353 -3 *26424:X 0.000375399 -4 *2365:6 0.000842126 -5 *26714:A *2548:12 0.000506478 -6 *26714:A *2655:8 0.00099224 -7 *2365:6 *2655:8 0.000674252 -8 *1871:37 *26436:B 4.58194e-05 -9 *1952:63 *2365:6 0.0007853 -10 *2005:73 *26714:A 0.000122641 -11 *2005:73 *2365:6 0.000153578 -12 *2005:84 *26714:A 8.08951e-05 -13 *2363:6 *2365:6 0.000213652 -*RES -1 *26424:X *2365:6 27.8893 -2 *2365:6 *26714:A 26.675 -3 *2365:6 *26436:B 14.7464 -*END - -*D_NET *2366 0.00263348 -*CONN -*I *26437:B I *D sky130_fd_sc_hd__or4_1 -*I *26425:X O *D sky130_fd_sc_hd__a31o_1 -*CAP -1 *26437:B 0.000640338 -2 *26425:X 0.000640338 -3 *26437:B *26437:C 0.000313458 -4 *26437:B *26437:D 0.000100823 -5 *26437:B *2738:7 5.52302e-05 -6 *26437:B *5664:313 0 -7 *26428:A2 *26437:B 5.48198e-05 -8 *2099:70 *26437:B 0 -9 *2099:72 *26437:B 0 -10 *2099:76 *26437:B 0 -11 *2135:29 *26437:B 0.00065187 -12 *2142:153 *26437:B 1.90936e-05 -13 *2318:20 *26437:B 0.000157509 -*RES -1 *26425:X *26437:B 42.8321 -*END - -*D_NET *2367 0.0139771 -*CONN -*I *26446:C I *D sky130_fd_sc_hd__or4b_1 -*I *26426:Y O *D sky130_fd_sc_hd__nor4_1 -*CAP -1 *26446:C 0.000210161 -2 *26426:Y 0 -3 *2367:5 0.00281588 -4 *2367:4 0.00260572 -5 *26446:C *26447:C 6.05161e-06 -6 *2367:5 *25997:A 0.000714948 -7 *26157:A *2367:5 0.00119981 -8 *26191:A *2367:5 4.11223e-05 -9 *26231:A_N *2367:5 0.000357726 -10 *26356:B *2367:5 0.000247847 -11 *26426:A *2367:5 0.000175892 -12 *26426:B *2367:5 5.33005e-05 -13 *26426:C *2367:5 9.71197e-05 -14 *26446:A *26446:C 0.000303368 -15 *26446:D_N *26446:C 5.33005e-05 -16 *26447:D_N *2367:5 0.000282754 -17 *1188:102 *2367:5 0.00132301 -18 *1188:104 *2367:5 5.87969e-05 -19 *1937:36 *2367:5 0.000890839 -20 *2044:18 *2367:5 0.000119774 -21 *2089:26 *26446:C 0.000220455 -22 *2092:30 *26446:C 0.000197311 -23 *2099:13 *2367:5 0.000339346 -24 *2099:152 *2367:5 0.00163759 -25 *2304:14 *26446:C 2.49484e-05 -*RES -1 *26426:Y *2367:4 9.3 -2 *2367:4 *2367:5 57.2143 -3 *2367:5 *26446:C 24.1571 -*END - -*D_NET *2368 0.00342009 -*CONN -*I *26441:B I *D sky130_fd_sc_hd__or4b_1 -*I *26427:X O *D sky130_fd_sc_hd__a31o_1 -*CAP -1 *26441:B 0.000772718 -2 *26427:X 0.000772718 -3 *26441:B *26439:C 0.000517566 -4 *26441:B *26441:D_N 8.11955e-05 -5 *26441:B *2676:15 0.000951616 -6 *26441:B *2717:33 3.46512e-05 -7 *1871:37 *26441:B 1.21258e-05 -8 *2313:19 *26441:B 0.000277497 -*RES -1 *26427:X *26441:B 45.3321 -*END - -*D_NET *2369 0.0015141 -*CONN -*I *26437:C I *D sky130_fd_sc_hd__or4_1 -*I *26428:X O *D sky130_fd_sc_hd__a31o_1 -*CAP -1 *26437:C 0.000358862 -2 *26428:X 0.000358862 -3 *26437:C *26415:B1 0.0001827 -4 *26437:C *2672:19 0.000180777 -5 *26216:A *26437:C 5.52302e-05 -6 *26437:B *26437:C 0.000313458 -7 *2099:72 *26437:C 0 -8 *2099:76 *26437:C 0 -9 *2157:141 *26437:C 6.42095e-05 -*RES -1 *26428:X *26437:C 35.2071 -*END - -*D_NET *2370 0.000421172 -*CONN -*I *26436:C_N I *D sky130_fd_sc_hd__or4bb_1 -*I *26429:X O *D sky130_fd_sc_hd__o32a_1 -*CAP -1 *26436:C_N 6.7622e-05 -2 *26429:X 6.7622e-05 -3 *26436:C_N *2376:15 5.52302e-05 -4 *26436:C_N *2706:14 0.00012501 -5 *26436:C_N *5722:153 6.09476e-05 -6 *1871:37 *26436:C_N 3.47984e-05 -7 *1871:43 *26436:C_N 9.94194e-06 -*RES -1 *26429:X *26436:C_N 29.7786 -*END - -*D_NET *2371 0.00371429 -*CONN -*I *26434:A_N I *D sky130_fd_sc_hd__and4b_1 -*I *26430:X O *D sky130_fd_sc_hd__a31o_1 -*CAP -1 *26434:A_N 0.000591848 -2 *26430:X 0.000591848 -3 *26434:A_N *26434:B 0.000135028 -4 *26434:A_N *26769:C_N 0.000146474 -5 *26434:A_N *2539:8 0.00124283 -6 *26434:A_N *5722:131 6.45291e-05 -7 *2126:27 *26434:A_N 9.43401e-05 -8 *2136:58 *26434:A_N 0.000489734 -9 *2136:60 *26434:A_N 0.000157967 -10 *2136:68 *26434:A_N 0.000199691 -*RES -1 *26430:X *26434:A_N 45.475 -*END - -*D_NET *2372 0.000778452 -*CONN -*I *26433:B I *D sky130_fd_sc_hd__and3b_1 -*I *26431:X O *D sky130_fd_sc_hd__o32a_1 -*CAP -1 *26433:B 0.000149293 -2 *26431:X 0.000149293 -3 *26433:A_N *26433:B 9.41642e-05 -4 *373:44 *26433:B 0.000193641 -5 *2032:170 *26433:B 0.000192062 -*RES -1 *26431:X *26433:B 31.1 -*END - -*D_NET *2373 0.00143587 -*CONN -*I *26433:C I *D sky130_fd_sc_hd__and3b_1 -*I *26432:X O *D sky130_fd_sc_hd__o32a_1 -*CAP -1 *26433:C 0.000417758 -2 *26432:X 0.000417758 -3 *26431:A1 *26433:C 0.000339346 -4 *2128:32 *26433:C 7.83659e-05 -5 *2131:63 *26433:C 9.77414e-05 -6 *2131:104 *26433:C 8.25843e-06 -7 *2165:31 *26433:C 7.6644e-05 -*RES -1 *26432:X *26433:C 33.4036 -*END - -*D_NET *2374 0.0016764 -*CONN -*I *26434:B I *D sky130_fd_sc_hd__and4b_1 -*I *26433:X O *D sky130_fd_sc_hd__and3b_1 -*CAP -1 *26434:B 0.000431674 -2 *26433:X 0.000431674 -3 *26434:A_N *26434:B 0.000135028 -4 *26768:B1 *26434:B 5.33005e-05 -5 *2046:154 *26434:B 0.000377625 -6 *2128:32 *26434:B 0.000124404 -7 *2165:31 *26434:B 0.000122697 -*RES -1 *26433:X *26434:B 36.7607 -*END - -*D_NET *2375 0.00391668 -*CONN -*I *26435:D1 I *D sky130_fd_sc_hd__o2111a_1 -*I *26434:X O *D sky130_fd_sc_hd__and4b_1 -*CAP -1 *26435:D1 0.000973037 -2 *26434:X 0.000973037 -3 *26435:D1 *26721:B1 0.000502177 -4 *26435:D1 *26725:C 0 -5 *26435:D1 *2660:23 0 -6 *26435:D1 *2675:9 0.000251251 -7 *26435:D1 *2706:13 5.4695e-05 -8 *26414:A2 *26435:D1 5.03952e-05 -9 *26435:C1 *26435:D1 1.61917e-05 -10 *26738:A *26435:D1 9.91086e-05 -11 *1935:92 *26435:D1 4.15183e-05 -12 *1989:35 *26435:D1 0.000689453 -13 *2111:69 *26435:D1 0.000114275 -14 *2127:45 *26435:D1 1.51018e-05 -15 *2301:9 *26435:D1 4.43256e-05 -16 *2315:18 *26435:D1 9.21108e-05 -*RES -1 *26434:X *26435:D1 46.3232 -*END - -*D_NET *2376 0.00472231 -*CONN -*I *26436:D_N I *D sky130_fd_sc_hd__or4bb_1 -*I *26435:X O *D sky130_fd_sc_hd__o2111a_1 -*CAP -1 *26436:D_N 0 -2 *26435:X 0.000997658 -3 *2376:15 0.000997658 -4 *2376:15 *26725:C 0.000883495 -5 *2376:15 *2545:8 0.000267283 -6 *2376:15 *2661:26 7.6588e-05 -7 *2376:15 *2675:9 0 -8 *2376:15 *2706:14 0 -9 *26428:A3 *2376:15 0.000247786 -10 *26435:C1 *2376:15 3.48235e-05 -11 *26436:C_N *2376:15 5.52302e-05 -12 *26438:B *2376:15 0.000192269 -13 *26590:C1 *2376:15 3.92757e-05 -14 *26738:A *2376:15 0 -15 *1871:37 *2376:15 2.14658e-05 -16 *1871:43 *2376:15 0.000107637 -17 *2111:69 *2376:15 0.000121503 -18 *2149:87 *2376:15 0.000247778 -19 *2157:141 *2376:15 0.000431857 -*RES -1 *26435:X *2376:15 45.3 -2 *2376:15 *26436:D_N 9.3 -*END - -*D_NET *2377 0.00111588 -*CONN -*I *26437:D I *D sky130_fd_sc_hd__or4_1 -*I *26436:X O *D sky130_fd_sc_hd__or4bb_1 -*CAP -1 *26437:D 0.000381353 -2 *26436:X 0.000381353 -3 *26437:B *26437:D 0.000100823 -4 *1871:37 *26437:D 0.00015153 -5 *2318:20 *26437:D 0.000100823 -*RES -1 *26436:X *26437:D 33.1714 -*END - -*D_NET *2378 0.00151955 -*CONN -*I *26439:C I *D sky130_fd_sc_hd__or4b_1 -*I *26437:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26439:C 0.000216585 -2 *26437:X 0.000216585 -3 *26427:A1 *26439:C 3.32631e-05 -4 *26427:A3 *26439:C 0.000100823 -5 *26427:B1 *26439:C 0.000181796 -6 *26439:A *26439:C 1.97695e-05 -7 *26441:B *26439:C 0.000517566 -8 *26545:A1 *26439:C 0.000102545 -9 *1871:37 *26439:C 0.000130615 -*RES -1 *26437:X *26439:C 34.8321 -*END - -*D_NET *2379 0.00150069 -*CONN -*I *26439:D_N I *D sky130_fd_sc_hd__or4b_1 -*I *26438:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *26439:D_N 0.000440577 -2 *26438:X 0.000440577 -3 *26439:D_N *2676:15 6.35117e-05 -4 *26370:B *26439:D_N 7.6644e-05 -5 *26428:B1 *26439:D_N 5.47391e-05 -6 *1953:111 *26439:D_N 9.18713e-05 -7 *2313:19 *26439:D_N 0.000332771 -*RES -1 *26438:X *26439:D_N 35.3857 -*END - -*D_NET *2380 0.00219737 -*CONN -*I *26441:C I *D sky130_fd_sc_hd__or4b_1 -*I *26439:X O *D sky130_fd_sc_hd__or4b_1 -*CAP -1 *26441:C 0.00037718 -2 *26439:X 0.00037718 -3 *26441:C *5545:28 0.000882231 -4 *26439:A *26441:C 9.71197e-05 -5 *26441:A *26441:C 1.00887e-05 -6 *2009:75 *26441:C 0.00045357 -*RES -1 *26439:X *26441:C 39.9036 -*END - -*D_NET *2381 0.0082814 -*CONN -*I *26441:D_N I *D sky130_fd_sc_hd__or4b_1 -*I *26589:C_N I *D sky130_fd_sc_hd__or3b_1 -*I *26440:X O *D sky130_fd_sc_hd__o22a_1 -*CAP -1 *26441:D_N 0.00121675 -2 *26589:C_N 0.00112029 -3 *26440:X 0 -4 *2381:4 0.00233704 -5 *26441:D_N *26413:B1 0.000132516 -6 *26441:D_N *2654:10 0.000389015 -7 *26441:D_N *2676:15 0.000163688 -8 *26441:D_N *3658:10 0 -9 *26441:D_N *5545:28 0.000410721 -10 *26440:A1 *26441:D_N 7.77751e-05 -11 *26440:A2 *26441:D_N 2.95726e-05 -12 *26440:B2 *26441:D_N 0.000125547 -13 *26440:B2 *26589:C_N 0.00150467 -14 *26441:B *26441:D_N 8.11955e-05 -15 *26606:A *26589:C_N 0.000102797 -16 *26609:B *26441:D_N 4.24291e-05 -17 *2009:81 *26441:D_N 0.000195718 -18 *2178:24 *26589:C_N 0.000276809 -19 *2313:19 *26441:D_N 7.48644e-05 -*RES -1 *26440:X *2381:4 9.3 -2 *2381:4 *26589:C_N 36.2643 -3 *2381:4 *26441:D_N 45.925 -*END - -*D_NET *2382 0.00101174 -*CONN -*I *26442:A I *D sky130_fd_sc_hd__or3b_1 -*I *26441:X O *D sky130_fd_sc_hd__or4b_1 -*CAP -1 *26442:A 0.000273761 -2 *26441:X 0.000273761 -3 *26442:A *2554:11 0.000464222 -*RES -1 *26441:X *26442:A 23.6714 -*END - -*D_NET *2383 0.0165949 -*CONN -*I *26445:B I *D sky130_fd_sc_hd__or4_1 -*I *26442:X O *D sky130_fd_sc_hd__or3b_1 -*CAP -1 *26445:B 8.7874e-05 -2 *26442:X 0.000293748 -3 *2383:8 0.00230855 -4 *2383:7 0.00251442 -5 *2383:7 *2554:11 0.000106148 -6 *2383:8 *26632:A 0.000253705 -7 *2383:8 *26755:D1 0.000909848 -8 *2383:8 *26798:D_N 0.000149544 -9 *2383:8 *2435:11 0.000117728 -10 *2383:8 *2436:36 0.000454044 -11 *2383:8 *2551:14 1.81805e-05 -12 *2383:8 *2571:11 4.038e-06 -13 *26366:A *26445:B 8.25843e-06 -14 *26445:A *26445:B 1.58163e-05 -15 *26503:B1 *2383:8 0.00171818 -16 *26611:A *2383:8 0.000240484 -17 *26611:B *2383:8 0 -18 *1871:24 *2383:8 1.67439e-05 -19 *2006:12 *2383:8 0.00356381 -20 *2007:16 *2383:8 4.75628e-05 -21 *2007:21 *2383:8 5.25009e-05 -22 *2009:20 *2383:8 6.53874e-05 -23 *2022:56 *2383:8 7.6644e-05 -24 *2061:41 *2383:8 0.000937222 -25 *2104:103 *2383:8 0.000645267 -26 *2178:36 *2383:7 9.58632e-05 -27 *2352:24 *2383:8 2.61928e-05 -28 *2352:40 *2383:8 0.00186715 -*RES -1 *26442:X *2383:7 17.2107 -2 *2383:7 *2383:8 80.2679 -3 *2383:8 *26445:B 14.7464 -*END - -*D_NET *2384 0.0071853 -*CONN -*I *26445:C I *D sky130_fd_sc_hd__or4_1 -*I *26443:X O *D sky130_fd_sc_hd__and4bb_1 -*CAP -1 *26445:C 0.000478437 -2 *26443:X 0.00103565 -3 *2384:13 0.00151409 -4 *26445:C *26445:D 0.000469772 -5 *26042:B *2384:13 0.000563302 -6 *26355:A_N *2384:13 0.000127653 -7 *26366:A *26445:C 1.98839e-05 -8 *1188:97 *26445:C 0.000568721 -9 *1950:37 *2384:13 0.000216755 -10 *1987:32 *2384:13 0.000218821 -11 *2004:13 *26445:C 0.000567014 -12 *2023:15 *2384:13 0.000332173 -13 *2107:27 *2384:13 0.00016929 -14 *2125:5 *2384:13 0.000431435 -15 *2127:77 *2384:13 0.000472299 -*RES -1 *26443:X *2384:13 45.9071 -2 *2384:13 *26445:C 26.2643 -*END - -*D_NET *2385 0.00874667 -*CONN -*I *26445:D I *D sky130_fd_sc_hd__or4_1 -*I *26444:X O *D sky130_fd_sc_hd__a41o_1 -*CAP -1 *26445:D 0.00179545 -2 *26444:X 0.000947425 -3 *2385:10 0.00274288 -4 *2385:10 *26662:A3 0 -5 *2385:10 *4189:67 0.000684051 -6 *2385:10 *4552:142 1.15281e-05 -7 *26197:A_N *2385:10 1.58163e-05 -8 *26355:A_N *2385:10 0.00012401 -9 *26357:A2 *26445:D 0.000391289 -10 *26366:A *26445:D 5.33005e-05 -11 *26380:B2 *26445:D 0.000163629 -12 *26385:A *26445:D 2.13481e-06 -13 *26444:A2 *2385:10 1.40306e-05 -14 *26444:A3 *2385:10 3.06878e-06 -15 *26444:A4 *2385:10 9.42599e-05 -16 *26444:B1 *2385:10 9.41642e-05 -17 *26445:C *26445:D 0.000469772 -18 *26742:A2 *26445:D 0.000106154 -19 *1953:38 *2385:10 0.000224416 -20 *2033:40 *2385:10 7.81028e-05 -21 *2103:79 *2385:10 0.000328175 -22 *2139:11 *2385:10 5.74499e-06 -23 *2222:11 *26445:D 1.74352e-05 -24 *2250:64 *2385:10 0.000109778 -25 *2310:11 *26445:D 0.000175892 -26 *2310:16 *26445:D 9.41642e-05 -*RES -1 *26444:X *2385:10 38.4607 -2 *2385:10 *26445:D 33.175 -*END - -*D_NET *2386 0.00379417 -*CONN -*I *26446:B I *D sky130_fd_sc_hd__or4b_1 -*I *26445:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26446:B 0.00098799 -2 *26445:X 0.00098799 -3 *26366:A *26446:B 1.21258e-05 -4 *26366:B *26446:B 0.000139632 -5 *26366:C *26446:B 5.7993e-06 -6 *26394:B *26446:B 0.00080319 -7 *26394:C *26446:B 9.0145e-05 -8 *26396:B1 *26446:B 0.00022266 -9 *26445:A *26446:B 0.000117543 -10 *26446:A *26446:B 0.000345251 -11 *2308:10 *26446:B 8.18469e-05 -*RES -1 *26445:X *26446:B 38.0464 -*END - -*D_NET *2387 0.000736356 -*CONN -*I *26447:C I *D sky130_fd_sc_hd__or4b_1 -*I *26446:X O *D sky130_fd_sc_hd__or4b_1 -*CAP -1 *26447:C 0.000199791 -2 *26446:X 0.000199791 -3 *26446:A *26447:C 2.42516e-05 -4 *26446:C *26447:C 6.05161e-06 -5 *1943:40 *26447:C 0.000125724 -6 *2045:97 *26447:C 5.33005e-05 -7 *2237:26 *26447:C 0.000127446 -*RES -1 *26446:X *26447:C 31.0107 -*END - -*D_NET *2388 0.00103581 -*CONN -*I *26449:A2 I *D sky130_fd_sc_hd__o31a_1 -*I *26447:X O *D sky130_fd_sc_hd__or4b_1 -*CAP -1 *26449:A2 0.000384651 -2 *26447:X 0.000384651 -3 *26449:A2 *26553:B1 1.65169e-05 -4 *26449:A2 *2483:8 0.000104974 -5 *26449:A1 *26449:A2 2.59355e-05 -6 *2040:62 *26449:A2 0.000103267 -7 *2062:39 *26449:A2 1.58163e-05 -*RES -1 *26447:X *26449:A2 33.5821 -*END - -*D_NET *2389 0.000982073 -*CONN -*I *26449:A3 I *D sky130_fd_sc_hd__o31a_1 -*I *26448:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26449:A3 0.000165736 -2 *26448:Y 0.000165736 -3 *26449:A3 *26553:B1 1.15172e-05 -4 *26449:A3 *6352:14 0.000295144 -5 *26449:B1 *26449:A3 4.87953e-05 -6 *26616:B *26449:A3 0.000295144 -*RES -1 *26448:Y *26449:A3 32.725 -*END - -*D_NET *2390 0.00318407 -*CONN -*I *26553:B1 I *D sky130_fd_sc_hd__o22a_1 -*I *26449:X O *D sky130_fd_sc_hd__o31a_1 -*CAP -1 *26553:B1 0.000822574 -2 *26449:X 0.000822574 -3 *26553:B1 *26522:A2 7.17717e-05 -4 *26553:B1 *2556:6 0.000334937 -5 *26553:B1 *3583:20 2.14378e-05 -6 *26449:A1 *26553:B1 2.59355e-05 -7 *26449:A2 *26553:B1 1.65169e-05 -8 *26449:A3 *26553:B1 1.15172e-05 -9 *26449:B1 *26553:B1 0.000120665 -10 *28011:A2 *26553:B1 1.24368e-05 -11 *1174:51 *26553:B1 3.63775e-05 -12 *1883:78 *26553:B1 0.000340788 -13 *1884:46 *26553:B1 1.38759e-05 -14 *2114:43 *26553:B1 0.000532665 -*RES -1 *26449:X *26553:B1 43.5286 -*END - -*D_NET *2391 0.00233291 -*CONN -*I *26488:A I *D sky130_fd_sc_hd__or4_1 -*I *26688:A I *D sky130_fd_sc_hd__or4_1 -*I *26450:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *26488:A 0.000165839 -2 *26688:A 0 -3 *26450:Y 0.000495974 -4 *2391:9 0.000661813 -5 *26488:A *26749:A 8.16036e-05 -6 *26488:A *5007:48 0 -7 *2391:9 *26688:D 0.000399848 -8 *2391:9 *2511:25 0.000133118 -9 *26333:A1 *26488:A 0.000394719 -*RES -1 *26450:Y *2391:9 18.1616 -2 *2391:9 *26688:A 9.3 -3 *2391:9 *26488:A 23.4384 -*END - -*D_NET *2392 0.00924706 -*CONN -*I *26570:A I *D sky130_fd_sc_hd__or4b_1 -*I *26688:B I *D sky130_fd_sc_hd__or4_1 -*I *26451:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *26570:A 0.000108407 -2 *26688:B 0.000150341 -3 *26451:Y 0.00022138 -4 *2392:10 0.00138443 -5 *2392:7 0.00134706 -6 *26570:A *26750:D_N 0.000215632 -7 *26570:A *2507:21 5.36e-05 -8 *26688:B *26690:B 0.000149896 -9 *26688:B *2507:21 9.7659e-05 -10 *2392:10 *26690:B 0.000446169 -11 *2392:10 *5007:48 0.00275248 -12 *26148:B *2392:10 1.90936e-05 -13 *26154:B *2392:10 0.000440766 -14 *26333:A1 *26688:B 0.000291993 -15 *1941:50 *2392:10 1.90936e-05 -16 *2034:19 *26570:A 0.000350136 -17 *2034:19 *26688:B 0.000181803 -18 *2043:145 *2392:7 0.000309273 -19 *2053:21 *2392:10 0.000707847 -*RES -1 *26451:Y *2392:7 16.8 -2 *2392:7 *2392:10 40.75 -3 *2392:10 *26688:B 23.8357 -4 *2392:10 *26570:A 13.1393 -*END - -*D_NET *2393 0.000578023 -*CONN -*I *26579:B1 I *D sky130_fd_sc_hd__a21oi_1 -*I *26452:X O *D sky130_fd_sc_hd__a31o_1 -*CAP -1 *26579:B1 0.00015486 -2 *26452:X 0.00015486 -3 *26579:B1 *4508:294 9.87627e-05 -4 *26452:A3 *26579:B1 0.000100485 -5 *2040:17 *26579:B1 6.90548e-05 -*RES -1 *26452:X *26579:B1 30.2964 -*END - -*D_NET *2394 0.00582158 -*CONN -*I *26742:C1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26723:C1 I *D sky130_fd_sc_hd__a311o_1 -*I *26453:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *26742:C1 0.00016242 -2 *26723:C1 4.29506e-05 -3 *26453:Y 0.000879976 -4 *2394:11 0.00108535 -5 *26723:C1 *26662:A3 5.55449e-05 -6 *26742:C1 *26662:A3 0.000319377 -7 *26742:C1 *4189:67 0 -8 *26107:A *2394:11 5.19775e-05 -9 *26196:A2 *26742:C1 5.33005e-05 -10 *26267:C1 *2394:11 1.98839e-05 -11 *26403:A2 *2394:11 0.000424029 -12 *26403:B1 *2394:11 0 -13 *26723:A1 *26723:C1 9.60939e-05 -14 *26723:A1 *2394:11 8.43535e-06 -15 *26723:B1 *2394:11 0.000637208 -16 *26742:A1 *26742:C1 5.33005e-05 -17 *1943:18 *26723:C1 5.55449e-05 -18 *1943:18 *26742:C1 0.000280548 -19 *1945:54 *2394:11 0.000105559 -20 *2049:8 *2394:11 5.52302e-05 -21 *2054:56 *2394:11 6.05161e-06 -22 *2099:30 *2394:11 0.00113053 -23 *2133:39 *2394:11 1.32552e-05 -24 *2133:135 *2394:11 0.000254503 -25 *2344:8 *2394:11 3.05203e-05 -*RES -1 *26453:Y *2394:11 34.8 -2 *2394:11 *26723:C1 15.4786 -3 *2394:11 *26742:C1 18.7107 -*END - -*D_NET *2395 0.00512629 -*CONN -*I *26491:B1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26750:B I *D sky130_fd_sc_hd__or4b_1 -*I *26679:A I *D sky130_fd_sc_hd__or4_1 -*I *26454:Y O *D sky130_fd_sc_hd__a21oi_1 -*CAP -1 *26491:B1 0 -2 *26750:B 0.000442405 -3 *26679:A 0.000218936 -4 *26454:Y 0 -5 *2395:20 0.000893316 -6 *2395:4 0.000669847 -7 *26679:A *26565:C1 1.59689e-05 -8 *26679:A *26679:C 9.60875e-05 -9 *26750:B *26491:C1 6.42242e-05 -10 *26750:B *26750:C 3.99614e-06 -11 *26750:B *2432:11 0.000227539 -12 *26750:B *2505:11 5.52302e-05 -13 *26750:B *2688:17 9.60875e-05 -14 *2395:20 *26467:B 0 -15 *2395:20 *26491:D1 0.000248616 -16 *2395:20 *26565:C1 0 -17 *26454:A2 *2395:20 3.69047e-06 -18 *26454:B1 *26679:A 5.33005e-05 -19 *26471:A *26750:B 3.17163e-05 -20 *26471:B *26750:B 7.3237e-05 -21 *26491:A1 *26750:B 5.7616e-05 -22 *26491:A1 *2395:20 0.000918106 -23 *26564:A *26679:A 3.17148e-05 -24 *26750:A *26750:B 1.63337e-05 -25 *1935:169 *26679:A 0.000161749 -26 *1935:169 *2395:20 0.00010096 -27 *1935:182 *2395:20 7.48301e-06 -28 *2031:35 *26679:A 0.000437756 -29 *2034:19 *26750:B 2.15245e-05 -30 *2034:30 *26679:A 0.000178847 -31 *2034:30 *2395:20 0 -32 *2040:24 *26750:B 0 -*RES -1 *26454:Y *2395:4 9.3 -2 *2395:4 *26679:A 24.5143 -3 *2395:4 *2395:20 17.5179 -4 *2395:20 *26750:B 23.0857 -5 *2395:20 *26491:B1 13.8 -*END - -*D_NET *2396 0.00378452 -*CONN -*I *26786:A I *D sky130_fd_sc_hd__nor4_1 -*I *26490:B I *D sky130_fd_sc_hd__or4_1 -*I *26455:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *26786:A 0.000342838 -2 *26490:B 0.000272597 -3 *26455:Y 0.000633184 -4 *2396:7 0.00124862 -5 *26490:B *26679:C 0.000202182 -6 *26490:B *26679:D 0 -7 *26490:B *2505:11 0.000225307 -8 *26490:B *2618:11 0.00038741 -9 *26490:B *2723:13 0 -10 *26786:A *26679:D 0 -11 *26564:A *26786:A 0 -12 *26564:B *2396:7 0.00025216 -13 *1942:7 *26786:A 1.40306e-05 -14 *2038:203 *2396:7 0.000206194 -*RES -1 *26455:Y *2396:7 22.1393 -2 *2396:7 *26490:B 21.2107 -3 *2396:7 *26786:A 19.6214 -*END - -*D_NET *2397 0.0109013 -*CONN -*I *26565:B1 I *D sky130_fd_sc_hd__a211o_1 -*I *26467:B I *D sky130_fd_sc_hd__or2_1 -*I *26456:Y O *D sky130_fd_sc_hd__nor3_1 -*CAP -1 *26565:B1 0 -2 *26467:B 0.000357323 -3 *26456:Y 0.000204048 -4 *2397:16 0.00270576 -5 *2397:11 0.00255248 -6 *26467:B *26491:D1 0 -7 *26467:B *26565:C1 1.02504e-05 -8 *26467:B *5544:15 0.00015732 -9 *2397:16 *26565:C1 0.000260512 -10 *26124:A2 *2397:11 9.71197e-05 -11 *26124:B1 *2397:11 5.33005e-05 -12 *256:22 *2397:11 0.000139981 -13 *1902:13 *2397:11 1.08359e-05 -14 *1935:156 *2397:11 7.83587e-05 -15 *1935:169 *2397:11 0.000446597 -16 *1935:169 *2397:16 3.61629e-06 -17 *2031:35 *2397:16 0.00308026 -18 *2033:12 *2397:11 0.000102545 -19 *2034:30 *26467:B 0.00039229 -20 *2034:30 *2397:16 0.000182868 -21 *2258:41 *2397:16 6.58013e-05 -22 *2395:20 *26467:B 0 -*RES -1 *26456:Y *2397:11 25.6214 -2 *2397:11 *2397:16 39.7143 -3 *2397:16 *26467:B 21.7821 -4 *2397:16 *26565:B1 13.8 -*END - -*D_NET *2398 0.00271446 -*CONN -*I *26567:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *26480:C1 I *D sky130_fd_sc_hd__a211oi_1 -*I *26457:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *26567:B1 6.37386e-05 -2 *26480:C1 0.000228404 -3 *26457:Y 0.000216699 -4 *2398:8 0.000508842 -5 *26480:C1 *26481:D 5.33005e-05 -6 *26567:B1 *26789:C 0.00021846 -7 *26460:A *26567:B1 0.00021846 -8 *26480:A2 *2398:8 0.000219711 -9 *26567:A1 *2398:8 7.77946e-05 -10 *1914:12 *26480:C1 0.000357895 -11 *1914:12 *2398:8 0.000114311 -12 *1959:130 *2398:8 1.21837e-05 -13 *2031:73 *26480:C1 0.00035856 -14 *2031:73 *2398:8 2.02794e-05 -15 *2038:104 *26480:C1 4.58194e-05 -*RES -1 *26457:Y *2398:8 17.6214 -2 *2398:8 *26480:C1 19.7286 -3 *2398:8 *26567:B1 15.9786 -*END - -*D_NET *2399 0.000794328 -*CONN -*I *26459:B I *D sky130_fd_sc_hd__or2_1 -*I *26458:X O *D sky130_fd_sc_hd__o32a_1 -*CAP -1 *26459:B 0.000149531 -2 *26458:X 0.000149531 -3 *26459:B *6180:11 5.52238e-05 -4 *1911:61 *26459:B 0.000152192 -5 *1955:31 *26459:B 0.000287849 -*RES -1 *26458:X *26459:B 31.9036 -*END - -*D_NET *2400 0.005097 -*CONN -*I *26572:B1 I *D sky130_fd_sc_hd__o41a_1 -*I *26481:A I *D sky130_fd_sc_hd__and4_1 -*I *26459:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26572:B1 1.67089e-05 -2 *26481:A 0.000733492 -3 *26459:X 0.000142502 -4 *2400:10 0.000892702 -5 *26481:A *26572:A4 0.000232758 -6 *26481:A *2401:23 0.000142891 -7 *26481:A *2495:14 0.000204888 -8 *26481:A *4508:65 9.20457e-05 -9 *26481:A *5673:281 0.000430653 -10 *26572:B1 *2512:20 2.89114e-05 -11 *26459:A *2400:10 5.52302e-05 -12 *26479:A1 *26481:A 0.000188379 -13 *26676:A1 *26481:A 0.00036083 -14 *1932:31 *26481:A 0.000348607 -15 *1955:31 *26481:A 0.000777492 -16 *1955:31 *2400:10 5.51406e-05 -17 *2131:139 *26481:A 0.000203533 -18 *2131:152 *26481:A 5.14271e-05 -19 *2187:22 *26481:A 2.68632e-05 -20 *2187:22 *2400:10 5.86416e-05 -21 *2240:29 *26572:B1 5.33005e-05 -*RES -1 *26459:X *2400:10 16.5143 -2 *2400:10 *26481:A 38.2107 -3 *2400:10 *26572:B1 14.3357 -*END - -*D_NET *2401 0.0170818 -*CONN -*I *26662:A3 I *D sky130_fd_sc_hd__a31o_1 -*I *26461:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *26460:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26662:A3 0.0010905 -2 *26461:A2 0 -3 *26460:Y 0 -4 *2401:34 0.00214807 -5 *2401:23 0.00144129 -6 *2401:4 0.00249886 -7 *26662:A3 *26742:D1 0.00056097 -8 *26662:A3 *4189:67 0 -9 *2401:23 *26789:C 8.35129e-05 -10 *2401:23 *2500:26 6.79591e-05 -11 *2401:23 *2500:28 0.000196156 -12 *2401:23 *6291:54 0.000188255 -13 *25998:A *26662:A3 0.000260574 -14 *25998:B *26662:A3 9.00255e-05 -15 *26059:A *2401:34 0.000360816 -16 *26073:A_N *2401:23 5.49995e-05 -17 *26073:B *2401:23 2.43805e-05 -18 *26123:B1 *2401:23 1.90936e-05 -19 *26225:D1 *26662:A3 5.52238e-05 -20 *26461:B1 *2401:23 0.000107786 -21 *26478:A1 *2401:23 5.77724e-05 -22 *26479:B2 *2401:23 2.59355e-05 -23 *26480:A2 *2401:23 0.000296297 -24 *26481:A *2401:23 0.000142891 -25 *26662:A2 *26662:A3 0.000224007 -26 *26723:C1 *26662:A3 5.55449e-05 -27 *26742:C1 *26662:A3 0.000319377 -28 *1908:44 *2401:34 0.000133243 -29 *1908:46 *2401:34 3.22095e-05 -30 *1908:51 *26662:A3 0.000202692 -31 *1908:51 *2401:34 2.5815e-05 -32 *1928:14 *2401:34 3.37708e-05 -33 *1931:45 *2401:23 0.000216755 -34 *1943:18 *26662:A3 8.44271e-06 -35 *1953:24 *2401:34 0.000671375 -36 *1953:38 *2401:34 6.85014e-05 -37 *1955:99 *2401:34 1.90936e-05 -38 *1958:19 *2401:34 0.000110424 -39 *1962:30 *26662:A3 1.39841e-05 -40 *2024:25 *2401:23 5.52302e-05 -41 *2024:25 *2401:34 5.52238e-05 -42 *2031:77 *2401:34 0 -43 *2031:81 *26662:A3 0.00063344 -44 *2033:40 *2401:34 0.0011195 -45 *2038:104 *2401:23 0.000307343 -46 *2038:107 *2401:34 0.000542814 -47 *2038:112 *2401:34 0.000403112 -48 *2103:68 *26662:A3 0.000454096 -49 *2103:70 *26662:A3 6.73939e-05 -50 *2103:79 *26662:A3 0.000609166 -51 *2112:8 *26662:A3 1.30669e-05 -52 *2112:8 *2401:34 0.000243616 -53 *2131:139 *2401:23 0.00014062 -54 *2136:158 *2401:34 2.09897e-05 -55 *2139:11 *26662:A3 0.000121573 -56 *2154:111 *2401:23 9.86486e-06 -57 *2226:62 *2401:23 0.00026023 -58 *2234:19 *26662:A3 6.42095e-05 -59 *2250:64 *26662:A3 5.37513e-05 -60 *2385:10 *26662:A3 0 -*RES -1 *26460:Y *2401:4 9.3 -2 *2401:4 *2401:23 42.5 -3 *2401:23 *26461:A2 9.3 -4 *2401:4 *2401:34 35.5625 -5 *2401:34 *26662:A3 46.3804 -*END - -*D_NET *2402 0.00379882 -*CONN -*I *26479:C1 I *D sky130_fd_sc_hd__o221a_1 -*I *26573:B1 I *D sky130_fd_sc_hd__o41a_1 -*I *26461:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *26479:C1 0 -2 *26573:B1 0.000453363 -3 *26461:X 0.000121109 -4 *2402:11 0.000574472 -5 *26573:B1 *2513:7 0.000137983 -6 *26573:B1 *5673:281 0.000145164 -7 *26573:B1 *5914:99 0.000108535 -8 *26458:B2 *26573:B1 0.000148303 -9 *26479:B1 *2402:11 0.000123498 -10 *1911:61 *26573:B1 5.50252e-05 -11 *2046:10 *2402:11 0.000123467 -12 *2131:158 *26573:B1 0.000660813 -13 *2131:163 *26573:B1 5.52302e-05 -14 *2157:8 *26573:B1 0.000912426 -15 *2176:112 *2402:11 0.000123467 -16 *2217:38 *2402:11 1.32293e-05 -17 *2226:62 *2402:11 4.27381e-05 -*RES -1 *26461:X *2402:11 21.7286 -2 *2402:11 *26573:B1 33.675 -3 *2402:11 *26479:C1 9.3 -*END - -*D_NET *2403 0.000496771 -*CONN -*I *26492:A I *D sky130_fd_sc_hd__or4_1 -*I *26462:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *26492:A 9.81342e-05 -2 *26462:X 9.81342e-05 -3 *26492:A *26492:C 0.000127446 -4 *26492:A *26692:B 1.07719e-05 -5 *26341:B *26492:A 0.000148182 -6 *26578:A *26492:A 1.41029e-05 -*RES -1 *26462:X *26492:A 30.0821 -*END - -*D_NET *2404 0.00927993 -*CONN -*I *26751:B I *D sky130_fd_sc_hd__nor3_1 -*I *26463:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26751:B 0.00123119 -2 *26463:Y 0.00125901 -3 *2404:10 0.0024902 -4 *26751:B *26686:B 9.91086e-05 -5 *26751:B *26751:C 6.8646e-06 -6 *26751:B *26790:A2 3.25078e-05 -7 *26751:B *2621:14 0.00142153 -8 *26751:B *2685:11 0.000101545 -9 *26751:B *2689:17 5.33005e-05 -10 *2404:10 *26582:C1 0.000142905 -11 *2404:10 *2501:11 0.00055516 -12 *2404:10 *2509:10 0.000185419 -13 *26463:A *2404:10 1.92789e-05 -14 *1950:10 *2404:10 0.000191241 -15 *1996:25 *26751:B 2.04825e-05 -16 *2217:82 *2404:10 0.00147018 -*RES -1 *26463:Y *2404:10 45.3714 -2 *2404:10 *26751:B 35.175 -*END - -*D_NET *2405 0.000695167 -*CONN -*I *26689:C I *D sky130_fd_sc_hd__or4_1 -*I *26464:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *26689:C 0.0002394 -2 *26464:Y 0.0002394 -3 *26689:C *26689:D 2.89016e-05 -4 *26464:B1 *26689:C 0.000135028 -5 *26475:A2 *26689:C 4.66711e-05 -6 *26689:A *26689:C 5.7661e-06 -*RES -1 *26464:Y *26689:C 22.4393 -*END - -*D_NET *2406 0.00410935 -*CONN -*I *26786:B I *D sky130_fd_sc_hd__nor4_1 -*I *26679:B I *D sky130_fd_sc_hd__or4_1 -*I *26489:A I *D sky130_fd_sc_hd__or4_1 -*I *26465:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *26786:B 0.000210512 -2 *26679:B 0.000130483 -3 *26489:A 0.000281785 -4 *26465:Y 3.92359e-05 -5 *2406:8 0.000610709 -6 *2406:7 0.000448189 -7 *26489:A *2687:10 9.3111e-05 -8 *26489:A *2723:13 0.000527792 -9 *26489:A *5544:15 0.000117553 -10 *26786:B *26679:D 0 -11 *26786:B *26786:C 5.52302e-05 -12 *26786:B *26786:D 5.58941e-05 -13 *26786:B *2617:5 0.000181796 -14 *26786:B *2723:13 0.000197984 -15 *2406:7 *6203:31 5.52238e-05 -16 *2406:8 *2723:13 0.000378429 -17 *26465:A1 *26786:B 2.37761e-05 -18 *26465:A1 *2406:8 5.26277e-05 -19 *1935:169 *26679:B 0.000181796 -20 *1942:7 *26786:B 0.000271345 -21 *2032:10 *26489:A 8.17615e-05 -22 *2032:19 *26489:A 0.000114121 -23 *2056:53 *26489:A 0 -24 *2056:53 *26786:B 0 -25 *2056:53 *2406:8 0 -*RES -1 *26465:Y *2406:7 14.3357 -2 *2406:7 *2406:8 4.98214 -3 *2406:8 *26489:A 22.7821 -4 *2406:8 *26679:B 15.5679 -5 *2406:7 *26786:B 20.1571 -*END - -*D_NET *2407 0.00184926 -*CONN -*I *26558:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *26466:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *26558:B1 0.000439455 -2 *26466:Y 0.000439455 -3 *26049:B *26558:B1 0.000314139 -4 *26682:A1 *26558:B1 4.58194e-05 -5 *1928:17 *26558:B1 0.000177821 -6 *2018:18 *26558:B1 0.000122472 -7 *2051:41 *26558:B1 2.15363e-05 -8 *2068:16 *26558:B1 5.31122e-05 -9 *2069:21 *26558:B1 0.000235449 -*RES -1 *26466:Y *26558:B1 36.4393 -*END - -*D_NET *2408 0.000503424 -*CONN -*I *26490:C I *D sky130_fd_sc_hd__or4_1 -*I *26467:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26490:C 9.69082e-05 -2 *26467:X 9.69082e-05 -3 *26490:C *2505:11 0.000128154 -4 *26490:A *26490:C 0.000181454 -*RES -1 *26467:X *26490:C 30.1893 -*END - -*D_NET *2409 0.00279843 -*CONN -*I *26692:A I *D sky130_fd_sc_hd__or2_1 -*I *26492:B I *D sky130_fd_sc_hd__or4_1 -*I *26468:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26692:A 0.000151545 -2 *26492:B 0.000408545 -3 *26468:Y 0.000291066 -4 *2409:6 0.000851156 -5 *26492:B *2631:9 1.65169e-05 -6 *26692:A *28813:CLK 7.92879e-05 -7 *26692:A *2631:9 6.91157e-05 -8 *2409:6 *28813:CLK 0.000134738 -9 *2409:6 *2631:9 0.000106403 -10 *26576:B *26492:B 9.41642e-05 -11 *1880:18 *2409:6 0 -12 *1930:40 *26692:A 4.00025e-05 -13 *1930:40 *2409:6 0.000185436 -14 *1930:42 *2409:6 2.61337e-05 -15 *1930:49 *2409:6 3.69697e-05 -16 *2282:26 *26492:B 0.000307349 -*RES -1 *26468:Y *2409:6 21.2107 -2 *2409:6 *26492:B 18.8536 -3 *2409:6 *26692:A 17.1036 -*END - -*D_NET *2410 0.00264736 -*CONN -*I *26554:C I *D sky130_fd_sc_hd__or3_1 -*I *26493:A1 I *D sky130_fd_sc_hd__o21ba_1 -*I *26469:Y O *D sky130_fd_sc_hd__a21oi_1 -*CAP -1 *26554:C 0.000150089 -2 *26493:A1 2.90092e-05 -3 *26469:Y 0.000472017 -4 *2410:7 0.000651115 -5 *26554:C *2494:9 6.42095e-05 -6 *2410:7 *5545:11 0.000642691 -7 *26155:B1 *26493:A1 7.83587e-05 -8 *26155:B1 *26554:C 0.000142082 -9 *26554:A *26554:C 5.52238e-05 -10 *1862:11 *26554:C 0.000132879 -11 *2282:26 *26493:A1 7.83587e-05 -12 *2282:26 *26554:C 0.000151333 -*RES -1 *26469:Y *2410:7 20.0857 -2 *2410:7 *26493:A1 14.8357 -3 *2410:7 *26554:C 18.3357 -*END - -*D_NET *2411 0.00893475 -*CONN -*I *26492:C I *D sky130_fd_sc_hd__or4_1 -*I *26470:X O *D sky130_fd_sc_hd__and4_1 -*CAP -1 *26492:C 0.000869715 -2 *26470:X 0.00102702 -3 *2411:21 0.00189674 -4 *26492:C *26578:C 2.14757e-05 -5 *26492:C *26584:B 5.52238e-05 -6 *26492:C *26683:B 0.000778857 -7 *26492:C *26692:B 0.000137983 -8 *26492:C *26782:C 3.97677e-05 -9 *26492:C *26784:A 0.000383643 -10 *26492:C *26784:C 4.69643e-05 -11 *26492:C *2517:13 0.000118734 -12 *26492:C *2689:17 0.00025009 -13 *26492:C *5615:12 2.61337e-05 -14 *26492:C *5615:21 2.16719e-05 -15 *2411:21 *26563:A 0.000649645 -16 *2411:21 *26563:C 4.10926e-05 -17 *2411:21 *26585:A 0.000130393 -18 *2411:21 *26585:B 0.000513591 -19 *2411:21 *26752:C 2.37575e-05 -20 *2411:21 *26790:A1 3.91935e-05 -21 *2411:21 *2501:11 0.000219711 -22 *2411:21 *2525:13 7.48301e-06 -23 *2411:21 *2620:13 0.000470798 -24 *2411:21 *2687:17 0.000100831 -25 *26341:B *26492:C 6.16744e-05 -26 *26462:A *26492:C 1.98839e-05 -27 *26492:A *26492:C 0.000127446 -28 *26578:A *26492:C 0.000416584 -29 *26683:A *26492:C 5.33005e-05 -30 *26751:A *26492:C 1.98839e-05 -31 *26751:A *2411:21 1.97695e-05 -32 *1986:96 *2411:21 0.000100831 -33 *2007:64 *2411:21 0.000121573 -34 *2078:11 *2411:21 0.000123288 -*RES -1 *26470:X *2411:21 49.5857 -2 *2411:21 *26492:C 39.3 -*END - -*D_NET *2412 0.000335943 -*CONN -*I *26491:C1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26471:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26491:C1 7.98342e-05 -2 *26471:Y 7.98342e-05 -3 *26491:C1 *2432:11 2.79092e-05 -4 *26491:C1 *6180:11 2.89114e-05 -5 *26750:B *26491:C1 6.42242e-05 -6 *2034:30 *26491:C1 0 -7 *2040:17 *26491:C1 5.52302e-05 -*RES -1 *26471:Y *26491:C1 29.7786 -*END - -*D_NET *2413 0.0002095 -*CONN -*I *26489:B I *D sky130_fd_sc_hd__or4_1 -*I *26472:Y O *D sky130_fd_sc_hd__o21bai_1 -*CAP -1 *26489:B 2.46695e-05 -2 *26472:Y 2.46695e-05 -3 *26465:B1 *26489:B 8.00806e-05 -4 *2056:53 *26489:B 8.00806e-05 -*RES -1 *26472:Y *26489:B 28.6357 -*END - -*D_NET *2414 0.00122354 -*CONN -*I *26489:C I *D sky130_fd_sc_hd__or4_1 -*I *26473:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *26489:C 0.000281452 -2 *26473:Y 0.000281452 -3 *26489:C *6192:13 2.17375e-05 -4 *26465:B1 *26489:C 0.000317602 -5 *26473:B1 *26489:C 3.69047e-06 -6 *26678:A *26489:C 0.000317602 -*RES -1 *26473:Y *26489:C 33.85 -*END - -*D_NET *2415 0.00201696 -*CONN -*I *26748:B I *D sky130_fd_sc_hd__or4b_1 -*I *26488:B I *D sky130_fd_sc_hd__or4_1 -*I *26474:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26748:B 0.000119433 -2 *26488:B 0.000126013 -3 *26474:Y 0.000251648 -4 *2415:8 0.000497094 -5 *26748:B *6192:9 0.000186662 -6 *26474:A *26488:B 0.000312743 -7 *26474:A *2415:8 7.6644e-05 -8 *26748:A *26488:B 0.00013054 -9 *26748:D_N *26488:B 0 -10 *26748:D_N *2415:8 0 -11 *1935:169 *2415:8 0.000316184 -*RES -1 *26474:Y *2415:8 17.8357 -2 *2415:8 *26488:B 17.8714 -3 *2415:8 *26748:B 15.5679 -*END - -*D_NET *2416 0.000525434 -*CONN -*I *26486:A I *D sky130_fd_sc_hd__or4_1 -*I *26475:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *26486:A 0.000118855 -2 *26475:Y 0.000118855 -3 *26475:B1 *26486:A 3.14163e-05 -4 *2016:14 *26486:A 0.000128154 -5 *2078:11 *26486:A 0.000128154 -*RES -1 *26475:Y *26486:A 30.1893 -*END - -*D_NET *2417 0.00135479 -*CONN -*I *26486:B I *D sky130_fd_sc_hd__or4_1 -*I *26476:X O *D sky130_fd_sc_hd__a31o_1 -*CAP -1 *26486:B 0.000443342 -2 *26476:X 0.000443342 -3 *26486:B *26486:C 7.95981e-05 -4 *26486:B *26486:D 4.87854e-05 -5 *26139:A *26486:B 0.000127183 -6 *26475:A1 *26486:B 2.61099e-05 -7 *26476:A1 *26486:B 9.41642e-05 -8 *26476:A2 *26486:B 6.57032e-05 -9 *1950:22 *26486:B 2.13481e-06 -10 *2016:14 *26486:B 2.44286e-05 -*RES -1 *26476:X *26486:B 33.8857 -*END - -*D_NET *2418 0.000918849 -*CONN -*I *26484:C I *D sky130_fd_sc_hd__nand4_1 -*I *26477:X O *D sky130_fd_sc_hd__o21a_1 -*CAP -1 *26484:C 0.000133381 -2 *26477:X 0.000133381 -3 *26484:C *26484:D 0.000326044 -4 *26562:C1 *26484:C 0.000326044 -*RES -1 *26477:X *26484:C 31.975 -*END - -*D_NET *2419 0.000354567 -*CONN -*I *26481:B I *D sky130_fd_sc_hd__and4_1 -*I *26478:X O *D sky130_fd_sc_hd__o31a_1 -*CAP -1 *26481:B 7.32264e-05 -2 *26478:X 7.32264e-05 -3 *26481:B *26481:C 7.74068e-05 -4 *1931:45 *26481:B 5.33005e-05 -5 *2131:139 *26481:B 7.74068e-05 -*RES -1 *26478:X *26481:B 29.1714 -*END - -*D_NET *2420 0.000863076 -*CONN -*I *26481:C I *D sky130_fd_sc_hd__and4_1 -*I *26479:X O *D sky130_fd_sc_hd__o221a_1 -*CAP -1 *26481:C 0.000183111 -2 *26479:X 0.000183111 -3 *26481:B *26481:C 7.74068e-05 -4 *2038:87 *26481:C 8.65881e-05 -5 *2038:94 *26481:C 7.75057e-05 -6 *2131:139 *26481:C 0.000158354 -7 *2154:122 *26481:C 4.36989e-05 -8 *2226:62 *26481:C 5.33005e-05 -*RES -1 *26479:X *26481:C 31.6 -*END - -*D_NET *2421 0.00199527 -*CONN -*I *26481:D I *D sky130_fd_sc_hd__and4_1 -*I *26480:Y O *D sky130_fd_sc_hd__a211oi_1 -*CAP -1 *26481:D 0.000450738 -2 *26480:Y 0.000450738 -3 *26108:A *26481:D 9.0145e-05 -4 *26480:C1 *26481:D 5.33005e-05 -5 *1186:15 *26481:D 0.00018452 -6 *2038:104 *26481:D 0.000133519 -7 *2154:122 *26481:D 0.000632314 -*RES -1 *26480:Y *26481:D 28.5821 -*END - -*D_NET *2422 0.00272402 -*CONN -*I *26482:C1 I *D sky130_fd_sc_hd__o211a_1 -*I *26481:X O *D sky130_fd_sc_hd__and4_1 -*CAP -1 *26482:C1 0.000493795 -2 *26481:X 0.000493795 -3 *1186:15 *26482:C1 0.000199656 -4 *1771:43 *26482:C1 0.000696498 -5 *1907:22 *26482:C1 0.000480441 -6 *1907:27 *26482:C1 0.000222885 -7 *2038:104 *26482:C1 0.000136951 -*RES -1 *26481:X *26482:C1 40.35 -*END - -*D_NET *2423 0.00284226 -*CONN -*I *26483:B1 I *D sky130_fd_sc_hd__o211a_1 -*I *26482:X O *D sky130_fd_sc_hd__o211a_1 -*CAP -1 *26483:B1 0.000506399 -2 *26482:X 0.000506399 -3 *26483:B1 *26194:B 0.000475802 -4 *26483:B1 *26562:A3 0.000110952 -5 *26483:B1 *2502:12 7.46146e-05 -6 *26483:B1 *6291:58 1.90323e-05 -7 *26483:B1 *6291:60 2.03618e-05 -8 *26482:A1 *26483:B1 0.000220494 -9 *26483:A1 *26483:B1 6.19758e-05 -10 *26483:A2 *26483:B1 3.45257e-05 -11 *26746:A1 *26483:B1 3.99614e-06 -12 *1925:8 *26483:B1 0.00010663 -13 *1944:23 *26483:B1 0 -14 *2025:114 *26483:B1 2.28598e-05 -15 *2147:136 *26483:B1 0.000503957 -16 *2187:73 *26483:B1 6.57914e-05 -17 *2226:84 *26483:B1 0.000108474 -*RES -1 *26482:X *26483:B1 43.2961 -*END - -*D_NET *2424 0.00307745 -*CONN -*I *26484:D I *D sky130_fd_sc_hd__nand4_1 -*I *26483:X O *D sky130_fd_sc_hd__o211a_1 -*CAP -1 *26484:D 0.000767961 -2 *26483:X 0.000767961 -3 *26484:C *26484:D 0.000326044 -4 *26562:C1 *26484:D 2.9946e-05 -5 *26746:A1 *26484:D 7.48301e-06 -6 *26746:B1 *26484:D 0.000383166 -7 *1906:20 *26484:D 2.7604e-05 -8 *1984:16 *26484:D 0.000499919 -9 *2147:136 *26484:D 0.00026737 -*RES -1 *26483:X *26484:D 41.1 -*END - -*D_NET *2425 0.00508964 -*CONN -*I *26486:C I *D sky130_fd_sc_hd__or4_1 -*I *26484:Y O *D sky130_fd_sc_hd__nand4_1 -*CAP -1 *26486:C 0.00134804 -2 *26484:Y 0.00134804 -3 *26139:A *26486:C 6.17624e-05 -4 *26486:B *26486:C 7.95981e-05 -5 *26689:A *26486:C 0.000237913 -6 *2016:14 *26486:C 0.000416353 -7 *2043:143 *26486:C 0.000153144 -8 *2136:158 *26486:C 0.00144479 -*RES -1 *26484:Y *26486:C 49.225 -*END - -*D_NET *2426 0.000598077 -*CONN -*I *26486:D I *D sky130_fd_sc_hd__or4_1 -*I *26485:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *26486:D 0.000149696 -2 *26485:Y 0.000149696 -3 *26139:A *26486:D 0.00014491 -4 *26475:A1 *26486:D 3.76357e-05 -5 *26477:A2 *26486:D 2.06178e-05 -6 *26486:B *26486:D 4.87854e-05 -7 *1188:85 *26486:D 3.71881e-05 -8 *2217:82 *26486:D 9.54798e-06 -*RES -1 *26485:Y *26486:D 30.4929 -*END - -*D_NET *2427 0.0099439 -*CONN -*I *26488:C I *D sky130_fd_sc_hd__or4_1 -*I *26486:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26488:C 0 -2 *26486:X 0.00426374 -3 *2427:21 0.00426374 -4 *2427:21 *26488:D 0.000187892 -5 *2427:21 *2502:12 2.83129e-05 -6 *26473:A1 *2427:21 0.000108609 -7 *26487:A *2427:21 0.000102658 -8 *1941:22 *2427:21 0.00014833 -9 *1942:14 *2427:21 0.000110849 -10 *1966:104 *2427:21 0.000197691 -11 *2007:64 *2427:21 4.5534e-05 -12 *2016:14 *2427:21 0.000111676 -13 *2032:35 *2427:21 0 -14 *2032:263 *2427:21 0.000155308 -15 *2038:132 *2427:21 3.48509e-05 -16 *2078:11 *2427:21 0.000184704 -*RES -1 *26486:X *2427:21 47.2958 -2 *2427:21 *26488:C 9.3 -*END - -*D_NET *2428 0.00227455 -*CONN -*I *26488:D I *D sky130_fd_sc_hd__or4_1 -*I *26561:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *26487:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26488:D 0.000566121 -2 *26561:B1 9.73238e-05 -3 *26487:Y 0.000166869 -4 *2428:8 0.000830313 -5 *26561:B1 *26561:A2 7.88052e-05 -6 *2428:8 *25999:B 0 -7 *2428:8 *26748:C 0.000101545 -8 *26331:B *2428:8 0.000146638 -9 *26452:A2 *2428:8 0 -10 *26561:A1 *26561:B1 9.90431e-05 -11 *2427:21 *26488:D 0.000187892 -*RES -1 *26487:Y *2428:8 22.0679 -2 *2428:8 *26561:B1 11.4964 -3 *2428:8 *26488:D 18.05 -*END - -*D_NET *2429 0.00129585 -*CONN -*I *26489:D I *D sky130_fd_sc_hd__or4_1 -*I *26488:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26489:D 0.000519747 -2 *26488:X 0.000519747 -3 *26489:D *2687:10 2.89016e-05 -4 *26489:D *5544:15 2.59355e-05 -5 *26455:B1 *26489:D 5.26091e-05 -6 *26678:A *26489:D 0.000148911 -*RES -1 *26488:X *26489:D 34.725 -*END - -*D_NET *2430 0.00134661 -*CONN -*I *26490:D I *D sky130_fd_sc_hd__or4_1 -*I *26489:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26490:D 0.000323795 -2 *26489:X 0.000323795 -3 *26490:D *2618:11 0.000153047 -4 *26490:D *5544:15 0.00039293 -5 *26565:A2 *26490:D 0.000153047 -*RES -1 *26489:X *26490:D 33.3679 -*END - -*D_NET *2431 0.0014547 -*CONN -*I *26491:D1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26490:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26491:D1 0.000601195 -2 *26490:X 0.000601195 -3 *26467:B *26491:D1 0 -4 *26490:A *26491:D1 3.69047e-06 -5 *2034:30 *26491:D1 0 -6 *2395:20 *26491:D1 0.000248616 -*RES -1 *26490:X *26491:D1 37.3143 -*END - -*D_NET *2432 0.00603775 -*CONN -*I *26492:D I *D sky130_fd_sc_hd__or4_1 -*I *26491:X O *D sky130_fd_sc_hd__a2111o_1 -*CAP -1 *26492:D 0.000813389 -2 *26491:X 0.000493382 -3 *2432:11 0.00130677 -4 *26492:D *26692:B 0.00011159 -5 *26492:D *2631:9 0.0001399 -6 *26492:D *5615:8 4.18848e-05 -7 *26492:D *5615:10 3.70696e-05 -8 *26492:D *5615:12 5.21663e-05 -9 *26151:B2 *2432:11 0 -10 *26153:A2 *26492:D 0.000239603 -11 *26462:A *26492:D 0.000247771 -12 *26471:A *2432:11 2.66026e-05 -13 *26471:B *2432:11 4.25523e-05 -14 *26491:C1 *2432:11 2.79092e-05 -15 *26576:B *26492:D 7.02611e-05 -16 *26750:B *2432:11 0.000227539 -17 *1997:11 *26492:D 0.00027557 -18 *2025:12 *26492:D 0 -19 *2034:30 *2432:11 0 -20 *2040:24 *26492:D 0.000174591 -21 *2063:14 *26492:D 0.0017092 -*RES -1 *26491:X *2432:11 29.9964 -2 *2432:11 *26492:D 41.3893 -*END - -*D_NET *2433 0.00134186 -*CONN -*I *26493:A2 I *D sky130_fd_sc_hd__o21ba_1 -*I *26492:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26493:A2 0.000256105 -2 *26492:X 0.000256105 -3 *26493:A2 *2631:9 0.00018077 -4 *26155:B1 *26493:A2 0.000332779 -5 *26160:A3 *26493:A2 1.07876e-05 -6 *1935:193 *26493:A2 0.000305314 -*RES -1 *26492:X *26493:A2 33.7429 -*END - -*D_NET *2434 0.01039 -*CONN -*I *26552:B I *D sky130_fd_sc_hd__or4_1 -*I *26493:X O *D sky130_fd_sc_hd__o21ba_1 -*CAP -1 *26552:B 7.00877e-05 -2 *26493:X 0 -3 *2434:6 0.00122249 -4 *2434:5 0.0011524 -5 *26552:B *3581:76 9.75679e-05 -6 *2434:6 *26655:C 0.00015234 -7 *26160:A3 *2434:6 5.90443e-06 -8 *26160:A4 *2434:6 0.00067831 -9 *26160:B1 *2434:6 0.00031688 -10 *26551:B1 *2434:6 0.0020303 -11 *26554:B *2434:6 0.00027241 -12 *2114:12 *2434:6 0.000303947 -13 *2342:31 *2434:6 0.000695232 -14 *2342:43 *2434:6 0.0033921 -*RES -1 *26493:X *2434:5 13.8 -2 *2434:5 *2434:6 52.0357 -3 *2434:6 *26552:B 14.7464 -*END - -*D_NET *2435 0.00741191 -*CONN -*I *26496:B I *D sky130_fd_sc_hd__nor2_1 -*I *26513:B1 I *D sky130_fd_sc_hd__a21oi_1 -*I *26510:A2 I *D sky130_fd_sc_hd__o211a_1 -*I *26494:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26496:B 0.000124412 -2 *26513:B1 0 -3 *26510:A2 0.000825165 -4 *26494:Y 3.64258e-05 -5 *2435:11 0.00130635 -6 *2435:6 0.000642022 -7 *26496:B *3461:11 0.000105471 -8 *26510:A2 *26511:B 5.52302e-05 -9 *26510:A2 *26774:C1 7.69776e-06 -10 *26510:A2 *2557:25 3.3505e-05 -11 *26510:A2 *2606:11 0.000612067 -12 *26510:A2 *5664:86 0 -13 *2435:6 *2571:11 0.000128161 -14 *2435:11 *26512:A2 0.000636169 -15 *2435:11 *26798:B 4.05548e-05 -16 *2435:11 *26798:D_N 0.00014802 -17 *2435:11 *2436:17 5.52302e-05 -18 *2435:11 *2571:11 0.000602639 -19 *26084:B *26510:A2 2.33863e-05 -20 *26496:A *26496:B 5.33005e-05 -21 *26503:B1 *2435:6 0.000117189 -22 *26503:B1 *2435:11 0.000197991 -23 *26510:A1 *26510:A2 2.97137e-05 -24 *26510:C1 *26510:A2 0.000311887 -25 *26512:C1 *2435:11 5.52302e-05 -26 *26513:A1 *2435:11 5.062e-05 -27 *26513:A2 *2435:11 0.000216755 -28 *26590:B1 *26510:A2 0 -29 *26594:A1 *26510:A2 0.000352371 -30 *1938:36 *26510:A2 0.00044202 -31 *2016:80 *26510:A2 6.39901e-05 -32 *2024:95 *26510:A2 2.06112e-05 -33 *2383:8 *2435:11 0.000117728 -*RES -1 *26494:Y *2435:6 15.4429 -2 *2435:6 *2435:11 19.2143 -3 *2435:11 *26510:A2 35.3424 -4 *2435:11 *26513:B1 9.3 -5 *2435:6 *26496:B 15.9786 -*END - -*D_NET *2436 0.0125897 -*CONN -*I *26516:A2 I *D sky130_fd_sc_hd__a2111o_1 -*I *26517:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *26515:A2 I *D sky130_fd_sc_hd__a211o_1 -*I *26512:A2 I *D sky130_fd_sc_hd__a211o_1 -*I *26495:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26516:A2 0.000802057 -2 *26517:A2 0.000336719 -3 *26515:A2 0.000714153 -4 *26512:A2 0.000879371 -5 *26495:Y 0.000643825 -6 *2436:38 0.00105087 -7 *2436:36 0.00125262 -8 *2436:17 0.00197376 -9 *26515:A2 *26670:D1 0.000246787 -10 *26516:A2 *26516:B1 1.98839e-05 -11 *26516:A2 *26673:C 1.24368e-05 -12 *26516:A2 *26806:C1 0.000479127 -13 *26516:A2 *2442:19 4.32957e-05 -14 *26516:A2 *2534:11 4.7091e-05 -15 *26516:A2 *2609:7 5.52238e-05 -16 *26517:A2 *26517:B1 0.000159279 -17 *26517:A2 *2458:10 7.54759e-05 -18 *26517:A2 *2698:11 5.49489e-05 -19 *2436:17 *26411:A1 6.05161e-06 -20 *2436:17 *26594:A2 1.3701e-05 -21 *2436:17 *26798:C 7.02611e-05 -22 *2436:17 *2534:11 7.76138e-05 -23 *2436:17 *2734:16 0.000175892 -24 *2436:17 *5007:48 5.95847e-05 -25 *2436:36 *26632:A 0.000261234 -26 *2436:36 *26755:D1 9.80818e-05 -27 *2436:36 *26756:B 0.000151333 -28 *2436:36 *2571:11 0.000283788 -29 *26495:A *2436:17 2.51343e-06 -30 *26512:A1 *26512:A2 1.47439e-05 -31 *26512:B1 *26512:A2 2.89114e-05 -32 *26512:C1 *26512:A2 0.000125453 -33 *26517:A1 *26517:A2 3.04452e-05 -34 *1518:15 *26516:A2 0 -35 *1935:92 *26516:A2 0.000130965 -36 *1950:64 *26512:A2 2.87555e-06 -37 *2008:12 *2436:17 0.000333206 -38 *2009:33 *2436:17 0.000154455 -39 *2024:95 *26515:A2 8.86927e-05 -40 *2061:68 *2436:36 2.04825e-05 -41 *2083:31 *2436:36 0.000357405 -42 *2352:24 *26516:A2 0.000109657 -43 *2383:8 *2436:36 0.000454044 -44 *2435:11 *26512:A2 0.000636169 -45 *2435:11 *2436:17 5.52302e-05 -*RES -1 *26495:Y *2436:17 30.0679 -2 *2436:17 *26512:A2 20.425 -3 *2436:17 *2436:36 19 -4 *2436:36 *2436:38 4.5 -5 *2436:38 *26515:A2 27.7464 -6 *2436:38 *26517:A2 14.9429 -7 *2436:36 *26516:A2 30.3045 -*END - -*D_NET *2437 0.002257 -*CONN -*I *26518:C I *D sky130_fd_sc_hd__or4b_1 -*I *26622:C1 I *D sky130_fd_sc_hd__a311o_1 -*I *26496:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26518:C 0 -2 *26622:C1 0.000172569 -3 *26496:Y 0.000199554 -4 *2437:7 0.000372122 -5 *2437:7 *3461:11 0.000388045 -6 *26496:A *2437:7 2.59355e-05 -7 *2019:94 *26622:C1 0.00054939 -8 *2024:65 *26622:C1 0.00054939 -*RES -1 *26496:Y *2437:7 13.55 -2 *2437:7 *26622:C1 25.4071 -3 *2437:7 *26518:C 9.3 -*END - -*D_NET *2438 0.00462222 -*CONN -*I *26522:A2 I *D sky130_fd_sc_hd__o41a_1 -*I *26635:A1 I *D sky130_fd_sc_hd__o31a_1 -*I *26497:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26522:A2 0.000699201 -2 *26635:A1 0.000599614 -3 *26497:Y 0 -4 *2438:5 0.00129882 -5 *26522:A2 *26522:A3 9.07716e-05 -6 *26522:A2 *28850:A 9.44309e-06 -7 *26522:A2 *2556:6 2.5569e-05 -8 *26522:A2 *3510:10 0.000277405 -9 *26522:A2 *6353:10 0.000257556 -10 *26635:A1 *26635:A3 4.58194e-05 -11 *26635:A1 *26635:B1 0.000386115 -12 *26635:A1 *3510:10 5.94639e-05 -13 *26635:A1 *6036:52 0.000104604 -14 *26635:A1 *6353:10 0.000406861 -15 *26553:B1 *26522:A2 7.17717e-05 -16 *28401:D *26522:A2 7.85375e-05 -17 *1884:46 *26522:A2 0.00012249 -18 *2114:43 *26522:A2 8.81837e-05 -*RES -1 *26497:Y *2438:5 13.8 -2 *2438:5 *26635:A1 25.8 -3 *2438:5 *26522:A2 27.175 -*END - -*D_NET *2439 0.00541587 -*CONN -*I *26521:C I *D sky130_fd_sc_hd__or4b_1 -*I *26757:C1 I *D sky130_fd_sc_hd__a211o_1 -*I *26498:X O *D sky130_fd_sc_hd__and3_1 -*CAP -1 *26521:C 2.27214e-05 -2 *26757:C1 0.000123981 -3 *26498:X 0.000670584 -4 *2439:9 0.000817286 -5 *26757:C1 *26520:B 3.65621e-05 -6 *26757:C1 *26521:B 0.000146261 -7 *26757:C1 *3581:76 2.46449e-05 -8 *2439:9 *2565:11 0.000708112 -9 *2439:9 *5782:149 0.000164145 -10 *26342:B *26521:C 1.21258e-05 -11 *26521:D_N *2439:9 0.000496024 -12 *26757:B1 *26757:C1 3.42217e-05 -13 *2021:10 *2439:9 0.00124569 -14 *2022:28 *2439:9 0.000266771 -15 *2040:62 *26521:C 2.59355e-05 -16 *2040:62 *2439:9 4.43256e-05 -17 *2045:52 *2439:9 2.11419e-05 -18 *2089:26 *26757:C1 0.000214504 -19 *2129:44 *2439:9 0.000340823 -*RES -1 *26498:X *2439:9 41.2107 -2 *2439:9 *26757:C1 21.8446 -3 *2439:9 *26521:C 9.83571 -*END - -*D_NET *2440 0.00275133 -*CONN -*I *26616:A_N I *D sky130_fd_sc_hd__and3b_1 -*I *26522:A3 I *D sky130_fd_sc_hd__o41a_1 -*I *26499:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26616:A_N 1.69531e-05 -2 *26522:A3 0.00017149 -3 *26499:X 0.000316791 -4 *2440:8 0.000505234 -5 *26522:A3 *3581:26 0.000221163 -6 *2440:8 *3581:26 0.00049537 -7 *26499:A *2440:8 1.58163e-05 -8 *26499:B *2440:8 8.25843e-06 -9 *26522:A1 *26522:A3 7.02611e-05 -10 *26522:A2 *26522:A3 9.07716e-05 -11 *373:65 *26616:A_N 5.33005e-05 -12 *1883:78 *26616:A_N 5.33005e-05 -13 *1930:85 *2440:8 6.13757e-06 -14 *2100:29 *26522:A3 0.000221163 -15 *2100:29 *2440:8 0.000505321 -*RES -1 *26499:X *2440:8 22.0679 -2 *2440:8 *26522:A3 18.8357 -3 *2440:8 *26616:A_N 14.3357 -*END - -*D_NET *2441 0.0038116 -*CONN -*I *26511:D I *D sky130_fd_sc_hd__nand4b_1 -*I *26591:C1 I *D sky130_fd_sc_hd__o311a_1 -*I *26500:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26511:D 0.000366467 -2 *26591:C1 0.000472399 -3 *26500:Y 0 -4 *2441:4 0.000838867 -5 *26359:B *26511:D 1.69961e-05 -6 *26359:B *26591:C1 0.000137505 -7 *26500:B *26591:C1 0.000180764 -8 *26590:B1 *26511:D 0.000334859 -9 *26591:A2 *26591:C1 0 -10 *1952:39 *26591:C1 5.33005e-05 -11 *1952:51 *26591:C1 5.33005e-05 -12 *1983:65 *26511:D 0.000138881 -13 *2133:151 *26591:C1 6.81459e-05 -14 *2324:20 *26511:D 0.000226782 -15 *2324:22 *26511:D 0.000180847 -16 *2345:8 *26511:D 0.000742488 -*RES -1 *26500:Y *2441:4 9.3 -2 *2441:4 *26591:C1 17.6571 -3 *2441:4 *26511:D 30.0321 -*END - -*D_NET *2442 0.00333113 -*CONN -*I *26673:C I *D sky130_fd_sc_hd__or4_1 -*I *26516:B1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26671:C I *D sky130_fd_sc_hd__or4_1 -*I *26501:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *26673:C 0.000139699 -2 *26516:B1 8.93421e-05 -3 *26671:C 0.000107222 -4 *26501:X 0.000170402 -5 *2442:19 0.000386073 -6 *2442:8 0.000434655 -7 *26516:B1 *2609:7 2.61099e-05 -8 *26671:C *26671:D 0.000136958 -9 *26671:C *26727:B 8.7267e-05 -10 *26671:C *2595:54 5.52302e-05 -11 *26673:C *26673:D 0.000135028 -12 *26673:C *26775:A 0.000218679 -13 *26673:C *2609:7 7.34839e-05 -14 *2442:19 *2534:11 2.45593e-05 -15 *2442:19 *2595:42 0.000210191 -16 *2442:19 *2595:54 0.00017309 -17 *26501:A1 *2442:8 0.000135028 -18 *26516:A1 *2442:19 7.83659e-05 -19 *26516:A2 *26516:B1 1.98839e-05 -20 *26516:A2 *26673:C 1.24368e-05 -21 *26516:A2 *2442:19 4.32957e-05 -22 *2019:115 *2442:8 7.60306e-05 -23 *2019:115 *2442:19 1.08359e-05 -24 *2020:41 *2442:8 0.000148196 -25 *2020:41 *2442:19 0.000235092 -26 *2302:37 *26671:C 0.000103977 -*RES -1 *26501:X *2442:8 17.1036 -2 *2442:8 *26671:C 16.8 -3 *2442:8 *2442:19 9.78571 -4 *2442:19 *26516:B1 10.6571 -5 *2442:19 *26673:C 12.7286 -*END - -*D_NET *2443 0.00114111 -*CONN -*I *26516:C1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26755:C1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26502:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26516:C1 0.000115751 -2 *26755:C1 7.78049e-05 -3 *26502:X 2.60795e-05 -4 *2443:6 0.000219635 -5 *26516:C1 *26516:D1 9.41642e-05 -6 *26516:C1 *2609:7 8.25843e-06 -7 *26755:C1 *26516:D1 1.18064e-05 -8 *26755:C1 *26517:B1 1.02504e-05 -9 *2443:6 *26517:B1 6.98302e-05 -10 *26755:A2 *26755:C1 0.000173812 -11 *2011:54 *26755:C1 0.000245349 -12 *2011:54 *2443:6 7.83659e-05 -13 *2352:24 *26755:C1 9.99931e-06 -*RES -1 *26502:X *2443:6 14.8357 -2 *2443:6 *26755:C1 16.9607 -3 *2443:6 *26516:C1 15.1571 -*END - -*D_NET *2444 0.00311677 -*CONN -*I *26514:A I *D sky130_fd_sc_hd__or4_1 -*I *26798:A I *D sky130_fd_sc_hd__or4b_1 -*I *26503:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *26514:A 0.000307848 -2 *26798:A 9.7242e-05 -3 *26503:Y 0.000280659 -4 *2444:8 0.000685749 -5 *26514:A *26514:B 0.000107125 -6 *26514:A *26797:B1 6.12434e-05 -7 *26495:A *2444:8 4.05167e-05 -8 *26495:B *2444:8 3.69047e-06 -9 *26503:B1 *2444:8 1.92905e-05 -10 *26797:B2 *26514:A 0.000439422 -11 *26797:C1 *26514:A 0.00026353 -12 *1953:90 *26514:A 0.000136958 -13 *2009:40 *26514:A 1.8729e-05 -14 *2011:54 *26798:A 0.000234402 -15 *2011:54 *2444:8 9.65172e-05 -16 *2352:24 *26798:A 0.000236581 -17 *2352:24 *2444:8 8.72671e-05 -*RES -1 *26503:Y *2444:8 17.7286 -2 *2444:8 *26798:A 16.9607 -3 *2444:8 *26514:A 21.3357 -*END - -*D_NET *2445 0.00170674 -*CONN -*I *26515:B1 I *D sky130_fd_sc_hd__a211o_1 -*I *26670:C1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26504:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *26515:B1 9.74002e-05 -2 *26670:C1 0 -3 *26504:X 0.000241345 -4 *2445:8 0.000338746 -5 *26515:B1 *26516:D1 1.58163e-05 -6 *26515:B1 *26670:D1 0.000152333 -7 *26515:B1 *5545:22 0.000220448 -8 *2445:8 *5545:22 0.000317609 -9 *26387:A2 *26515:B1 0 -10 *26515:A1 *26515:B1 5.33005e-05 -11 *26670:B1 *26515:B1 1.17968e-05 -12 *26670:B1 *2445:8 0.000167275 -13 *2016:105 *2445:8 9.06723e-05 -14 *2024:95 *26515:B1 0 -*RES -1 *26504:X *2445:8 19.6393 -2 *2445:8 *26670:C1 13.8 -3 *2445:8 *26515:B1 17.1929 -*END - -*D_NET *2446 0.000899128 -*CONN -*I *26509:B I *D sky130_fd_sc_hd__or4_1 -*I *26505:Y O *D sky130_fd_sc_hd__a21oi_1 -*CAP -1 *26509:B 0.000231946 -2 *26505:Y 0.000231946 -3 *26505:A1 *26509:B 7.0528e-05 -4 *26505:A2 *26509:B 5.52238e-05 -5 *26624:B1 *26509:B 6.87245e-05 -6 *373:60 *26509:B 1.97695e-05 -7 *2099:68 *26509:B 0.000142519 -8 *2324:20 *26509:B 7.84709e-05 -*RES -1 *26505:Y *26509:B 33.3054 -*END - -*D_NET *2447 0.00495715 -*CONN -*I *26629:B1 I *D sky130_fd_sc_hd__a311o_1 -*I *26520:B I *D sky130_fd_sc_hd__or4_1 -*I *26506:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26629:B1 0.00013523 -2 *26520:B 0.000884025 -3 *26506:Y 4.34013e-05 -4 *2447:7 0.00106266 -5 *26520:B *26281:B 2.20678e-05 -6 *26520:B *26520:C 0.000137983 -7 *26520:B *26521:B 0.000257246 -8 *26520:B *26634:A 0.000793153 -9 *26520:B *2691:8 9.19219e-05 -10 *26520:B *5723:46 3.53079e-05 -11 *26394:D_N *26520:B 0.000199706 -12 *26394:D_N *26629:B1 4.80729e-05 -13 *26396:A2 *26629:B1 0 -14 *26506:B *2447:7 6.05161e-06 -15 *26629:A2 *26629:B1 3.99614e-06 -16 *26629:C1 *26629:B1 3.63587e-05 -17 *26757:B1 *26520:B 0 -18 *26757:C1 *26520:B 3.65621e-05 -19 *26773:A2 *26520:B 0.000103041 -20 *1948:17 *26629:B1 1.55885e-05 -21 *1950:93 *26520:B 0.000177815 -22 *2089:26 *26520:B 0.000313194 -23 *2092:14 *26520:B 2.82057e-05 -24 *2252:14 *26629:B1 0.00015134 -25 *2253:29 *26520:B 0.000175519 -26 *2253:29 *26629:B1 0.000198705 -*RES -1 *26506:Y *2447:7 14.3357 -2 *2447:7 *26520:B 37.6036 -3 *2447:7 *26629:B1 17.7286 -*END - -*D_NET *2448 0.000951762 -*CONN -*I *26509:C I *D sky130_fd_sc_hd__or4_1 -*I *26507:X O *D sky130_fd_sc_hd__o31a_1 -*CAP -1 *26509:C 0.000227435 -2 *26507:X 0.000227435 -3 *26509:C *26509:D 0.000100042 -4 *26509:C *3510:21 4.87953e-05 -5 *26507:A1 *26509:C 0.000140583 -6 *26507:A3 *26509:C 1.88482e-05 -7 *2016:74 *26509:C 6.33321e-05 -8 *2099:68 *26509:C 7.5945e-05 -9 *2324:20 *26509:C 4.93469e-05 -*RES -1 *26507:X *26509:C 32.9214 -*END - -*D_NET *2449 0.000480297 -*CONN -*I *26509:D I *D sky130_fd_sc_hd__or4_1 -*I *26508:X O *D sky130_fd_sc_hd__o31a_1 -*CAP -1 *26509:D 0.000114851 -2 *26508:X 0.000114851 -3 *26507:A1 *26509:D 4.87953e-05 -4 *26509:C *26509:D 0.000100042 -5 *2324:20 *26509:D 0.000101757 -*RES -1 *26508:X *26509:D 29.8857 -*END - -*D_NET *2450 0.00208469 -*CONN -*I *26511:A_N I *D sky130_fd_sc_hd__nand4b_1 -*I *26509:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26511:A_N 0.000519037 -2 *26509:X 0.000519037 -3 *26511:A_N *3461:13 2.59355e-05 -4 *26511:A_N *3581:76 4.45513e-05 -5 *26267:D1 *26511:A_N 3.4689e-05 -6 *26509:A *26511:A_N 4.84073e-05 -7 *1393:49 *26511:A_N 1.92789e-05 -8 *2023:15 *26511:A_N 0.000500306 -9 *2324:20 *26511:A_N 6.25807e-05 -10 *2345:8 *26511:A_N 0.000310866 -*RES -1 *26509:X *26511:A_N 38.4571 -*END - -*D_NET *2451 0.000867164 -*CONN -*I *26511:B I *D sky130_fd_sc_hd__nand4b_1 -*I *26510:X O *D sky130_fd_sc_hd__o211a_1 -*CAP -1 *26511:B 0.000243232 -2 *26510:X 0.000243232 -3 *26511:B *5664:86 1.07719e-05 -4 *26510:A1 *26511:B 8.25843e-06 -5 *26510:A2 *26511:B 5.52302e-05 -6 *1990:19 *26511:B 5.20359e-06 -7 *2016:80 *26511:B 0.000150618 -8 *2324:20 *26511:B 0.000150618 -*RES -1 *26510:X *26511:B 31.725 -*END - -*D_NET *2452 0.00347235 -*CONN -*I *26514:B I *D sky130_fd_sc_hd__or4_1 -*I *26511:Y O *D sky130_fd_sc_hd__nand4b_1 -*CAP -1 *26514:B 0.00106736 -2 *26511:Y 0.00106736 -3 *26514:B *26515:C1 5.33005e-05 -4 *26514:B *26797:B1 1.90936e-05 -5 *26514:B *2557:8 0.000145977 -6 *26514:B *3461:13 0.000378593 -7 *26503:A2 *26514:B 0.000187098 -8 *26514:A *26514:B 0.000107125 -9 *26797:C1 *26514:B 9.58203e-05 -10 *1393:49 *26514:B 0.000161868 -11 *1953:90 *26514:B 8.66324e-05 -12 *2009:40 *26514:B 6.05161e-06 -13 *2051:46 *26514:B 9.60771e-05 -*RES -1 *26511:Y *26514:B 49.0107 -*END - -*D_NET *2453 0.00301532 -*CONN -*I *26514:C I *D sky130_fd_sc_hd__or4_1 -*I *26512:X O *D sky130_fd_sc_hd__a211o_1 -*CAP -1 *26514:C 0.000656768 -2 *26512:X 0.000656768 -3 *26514:C *26631:B 0.000111216 -4 *26514:C *2557:25 0.000475818 -5 *26514:C *2598:12 0.000202177 -6 *26514:C *5664:86 0.000469434 -7 *26081:A *26514:C 3.69047e-06 -8 *26386:C1 *26514:C 2.06112e-05 -9 *26667:A1 *26514:C 2.08721e-05 -10 *26667:A2 *26514:C 0.000135028 -11 *26667:B1 *26514:C 2.23337e-05 -12 *26667:C1 *26514:C 1.37638e-05 -13 *1950:64 *26514:C 2.06112e-05 -14 *1999:35 *26514:C 7.84241e-05 -15 *2000:31 *26514:C 3.01877e-05 -16 *2000:33 *26514:C 7.33708e-05 -17 *2004:13 *26514:C 2.42516e-05 -*RES -1 *26512:X *26514:C 45.0448 -*END - -*D_NET *2454 0.000392171 -*CONN -*I *26514:D I *D sky130_fd_sc_hd__or4_1 -*I *26513:Y O *D sky130_fd_sc_hd__a21oi_1 -*CAP -1 *26514:D 6.3857e-05 -2 *26513:Y 6.3857e-05 -3 *26514:D *26631:B 7.6232e-05 -4 *26387:A2 *26514:D 2.2628e-05 -5 *26512:C1 *26514:D 0.000144986 -6 *2024:95 *26514:D 2.06112e-05 -*RES -1 *26513:Y *26514:D 29.5464 -*END - -*D_NET *2455 0.00381401 -*CONN -*I *26515:C1 I *D sky130_fd_sc_hd__a211o_1 -*I *26514:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26515:C1 0.000533253 -2 *26514:X 0.000533253 -3 *26515:C1 *5545:22 0.00143003 -4 *26503:A2 *26515:C1 0.000671262 -5 *26514:B *26515:C1 5.33005e-05 -6 *2006:26 *26515:C1 3.34295e-05 -7 *2009:47 *26515:C1 0.000179351 -8 *2104:103 *26515:C1 0.000380137 -*RES -1 *26514:X *26515:C1 46.7786 -*END - -*D_NET *2456 0.00214128 -*CONN -*I *26516:D1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26515:X O *D sky130_fd_sc_hd__a211o_1 -*CAP -1 *26516:D1 0.000795874 -2 *26515:X 0.000795874 -3 *26516:D1 *26517:B1 7.83587e-05 -4 *26516:D1 *2609:7 3.63775e-05 -5 *26103:B *26516:D1 2.09921e-05 -6 *26502:B *26516:D1 8.17663e-05 -7 *26515:A1 *26516:D1 2.97829e-05 -8 *26515:B1 *26516:D1 1.58163e-05 -9 *26516:C1 *26516:D1 9.41642e-05 -10 *26755:A2 *26516:D1 5.49489e-05 -11 *26755:C1 *26516:D1 1.18064e-05 -12 *2006:15 *26516:D1 2.22618e-05 -13 *2352:24 *26516:D1 0.000103253 -*RES -1 *26515:X *26516:D1 38.225 -*END - -*D_NET *2457 0.00119159 -*CONN -*I *26517:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *26516:X O *D sky130_fd_sc_hd__a2111o_1 -*CAP -1 *26517:B1 0.000227422 -2 *26516:X 0.000227422 -3 *26517:B1 *2595:42 0.000197556 -4 *26516:D1 *26517:B1 7.83587e-05 -5 *26517:A2 *26517:B1 0.000159279 -6 *26755:C1 *26517:B1 1.02504e-05 -7 *2011:54 *26517:B1 2.23987e-05 -8 *2083:31 *26517:B1 0.000149423 -9 *2352:24 *26517:B1 4.96531e-05 -10 *2443:6 *26517:B1 6.98302e-05 -*RES -1 *26516:X *26517:B1 33.85 -*END - -*D_NET *2458 0.00446912 -*CONN -*I *26518:B I *D sky130_fd_sc_hd__or4b_1 -*I *26517:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *26518:B 0 -2 *26517:X 0.00081943 -3 *2458:10 0.00081943 -4 *2458:10 *26633:C 0.000359561 -5 *2458:10 *26775:C 0.000841724 -6 *2458:10 *2566:6 0.000389868 -7 *2458:10 *2698:11 6.86792e-05 -8 *26517:A2 *2458:10 7.54759e-05 -9 *26594:A1 *2458:10 0.00015799 -10 *26797:A1 *2458:10 8.96761e-05 -11 *2008:12 *2458:10 0.000271312 -12 *2019:94 *2458:10 0.000114166 -13 *2024:65 *2458:10 0.000348028 -14 *2061:102 *2458:10 0.000113778 -*RES -1 *26517:X *2458:10 41.7643 -2 *2458:10 *26518:B 9.3 -*END - -*D_NET *2459 0.00203951 -*CONN -*I *26520:C I *D sky130_fd_sc_hd__or4_1 -*I *26518:X O *D sky130_fd_sc_hd__or4b_1 -*CAP -1 *26520:C 0.000525336 -2 *26518:X 0.000525336 -3 *26520:C *26551:A2 0.000174524 -4 *26520:C *26633:B 0.000117421 -5 *26520:C *26634:C 6.8608e-05 -6 *26520:C *26665:C1 0 -7 *26520:C *26758:D 0.000184382 -8 *26520:C *2569:6 5.24592e-05 -9 *26520:C *2608:26 4.22135e-06 -10 *26520:C *5723:46 5.52238e-05 -11 *26520:A *26520:C 2.59355e-05 -12 *26520:B *26520:C 0.000137983 -13 *1518:15 *26520:C 5.27137e-05 -14 *2022:28 *26520:C 0.000115364 -*RES -1 *26518:X *26520:C 40.8133 -*END - -*D_NET *2460 0.00538011 -*CONN -*I *26520:D I *D sky130_fd_sc_hd__or4_1 -*I *26669:A I *D sky130_fd_sc_hd__or2_1 -*I *26519:X O *D sky130_fd_sc_hd__a31o_1 -*CAP -1 *26520:D 0 -2 *26669:A 0.000207946 -3 *26519:X 0.00107529 -4 *2460:8 0.00128324 -5 *26669:A *2608:5 0.000135028 -6 *26669:A *2632:12 0.000215116 -7 *2460:8 *2632:12 0.00085388 -8 *26393:A1 *2460:8 2.42516e-05 -9 *26393:D1 *2460:8 0.000178796 -10 *26519:A1 *2460:8 0.000175892 -11 *26519:B1 *2460:8 4.02038e-05 -12 *26758:A *26669:A 0.000215116 -13 *26758:A *2460:8 3.51442e-05 -14 *1393:49 *26669:A 3.97677e-05 -15 *2060:128 *2460:8 6.57032e-05 -16 *2308:18 *2460:8 0.000828986 -17 *2343:22 *2460:8 5.74499e-06 -*RES -1 *26519:X *2460:8 34.3179 -2 *2460:8 *26669:A 18.8357 -3 *2460:8 *26520:D 13.8 -*END - -*D_NET *2461 0.00136822 -*CONN -*I *26521:B I *D sky130_fd_sc_hd__or4b_1 -*I *26520:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26521:B 0.000377169 -2 *26520:X 0.000377169 -3 *26521:B *5723:46 0.000151181 -4 *26520:B *26521:B 0.000257246 -5 *26757:B1 *26521:B 1.90936e-05 -6 *26757:C1 *26521:B 0.000146261 -7 *2089:26 *26521:B 4.00971e-05 -*RES -1 *26520:X *26521:B 34.0643 -*END - -*D_NET *2462 0.00147845 -*CONN -*I *26522:A4 I *D sky130_fd_sc_hd__o41a_1 -*I *26521:X O *D sky130_fd_sc_hd__or4b_1 -*CAP -1 *26522:A4 0.000419656 -2 *26521:X 0.000419656 -3 *26342:B *26522:A4 0.000343579 -4 *26521:A *26522:A4 6.86693e-05 -5 *26522:A1 *26522:A4 0.000197974 -6 *26522:B1 *26522:A4 2.89114e-05 -*RES -1 *26521:X *26522:A4 26.5286 -*END - -*D_NET *2463 0.000875637 -*CONN -*I *26552:C I *D sky130_fd_sc_hd__or4_1 -*I *26522:X O *D sky130_fd_sc_hd__o41a_1 -*CAP -1 *26552:C 0.000102294 -2 *26522:X 0.000102294 -3 *26552:C *3581:76 0.000221978 -4 *26158:B *26552:C 9.15842e-06 -5 *26552:A *26552:C 0.000221978 -6 *1930:98 *26552:C 1.65025e-05 -7 *2284:35 *26552:C 7.74135e-05 -8 *2342:31 *26552:C 0.000124019 -*RES -1 *26522:X *26552:C 31.4214 -*END - -*D_NET *2464 0.00405254 -*CONN -*I *26551:A1 I *D sky130_fd_sc_hd__o21a_1 -*I *26636:B1 I *D sky130_fd_sc_hd__a31o_1 -*I *26635:A2 I *D sky130_fd_sc_hd__o31a_1 -*I *26754:B I *D sky130_fd_sc_hd__or4b_1 -*I *26523:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26551:A1 0.000129432 -2 *26636:B1 0.000230798 -3 *26635:A2 0.000157389 -4 *26754:B 3.04774e-05 -5 *26523:X 8.31973e-05 -6 *2464:26 0.000405648 -7 *2464:8 0.000400835 -8 *2464:7 0.000341584 -9 *26551:A1 *26552:D 4.16869e-05 -10 *26635:A2 *26635:A3 0.000260574 -11 *26158:B *26754:B 0.00010326 -12 *26158:B *2464:8 0.00066904 -13 *26158:B *2464:26 0.000123153 -14 *26551:B1 *26551:A1 9.90431e-05 -15 *1393:39 *2464:7 4.58194e-05 -16 *1883:83 *26754:B 0.000104974 -17 *1883:83 *2464:8 0.000662219 -18 *1883:83 *2464:26 0.000114617 -19 *2283:23 *26551:A1 4.87953e-05 -*RES -1 *26523:X *2464:7 14.7464 -2 *2464:7 *2464:8 8.625 -3 *2464:8 *26754:B 15.1393 -4 *2464:8 *26635:A2 16.3893 -5 *2464:7 *2464:26 6.14286 -6 *2464:26 *26636:B1 12.3 -7 *2464:26 *26551:A1 11.8893 -*END - -*D_NET *2465 0.00404353 -*CONN -*I *26525:B1 I *D sky130_fd_sc_hd__a41o_1 -*I *26526:B1 I *D sky130_fd_sc_hd__o221a_1 -*I *26524:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *26525:B1 0.000392584 -2 *26526:B1 0.000419371 -3 *26524:X 9.43554e-05 -4 *2465:7 0.00090631 -5 *26525:B1 *26528:B1 0.000317889 -6 *26525:B1 *26529:A 0.000107946 -7 *26526:B1 *26528:B1 0.000440469 -8 *26526:B1 *6158:24 9.16325e-06 -9 *26524:A *2465:7 6.05161e-06 -10 *26525:A4 *26525:B1 0.00034188 -11 *26526:A2 *26526:B1 3.99614e-06 -12 *26526:B2 *26526:B1 0.00026546 -13 *26526:C1 *26526:B1 1.24368e-05 -14 *2130:50 *26526:B1 1.33343e-05 -15 *2151:15 *26525:B1 5.01876e-06 -16 *2244:14 *26525:B1 0.000298699 -17 *2244:14 *26526:B1 0.00040857 -*RES -1 *26524:X *2465:7 14.7464 -2 *2465:7 *26526:B1 24.8357 -3 *2465:7 *26525:B1 23.3357 -*END - -*D_NET *2466 0.000815235 -*CONN -*I *26529:A I *D sky130_fd_sc_hd__nand2_1 -*I *26525:X O *D sky130_fd_sc_hd__a41o_1 -*CAP -1 *26529:A 0.000227542 -2 *26525:X 0.000227542 -3 *26525:B1 *26529:A 0.000107946 -4 *1956:65 *26529:A 0.000125241 -5 *2204:38 *26529:A 0.000126963 -*RES -1 *26525:X *26529:A 31.4214 -*END - -*D_NET *2467 0.00343486 -*CONN -*I *26528:B1 I *D sky130_fd_sc_hd__o221a_1 -*I *26526:X O *D sky130_fd_sc_hd__o221a_1 -*CAP -1 *26528:B1 0.000760295 -2 *26526:X 0.000760295 -3 *26528:B1 *6158:24 0.000957071 -4 *26525:B1 *26528:B1 0.000317889 -5 *26526:B1 *26528:B1 0.000440469 -6 *26528:A1 *26528:B1 0.000119125 -7 *26528:B2 *26528:B1 5.82508e-05 -8 *2130:50 *26528:B1 2.14658e-05 -9 *2151:15 *26528:B1 0 -*RES -1 *26526:X *26528:B1 45.3679 -*END - -*D_NET *2468 0.00171471 -*CONN -*I *26528:C1 I *D sky130_fd_sc_hd__o221a_1 -*I *26527:X O *D sky130_fd_sc_hd__o22a_1 -*CAP -1 *26528:C1 0.000247783 -2 *26527:X 0.000247783 -3 *26528:C1 *6147:14 0.00013235 -4 *26527:B1 *26528:C1 5.33005e-05 -5 *2043:22 *26528:C1 0.000516745 -6 *2240:111 *26528:C1 0.000516745 -*RES -1 *26527:X *26528:C1 35.4571 -*END - -*D_NET *2469 0.0013651 -*CONN -*I *26529:B I *D sky130_fd_sc_hd__nand2_1 -*I *26528:X O *D sky130_fd_sc_hd__o221a_1 -*CAP -1 *26529:B 0.000297108 -2 *26528:X 0.000297108 -3 *26529:B *5542:44 0.000316731 -4 *26528:B2 *26529:B 0.000137417 -5 *2204:30 *26529:B 0.000117896 -6 *2204:38 *26529:B 0.000198835 -*RES -1 *26528:X *26529:B 34.2607 -*END - -*D_NET *2470 0.0110797 -*CONN -*I *26549:B I *D sky130_fd_sc_hd__or3b_1 -*I *26529:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26549:B 0 -2 *26529:Y 0.00129984 -3 *2470:11 0.00101006 -4 *2470:7 0.0023099 -5 *2470:7 *4353:95 6.57032e-05 -6 *2470:11 *26186:A 0.000179656 -7 *2470:11 *26226:A1 0.000225307 -8 *2470:11 *2484:20 0.000190906 -9 *2470:11 *4353:113 0.000327093 -10 *2470:11 *4519:84 0.000528658 -11 *2470:11 *5722:112 5.52302e-05 -12 *26267:C1 *2470:11 0.000786858 -13 *1186:40 *2470:11 0.000656278 -14 *1186:47 *2470:11 0.00130945 -15 *1187:48 *2470:11 7.49162e-06 -16 *1946:29 *2470:11 0.000103244 -17 *1946:53 *2470:11 0.000436498 -18 *1946:81 *2470:11 0.00014538 -19 *2046:77 *2470:11 2.5232e-05 -20 *2048:10 *2470:11 1.53472e-05 -21 *2130:46 *2470:7 0.000106894 -22 *2132:21 *2470:11 0.00093286 -23 *2176:18 *2470:11 7.83587e-05 -24 *2176:27 *2470:11 0.000143795 -25 *2220:54 *2470:7 0.000139631 -*RES -1 *26529:Y *2470:7 27.8893 -2 *2470:7 *2470:11 44.0179 -3 *2470:11 *26549:B 9.3 -*END - -*D_NET *2471 0.0153736 -*CONN -*I *26703:A I *D sky130_fd_sc_hd__or2_1 -*I *26531:D I *D sky130_fd_sc_hd__or4_1 -*I *26530:Y O *D sky130_fd_sc_hd__nor3_1 -*CAP -1 *26703:A 0 -2 *26531:D 0.000654014 -3 *26530:Y 0.000583528 -4 *2471:14 0.00167474 -5 *2471:9 0.00160426 -6 *26531:D *26539:D_N 0.000312209 -7 *26531:D *26548:A 0.000221634 -8 *2471:9 *2638:14 0.00167109 -9 *2471:14 *30992:A 0.000101738 -10 *2471:14 *2489:10 0.000235612 -11 *2471:14 *2669:11 0.000194949 -12 *2471:14 *3510:90 0.000140349 -13 *26409:B1 *2471:9 0.000109263 -14 *26409:B1 *2471:14 2.59355e-05 -15 *26539:A *26531:D 0.000252192 -16 *1874:36 *2471:14 0.00313875 -17 *2142:26 *2471:9 0.000389073 -18 *2151:56 *2471:14 4.53427e-05 -19 *2152:37 *2471:14 0.000772037 -20 *2152:48 *2471:14 0.000212919 -21 *2152:67 *2471:14 0.000697869 -22 *2152:88 *2471:14 0.000229512 -23 *2161:54 *2471:9 0.00167352 -24 *2177:41 *2471:14 0.000433075 -*RES -1 *26530:Y *2471:9 41.8536 -2 *2471:9 *2471:14 45.5357 -3 *2471:14 *26531:D 24.3357 -4 *2471:9 *26703:A 9.3 -*END - -*D_NET *2472 0.00159944 -*CONN -*I *26539:B I *D sky130_fd_sc_hd__or4b_1 -*I *26531:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26539:B 0.00040399 -2 *26531:X 0.00040399 -3 *26531:B *26539:B 9.90367e-05 -4 *26531:C *26539:B 0.00014285 -5 *26539:A *26539:B 0.000549576 -*RES -1 *26531:X *26539:B 26.1357 -*END - -*D_NET *2473 0.00187117 -*CONN -*I *26533:A I *D sky130_fd_sc_hd__inv_2 -*I *26539:C I *D sky130_fd_sc_hd__or4b_1 -*I *26532:Y O *D sky130_fd_sc_hd__o22ai_1 -*CAP -1 *26533:A 0.000140878 -2 *26539:C 0.000113786 -3 *26532:Y 0.000202515 -4 *2473:7 0.00045718 -5 *26533:A *26548:A 0.000142082 -6 *26539:C *26548:A 0.000192976 -7 *26255:A_N *26539:C 6.32633e-05 -8 *26532:A2 *2473:7 0.000221628 -9 *2032:220 *26533:A 7.92879e-05 -10 *2032:220 *26539:C 3.85639e-05 -11 *2152:37 *2473:7 6.05161e-06 -12 *2159:33 *26539:C 1.11654e-05 -13 *2183:33 *26539:C 2.39779e-05 -14 *2196:5 *26533:A 0.000177815 -*RES -1 *26532:Y *2473:7 16.3893 -2 *2473:7 *26539:C 16.9607 -3 *2473:7 *26533:A 17.5143 -*END - -*D_NET *2474 0.00182431 -*CONN -*I *26708:C1 I *D sky130_fd_sc_hd__o221ai_2 -*I *26533:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *26708:C1 0.000291336 -2 *26533:Y 0.000291336 -3 *26708:C1 *3510:90 0.000104082 -4 *26708:C1 *5673:51 3.34783e-05 -5 *2145:29 *26708:C1 0.000646703 -6 *2145:81 *26708:C1 7.12222e-05 -7 *2151:56 *26708:C1 0.000181336 -8 *2183:29 *26708:C1 0.000149588 -9 *2196:5 *26708:C1 5.52238e-05 -*RES -1 *26533:Y *26708:C1 37.3679 -*END - -*D_NET *2475 0.00838259 -*CONN -*I *26536:B1 I *D sky130_fd_sc_hd__o2111a_1 -*I *26534:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *26536:B1 1.30128e-05 -2 *26534:X 5.54804e-05 -3 *2475:11 0.00120787 -4 *2475:7 0.00125034 -5 *2475:11 *6114:10 0.000322446 -6 *2475:11 *6125:8 6.82086e-05 -7 *2475:11 *6147:14 0.000687001 -8 *26526:C1 *2475:11 0.000226021 -9 *26536:A2 *26536:B1 3.51368e-05 -10 *26536:D1 *26536:B1 5.7661e-06 -11 *26536:D1 *2475:11 4.58194e-05 -12 *30742:A *2475:11 2.82057e-05 -13 *30743:A *2475:11 9.5011e-05 -14 *30744:A *2475:11 9.82683e-05 -15 *30745:A *2475:11 0.000140263 -16 *2144:35 *2475:11 0.000679221 -17 *2240:114 *2475:11 2.59355e-05 -18 *2242:8 *2475:11 0.00339858 -*RES -1 *26534:X *2475:7 14.3357 -2 *2475:7 *2475:11 49.6071 -3 *2475:11 *26536:B1 9.72857 -*END - -*D_NET *2476 0.00670879 -*CONN -*I *26536:C1 I *D sky130_fd_sc_hd__o2111a_1 -*I *26699:D1 I *D sky130_fd_sc_hd__o2111ai_4 -*I *26535:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *26536:C1 0.00040194 -2 *26699:D1 0.000455165 -3 *26535:X 0.000169954 -4 *2476:7 0.00102706 -5 *26699:D1 *27978:A0 0.000451363 -6 *26699:D1 *3510:60 0.000287579 -7 *2476:7 *26535:A1 6.05161e-06 -8 *26535:A2 *26536:C1 0.000126963 -9 *26535:B1 *2476:7 2.79421e-05 -10 *26536:D1 *26536:C1 0.000135028 -11 *2133:51 *26536:C1 0.000757887 -12 *2144:46 *26699:D1 0.000353409 -13 *2212:14 *26536:C1 3.18952e-05 -14 *2212:14 *26699:D1 7.17146e-05 -15 *2224:8 *26536:C1 0.00062372 -16 *2224:8 *26699:D1 0.0014441 -17 *2224:20 *26536:C1 0.000337024 -*RES -1 *26535:X *2476:7 15.5679 -2 *2476:7 *26699:D1 32.4429 -3 *2476:7 *26536:C1 27.425 -*END - -*D_NET *2477 0.00157422 -*CONN -*I *26538:B1 I *D sky130_fd_sc_hd__o2111a_1 -*I *26536:X O *D sky130_fd_sc_hd__o2111a_1 -*CAP -1 *26538:B1 0.000276556 -2 *26536:X 0.000276556 -3 *26538:B1 *26538:C1 2.59355e-05 -4 *26538:B1 *2479:7 1.58163e-05 -5 *26538:B1 *6114:10 0.000318954 -6 *26538:A1 *26538:B1 0.000167564 -7 *2154:45 *26538:B1 0.000177821 -8 *2242:8 *26538:B1 0.000315015 -*RES -1 *26536:X *26538:B1 35.5107 -*END - -*D_NET *2478 0.00116874 -*CONN -*I *26538:C1 I *D sky130_fd_sc_hd__o2111a_1 -*I *26537:X O *D sky130_fd_sc_hd__o22a_1 -*CAP -1 *26538:C1 0.000504843 -2 *26537:X 0.000504843 -3 *26538:A1 *26538:C1 3.98517e-05 -4 *26538:B1 *26538:C1 2.59355e-05 -5 *2038:58 *26538:C1 4.85049e-06 -6 *2047:90 *26538:C1 8.84184e-05 -*RES -1 *26537:X *26538:C1 25.7071 -*END - -*D_NET *2479 0.0229403 -*CONN -*I *26539:D_N I *D sky130_fd_sc_hd__or4b_1 -*I *26538:X O *D sky130_fd_sc_hd__o2111a_1 -*CAP -1 *26539:D_N 0.00123235 -2 *26538:X 4.91556e-05 -3 *2479:8 0.00351244 -4 *2479:7 0.00232924 -5 *26539:D_N *30984:A 0.000729706 -6 *26539:D_N *3510:108 0.000112267 -7 *26539:D_N *5664:182 6.97269e-05 -8 *2479:8 *27978:S 0.000254337 -9 *2479:8 *30993:A 2.14378e-05 -10 *2479:8 *5541:8 0.00149609 -11 *2479:8 *5565:45 0 -12 *2479:8 *6147:14 0.000922163 -13 *25796:S *2479:8 3.73407e-05 -14 *26270:B *2479:8 0.000795633 -15 *26531:D *26539:D_N 0.000312209 -16 *26538:B1 *2479:7 1.58163e-05 -17 *26731:D_N *2479:8 0.00146995 -18 *27979:A1 *2479:8 0.00140192 -19 *1871:50 *2479:8 0.00110687 -20 *1871:55 *2479:8 0.00132098 -21 *2043:22 *2479:8 0.000507341 -22 *2043:33 *2479:8 0.00134323 -23 *2144:41 *2479:8 0.00091482 -24 *2154:51 *2479:8 0.00191475 -25 *2224:8 *2479:8 0.000282263 -26 *2242:27 *2479:8 0.000788256 -*RES -1 *26538:X *2479:7 14.3357 -2 *2479:7 *2479:8 98.4821 -3 *2479:8 *26539:D_N 34.05 -*END - -*D_NET *2480 0.00226575 -*CONN -*I *26548:A I *D sky130_fd_sc_hd__nor2_1 -*I *26539:X O *D sky130_fd_sc_hd__or4b_1 -*CAP -1 *26548:A 0.000394084 -2 *26539:X 0.000394084 -3 *26531:D *26548:A 0.000221634 -4 *26533:A *26548:A 0.000142082 -5 *26539:C *26548:A 0.000192976 -6 *2032:220 *26548:A 4.038e-06 -7 *2183:33 *26548:A 0.000718099 -8 *2196:14 *26548:A 0.000198748 -*RES -1 *26539:X *26548:A 39.0107 -*END - -*D_NET *2481 0.00140597 -*CONN -*I *26777:A I *D sky130_fd_sc_hd__or3b_1 -*I *26547:A I *D sky130_fd_sc_hd__or4_1 -*I *26540:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26777:A 0.000133709 -2 *26547:A 3.6589e-05 -3 *26540:Y 9.09917e-05 -4 *2481:8 0.000261289 -5 *26547:A *26547:C 2.85321e-05 -6 *26547:A *26547:D 5.50052e-05 -7 *26777:A *26547:D 0.000144732 -8 *26777:A *26781:A2 1.58163e-05 -9 *26777:A *5664:139 0.000135028 -10 *26263:A *26547:A 2.76499e-05 -11 *26540:B *2481:8 1.33343e-05 -12 *2190:29 *2481:8 9.99644e-06 -13 *2190:38 *26777:A 0.000158407 -14 *2190:38 *2481:8 0.00015127 -15 *2194:45 *26777:A 1.03904e-05 -16 *2194:45 *2481:8 1.79948e-05 -17 *2194:52 *2481:8 0.000115233 -*RES -1 *26540:Y *2481:8 16.2821 -2 *2481:8 *26547:A 14.7464 -3 *2481:8 *26777:A 17.4071 -*END - -*D_NET *2482 0.00501462 -*CONN -*I *26733:A I *D sky130_fd_sc_hd__or4_1 -*I *26547:B I *D sky130_fd_sc_hd__or4_1 -*I *26541:Y O *D sky130_fd_sc_hd__o21bai_1 -*CAP -1 *26733:A 0.000349206 -2 *26547:B 0.000127197 -3 *26541:Y 0.00023187 -4 *2482:6 0.000708273 -5 *26547:B *26547:D 2.51343e-06 -6 *26733:A *26705:B1 0.000151972 -7 *26206:B *26733:A 0.000175892 -8 *26263:A *26547:B 3.00769e-05 -9 *26650:A2 *26733:A 4.58194e-05 -10 *373:12 *26733:A 0.000829761 -11 *373:12 *2482:6 0.000868332 -12 *2133:212 *26733:A 0.000581406 -13 *2133:212 *2482:6 0.000865903 -14 *2161:89 *26733:A 4.63958e-05 -*RES -1 *26541:Y *2482:6 24.8536 -2 *2482:6 *26547:B 15.1571 -3 *2482:6 *26733:A 26.3179 -*END - -*D_NET *2483 0.0303562 -*CONN -*I *26587:B I *D sky130_fd_sc_hd__or2_1 -*I *6612:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *26547:C I *D sky130_fd_sc_hd__or4_1 -*I *26542:Y O *D sky130_fd_sc_hd__a21oi_2 -*CAP -1 *26587:B 5.40981e-05 -2 *6612:DIODE 0.000115486 -3 *26547:C 0.000463603 -4 *26542:Y 0 -5 *2483:60 0.000490316 -6 *2483:45 0.00362907 -7 *2483:28 0.00514241 -8 *2483:14 0.00285426 -9 *2483:8 0.00165899 -10 *2483:5 0.00110241 -11 *6612:DIODE *26736:B 3.38171e-05 -12 *2483:14 *26401:A2 0.000225468 -13 *2483:14 *2692:11 0 -14 *2483:28 *25768:S 0.000380873 -15 *2483:28 *25886:A0 4.15914e-05 -16 *2483:28 *25896:A0 0 -17 *2483:28 *26658:A2 0 -18 *2483:28 *26658:A3 0.000158416 -19 *2483:28 *26675:A1 0 -20 *2483:28 *26727:A 0 -21 *2483:28 *28233:CLK 0.000195194 -22 *2483:28 *29479:A 0 -23 *2483:28 *2526:18 0 -24 *2483:28 *2528:10 0.000180408 -25 *2483:28 *2692:11 0 -26 *2483:28 *3656:42 0.000590342 -27 *2483:28 *3948:17 0 -28 *2483:28 *3957:22 0.00014852 -29 *2483:28 *5715:195 0.00057258 -30 *2483:28 *5833:65 5.94353e-05 -31 *2483:28 *5938:8 0.00011389 -32 *2483:28 *5938:10 3.22555e-05 -33 *2483:28 *5938:31 0.000181541 -34 *2483:28 *5938:33 0.000270229 -35 *2483:28 *6355:24 0.000786748 -36 *2483:45 *26745:B 0.000352975 -37 *2483:45 *26795:A 0.000163703 -38 *2483:45 *28246:CLK 8.25843e-06 -39 *2483:45 *28249:CLK 2.59355e-05 -40 *2483:45 *2676:15 0.000613081 -41 *2483:45 *3585:46 2.22618e-05 -42 *2483:45 *5688:205 0.000121438 -43 *2483:45 *5688:213 0.000195475 -44 *2483:60 *26736:B 1.57414e-05 -45 *26263:A *26547:C 0.000130378 -46 *26281:A *2483:8 0.000244486 -47 *26342:B *2483:8 7.17717e-05 -48 *26437:A *2483:45 8.22793e-06 -49 *26449:A2 *2483:8 0.000104974 -50 *26547:A *26547:C 2.85321e-05 -51 *26587:A *2483:60 0.000134882 -52 *26604:A *2483:8 0.000112372 -53 *26604:A *2483:14 0.000304858 -54 *26604:B *2483:14 0.000340066 -55 *26609:A *2483:45 0.000308639 -56 *26664:A2 *2483:14 0.000128146 -57 *26793:B2 *2483:45 0.000843014 -58 *26793:C1 *26547:C 0.000141935 -59 *26793:C1 *2483:45 0.000742735 -60 *28402:D *2483:28 0.000173083 -61 *29811:A *2483:28 0 -62 *1677:8 *2483:28 0.000133389 -63 *1677:26 *2483:14 0.000119276 -64 *1677:26 *2483:28 0.000248217 -65 *1943:40 *2483:8 0.00260195 -66 *2009:81 *2483:45 0.000223377 -67 *2040:50 *2483:8 0.00103952 -68 *2040:62 *2483:8 0.000519201 -69 *2045:97 *2483:8 0.000150625 -70 *2156:10 *26547:C 6.5381e-05 -71 *2169:24 *2483:8 0.000173306 -72 *2191:18 *26547:C 4.84159e-05 -73 *2198:23 *2483:45 8.22793e-06 -74 *2223:8 *2483:8 0.000110066 -75 *2223:8 *2483:14 4.16877e-05 -76 *2223:50 *2483:8 5.46676e-05 -*RES -1 *26542:Y *2483:5 13.8 -2 *2483:5 *2483:8 39.9554 -3 *2483:8 *2483:14 16.1339 -4 *2483:14 *2483:28 48.9643 -5 *2483:28 *2483:45 48.517 -6 *2483:45 *26547:C 25.2189 -7 *2483:14 *2483:60 8.73214 -8 *2483:60 *6612:DIODE 10.6571 -9 *2483:60 *26587:B 10.3357 -*END - -*D_NET *2484 0.01337 -*CONN -*I *26644:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26544:C1 I *D sky130_fd_sc_hd__o221a_1 -*I *26543:X O *D sky130_fd_sc_hd__o21ba_1 -*CAP -1 *26644:B1 1.71915e-05 -2 *26544:C1 0.000504251 -3 *26543:X 0.0021315 -4 *2484:28 0.00085167 -5 *2484:20 0.00246173 -6 *26544:C1 *26644:A1 2.59355e-05 -7 *26544:C1 *2582:28 0.000143143 -8 *26544:C1 *2587:11 1.59396e-05 -9 *26544:C1 *2635:11 0 -10 *26544:C1 *3510:41 8.43535e-06 -11 *26544:C1 *5722:80 5.29348e-05 -12 *2484:20 *5673:251 0 -13 *2484:20 *5722:69 0.000674002 -14 *2484:20 *5722:76 0.000139767 -15 *26105:A *26544:C1 4.22135e-06 -16 *26235:B *26544:C1 6.81704e-05 -17 *26235:B *26644:B1 6.05161e-06 -18 *26544:B1 *26544:C1 5.33005e-05 -19 *26544:B2 *26544:C1 5.49544e-05 -20 *26644:A2 *26544:C1 5.49544e-05 -21 *26644:A2 *26644:B1 4.17433e-05 -22 *28967:A *26544:C1 1.91994e-05 -23 *1186:47 *2484:20 0.000105989 -24 *1187:59 *2484:20 0.000223303 -25 *1393:90 *26544:C1 0 -26 *1874:35 *2484:20 0.00158621 -27 *1874:35 *2484:28 6.43118e-05 -28 *1942:70 *2484:20 0.000628516 -29 *1946:98 *2484:20 1.98148e-05 -30 *1966:17 *2484:20 0.000129868 -31 *2130:46 *2484:20 5.01649e-06 -32 *2132:21 *2484:20 0.000239229 -33 *2145:91 *2484:28 1.94945e-05 -34 *2145:97 *2484:20 0.000315442 -35 *2151:53 *26544:C1 2.00659e-05 -36 *2152:92 *2484:28 2.09897e-05 -37 *2152:102 *2484:20 0.000388872 -38 *2157:81 *2484:20 0 -39 *2176:70 *26644:B1 1.98839e-05 -40 *2190:122 *26544:C1 0.000722924 -41 *2230:20 *2484:20 0.00111479 -42 *2346:38 *26544:C1 0.000224397 -43 *2346:38 *2484:28 9.11825e-07 -44 *2470:11 *2484:20 0.000190906 -*RES -1 *26543:X *2484:20 49.978 -2 *2484:20 *2484:28 12.1843 -3 *2484:28 *26544:C1 30.8714 -4 *2484:28 *26644:B1 9.83571 -*END - -*D_NET *2485 0.0116118 -*CONN -*I *26546:D_N I *D sky130_fd_sc_hd__or4b_1 -*I *26544:X O *D sky130_fd_sc_hd__o221a_1 -*CAP -1 *26546:D_N 0 -2 *26544:X 0.000981076 -3 *2485:24 0.00137357 -4 *2485:13 0.00235465 -5 *2485:13 *26544:A2 0.000593389 -6 *2485:13 *26601:A2 1.43864e-05 -7 *2485:13 *5673:17 0.000103977 -8 *2485:13 *5673:28 0.000260377 -9 *2485:24 *26734:A 0.000164227 -10 *2485:24 *26781:A2 0.000169939 -11 *2485:24 *26802:B 0.000308389 -12 *2485:24 *26802:C 0.000148911 -13 *2485:24 *2636:28 0.000727677 -14 *2485:24 *2668:8 0.000140361 -15 *2485:24 *5664:20 0.000103077 -16 *373:12 *2485:24 0.000124544 -17 *373:32 *2485:24 0.000460696 -18 *373:44 *2485:24 6.07037e-07 -19 *1935:72 *2485:24 9.16325e-06 -20 *2032:170 *2485:24 0.000689924 -21 *2046:154 *2485:13 9.33978e-07 -22 *2128:32 *2485:24 0.000958359 -23 *2129:29 *2485:13 0.000381447 -24 *2141:134 *2485:13 9.53216e-05 -25 *2141:134 *2485:24 0.000247316 -26 *2141:144 *2485:24 0.000895334 -27 *2187:131 *2485:24 0.000249212 -28 *2194:45 *2485:24 5.49257e-05 -*RES -1 *26544:X *2485:13 37.8893 -2 *2485:13 *2485:24 45.9247 -3 *2485:24 *26546:D_N 13.8 -*END - -*D_NET *2486 0.00372048 -*CONN -*I *26546:B I *D sky130_fd_sc_hd__or4b_1 -*I *26704:B1_N I *D sky130_fd_sc_hd__o21ba_1 -*I *26545:X O *D sky130_fd_sc_hd__a31o_1 -*CAP -1 *26546:B 0 -2 *26704:B1_N 0.000154966 -3 *26545:X 0.000624865 -4 *2486:21 0.000779831 -5 *26704:B1_N *26704:A2 5.33005e-05 -6 *26704:B1_N *26781:A2 7.6021e-05 -7 *2486:21 *26547:D 0.000219711 -8 *2486:21 *26603:D 7.6021e-05 -9 *2486:21 *26743:C 0.000150625 -10 *2486:21 *2718:19 0.000177821 -11 *2486:21 *5664:131 1.21258e-05 -12 *2486:21 *5664:139 7.34187e-06 -13 *2486:21 *5664:243 0.000210787 -14 *2486:21 *5664:251 4.08637e-05 -15 *26206:B *26704:B1_N 7.31195e-06 -16 *26206:B *2486:21 2.97829e-05 -17 *26546:A *2486:21 0.000212563 -18 *26546:C *2486:21 0.000178847 -19 *26801:A *2486:21 1.98839e-05 -20 *26801:B *2486:21 0.000218685 -21 *373:12 *26704:B1_N 0.000150625 -22 *2133:212 *26704:B1_N 5.49544e-05 -23 *2155:117 *2486:21 9.60875e-05 -24 *2156:10 *2486:21 7.03393e-05 -25 *2187:141 *2486:21 9.71197e-05 -*RES -1 *26545:X *2486:21 33.425 -2 *2486:21 *26704:B1_N 21.7286 -3 *2486:21 *26546:B 9.3 -*END - -*D_NET *2487 0.00256415 -*CONN -*I *26547:D I *D sky130_fd_sc_hd__or4_1 -*I *26546:X O *D sky130_fd_sc_hd__or4b_1 -*CAP -1 *26547:D 0.000453681 -2 *26546:X 0.000453681 -3 *26547:D *26781:A2 0.00044824 -4 *26263:A *26547:D 9.41642e-05 -5 *26547:A *26547:D 5.50052e-05 -6 *26547:B *26547:D 2.51343e-06 -7 *26777:A *26547:D 0.000144732 -8 *2190:38 *26547:D 3.29901e-05 -9 *2194:45 *26547:D 0.000659438 -10 *2486:21 *26547:D 0.000219711 -*RES -1 *26546:X *26547:D 39.35 -*END - -*D_NET *2488 0.0021456 -*CONN -*I *26548:B I *D sky130_fd_sc_hd__nor2_1 -*I *26547:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26548:B 0.00062808 -2 *26547:X 0.00062808 -3 *26548:B *2489:7 5.33005e-05 -4 *2149:19 *26548:B 0.000214006 -5 *2149:68 *26548:B 0.000226078 -6 *2165:10 *26548:B 0.000292715 -7 *2194:52 *26548:B 0.000103343 -*RES -1 *26547:X *26548:B 40.1357 -*END - -*D_NET *2489 0.0164622 -*CONN -*I *26549:C_N I *D sky130_fd_sc_hd__or3b_1 -*I *26548:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26549:C_N 0.000849783 -2 *26548:Y 0.000209997 -3 *2489:10 0.00206574 -4 *2489:7 0.00142595 -5 *26549:C_N *5722:112 0.000125355 -6 *2489:10 *26710:C 0.000384132 -7 *2489:10 *2587:23 0.000250865 -8 *2489:10 *2642:6 0.000141375 -9 *2489:10 *2669:11 0.000196269 -10 *2489:10 *3510:90 0.000329884 -11 *2489:10 *5673:40 1.62489e-05 -12 *2489:10 *5673:51 0.000144498 -13 *26267:B1 *26549:C_N 0.000257619 -14 *26548:B *2489:7 5.33005e-05 -15 *26644:A2 *26549:C_N 0.000219478 -16 *1186:55 *26549:C_N 0.00205912 -17 *1186:55 *2489:10 0.000202191 -18 *1874:36 *26549:C_N 0.00182068 -19 *1874:36 *2489:10 1.09232e-05 -20 *2127:27 *26549:C_N 0.000217105 -21 *2145:87 *2489:10 0.000542609 -22 *2149:19 *2489:7 7.37485e-05 -23 *2151:56 *2489:10 0.00175856 -24 *2152:37 *2489:10 0.000148189 -25 *2152:48 *2489:10 0.000219788 -26 *2152:67 *2489:10 0.000706298 -27 *2152:88 *2489:10 0.000241198 -28 *2161:54 *2489:10 0 -29 *2177:41 *2489:10 0.00153044 -30 *2208:8 *26549:C_N 2.52223e-05 -31 *2471:14 *2489:10 0.000235612 -*RES -1 *26548:Y *2489:7 16.3893 -2 *2489:7 *2489:10 46.1518 -3 *2489:10 *26549:C_N 43.6839 -*END - -*D_NET *2490 0.0152364 -*CONN -*I *26551:A2 I *D sky130_fd_sc_hd__o21a_1 -*I *26549:X O *D sky130_fd_sc_hd__or3b_1 -*CAP -1 *26551:A2 0.00118109 -2 *26549:X 0.00433698 -3 *2490:23 0.00551807 -4 *26551:A2 *2562:8 4.21517e-05 -5 *26551:A2 *5250:29 2.01525e-05 -6 *2490:23 *4189:60 0 -7 *2490:23 *5673:251 8.69554e-05 -8 *26224:D1 *2490:23 0 -9 *26358:A *2490:23 0.000167599 -10 *26520:C *26551:A2 0.000174524 -11 *26617:B *2490:23 0 -12 *1518:15 *26551:A2 0 -13 *1930:112 *26551:A2 1.32511e-05 -14 *1946:98 *2490:23 2.63501e-05 -15 *2004:13 *2490:23 6.25005e-05 -16 *2016:65 *26551:A2 0.000169243 -17 *2016:67 *26551:A2 0.00116123 -18 *2016:74 *2490:23 0.00113111 -19 *2019:94 *26551:A2 4.43081e-05 -20 *2049:10 *2490:23 2.22043e-05 -21 *2052:28 *2490:23 6.09762e-05 -22 *2060:109 *2490:23 0.000470791 -23 *2129:29 *2490:23 0 -24 *2131:45 *2490:23 0.000343915 -25 *2133:135 *2490:23 0 -26 *2165:31 *2490:23 8.88417e-05 -27 *2169:24 *26551:A2 2.23277e-05 -28 *2187:122 *2490:23 2.06178e-05 -29 *2250:51 *2490:23 7.94186e-06 -30 *2283:23 *26551:A2 1.90936e-05 -31 *2358:17 *2490:23 4.41872e-05 -*RES -1 *26549:X *2490:23 46.9077 -2 *2490:23 *26551:A2 29.4986 -*END - -*D_NET *2491 0.000502428 -*CONN -*I *26655:C I *D sky130_fd_sc_hd__or3_2 -*I *26550:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26655:C 9.78895e-05 -2 *26550:Y 9.78895e-05 -3 *26160:A4 *26655:C 0.000150618 -4 *26550:B *26655:C 3.69047e-06 -5 *2434:6 *26655:C 0.00015234 -*RES -1 *26550:Y *26655:C 30.0821 -*END - -*D_NET *2492 0.000726121 -*CONN -*I *26552:D I *D sky130_fd_sc_hd__or4_1 -*I *26551:X O *D sky130_fd_sc_hd__o21a_1 -*CAP -1 *26552:D 0.000214727 -2 *26551:X 0.000214727 -3 *26552:D *5250:29 0.000102302 -4 *26551:A1 *26552:D 4.16869e-05 -5 *26551:B1 *26552:D 0.000152679 -*RES -1 *26551:X *26552:D 31.1179 -*END - -*D_NET *2493 0.000741844 -*CONN -*I *26553:B2 I *D sky130_fd_sc_hd__o22a_1 -*I *26552:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26553:B2 0.000232006 -2 *26552:X 0.000232006 -3 *26553:B2 *26553:A1 1.19813e-05 -4 *28401:D *26553:B2 9.41642e-05 -5 *1884:56 *26553:B2 0.000171685 -*RES -1 *26552:X *26553:B2 22.8679 -*END - -*D_NET *2494 0.00408494 -*CONN -*I *26692:B I *D sky130_fd_sc_hd__or2_1 -*I *26586:A I *D sky130_fd_sc_hd__nor2_1 -*I *26554:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *26692:B 0.000443806 -2 *26586:A 0.000214493 -3 *26554:X 0.000307819 -4 *2494:9 0.000966119 -5 *26692:B *26462:B 5.52238e-05 -6 *26692:B *5615:12 2.24646e-05 -7 *26692:B *5615:21 0.000358401 -8 *26462:A *26692:B 8.24993e-05 -9 *26492:A *26692:B 1.07719e-05 -10 *26492:C *26692:B 0.000137983 -11 *26492:D *26692:B 0.00011159 -12 *26554:A *2494:9 9.71197e-05 -13 *26554:C *2494:9 6.42095e-05 -14 *26576:A *26586:A 0.000411597 -15 *26578:A *26692:B 1.5424e-05 -16 *1862:11 *26586:A 0.00022266 -17 *1862:11 *2494:9 0.000105131 -18 *2045:102 *26586:A 0.000412318 -19 *2063:14 *26692:B 4.53124e-05 -*RES -1 *26554:X *2494:9 14.6929 -2 *2494:9 *26586:A 25.7643 -3 *2494:9 *26692:B 27.925 -*END - -*D_NET *2495 0.00976785 -*CONN -*I *26556:B I *D sky130_fd_sc_hd__or2_1 -*I *26572:A4 I *D sky130_fd_sc_hd__o41a_1 -*I *26573:A4 I *D sky130_fd_sc_hd__o41a_1 -*I *26555:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26556:B 0.000545677 -2 *26572:A4 0.000208997 -3 *26573:A4 4.36033e-05 -4 *26555:Y 0.000468352 -5 *2495:14 0.00116729 -6 *2495:5 0.00192872 -7 *26556:B *26580:B1 0.00059046 -8 *26556:B *2496:8 0.000111218 -9 *26556:B *2511:25 2.59355e-05 -10 *26556:B *4364:92 0.000915063 -11 *26556:B *5544:15 0.00019243 -12 *26573:A4 *5914:99 5.33005e-05 -13 *2495:5 *5673:299 0.000455576 -14 *2495:14 *5673:299 0.00115899 -15 *26481:A *26572:A4 0.000232758 -16 *26481:A *2495:14 0.000204888 -17 *26580:C1 *26556:B 9.71673e-05 -18 *1928:14 *26556:B 0.000126439 -19 *1958:8 *26556:B 8.55022e-05 -20 *1959:19 *26556:B 0.000269428 -21 *2025:55 *26556:B 2.06178e-05 -22 *2031:68 *26556:B 1.37292e-05 -23 *2187:22 *26572:A4 0.000451426 -24 *2187:22 *2495:14 0.000400288 -*RES -1 *26555:Y *2495:5 18.05 -2 *2495:5 *2495:14 24.4286 -3 *2495:14 *26573:A4 14.3357 -4 *2495:14 *26572:A4 19.6929 -5 *2495:5 *26556:B 36.1036 -*END - -*D_NET *2496 0.00450332 -*CONN -*I *26559:C I *D sky130_fd_sc_hd__or3_1 -*I *26557:B I *D sky130_fd_sc_hd__nor2_1 -*I *26580:B1 I *D sky130_fd_sc_hd__o221a_1 -*I *26556:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26559:C 0.000179745 -2 *26557:B 5.1457e-06 -3 *26580:B1 0.000269656 -4 *26556:X 0.00015241 -5 *2496:22 0.000484331 -6 *2496:8 0.000721507 -7 *26557:B *2500:26 2.26973e-05 -8 *26559:C *26559:A 2.89114e-05 -9 *26559:C *2500:26 0.000220209 -10 *26580:B1 *26580:B2 5.33005e-05 -11 *26580:B1 *2497:14 0.000152353 -12 *2496:8 *2497:14 1.04707e-05 -13 *26458:A3 *26580:B1 1.33343e-05 -14 *26556:B *26580:B1 0.00059046 -15 *26556:B *2496:8 0.000111218 -16 *26559:B *26559:C 4.25523e-05 -17 *26580:A2 *26580:B1 5.52238e-05 -18 *26580:C1 *26580:B1 1.02504e-05 -19 *1187:7 *26559:C 9.71197e-05 -20 *1908:27 *26557:B 2.11419e-05 -21 *1908:27 *26559:C 0.000370489 -22 *1922:19 *26559:C 1.9643e-05 -23 *1959:19 *2496:8 0.000139907 -24 *2031:68 *26580:B1 0.00021628 -25 *2031:68 *2496:8 4.26565e-05 -26 *2240:29 *2496:22 0.000472307 -*RES -1 *26556:X *2496:8 16.6482 -2 *2496:8 *26580:B1 22.2018 -3 *2496:8 *2496:22 7.58767 -4 *2496:22 *26557:B 14.0768 -5 *2496:22 *26559:C 19.5768 -*END - -*D_NET *2497 0.0095238 -*CONN -*I *26579:A2 I *D sky130_fd_sc_hd__a21oi_1 -*I *26558:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *26557:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26579:A2 0.000122971 -2 *26558:A2 0.000880028 -3 *26557:Y 0.00124398 -4 *2497:14 0.00224698 -5 *26558:A2 *2498:8 2.14757e-05 -6 *26558:A2 *2598:8 0.000159997 -7 *26558:A2 *4353:187 0.000211446 -8 *26558:A2 *4353:194 0.000343728 -9 *26579:A2 *2507:21 0.000265453 -10 *2497:14 *4353:187 0.000239928 -11 *2497:14 *6180:11 0.00132542 -12 *26450:A1 *2497:14 0.000134461 -13 *26452:A3 *26558:A2 0.00046526 -14 *26557:A *2497:14 1.58163e-05 -15 *26580:B1 *2497:14 0.000152353 -16 *26682:A1 *26558:A2 4.58194e-05 -17 *1935:156 *2497:14 0.000137983 -18 *1959:31 *2497:14 0.000177821 -19 *2025:84 *26558:A2 0.000544166 -20 *2029:15 *26558:A2 0.000388576 -21 *2031:68 *2497:14 0.000164341 -22 *2034:19 *26579:A2 7.43578e-06 -23 *2141:39 *2497:14 5.74499e-06 -24 *2154:143 *2497:14 0.000101545 -25 *2240:42 *26558:A2 7.12892e-05 -26 *2240:42 *2497:14 3.93115e-05 -27 *2496:8 *2497:14 1.04707e-05 -*RES -1 *26557:Y *2497:14 44.4786 -2 *2497:14 *26558:A2 37.0321 -3 *2497:14 *26579:A2 16.3893 -*END - -*D_NET *2498 0.00625023 -*CONN -*I *26563:A I *D sky130_fd_sc_hd__or3_1 -*I *26689:D I *D sky130_fd_sc_hd__or4_1 -*I *26558:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *26563:A 0.000752984 -2 *26689:D 0.000295325 -3 *26558:X 0.000416757 -4 *2498:8 0.00146507 -5 *26563:A *26470:A 0.000142856 -6 *26563:A *26563:C 0.000139632 -7 *26563:A *2620:13 0.000107766 -8 *26563:A *2684:11 0.000121573 -9 *26689:D *2598:8 0.000101538 -10 *26475:A2 *26689:D 5.33005e-05 -11 *26558:A2 *2498:8 2.14757e-05 -12 *26682:A1 *2498:8 7.48301e-06 -13 *26689:B *26689:D 0.000307295 -14 *26689:C *26689:D 2.89016e-05 -15 *1928:17 *2498:8 0.000180777 -16 *1942:146 *26689:D 0.000315165 -17 *1942:146 *2498:8 0.000187719 -18 *1952:22 *26689:D 0.000360681 -19 *1952:22 *2498:8 0.000196262 -20 *2007:64 *26563:A 0.000123288 -21 *2029:15 *2498:8 0.000221628 -22 *2071:14 *26689:D 5.31101e-05 -23 *2411:21 *26563:A 0.000649645 -*RES -1 *26558:X *2498:8 20.9964 -2 *2498:8 *26689:D 23.2643 -3 *2498:8 *26563:A 34.55 -*END - -*D_NET *2499 0.00151203 -*CONN -*I *26560:A I *D sky130_fd_sc_hd__inv_2 -*I *26571:B I *D sky130_fd_sc_hd__nor2_1 -*I *26559:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *26560:A 0.000124224 -2 *26571:B 0.000166223 -3 *26559:X 0 -4 *2499:4 0.000290446 -5 *26560:A *26559:A 1.3701e-05 -6 *26560:A *4308:8 7.32272e-05 -7 *26571:B *2511:5 5.035e-05 -8 *26571:B *2511:25 3.69047e-06 -9 *26571:B *5544:15 0.000262498 -10 *26571:A *26571:B 5.75275e-05 -11 *1187:7 *26560:A 0.000223558 -12 *1908:27 *26571:B 0.000123295 -13 *1914:12 *26571:B 0.000123295 -*RES -1 *26559:X *2499:4 9.3 -2 *2499:4 *26571:B 22.9607 -3 *2499:4 *26560:A 12.5143 -*END - -*D_NET *2500 0.0177651 -*CONN -*I *26561:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *26581:B I *D sky130_fd_sc_hd__nand2_1 -*I *26562:A3 I *D sky130_fd_sc_hd__a311o_1 -*I *26560:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *26561:A2 0.00138115 -2 *26581:B 0.000738742 -3 *26562:A3 0.000494011 -4 *26560:Y 0 -5 *2500:28 0.00133715 -6 *2500:26 0.00176028 -7 *2500:4 0.00303704 -8 *26561:A2 *2501:7 0.000119135 -9 *26561:A2 *4308:8 0.000429934 -10 *26562:A3 *26562:A1 1.11777e-05 -11 *26562:A3 *2502:12 1.8038e-05 -12 *26562:A3 *6291:58 0.000211026 -13 *26562:A3 *6291:60 9.11541e-06 -14 *26581:B *26470:B 0.000127441 -15 *2500:26 *26574:C 0.00018156 -16 *2500:26 *2512:20 6.09762e-05 -17 *2500:26 *4364:92 2.34204e-05 -18 *2500:26 *5544:15 0.00038907 -19 *25987:A *2500:26 9.90115e-06 -20 *25987:B *2500:26 1.37292e-05 -21 *26018:A *2500:26 8.03134e-05 -22 *26049:A *26562:A3 0 -23 *26049:A *2500:28 0 -24 *26073:B *2500:28 0 -25 *26122:A2 *2500:26 0.000195983 -26 *26123:B1 *26581:B 0 -27 *26123:B1 *2500:26 5.12774e-05 -28 *26457:B1 *2500:26 2.39876e-05 -29 *26480:A1 *26581:B 9.17069e-06 -30 *26480:A2 *2500:26 0.000169588 -31 *26483:B1 *26562:A3 0.000110952 -32 *26557:A *2500:26 5.01876e-06 -33 *26557:B *2500:26 2.26973e-05 -34 *26559:C *2500:26 0.000220209 -35 *26561:A1 *26561:A2 2.58821e-05 -36 *26561:B1 *26561:A2 7.88052e-05 -37 *26562:A2 *26562:A3 1.28809e-05 -38 *26680:A2 *26581:B 0 -39 *30598:A *26561:A2 2.42516e-05 -40 *1187:7 *26561:A2 0.000324825 -41 *1771:43 *2500:26 0 -42 *1908:27 *2500:26 0.000103424 -43 *1914:12 *26562:A3 0 -44 *1922:19 *2500:26 0.000152979 -45 *1952:22 *26581:B 0.000127441 -46 *1966:109 *26581:B 0.00206024 -47 *1993:39 *2500:26 0 -48 *1995:10 *2500:26 0.000312537 -49 *2025:114 *26562:A3 8.27403e-05 -50 *2031:68 *2500:26 2.56694e-05 -51 *2032:76 *26562:A3 1.18064e-05 -52 *2032:76 *2500:26 0.000288209 -53 *2032:76 *2500:28 2.68929e-05 -54 *2032:255 *26562:A3 2.04825e-05 -55 *2032:255 *26581:B 0.00220577 -56 *2054:48 *2500:26 0.000132966 -57 *2147:136 *26562:A3 3.93848e-05 -58 *2154:122 *26562:A3 2.04825e-05 -59 *2154:122 *2500:28 0.000174942 -60 *2187:73 *26562:A3 6.2589e-06 -61 *2250:94 *2500:26 0 -62 *2401:23 *2500:26 6.79591e-05 -63 *2401:23 *2500:28 0.000196156 -*RES -1 *26560:Y *2500:4 9.3 -2 *2500:4 *2500:26 47.2143 -3 *2500:26 *2500:28 3.3125 -4 *2500:28 *26562:A3 24.8312 -5 *2500:28 *26581:B 26.065 -6 *2500:4 *26561:A2 28.7821 -*END - -*D_NET *2501 0.00968654 -*CONN -*I *26748:C I *D sky130_fd_sc_hd__or4b_1 -*I *26563:B I *D sky130_fd_sc_hd__or3_1 -*I *26561:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *26748:C 0.000590582 -2 *26563:B 0 -3 *26561:X 0.000166524 -4 *2501:11 0.00178093 -5 *2501:7 0.00253803 -6 *26748:C *6192:9 4.69993e-05 -7 *2501:11 *26452:A1 0.000902116 -8 *2501:11 *26582:C1 0.000144613 -9 *2501:11 *5782:232 1.90936e-05 -10 *26331:B *26748:C 3.38229e-05 -11 *26331:B *2501:11 0.000112402 -12 *26452:A2 *26748:C 8.94658e-05 -13 *26452:A2 *2501:11 0.000135245 -14 *26452:B1 *2501:11 0.000125724 -15 *26473:B1 *26748:C 0.000258024 -16 *26561:A2 *2501:7 0.000119135 -17 *1187:12 *2501:11 5.59463e-05 -18 *1188:85 *2501:11 0.000144038 -19 *1950:10 *2501:11 0.000192766 -20 *1950:22 *2501:11 0.0010231 -21 *1985:29 *26748:C 9.35042e-05 -22 *2217:82 *2501:11 0.000113499 -23 *2217:110 *2501:11 0.000124564 -24 *2404:10 *2501:11 0.00055516 -25 *2411:21 *2501:11 0.000219711 -26 *2428:8 *26748:C 0.000101545 -*RES -1 *26561:X *2501:7 15.9786 -2 *2501:7 *2501:11 45.8214 -3 *2501:11 *26563:B 9.3 -4 *2501:7 *26748:C 24.8536 -*END - -*D_NET *2502 0.00912902 -*CONN -*I *26563:C I *D sky130_fd_sc_hd__or3_1 -*I *26804:A_N I *D sky130_fd_sc_hd__and4bb_1 -*I *26562:X O *D sky130_fd_sc_hd__a311o_1 -*CAP -1 *26563:C 0.000181525 -2 *26804:A_N 0.000145369 -3 *26562:X 0.00155364 -4 *2502:12 0.00188054 -5 *2502:12 *26562:A1 0 -6 *2502:12 *6291:60 8.87345e-05 -7 *26477:A2 *2502:12 0.000666584 -8 *26482:A1 *2502:12 0.00167145 -9 *26483:B1 *2502:12 7.46146e-05 -10 *26485:B1 *26804:A_N 0.000181374 -11 *26562:A3 *2502:12 1.8038e-05 -12 *26563:A *26563:C 0.000139632 -13 *1862:22 *26804:A_N 0.000559931 -14 *1942:169 *26804:A_N 0.000201483 -15 *2007:64 *26563:C 0.000218734 -16 *2007:64 *2502:12 0.000133683 -17 *2038:112 *2502:12 2.02078e-05 -18 *2038:132 *2502:12 0.000299395 -19 *2078:11 *26563:C 0.000211913 -20 *2078:11 *2502:12 0.000135405 -21 *2187:73 *2502:12 0.000677367 -22 *2411:21 *26563:C 4.10926e-05 -23 *2427:21 *2502:12 2.83129e-05 -*RES -1 *26562:X *2502:12 28.5497 -2 *2502:12 *26804:A_N 19.2643 -3 *2502:12 *26563:C 18.8357 -*END - -*D_NET *2503 0.000867168 -*CONN -*I *26585:A I *D sky130_fd_sc_hd__nor2_1 -*I *26563:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *26585:A 0.000314461 -2 *26563:X 0.000314461 -3 *26585:A *26585:B 5.45515e-05 -4 *26585:A *2525:13 5.33005e-05 -5 *2411:21 *26585:A 0.000130393 -*RES -1 *26563:X *26585:A 24.1 -*END - -*D_NET *2504 0.000950435 -*CONN -*I *26565:C1 I *D sky130_fd_sc_hd__a211o_1 -*I *26564:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26565:C1 0.000274252 -2 *26564:Y 0.000274252 -3 *26565:C1 *5672:5 7.48301e-06 -4 *26467:B *26565:C1 1.02504e-05 -5 *26564:A *26565:C1 7.88056e-05 -6 *26564:B *26565:C1 2.89114e-05 -7 *26679:A *26565:C1 1.59689e-05 -8 *2395:20 *26565:C1 0 -9 *2397:16 *26565:C1 0.000260512 -*RES -1 *26564:Y *26565:C1 32.9214 -*END - -*D_NET *2505 0.00504412 -*CONN -*I *26679:C I *D sky130_fd_sc_hd__or4_1 -*I *26750:C I *D sky130_fd_sc_hd__or4b_1 -*I *26570:B I *D sky130_fd_sc_hd__or4b_1 -*I *26565:X O *D sky130_fd_sc_hd__a211o_1 -*CAP -1 *26679:C 0.000144686 -2 *26750:C 1.88369e-05 -3 *26570:B 0.000405004 -4 *26565:X 3.34103e-05 -5 *2505:11 0.000752143 -6 *2505:7 0.000506398 -7 *26570:B *26584:A 9.71197e-05 -8 *26570:B *26750:D_N 0.000649921 -9 *26750:C *26750:D_N 3.99614e-06 -10 *2505:11 *2618:11 7.98741e-05 -11 *26467:A *2505:11 0.000584167 -12 *26490:A *2505:11 0.00060804 -13 *26490:B *26679:C 0.000202182 -14 *26490:B *2505:11 0.000225307 -15 *26490:C *2505:11 0.000128154 -16 *26565:A2 *2505:7 5.33005e-05 -17 *26679:A *26679:C 9.60875e-05 -18 *26750:A *2505:11 6.05161e-06 -19 *26750:B *26750:C 3.99614e-06 -20 *26750:B *2505:11 5.52302e-05 -21 *1935:169 *26679:C 0.0001399 -22 *2027:18 *2505:11 5.66157e-05 -23 *2031:35 *26679:C 3.03304e-05 -24 *2034:19 *26570:B 3.1521e-05 -25 *2034:19 *2505:11 1.65169e-05 -26 *2034:30 *26679:C 6.4608e-05 -27 *2034:30 *2505:11 5.0725e-05 -*RES -1 *26565:X *2505:7 14.3357 -2 *2505:7 *2505:11 17.7143 -3 *2505:11 *26570:B 17.2464 -4 *2505:11 *26750:C 9.72857 -5 *2505:7 *26679:C 18.0143 -*END - -*D_NET *2506 0.0108307 -*CONN -*I *26567:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *26684:B I *D sky130_fd_sc_hd__and2_1 -*I *26566:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26567:A2 0.00105207 -2 *26684:B 6.44586e-05 -3 *26566:Y 0.000580872 -4 *2506:12 0.0016974 -5 *26567:A2 *26011:A 0.000229274 -6 *26567:A2 *26691:A 0.00172692 -7 *26567:A2 *26789:C 0.000634916 -8 *26567:A2 *2522:11 0.000173182 -9 *26567:A2 *2726:10 8.35129e-05 -10 *26567:A2 *4475:37 9.85599e-06 -11 *26567:A2 *6291:186 0.000725384 -12 *26684:B *2621:14 0.000175892 -13 *2506:12 *26690:C 6.26177e-05 -14 *2506:12 *26804:D 0.000357497 -15 *2506:12 *2628:8 0 -16 *26111:A *26567:A2 6.53937e-05 -17 *26112:A *26567:A2 0.000277153 -18 *26112:A *2506:12 0.000170646 -19 *26112:B *26567:A2 2.21038e-05 -20 *26112:B *26684:B 9.41642e-05 -21 *26112:B *2506:12 0.000172368 -22 *26789:D *26567:A2 1.00733e-05 -23 *1931:45 *26567:A2 0.000444923 -24 *1950:181 *26567:A2 0.000305404 -25 *2016:8 *26567:A2 9.41642e-05 -26 *2016:194 *26567:A2 0.000208557 -27 *2019:41 *2506:12 0.000996667 -28 *2024:7 *26567:A2 3.64864e-05 -29 *2038:140 *2506:12 5.71472e-05 -30 *2038:193 *26567:A2 9.31016e-05 -31 *2040:127 *26684:B 2.42516e-05 -32 *2054:8 *26567:A2 0.000165794 -33 *2083:6 *26567:A2 1.8441e-05 -*RES -1 *26566:Y *2506:12 31.2107 -2 *2506:12 *26684:B 15.5679 -3 *2506:12 *26567:A2 47.7643 -*END - -*D_NET *2507 0.00937967 -*CONN -*I *26570:C I *D sky130_fd_sc_hd__or4b_1 -*I *26677:B I *D sky130_fd_sc_hd__or3b_1 -*I *26567:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *26570:C 0 -2 *26677:B 0 -3 *26567:X 0.000306634 -4 *2507:21 0.00156079 -5 *2507:10 0.00186742 -6 *2507:10 *26789:B_N 2.18087e-05 -7 *2507:10 *26789:C 0.000589751 -8 *2507:21 *26569:B1 0.000107617 -9 *2507:21 *26750:D_N 0.000137983 -10 *2507:21 *2514:10 0 -11 *2507:21 *2519:8 0.000158715 -12 *2507:21 *2616:8 9.25014e-06 -13 *26093:A *2507:21 0.000141985 -14 *26126:B *2507:21 0 -15 *26569:A2 *2507:21 5.64464e-05 -16 *26570:A *2507:21 5.36e-05 -17 *26579:A2 *2507:21 0.000265453 -18 *26688:B *2507:21 9.7659e-05 -19 *1902:36 *2507:10 0.000358753 -20 *1930:17 *2507:21 0.000159774 -21 *1933:11 *2507:21 0.000181803 -22 *2015:15 *2507:10 0.000591456 -23 *2022:129 *2507:21 0.000112073 -24 *2033:12 *2507:21 5.31122e-05 -25 *2034:19 *2507:21 0.000459475 -26 *2035:10 *2507:21 0 -27 *2054:38 *2507:21 1.94879e-05 -28 *2142:189 *2507:21 0.00167066 -29 *2154:143 *2507:10 0.000168912 -30 *2154:143 *2507:21 0.00022906 -*RES -1 *26567:X *2507:10 28.8536 -2 *2507:10 *26677:B 9.3 -3 *2507:10 *2507:21 46.8036 -4 *2507:21 *26570:C 9.3 -*END - -*D_NET *2508 0.00322591 -*CONN -*I *26580:B2 I *D sky130_fd_sc_hd__o221a_1 -*I *26569:B1 I *D sky130_fd_sc_hd__o221a_1 -*I *26568:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26580:B2 7.72989e-05 -2 *26569:B1 0.000629996 -3 *26568:X 5.39154e-05 -4 *2508:6 0.000761211 -5 *26569:B1 *5914:90 5.27209e-07 -6 *26458:A3 *26580:B2 8.56851e-05 -7 *26580:A2 *26580:B2 1.98839e-05 -8 *26580:B1 *26580:B2 5.33005e-05 -9 *1929:8 *26569:B1 6.39808e-05 -10 *1930:17 *26569:B1 4.2985e-06 -11 *1935:156 *26569:B1 0.000137457 -12 *1935:156 *2508:6 0.000114759 -13 *2025:55 *26569:B1 0.000638977 -14 *2031:68 *26569:B1 3.57844e-05 -15 *2031:68 *2508:6 6.09572e-05 -16 *2054:38 *26569:B1 6.05161e-06 -17 *2054:48 *26580:B2 0.000264934 -18 *2154:143 *26569:B1 0.000109271 -19 *2217:77 *26569:B1 0 -20 *2507:21 *26569:B1 0.000107617 -*RES -1 *26568:X *2508:6 15.4429 -2 *2508:6 *26569:B1 25.3928 -3 *2508:6 *26580:B2 16.3893 -*END - -*D_NET *2509 0.0070204 -*CONN -*I *26750:D_N I *D sky130_fd_sc_hd__or4b_1 -*I *26570:D_N I *D sky130_fd_sc_hd__or4b_1 -*I *26569:X O *D sky130_fd_sc_hd__o221a_1 -*CAP -1 *26750:D_N 0.000263876 -2 *26570:D_N 0 -3 *26569:X 0.00074147 -4 *2509:10 0.00100535 -5 *2509:10 *5914:90 0.00229796 -6 *26146:A2 *2509:10 0.000642093 -7 *26146:B1 *2509:10 2.15245e-05 -8 *26463:A *2509:10 0.000310298 -9 *26473:A2 *2509:10 0.000168082 -10 *26570:A *26750:D_N 0.000215632 -11 *26570:B *26750:D_N 0.000649921 -12 *26750:C *26750:D_N 3.99614e-06 -13 *2034:19 *26750:D_N 0.000206997 -14 *2038:197 *2509:10 0.000169797 -15 *2404:10 *2509:10 0.000185419 -16 *2507:21 *26750:D_N 0.000137983 -*RES -1 *26569:X *2509:10 42.8536 -2 *2509:10 *26570:D_N 9.3 -3 *2509:10 *26750:D_N 18.2107 -*END - -*D_NET *2510 0.00444843 -*CONN -*I *26584:A I *D sky130_fd_sc_hd__or3b_1 -*I *26570:X O *D sky130_fd_sc_hd__or4b_1 -*CAP -1 *26584:A 0.000859812 -2 *26570:X 0.000859812 -3 *26584:A *26583:B 0.000164832 -4 *26584:A *26686:B 6.00145e-05 -5 *26584:A *26790:A2 0.000145421 -6 *26584:A *2520:18 0.000942787 -7 *26148:B *26584:A 1.1594e-05 -8 *26149:C1 *26584:A 0.000148189 -9 *26455:B1 *26584:A 0.000157465 -10 *26570:B *26584:A 9.71197e-05 -11 *26678:A *26584:A 5.41797e-05 -12 *1986:125 *26584:A 0.00013021 -13 *2021:59 *26584:A 1.56868e-05 -14 *2021:61 *26584:A 0.000729286 -15 *2040:127 *26584:A 1.90936e-05 -16 *2086:16 *26584:A 3.12583e-05 -17 *2090:8 *26584:A 2.16719e-05 -*RES -1 *26570:X *26584:A 49.6179 -*END - -*D_NET *2511 0.00834301 -*CONN -*I *26688:C I *D sky130_fd_sc_hd__or4_1 -*I *26574:A_N I *D sky130_fd_sc_hd__nand3b_1 -*I *26571:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26688:C 0 -2 *26574:A_N 0.000210131 -3 *26571:Y 0.000186786 -4 *2511:25 0.00161217 -5 *2511:5 0.00200909 -6 *26574:A_N *26574:C 9.60875e-05 -7 *26574:A_N *6180:11 4.58194e-05 -8 *2511:25 *26688:D 0.00021529 -9 *2511:25 *5544:15 5.74499e-06 -10 *26450:A1 *2511:25 0.00213138 -11 *26452:B1 *2511:25 0.000605211 -12 *26556:B *2511:25 2.59355e-05 -13 *26571:A *2511:5 5.52302e-05 -14 *26571:B *2511:5 5.035e-05 -15 *26571:B *2511:25 3.69047e-06 -16 *1908:27 *26574:A_N 0.000322273 -17 *1914:12 *26574:A_N 0.000320566 -18 *1914:12 *2511:25 0.000164503 -19 *1935:156 *2511:25 7.30012e-05 -20 *1959:31 *2511:25 7.6644e-05 -21 *2391:9 *2511:25 0.000133118 -*RES -1 *26571:Y *2511:5 11.4786 -2 *2511:5 *26574:A_N 23.6214 -3 *2511:5 *2511:25 41.9107 -4 *2511:25 *26688:C 9.3 -*END - -*D_NET *2512 0.0088872 -*CONN -*I *26574:B I *D sky130_fd_sc_hd__nand3b_1 -*I *26680:B1 I *D sky130_fd_sc_hd__o211a_1 -*I *26572:X O *D sky130_fd_sc_hd__o41a_1 -*CAP -1 *26574:B 0 -2 *26680:B1 0.000894229 -3 *26572:X 0.000675759 -4 *2512:20 0.00156999 -5 *26680:B1 *26574:C 3.57366e-05 -6 *26680:B1 *26681:B1 1.0484e-05 -7 *26680:B1 *2514:10 0.000345257 -8 *26680:B1 *2520:13 0.000187944 -9 *26680:B1 *6180:11 0.000144363 -10 *2512:20 *4275:8 9.90367e-05 -11 *26087:B *2512:20 0.000115139 -12 *26108:C_N *26680:B1 0.0012595 -13 *26557:A *2512:20 9.41642e-05 -14 *26572:A2 *2512:20 0.000178847 -15 *26572:A3 *2512:20 5.33005e-05 -16 *26572:B1 *2512:20 2.89114e-05 -17 *26680:A1 *26680:B1 0.000149996 -18 *26680:A2 *26680:B1 2.23559e-05 -19 *30595:A *2512:20 0.000139907 -20 *1917:13 *26680:B1 0.000146059 -21 *1922:19 *2512:20 0.000357988 -22 *1931:45 *26680:B1 0.000207797 -23 *1935:156 *2512:20 0.000134652 -24 *1942:35 *26680:B1 0.00021846 -25 *1958:8 *26680:B1 1.24553e-05 -26 *1959:31 *2512:20 4.53889e-05 -27 *2022:129 *26680:B1 2.11419e-05 -28 *2031:68 *2512:20 6.52098e-05 -29 *2032:43 *26680:B1 1.90936e-05 -30 *2033:19 *26680:B1 0.00040257 -31 *2066:9 *26680:B1 6.7904e-05 -32 *2141:39 *2512:20 0.0003331 -33 *2240:29 *2512:20 0.000789492 -34 *2500:26 *2512:20 6.09762e-05 -*RES -1 *26572:X *2512:20 39.3685 -2 *2512:20 *26680:B1 44.5143 -3 *2512:20 *26574:B 9.3 -*END - -*D_NET *2513 0.00588227 -*CONN -*I *26574:C I *D sky130_fd_sc_hd__nand3b_1 -*I *26788:B1 I *D sky130_fd_sc_hd__o31a_1 -*I *26573:X O *D sky130_fd_sc_hd__o41a_1 -*CAP -1 *26574:C 0.000847423 -2 *26788:B1 0.000425185 -3 *26573:X 9.95616e-05 -4 *2513:7 0.00137217 -5 *26574:C *4475:21 4.63939e-05 -6 *26574:C *4508:65 4.29267e-05 -7 *26574:C *6180:11 3.82357e-05 -8 *2513:7 *5914:99 0.000301438 -9 *25987:A *26574:C 8.6836e-05 -10 *26052:B *26788:B1 0.000103898 -11 *26458:B2 *26574:C 0.000148964 -12 *26458:B2 *26788:B1 7.80096e-05 -13 *26458:B2 *2513:7 2.24195e-05 -14 *26573:A2 *2513:7 5.33005e-05 -15 *26573:B1 *2513:7 0.000137983 -16 *26574:A_N *26574:C 9.60875e-05 -17 *26680:B1 *26574:C 3.57366e-05 -18 *26788:A3 *26788:B1 1.02504e-05 -19 *1908:27 *26574:C 6.34068e-05 -20 *1925:8 *26788:B1 0.00057618 -21 *1927:15 *26574:C 0.000156271 -22 *1927:15 *26788:B1 4.04852e-05 -23 *2187:22 *26788:B1 0.000211661 -24 *2217:77 *26574:C 0.000705884 -25 *2500:26 *26574:C 0.00018156 -*RES -1 *26573:X *2513:7 16.8 -2 *2513:7 *26788:B1 25.0143 -3 *2513:7 *26574:C 29.1434 -*END - -*D_NET *2514 0.0146323 -*CONN -*I *26578:B I *D sky130_fd_sc_hd__or4_1 -*I *26574:Y O *D sky130_fd_sc_hd__nand3b_1 -*CAP -1 *26578:B 0.000650725 -2 *26574:Y 0.00126879 -3 *2514:17 0.00211007 -4 *2514:10 0.00272814 -5 *26578:B *26584:B 5.92729e-05 -6 *26578:B *26683:B 0.000257619 -7 *26578:B *26783:B 5.82156e-05 -8 *26578:B *26784:C 1.08359e-05 -9 *26578:B *2688:17 6.39829e-05 -10 *26578:B *5673:309 9.79837e-05 -11 *2514:10 *26569:A1 1.01912e-05 -12 *2514:10 *6180:11 7.49387e-06 -13 *2514:17 *26685:B 0.000303368 -14 *2514:17 *26686:C 0.000186647 -15 *2514:17 *26691:C 3.41848e-05 -16 *2514:17 *26783:A 0.000305291 -17 *2514:17 *2687:10 1.5012e-05 -18 *2514:17 *2687:17 0.000334351 -19 *2514:17 *5673:300 0.000666008 -20 *25976:A *2514:10 2.77143e-05 -21 *26098:A *2514:10 5.81579e-05 -22 *26126:B *2514:10 0.000197984 -23 *26138:A *2514:17 0.000219711 -24 *26138:B *2514:17 9.41642e-05 -25 *26145:A *2514:17 0.000569313 -26 *26154:B *2514:17 0.000643113 -27 *26456:B *2514:10 0.000119574 -28 *26555:B *2514:10 0.000362545 -29 *26569:A2 *2514:10 6.49819e-05 -30 *26680:B1 *2514:10 0.000345257 -31 *26683:A *26578:B 2.3695e-05 -32 *1918:5 *2514:10 5.33005e-05 -33 *1950:22 *2514:17 9.41642e-05 -34 *1953:11 *2514:17 0.000400404 -35 *1986:96 *2514:17 0.000274565 -36 *2006:78 *2514:17 0.00038907 -37 *2011:145 *26578:B 4.23876e-05 -38 *2012:17 *26578:B 2.07552e-05 -39 *2029:9 *2514:10 0.000321893 -40 *2032:307 *2514:10 4.15592e-05 -41 *2035:10 *2514:10 9.8045e-05 -42 *2040:127 *26578:B 5.42853e-06 -43 *2047:155 *26578:B 0.000146369 -44 *2056:37 *2514:17 0.000177836 -45 *2080:8 *2514:17 0.000130609 -46 *2086:16 *2514:17 5.97182e-05 -47 *2154:143 *2514:10 0.000310286 -48 *2252:12 *26578:B 0.00017153 -49 *2507:21 *2514:10 0 -*RES -1 *26574:Y *2514:10 41.2464 -2 *2514:10 *2514:17 48.6607 -3 *2514:17 *26578:B 32.3858 -*END - -*D_NET *2515 0.003323 -*CONN -*I *26683:B I *D sky130_fd_sc_hd__nor3_1 -*I *26576:C I *D sky130_fd_sc_hd__or3_1 -*I *26575:X O *D sky130_fd_sc_hd__and3_1 -*CAP -1 *26683:B 0.000434307 -2 *26576:C 7.63175e-05 -3 *26575:X 0.000127061 -4 *2515:8 0.000637686 -5 *26576:C *2631:9 5.52238e-05 -6 *26683:B *26578:C 9.41642e-05 -7 *2515:8 *26584:B 4.15914e-05 -8 *2515:8 *2517:13 7.83659e-05 -9 *26492:C *26683:B 0.000778857 -10 *26578:B *26683:B 0.000257619 -11 *26683:A *26576:C 0.000176866 -12 *26683:A *26683:B 5.52238e-05 -13 *26683:A *2515:8 1.04707e-05 -14 *26751:A *26683:B 0.000178847 -15 *1997:31 *2515:8 3.4847e-05 -16 *2011:140 *26683:B 9.41642e-05 -17 *2040:49 *26576:C 0.000151422 -18 *2040:49 *2515:8 3.99646e-05 -*RES -1 *26575:X *2515:8 16.5857 -2 *2515:8 *26576:C 16.5857 -3 *2515:8 *26683:B 23.7821 -*END - -*D_NET *2516 0.000967181 -*CONN -*I *26578:C I *D sky130_fd_sc_hd__or4_1 -*I *26576:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *26578:C 0.00016489 -2 *26576:X 0.00016489 -3 *26492:C *26578:C 2.14757e-05 -4 *26578:A *26578:C 0.000265453 -5 *26683:B *26578:C 9.41642e-05 -6 *2045:102 *26578:C 0.000128154 -7 *2063:14 *26578:C 0.000128154 -*RES -1 *26576:X *26578:C 32.2429 -*END - -*D_NET *2517 0.00480125 -*CONN -*I *26685:A I *D sky130_fd_sc_hd__nor2_1 -*I *26578:D I *D sky130_fd_sc_hd__or4_1 -*I *26782:C I *D sky130_fd_sc_hd__nor3_1 -*I *26577:X O *D sky130_fd_sc_hd__o21a_1 -*CAP -1 *26685:A 0.000249919 -2 *26578:D 0 -3 *26782:C 0.000327153 -4 *26577:X 0.00013442 -5 *2517:13 0.000583031 -6 *2517:6 0.000640217 -7 *26782:C *26784:A 0.000268278 -8 *26782:C *5545:11 2.59355e-05 -9 *2517:13 *26584:B 4.19577e-05 -10 *26492:C *26782:C 3.97677e-05 -11 *26492:C *2517:13 0.000118734 -12 *1188:40 *2517:6 7.74135e-05 -13 *1941:50 *26685:A 0.000466816 -14 *1941:50 *2517:13 0.000118545 -15 *1997:31 *2517:6 0.00030142 -16 *1997:31 *2517:13 0.000332737 -17 *2029:50 *2517:6 4.75888e-05 -18 *2040:28 *2517:13 0 -19 *2089:20 *26782:C 6.48008e-05 -20 *2089:24 *26782:C 0.000268521 -21 *2092:14 *26782:C 0.000615623 -22 *2515:8 *2517:13 7.83659e-05 -*RES -1 *26577:X *2517:6 17.8714 -2 *2517:6 *2517:13 10.6607 -3 *2517:13 *26782:C 28.2107 -4 *2517:13 *26578:D 9.3 -5 *2517:6 *26685:A 18.4429 -*END - -*D_NET *2518 0.00376539 -*CONN -*I *26584:B I *D sky130_fd_sc_hd__or3b_1 -*I *26578:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26584:B 0.00127395 -2 *26578:X 0.00127395 -3 *26584:B *26685:B 0.000507686 -4 *26492:C *26584:B 5.52238e-05 -5 *26578:B *26584:B 5.92729e-05 -6 *26683:A *26584:B 0 -7 *1941:50 *26584:B 2.86754e-05 -8 *2011:145 *26584:B 6.28248e-05 -9 *2012:17 *26584:B 2.50749e-05 -10 *2040:30 *26584:B 0.000149056 -11 *2040:49 *26584:B 0.000101545 -12 *2040:127 *26584:B 0.000122231 -13 *2047:155 *26584:B 2.23595e-05 -14 *2515:8 *26584:B 4.15914e-05 -15 *2517:13 *26584:B 4.19577e-05 -*RES -1 *26578:X *26584:B 45.694 -*END - -*D_NET *2519 0.00837128 -*CONN -*I *26583:A I *D sky130_fd_sc_hd__and3_1 -*I *26747:A I *D sky130_fd_sc_hd__and3_1 -*I *26579:Y O *D sky130_fd_sc_hd__a21oi_1 -*CAP -1 *26583:A 6.74604e-05 -2 *26747:A 0.000268869 -3 *26579:Y 0.00146692 -4 *2519:8 0.00180325 -5 *26747:A *26686:B 0.00022785 -6 *26747:A *2620:13 9.34563e-05 -7 *26747:A *2684:11 6.28499e-05 -8 *26747:A *2685:11 7.02611e-05 -9 *26747:A *2726:10 0.000401838 -10 *2519:8 *2726:10 0.000270401 -11 *26473:A1 *2519:8 5.45756e-05 -12 *26748:A *2519:8 0.000293987 -13 *1188:54 *26583:A 0.000175892 -14 *1941:50 *26583:A 8.55871e-05 -15 *2019:50 *2519:8 0.000483312 -16 *2083:6 *26747:A 0.000401838 -17 *2083:6 *2519:8 0.00107802 -18 *2142:189 *2519:8 0.000906201 -19 *2507:21 *2519:8 0.000158715 -*RES -1 *26579:Y *2519:8 43.7643 -2 *2519:8 *26747:A 22.5143 -3 *2519:8 *26583:A 15.5679 -*END - -*D_NET *2520 0.0128719 -*CONN -*I *26787:A I *D sky130_fd_sc_hd__and3_1 -*I *26583:B I *D sky130_fd_sc_hd__and3_1 -*I *26580:X O *D sky130_fd_sc_hd__o221a_1 -*CAP -1 *26787:A 0.000344802 -2 *26583:B 0.000307374 -3 *26580:X 0.00132182 -4 *2520:18 0.00171878 -5 *2520:13 0.00238843 -6 *26583:B *26584:C_N 0.000301438 -7 *26583:B *26686:B 0.000100366 -8 *26583:B *2522:21 0.000225507 -9 *26583:B *5782:183 1.92303e-05 -10 *26787:A *2687:17 9.96487e-05 -11 *2520:18 *5782:183 5.01707e-05 -12 *26143:D1 *2520:18 9.71197e-05 -13 *26569:A2 *2520:13 0.000513591 -14 *26584:A *26583:B 0.000164832 -15 *26584:A *2520:18 0.000942787 -16 *26680:B1 *2520:13 0.000187944 -17 *1941:50 *26583:B 0.000136958 -18 *1986:97 *2520:18 7.58841e-05 -19 *1986:125 *2520:18 0.000326693 -20 *2022:101 *26787:A 8.85278e-05 -21 *2022:105 *26787:A 5.19522e-06 -22 *2027:13 *2520:13 0.000536474 -23 *2027:13 *2520:18 0.00156786 -24 *2029:50 *26787:A 0.000382821 -25 *2033:19 *2520:13 0.000191183 -26 *2054:15 *2520:18 0.00047376 -27 *2054:48 *2520:13 1.90303e-05 -28 *2090:8 *2520:18 0.000283698 -*RES -1 *26580:X *2520:13 37.1393 -2 *2520:13 *2520:18 32.3929 -3 *2520:18 *26583:B 21.1214 -4 *2520:18 *26787:A 27.9607 -*END - -*D_NET *2521 0.00162711 -*CONN -*I *26582:C1 I *D sky130_fd_sc_hd__o221a_1 -*I *26581:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26582:C1 0.000368854 -2 *26581:Y 0.000368854 -3 *26582:C1 *2598:8 0.000257619 -4 *26582:C1 *2621:14 0.000262498 -5 *26582:A2 *26582:C1 8.17663e-05 -6 *2404:10 *26582:C1 0.000142905 -7 *2501:11 *26582:C1 0.000144613 -*RES -1 *26581:Y *26582:C1 34.725 -*END - -*D_NET *2522 0.00395733 -*CONN -*I *26686:A I *D sky130_fd_sc_hd__nand4_1 -*I *26787:B I *D sky130_fd_sc_hd__and3_1 -*I *26583:C I *D sky130_fd_sc_hd__and3_1 -*I *26582:X O *D sky130_fd_sc_hd__o221a_1 -*CAP -1 *26686:A 3.55455e-05 -2 *26787:B 0.000374042 -3 *26583:C 0 -4 *26582:X 0.000423961 -5 *2522:21 0.000653046 -6 *2522:11 0.000667419 -7 *26686:A *26686:C 0.000137983 -8 *26686:A *26790:A2 9.41642e-05 -9 *26787:B *26790:A2 0.000383166 -10 *2522:11 *2621:14 5.74499e-06 -11 *2522:21 *26686:B 1.21258e-05 -12 *2522:21 *26686:C 1.24368e-05 -13 *2522:21 *26686:D 1.98839e-05 -14 *26112:B *2522:11 4.58194e-05 -15 *26567:A2 *2522:11 0.000173182 -16 *26582:A1 *2522:11 2.79421e-05 -17 *26583:B *2522:21 0.000225507 -18 *1188:54 *2522:11 6.04072e-05 -19 *1188:54 *2522:21 0.000293987 -20 *1941:50 *2522:11 2.93936e-05 -21 *1941:50 *2522:21 5.61936e-05 -22 *2019:41 *2522:11 5.21937e-05 -23 *2054:8 *2522:11 0.000173182 -*RES -1 *26582:X *2522:11 24.9786 -2 *2522:11 *26583:C 9.3 -3 *2522:11 *2522:21 5.83929 -4 *2522:21 *26787:B 14.2643 -5 *2522:21 *26686:A 10.6571 -*END - -*D_NET *2523 0.00117528 -*CONN -*I *26584:C_N I *D sky130_fd_sc_hd__or3b_1 -*I *26583:X O *D sky130_fd_sc_hd__and3_1 -*CAP -1 *26584:C_N 0.000297493 -2 *26583:X 0.000297493 -3 *26584:C_N *26686:B 0.000145154 -4 *26584:C_N *26751:C 5.83233e-05 -5 *26584:C_N *5782:183 6.35864e-05 -6 *26583:B *26584:C_N 0.000301438 -7 *1996:25 *26584:C_N 1.17921e-05 -*RES -1 *26583:X *26584:C_N 32.5464 -*END - -*D_NET *2524 0.00199139 -*CONN -*I *26585:B I *D sky130_fd_sc_hd__nor2_1 -*I *26584:X O *D sky130_fd_sc_hd__or3b_1 -*CAP -1 *26585:B 0.000312667 -2 *26584:X 0.000312667 -3 *26585:B *26790:A3 5.33005e-05 -4 *26585:B *2525:13 0.000114237 -5 *26585:A *26585:B 5.45515e-05 -6 *2021:59 *26585:B 0.000315187 -7 *2086:10 *26585:B 0.000315187 -8 *2411:21 *26585:B 0.000513591 -*RES -1 *26584:X *26585:B 37.1536 -*END - -*D_NET *2525 0.00449828 -*CONN -*I *26586:B I *D sky130_fd_sc_hd__nor2_1 -*I *26585:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26586:B 0 -2 *26585:Y 0.00140589 -3 *2525:13 0.00140589 -4 *2525:13 *26790:A1 4.58194e-05 -5 *2525:13 *26790:A3 2.59355e-05 -6 *2525:13 *26790:B1 5.035e-05 -7 *2525:13 *26805:B1 0.00012401 -8 *2525:13 *2687:17 2.28598e-05 -9 *2525:13 *5673:309 0.000185446 -10 *26296:A *2525:13 9.58126e-05 -11 *26585:A *2525:13 5.33005e-05 -12 *26585:B *2525:13 0.000114237 -13 *2022:101 *2525:13 0.000295689 -14 *2032:277 *2525:13 0.000441377 -15 *2056:28 *2525:13 0.000168939 -16 *2238:7 *2525:13 5.52302e-05 -17 *2411:21 *2525:13 7.48301e-06 -*RES -1 *26585:Y *2525:13 42.0143 -2 *2525:13 *26586:B 9.3 -*END - -*D_NET *2526 0.0187452 -*CONN -*I *26658:A1 I *D sky130_fd_sc_hd__o32a_1 -*I *26586:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26658:A1 0 -2 *26586:Y 0.00659861 -3 *2526:18 0.00659861 -4 *2526:18 *26657:C 0.000180332 -5 *2526:18 *26658:A2 0.000127446 -6 *2526:18 *26658:A3 0 -7 *2526:18 *26675:A1 0.000150027 -8 *2526:18 *26727:A 0.000150625 -9 *2526:18 *2691:14 0.000262774 -10 *2526:18 *5615:38 0.00023743 -11 *26339:C1 *2526:18 0.000137026 -12 *26542:A1 *2526:18 0.000118315 -13 *26554:B *2526:18 0 -14 *26576:A *2526:18 0.000211991 -15 *26666:B *2526:18 0.00015948 -16 *1518:15 *2526:18 0 -17 *1677:26 *2526:18 7.68055e-06 -18 *1948:16 *2526:18 0.000249792 -19 *2012:17 *2526:18 0 -20 *2016:65 *2526:18 0.000129805 -21 *2040:49 *2526:18 0.000211991 -22 *2040:50 *2526:18 0.000148853 -23 *2045:102 *2526:18 0.000271261 -24 *2089:24 *2526:18 0.000132113 -25 *2099:171 *2526:18 0.000160245 -26 *2169:16 *2526:18 0.00128152 -27 *2169:24 *2526:18 0.000267695 -28 *2237:16 *2526:18 0.000375961 -29 *2237:28 *2526:18 0.000113277 -30 *2304:10 *2526:18 0.000115552 -31 *2304:14 *2526:18 0.00020965 -32 *2305:8 *2526:18 0.00013713 -33 *2483:28 *2526:18 0 -*RES -1 *26586:Y *2526:18 48.1329 -2 *2526:18 *26658:A1 13.8 -*END - -*D_NET *2527 0.00217883 -*CONN -*I *26588:B I *D sky130_fd_sc_hd__or2_1 -*I *26736:B I *D sky130_fd_sc_hd__or4_1 -*I *26587:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26588:B 9.22321e-05 -2 *26736:B 0.000522626 -3 *26587:X 0 -4 *2527:4 0.000614858 -5 *26736:B *26761:B 4.46186e-06 -6 *26736:B *26761:C 1.17968e-05 -7 *26736:B *26772:B 2.80341e-05 -8 *26736:B *26772:C 2.59355e-05 -9 *26736:B *2544:14 2.78963e-05 -10 *26736:B *2709:8 0.000291433 -11 *6612:DIODE *26736:B 3.38171e-05 -12 *26587:A *26588:B 0.000231701 -13 *26587:A *26736:B 9.87672e-05 -14 *26736:A *26736:B 0.000150625 -15 *26772:A *26736:B 2.89016e-05 -16 *2483:60 *26736:B 1.57414e-05 -*RES -1 *26587:X *2527:4 9.3 -2 *2527:4 *26736:B 28.2643 -3 *2527:4 *26588:B 11.4786 -*END - -*D_NET *2528 0.004193 -*CONN -*I *26615:A I *D sky130_fd_sc_hd__nor2_1 -*I *26727:A I *D sky130_fd_sc_hd__nor2_1 -*I *26588:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26615:A 0 -2 *26727:A 0.000872552 -3 *26588:X 0.000209181 -4 *2528:10 0.00108173 -5 *26727:A *26657:C 0.000480441 -6 *26727:A *26658:A2 0.000296615 -7 *26727:A *26763:C 4.65919e-05 -8 *26727:A *28346:D 0.00010096 -9 *26727:A *2554:17 8.60974e-05 -10 *26727:A *5780:61 2.84494e-05 -11 *26727:A *5938:8 0 -12 *2528:10 *29479:A 0 -13 *26588:A *2528:10 5.33005e-05 -14 *29811:A *26727:A 0.00035013 -15 *1677:26 *2528:10 0.000113647 -16 *1867:16 *26727:A 0.000125731 -17 *1883:83 *2528:10 1.65348e-05 -18 *2483:28 *26727:A 0 -19 *2483:28 *2528:10 0.000180408 -20 *2526:18 *26727:A 0.000150625 -*RES -1 *26588:X *2528:10 23.2107 -2 *2528:10 *26727:A 37.9607 -3 *2528:10 *26615:A 9.3 -*END - -*D_NET *2529 0.00662988 -*CONN -*I *26603:A I *D sky130_fd_sc_hd__or4_1 -*I *26766:A I *D sky130_fd_sc_hd__or3b_1 -*I *26589:X O *D sky130_fd_sc_hd__or3b_1 -*CAP -1 *26603:A 0.000560749 -2 *26766:A 3.39029e-05 -3 *26589:X 0.000373683 -4 *2529:8 0.000968334 -5 *26603:A *2661:8 7.22594e-05 -6 *26603:A *2661:26 0.000823345 -7 *2529:8 *26713:B2 0 -8 *2529:8 *2661:8 0.000128154 -9 *2529:8 *3553:17 5.52302e-05 -10 *26589:A *2529:8 5.59013e-05 -11 *26606:A *2529:8 0.000329272 -12 *26765:A1 *26766:A 2.59355e-05 -13 *26793:B1 *26603:A 5.36136e-05 -14 *2161:107 *26603:A 0.000469771 -15 *2178:36 *26603:A 0.000303705 -16 *2294:12 *26603:A 0.000333688 -17 *2315:29 *26603:A 0.00135486 -18 *2315:29 *2529:8 0.000687474 -*RES -1 *26589:X *2529:8 24.7821 -2 *2529:8 *26766:A 14.3357 -3 *2529:8 *26603:A 35.8714 -*END - -*D_NET *2530 0.00110213 -*CONN -*I *26593:A I *D sky130_fd_sc_hd__nand2_1 -*I *26590:X O *D sky130_fd_sc_hd__o211a_1 -*CAP -1 *26593:A 0.000252324 -2 *26590:X 0.000252324 -3 *26593:A *2536:25 0.000273564 -4 *26590:A1 *26593:A 2.14658e-05 -5 *2133:160 *26593:A 2.89114e-05 -6 *2155:117 *26593:A 0.000273545 -*RES -1 *26590:X *26593:A 35.0464 -*END - -*D_NET *2531 0.00622948 -*CONN -*I *26593:B I *D sky130_fd_sc_hd__nand2_1 -*I *26592:A I *D sky130_fd_sc_hd__clkinv_2 -*I *26591:X O *D sky130_fd_sc_hd__o311a_1 -*CAP -1 *26593:B 0.000201014 -2 *26592:A 0.000102662 -3 *26591:X 0.00058935 -4 *2531:6 0.000893026 -5 *26592:A *26725:B 1.58163e-05 -6 *26592:A *2680:17 0.000222163 -7 *2531:6 *2680:17 0.00180676 -8 *26410:B1 *26592:A 0.000218734 -9 *26410:B1 *2531:6 0.000529514 -10 *26425:A2 *26593:B 0.000386115 -11 *1862:28 *2531:6 2.49553e-05 -12 *2136:68 *2531:6 0.000827615 -13 *2136:82 *2531:6 0.000411758 -*RES -1 *26591:X *2531:6 37.3 -2 *2531:6 *26592:A 17.1929 -3 *2531:6 *26593:B 17.6214 -*END - -*D_NET *2532 0.000819574 -*CONN -*I *26724:A I *D sky130_fd_sc_hd__or4_1 -*I *26592:Y O *D sky130_fd_sc_hd__clkinv_2 -*CAP -1 *26724:A 0.000204706 -2 *26592:Y 0.000204706 -3 *26724:A *26603:D 2.39779e-05 -4 *26724:A *26725:B 2.47753e-05 -5 *26724:A *2536:25 0.00015134 -6 *26724:A *2656:11 0.000154845 -7 *26724:A *2661:26 5.52238e-05 -*RES -1 *26592:Y *26724:A 31.85 -*END - -*D_NET *2533 0.00515512 -*CONN -*I *26738:B I *D sky130_fd_sc_hd__or4_1 -*I *26603:B I *D sky130_fd_sc_hd__or4_1 -*I *26593:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26738:B 0.000295287 -2 *26603:B 0.000862976 -3 *26593:Y 3.48719e-05 -4 *2533:6 0.00119313 -5 *26603:B *26725:D 0.00010326 -6 *26603:B *2536:25 0.00181442 -7 *26603:B *2545:8 0 -8 *26738:B *26725:C 6.71657e-05 -9 *26738:B *26726:B 0.000221641 -10 *26738:B *2656:11 1.39841e-05 -11 *2533:6 *2536:25 6.91157e-05 -12 *26246:A *26603:B 2.72545e-05 -13 *2149:87 *26603:B 0 -14 *2155:117 *26603:B 9.9201e-05 -15 *2155:117 *2533:6 2.56694e-05 -16 *2161:107 *26603:B 2.59355e-05 -17 *2187:145 *26603:B 0.000301204 -*RES -1 *26593:Y *2533:6 14.8357 -2 *2533:6 *26603:B 37.8357 -3 *2533:6 *26738:B 17.6214 -*END - -*D_NET *2534 0.0225004 -*CONN -*I *26764:A I *D sky130_fd_sc_hd__or3b_1 -*I *26724:B I *D sky130_fd_sc_hd__or4_1 -*I *26597:A I *D sky130_fd_sc_hd__or2_1 -*I *26594:X O *D sky130_fd_sc_hd__a211o_1 -*CAP -1 *26764:A 0.00031471 -2 *26724:B 0.000614974 -3 *26597:A 0.000100616 -4 *26594:X 0.000839143 -5 *2534:37 0.00210793 -6 *2534:34 0.00292546 -7 *2534:11 0.00205756 -8 *26597:A *2536:25 0.000173335 -9 *26724:B *26603:C 0.000127939 -10 *26724:B *26603:D 0.00068053 -11 *26724:B *2536:25 0.00162723 -12 *26764:A *26764:C_N 5.33005e-05 -13 *2534:11 *26727:B 7.27549e-05 -14 *2534:11 *26806:C1 0.000181054 -15 *2534:11 *2595:42 0.000123277 -16 *2534:11 *2595:54 6.05161e-06 -17 *2534:11 *2698:11 0.000243029 -18 *2534:11 *2734:16 7.83587e-05 -19 *2534:11 *5007:48 0.00188612 -20 *2534:34 *26806:C1 0.000127981 -21 *2534:37 *26713:B2 6.57032e-05 -22 *2534:37 *2536:25 5.33005e-05 -23 *26352:B *26724:B 5.54204e-05 -24 *26439:A *2534:34 0.000128954 -25 *26516:A1 *2534:11 6.91157e-05 -26 *26516:A2 *2534:11 4.7091e-05 -27 *26545:A3 *26724:B 5.41794e-05 -28 *26713:A1 *2534:37 9.41642e-05 -29 *26713:A2 *26764:A 0.000139337 -30 *26713:A2 *2534:37 0.00181913 -31 *1953:122 *2534:34 5.0467e-05 -32 *2008:12 *2534:11 0.000509256 -33 *2009:75 *2534:37 0.000303705 -34 *2011:54 *2534:34 0.000201855 -35 *2011:63 *2534:34 6.60633e-06 -36 *2011:84 *26764:A 0.000219366 -37 *2019:125 *2534:34 0.00105196 -38 *2020:41 *2534:11 0.000221163 -39 *2104:124 *2534:37 9.41642e-05 -40 *2201:23 *2534:37 6.22396e-05 -41 *2296:19 *2534:34 0.000520099 -42 *2302:37 *2534:11 0.000216755 -43 *2302:37 *2534:34 0.000812494 -44 *2316:28 *2534:34 0.00126033 -45 *2436:17 *2534:11 7.76138e-05 -46 *2442:19 *2534:11 2.45593e-05 -*RES -1 *26594:X *2534:11 46.2464 -2 *2534:11 *2534:34 45.6212 -3 *2534:34 *2534:37 32.5536 -4 *2534:37 *26597:A 15.8893 -5 *2534:37 *26724:B 34.8714 -6 *2534:34 *26764:A 15.175 -*END - -*D_NET *2535 0.00599725 -*CONN -*I *26596:A3 I *D sky130_fd_sc_hd__o32ai_4 -*I *26601:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *26595:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26596:A3 0.000261266 -2 *26601:A2 0.000622151 -3 *26595:Y 0 -4 *2535:5 0.000883417 -5 *26596:A3 *5673:207 0.000218612 -6 *26601:A2 *4508:194 0.000516812 -7 *26601:A2 *5664:10 0.000175892 -8 *26601:A2 *5673:28 0.000301928 -9 *26601:A2 *5673:207 2.26918e-05 -10 *26595:B *26596:A3 8.32171e-05 -11 *26595:B *26601:A2 8.00806e-05 -12 *26596:B1 *26596:A3 5.33005e-05 -13 *26601:A1 *26601:A2 5.33005e-05 -14 *1935:72 *26601:A2 0.000219711 -15 *2099:49 *26601:A2 2.26973e-05 -16 *2122:9 *26596:A3 5.96516e-05 -17 *2141:134 *26601:A2 0.00063427 -18 *2149:110 *26601:A2 6.10062e-05 -19 *2157:84 *26596:A3 0.000384247 -20 *2157:84 *26601:A2 0.00111633 -21 *2176:70 *26596:A3 0.000212284 -22 *2485:13 *26601:A2 1.43864e-05 -*RES -1 *26595:Y *2535:5 13.8 -2 *2535:5 *26601:A2 35.0321 -3 *2535:5 *26596:A3 22.2464 -*END - -*D_NET *2536 0.0143652 -*CONN -*I *26597:B I *D sky130_fd_sc_hd__or2_1 -*I *26741:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *26596:Y O *D sky130_fd_sc_hd__o32ai_4 -*CAP -1 *26597:B 0 -2 *26741:B1 7.96472e-05 -3 *26596:Y 0.000430288 -4 *2536:25 0.0012303 -5 *2536:20 0.00212035 -6 *2536:5 0.00139998 -7 *2536:5 *3461:13 0.000149347 -8 *2536:20 *2545:8 0 -9 *2536:20 *3461:13 2.79421e-05 -10 *2536:25 *26603:D 0.00023065 -11 *2536:25 *2656:11 1.08359e-05 -12 *26373:C *2536:20 2.56601e-05 -13 *26407:A *2536:5 0.000127457 -14 *26407:B *2536:5 0.000177815 -15 *26593:A *2536:25 0.000273564 -16 *26597:A *2536:25 0.000173335 -17 *26603:B *2536:25 0.00181442 -18 *26724:A *2536:25 0.00015134 -19 *26724:B *2536:25 0.00162723 -20 *26741:A2 *2536:5 9.6596e-05 -21 *373:44 *2536:20 0.000101545 -22 *1393:55 *2536:5 0.000428975 -23 *1393:55 *2536:20 4.61529e-05 -24 *2031:81 *26741:B1 0.000194484 -25 *2031:86 *2536:20 8.26785e-05 -26 *2031:86 *2536:25 0.000534681 -27 *2098:31 *26741:B1 0.000147833 -28 *2098:31 *2536:20 0.000407776 -29 *2098:35 *2536:20 0.00112831 -30 *2122:9 *2536:5 0.000152811 -31 *2133:151 *2536:20 0.000480069 -32 *2133:151 *2536:25 0.000119121 -33 *2155:117 *2536:25 0 -34 *2176:70 *2536:5 5.33005e-05 -35 *2187:145 *2536:25 4.2383e-05 -36 *2250:64 *26741:B1 0 -37 *2348:8 *2536:5 0.000175892 -38 *2533:6 *2536:25 6.91157e-05 -39 *2534:37 *2536:25 5.33005e-05 -*RES -1 *26596:Y *2536:5 20.925 -2 *2536:5 *26741:B1 20.8536 -3 *2536:5 *2536:20 28.1161 -4 *2536:20 *2536:25 45.9196 -5 *2536:25 *26597:B 9.3 -*END - -*D_NET *2537 0.000252572 -*CONN -*I *26603:C I *D sky130_fd_sc_hd__or4_1 -*I *26597:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26603:C 6.23167e-05 -2 *26597:X 6.23167e-05 -3 *26724:B *26603:C 0.000127939 -*RES -1 *26597:X *26603:C 29.2429 -*END - -*D_NET *2538 0.00129352 -*CONN -*I *26599:B1 I *D sky130_fd_sc_hd__a311o_1 -*I *26598:Y O *D sky130_fd_sc_hd__a211oi_1 -*CAP -1 *26599:B1 0.000345459 -2 *26598:Y 0.000345459 -3 *26599:B1 *26742:D1 0.000127243 -4 *26267:D1 *26599:B1 0.000252613 -5 *26599:A2 *26599:B1 0.000179386 -6 *2250:64 *26599:B1 4.33582e-05 -*RES -1 *26598:Y *26599:B1 34.725 -*END - -*D_NET *2539 0.00654809 -*CONN -*I *26719:C I *D sky130_fd_sc_hd__or4_1 -*I *26602:B1 I *D sky130_fd_sc_hd__a211o_1 -*I *26599:X O *D sky130_fd_sc_hd__a311o_1 -*CAP -1 *26719:C 0 -2 *26602:B1 0.000239012 -3 *26599:X 0.000710021 -4 *2539:8 0.000949033 -5 *26602:B1 *5722:131 0.000559861 -6 *2539:8 *26769:C_N 2.23987e-05 -7 *2539:8 *5722:112 2.12211e-05 -8 *2539:8 *5722:131 0.000319323 -9 *26407:B *2539:8 0.000306001 -10 *26430:A3 *2539:8 6.65808e-05 -11 *26434:A_N *2539:8 0.00124283 -12 *26599:A3 *2539:8 2.85234e-05 -13 *26602:A2 *26602:B1 0.000400165 -14 *2126:22 *2539:8 7.48679e-06 -15 *2131:45 *2539:8 0.000158332 -16 *2136:58 *2539:8 8.92415e-06 -17 *2146:38 *26602:B1 2.89016e-05 -18 *2154:61 *26602:B1 5.33005e-05 -19 *2348:8 *26602:B1 0.00017309 -20 *2348:8 *2539:8 0.00125309 -*RES -1 *26599:X *2539:8 37.8357 -2 *2539:8 *26602:B1 21.7464 -3 *2539:8 *26719:C 13.8 -*END - -*D_NET *2540 0.00249776 -*CONN -*I *26601:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26600:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *26601:B1 0.000705698 -2 *26600:X 0.000705698 -3 *26601:B1 *4189:60 0.000123295 -4 *2060:40 *26601:B1 0.000123295 -5 *2155:106 *26601:B1 0.000839773 -*RES -1 *26600:X *26601:B1 37.5821 -*END - -*D_NET *2541 0.00213089 -*CONN -*I *26769:A I *D sky130_fd_sc_hd__or3b_1 -*I *26602:C1 I *D sky130_fd_sc_hd__a211o_1 -*I *26601:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *26769:A 0 -2 *26602:C1 0.000113384 -3 *26601:Y 0.000363821 -4 *2541:8 0.000477205 -5 *26602:C1 *26725:B 0.000193118 -6 *2541:8 *26725:B 5.58941e-05 -7 *2541:8 *2680:17 3.25078e-05 -8 *2541:8 *4508:194 0.00038021 -9 *2110:26 *26602:C1 0.000193118 -10 *2110:26 *2541:8 0.000113038 -11 *2146:38 *26602:C1 7.16452e-05 -12 *2154:61 *26602:C1 0.000136951 -*RES -1 *26601:Y *2541:8 19.2643 -2 *2541:8 *26602:C1 17.7107 -3 *2541:8 *26769:A 13.8 -*END - -*D_NET *2542 0.00721745 -*CONN -*I *26603:D I *D sky130_fd_sc_hd__or4_1 -*I *26602:X O *D sky130_fd_sc_hd__a211o_1 -*CAP -1 *26603:D 0.00102351 -2 *26602:X 0.000578372 -3 *2542:7 0.00160188 -4 *26603:D *26743:C 0.000152641 -5 *26603:D *2634:17 1.94945e-05 -6 *26603:D *2656:11 0.000125731 -7 *26352:B *26603:D 5.54204e-05 -8 *26545:A2 *26603:D 0.00046113 -9 *26545:A3 *26603:D 5.7616e-05 -10 *26724:A *26603:D 2.39779e-05 -11 *26724:B *26603:D 0.00068053 -12 *1989:35 *26603:D 0.000171368 -13 *1989:44 *26603:D 0.000899394 -14 *2031:86 *26603:D 1.08359e-05 -15 *2146:38 *2542:7 0.000762748 -16 *2250:51 *26603:D 0.000286135 -17 *2486:21 *26603:D 7.6021e-05 -18 *2536:25 *26603:D 0.00023065 -*RES -1 *26602:X *2542:7 21.3179 -2 *2542:7 *26603:D 46.7107 -*END - -*D_NET *2543 0.0025881 -*CONN -*I *26614:A_N I *D sky130_fd_sc_hd__and2b_1 -*I *26603:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26614:A_N 0.000863212 -2 *26603:X 0.000863212 -3 *26614:A_N *26714:C_N 2.59355e-05 -4 *2161:107 *26614:A_N 0.000596665 -5 *2178:36 *26614:A_N 0.000239077 -*RES -1 *26603:X *26614:A_N 32.7071 -*END - -*D_NET *2544 0.0186616 -*CONN -*I *26613:A_N I *D sky130_fd_sc_hd__and4bb_1 -*I *26604:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *26613:A_N 0.0017739 -2 *26604:X 0.00176324 -3 *2544:30 0.00331465 -4 *2544:26 0.00176916 -5 *2544:14 0.00199165 -6 *26613:A_N *26612:B 9.41642e-05 -7 *26613:A_N *2703:12 3.15101e-05 -8 *26613:A_N *5209:6 9.91086e-05 -9 *26613:A_N *5722:167 5.24274e-05 -10 *2544:14 *26633:A 8.62644e-05 -11 *2544:14 *26728:B 0.000417014 -12 *2544:14 *26761:B 7.83587e-05 -13 *2544:14 *26791:A1 0 -14 *2544:14 *26791:B1 0.000493746 -15 *2544:14 *26791:C1 0.000803154 -16 *2544:14 *2561:5 3.69047e-06 -17 *2544:14 *2632:12 1.21955e-05 -18 *2544:14 *2660:23 0.000200406 -19 *2544:14 *2709:8 0 -20 *2544:26 *26695:B 2.52223e-05 -21 *2544:26 *26728:A 9.25014e-06 -22 *2544:26 *26728:B 0.000128312 -23 *2544:26 *26728:D 9.66977e-05 -24 *2544:26 *26791:C1 1.19011e-05 -25 *2544:26 *28233:D 7.72916e-05 -26 *2544:30 *26695:B 0.000183108 -27 *2544:30 *26728:C 3.34783e-05 -28 *2544:30 *26735:B2 0.000222878 -29 *2544:30 *26771:B 0.000367396 -30 *2544:30 *26795:A 0.000369196 -31 *2544:30 *2674:22 0 -32 *2544:30 *2701:6 0.000142306 -33 *2544:30 *2708:10 0 -34 *2544:30 *2728:12 0.000215158 -35 *2544:30 *2730:13 0 -36 *2544:30 *3413:25 0.000403322 -37 *2544:30 *3688:69 0 -38 *2544:30 *5839:63 0 -39 *26413:A2 *26613:A_N 9.41642e-05 -40 *26604:C *2544:14 8.69349e-05 -41 *26736:A *2544:14 0.000511886 -42 *26736:B *2544:14 2.78963e-05 -43 *26765:A1 *26613:A_N 0.00158241 -44 *28406:D *2544:30 0.00011061 -45 *29803:A *2544:30 0.00050705 -46 *1518:15 *2544:14 0.000118315 -47 *1883:119 *2544:30 0.0001746 -48 *1935:92 *2544:14 4.28365e-05 -49 *2022:39 *2544:26 3.44153e-05 -50 *2022:39 *2544:30 0.000100316 -*RES -1 *26604:X *2544:14 48.5589 -2 *2544:14 *2544:26 13.8929 -3 *2544:26 *2544:30 41.8125 -4 *2544:30 *26613:A_N 42.4786 -*END - -*D_NET *2545 0.0112273 -*CONN -*I *26606:C I *D sky130_fd_sc_hd__or3_1 -*I *26605:Y O *D sky130_fd_sc_hd__nor3_1 -*CAP -1 *26606:C 2.78874e-05 -2 *26605:Y 4.786e-05 -3 *2545:8 0.0024009 -4 *2545:7 0.00242087 -5 *26606:C *26743:C 5.33005e-05 -6 *2545:7 *3510:21 1.21258e-05 -7 *2545:8 *26721:B1 0 -8 *2545:8 *26725:C 0.000649774 -9 *2545:8 *2660:23 0.000149652 -10 *2545:8 *4189:67 0.000162204 -11 *26246:A *2545:8 1.37292e-05 -12 *26373:C *2545:8 3.69697e-05 -13 *26414:A1 *2545:8 0.000308592 -14 *26432:B2 *2545:8 0.00013652 -15 *26603:B *2545:8 0 -16 *26605:C *2545:7 1.24368e-05 -17 *26608:A *2545:8 0.000136754 -18 *26793:C1 *2545:8 4.26759e-05 -19 *373:44 *2545:8 0 -20 *1952:39 *2545:8 0 -21 *2026:10 *2545:8 0.000457248 -22 *2133:151 *2545:8 0.000304015 -23 *2149:68 *2545:8 0.000144551 -24 *2149:87 *2545:8 0.00294357 -25 *2155:106 *2545:8 1.17968e-05 -26 *2178:36 *2545:8 0.00026362 -27 *2187:145 *2545:8 7.86094e-05 -28 *2190:29 *2545:8 4.32258e-05 -29 *2250:51 *2545:8 0.000101105 -30 *2301:9 *2545:8 0 -31 *2376:15 *2545:8 0.000267283 -32 *2536:20 *2545:8 0 -*RES -1 *26605:Y *2545:7 14.3357 -2 *2545:7 *2545:8 67.5179 -3 *2545:8 *26606:C 14.3357 -*END - -*D_NET *2546 0.00360914 -*CONN -*I *26613:B_N I *D sky130_fd_sc_hd__and4bb_1 -*I *26716:B I *D sky130_fd_sc_hd__or4_1 -*I *26743:B I *D sky130_fd_sc_hd__nor3_1 -*I *26606:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *26613:B_N 0.000495244 -2 *26716:B 0 -3 *26743:B 3.58421e-05 -4 *26606:X 0.00022032 -5 *2546:18 0.000725558 -6 *2546:5 0.000486476 -7 *26613:B_N *26612:D 0.000224583 -8 *26613:B_N *26613:D 0.00022266 -9 *26613:B_N *26716:C 0.000110029 -10 *26613:B_N *26716:D 3.30337e-05 -11 *26613:B_N *5722:167 0.000283698 -12 *26743:B *26743:C 1.04232e-05 -13 *2546:5 *26743:C 7.49499e-05 -14 *2546:18 *26713:B2 3.69949e-05 -15 *26606:B *2546:5 8.25843e-06 -16 *26606:B *2546:18 2.47753e-05 -17 *26713:B1 *2546:18 0.000192769 -18 *26716:A *2546:18 0.00012401 -19 *26743:A *2546:18 1.58163e-05 -20 *2099:78 *26613:B_N 0.000283698 -*RES -1 *26606:X *2546:5 11.8893 -2 *2546:5 *26743:B 10.0321 -3 *2546:5 *2546:18 13.3214 -4 *2546:18 *26716:B 9.3 -5 *2546:18 *26613:B_N 28.0679 -*END - -*D_NET *2547 0.00387866 -*CONN -*I *26613:C I *D sky130_fd_sc_hd__and4bb_1 -*I *26722:B1 I *D sky130_fd_sc_hd__o211ai_1 -*I *26607:Y O *D sky130_fd_sc_hd__nor3_1 -*CAP -1 *26613:C 0.000216708 -2 *26722:B1 0.00056809 -3 *26607:Y 0.000271692 -4 *2547:7 0.00105649 -5 *26722:B1 *2550:8 0.000138881 -6 *26376:B *26722:B1 9.41642e-05 -7 *26531:C *26722:B1 0.000504655 -8 *26607:A *26722:B1 5.33433e-05 -9 *26607:A *2547:7 0.000212591 -10 *26607:C *2547:7 1.24368e-05 -11 *26610:B *26722:B1 5.13075e-05 -12 *26610:C_N *26722:B1 9.60939e-05 -13 *26722:A1 *26722:B1 0.000216631 -14 *2198:23 *26613:C 0.000330349 -15 *2318:7 *26722:B1 5.52302e-05 -16 *2318:20 *26613:C 0 -*RES -1 *26607:Y *2547:7 13.9607 -2 *2547:7 *26722:B1 22.7643 -3 *2547:7 *26613:C 22.675 -*END - -*D_NET *2548 0.00467842 -*CONN -*I *26714:B I *D sky130_fd_sc_hd__or3b_1 -*I *26612:A I *D sky130_fd_sc_hd__nor4_1 -*I *26608:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *26714:B 0 -2 *26612:A 0.0004548 -3 *26608:X 0.000301751 -4 *2548:12 0.000756551 -5 *26612:A *26612:D 0.000175892 -6 *26612:A *26613:D 0.000135028 -7 *26612:A *2653:6 3.33175e-05 -8 *26612:A *2655:8 0.000633487 -9 *2548:12 *2653:6 5.54817e-06 -10 *2548:12 *2655:8 4.9018e-05 -11 *2548:12 *5722:166 3.32631e-05 -12 *26713:B1 *26612:A 6.07659e-05 -13 *26714:A *2548:12 0.000506478 -14 *2005:84 *2548:12 0.000293137 -15 *2161:121 *26612:A 0.000281475 -16 *2201:23 *26612:A 0.000957912 -*RES -1 *26608:X *2548:12 22.8179 -2 *2548:12 *26612:A 29.4607 -3 *2548:12 *26714:B 13.8 -*END - -*D_NET *2549 0.00621399 -*CONN -*I *26612:B I *D sky130_fd_sc_hd__nor4_1 -*I *26715:B1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26609:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *26612:B 0.000450963 -2 *26715:B1 0.00040223 -3 *26609:X 0.000283248 -4 *2549:8 0.00113644 -5 *26612:B *26612:D 1.21258e-05 -6 *26715:B1 *3740:30 0.000396427 -7 *2549:8 *26614:B 0.000644266 -8 *26413:A2 *26612:B 0.000372465 -9 *26413:B2 *26715:B1 0.000422746 -10 *26413:B2 *2549:8 2.84494e-05 -11 *26609:B *2549:8 5.33005e-05 -12 *26613:A_N *26612:B 9.41642e-05 -13 *2104:124 *2549:8 2.28159e-05 -14 *2198:23 *26715:B1 0.00114385 -15 *2198:23 *2549:8 0.000750497 -*RES -1 *26609:X *2549:8 24.175 -2 *2549:8 *26715:B1 29.4071 -3 *2549:8 *26612:B 19.675 -*END - -*D_NET *2550 0.0020468 -*CONN -*I *26794:A I *D sky130_fd_sc_hd__or4b_1 -*I *26612:C I *D sky130_fd_sc_hd__nor4_1 -*I *26610:X O *D sky130_fd_sc_hd__or3b_1 -*CAP -1 *26794:A 0.000127347 -2 *26612:C 0 -3 *26610:X 0.000189998 -4 *2550:8 0.000317345 -5 *26794:A *26740:D1 0.000135028 -6 *26794:A *26770:C 0.000135028 -7 *26794:A *5664:266 0.000230219 -8 *2550:8 *5664:266 0.000267099 -9 *26722:B1 *2550:8 0.000138881 -10 *2005:92 *26794:A 0.000240469 -11 *2005:92 *2550:8 0.000265385 -*RES -1 *26610:X *2550:8 18.6214 -2 *2550:8 *26612:C 13.8 -3 *2550:8 *26794:A 18.3179 -*END - -*D_NET *2551 0.00947271 -*CONN -*I *26740:B1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26612:D I *D sky130_fd_sc_hd__nor4_1 -*I *26611:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *26740:B1 0.000152863 -2 *26612:D 0.00080626 -3 *26611:X 0.000637935 -4 *2551:14 0.00159706 -5 *26612:D *26613:D 0.000157477 -6 *26740:B1 *2678:5 0.000227526 -7 *26413:A1 *26612:D 0.000184745 -8 *26413:A2 *26612:D 0.000488472 -9 *26413:B2 *26612:D 0.000358781 -10 *26439:A *2551:14 5.69856e-05 -11 *26611:C *2551:14 0 -12 *26612:A *26612:D 0.000175892 -13 *26612:B *26612:D 1.21258e-05 -14 *26613:B_N *26612:D 0.000224583 -15 *26740:A1 *26612:D 0.000136951 -16 *2019:125 *26612:D 0.000213868 -17 *2019:125 *2551:14 0.00183212 -18 *2019:126 *26612:D 0.000180777 -19 *2022:39 *2551:14 0 -20 *2022:56 *26612:D 0.000213868 -21 *2022:56 *2551:14 0.00101014 -22 *2061:41 *2551:14 0.000763899 -23 *2354:10 *26612:D 2.22085e-05 -24 *2383:8 *2551:14 1.81805e-05 -*RES -1 *26611:X *2551:14 39.2821 -2 *2551:14 *26612:D 33.7643 -3 *2551:14 *26740:B1 15.9786 -*END - -*D_NET *2552 0.0014602 -*CONN -*I *26613:D I *D sky130_fd_sc_hd__and4bb_1 -*I *26612:Y O *D sky130_fd_sc_hd__nor4_1 -*CAP -1 *26613:D 0.000472517 -2 *26612:Y 0.000472517 -3 *26612:A *26613:D 0.000135028 -4 *26612:D *26613:D 0.000157477 -5 *26613:B_N *26613:D 0.00022266 -*RES -1 *26612:Y *26613:D 24.8857 -*END - -*D_NET *2553 0.00250101 -*CONN -*I *26614:B I *D sky130_fd_sc_hd__and2b_1 -*I *26613:X O *D sky130_fd_sc_hd__and4bb_1 -*CAP -1 *26614:B 0.000498471 -2 *26613:X 0.000498471 -3 *26614:B *2554:11 0.000139338 -4 *26614:B *3658:10 4.9275e-05 -5 *2104:124 *26614:B 0.000456975 -6 *2178:36 *26614:B 1.62025e-05 -7 *2198:23 *26614:B 0.000198013 -8 *2549:8 *26614:B 0.000644266 -*RES -1 *26613:X *26614:B 42.85 -*END - -*D_NET *2554 0.0120569 -*CONN -*I *26615:B I *D sky130_fd_sc_hd__nor2_1 -*I *26614:X O *D sky130_fd_sc_hd__and2b_1 -*CAP -1 *26615:B 0 -2 *26614:X 0.00129413 -3 *2554:17 0.00170874 -4 *2554:11 0.00300287 -5 *2554:11 *26745:A_N 0.000313165 -6 *2554:17 *25767:A0 4.33438e-05 -7 *2554:17 *25767:A1 0.000235295 -8 *2554:17 *26763:A 0.000337637 -9 *2554:17 *29419:A 0.000209334 -10 *2554:17 *2576:17 0.000465492 -11 *2554:17 *3553:26 0.000550196 -12 *2554:17 *3948:23 0.00166228 -13 *2554:17 *5780:8 0.000242056 -14 *26103:B *2554:17 4.12921e-05 -15 *26442:A *2554:11 0.000464222 -16 *26614:B *2554:11 0.000139338 -17 *26727:A *2554:17 8.60974e-05 -18 *28403:D *2554:17 0.000100823 -19 *1883:94 *2554:17 0.00022656 -20 *1935:92 *2554:17 0.000137983 -21 *2045:8 *2554:17 5.41794e-05 -22 *2045:21 *2554:17 8.08022e-05 -23 *2178:36 *2554:11 0.000554885 -24 *2383:7 *2554:11 0.000106148 -*RES -1 *26614:X *2554:11 39.1214 -2 *2554:11 *2554:17 49.3929 -3 *2554:17 *26615:B 9.3 -*END - -*D_NET *2555 0.00100452 -*CONN -*I *26658:A2 I *D sky130_fd_sc_hd__o32a_1 -*I *26615:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26658:A2 0.000268946 -2 *26615:Y 0.000268946 -3 *26658:A2 *26658:A3 4.25716e-05 -4 *26727:A *26658:A2 0.000296615 -5 *2483:28 *26658:A2 0 -6 *2526:18 *26658:A2 0.000127446 -*RES -1 *26615:Y *26658:A2 32.4393 -*END - -*D_NET *2556 0.00441503 -*CONN -*I *26674:C I *D sky130_fd_sc_hd__and3_1 -*I *26635:B1 I *D sky130_fd_sc_hd__o31a_1 -*I *26616:X O *D sky130_fd_sc_hd__and3b_1 -*CAP -1 *26674:C 0.000190508 -2 *26635:B1 0.000238995 -3 *26616:X 0.000353826 -4 *2556:6 0.000783329 -5 *26635:B1 *3583:20 0.000148911 -6 *26635:B1 *5719:32 0.000148911 -7 *26674:C *2613:13 1.58163e-05 -8 *2556:6 *3583:20 0.00110035 -9 *2556:6 *5719:32 0.000479121 -10 *2556:6 *6353:10 3.57844e-05 -11 *26497:B *26674:C 2.19028e-05 -12 *26522:A2 *2556:6 2.5569e-05 -13 *26553:B1 *2556:6 0.000334937 -14 *26635:A1 *26635:B1 0.000386115 -15 *28401:D *2556:6 0.00015096 -*RES -1 *26616:X *2556:6 28.1929 -2 *2556:6 *26635:B1 19.5679 -3 *2556:6 *26674:C 16.2464 -*END - -*D_NET *2557 0.0141332 -*CONN -*I *26619:B I *D sky130_fd_sc_hd__nor2_1 -*I *26759:A2 I *D sky130_fd_sc_hd__o2111ai_1 -*I *26620:B I *D sky130_fd_sc_hd__nor2_1 -*I *26627:B I *D sky130_fd_sc_hd__nor2_1 -*I *26621:B I *D sky130_fd_sc_hd__nor2_1 -*I *26626:B I *D sky130_fd_sc_hd__nor2_1 -*I *26618:B I *D sky130_fd_sc_hd__nor2_1 -*I *26660:B I *D sky130_fd_sc_hd__nand2_1 -*I *26797:B1 I *D sky130_fd_sc_hd__o221a_1 -*I *26617:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *26619:B 0 -2 *26759:A2 0.000159467 -3 *26620:B 0.000164014 -4 *26627:B 0 -5 *26621:B 0.000173078 -6 *26626:B 0 -7 *26618:B 2.03441e-05 -8 *26660:B 0 -9 *26797:B1 0.000145487 -10 *26617:Y 0.000144356 -11 *2557:88 0.000159467 -12 *2557:58 0.000665224 -13 *2557:48 0.000771811 -14 *2557:44 0.000398174 -15 *2557:38 0.00048421 -16 *2557:30 0.000602972 -17 *2557:25 0.000920037 -18 *2557:8 0.000788251 -19 *26620:B *26632:C 1.98839e-05 -20 *26621:B *26633:C 0.000372115 -21 *26621:B *2566:6 8.08022e-05 -22 *26621:B *2660:23 2.59355e-05 -23 *26759:A2 *2559:9 6.05161e-06 -24 *2557:25 *5664:86 0.000437473 -25 *2557:44 *26670:D1 1.18064e-05 -26 *2557:44 *2660:23 2.14757e-05 -27 *2557:58 *2558:7 5.33005e-05 -28 *2557:58 *2660:23 0.000187344 -29 *26084:A *26759:A2 0.000165123 -30 *26084:B *26759:A2 8.11903e-05 -31 *26084:B *2557:25 0.000667323 -32 *26495:A *2557:8 1.98839e-05 -33 *26503:A2 *26797:B1 1.03403e-05 -34 *26503:A2 *2557:8 9.41642e-05 -35 *26510:A2 *2557:25 3.3505e-05 -36 *26514:A *26797:B1 6.12434e-05 -37 *26514:B *26797:B1 1.90936e-05 -38 *26514:B *2557:8 0.000145977 -39 *26514:C *2557:25 0.000475818 -40 *26618:A *26618:B 5.7661e-06 -41 *26618:A *2557:48 4.43256e-05 -42 *26618:A *2557:58 4.58194e-05 -43 *26627:A *2557:48 9.41642e-05 -44 *26737:A1 *2557:30 0.000152207 -45 *26759:B1 *26759:A2 5.33005e-05 -46 *26797:B2 *26797:B1 3.99614e-06 -47 *26797:C1 *26797:B1 0.000286065 -48 *26797:C1 *2557:8 0.000154994 -49 *1953:98 *26620:B 5.33005e-05 -50 *1953:98 *2557:38 0.000479652 -51 *2000:31 *2557:25 1.08716e-05 -52 *2000:33 *2557:25 8.6229e-06 -53 *2000:33 *2557:30 0.000579011 -54 *2005:49 *26797:B1 9.22222e-06 -55 *2007:10 *2557:44 0.000214641 -56 *2007:13 *2557:44 5.52238e-05 -57 *2007:13 *2557:48 9.79256e-05 -58 *2009:40 *26797:B1 0.000396042 -59 *2016:105 *2557:30 2.49484e-05 -60 *2019:94 *26621:B 1.17968e-05 -61 *2021:16 *26621:B 0.000420697 -62 *2024:65 *2557:25 0.000447121 -63 *2024:95 *2557:44 8.6432e-05 -64 *2061:68 *2557:30 8.70495e-05 -65 *2099:70 *2557:25 1.17396e-05 -66 *2099:70 *2557:30 0.00075732 -67 *2104:85 *2557:30 0.000178847 -68 *2104:85 *2557:38 4.5622e-05 -69 *2104:93 *26620:B 0.000207274 -70 *2104:93 *2557:38 0.000522439 -*RES -1 *26617:Y *2557:8 17.2554 -2 *2557:8 *26797:B1 18.6304 -3 *2557:8 *2557:25 9.23372 -4 *2557:25 *2557:30 17.75 -5 *2557:30 *26660:B 9.3 -6 *2557:30 *2557:38 6.30357 -7 *2557:38 *2557:44 13.1071 -8 *2557:44 *2557:48 4.25 -9 *2557:48 *26618:B 9.72857 -10 *2557:48 *2557:58 7.53571 -11 *2557:58 *26626:B 9.3 -12 *2557:58 *26621:B 24.7643 -13 *2557:44 *26627:B 9.3 -14 *2557:38 *26620:B 12.3179 -15 *2557:25 *2557:88 4.5 -16 *2557:88 *26759:A2 12.7107 -17 *2557:88 *26619:B 9.3 -*END - -*D_NET *2558 0.00399205 -*CONN -*I *26632:A I *D sky130_fd_sc_hd__or4_1 -*I *26755:D1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26618:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26632:A 0.000209009 -2 *26755:D1 0.000338336 -3 *26618:Y 4.36033e-05 -4 *2558:7 0.000590948 -5 *26632:A *26632:C 9.58181e-05 -6 *26632:A *2571:11 5.49544e-05 -7 *26755:D1 *26656:A2 0.000182272 -8 *26755:D1 *26756:B 0.000719699 -9 *26755:A2 *26755:D1 7.43578e-06 -10 *2061:41 *26755:D1 0.000112577 -11 *2352:24 *26755:D1 6.12308e-05 -12 *2383:8 *26632:A 0.000253705 -13 *2383:8 *26755:D1 0.000909848 -14 *2436:36 *26632:A 0.000261234 -15 *2436:36 *26755:D1 9.80818e-05 -16 *2557:58 *2558:7 5.33005e-05 -*RES -1 *26618:Y *2558:7 14.3357 -2 *2558:7 *26755:D1 27.2286 -3 *2558:7 *26632:A 19.0321 -*END - -*D_NET *2559 0.00426544 -*CONN -*I *26631:B I *D sky130_fd_sc_hd__or4_1 -*I *26667:D1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26619:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26631:B 0.000764581 -2 *26667:D1 0 -3 *26619:Y 0.000248657 -4 *2559:9 0.00101324 -5 *26631:B *26631:C 2.72055e-05 -6 *26631:B *26631:D 0.000223285 -7 *26084:A *2559:9 2.97449e-05 -8 *26084:B *2559:9 0.000219711 -9 *26387:A2 *26631:B 7.47077e-05 -10 *26512:C1 *26631:B 1.76606e-05 -11 *26514:C *26631:B 0.000111216 -12 *26514:D *26631:B 7.6232e-05 -13 *26617:B *26631:B 3.41004e-05 -14 *26619:A *2559:9 2.89114e-05 -15 *26667:B1 *26631:B 8.25843e-06 -16 *26667:B1 *2559:9 7.21032e-05 -17 *26667:C1 *26631:B 9.41642e-05 -18 *26759:A2 *2559:9 6.05161e-06 -19 *1989:30 *26631:B 0.000268175 -20 *2004:13 *26631:B 0.000936087 -21 *2057:8 *26631:B 1.13495e-05 -*RES -1 *26619:Y *2559:9 14.0857 -2 *2559:9 *26667:D1 9.3 -3 *2559:9 *26631:B 35.9071 -*END - -*D_NET *2560 0.000863206 -*CONN -*I *26632:B I *D sky130_fd_sc_hd__or4_1 -*I *26620:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26632:B 0.000257503 -2 *26620:Y 0.000257503 -3 *2061:68 *26632:B 0.0003482 -*RES -1 *26620:Y *26632:B 22.0107 -*END - -*D_NET *2561 0.00165163 -*CONN -*I *26633:A I *D sky130_fd_sc_hd__or4_1 -*I *26773:D1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26621:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26633:A 0.000120597 -2 *26773:D1 0.000105468 -3 *26621:Y 0.000108921 -4 *2561:5 0.000334986 -5 *26633:A *26633:C 0.000127983 -6 *26773:D1 *2632:12 5.86416e-05 -7 *2561:5 *26633:C 0.000349433 -8 *26621:A *2561:5 0.000136951 -9 *26736:A *26773:D1 0.000121573 -10 *26773:C1 *26773:D1 5.33005e-05 -11 *2021:16 *2561:5 4.38168e-05 -12 *2544:14 *26633:A 8.62644e-05 -13 *2544:14 *2561:5 3.69047e-06 -*RES -1 *26621:Y *2561:5 12.7107 -2 *2561:5 *26773:D1 20.4786 -3 *2561:5 *26633:A 12.0321 -*END - -*D_NET *2562 0.00516888 -*CONN -*I *26669:B I *D sky130_fd_sc_hd__or2_1 -*I *26633:B I *D sky130_fd_sc_hd__or4_1 -*I *26622:X O *D sky130_fd_sc_hd__a311o_1 -*CAP -1 *26669:B 0 -2 *26633:B 0.000700945 -3 *26622:X 0.000495746 -4 *2562:8 0.00119669 -5 *26633:B *26633:C 0.00043309 -6 *26633:B *2734:16 9.44259e-05 -7 *2562:8 *26622:A1 0.000218409 -8 *2562:8 *5723:46 4.17433e-05 -9 *26411:B1_N *2562:8 8.43535e-06 -10 *26518:A *26633:B 0.0008104 -11 *26520:C *26633:B 0.000117421 -12 *26551:A2 *2562:8 4.21517e-05 -13 *26622:A2 *2562:8 0.000135028 -14 *2019:94 *26633:B 9.21958e-05 -15 *2019:94 *2562:8 0.00014063 -16 *2022:28 *26633:B 0.000376298 -17 *2022:28 *2562:8 0.000166156 -18 *2315:56 *26633:B 9.91086e-05 -*RES -1 *26622:X *2562:8 22.4071 -2 *2562:8 *26633:B 31.8179 -3 *2562:8 *26669:B 13.8 -*END - -*D_NET *2563 0.000631363 -*CONN -*I *26624:A2 I *D sky130_fd_sc_hd__a21oi_1 -*I *26623:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26624:A2 0.000133507 -2 *26623:Y 0.000133507 -3 *26623:B *26624:A2 5.33005e-05 -4 *2169:64 *26624:A2 0.000175892 -5 *2306:7 *26624:A2 0.000135156 -*RES -1 *26623:Y *26624:A2 21.6179 -*END - -*D_NET *2564 0.00114014 -*CONN -*I *26663:B I *D sky130_fd_sc_hd__or3b_1 -*I *26631:C I *D sky130_fd_sc_hd__or4_1 -*I *26624:Y O *D sky130_fd_sc_hd__a21oi_1 -*CAP -1 *26663:B 3.92518e-05 -2 *26631:C 7.45613e-05 -3 *26624:Y 0.000108705 -4 *2564:8 0.000222518 -5 *26631:C *3581:76 9.67754e-05 -6 *26505:A2 *2564:8 1.51018e-05 -7 *26509:A *26631:C 9.84673e-05 -8 *26631:B *26631:C 2.72055e-05 -9 *2004:13 *26631:C 1.92303e-05 -10 *2004:13 *2564:8 2.01125e-05 -11 *2057:8 *26631:C 0.000125542 -12 *2057:8 *2564:8 0.00018119 -13 *2129:44 *26663:B 5.50052e-05 -14 *2298:26 *2564:8 5.64732e-05 -*RES -1 *26624:Y *2564:8 16.5054 -2 *2564:8 *26631:C 16.3893 -3 *2564:8 *26663:B 14.3357 -*END - -*D_NET *2565 0.0073857 -*CONN -*I *26666:C I *D sky130_fd_sc_hd__or3_1 -*I *26634:A I *D sky130_fd_sc_hd__or4_1 -*I *26625:X O *D sky130_fd_sc_hd__and3_1 -*CAP -1 *26666:C 0.000141893 -2 *26634:A 0.000549043 -3 *26625:X 0.000663806 -4 *2565:11 0.00135474 -5 *26634:A *26281:B 3.35685e-06 -6 *26634:A *26665:C1 0.000162192 -7 *26634:A *2576:8 0.00026714 -8 *2565:11 *5782:149 0.000125466 -9 *26520:B *26634:A 0.000793153 -10 *26773:A2 *26634:A 0.00148518 -11 *1930:152 *26666:C 0.000128409 -12 *1930:152 *2565:11 0.000296508 -13 *2022:28 *2565:11 0.000706692 -14 *2439:9 *2565:11 0.000708112 -*RES -1 *26625:X *2565:11 34.3536 -2 *2565:11 *26634:A 37.8536 -3 *2565:11 *26666:C 11.8893 -*END - -*D_NET *2566 0.00608799 -*CONN -*I *26633:C I *D sky130_fd_sc_hd__or4_1 -*I *26673:D I *D sky130_fd_sc_hd__or4_1 -*I *26671:D I *D sky130_fd_sc_hd__or4_1 -*I *26626:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26633:C 0.000302515 -2 *26673:D 4.29478e-05 -3 *26671:D 0.000159968 -4 *26626:Y 0 -5 *2566:6 0.000504123 -6 *2566:5 0.000603722 -7 *26671:D *26727:B 0.000138881 -8 *26671:D *2595:42 7.05143e-06 -9 *26673:D *2609:7 0.000135028 -10 *2566:6 *26775:A 0.000175519 -11 *2566:6 *26775:C 0.000112103 -12 *2566:6 *2595:42 1.91414e-05 -13 *26621:B *26633:C 0.000372115 -14 *26621:B *2566:6 8.08022e-05 -15 *26633:A *26633:C 0.000127983 -16 *26633:B *26633:C 0.00043309 -17 *26671:C *26671:D 0.000136958 -18 *26673:C *26673:D 0.000135028 -19 *2019:94 *26633:C 2.35083e-05 -20 *2019:115 *26671:D 0.000372341 -21 *2021:16 *26633:C 9.77264e-06 -22 *2021:16 *2566:6 0.0001944 -23 *2021:20 *26671:D 0.000380696 -24 *2021:20 *2566:6 0.000381797 -25 *2315:56 *26633:C 0.000139637 -26 *2458:10 *26633:C 0.000359561 -27 *2458:10 *2566:6 0.000389868 -28 *2561:5 *26633:C 0.000349433 -*RES -1 *26626:Y *2566:5 13.8 -2 *2566:5 *2566:6 10.75 -3 *2566:6 *26671:D 20.1393 -4 *2566:6 *26673:D 15.1571 -5 *2566:5 *26633:C 25.1929 -*END - -*D_NET *2567 0.00334109 -*CONN -*I *26632:C I *D sky130_fd_sc_hd__or4_1 -*I *26670:D1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26627:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26632:C 0.000226669 -2 *26670:D1 0.000412263 -3 *26627:Y 0 -4 *2567:5 0.000638933 -5 *26632:C *5545:22 0.000125724 -6 *26670:D1 *5545:22 0.000449532 -7 *26515:A2 *26670:D1 0.000246787 -8 *26515:B1 *26670:D1 0.000152333 -9 *26620:B *26632:C 1.98839e-05 -10 *26632:A *26632:C 9.58181e-05 -11 *2005:54 *26632:C 4.38128e-05 -12 *2005:69 *26632:C 5.52302e-05 -13 *2007:10 *26632:C 0.000117196 -14 *2007:10 *26670:D1 5.59013e-05 -15 *2024:95 *26670:D1 0 -16 *2061:68 *26632:C 0.000554173 -17 *2104:93 *26632:C 0.000135028 -18 *2557:44 *26670:D1 1.18064e-05 -*RES -1 *26627:Y *2567:5 13.8 -2 *2567:5 *26670:D1 24.3 -3 *2567:5 *26632:C 20.9071 -*END - -*D_NET *2568 0.00310686 -*CONN -*I *26634:B I *D sky130_fd_sc_hd__or4_1 -*I *26772:B I *D sky130_fd_sc_hd__nor4_1 -*I *26628:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *26634:B 0.000200152 -2 *26772:B 0.000409151 -3 *26628:X 4.36033e-05 -4 *2568:7 0.000652906 -5 *26634:B *26634:C 6.57032e-05 -6 *26634:B *2696:8 0.00019384 -7 *26772:B *26633:D 0.000100831 -8 *26772:B *26772:C 2.59355e-05 -9 *26772:B *2696:8 0.000644995 -10 *2568:7 *26594:A2 5.33005e-05 -11 *26736:A *26634:B 0.000186312 -12 *26736:A *26772:B 0.000357352 -13 *26736:B *26772:B 2.80341e-05 -14 *1518:15 *26772:B 0.000144747 -*RES -1 *26628:X *2568:7 14.3357 -2 *2568:7 *26772:B 23.8893 -3 *2568:7 *26634:B 17.7107 -*END - -*D_NET *2569 0.00632715 -*CONN -*I *26758:B I *D sky130_fd_sc_hd__or4_1 -*I *26634:C I *D sky130_fd_sc_hd__or4_1 -*I *26629:X O *D sky130_fd_sc_hd__a311o_1 -*CAP -1 *26758:B 4.18948e-05 -2 *26634:C 0.000361277 -3 *26629:X 0.000686584 -4 *2569:6 0.00108976 -5 *26634:C *26634:D 2.59355e-05 -6 *26634:C *2608:26 6.607e-06 -7 *26634:C *2696:8 0.000525372 -8 *2569:6 *26758:D 4.32182e-06 -9 *2569:6 *5723:46 0.000146422 -10 *2569:6 *5782:149 0.000111676 -11 *26394:C *2569:6 4.35731e-05 -12 *26520:C *26634:C 6.8608e-05 -13 *26520:C *2569:6 5.24592e-05 -14 *26634:B *26634:C 6.57032e-05 -15 *26736:A *26634:C 0.000560055 -16 *1393:49 *26758:B 5.33005e-05 -17 *1940:32 *26634:C 6.95508e-05 -18 *1940:32 *2569:6 0.000635824 -19 *1950:87 *2569:6 4.45753e-05 -20 *1996:75 *2569:6 0.000185433 -21 *2012:20 *2569:6 0.00069892 -22 *2045:73 *2569:6 0.000291036 -23 *2060:128 *2569:6 0.000338359 -24 *2308:18 *2569:6 4.85325e-05 -25 *2343:22 *2569:6 0.000171375 -*RES -1 *26629:X *2569:6 36.6929 -2 *2569:6 *26634:C 24.55 -3 *2569:6 *26758:B 14.3357 -*END - -*D_NET *2570 0.00147937 -*CONN -*I *26631:D I *D sky130_fd_sc_hd__or4_1 -*I *26630:X O *D sky130_fd_sc_hd__o32a_1 -*CAP -1 *26631:D 0.000374842 -2 *26630:X 0.000374842 -3 *26617:B *26631:D 4.08796e-05 -4 *26631:B *26631:D 0.000223285 -5 *2057:8 *26631:D 8.23514e-05 -6 *2060:109 *26631:D 0.000383166 -*RES -1 *26630:X *26631:D 34.2786 -*END - -*D_NET *2571 0.00544824 -*CONN -*I *26632:D I *D sky130_fd_sc_hd__or4_1 -*I *26631:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26632:D 0 -2 *26631:X 0.0011665 -3 *2571:11 0.0011665 -4 *2571:11 *3581:76 0.000118754 -5 *26358:A *2571:11 0.000252171 -6 *26503:B1 *2571:11 5.95741e-05 -7 *26622:A3 *2571:11 2.85234e-05 -8 *26632:A *2571:11 5.49544e-05 -9 *2083:31 *2571:11 0.00158264 -10 *2383:8 *2571:11 4.038e-06 -11 *2435:6 *2571:11 0.000128161 -12 *2435:11 *2571:11 0.000602639 -13 *2436:36 *2571:11 0.000283788 -*RES -1 *26631:X *2571:11 45.4786 -2 *2571:11 *26632:D 9.3 -*END - -*D_NET *2572 0.00218104 -*CONN -*I *26633:D I *D sky130_fd_sc_hd__or4_1 -*I *26632:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26633:D 0.000989691 -2 *26632:X 0.000989691 -3 *26736:A *26633:D 0.000100831 -4 *26772:B *26633:D 0.000100831 -*RES -1 *26632:X *26633:D 38.5107 -*END - -*D_NET *2573 0.00151134 -*CONN -*I *26634:D I *D sky130_fd_sc_hd__or4_1 -*I *26633:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26634:D 0.000235823 -2 *26633:X 0.000235823 -3 *26634:D *3553:26 0.000539833 -4 *26634:C *26634:D 2.59355e-05 -5 *26773:A2 *26634:D 0.000403323 -6 *2045:35 *26634:D 7.05982e-05 -*RES -1 *26633:X *26634:D 35.2429 -*END - -*D_NET *2574 0.00185119 -*CONN -*I *26635:A3 I *D sky130_fd_sc_hd__o31a_1 -*I *26634:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26635:A3 0.000699281 -2 *26634:X 0.000699281 -3 *26635:A3 *26665:D1 6.86792e-05 -4 *26635:A1 *26635:A3 4.58194e-05 -5 *26635:A2 *26635:A3 0.000260574 -6 *26664:A1 *26635:A3 2.42516e-05 -7 *26664:B1 *26635:A3 5.33005e-05 -*RES -1 *26634:X *26635:A3 29.8321 -*END - -*D_NET *2575 0.00339312 -*CONN -*I *26657:B I *D sky130_fd_sc_hd__or3_1 -*I *26635:X O *D sky130_fd_sc_hd__o31a_1 -*CAP -1 *26657:B 0.000689348 -2 *26635:X 0.000689348 -3 *26657:B *26658:A3 5.52302e-05 -4 *26657:B *29479:A 0.000559981 -5 *26657:B *5250:29 0 -6 *26158:A *26657:B 6.8646e-06 -7 *26158:B *26657:B 0.000114838 -8 *26401:A1 *26657:B 0.000316023 -9 *26604:C *26657:B 0.000104002 -10 *30116:A *26657:B 0.000225314 -11 *1883:83 *26657:B 0.000313717 -12 *1930:121 *26657:B 0.000318453 -*RES -1 *26635:X *26657:B 47.0643 -*END - -*D_NET *2576 0.0116688 -*CONN -*I *26736:C I *D sky130_fd_sc_hd__or4_1 -*I *26656:A1 I *D sky130_fd_sc_hd__o21ba_1 -*I *26694:B I *D sky130_fd_sc_hd__nor3_1 -*I *26695:B I *D sky130_fd_sc_hd__or3_1 -*I *26636:X O *D sky130_fd_sc_hd__a31o_1 -*CAP -1 *26736:C 0.000228934 -2 *26656:A1 1.24159e-05 -3 *26694:B 0.000278122 -4 *26695:B 0.000187804 -5 *26636:X 0.000990658 -6 *2576:26 0.000775809 -7 *2576:17 0.000786666 -8 *2576:8 0.00168396 -9 *26656:A1 *26656:A2 5.7661e-06 -10 *26694:B *26728:D 2.79421e-05 -11 *26694:B *2633:11 4.70643e-05 -12 *26695:B *26728:A 4.58835e-05 -13 *26695:B *26728:C 0.000244635 -14 *26695:B *2634:17 9.60939e-05 -15 *26695:B *2728:12 1.53472e-05 -16 *26736:C *26761:B 6.05161e-06 -17 *26736:C *26762:B 0.000181796 -18 *26736:C *26772:C 5.17614e-05 -19 *26736:C *2674:17 3.82357e-05 -20 *26736:C *2692:11 4.58976e-05 -21 *2576:8 *26281:B 7.57673e-05 -22 *2576:8 *26665:C1 0.00032989 -23 *2576:8 *26668:B 0.000197276 -24 *2576:17 *26657:C 0.000432883 -25 *2576:17 *26675:A2 7.83659e-05 -26 *2576:17 *29810:A 0.000183726 -27 *2576:26 *26656:A2 0.000227228 -28 *2576:26 *26656:B1_N 9.41642e-05 -29 *2576:26 *26657:C 0.000158545 -30 *2576:26 *26728:A 0.000150618 -31 *2576:26 *2674:17 0.000246057 -32 *2576:26 *2728:12 0.000543683 -33 *26634:A *2576:8 0.00026714 -34 *26736:A *26736:C 5.52238e-05 -35 *26773:A1 *2576:8 0.000101545 -36 *1883:94 *2576:8 3.34366e-05 -37 *1883:94 *2576:17 0.000607862 -38 *1950:93 *2576:8 0.000149797 -39 *2045:21 *2576:8 0.000109578 -40 *2045:21 *2576:17 3.84385e-05 -41 *2045:35 *2576:8 0.000355556 -42 *2089:26 *2576:8 0.000807375 -43 *2544:26 *26695:B 2.52223e-05 -44 *2544:30 *26695:B 0.000183108 -45 *2554:17 *2576:17 0.000465492 -*RES -1 *26636:X *2576:8 40.6036 -2 *2576:8 *2576:17 18.625 -3 *2576:17 *2576:26 15.3125 -4 *2576:26 *26695:B 18.8982 -5 *2576:26 *26694:B 17.6214 -6 *2576:17 *26656:A1 9.72857 -7 *2576:8 *26736:C 18.4429 -*END - -*D_NET *2577 0.000877864 -*CONN -*I *26699:C1 I *D sky130_fd_sc_hd__o2111ai_4 -*I *26637:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26699:C1 0.000214054 -2 *26637:X 0.000214054 -3 *26699:C1 *2638:11 1.92789e-05 -4 *2047:58 *26699:C1 0.000175892 -5 *2144:46 *26699:C1 0.000128146 -6 *2212:14 *26699:C1 0.000126439 -*RES -1 *26637:X *26699:C1 31.5464 -*END - -*D_NET *2578 0.00965911 -*CONN -*I *26639:C I *D sky130_fd_sc_hd__or3_1 -*I *26638:Y O *D sky130_fd_sc_hd__a21oi_1 -*CAP -1 *26639:C 0.000577444 -2 *26638:Y 0.000683063 -3 *2578:12 0.00126051 -4 *26639:C *2592:30 7.02549e-05 -5 *2578:12 *26699:A2 0.000365429 -6 *2578:12 *2592:30 0.000279149 -7 *1935:25 *2578:12 0.000684592 -8 *2046:115 *26639:C 0.00023988 -9 *2131:63 *2578:12 0.00037962 -10 *2147:74 *26639:C 0.0010336 -11 *2155:42 *2578:12 4.98055e-06 -12 *2155:51 *26639:C 0.000423676 -13 *2204:46 *26639:C 0.00123204 -14 *2204:46 *2578:12 0.00118735 -15 *2204:68 *26639:C 0.000389861 -16 *2220:69 *2578:12 0.000847654 -*RES -1 *26638:Y *2578:12 40.3179 -2 *2578:12 *26639:C 36.0321 -*END - -*D_NET *2579 0.000613191 -*CONN -*I *26643:B I *D sky130_fd_sc_hd__or4_1 -*I *26639:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *26643:B 0.000184451 -2 *26639:X 0.000184451 -3 *26643:B *26643:C 2.84125e-05 -4 *26639:B *26643:B 9.83442e-05 -5 *2239:12 *26643:B 0.000117533 -*RES -1 *26639:X *26643:B 30.6536 -*END - -*D_NET *2580 0.0075079 -*CONN -*I *26646:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *26641:B I *D sky130_fd_sc_hd__nor2_1 -*I *26640:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *26646:A2 6.31489e-05 -2 *26641:B 0 -3 *26640:X 0.000173804 -4 *2580:23 0.00107318 -5 *2580:8 0.00131014 -6 *2580:8 *2592:30 0.000119618 -7 *2580:8 *3510:90 0.00017969 -8 *2580:23 *26643:C 0.000776789 -9 *2580:23 *26706:A2 0.000223592 -10 *2580:23 *26709:C1 0 -11 *2580:23 *2592:30 0.000184926 -12 *2580:23 *2639:8 0.000288399 -13 *2580:23 *2646:15 0.000262 -14 *2580:23 *5573:21 0.00111613 -15 *26305:B2 *2580:23 0.000171492 -16 *26641:A *2580:23 0.000178503 -17 *26646:A1 *26646:A2 5.33005e-05 -18 *26731:C *2580:23 0.000227178 -19 *1393:110 *2580:23 0 -20 *2147:74 *2580:23 1.27529e-05 -21 *2155:61 *2580:8 0.000126439 -22 *2155:61 *2580:23 0.000193675 -23 *2179:27 *2580:23 0.000204265 -24 *2179:42 *2580:23 0.000402016 -25 *2195:17 *2580:23 3.14003e-05 -26 *2204:68 *2580:23 9.76291e-06 -27 *2239:12 *2580:23 0.000125699 -*RES -1 *26640:X *2580:8 17.2107 -2 *2580:8 *2580:23 49.3036 -3 *2580:23 *26641:B 9.3 -4 *2580:8 *26646:A2 14.7464 -*END - -*D_NET *2581 0.00664132 -*CONN -*I *26707:C I *D sky130_fd_sc_hd__or4_1 -*I *26643:C I *D sky130_fd_sc_hd__or4_1 -*I *26641:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26707:C 3.13373e-05 -2 *26643:C 0.000586088 -3 *26641:Y 0.000284817 -4 *2581:6 0.000902242 -5 *26643:C *26653:B 0.00054751 -6 *26643:C *26709:C1 0 -7 *26643:C *26730:B 3.08664e-05 -8 *26643:C *2592:30 0.000137296 -9 *26643:C *5573:21 8.25843e-06 -10 *26707:C *26707:D 4.38621e-05 -11 *2581:6 *26707:D 0.000270943 -12 *2581:6 *5573:21 3.45899e-05 -13 *26297:B *26643:C 2.39313e-05 -14 *26540:B *2581:6 0.000757138 -15 *26643:B *26643:C 2.84125e-05 -16 *26707:A *26643:C 7.04747e-05 -17 *1393:124 *2581:6 6.90591e-05 -18 *1935:37 *26707:C 5.31122e-05 -19 *1935:37 *2581:6 0.000891081 -20 *2131:72 *2581:6 1.20719e-05 -21 *2147:74 *26643:C 0.000577475 -22 *2155:61 *26643:C 9.60939e-05 -23 *2179:42 *26643:C 0.000362055 -24 *2239:12 *26643:C 4.58194e-05 -25 *2580:23 *26643:C 0.000776789 -*RES -1 *26641:Y *2581:6 27.2821 -2 *2581:6 *26643:C 41.7643 -3 *2581:6 *26707:C 14.5321 -*END - -*D_NET *2582 0.0108085 -*CONN -*I *26730:B I *D sky130_fd_sc_hd__or4b_1 -*I *26643:D I *D sky130_fd_sc_hd__or4_1 -*I *26642:Y O *D sky130_fd_sc_hd__nor3_1 -*CAP -1 *26730:B 0.000469164 -2 *26643:D 0 -3 *26642:Y 0.00246212 -4 *2582:30 0.000469164 -5 *2582:28 0.00246212 -6 *26730:B *26654:A 0.000351112 -7 *26730:B *2667:11 0.000361507 -8 *2582:28 *26645:B 5.23238e-05 -9 *2582:28 *30992:A 0.000327505 -10 *2582:28 *2587:23 0.00017573 -11 *2582:28 *2638:11 0.000178425 -12 *2582:28 *3510:90 5.99756e-05 -13 *2582:28 *6169:82 0.000213105 -14 *26297:B *2582:28 0.000184138 -15 *26544:C1 *2582:28 0.000143143 -16 *26643:A *26730:B 0.000180066 -17 *26643:C *26730:B 3.08664e-05 -18 *1393:90 *2582:28 0 -19 *2043:58 *2582:28 6.39139e-05 -20 *2127:27 *2582:28 2.63501e-05 -21 *2142:26 *2582:28 4.77348e-05 -22 *2151:53 *2582:28 0.00135278 -23 *2190:104 *26730:B 0.000461257 -24 *2190:122 *2582:28 0.000682664 -25 *2194:30 *26730:B 5.33005e-05 -26 *2349:30 *26730:B 0 -*RES -1 *26642:Y *2582:28 47.925 -2 *2582:28 *2582:30 4.5 -3 *2582:30 *26643:D 9.3 -4 *2582:30 *26730:B 30.6214 -*END - -*D_NET *2583 0.00119323 -*CONN -*I *26654:A I *D sky130_fd_sc_hd__or4b_1 -*I *26643:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26654:A 0.000296573 -2 *26643:X 0.000296573 -3 *26654:A *2667:11 0.000123728 -4 *26730:B *26654:A 0.000351112 -5 *2190:104 *26654:A 0.000125243 -*RES -1 *26643:X *26654:A 32.6536 -*END - -*D_NET *2584 0.00758963 -*CONN -*I *26697:B I *D sky130_fd_sc_hd__or3b_1 -*I *26654:B I *D sky130_fd_sc_hd__or4b_1 -*I *26644:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *26697:B 8.4089e-05 -2 *26654:B 0.000685635 -3 *26644:Y 0.00038855 -4 *2584:12 0.00115827 -5 *26654:B *26703:B 9.18462e-05 -6 *26697:B *2636:8 5.49489e-05 -7 *2584:12 *3510:41 5.33005e-05 -8 *26697:A *26697:B 0.000137561 -9 *2047:23 *26654:B 0.000476292 -10 *2127:27 *2584:12 0.00014833 -11 *2145:91 *2584:12 0.000871951 -12 *2152:88 *26654:B 0.000682422 -13 *2152:92 *26654:B 0.000220047 -14 *2152:92 *2584:12 0.000907326 -15 *2208:8 *26654:B 0.00159581 -16 *2208:8 *2584:12 3.3245e-05 -*RES -1 *26644:Y *2584:12 26.9607 -2 *2584:12 *26654:B 36.3357 -3 *2584:12 *26697:B 15.5857 -*END - -*D_NET *2585 0.00431784 -*CONN -*I *26646:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26645:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *26646:B1 0.00110719 -2 *26645:X 0.00110719 -3 *26646:B1 *26645:B 0.000304424 -4 *26646:B1 *2586:7 0.000184954 -5 *26240:A *26646:B1 3.61123e-05 -6 *26729:B1 *26646:B1 6.90552e-05 -7 *1393:90 *26646:B1 0.00124003 -8 *1946:105 *26646:B1 9.35554e-05 -9 *2047:39 *26646:B1 0.000175321 -10 *2226:38 *26646:B1 0 -*RES -1 *26645:X *26646:B1 49.8143 -*END - -*D_NET *2586 0.00245929 -*CONN -*I *26703:B I *D sky130_fd_sc_hd__or2_1 -*I *26654:C I *D sky130_fd_sc_hd__or4b_1 -*I *26646:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *26703:B 0.000336041 -2 *26654:C 0 -3 *26646:Y 0.000305697 -4 *2586:7 0.000641738 -5 *2586:7 *26654:D_N 3.29284e-05 -6 *26409:B1 *26703:B 4.58194e-05 -7 *26646:B1 *2586:7 0.000184954 -8 *26654:B *26703:B 9.18462e-05 -9 *2152:88 *26703:B 0.000409343 -10 *2208:8 *26703:B 0.000410921 -*RES -1 *26646:Y *2586:7 14.3714 -2 *2586:7 *26654:C 9.3 -3 *2586:7 *26703:B 27.1214 -*END - -*D_NET *2587 0.0274929 -*CONN -*I *26649:B1 I *D sky130_fd_sc_hd__a31o_1 -*I *26701:B1 I *D sky130_fd_sc_hd__o221a_1 -*I *26705:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *26800:A2 I *D sky130_fd_sc_hd__o22a_1 -*I *26776:A2 I *D sky130_fd_sc_hd__o22a_1 -*I *26708:A2 I *D sky130_fd_sc_hd__o221ai_2 -*I *26650:B1 I *D sky130_fd_sc_hd__a31o_1 -*I *26651:B I *D sky130_fd_sc_hd__nor2_1 -*I *26648:B I *D sky130_fd_sc_hd__nor2_1 -*I *26647:X O *D sky130_fd_sc_hd__and3_2 -*CAP -1 *26649:B1 0 -2 *26701:B1 5.64971e-05 -3 *26705:A2 9.70306e-05 -4 *26800:A2 0.000338809 -5 *26776:A2 0.000109254 -6 *26708:A2 0 -7 *26650:B1 2.66909e-05 -8 *26651:B 0 -9 *26648:B 0.000278491 -10 *26647:X 5.35717e-05 -11 *2587:79 0.000293156 -12 *2587:74 0.000692219 -13 *2587:73 0.000794247 -14 *2587:45 0.0004385 -15 *2587:38 0.000199949 -16 *2587:36 0.000593436 -17 *2587:31 0.000307328 -18 *2587:23 0.00136962 -19 *2587:11 0.00289631 -20 *2587:7 0.00177862 -21 *26648:B *26779:A 3.69047e-06 -22 *26648:B *2639:11 0.000308595 -23 *26648:B *5566:52 0.000176565 -24 *26705:A2 *26733:C 7.55769e-05 -25 *26776:A2 *26777:C_N 0.000147889 -26 *26800:A2 *26800:B1 8.05362e-05 -27 *2587:7 *26190:A 4.00276e-06 -28 *2587:11 *5722:76 0.000198719 -29 *2587:11 *5722:80 4.34928e-05 -30 *2587:23 *30992:A 0.000226804 -31 *2587:23 *3510:90 0.00140524 -32 *2587:23 *5564:40 4.58835e-05 -33 *2587:23 *5673:40 0.00010827 -34 *2587:23 *6169:82 1.462e-05 -35 *2587:31 *26653:A_N 0.000136676 -36 *2587:31 *5564:40 7.65907e-05 -37 *2587:31 *6169:82 2.05695e-05 -38 *2587:45 *2639:11 8.25114e-05 -39 *2587:74 *26733:C 7.83587e-05 -40 *2587:74 *2636:28 6.48008e-05 -41 *26206:B *2587:73 0.000344769 -42 *26263:A *26776:A2 2.42516e-05 -43 *26263:A *2587:79 2.24079e-05 -44 *26305:A2 *26648:B 3.29297e-05 -45 *26544:C1 *2587:11 1.59396e-05 -46 *26648:A *26648:B 0.000226867 -47 *26649:A1 *2587:23 6.05161e-06 -48 *26650:A1 *26650:B1 5.33005e-05 -49 *26650:A1 *2587:73 4.85033e-05 -50 *26650:A2 *2587:73 2.33664e-05 -51 *26698:B1 *2587:11 0.000221163 -52 *26701:B2 *26701:B1 2.44266e-05 -53 *26705:A1 *26705:A2 1.00733e-05 -54 *26708:A1 *2587:79 5.50052e-05 -55 *26800:B2 *26800:A2 4.58194e-05 -56 *2032:220 *2587:79 6.05161e-06 -57 *2047:43 *2587:23 4.38265e-05 -58 *2047:43 *2587:36 9.22948e-05 -59 *2047:43 *2587:38 4.90803e-05 -60 *2047:43 *2587:45 0.000188376 -61 *2127:27 *2587:11 0 -62 *2133:212 *26776:A2 0.000149604 -63 *2142:26 *2587:23 0.00201101 -64 *2142:31 *2587:23 0.000278816 -65 *2145:87 *2587:23 0.000282931 -66 *2147:81 *26701:B1 0.000101432 -67 *2147:81 *2587:31 0.00019654 -68 *2147:81 *2587:36 5.33005e-05 -69 *2152:88 *26701:B1 8.43535e-06 -70 *2152:88 *2587:31 5.49489e-05 -71 *2152:92 *2587:11 0.00328811 -72 *2152:102 *2587:11 5.41797e-06 -73 *2159:54 *2587:74 0.000291655 -74 *2159:54 *2587:79 0.000306928 -75 *2159:65 *26648:B 4.22349e-05 -76 *2159:65 *2587:45 0.000178847 -77 *2159:92 *2587:11 0.000414517 -78 *2161:54 *2587:23 0.000309967 -79 *2161:89 *26800:A2 5.74499e-06 -80 *2177:41 *2587:23 1.46231e-05 -81 *2177:48 *26648:B 0.000140253 -82 *2190:58 *26705:A2 6.46382e-05 -83 *2190:80 *2587:23 1.09611e-05 -84 *2190:80 *2587:31 4.35597e-05 -85 *2190:122 *2587:11 0.001822 -86 *2196:14 *26705:A2 6.63267e-05 -87 *2196:14 *2587:74 0.000487845 -88 *2196:14 *2587:79 0.00030772 -89 *2208:8 *2587:36 9.91086e-05 -90 *2208:8 *2587:38 5.66157e-05 -91 *2208:8 *2587:45 0.000191403 -92 *2230:20 *2587:11 0.0005023 -93 *2250:31 *26701:B1 8.57339e-05 -94 *2250:31 *2587:31 3.51368e-05 -95 *2489:10 *2587:23 0.000250865 -96 *2582:28 *2587:23 0.00017573 -*RES -1 *26647:X *2587:7 14.3357 -2 *2587:7 *2587:11 46.9184 -3 *2587:11 *2587:23 22.0615 -4 *2587:23 *2587:31 14.5714 -5 *2587:31 *2587:36 6.375 -6 *2587:36 *2587:38 0.732143 -7 *2587:38 *2587:45 9.25 -8 *2587:45 *26648:B 26.1393 -9 *2587:45 *26651:B 9.3 -10 *2587:38 *26650:B1 14.3357 -11 *2587:36 *2587:73 15.2857 -12 *2587:73 *2587:74 6.5 -13 *2587:74 *2587:79 9.92857 -14 *2587:79 *26708:A2 9.3 -15 *2587:79 *26776:A2 21.1929 -16 *2587:74 *26800:A2 17.6214 -17 *2587:73 *26705:A2 16.2286 -18 *2587:31 *26701:B1 11.5321 -19 *2587:23 *26649:B1 9.3 -*END - -*D_NET *2588 0.000675062 -*CONN -*I *26779:A I *D sky130_fd_sc_hd__or4b_1 -*I *26648:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26779:A 0.000178914 -2 *26648:Y 0.000178914 -3 *26779:A *5564:40 0.000148514 -4 *26648:A *26779:A 1.65169e-05 -5 *26648:B *26779:A 3.69047e-06 -6 *2047:43 *26779:A 0.000148514 -*RES -1 *26648:Y *26779:A 30.9036 -*END - -*D_NET *2589 0.000832342 -*CONN -*I *26653:C I *D sky130_fd_sc_hd__and4b_1 -*I *26649:X O *D sky130_fd_sc_hd__a31o_1 -*CAP -1 *26653:C 0.000205717 -2 *26649:X 0.000205717 -3 *26653:C *26653:A_N 0.000141734 -4 *26653:C *26654:D_N 7.32756e-05 -5 *26649:A2 *26653:C 6.05161e-06 -6 *2147:81 *26653:C 0.000121842 -7 *2177:43 *26653:C 9.76435e-06 -8 *2177:48 *26653:C 6.82414e-05 -*RES -1 *26649:X *26653:C 31.9571 -*END - -*D_NET *2590 0.000741948 -*CONN -*I *26653:D I *D sky130_fd_sc_hd__and4b_1 -*I *26650:X O *D sky130_fd_sc_hd__a31o_1 -*CAP -1 *26653:D 0.000187003 -2 *26650:X 0.000187003 -3 *26653:D *26653:A_N 0.000170802 -4 *26653:D *6169:82 9.76123e-05 -5 *26650:A3 *26653:D 1.58163e-05 -6 *2147:81 *26653:D 8.37122e-05 -*RES -1 *26650:X *26653:D 31.1313 -*END - -*D_NET *2591 0.00398476 -*CONN -*I *26653:A_N I *D sky130_fd_sc_hd__and4b_1 -*I *26733:B I *D sky130_fd_sc_hd__or4_1 -*I *26651:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26653:A_N 0.000269374 -2 *26733:B 0.000566189 -3 *26651:Y 0.00010552 -4 *2591:8 0.000941083 -5 *26653:A_N *26653:B 0.000140856 -6 *26653:A_N *26654:D_N 1.46148e-05 -7 *26653:A_N *5564:40 0.000234228 -8 *2591:8 *5564:40 7.83659e-05 -9 *26650:A2 *26733:B 0.000712332 -10 *26653:C *26653:A_N 0.000141734 -11 *26653:D *26653:A_N 0.000170802 -12 *2047:43 *26653:A_N 0.000240592 -13 *2047:43 *2591:8 7.6644e-05 -14 *2147:81 *26653:A_N 5.96516e-05 -15 *2159:65 *2591:8 9.60939e-05 -16 *2587:31 *26653:A_N 0.000136676 -*RES -1 *26651:Y *2591:8 15.7821 -2 *2591:8 *26733:B 20.9071 -3 *2591:8 *26653:A_N 22.0143 -*END - -*D_NET *2592 0.0124126 -*CONN -*I *26699:B1 I *D sky130_fd_sc_hd__o2111ai_4 -*I *26653:B I *D sky130_fd_sc_hd__and4b_1 -*I *26652:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *26699:B1 0 -2 *26653:B 0.000539773 -3 *26652:X 0.00024255 -4 *2592:30 0.00211111 -5 *2592:11 0.00181389 -6 *26653:B *5573:31 2.35985e-05 -7 *2592:11 *2638:11 0.000122269 -8 *2592:30 *2638:11 0.000219289 -9 *2592:30 *5573:31 6.99898e-05 -10 *26282:A *2592:30 0.000190178 -11 *26639:C *2592:30 7.02549e-05 -12 *26643:C *26653:B 0.00054751 -13 *26643:C *2592:30 0.000137296 -14 *26653:A_N *26653:B 0.000140856 -15 *1935:26 *2592:30 0.000164145 -16 *1935:37 *26653:B 0.000679885 -17 *1935:72 *2592:30 2.11419e-05 -18 *2046:115 *26653:B 0.000111243 -19 *2046:115 *2592:30 0.000348693 -20 *2047:58 *2592:11 5.33005e-05 -21 *2131:63 *2592:30 0.000377905 -22 *2144:68 *2592:30 0.000191113 -23 *2147:74 *2592:30 0.0012542 -24 *2155:42 *2592:30 0.000729084 -25 *2155:51 *2592:30 0.000421968 -26 *2155:61 *2592:30 0.000523126 -27 *2179:27 *26653:B 0.000164994 -28 *2179:42 *26653:B 1.19021e-05 -29 *2204:68 *2592:30 0.0002948 -30 *2212:14 *2592:11 0.000126439 -31 *2244:14 *2592:11 0.000126439 -32 *2578:12 *2592:30 0.000279149 -33 *2580:8 *2592:30 0.000119618 -34 *2580:23 *2592:30 0.000184926 -*RES -1 *26652:X *2592:11 23.0679 -2 *2592:11 *2592:30 49.03 -3 *2592:30 *26653:B 27.9786 -4 *2592:11 *26699:B1 9.3 -*END - -*D_NET *2593 0.00375414 -*CONN -*I *26654:D_N I *D sky130_fd_sc_hd__or4b_1 -*I *26653:X O *D sky130_fd_sc_hd__and4b_1 -*CAP -1 *26654:D_N 0.00080691 -2 *26653:X 0.00080691 -3 *26654:D_N *26709:C1 2.47675e-05 -4 *26654:D_N *2667:11 0 -5 *26654:D_N *5566:52 0.000115364 -6 *26654:D_N *5573:21 0 -7 *26643:A *26654:D_N 0.000123295 -8 *26649:A2 *26654:D_N 0 -9 *26653:A_N *26654:D_N 1.46148e-05 -10 *26653:C *26654:D_N 7.32756e-05 -11 *1393:110 *26654:D_N 0.000869223 -12 *2147:74 *26654:D_N 0 -13 *2147:81 *26654:D_N 0 -14 *2177:48 *26654:D_N 0.000153047 -15 *2349:30 *26654:D_N 0.000733805 -16 *2586:7 *26654:D_N 3.29284e-05 -*RES -1 *26653:X *26654:D_N 48.7786 -*END - -*D_NET *2594 0.0123344 -*CONN -*I *26656:A2 I *D sky130_fd_sc_hd__o21ba_1 -*I *26654:X O *D sky130_fd_sc_hd__or4b_1 -*CAP -1 *26656:A2 0.00144623 -2 *26654:X 4.14096e-05 -3 *2594:15 0.00434089 -4 *2594:8 0.00293607 -5 *26656:A2 *26656:B1_N 0.000139187 -6 *26656:A2 *2665:10 0 -7 *26390:D1 *2594:15 5.71472e-05 -8 *26425:A1 *2594:15 9.41642e-05 -9 *26425:A2 *2594:15 0.00188863 -10 *26425:A3 *2594:15 9.80173e-05 -11 *26504:B1 *26656:A2 0.000187012 -12 *26608:B *26656:A2 0 -13 *26656:A1 *26656:A2 5.7661e-06 -14 *26755:A1 *26656:A2 1.65169e-05 -15 *26755:A2 *26656:A2 7.30947e-05 -16 *26755:D1 *26656:A2 0.000182272 -17 *1938:67 *26656:A2 0.000182791 -18 *1955:129 *2594:15 1.02821e-05 -19 *1955:140 *2594:15 4.08637e-05 -20 *2152:88 *2594:8 0.000177948 -21 *2190:104 *2594:8 0.000179656 -22 *2313:19 *26656:A2 9.25014e-06 -23 *2576:26 *26656:A2 0.000227228 -*RES -1 *26654:X *2594:8 20.55 -2 *2594:8 *2594:15 48.3036 -3 *2594:15 *26656:A2 36.5857 -*END - -*D_NET *2595 0.0246176 -*CONN -*I *26656:B1_N I *D sky130_fd_sc_hd__o21ba_1 -*I *26694:C I *D sky130_fd_sc_hd__nor3_1 -*I *26695:C I *D sky130_fd_sc_hd__or3_1 -*I *26655:X O *D sky130_fd_sc_hd__or3_2 -*CAP -1 *26656:B1_N 0.000212984 -2 *26694:C 0 -3 *26695:C 0.000286092 -4 *26655:X 0.00140027 -5 *2595:54 0.000551482 -6 *2595:42 0.00115637 -7 *2595:31 0.00195935 -8 *2595:21 0.00209968 -9 *2595:15 0.00221859 -10 *26656:B1_N *26657:C 4.87854e-05 -11 *26695:C *2634:17 0.000130053 -12 *26695:C *5007:48 0.000154762 -13 *2595:15 *26054:A 0.000135028 -14 *2595:21 *26806:C1 0.000232999 -15 *2595:21 *5007:48 0.000321002 -16 *2595:21 *5782:164 0.00022287 -17 *2595:31 *5007:48 3.34639e-05 -18 *2595:42 *26775:A 7.14727e-05 -19 *2595:42 *26775:D 8.85532e-05 -20 *2595:54 *26727:B 6.17358e-05 -21 *2595:54 *5007:48 0.000378889 -22 *26006:A *2595:15 3.23206e-05 -23 *26103:B *2595:42 2.61099e-05 -24 *26273:A1 *2595:15 2.89114e-05 -25 *26345:B *2595:15 1.07719e-05 -26 *26364:B *2595:31 0.000167872 -27 *26365:C *2595:31 0.000143887 -28 *26389:A2 *2595:42 0.000175892 -29 *26392:A1 *26695:C 0.00014183 -30 *26411:B1_N *2595:31 0.000167427 -31 *26445:A *2595:31 0.000287714 -32 *26495:B *2595:31 1.90936e-05 -33 *26517:B1 *2595:42 0.000197556 -34 *26542:A1 *2595:15 5.72496e-05 -35 *26594:A1 *2595:31 5.16792e-05 -36 *26655:A *2595:15 1.46148e-05 -37 *26655:B *2595:15 0.000100262 -38 *26656:A2 *26656:B1_N 0.000139187 -39 *26671:C *2595:54 5.52302e-05 -40 *26671:D *2595:42 7.05143e-06 -41 *26694:A *26695:C 0.000460663 -42 *26797:A1 *2595:31 6.75633e-05 -43 *1948:16 *2595:15 9.60939e-05 -44 *1986:51 *2595:15 0.000146799 -45 *1986:59 *2595:15 5.33005e-05 -46 *1996:25 *2595:15 5.52302e-05 -47 *1996:48 *2595:15 0.000136951 -48 *2006:75 *2595:21 0.00112628 -49 *2008:12 *2595:21 0.00126028 -50 *2008:12 *2595:42 3.17148e-05 -51 *2009:20 *2595:31 1.13072e-05 -52 *2009:33 *2595:31 0.000662155 -53 *2011:54 *2595:31 0.000300018 -54 *2011:54 *2595:42 0.00126818 -55 *2019:115 *2595:42 0.000163079 -56 *2019:115 *2595:54 0.000171375 -57 *2020:41 *26695:C 7.83366e-05 -58 *2020:41 *2595:54 0.000282565 -59 *2036:25 *2595:31 9.25014e-06 -60 *2044:18 *2595:21 0.00018077 -61 *2056:8 *2595:21 0.00024794 -62 *2061:26 *2595:42 0.000106023 -63 *2083:31 *2595:42 1.21637e-05 -64 *2287:7 *2595:15 0.000524768 -65 *2290:10 *2595:31 0.000203769 -66 *2290:12 *2595:31 0.000274067 -67 *2302:37 *2595:54 0.0001026 -68 *2334:8 *2595:31 0.00128883 -69 *2343:22 *2595:31 1.90936e-05 -70 *2352:24 *2595:31 1.75989e-05 -71 *2352:24 *2595:42 0.00104378 -72 *2442:19 *2595:42 0.000210191 -73 *2442:19 *2595:54 0.00017309 -74 *2534:11 *2595:42 0.000123277 -75 *2534:11 *2595:54 6.05161e-06 -76 *2566:6 *2595:42 1.91414e-05 -77 *2576:26 *26656:B1_N 9.41642e-05 -*RES -1 *26655:X *2595:15 37.925 -2 *2595:15 *2595:21 36.3929 -3 *2595:21 *2595:31 34.1122 -4 *2595:31 *2595:42 33.7054 -5 *2595:42 *2595:54 18.4464 -6 *2595:54 *26695:C 21.6393 -7 *2595:54 *26694:C 13.8 -8 *2595:42 *26656:B1_N 17.6214 -*END - -*D_NET *2596 0.00425915 -*CONN -*I *26657:C I *D sky130_fd_sc_hd__or3_1 -*I *26656:X O *D sky130_fd_sc_hd__o21ba_1 -*CAP -1 *26657:C 0.00111587 -2 *26656:X 0.00111587 -3 *26657:C *26675:A1 0.000303161 -4 *26657:C *26763:C 0.0001746 -5 *26657:C *29810:A 0.000152851 -6 *26656:B1_N *26657:C 4.87854e-05 -7 *26727:A *26657:C 0.000480441 -8 *1883:94 *26657:C 9.58181e-05 -9 *2526:18 *26657:C 0.000180332 -10 *2576:17 *26657:C 0.000432883 -11 *2576:26 *26657:C 0.000158545 -*RES -1 *26656:X *26657:C 48.4214 -*END - -*D_NET *2597 0.00087931 -*CONN -*I *26658:A3 I *D sky130_fd_sc_hd__o32a_1 -*I *26657:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *26658:A3 0.000237377 -2 *26657:X 0.000237377 -3 *26658:A3 *26658:B2 3.18676e-05 -4 *26658:A3 *26675:B1 9.90367e-05 -5 *26657:B *26658:A3 5.52302e-05 -6 *26658:A2 *26658:A3 4.25716e-05 -7 *28402:D *26658:A3 1.74352e-05 -8 *2483:28 *26658:A3 0.000158416 -9 *2526:18 *26658:A3 0 -*RES -1 *26657:X *26658:A3 33.1536 -*END - -*D_NET *2598 0.0182996 -*CONN -*I *26774:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *26661:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *26659:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26774:A2 0.000177643 -2 *26661:A2 7.96326e-05 -3 *26659:Y 0.0012607 -4 *2598:15 0.000593307 -5 *2598:12 0.00204699 -6 *2598:10 0.00174488 -7 *2598:8 0.00129462 -8 *26774:A2 *26774:B1 1.92789e-05 -9 *26774:A2 *26774:C1 4.2283e-05 -10 *2598:12 *26663:C_N 8.88334e-05 -11 *2598:15 *26774:B1 5.65523e-06 -12 *2598:15 *26774:C1 2.95726e-05 -13 *26034:A_N *2598:12 5.74562e-05 -14 *26042:B *2598:12 0.000171375 -15 *26057:D *2598:12 0.000240859 -16 *26084:B *2598:12 4.34543e-05 -17 *26109:A *2598:8 5.33005e-05 -18 *26130:B *2598:8 0.000132996 -19 *26132:D1 *2598:8 0.000147474 -20 *26380:B2 *2598:12 0.00012401 -21 *26382:B *2598:12 0.000101545 -22 *26385:B *2598:12 0.000553015 -23 *26386:C1 *26661:A2 0.000144038 -24 *26452:A3 *2598:8 2.09413e-05 -25 *26464:B1 *2598:8 0.000118118 -26 *26514:C *2598:12 0.000202177 -27 *26558:A2 *2598:8 0.000159997 -28 *26581:A *2598:8 0.000301438 -29 *26582:C1 *2598:8 0.000257619 -30 *26659:B *2598:8 2.83192e-05 -31 *26682:A2 *2598:8 0.000178847 -32 *26689:D *2598:8 0.000101538 -33 *26774:B2 *26774:A2 1.06229e-05 -34 *26774:B2 *2598:15 5.5668e-05 -35 *1393:49 *2598:12 0.000288564 -36 *1862:22 *2598:8 0.000100008 -37 *1942:146 *2598:8 0.000124704 -38 *1955:129 *2598:12 0.000168447 -39 *1973:35 *2598:12 6.8646e-06 -40 *1973:44 *2598:12 2.37761e-05 -41 *1975:14 *2598:12 1.8038e-05 -42 *1975:16 *2598:12 1.11775e-05 -43 *1981:11 *2598:8 0.000168939 -44 *1983:57 *26661:A2 5.33005e-05 -45 *1983:65 *2598:12 8.04343e-05 -46 *1983:65 *2598:15 1.58163e-05 -47 *1986:35 *2598:8 0.001041 -48 *1989:30 *26661:A2 5.52302e-05 -49 *1989:95 *2598:8 0.000441725 -50 *1996:99 *2598:12 7.22737e-05 -51 *1999:44 *2598:12 0.000241629 -52 *2000:31 *2598:12 7.97107e-05 -53 *2000:33 *2598:12 1.50181e-05 -54 *2010:8 *2598:8 2.09495e-05 -55 *2013:8 *2598:8 0.000119602 -56 *2013:8 *2598:12 1.27482e-06 -57 *2013:18 *2598:8 1.27784e-05 -58 *2016:105 *2598:12 1.01487e-05 -59 *2025:88 *2598:8 6.22145e-05 -60 *2029:15 *2598:8 1.08359e-05 -61 *2051:41 *2598:8 3.05652e-05 -62 *2051:41 *2598:12 5.58711e-05 -63 *2051:46 *2598:12 0 -64 *2071:14 *2598:8 0.000146795 -65 *2072:10 *2598:8 0.000318323 -66 *2072:46 *2598:12 0.00354809 -67 *2297:19 *2598:12 0.000223272 -68 *2320:30 *26661:A2 0.000144038 -*RES -1 *26659:Y *2598:8 49.5589 -2 *2598:8 *2598:10 0.535714 -3 *2598:10 *2598:12 52.8304 -4 *2598:12 *2598:15 8.32143 -5 *2598:15 *26661:A2 20.7821 -6 *2598:15 *26774:A2 12.742 -*END - -*D_NET *2599 0.00116598 -*CONN -*I *26774:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *26661:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *26660:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26774:B1 0.00018682 -2 *26661:B1 0 -3 *26660:Y 5.91328e-05 -4 *2599:6 0.000245952 -5 *26774:B1 *26774:C1 0.000232148 -6 *26774:A2 *26774:B1 1.92789e-05 -7 *26774:B2 *26774:B1 9.0447e-05 -8 *1938:48 *26774:B1 0.000116446 -9 *1938:48 *2599:6 6.32633e-05 -10 *1953:98 *2599:6 1.02504e-05 -11 *2320:8 *2599:6 3.34687e-05 -12 *2320:30 *26774:B1 7.91281e-05 -13 *2320:30 *2599:6 2.39876e-05 -14 *2598:15 *26774:B1 5.65523e-06 -*RES -1 *26660:Y *2599:6 15.4429 -2 *2599:6 *26661:B1 13.8 -3 *2599:6 *26774:B1 18.7286 -*END - -*D_NET *2600 0.00813295 -*CONN -*I *26668:A I *D sky130_fd_sc_hd__or4_1 -*I *26760:A I *D sky130_fd_sc_hd__or3b_1 -*I *26661:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *26668:A 0.00122759 -2 *26760:A 0.00066395 -3 *26661:X 0 -4 *2600:4 0.00189154 -5 *26668:A *26668:C 5.33005e-05 -6 *26668:A *26668:D 0.000870787 -7 *26668:A *26774:C1 3.67257e-05 -8 *26668:A *26775:C 0.000108525 -9 *26668:A *2711:7 0.000470824 -10 *26760:A *2698:11 7.49615e-05 -11 *26422:B2 *26760:A 5.33005e-05 -12 *26773:A2 *26668:A 9.60939e-05 -13 *26773:B1 *26668:A 0.000338616 -14 *26773:C1 *26668:A 0.000474838 -15 *1938:48 *26760:A 0.000642559 -16 *1953:98 *26668:A 4.03318e-05 -17 *1955:129 *26760:A 0.00040881 -18 *2024:95 *26668:A 0.000125724 -19 *2315:56 *26668:A 0.000250068 -20 *2315:56 *26760:A 0.000304394 -*RES -1 *26661:X *2600:4 9.3 -2 *2600:4 *26760:A 33.0321 -3 *2600:4 *26668:A 46.2107 -*END - -*D_NET *2601 0.00397027 -*CONN -*I *26663:C_N I *D sky130_fd_sc_hd__or3b_1 -*I *26662:X O *D sky130_fd_sc_hd__a31o_1 -*CAP -1 *26663:C_N 0.00106225 -2 *26662:X 0.00106225 -3 *26381:B1 *26663:C_N 0.000255306 -4 *26385:B *26663:C_N 3.47641e-06 -5 *26385:C *26663:C_N 1.24368e-05 -6 *26411:A2 *26663:C_N 0.000596344 -7 *26505:A1 *26663:C_N 8.25843e-06 -8 *26662:A2 *26663:C_N 0.000139907 -9 *26662:B1 *26663:C_N 2.42516e-05 -10 *1950:64 *26663:C_N 0.000216755 -11 *1962:30 *26663:C_N 2.13481e-06 -12 *2051:46 *26663:C_N 0.000302495 -13 *2129:44 *26663:C_N 0.000195575 -14 *2598:12 *26663:C_N 8.88334e-05 -*RES -1 *26662:X *26663:C_N 46.2964 -*END - -*D_NET *2602 0.0110314 -*CONN -*I *26665:C1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26774:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *26663:X O *D sky130_fd_sc_hd__or3b_1 -*CAP -1 *26665:C1 0.0015961 -2 *26774:C1 0.000781862 -3 *26663:X 0.000383842 -4 *2602:8 0.00276181 -5 *26665:C1 *5664:86 0.00124087 -6 *26774:C1 *2711:7 6.13757e-06 -7 *26358:A *26665:C1 0 -8 *26510:A2 *26774:C1 7.69776e-06 -9 *26512:C1 *26774:C1 0.000368807 -10 *26512:C1 *2602:8 5.53892e-05 -11 *26520:C *26665:C1 0 -12 *26617:B *2602:8 1.90936e-05 -13 *26634:A *26665:C1 0.000162192 -14 *26668:A *26774:C1 3.67257e-05 -15 *26773:A2 *26665:C1 8.54375e-05 -16 *26774:A2 *26774:C1 4.2283e-05 -17 *26774:B1 *26774:C1 0.000232148 -18 *26774:B2 *26774:C1 9.12578e-06 -19 *1518:15 *26665:C1 0.000111335 -20 *1953:90 *26774:C1 0.000130098 -21 *1953:98 *26774:C1 0.000249645 -22 *2007:10 *26774:C1 4.57968e-05 -23 *2007:10 *2602:8 0.000905352 -24 *2024:95 *26774:C1 2.78783e-05 -25 *2045:35 *26665:C1 9.25014e-06 -26 *2052:28 *26774:C1 0 -27 *2052:28 *2602:8 0.000768188 -28 *2061:21 *26665:C1 6.43982e-05 -29 *2061:26 *26665:C1 0.000179777 -30 *2061:102 *26665:C1 3.20942e-05 -31 *2129:44 *2602:8 9.41642e-05 -32 *2237:39 *26665:C1 0.000264428 -33 *2576:8 *26665:C1 0.00032989 -34 *2598:15 *26774:C1 2.95726e-05 -*RES -1 *26663:X *2602:8 26.5589 -2 *2602:8 *26774:C1 27.8268 -3 *2602:8 *26665:C1 31.0108 -*END - -*D_NET *2603 0.000508516 -*CONN -*I *26665:D1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26664:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *26665:D1 0.000140699 -2 *26664:X 0.000140699 -3 *26665:D1 *2691:14 8.00806e-05 -4 *26635:A3 *26665:D1 6.86792e-05 -5 *2092:30 *26665:D1 7.83587e-05 -*RES -1 *26664:X *26665:D1 29.9929 -*END - -*D_NET *2604 0.000513331 -*CONN -*I *26668:B I *D sky130_fd_sc_hd__or4_1 -*I *26665:X O *D sky130_fd_sc_hd__a2111o_1 -*CAP -1 *26668:B 5.81746e-05 -2 *26665:X 5.81746e-05 -3 *2089:26 *26668:B 0.000199706 -4 *2576:8 *26668:B 0.000197276 -*RES -1 *26665:X *26668:B 30.1536 -*END - -*D_NET *2605 0.00637312 -*CONN -*I *26754:C I *D sky130_fd_sc_hd__or4b_1 -*I *26668:C I *D sky130_fd_sc_hd__or4_1 -*I *26666:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *26754:C 1.69531e-05 -2 *26668:C 0.000393389 -3 *26666:X 0.000840334 -4 *2605:8 0.00125068 -5 *26668:C *26401:A2 0.000221628 -6 *26754:C *26754:D_N 5.33005e-05 -7 *26523:B *2605:8 0.00045429 -8 *26604:A *26668:C 0.000195555 -9 *26604:A *2605:8 0.000624277 -10 *26668:A *26668:C 5.33005e-05 -11 *26754:A *26754:C 5.33005e-05 -12 *26773:B1 *26668:C 9.41642e-05 -13 *1677:26 *26668:C 0.0001826 -14 *1883:78 *2605:8 9.71341e-05 -15 *1883:83 *26668:C 5.41797e-06 -16 *1883:83 *2605:8 0.000394733 -17 *1930:98 *2605:8 0.000225191 -18 *1930:152 *2605:8 0.000344835 -19 *2169:24 *2605:8 4.66203e-05 -20 *2223:50 *2605:8 0.000825422 -*RES -1 *26666:X *2605:8 38.5857 -2 *2605:8 *26668:C 22.2286 -3 *2605:8 *26754:C 14.3357 -*END - -*D_NET *2606 0.00670885 -*CONN -*I *26798:B I *D sky130_fd_sc_hd__or4b_1 -*I *26668:D I *D sky130_fd_sc_hd__or4_1 -*I *26667:X O *D sky130_fd_sc_hd__a2111o_1 -*CAP -1 *26798:B 0.000176258 -2 *26668:D 0.000925489 -3 *26667:X 0.000494083 -4 *2606:11 0.00159583 -5 *26668:D *2734:16 0.000431864 -6 *26084:B *2606:11 0.000187664 -7 *26510:A2 *2606:11 0.000612067 -8 *26594:A1 *2606:11 0.000136447 -9 *26668:A *26668:D 0.000870787 -10 *26773:A1 *26668:D 0.000218685 -11 *26773:A2 *26668:D 9.41642e-05 -12 *26797:A1 *2606:11 0.000226487 -13 *1955:129 *2606:11 0.000114992 -14 *1999:28 *2606:11 2.28428e-05 -15 *1999:35 *2606:11 1.31511e-05 -16 *2005:49 *26798:B 9.25014e-06 -17 *2005:54 *26668:D 0.000183988 -18 *2005:54 *26798:B 3.42764e-05 -19 *2006:12 *26668:D 0.000182409 -20 *2006:12 *26798:B 4.38243e-05 -21 *2024:65 *2606:11 4.2578e-05 -22 *2024:95 *2606:11 5.11642e-05 -23 *2435:11 *26798:B 4.05548e-05 -*RES -1 *26667:X *2606:11 23.9597 -2 *2606:11 *26668:D 33.1661 -3 *2606:11 *26798:B 16.1482 -*END - -*D_NET *2607 0.002995 -*CONN -*I *26675:A1 I *D sky130_fd_sc_hd__o21a_1 -*I *26668:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26675:A1 0.000473302 -2 *26668:X 0.000473302 -3 *26675:A1 *26675:B1 0.000175892 -4 *26675:A1 *26763:C 0.00035202 -5 *26675:A1 *2691:14 0.000388407 -6 *26675:A1 *2692:11 0.000678884 -7 *26657:C *26675:A1 0.000303161 -8 *2483:28 *26675:A1 0 -9 *2526:18 *26675:A1 0.000150027 -*RES -1 *26668:X *26675:A1 43.1536 -*END - -*D_NET *2608 0.00733153 -*CONN -*I *26672:A I *D sky130_fd_sc_hd__or3_1 -*I *26758:C I *D sky130_fd_sc_hd__or4_1 -*I *26669:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26672:A 0 -2 *26758:C 0 -3 *26669:X 0.000109623 -4 *2608:26 0.0009756 -5 *2608:5 0.00108522 -6 *2608:5 *26758:D 5.33005e-05 -7 *2608:26 *26672:C 5.80706e-06 -8 *2608:26 *26758:D 9.6765e-05 -9 *2608:26 *26761:C 0.000361823 -10 *2608:26 *26791:A1 0.00012401 -11 *2608:26 *26791:C1 0 -12 *2608:26 *2696:8 0.00143867 -13 *2608:26 *2709:8 3.6337e-05 -14 *2608:26 *3553:26 0.00191233 -15 *2608:26 *3581:38 3.51442e-05 -16 *26520:C *2608:26 4.22135e-06 -17 *26634:C *2608:26 6.607e-06 -18 *26664:A2 *2608:26 0.000189379 -19 *26669:A *2608:5 0.000135028 -20 *26758:A *2608:5 6.42095e-05 -21 *26758:A *2608:26 2.14658e-05 -22 *1393:39 *2608:26 8.12705e-05 -23 *1393:49 *2608:5 0.000342302 -24 *1393:49 *2608:26 1.24368e-05 -25 *1518:15 *2608:26 0.000239981 -*RES -1 *26669:X *2608:5 12.7107 -2 *2608:5 *26758:C 9.3 -3 *2608:5 *2608:26 41.5714 -4 *2608:26 *26672:A 9.3 -*END - -*D_NET *2609 0.00508816 -*CONN -*I *26672:B I *D sky130_fd_sc_hd__or3_1 -*I *26756:A I *D sky130_fd_sc_hd__or2_1 -*I *26670:X O *D sky130_fd_sc_hd__a2111o_1 -*CAP -1 *26672:B 0.000217886 -2 *26756:A 0.000236583 -3 *26670:X 0.000983947 -4 *2609:7 0.00143842 -5 *26672:B *26775:A 0.000135028 -6 *26756:A *26775:B 2.78892e-05 -7 *26756:A *2674:17 0.000252826 -8 *26756:A *2728:12 0.00012401 -9 *26756:A *2734:16 0.000150618 -10 *2609:7 *26775:A 1.02936e-05 -11 *26103:B *26756:A 7.83659e-05 -12 *26516:A2 *2609:7 5.52238e-05 -13 *26516:B1 *2609:7 2.61099e-05 -14 *26516:C1 *2609:7 8.25843e-06 -15 *26516:D1 *2609:7 3.63775e-05 -16 *26670:B1 *2609:7 7.02611e-05 -17 *26673:A *26672:B 0.000280458 -18 *26673:A *2609:7 0 -19 *26673:C *2609:7 7.34839e-05 -20 *26673:D *2609:7 0.000135028 -21 *26755:A2 *2609:7 0.000233124 -22 *2019:115 *26756:A 0.000417005 -23 *2024:95 *2609:7 7.10316e-05 -24 *2089:29 *26672:B 2.59355e-05 -*RES -1 *26670:X *2609:7 24.5321 -2 *2609:7 *26756:A 26.2464 -3 *2609:7 *26672:B 13.8536 -*END - -*D_NET *2610 0.00168294 -*CONN -*I *26672:C I *D sky130_fd_sc_hd__or3_1 -*I *26671:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26672:C 0.000377708 -2 *26671:X 0.000377708 -3 *26672:C *26727:B 0.00026353 -4 *26672:C *26728:D 9.25014e-06 -5 *26672:C *26791:C1 3.25078e-05 -6 *26672:C *3581:38 0.000150263 -7 *26671:A *26672:C 0.000365674 -8 *1518:15 *26672:C 0.000100494 -9 *2608:26 *26672:C 5.80706e-06 -*RES -1 *26671:X *26672:C 35.7786 -*END - -*D_NET *2611 0.000679008 -*CONN -*I *26675:A2 I *D sky130_fd_sc_hd__o21a_1 -*I *26672:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *26675:A2 0.00020385 -2 *26672:X 0.00020385 -3 *26675:A2 *3581:38 0.000114577 -4 *1883:94 *26675:A2 7.83659e-05 -5 *2576:17 *26675:A2 7.83659e-05 -*RES -1 *26672:X *26675:A2 30.8143 -*END - -*D_NET *2612 0.00124166 -*CONN -*I *26775:A I *D sky130_fd_sc_hd__or4_1 -*I *26673:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26775:A 0.000291858 -2 *26673:X 0.000291858 -3 *26775:A *26775:C 3.51442e-05 -4 *26672:B *26775:A 0.000135028 -5 *26673:C *26775:A 0.000218679 -6 *2008:12 *26775:A 1.18064e-05 -7 *2566:6 *26775:A 0.000175519 -8 *2595:42 *26775:A 7.14727e-05 -9 *2609:7 *26775:A 1.02936e-05 -*RES -1 *26673:X *26775:A 33.6714 -*END - -*D_NET *2613 0.00818249 -*CONN -*I *26675:B1 I *D sky130_fd_sc_hd__o21a_1 -*I *26754:D_N I *D sky130_fd_sc_hd__or4b_1 -*I *26674:X O *D sky130_fd_sc_hd__and3_1 -*CAP -1 *26675:B1 0.00110295 -2 *26754:D_N 0.00034672 -3 *26674:X 0.000299852 -4 *2613:13 0.00174953 -5 *26675:B1 *26658:B2 1.21289e-05 -6 *26675:B1 *4350:87 0.000290993 -7 *26675:B1 *5250:29 0.000155307 -8 *26754:D_N *2692:11 0.000301438 -9 *2613:13 *3581:33 0.00039229 -10 *2613:13 *5697:23 0.000388153 -11 *26497:B *2613:13 5.33005e-05 -12 *26588:A *26675:B1 0.000487721 -13 *26658:A3 *26675:B1 9.90367e-05 -14 *26658:B1 *26675:B1 0.000657905 -15 *26674:C *2613:13 1.58163e-05 -16 *26675:A1 *26675:B1 0.000175892 -17 *26754:A *26754:D_N 7.64598e-05 -18 *26754:C *26754:D_N 5.33005e-05 -19 *28402:D *26675:B1 4.47762e-05 -20 *1884:56 *26675:B1 0.00127175 -21 *2100:28 *26675:B1 0.00020112 -22 *2223:13 *26754:D_N 6.05161e-06 -*RES -1 *26674:X *2613:13 26.2107 -2 *2613:13 *26754:D_N 15.175 -3 *2613:13 *26675:B1 47.3893 -*END - -*D_NET *2614 0.00344938 -*CONN -*I *26728:A I *D sky130_fd_sc_hd__or4_1 -*I *26675:X O *D sky130_fd_sc_hd__o21a_1 -*CAP -1 *26728:A 0.000757302 -2 *26675:X 0.000757302 -3 *26728:A *26728:B 1.58163e-05 -4 *26728:A *26728:D 5.52302e-05 -5 *26728:A *26791:A1 5.63043e-05 -6 *26728:A *26791:A2 1.58163e-05 -7 *26728:A *26791:B1 1.92789e-05 -8 *26728:A *2674:17 0.00056787 -9 *26695:B *26728:A 4.58835e-05 -10 *1518:15 *26728:A 0.000122509 -11 *2022:39 *26728:A 0.000876203 -12 *2544:26 *26728:A 9.25014e-06 -13 *2576:26 *26728:A 0.000150618 -*RES -1 *26675:X *26728:A 44.85 -*END - -*D_NET *2615 0.00568311 -*CONN -*I *26677:C_N I *D sky130_fd_sc_hd__or3b_1 -*I *26676:X O *D sky130_fd_sc_hd__o22a_1 -*CAP -1 *26677:C_N 0 -2 *26676:X 0.00178423 -3 *2615:10 0.00178423 -4 *2615:10 *26676:A2 2.05612e-05 -5 *2615:10 *4508:65 0.000300412 -6 *2615:10 *5673:299 0.00129518 -7 *26018:B *2615:10 0.000225609 -8 *26676:A1 *2615:10 2.14658e-05 -9 *1902:36 *2615:10 0.000125717 -10 *1906:20 *2615:10 0.000125717 -*RES -1 *26676:X *2615:10 42.2464 -2 *2615:10 *26677:C_N 9.3 -*END - -*D_NET *2616 0.00863462 -*CONN -*I *26691:A I *D sky130_fd_sc_hd__nor3_1 -*I *26789:B_N I *D sky130_fd_sc_hd__and4bb_1 -*I *26677:X O *D sky130_fd_sc_hd__or3b_1 -*CAP -1 *26691:A 0.00142608 -2 *26789:B_N 0.000112201 -3 *26677:X 0.000172127 -4 *2616:8 0.00171041 -5 *26691:A *26691:C 0.000196939 -6 *26691:A *26693:A 5.50052e-05 -7 *26691:A *2618:11 5.10039e-05 -8 *26691:A *2726:10 0.00151908 -9 *26691:A *6291:186 0.000178913 -10 *26789:B_N *6291:186 0.000205852 -11 *2616:8 *4475:34 1.7532e-05 -12 *26126:B *2616:8 9.94484e-05 -13 *26555:A *26691:A 6.47254e-05 -14 *26567:A2 *26691:A 0.00172692 -15 *1950:181 *26691:A 0.00063004 -16 *1986:96 *26691:A 0.000301094 -17 *2154:143 *26789:B_N 2.06178e-05 -18 *2154:143 *2616:8 8.76501e-05 -19 *2240:42 *26691:A 2.79167e-05 -20 *2507:10 *26789:B_N 2.18087e-05 -21 *2507:21 *2616:8 9.25014e-06 -*RES -1 *26677:X *2616:8 21.1296 -2 *2616:8 *26789:B_N 17.8221 -3 *2616:8 *26691:A 48.4317 -*END - -*D_NET *2617 0.00161874 -*CONN -*I *26786:C I *D sky130_fd_sc_hd__nor4_1 -*I *26679:D I *D sky130_fd_sc_hd__or4_1 -*I *26678:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26786:C 1.88267e-05 -2 *26679:D 0.00035412 -3 *26678:Y 0.000112734 -4 *2617:5 0.00048568 -5 *26679:D *26786:D 9.69119e-06 -6 *26679:D *2723:13 0.000165549 -7 *2617:5 *26786:D 9.90431e-05 -8 *2617:5 *2723:7 1.39726e-05 -9 *26490:B *26679:D 0 -10 *26678:A *2617:5 2.89114e-05 -11 *26786:A *26679:D 0 -12 *26786:B *26679:D 0 -13 *26786:B *26786:C 5.52302e-05 -14 *26786:B *2617:5 0.000181796 -15 *30639:A *26679:D 0 -16 *1942:7 *2617:5 9.31893e-05 -*RES -1 *26678:Y *2617:5 12.7107 -2 *2617:5 *26679:D 25.4071 -3 *2617:5 *26786:C 9.83571 -*END - -*D_NET *2618 0.00565486 -*CONN -*I *26691:B I *D sky130_fd_sc_hd__nor3_1 -*I *26679:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26691:B 0 -2 *26679:X 0.000938503 -3 *2618:11 0.000938503 -4 *2618:11 *26693:A 1.62025e-05 -5 *2618:11 *2688:17 1.50181e-05 -6 *2618:11 *2723:13 0 -7 *2618:11 *5673:309 0.000145657 -8 *26147:B *2618:11 0.000171375 -9 *26150:B *2618:11 0.000220448 -10 *26467:A *2618:11 0.00122583 -11 *26490:B *2618:11 0.00038741 -12 *26490:D *2618:11 0.000153047 -13 *26565:A2 *2618:11 0.000677531 -14 *26691:A *2618:11 5.10039e-05 -15 *1935:169 *2618:11 9.41642e-05 -16 *1950:181 *2618:11 0.000139338 -17 *1985:29 *2618:11 3.71496e-05 -18 *2012:17 *2618:11 0.000123317 -19 *2092:13 *2618:11 0.000240484 -20 *2505:11 *2618:11 7.98741e-05 -*RES -1 *26679:X *2618:11 48.9607 -2 *2618:11 *26691:B 9.3 -*END - -*D_NET *2619 0.00142295 -*CONN -*I *26681:B1 I *D sky130_fd_sc_hd__o311a_1 -*I *26680:X O *D sky130_fd_sc_hd__o211a_1 -*CAP -1 *26681:B1 0.000391096 -2 *26680:X 0.000391096 -3 *26127:C *26681:B1 1.14483e-05 -4 *26680:A2 *26681:B1 0.000180195 -5 *26680:B1 *26681:B1 1.0484e-05 -6 *1902:36 *26681:B1 0.000264114 -7 *1942:35 *26681:B1 3.50949e-06 -8 *2154:143 *26681:B1 0.000171011 -*RES -1 *26680:X *26681:B1 34.5643 -*END - -*D_NET *2620 0.00776377 -*CONN -*I *26686:B I *D sky130_fd_sc_hd__nand4_1 -*I *26747:B I *D sky130_fd_sc_hd__and3_1 -*I *26681:X O *D sky130_fd_sc_hd__o311a_1 -*CAP -1 *26686:B 0.000779679 -2 *26747:B 4.94172e-05 -3 *26681:X 0.000675879 -4 *2620:13 0.00150498 -5 *26686:B *26686:D 9.41642e-05 -6 *26686:B *26751:C 0.000120693 -7 *26686:B *26790:A2 2.18827e-05 -8 *26686:B *2685:11 0.000295245 -9 *26686:B *2689:17 3.01979e-05 -10 *26686:B *5782:183 5.65581e-05 -11 *2620:13 *26470:A 0.000113021 -12 *26470:C *2620:13 0.000219711 -13 *26563:A *2620:13 0.000107766 -14 *26583:B *26686:B 0.000100366 -15 *26584:A *26686:B 6.00145e-05 -16 *26584:C_N *26686:B 0.000145154 -17 *26747:A *26686:B 0.00022785 -18 *26747:A *2620:13 9.34563e-05 -19 *26751:B *26686:B 9.91086e-05 -20 *1188:54 *2620:13 0.000212153 -21 *1906:20 *2620:13 4.43142e-05 -22 *1934:22 *2620:13 0.00069769 -23 *1984:16 *2620:13 5.24274e-05 -24 *2025:114 *2620:13 3.14078e-05 -25 *2040:127 *26686:B 2.04825e-05 -26 *2054:8 *26686:B 2.89016e-05 -27 *2068:16 *2620:13 1.02504e-05 -28 *2159:118 *2620:13 0.00132229 -29 *2240:42 *2620:13 6.57914e-05 -30 *2411:21 *2620:13 0.000470798 -31 *2522:21 *26686:B 1.21258e-05 -*RES -1 *26681:X *2620:13 40.7062 -2 *2620:13 *26747:B 10.1973 -3 *2620:13 *26686:B 34.5902 -*END - -*D_NET *2621 0.00488053 -*CONN -*I *26683:C I *D sky130_fd_sc_hd__nor3_1 -*I *26682:X O *D sky130_fd_sc_hd__o21a_1 -*CAP -1 *26683:C 0 -2 *26682:X 0.000894234 -3 *2621:14 0.000894234 -4 *2621:14 *26685:B 0.000122309 -5 *2621:14 *26783:A 2.59355e-05 -6 *2621:14 *2622:8 7.40571e-05 -7 *2621:14 *2623:5 5.21937e-05 -8 *2621:14 *2687:17 0.000123288 -9 *26112:B *2621:14 1.98839e-05 -10 *26470:D *2621:14 0.000177815 -11 *26582:A1 *2621:14 0.000182694 -12 *26582:A2 *2621:14 4.30586e-05 -13 *26582:B1 *2621:14 5.33005e-05 -14 *26582:C1 *2621:14 0.000262498 -15 *26682:A1 *2621:14 4.16984e-05 -16 *26682:B1 *2621:14 9.60875e-05 -17 *26684:B *2621:14 0.000175892 -18 *26751:B *2621:14 0.00142153 -19 *1986:96 *2621:14 2.06112e-05 -20 *2019:41 *2621:14 0.000164481 -21 *2040:127 *2621:14 1.90111e-05 -22 *2086:10 *2621:14 9.96717e-06 -23 *2522:11 *2621:14 5.74499e-06 -*RES -1 *26682:X *2621:14 40.7464 -2 *2621:14 *26683:C 9.3 -*END - -*D_NET *2622 0.00262125 -*CONN -*I *26686:C I *D sky130_fd_sc_hd__nand4_1 -*I *26783:A I *D sky130_fd_sc_hd__nand3_1 -*I *26683:Y O *D sky130_fd_sc_hd__nor3_1 -*CAP -1 *26686:C 0.000169059 -2 *26783:A 0.000224459 -3 *26683:Y 9.82309e-05 -4 *2622:8 0.000491748 -5 *26686:C *26686:D 0.000195775 -6 *26686:C *26790:A2 3.97677e-05 -7 *26686:C *2687:17 1.18064e-05 -8 *26783:A *26685:B 0.00013214 -9 *26783:A *26783:B 4.65783e-05 -10 *26783:A *26783:C 8.471e-05 -11 *2622:8 *2687:17 2.49484e-05 -12 *2622:8 *2689:17 5.33005e-05 -13 *26686:A *26686:C 0.000137983 -14 *1986:96 *26686:C 0.000174201 -15 *2086:10 *2622:8 0.000109779 -16 *2086:16 *26686:C 1.53472e-05 -17 *2086:16 *2622:8 7.05143e-06 -18 *2514:17 *26686:C 0.000186647 -19 *2514:17 *26783:A 0.000305291 -20 *2522:21 *26686:C 1.24368e-05 -21 *2621:14 *26783:A 2.59355e-05 -22 *2621:14 *2622:8 7.40571e-05 -*RES -1 *26683:Y *2622:8 15.9786 -2 *2622:8 *26783:A 19.55 -3 *2622:8 *26686:C 18.8357 -*END - -*D_NET *2623 0.00335857 -*CONN -*I *26685:B I *D sky130_fd_sc_hd__nor2_1 -*I *26751:C I *D sky130_fd_sc_hd__nor3_1 -*I *26684:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *26685:B 0.000411412 -2 *26751:C 0.00011154 -3 *26684:X 7.42676e-05 -4 *2623:5 0.00059722 -5 *26685:B *26783:B 1.74352e-05 -6 *26685:B *26784:C 5.52238e-05 -7 *26685:B *5673:309 0.000164919 -8 *26751:C *2689:17 1.30909e-05 -9 *26584:B *26685:B 0.000507686 -10 *26584:C_N *26751:C 5.83233e-05 -11 *26686:B *26751:C 0.000120693 -12 *26751:B *26751:C 6.8646e-06 -13 *26783:A *26685:B 0.00013214 -14 *1996:25 *26751:C 0.000218012 -15 *2012:17 *26685:B 7.90193e-05 -16 *2040:127 *26685:B 0.000136958 -17 *2040:127 *2623:5 0.000175892 -18 *2514:17 *26685:B 0.000303368 -19 *2621:14 *26685:B 0.000122309 -20 *2621:14 *2623:5 5.21937e-05 -*RES -1 *26684:X *2623:5 11.0679 -2 *2623:5 *26751:C 21.6929 -3 *2623:5 *26685:B 29.3 -*END - -*D_NET *2624 0.00170702 -*CONN -*I *26686:D I *D sky130_fd_sc_hd__nand4_1 -*I *26685:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26686:D 0.000571421 -2 *26685:Y 0.000571421 -3 *26686:D *26790:A2 2.40107e-05 -4 *26686:D *2723:13 1.95435e-05 -5 *26686:B *26686:D 9.41642e-05 -6 *26686:C *26686:D 0.000195775 -7 *1941:50 *26686:D 0.000210806 -8 *2522:21 *26686:D 1.98839e-05 -*RES -1 *26685:Y *26686:D 28.0643 -*END - -*D_NET *2625 0.000339982 -*CONN -*I *26690:A I *D sky130_fd_sc_hd__or3_1 -*I *26686:Y O *D sky130_fd_sc_hd__nand4_1 -*CAP -1 *26690:A 4.73324e-05 -2 *26686:Y 4.73324e-05 -3 *2021:61 *26690:A 0.000121897 -4 *2086:16 *26690:A 0.00012342 -*RES -1 *26686:Y *26690:A 29.2429 -*END - -*D_NET *2626 0.00103241 -*CONN -*I *26688:D I *D sky130_fd_sc_hd__or4_1 -*I *26687:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *26688:D 0.000168313 -2 *26687:Y 0.000168313 -3 *26473:A1 *26688:D 0 -4 *1942:14 *26688:D 8.06427e-05 -5 *2391:9 *26688:D 0.000399848 -6 *2511:25 *26688:D 0.00021529 -*RES -1 *26687:Y *26688:D 33.3679 -*END - -*D_NET *2627 0.00510101 -*CONN -*I *26749:A I *D sky130_fd_sc_hd__nor2_1 -*I *26690:B I *D sky130_fd_sc_hd__or3_1 -*I *26688:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26749:A 0.000263711 -2 *26690:B 0.00111169 -3 *26688:X 0 -4 *2627:5 0.0013754 -5 *26690:B *5007:48 9.73346e-05 -6 *26749:A *2687:10 5.52302e-05 -7 *26749:A *5007:48 8.58946e-05 -8 *26749:A *5544:15 0.000107997 -9 *26148:B *26690:B 2.21972e-05 -10 *26154:B *26690:B 0.000348154 -11 *26333:A1 *26690:B 5.8779e-05 -12 *26333:A1 *26749:A 2.50463e-05 -13 *26488:A *26749:A 8.16036e-05 -14 *26688:B *26690:B 0.000149896 -15 *1188:40 *26690:B 0.00022197 -16 *1930:17 *26690:B 1.94945e-05 -17 *1931:51 *26690:B 0.000102007 -18 *2054:8 *26690:B 0.00039392 -19 *2054:38 *26690:B 0.000134516 -20 *2392:10 *26690:B 0.000446169 -*RES -1 *26688:X *2627:5 13.8 -2 *2627:5 *26690:B 38.4964 -3 *2627:5 *26749:A 20.0857 -*END - -*D_NET *2628 0.00712568 -*CONN -*I *26690:C I *D sky130_fd_sc_hd__or3_1 -*I *26804:B_N I *D sky130_fd_sc_hd__and4bb_1 -*I *26689:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26690:C 0.000510813 -2 *26804:B_N 2.66504e-05 -3 *26689:X 0.000872756 -4 *2628:8 0.00141022 -5 *26804:B_N *26804:D 9.71197e-05 -6 *26804:B_N *2726:11 0.00014285 -7 *2628:8 *26804:D 1.08359e-05 -8 *26112:A *26690:C 1.41029e-05 -9 *26154:B *26690:C 1.03904e-05 -10 *26475:B1 *2628:8 0.000307349 -11 *26689:A *2628:8 0.000304394 -12 *1931:51 *26690:C 0.000469764 -13 *1942:169 *26804:B_N 2.87485e-05 -14 *1942:169 *2628:8 0.00041304 -15 *2029:50 *26690:C 0.000258216 -16 *2032:277 *2628:8 0.000301438 -17 *2053:7 *26690:C 5.33005e-05 -18 *2053:21 *26690:C 0.000862902 -19 *2053:21 *2628:8 0.000460398 -20 *2054:8 *26690:C 0.00050777 -21 *2506:12 *26690:C 6.26177e-05 -22 *2506:12 *2628:8 0 -*RES -1 *26689:X *2628:8 31.3179 -2 *2628:8 *26804:B_N 15.1571 -3 *2628:8 *26690:C 30.1036 -*END - -*D_NET *2629 0.00119045 -*CONN -*I *26691:C I *D sky130_fd_sc_hd__nor3_1 -*I *26690:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *26691:C 0.00017298 -2 *26690:X 0.00017298 -3 *26691:A *26691:C 0.000196939 -4 *1950:181 *26691:C 0.000388044 -5 *1986:96 *26691:C 7.74068e-05 -6 *2086:16 *26691:C 0.000147921 -7 *2514:17 *26691:C 3.41848e-05 -*RES -1 *26690:X *26691:C 33.3679 -*END - -*D_NET *2630 0.0034007 -*CONN -*I *26693:A I *D sky130_fd_sc_hd__nor2_1 -*I *26691:Y O *D sky130_fd_sc_hd__nor3_1 -*CAP -1 *26693:A 0.000761007 -2 *26691:Y 0.000761007 -3 *26693:A *26575:A 0.000539619 -4 *26691:A *26693:A 5.50052e-05 -5 *1950:181 *26693:A 0.000199891 -6 *1997:31 *26693:A 0.00017739 -7 *2089:20 *26693:A 0.000890579 -8 *2618:11 *26693:A 1.62025e-05 -*RES -1 *26691:Y *26693:A 44.3143 -*END - -*D_NET *2631 0.00496983 -*CONN -*I *26693:B I *D sky130_fd_sc_hd__nor2_1 -*I *26784:A I *D sky130_fd_sc_hd__or3_1 -*I *26753:B1 I *D sky130_fd_sc_hd__a21oi_2 -*I *26692:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26693:B 0 -2 *26784:A 0.000238043 -3 *26753:B1 0.000213358 -4 *26692:X 0.000790986 -5 *2631:23 0.000260428 -6 *2631:9 0.00102673 -7 *26753:B1 *26753:A2 0.000156061 -8 *26753:B1 *2632:10 3.88168e-05 -9 *26784:A *26784:C 0.000149722 -10 *26784:A *2688:17 2.14658e-05 -11 *2631:9 *2632:10 4.0342e-06 -12 *2631:23 *26752:C 0 -13 *26227:B *26753:B1 2.14658e-05 -14 *26492:B *2631:9 1.65169e-05 -15 *26492:C *26784:A 0.000383643 -16 *26492:D *2631:9 0.0001399 -17 *26493:A2 *2631:9 0.00018077 -18 *26576:B *2631:9 0.000130416 -19 *26576:C *2631:9 5.52238e-05 -20 *26692:A *2631:9 6.91157e-05 -21 *26782:C *26784:A 0.000268278 -22 *1862:22 *26753:B1 3.14163e-05 -23 *1930:40 *2631:9 0.000175519 -24 *2047:155 *26753:B1 2.49388e-05 -25 *2089:20 *26784:A 0.000214977 -26 *2252:12 *26753:B1 0.000148911 -27 *2282:26 *2631:9 0.00010269 -28 *2409:6 *2631:9 0.000106403 -*RES -1 *26692:X *2631:9 32.9964 -2 *2631:9 *26753:B1 22.9607 -3 *2631:9 *2631:23 0.428571 -4 *2631:23 *26784:A 25.4071 -5 *2631:23 *26693:B 9.3 -*END - -*D_NET *2632 0.0215101 -*CONN -*I *26728:B I *D sky130_fd_sc_hd__or4_1 -*I *26693:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26728:B 0.000784816 -2 *26693:Y 0.000987945 -3 *2632:12 0.00291349 -4 *2632:10 0.00311662 -5 *26728:B *26728:D 7.48301e-06 -6 *26728:B *26791:B1 0.000824891 -7 *2632:10 *26752:C 0.000467842 -8 *2632:10 *2687:17 0.000102545 -9 *2632:12 *5723:75 0.00027095 -10 *2632:12 *5782:149 0.000175445 -11 *2632:12 *5782:164 6.05538e-05 -12 *26102:A *2632:12 0 -13 *26362:A *2632:12 5.21676e-05 -14 *26669:A *2632:12 0.000215116 -15 *26728:A *26728:B 1.58163e-05 -16 *26736:A *2632:12 3.53432e-05 -17 *26753:B1 *2632:10 3.88168e-05 -18 *26758:A *2632:12 1.03403e-05 -19 *26773:D1 *2632:12 5.86416e-05 -20 *1518:15 *26728:B 0.000337598 -21 *1986:96 *2632:10 8.74335e-05 -22 *1986:96 *2632:12 8.06952e-05 -23 *1996:58 *2632:12 4.43046e-05 -24 *1996:75 *2632:12 0.000753636 -25 *2012:20 *2632:12 0 -26 *2021:8 *2632:12 5.41794e-05 -27 *2021:10 *2632:12 0.00390405 -28 *2022:20 *2632:12 0.000215455 -29 *2022:39 *26728:B 0.00176242 -30 *2022:39 *2632:12 1.43864e-05 -31 *2022:101 *2632:10 0.000658556 -32 *2022:101 *2632:12 0.00114257 -33 *2056:28 *2632:10 0.000703222 -34 *2056:28 *2632:12 0.000185252 -35 *2308:18 *2632:12 1.20729e-05 -36 *2460:8 *2632:12 0.00085388 -37 *2544:14 *26728:B 0.000417014 -38 *2544:14 *2632:12 1.21955e-05 -39 *2544:26 *26728:B 0.000128312 -40 *2631:9 *2632:10 4.0342e-06 -*RES -1 *26693:Y *2632:10 34.1661 -2 *2632:10 *2632:12 66.9107 -3 *2632:12 *26728:B 38.4339 -*END - -*D_NET *2633 0.00288853 -*CONN -*I *26712:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *26735:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *26694:Y O *D sky130_fd_sc_hd__nor3_1 -*CAP -1 *26712:B1 0 -2 *26735:B1 0.000415644 -3 *26694:Y 0.000460796 -4 *2633:11 0.00087644 -5 *26735:B1 *26712:A1 1.74352e-05 -6 *2633:11 *26712:B2 2.59355e-05 -7 *2633:11 *2735:8 0.000360823 -8 *26392:A2 *2633:11 0.000123288 -9 *26694:B *2633:11 4.70643e-05 -10 *26712:A2 *26735:B1 0.000142717 -11 *1883:105 *26735:B1 0.000290399 -12 *2019:115 *2633:11 0.00012799 -*RES -1 *26694:Y *2633:11 26.925 -2 *2633:11 *26735:B1 15.2107 -3 *2633:11 *26712:B1 9.3 -*END - -*D_NET *2634 0.00966917 -*CONN -*I *26780:A I *D sky130_fd_sc_hd__nor3_1 -*I *26695:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *26780:A 0 -2 *26695:X 0.00372937 -3 *2634:17 0.00372937 -4 *2634:17 *2717:12 2.51343e-06 -5 *26352:B *2634:17 0.000688862 -6 *26392:A1 *2634:17 6.05161e-06 -7 *26409:A1 *2634:17 0.000177194 -8 *26438:C *2634:17 7.65804e-05 -9 *26545:A2 *2634:17 2.09897e-05 -10 *26603:D *2634:17 1.94945e-05 -11 *26694:A *2634:17 8.57166e-06 -12 *26695:B *2634:17 9.60939e-05 -13 *26695:C *2634:17 0.000130053 -14 *1883:144 *2634:17 7.19623e-05 -15 *1953:122 *2634:17 0.000233752 -16 *2019:115 *2634:17 0.000185914 -17 *2020:28 *2634:17 1.94879e-05 -18 *2020:41 *2634:17 0.000174455 -19 *2111:69 *2634:17 6.4719e-05 -20 *2142:153 *2634:17 0.000233726 -*RES -1 *26695:X *2634:17 49.1294 -2 *2634:17 *26780:A 9.3 -*END - -*D_NET *2635 0.00486397 -*CONN -*I *26697:C_N I *D sky130_fd_sc_hd__or3b_1 -*I *26696:X O *D sky130_fd_sc_hd__a31o_1 -*CAP -1 *26697:C_N 0 -2 *26696:X 0.00110038 -3 *2635:11 0.00110038 -4 *2635:11 *5722:80 0 -5 *2635:11 *6169:44 1.27625e-05 -6 *2635:11 *6169:62 0.0011883 -7 *26105:A *2635:11 1.37595e-05 -8 *26267:A2 *2635:11 0.000114626 -9 *26269:B *2635:11 2.21639e-05 -10 *26544:C1 *2635:11 0 -11 *26696:A1 *2635:11 7.6644e-05 -12 *28967:A *2635:11 8.64929e-05 -13 *1393:90 *2635:11 0.000687785 -14 *1956:65 *2635:11 0.000222609 -15 *2043:58 *2635:11 6.05161e-06 -16 *2046:88 *2635:11 1.01912e-05 -17 *2131:63 *2635:11 7.57394e-05 -18 *2151:53 *2635:11 2.63443e-05 -19 *2162:17 *2635:11 0.000119738 -20 *2346:38 *2635:11 0 -*RES -1 *26696:X *2635:11 45.3357 -2 *2635:11 *26697:C_N 9.3 -*END - -*D_NET *2636 0.0152685 -*CONN -*I *26779:B I *D sky130_fd_sc_hd__or4b_1 -*I *26711:A I *D sky130_fd_sc_hd__or2_1 -*I *26697:X O *D sky130_fd_sc_hd__or3b_1 -*CAP -1 *26779:B 0.000359016 -2 *26711:A 0 -3 *26697:X 0.00126759 -4 *2636:28 0.00127095 -5 *2636:11 0.00107483 -6 *2636:8 0.00143049 -7 *26779:B *26700:B 2.84109e-05 -8 *26779:B *2716:11 0.00071143 -9 *26779:B *5664:139 0.000396864 -10 *2636:11 *26711:B 0.000135028 -11 *2636:28 *26709:B1 0.000195562 -12 *2636:28 *26733:C 0.000266964 -13 *2636:28 *26734:C 1.77672e-05 -14 *2636:28 *26802:A 0.000300137 -15 *26697:A *2636:8 0.000266024 -16 *26697:B *2636:8 5.49489e-05 -17 *26717:B1 *2636:11 6.05161e-06 -18 *26717:B1 *2636:28 3.97677e-05 -19 *26719:A *2636:8 6.12335e-05 -20 *373:12 *2636:28 0.000287251 -21 *2032:185 *2636:28 2.49484e-05 -22 *2049:10 *2636:8 0.000214379 -23 *2128:44 *2636:28 0.00080339 -24 *2142:26 *2636:8 0.000325647 -25 *2159:54 *2636:28 0.000402865 -26 *2187:122 *2636:8 0.00101887 -27 *2187:131 *2636:28 0.000410195 -28 *2194:30 *2636:11 6.26177e-05 -29 *2194:45 *2636:28 2.15383e-05 -30 *2196:14 *2636:8 1.88578e-05 -31 *2196:14 *2636:28 7.90803e-05 -32 *2196:35 *2636:8 0.00214482 -33 *2250:31 *2636:8 0.000778467 -34 *2485:24 *2636:28 0.000727677 -35 *2587:74 *2636:28 6.48008e-05 -*RES -1 *26697:X *2636:8 48.9607 -2 *2636:8 *2636:11 7.08929 -3 *2636:11 *26711:A 9.3 -4 *2636:11 *2636:28 44.3571 -5 *2636:28 *26779:B 18.3714 -*END - -*D_NET *2637 0.0035761 -*CONN -*I *26699:A2 I *D sky130_fd_sc_hd__o2111ai_4 -*I *26698:X O *D sky130_fd_sc_hd__o21a_1 -*CAP -1 *26699:A2 0.000516142 -2 *26698:X 0.000516142 -3 *26699:A2 *26269:A 5.33005e-05 -4 *26699:A2 *26535:A1 2.31791e-05 -5 *26699:A2 *5664:53 0.000977368 -6 *1935:25 *26699:A2 0.000899196 -7 *1944:43 *26699:A2 0.000135968 -8 *2043:58 *26699:A2 8.51637e-06 -9 *2155:42 *26699:A2 8.0859e-05 -10 *2226:38 *26699:A2 0 -11 *2578:12 *26699:A2 0.000365429 -*RES -1 *26698:X *26699:A2 45.725 -*END - -*D_NET *2638 0.014226 -*CONN -*I *26780:B I *D sky130_fd_sc_hd__nor3_1 -*I *26710:A I *D sky130_fd_sc_hd__or4_1 -*I *26699:Y O *D sky130_fd_sc_hd__o2111ai_4 -*CAP -1 *26780:B 0.000715108 -2 *26710:A 0.000413099 -3 *26699:Y 0.00128815 -4 *2638:14 0.00195662 -5 *2638:11 0.00211657 -6 *26710:A *26709:C1 4.87953e-05 -7 *26710:A *26710:C 3.83189e-05 -8 *26780:B *26709:B1 4.77351e-05 -9 *26780:B *26709:C1 6.42095e-05 -10 *2638:14 *5673:40 1.18451e-05 -11 *26241:A2 *2638:14 0.0014209 -12 *26642:A *2638:11 3.18747e-05 -13 *26642:B *2638:11 0.000242398 -14 *26699:C1 *2638:11 1.92789e-05 -15 *26709:A1 *26780:B 2.81932e-06 -16 *26709:A1 *2638:14 3.57844e-05 -17 *26709:A2 *26780:B 1.21258e-05 -18 *2047:23 *2638:14 0.000472541 -19 *2132:21 *2638:11 8.78754e-05 -20 *2142:26 *2638:14 0.000592283 -21 *2145:87 *26710:A 0.000202128 -22 *2151:31 *2638:11 6.96796e-05 -23 *2151:53 *2638:11 0.000219289 -24 *2161:54 *26710:A 0.000198698 -25 *2161:54 *2638:14 0.000433644 -26 *2183:11 *26710:A 6.76944e-05 -27 *2183:11 *2638:14 0.00122547 -28 *2471:9 *2638:14 0.00167109 -29 *2582:28 *2638:11 0.000178425 -30 *2592:11 *2638:11 0.000122269 -31 *2592:30 *2638:11 0.000219289 -*RES -1 *26699:Y *2638:11 33.7821 -2 *2638:11 *2638:14 39.8393 -3 *2638:14 *26710:A 25.6571 -4 *2638:14 *26780:B 17.1393 -*END - -*D_NET *2639 0.0110378 -*CONN -*I *26706:A2 I *D sky130_fd_sc_hd__o21bai_1 -*I *26704:A2 I *D sky130_fd_sc_hd__o21ba_1 -*I *26800:B1 I *D sky130_fd_sc_hd__o22a_1 -*I *26708:B1 I *D sky130_fd_sc_hd__o221ai_2 -*I *26776:B1 I *D sky130_fd_sc_hd__o22a_1 -*I *26732:B I *D sky130_fd_sc_hd__nor2_1 -*I *26702:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *26700:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *26706:A2 0.000413638 -2 *26704:A2 0.000328566 -3 *26800:B1 0.000207448 -4 *26708:B1 0 -5 *26776:B1 0.000144477 -6 *26732:B 1.56769e-05 -7 *26702:A2 0.000259695 -8 *26700:X 0.000283173 -9 *2639:36 0.000276817 -10 *2639:34 0.000390411 -11 *2639:31 0.000426796 -12 *2639:23 8.25372e-05 -13 *2639:11 0.000937011 -14 *2639:8 0.00135487 -15 *26702:A2 *26709:D1 3.63514e-05 -16 *26702:A2 *26733:D 0.000149904 -17 *26706:A2 *26707:D 7.64454e-05 -18 *26800:B1 *26801:C_N 2.12733e-05 -19 *2639:8 *26700:B 3.29284e-05 -20 *2639:11 *30988:A 0.000135028 -21 *26648:B *2639:11 0.000308595 -22 *26700:A *2639:8 2.59355e-05 -23 *26704:A1 *26704:A2 0.000152649 -24 *26704:A1 *2639:11 0.000466547 -25 *26704:A1 *2639:23 4.17433e-05 -26 *26704:A1 *2639:31 0.000122591 -27 *26704:B1_N *26704:A2 5.33005e-05 -28 *26732:A *26704:A2 1.85392e-05 -29 *26732:A *26732:B 1.04232e-05 -30 *26732:A *2639:31 5.33005e-05 -31 *26776:A1 *26776:B1 0.000177821 -32 *26776:B2 *26776:B1 0.000179745 -33 *26800:A2 *26800:B1 8.05362e-05 -34 *1935:37 *2639:8 6.05161e-06 -35 *2032:203 *2639:34 0.000139854 -36 *2032:203 *2639:36 0.000377579 -37 *2133:212 *26704:A2 0.000120369 -38 *2145:29 *26776:B1 2.05938e-05 -39 *2145:81 *26702:A2 0.000320271 -40 *2145:81 *26776:B1 1.5341e-05 -41 *2145:81 *2639:36 3.61589e-06 -42 *2159:54 *26704:A2 0.000136951 -43 *2159:54 *2639:31 8.43535e-06 -44 *2159:65 *2639:11 0.000110979 -45 *2159:65 *2639:23 1.58163e-05 -46 *2159:65 *2639:31 1.21258e-05 -47 *2161:89 *26800:B1 5.49995e-05 -48 *2179:27 *26706:A2 0.000215056 -49 *2179:27 *2639:8 0.000291637 -50 *2183:29 *26702:A2 0.000544691 -51 *2183:33 *26776:B1 3.92757e-05 -52 *2196:14 *26776:B1 0.00019256 -53 *2196:14 *2639:34 0.000148282 -54 *2196:14 *2639:36 0.000404058 -55 *2580:23 *26706:A2 0.000223592 -56 *2580:23 *2639:8 0.000288399 -57 *2587:45 *2639:11 8.25114e-05 -*RES -1 *26700:X *2639:8 19.7464 -2 *2639:8 *2639:11 16.5357 -3 *2639:11 *26702:A2 25.9429 -4 *2639:11 *2639:23 0.535714 -5 *2639:23 *26732:B 9.72857 -6 *2639:23 *2639:31 1.35714 -7 *2639:31 *2639:34 6.44643 -8 *2639:34 *2639:36 5.28571 -9 *2639:36 *26776:B1 18.1214 -10 *2639:36 *26708:B1 13.8 -11 *2639:34 *26800:B1 17.2107 -12 *2639:31 *26704:A2 15.925 -13 *2639:8 *26706:A2 21.7286 -*END - -*D_NET *2640 0.000577592 -*CONN -*I *26702:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26701:X O *D sky130_fd_sc_hd__o221a_1 -*CAP -1 *26702:B1 0.00011405 -2 *26701:X 0.00011405 -3 *26702:B1 *26709:D1 0.000125243 -4 *2190:80 *26702:B1 9.60939e-05 -5 *2250:31 *26702:B1 0.000128154 -*RES -1 *26701:X *26702:B1 30.1893 -*END - -*D_NET *2641 0.00203118 -*CONN -*I *26802:A I *D sky130_fd_sc_hd__or4_1 -*I *26710:B I *D sky130_fd_sc_hd__or4_1 -*I *26702:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *26802:A 0.000303055 -2 *26710:B 0.000110222 -3 *26702:Y 9.70715e-05 -4 *2641:5 0.000510348 -5 *26710:B *26709:B1 0.00028968 -6 *26802:A *26802:C 1.98839e-05 -7 *26802:A *26802:D 0.000127983 -8 *2032:185 *26802:A 9.99644e-06 -9 *2194:45 *26802:A 2.42516e-05 -10 *2196:14 *26802:A 6.12335e-05 -11 *2196:14 *2641:5 2.89114e-05 -12 *2250:31 *26710:B 0.000148402 -13 *2636:28 *26802:A 0.000300137 -*RES -1 *26702:Y *2641:5 10.6571 -2 *2641:5 *26710:B 22.0679 -3 *2641:5 *26802:A 15.1929 -*END - -*D_NET *2642 0.00266322 -*CONN -*I *26730:C I *D sky130_fd_sc_hd__or4b_1 -*I *26710:C I *D sky130_fd_sc_hd__or4_1 -*I *26703:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26730:C 9.13583e-05 -2 *26710:C 0.00026515 -3 *26703:X 4.40253e-05 -4 *2642:6 0.000400534 -5 *26710:A *26710:C 3.83189e-05 -6 *26730:A *26730:C 0.000216755 -7 *2145:87 *26710:C 0.00034993 -8 *2145:87 *2642:6 0.000150625 -9 *2161:54 *26710:C 8.50971e-06 -10 *2183:11 *26710:C 0.000219074 -11 *2183:29 *26710:C 9.58126e-05 -12 *2194:30 *26730:C 0.000257619 -13 *2489:10 *26710:C 0.000384132 -14 *2489:10 *2642:6 0.000141375 -*RES -1 *26703:X *2642:6 15.7464 -2 *2642:6 *26710:C 21.7821 -3 *2642:6 *26730:C 16.3893 -*END - -*D_NET *2643 0.00115832 -*CONN -*I *26705:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26704:X O *D sky130_fd_sc_hd__o21ba_1 -*CAP -1 *26705:B1 0.00018575 -2 *26704:X 0.00018575 -3 *26705:B1 *26734:C 3.17148e-05 -4 *26705:B1 *26781:A1 5.33005e-05 -5 *26705:B1 *2671:6 0.000125724 -6 *26705:B1 *2716:11 0.000105033 -7 *26733:A *26705:B1 0.000151972 -8 *373:12 *26705:B1 9.01505e-05 -9 *2128:41 *26705:B1 1.98839e-05 -10 *2161:82 *26705:B1 6.60111e-05 -11 *2161:89 *26705:B1 0.00010326 -12 *2190:58 *26705:B1 3.97677e-05 -*RES -1 *26704:X *26705:B1 33.3321 -*END - -*D_NET *2644 0.00262306 -*CONN -*I *26709:B1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26733:C I *D sky130_fd_sc_hd__or4_1 -*I *26705:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *26709:B1 0.000307925 -2 *26733:C 0.000109651 -3 *26705:Y 4.70928e-05 -4 *2644:7 0.000464669 -5 *26709:B1 *26711:B 0.000148189 -6 *26705:A2 *26733:C 7.55769e-05 -7 *26709:A1 *26709:B1 3.17562e-05 -8 *26709:A2 *26709:B1 8.50152e-05 -9 *26710:B *26709:B1 0.00028968 -10 *26780:B *26709:B1 4.77351e-05 -11 *2032:203 *26709:B1 6.81083e-05 -12 *2032:203 *26733:C 8.99513e-05 -13 *2190:58 *2644:7 2.59355e-05 -14 *2196:14 *26709:B1 0.000221396 -15 *2196:14 *26733:C 1.21637e-05 -16 *2250:31 *26709:B1 5.73244e-05 -17 *2587:74 *26733:C 7.83587e-05 -18 *2636:28 *26709:B1 0.000195562 -19 *2636:28 *26733:C 0.000266964 -*RES -1 *26705:Y *2644:7 14.3357 -2 *2644:7 *26733:C 17.2643 -3 *2644:7 *26709:B1 23.9786 -*END - -*D_NET *2645 0.00149322 -*CONN -*I *26707:D I *D sky130_fd_sc_hd__or4_1 -*I *26706:Y O *D sky130_fd_sc_hd__o21bai_1 -*CAP -1 *26707:D 0.000369643 -2 *26706:Y 0.000369643 -3 *26706:A2 *26707:D 7.64454e-05 -4 *26707:C *26707:D 4.38621e-05 -5 *1935:37 *26707:D 1.32511e-05 -6 *2131:72 *26707:D 0.000342488 -7 *2349:13 *26707:D 6.94399e-06 -8 *2581:6 *26707:D 0.000270943 -*RES -1 *26706:Y *26707:D 34.975 -*END - -*D_NET *2646 0.00377058 -*CONN -*I *26709:C1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26731:B I *D sky130_fd_sc_hd__or4b_1 -*I *26707:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26709:C1 0.000844462 -2 *26731:B 0 -3 *26707:X 0.000397947 -4 *2646:15 0.00124241 -5 *26709:C1 *26709:D1 9.41642e-05 -6 *26709:C1 *26710:D 1.21258e-05 -7 *2646:15 *5573:21 9.41642e-05 -8 *26643:C *26709:C1 0 -9 *26654:D_N *26709:C1 2.47675e-05 -10 *26709:A1 *26709:C1 3.69047e-06 -11 *26710:A *26709:C1 4.87953e-05 -12 *26731:A *26709:C1 3.23614e-05 -13 *26731:A *2646:15 4.50349e-06 -14 *26731:C *26709:C1 6.31012e-05 -15 *26780:B *26709:C1 6.42095e-05 -16 *1393:110 *26709:C1 8.83221e-05 -17 *2147:74 *26709:C1 0.000392856 -18 *2177:41 *26709:C1 0.000100697 -19 *2580:23 *26709:C1 0 -20 *2580:23 *2646:15 0.000262 -*RES -1 *26707:X *2646:15 24.7286 -2 *2646:15 *26731:B 9.3 -3 *2646:15 *26709:C1 33.925 -*END - -*D_NET *2647 0.00549168 -*CONN -*I *26709:D1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26777:B I *D sky130_fd_sc_hd__or3b_1 -*I *26708:Y O *D sky130_fd_sc_hd__o221ai_2 -*CAP -1 *26709:D1 0.000618158 -2 *26777:B 0.000310322 -3 *26708:Y 9.36036e-05 -4 *2647:8 0.00102208 -5 *26709:D1 *26710:D 4.58194e-05 -6 *26709:D1 *26733:D 0.000148189 -7 *26777:B *2716:11 0.000339346 -8 *26777:B *5664:139 1.0484e-05 -9 *26701:A1 *26709:D1 6.8194e-05 -10 *26702:A2 *26709:D1 3.63514e-05 -11 *26702:B1 *26709:D1 0.000125243 -12 *26708:B2 *2647:8 5.33005e-05 -13 *26709:C1 *26709:D1 9.41642e-05 -14 *2032:203 *26709:D1 0.000470832 -15 *2032:203 *2647:8 0.000268584 -16 *2145:81 *26709:D1 0.000951509 -17 *2145:81 *2647:8 0.000215108 -18 *2161:54 *26709:D1 0.000223108 -19 *2183:11 *26709:D1 0.000171375 -20 *2196:14 *26709:D1 4.038e-06 -21 *2250:31 *26709:D1 0.00022187 -*RES -1 *26708:Y *2647:8 17.1929 -2 *2647:8 *26777:B 17.6214 -3 *2647:8 *26709:D1 34.05 -*END - -*D_NET *2648 0.000556078 -*CONN -*I *26710:D I *D sky130_fd_sc_hd__or4_1 -*I *26709:X O *D sky130_fd_sc_hd__a2111o_1 -*CAP -1 *26710:D 0.000171699 -2 *26709:X 0.000171699 -3 *26709:A1 *26710:D 9.25014e-06 -4 *26709:C1 *26710:D 1.21258e-05 -5 *26709:D1 *26710:D 4.58194e-05 -6 *2183:11 *26710:D 0.000100823 -7 *2250:31 *26710:D 4.46618e-05 -*RES -1 *26709:X *26710:D 30.2964 -*END - -*D_NET *2649 0.00118008 -*CONN -*I *26711:B I *D sky130_fd_sc_hd__or2_1 -*I *26710:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26711:B 0.000169844 -2 *26710:X 0.000169844 -3 *26709:A2 *26711:B 1.77259e-05 -4 *26709:B1 *26711:B 0.000148189 -5 *2194:30 *26711:B 0.000135028 -6 *2196:14 *26711:B 0.000337637 -7 *2250:31 *26711:B 6.67824e-05 -8 *2636:11 *26711:B 0.000135028 -*RES -1 *26710:X *26711:B 33.3321 -*END - -*D_NET *2650 0.00960365 -*CONN -*I *26712:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *26711:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26712:B2 0.000858558 -2 *26711:X 0.00185865 -3 *2650:8 0.00271721 -4 *26712:B2 *26712:A1 1.3701e-05 -5 *2650:8 *26724:D 1.39726e-05 -6 *2650:8 *2656:11 7.49387e-06 -7 *2650:8 *2661:26 0.000519489 -8 *2650:8 *2668:8 9.41642e-05 -9 *2650:8 *2680:17 0.000180764 -10 *26391:A1 *26712:B2 0.000201665 -11 *26391:A2 *26712:B2 5.33005e-05 -12 *26392:C1 *2650:8 0.00123882 -13 *26392:D1 *26712:B2 0.000305895 -14 *26608:B *2650:8 4.25716e-05 -15 *26717:A1 *2650:8 2.42516e-05 -16 *26717:B1 *2650:8 0.000806574 -17 *1953:111 *26712:B2 0.000362476 -18 *1989:44 *2650:8 5.30373e-05 -19 *2104:118 *2650:8 5.33978e-05 -20 *2302:37 *26712:B2 0.000137983 -21 *2313:19 *2650:8 7.79781e-06 -22 *2317:7 *26712:B2 2.59355e-05 -23 *2633:11 *26712:B2 2.59355e-05 -*RES -1 *26711:X *2650:8 47.1929 -2 *2650:8 *26712:B2 29.6929 -*END - -*D_NET *2651 0.00108175 -*CONN -*I *26728:C I *D sky130_fd_sc_hd__or4_1 -*I *26712:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *26728:C 0.000206491 -2 *26712:X 0.000206491 -3 *26728:C *26712:A1 7.61932e-05 -4 *26728:C *2728:12 0.000314465 -5 *26695:B *26728:C 0.000244635 -6 *2544:30 *26728:C 3.34783e-05 -*RES -1 *26712:X *26728:C 33.0286 -*END - -*D_NET *2652 0.000509234 -*CONN -*I *26714:C_N I *D sky130_fd_sc_hd__or3b_1 -*I *26713:X O *D sky130_fd_sc_hd__o22a_1 -*CAP -1 *26714:C_N 6.57895e-05 -2 *26713:X 6.57895e-05 -3 *26714:C_N *6357:19 0.000150043 -4 *26614:A_N *26714:C_N 2.59355e-05 -5 *2178:36 *26714:C_N 5.33005e-05 -6 *2345:8 *26714:C_N 0.000148376 -*RES -1 *26713:X *26714:C_N 30.0821 -*END - -*D_NET *2653 0.0029423 -*CONN -*I *26716:C I *D sky130_fd_sc_hd__or4_1 -*I *26794:B I *D sky130_fd_sc_hd__or4b_1 -*I *26714:X O *D sky130_fd_sc_hd__or3b_1 -*CAP -1 *26716:C 0.000124492 -2 *26794:B 0.000129926 -3 *26714:X 0.000249773 -4 *2653:6 0.000504191 -5 *26716:C *26716:D 0.000125453 -6 *26794:B *26770:C 4.58194e-05 -7 *26794:B *5664:260 0.00012401 -8 *2653:6 *5664:260 0.000333999 -9 *26612:A *2653:6 3.33175e-05 -10 *26613:B_N *26716:C 0.000110029 -11 *26716:A *26716:C 5.52238e-05 -12 *2005:84 *2653:6 0.000137102 -13 *2005:92 *2653:6 0.000217256 -14 *2201:23 *26794:B 0.00012401 -15 *2201:23 *2653:6 0.000622152 -16 *2548:12 *2653:6 5.54817e-06 -*RES -1 *26714:X *2653:6 23.0321 -2 *2653:6 *26794:B 16.3893 -3 *2653:6 *26716:C 16.8 -*END - -*D_NET *2654 0.00729309 -*CONN -*I *26740:C1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26716:D I *D sky130_fd_sc_hd__or4_1 -*I *26715:X O *D sky130_fd_sc_hd__a2111o_1 -*CAP -1 *26740:C1 0.000459189 -2 *26716:D 0.000872922 -3 *26715:X 0.000976392 -4 *2654:10 0.0023085 -5 *2654:10 *3658:10 0.00171184 -6 *2654:10 *5545:28 0 -7 *2654:10 *5545:30 0 -8 *26413:B2 *2654:10 6.39434e-05 -9 *26441:D_N *2654:10 0.000389015 -10 *26613:B_N *26716:D 3.30337e-05 -11 *26715:A1 *2654:10 1.21289e-05 -12 *26715:A2 *2654:10 5.17614e-05 -13 *26715:D1 *2654:10 0.000139907 -14 *26716:A *26716:D 5.33005e-05 -15 *26716:C *26716:D 0.000125453 -16 *29891:A *2654:10 0 -17 *2006:36 *26740:C1 9.56968e-05 -18 *2022:56 *26740:C1 0 -*RES -1 *26715:X *2654:10 44.5143 -2 *2654:10 *26716:D 21.3357 -3 *2654:10 *26740:C1 25.6036 -*END - -*D_NET *2655 0.0061814 -*CONN -*I *26726:A I *D sky130_fd_sc_hd__nor2_1 -*I *26716:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26726:A 0 -2 *26716:X 0.000798257 -3 *2655:8 0.000798257 -4 *2655:8 *5664:255 0.000805047 -5 *2655:8 *5664:313 5.66157e-05 -6 *26608:C *2655:8 0.000377102 -7 *26612:A *2655:8 0.000633487 -8 *26713:B1 *2655:8 0.000192789 -9 *26714:A *2655:8 0.00099224 -10 *2201:23 *2655:8 4.43046e-05 -11 *2345:8 *2655:8 4.98055e-06 -12 *2363:6 *2655:8 0.000755047 -13 *2365:6 *2655:8 0.000674252 -14 *2548:12 *2655:8 4.9018e-05 -*RES -1 *26716:X *2655:8 49.0857 -2 *2655:8 *26726:A 9.3 -*END - -*D_NET *2656 0.00306599 -*CONN -*I *26738:C I *D sky130_fd_sc_hd__or4_1 -*I *26725:A I *D sky130_fd_sc_hd__or4_1 -*I *26717:X O *D sky130_fd_sc_hd__a211o_1 -*CAP -1 *26738:C 0.00016883 -2 *26725:A 0 -3 *26717:X 0.000671423 -4 *2656:11 0.000840253 -5 *26738:C *26725:C 0.000223558 -6 *26738:C *26726:B 6.87574e-05 -7 *26738:C *2675:9 5.71472e-05 -8 *2656:11 *26724:D 0.000135028 -9 *2656:11 *26725:B 3.32631e-05 -10 *2656:11 *26725:C 5.71472e-05 -11 *2656:11 *26725:D 9.41642e-05 -12 *2656:11 *2661:26 5.30637e-06 -13 *26603:D *2656:11 0.000125731 -14 *26717:A1 *2656:11 0.000178847 -15 *26717:A2 *2656:11 2.28598e-05 -16 *26717:B1 *2656:11 1.02821e-05 -17 *26724:A *2656:11 0.000154845 -18 *26738:B *2656:11 1.39841e-05 -19 *1989:44 *2656:11 0.000132934 -20 *2141:144 *2656:11 5.33005e-05 -21 *2536:25 *2656:11 1.08359e-05 -22 *2650:8 *2656:11 7.49387e-06 -*RES -1 *26717:X *2656:11 28.8179 -2 *2656:11 *26725:A 9.3 -3 *2656:11 *26738:C 13.1393 -*END - -*D_NET *2657 0.000784513 -*CONN -*I *26719:D I *D sky130_fd_sc_hd__or4_1 -*I *26718:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26719:D 0.000214562 -2 *26718:Y 0.000214562 -3 *26719:D *4189:60 5.33882e-05 -4 *26718:B *26719:D 2.28499e-05 -5 *26721:A2 *26719:D 0.000175892 -6 *2348:8 *26719:D 0.00010326 -*RES -1 *26718:Y *26719:D 31.1179 -*END - -*D_NET *2658 0.00494258 -*CONN -*I *26769:B I *D sky130_fd_sc_hd__or3b_1 -*I *26725:B I *D sky130_fd_sc_hd__or4_1 -*I *26719:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26769:B 0 -2 *26725:B 0.000802388 -3 *26719:X 0.000211229 -4 *2658:9 0.00101362 -5 *26725:B *2680:17 0.00113291 -6 *2658:9 *26769:C_N 0.000259798 -7 *26592:A *26725:B 1.58163e-05 -8 *26602:C1 *26725:B 0.000193118 -9 *26718:A *2658:9 0.00012501 -10 *26724:A *26725:B 2.47753e-05 -11 *2110:26 *26725:B 8.59455e-05 -12 *2110:26 *2658:9 0.000123103 -13 *2136:82 *26725:B 0.000728759 -14 *2155:106 *2658:9 0.000136951 -15 *2541:8 *26725:B 5.58941e-05 -16 *2656:11 *26725:B 3.32631e-05 -*RES -1 *26719:X *2658:9 23.1214 -2 *2658:9 *26725:B 37.6393 -3 *2658:9 *26769:B 9.3 -*END - -*D_NET *2659 0.00218572 -*CONN -*I *26721:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *26720:X O *D sky130_fd_sc_hd__o31a_1 -*CAP -1 *26721:B1 0.000482178 -2 *26720:X 0.000482178 -3 *26721:B1 *2660:23 7.95487e-05 -4 *26435:D1 *26721:B1 0.000502177 -5 *26500:B *26721:B1 7.83587e-05 -6 *1952:39 *26721:B1 0.000125731 -7 *1952:51 *26721:B1 2.04825e-05 -8 *2111:69 *26721:B1 0.000113064 -9 *2301:9 *26721:B1 0.000153054 -10 *2315:8 *26721:B1 0.000112895 -11 *2315:18 *26721:B1 3.60533e-05 -12 *2545:8 *26721:B1 0 -*RES -1 *26720:X *26721:B1 39.9036 -*END - -*D_NET *2660 0.0105368 -*CONN -*I *26736:D I *D sky130_fd_sc_hd__or4_1 -*I *26725:C I *D sky130_fd_sc_hd__or4_1 -*I *26721:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *26736:D 0 -2 *26725:C 0.000635033 -3 *26721:X 3.92213e-05 -4 *2660:23 0.00227283 -5 *2660:7 0.00294709 -6 *26725:C *26726:B 0.000137983 -7 *2660:23 *26791:B1 0.000200406 -8 *26414:A2 *26725:C 6.94775e-05 -9 *26422:B2 *2660:7 5.52302e-05 -10 *26435:C1 *26725:C 4.97121e-06 -11 *26435:D1 *26725:C 0 -12 *26435:D1 *2660:23 0 -13 *26618:A *2660:23 0.000178847 -14 *26621:B *2660:23 2.59355e-05 -15 *26626:A *2660:23 2.59355e-05 -16 *26721:B1 *2660:23 7.95487e-05 -17 *26738:A *26725:C 0 -18 *26738:B *26725:C 6.71657e-05 -19 *26738:C *26725:C 0.000223558 -20 *2007:13 *2660:23 0.000342302 -21 *2104:80 *2660:23 0.00107139 -22 *2146:38 *26725:C 1.0562e-05 -23 *2376:15 *26725:C 0.000883495 -24 *2544:14 *2660:23 0.000200406 -25 *2545:8 *26725:C 0.000649774 -26 *2545:8 *2660:23 0.000149652 -27 *2557:44 *2660:23 2.14757e-05 -28 *2557:58 *2660:23 0.000187344 -29 *2656:11 *26725:C 5.71472e-05 -*RES -1 *26721:X *2660:7 14.3357 -2 *2660:7 *26725:C 32.6036 -3 *2660:7 *2660:23 49.125 -4 *2660:23 *26736:D 13.8 -*END - -*D_NET *2661 0.00851568 -*CONN -*I *26724:C I *D sky130_fd_sc_hd__or4_1 -*I *26766:B I *D sky130_fd_sc_hd__or3b_1 -*I *26722:Y O *D sky130_fd_sc_hd__o211ai_1 -*CAP -1 *26724:C 0 -2 *26766:B 0 -3 *26722:Y 0.000157637 -4 *2661:26 0.00126403 -5 *2661:8 0.00142167 -6 *2661:8 *2677:23 0.000138881 -7 *2661:26 *2706:14 0 -8 *26428:A3 *2661:26 0.00052537 -9 *26438:B *2661:26 0.000190547 -10 *26603:A *2661:8 7.22594e-05 -11 *26603:A *2661:26 0.000823345 -12 *26606:A *2661:8 0.000199706 -13 *26606:A *2661:26 0.000702516 -14 *26724:A *2661:26 5.52238e-05 -15 *26793:B1 *2661:26 5.36136e-05 -16 *2149:87 *2661:26 0.000401917 -17 *2294:12 *2661:26 0.00154309 -18 *2294:27 *2661:26 0.000236331 -19 *2376:15 *2661:26 7.6588e-05 -20 *2529:8 *2661:8 0.000128154 -21 *2650:8 *2661:26 0.000519489 -22 *2656:11 *2661:26 5.30637e-06 -*RES -1 *26722:Y *2661:8 17.7107 -2 *2661:8 *26766:B 13.8 -3 *2661:8 *2661:26 46.5179 -4 *2661:26 *26724:C 9.3 -*END - -*D_NET *2662 0.0118731 -*CONN -*I *26724:D I *D sky130_fd_sc_hd__or4_1 -*I *26723:X O *D sky130_fd_sc_hd__a311o_1 -*CAP -1 *26724:D 4.22693e-05 -2 *26723:X 0.000303454 -3 *2662:14 0.00142768 -4 *2662:13 0.00168886 -5 *26268:A *2662:13 0.000105471 -6 *26410:A2 *2662:14 0.000539819 -7 *26421:A *2662:14 5.7616e-05 -8 *26434:D *2662:14 7.25296e-05 -9 *26545:A2 *2662:14 0.000742127 -10 *26723:A2 *2662:13 0.000122591 -11 *1862:28 *2662:14 0.00385848 -12 *1945:54 *2662:13 1.24368e-05 -13 *1989:35 *2662:14 0.000302431 -14 *1989:44 *26724:D 9.60875e-05 -15 *1989:44 *2662:14 6.82922e-05 -16 *2098:8 *2662:13 0.000218679 -17 *2104:36 *2662:14 5.67594e-05 -18 *2104:44 *2662:14 0.000190984 -19 *2110:18 *2662:13 0.000360816 -20 *2125:48 *2662:14 0.000954396 -21 *2133:141 *2662:14 0.000105682 -22 *2139:30 *2662:13 0.000361809 -23 *2362:8 *2662:14 3.48235e-05 -24 *2650:8 *26724:D 1.39726e-05 -25 *2656:11 *26724:D 0.000135028 -*RES -1 *26723:X *2662:13 31.0143 -2 *2662:13 *2662:14 50.2143 -3 *2662:14 *26724:D 15.1571 -*END - -*D_NET *2663 0.000445437 -*CONN -*I *26725:D I *D sky130_fd_sc_hd__or4_1 -*I *26724:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26725:D 9.73126e-05 -2 *26724:X 9.73126e-05 -3 *26603:B *26725:D 0.00010326 -4 *2155:117 *26725:D 5.33882e-05 -5 *2656:11 *26725:D 9.41642e-05 -*RES -1 *26724:X *26725:D 29.8857 -*END - -*D_NET *2664 0.00137985 -*CONN -*I *26726:B I *D sky130_fd_sc_hd__nor2_1 -*I *26725:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26726:B 0.000339321 -2 *26725:X 0.000339321 -3 *26726:B *2665:10 7.43578e-06 -4 *26726:B *2675:9 4.8843e-05 -5 *26726:B *2676:11 0.000216552 -6 *26725:C *26726:B 0.000137983 -7 *26738:B *26726:B 0.000221641 -8 *26738:C *26726:B 6.87574e-05 -*RES -1 *26725:X *26726:B 26.1536 -*END - -*D_NET *2665 0.00650242 -*CONN -*I *26727:B I *D sky130_fd_sc_hd__nor2_1 -*I *26726:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26727:B 0.00114403 -2 *26726:Y 0.000675322 -3 *2665:10 0.00181935 -4 *26727:B *2674:17 0.000135028 -5 *2665:10 *2676:11 0.00030432 -6 *26367:B *26727:B 0.000351149 -7 *26389:D1 *2665:10 5.33005e-05 -8 *26501:A1 *26727:B 4.08637e-05 -9 *26504:A1 *26727:B 0.000136951 -10 *26504:B1 *26727:B 0.000137983 -11 *26608:B *2665:10 0.000315172 -12 *26656:A2 *2665:10 0 -13 *26671:A *26727:B 0.000303368 -14 *26671:C *26727:B 8.7267e-05 -15 *26671:D *26727:B 0.000138881 -16 *26672:C *26727:B 0.00026353 -17 *26726:B *2665:10 7.43578e-06 -18 *2016:105 *26727:B 0.000356851 -19 *2313:19 *2665:10 0 -20 *2318:20 *2665:10 9.71197e-05 -21 *2534:11 *26727:B 7.27549e-05 -22 *2595:54 *26727:B 6.17358e-05 -*RES -1 *26726:Y *2665:10 31.1214 -2 *2665:10 *26727:B 30.8 -*END - -*D_NET *2666 0.0016289 -*CONN -*I *26728:D I *D sky130_fd_sc_hd__or4_1 -*I *26727:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26728:D 0.000380109 -2 *26727:Y 0.000380109 -3 *26728:D *26791:C1 0.000122956 -4 *26728:D *28233:D 4.75969e-05 -5 *26728:D *3581:38 0.000190146 -6 *26672:C *26728:D 9.25014e-06 -7 *26694:B *26728:D 2.79421e-05 -8 *26728:A *26728:D 5.52302e-05 -9 *26728:B *26728:D 7.48301e-06 -10 *28403:D *26728:D 0.000311378 -11 *2544:26 *26728:D 9.66977e-05 -*RES -1 *26727:Y *26728:D 37.3321 -*END - -*D_NET *2667 0.00389319 -*CONN -*I *26730:D_N I *D sky130_fd_sc_hd__or4b_1 -*I *26729:X O *D sky130_fd_sc_hd__o221a_1 -*CAP -1 *26730:D_N 0 -2 *26729:X 0.00103068 -3 *2667:11 0.00103068 -4 *2667:11 *30992:A 8.22793e-06 -5 *2667:11 *3510:90 8.62639e-05 -6 *2667:11 *6169:62 0 -7 *2667:11 *6169:82 0.000669822 -8 *26654:A *2667:11 0.000123728 -9 *26654:D_N *2667:11 0 -10 *26730:B *2667:11 0.000361507 -11 *2047:23 *2667:11 0.000140815 -12 *2177:25 *2667:11 4.30573e-05 -13 *2190:104 *2667:11 4.1342e-05 -14 *2190:122 *2667:11 0.000338767 -15 *2194:30 *2667:11 1.83053e-05 -16 *2349:30 *2667:11 0 -*RES -1 *26729:X *2667:11 40.9607 -2 *2667:11 *26730:D_N 9.3 -*END - -*D_NET *2668 0.00342799 -*CONN -*I *26780:C I *D sky130_fd_sc_hd__nor3_1 -*I *26734:A I *D sky130_fd_sc_hd__or3_1 -*I *26730:X O *D sky130_fd_sc_hd__or4b_1 -*CAP -1 *26780:C 0 -2 *26734:A 0.000165156 -3 *26730:X 0.000854204 -4 *2668:8 0.00101936 -5 *26734:A *26802:B 5.4826e-05 -6 *26734:A *2717:12 8.26428e-05 -7 *26717:B1 *2668:8 3.37161e-05 -8 *373:32 *26734:A 0.000202409 -9 *373:32 *2668:8 0.000134425 -10 *2194:30 *2668:8 0.000482499 -11 *2485:24 *26734:A 0.000164227 -12 *2485:24 *2668:8 0.000140361 -13 *2650:8 *2668:8 9.41642e-05 -*RES -1 *26730:X *2668:8 25.3179 -2 *2668:8 *26734:A 19.1393 -3 *2668:8 *26780:C 13.8 -*END - -*D_NET *2669 0.00527785 -*CONN -*I *26734:B I *D sky130_fd_sc_hd__or3_1 -*I *26802:B I *D sky130_fd_sc_hd__or4_1 -*I *26731:X O *D sky130_fd_sc_hd__or4b_1 -*CAP -1 *26734:B 0 -2 *26802:B 0.00012974 -3 *26731:X 0.00140876 -4 *2669:11 0.0015385 -5 *26802:B *2717:12 7.69988e-05 -6 *26731:A *2669:11 0.00039047 -7 *26734:A *26802:B 5.4826e-05 -8 *1871:43 *2669:11 0.000924909 -9 *2157:141 *26802:B 2.06178e-05 -10 *2194:45 *26802:B 3.34295e-05 -11 *2471:14 *2669:11 0.000194949 -12 *2485:24 *26802:B 0.000308389 -13 *2489:10 *2669:11 0.000196269 -*RES -1 *26731:X *2669:11 37.5321 -2 *2669:11 *26802:B 22.3714 -3 *2669:11 *26734:B 9.3 -*END - -*D_NET *2670 0.000874505 -*CONN -*I *26733:D I *D sky130_fd_sc_hd__or4_1 -*I *26732:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26733:D 0.000123336 -2 *26732:Y 0.000123336 -3 *26206:B *26733:D 0.000219711 -4 *26650:A2 *26733:D 0.000110029 -5 *26702:A2 *26733:D 0.000149904 -6 *26709:D1 *26733:D 0.000148189 -*RES -1 *26732:Y *26733:D 31.725 -*END - -*D_NET *2671 0.00222403 -*CONN -*I *26734:C I *D sky130_fd_sc_hd__or3_1 -*I *26781:A1 I *D sky130_fd_sc_hd__o31a_1 -*I *26733:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26734:C 0.00029679 -2 *26781:A1 6.1055e-05 -3 *26733:X 4.4099e-05 -4 *2671:6 0.000401944 -5 *26734:C *2716:11 4.56437e-05 -6 *26781:A1 *26781:A3 2.12005e-05 -7 *26781:A1 *2716:11 6.66848e-05 -8 *2671:6 *2716:11 0.00012416 -9 *26705:B1 *26734:C 3.17148e-05 -10 *26705:B1 *26781:A1 5.33005e-05 -11 *26705:B1 *2671:6 0.000125724 -12 *373:12 *26734:C 1.09026e-05 -13 *1871:43 *26734:C 0.000125355 -14 *2032:185 *26734:C 0.000247771 -15 *2128:41 *26734:C 0.00031588 -16 *2128:44 *26734:C 1.17968e-05 -17 *2190:58 *26781:A1 0.000222244 -18 *2636:28 *26734:C 1.77672e-05 -*RES -1 *26733:X *2671:6 15.4429 -2 *2671:6 *26781:A1 15.9786 -3 *2671:6 *26734:C 21.3714 -*END - -*D_NET *2672 0.0103577 -*CONN -*I *26735:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *26734:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *26735:B2 0.00012633 -2 *26734:X 8.21494e-05 -3 *2672:19 0.00252247 -4 *2672:10 0.00247829 -5 *26735:B2 *26712:A1 0.000267565 -6 *2672:19 *26415:B1 0.000395391 -7 *26354:B *2672:19 0.00011828 -8 *26370:B *2672:19 7.48301e-06 -9 *26415:A2 *2672:19 1.74352e-05 -10 *26415:B2 *2672:19 0.000145609 -11 *26427:A2 *2672:19 2.24079e-05 -12 *26428:A2 *2672:19 0.000229456 -13 *26428:A3 *2672:19 0.000546671 -14 *26428:B1 *2672:19 0.000393943 -15 *26437:C *2672:19 0.000180777 -16 *26712:A2 *26735:B2 5.33005e-05 -17 *1771:29 *2672:10 9.8958e-05 -18 *1871:24 *2672:19 0.0011409 -19 *1871:43 *2672:10 5.52302e-05 -20 *1883:105 *26735:B2 0.000224168 -21 *1883:119 *26735:B2 0.000198691 -22 *1953:130 *2672:19 7.02611e-05 -23 *2007:21 *2672:19 9.31209e-05 -24 *2022:39 *26735:B2 1.08359e-05 -25 *2136:89 *2672:19 5.74499e-06 -26 *2142:153 *2672:19 0.000330202 -27 *2149:87 *2672:19 4.87854e-05 -28 *2165:14 *2672:10 0.000100673 -29 *2187:131 *2672:19 0.00016968 -30 *2544:30 *26735:B2 0.000222878 -*RES -1 *26734:X *2672:10 20.175 -2 *2672:10 *2672:19 47.2321 -3 *2672:19 *26735:B2 23.7464 -*END - -*D_NET *2673 0.00123942 -*CONN -*I *26763:A I *D sky130_fd_sc_hd__or4_1 -*I *26735:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *26763:A 0.000146081 -2 *26735:X 0.000146081 -3 *26763:A *25767:A1 0.000337637 -4 *26763:A *26763:C 0.000135028 -5 *26763:A *2700:9 0.000136958 -6 *2554:17 *26763:A 0.000337637 -*RES -1 *26735:X *26763:A 33.3321 -*END - -*D_NET *2674 0.00962535 -*CONN -*I *26745:A_N I *D sky130_fd_sc_hd__and2b_1 -*I *26764:B I *D sky130_fd_sc_hd__or3b_1 -*I *26736:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26745:A_N 0.000145047 -2 *26764:B 0.000104152 -3 *26736:X 0.000876731 -4 *2674:22 0.000992248 -5 *2674:17 0.00161978 -6 *26764:B *2732:11 5.77037e-05 -7 *2674:17 *26761:B 1.53439e-05 -8 *2674:17 *2728:12 0.000101775 -9 *2674:17 *2734:16 0.00020212 -10 *2674:22 *26807:C 4.57445e-05 -11 *2674:22 *2718:19 0.00015234 -12 *2674:22 *2728:12 0.00168802 -13 *2674:22 *2732:11 4.62664e-05 -14 *2674:22 *2735:8 0.00103217 -15 *26103:B *2674:17 7.6644e-05 -16 *26727:B *2674:17 0.000135028 -17 *26728:A *2674:17 0.00056787 -18 *26736:A *2674:17 2.59355e-05 -19 *26736:C *2674:17 3.82357e-05 -20 *26756:A *2674:17 0.000252826 -21 *26792:A2 *2674:22 0.000409088 -22 *2020:28 *2674:22 0 -23 *2022:39 *2674:17 0.000481063 -24 *2544:30 *2674:22 0 -25 *2554:11 *26745:A_N 0.000313165 -26 *2576:26 *2674:17 0.000246057 -*RES -1 *26736:X *2674:17 42.425 -2 *2674:17 *2674:22 26.2857 -3 *2674:22 *26764:B 16.05 -4 *2674:22 *26745:A_N 16.8 -*END - -*D_NET *2675 0.0048573 -*CONN -*I *26738:D I *D sky130_fd_sc_hd__or4_1 -*I *26737:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *26738:D 0 -2 *26737:Y 0.000674129 -3 *2675:9 0.000674129 -4 *2675:9 *2706:13 5.31158e-05 -5 *2675:9 *2706:14 0.00116481 -6 *26435:D1 *2675:9 0.000251251 -7 *26726:B *2675:9 4.8843e-05 -8 *26738:A *2675:9 0.0010993 -9 *26738:C *2675:9 5.71472e-05 -10 *2111:69 *2675:9 0.000334938 -11 *2315:18 *2675:9 0.000499635 -12 *2376:15 *2675:9 0 -*RES -1 *26737:Y *2675:9 41.7464 -2 *2675:9 *26738:D 9.3 -*END - -*D_NET *2676 0.011141 -*CONN -*I *26795:A I *D sky130_fd_sc_hd__nor2_1 -*I *26744:A I *D sky130_fd_sc_hd__or3b_1 -*I *26738:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26795:A 0.000303158 -2 *26744:A 0 -3 *26738:X 0.000627466 -4 *2676:15 0.00168696 -5 *2676:11 0.00201127 -6 *26795:A *2708:10 4.18541e-05 -7 *26795:A *3688:69 0 -8 *26795:A *5709:107 0 -9 *2676:15 *5545:22 0.000180914 -10 *2676:15 *5545:28 0.00149994 -11 *26389:D1 *2676:11 8.60735e-05 -12 *26428:B1 *2676:15 0.00024222 -13 *26439:D_N *2676:15 6.35117e-05 -14 *26441:B *2676:15 0.000951616 -15 *26441:D_N *2676:15 0.000163688 -16 *26726:B *2676:11 0.000216552 -17 *1938:75 *2676:11 1.24368e-05 -18 *1953:122 *2676:15 0.00015894 -19 *2005:69 *2676:15 3.17148e-05 -20 *2005:72 *2676:11 0.00112212 -21 *2312:19 *2676:15 0.000290264 -22 *2313:19 *2676:15 0 -23 *2483:45 *26795:A 0.000163703 -24 *2483:45 *2676:15 0.000613081 -25 *2544:30 *26795:A 0.000369196 -26 *2665:10 *2676:11 0.00030432 -*RES -1 *26738:X *2676:11 29.675 -2 *2676:11 *2676:15 42.6607 -3 *2676:15 *26744:A 9.3 -4 *2676:15 *26795:A 25.9786 -*END - -*D_NET *2677 0.00721361 -*CONN -*I *26740:D1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26739:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26740:D1 0.00060434 -2 *26739:X 0.00105919 -3 *2677:23 0.00166353 -4 *26740:D1 *26770:C 0.000692401 -5 *26740:D1 *26794:D_N 9.60875e-05 -6 *26740:D1 *2706:17 9.31893e-05 -7 *26740:D1 *2730:13 0.00136039 -8 *2677:23 *6357:19 0.000430612 -9 *26531:A *2677:23 3.79467e-05 -10 *26531:C *2677:23 0.000548428 -11 *26722:A1 *2677:23 0.000300176 -12 *26794:A *26740:D1 0.000135028 -13 *2142:119 *2677:23 5.33978e-05 -14 *2315:29 *2677:23 0 -15 *2661:8 *2677:23 0.000138881 -*RES -1 *26739:X *2677:23 49.0321 -2 *2677:23 *26740:D1 26.6929 -*END - -*D_NET *2678 0.00311504 -*CONN -*I *26744:B I *D sky130_fd_sc_hd__or3b_1 -*I *26796:A I *D sky130_fd_sc_hd__nor4_1 -*I *26770:A I *D sky130_fd_sc_hd__or3_1 -*I *26740:X O *D sky130_fd_sc_hd__a2111o_1 -*CAP -1 *26744:B 0.000194016 -2 *26796:A 0 -3 *26770:A 1.99857e-05 -4 *26740:X 0.000365108 -5 *2678:16 0.000369409 -6 *2678:5 0.000560487 -7 *26744:B *26771:B 0 -8 *26744:B *26796:B 0 -9 *26744:B *2701:6 0 -10 *26744:B *2730:13 1.02504e-05 -11 *26744:B *2732:11 0.000145584 -12 *26770:A *26770:C 1.04232e-05 -13 *2678:5 *26770:B 5.33005e-05 -14 *2678:5 *26770:C 0.000815415 -15 *2678:5 *2730:13 1.15281e-05 -16 *2678:16 *26771:B 9.90367e-05 -17 *2678:16 *2730:13 0.000179626 -18 *2678:16 *5839:63 5.33433e-05 -19 *26740:B1 *2678:5 0.000227526 -20 *2020:28 *26744:B 0 -21 *2020:28 *2678:16 0 -*RES -1 *26740:X *2678:5 17.6393 -2 *2678:5 *26770:A 9.72857 -3 *2678:5 *2678:16 8.625 -4 *2678:16 *26796:A 13.8 -5 *2678:16 *26744:B 18.175 -*END - -*D_NET *2679 0.00370374 -*CONN -*I *26742:D1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26741:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *26742:D1 0.000764093 -2 *26741:X 0.000764093 -3 *26742:D1 *3510:21 5.17614e-05 -4 *26599:B1 *26742:D1 0.000127243 -5 *26662:A3 *26742:D1 0.00056097 -6 *1940:32 *26742:D1 2.07158e-05 -7 *2031:81 *26742:D1 0.00141486 -8 *2250:64 *26742:D1 0 -*RES -1 *26741:X *26742:D1 47.1893 -*END - -*D_NET *2680 0.0191349 -*CONN -*I *26743:C I *D sky130_fd_sc_hd__nor3_1 -*I *26742:X O *D sky130_fd_sc_hd__a2111o_1 -*CAP -1 *26743:C 0.00122033 -2 *26742:X 0.00114383 -3 *2680:17 0.00205638 -4 *2680:10 0.00197988 -5 *2680:10 *26598:A2 0.000170062 -6 *26196:A2 *2680:10 0.000219711 -7 *26409:A2 *2680:17 5.83233e-05 -8 *26410:B1 *2680:17 9.41918e-05 -9 *26421:B *2680:10 0.000266099 -10 *26540:A *26743:C 0.000405727 -11 *26545:A2 *26743:C 0.000898679 -12 *26591:A2 *2680:10 6.92626e-05 -13 *26592:A *2680:17 0.000222163 -14 *26603:D *26743:C 0.000152641 -15 *26606:B *26743:C 0.000306311 -16 *26606:C *26743:C 5.33005e-05 -17 *26725:B *2680:17 0.00113291 -18 *26743:B *26743:C 1.04232e-05 -19 *26768:B1 *2680:10 5.41797e-06 -20 *26768:B1 *2680:17 0.000182608 -21 *1862:28 *26743:C 0.00205416 -22 *1862:28 *2680:10 0.000499451 -23 *1989:44 *2680:17 0.000178847 -24 *2060:40 *2680:17 7.90803e-05 -25 *2110:18 *2680:10 0.00167621 -26 *2110:26 *2680:10 9.42203e-05 -27 *2110:26 *2680:17 0.000273878 -28 *2125:30 *2680:10 0.00110121 -29 *2125:34 *2680:10 7.79859e-05 -30 *2136:68 *2680:10 4.53834e-05 -31 *2136:68 *2680:17 1.27529e-05 -32 *2136:82 *2680:17 0.000108031 -33 *2178:36 *26743:C 0 -34 *2187:145 *26743:C 0 -35 *2190:29 *26743:C 3.97677e-05 -36 *2486:21 *26743:C 0.000150625 -37 *2531:6 *2680:17 0.00180676 -38 *2541:8 *2680:17 3.25078e-05 -39 *2546:5 *26743:C 7.49499e-05 -40 *2650:8 *2680:17 0.000180764 -*RES -1 *26742:X *2680:10 47.7554 -2 *2680:10 *2680:17 39.8839 -3 *2680:17 *26743:C 49.925 -*END - -*D_NET *2681 0.00669583 -*CONN -*I *26764:C_N I *D sky130_fd_sc_hd__or3b_1 -*I *26744:C_N I *D sky130_fd_sc_hd__or3b_1 -*I *26743:Y O *D sky130_fd_sc_hd__nor3_1 -*CAP -1 *26764:C_N 7.89284e-05 -2 *26744:C_N 0 -3 *26743:Y 0.00198934 -4 *2681:8 0.00206827 -5 *26764:C_N *2732:11 0.000172877 -6 *2681:8 *2732:11 0.000149588 -7 *26606:B *2681:8 0.00186949 -8 *26764:A *26764:C_N 5.33005e-05 -9 *2020:28 *26764:C_N 0.000164455 -10 *2020:28 *2681:8 0.000149588 -*RES -1 *26743:Y *2681:8 40.1036 -2 *2681:8 *26744:C_N 13.8 -3 *2681:8 *26764:C_N 16.5857 -*END - -*D_NET *2682 0.00158502 -*CONN -*I *26745:B I *D sky130_fd_sc_hd__and2b_1 -*I *26744:X O *D sky130_fd_sc_hd__or3b_1 -*CAP -1 *26745:B 0.000322754 -2 *26744:X 0.000322754 -3 *26745:B *2708:10 0.000293268 -4 *26745:B *5709:107 0.000293268 -5 *2483:45 *26745:B 0.000352975 -*RES -1 *26744:X *26745:B 34.7786 -*END - -*D_NET *2683 0.00180856 -*CONN -*I *26763:B I *D sky130_fd_sc_hd__or4_1 -*I *26745:X O *D sky130_fd_sc_hd__and2b_1 -*CAP -1 *26763:B 0.00044692 -2 *26745:X 0.00044692 -3 *26763:B *28405:CLK 0.000175519 -4 *26763:B *28886:A 4.38511e-05 -5 *26763:B *29059:A 2.3704e-05 -6 *26763:B *2708:10 0.000217362 -7 *26763:B *3553:26 0 -8 *26763:B *3581:45 4.7024e-05 -9 *26763:B *5709:107 0.000200413 -10 *28404:D *26763:B 8.06427e-05 -11 *1518:15 *26763:B 0.000126206 -*RES -1 *26745:X *26763:B 39.8679 -*END - -*D_NET *2684 0.00544048 -*CONN -*I *26747:C I *D sky130_fd_sc_hd__and3_1 -*I *26746:X O *D sky130_fd_sc_hd__o211a_1 -*CAP -1 *26747:C 0 -2 *26746:X 0.00170147 -3 *2684:11 0.00170147 -4 *26563:A *2684:11 0.000121573 -5 *26746:A1 *2684:11 7.48444e-05 -6 *26746:B1 *2684:11 3.6287e-05 -7 *26746:C1 *2684:11 1.98839e-05 -8 *26747:A *2684:11 6.28499e-05 -9 *1942:169 *2684:11 0.00024614 -10 *1966:104 *2684:11 0.000180088 -11 *2007:64 *2684:11 0.000124732 -12 *2187:73 *2684:11 0.00115901 -13 *2226:84 *2684:11 1.21258e-05 -*RES -1 *26746:X *2684:11 43.0143 -2 *2684:11 *26747:C 9.3 -*END - -*D_NET *2685 0.00437516 -*CONN -*I *26805:A1 I *D sky130_fd_sc_hd__a21oi_2 -*I *26753:A1 I *D sky130_fd_sc_hd__a21oi_2 -*I *26747:X O *D sky130_fd_sc_hd__and3_1 -*CAP -1 *26805:A1 0 -2 *26753:A1 0.000231825 -3 *26747:X 0.000906558 -4 *2685:11 0.00113838 -5 *26753:A1 *26753:A2 5.40608e-05 -6 *26753:A1 *26805:B1 0.000303368 -7 *2685:11 *26790:A2 0.000267814 -8 *2685:11 *2689:17 6.35826e-05 -9 *26227:B *26753:A1 9.28718e-05 -10 *26227:B *2685:11 5.33005e-05 -11 *26686:B *2685:11 0.000295245 -12 *26747:A *2685:11 7.02611e-05 -13 *26751:B *2685:11 0.000101545 -14 *1862:22 *26753:A1 0.000234862 -15 *1862:22 *2685:11 1.55885e-05 -16 *1996:25 *2685:11 0.000220365 -17 *2021:59 *2685:11 0.000137206 -18 *2054:8 *2685:11 5.33005e-05 -19 *2056:28 *26753:A1 0.000135028 -*RES -1 *26747:X *2685:11 33.175 -2 *2685:11 *26753:A1 16.0321 -3 *2685:11 *26805:A1 9.3 -*END - -*D_NET *2686 0.0019299 -*CONN -*I *26749:B I *D sky130_fd_sc_hd__nor2_1 -*I *26748:X O *D sky130_fd_sc_hd__or4b_1 -*CAP -1 *26749:B 0.000404548 -2 *26748:X 0.000404548 -3 *26473:A1 *26749:B 0.000435826 -4 *26473:A2 *26749:B 0.000342488 -5 *2038:197 *26749:B 0.000342488 -*RES -1 *26748:X *26749:B 36.2071 -*END - -*D_NET *2687 0.00956851 -*CONN -*I *26752:C I *D sky130_fd_sc_hd__and4b_1 -*I *26790:A1 I *D sky130_fd_sc_hd__a31oi_2 -*I *26749:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26752:C 0.000283574 -2 *26790:A1 3.59798e-05 -3 *26749:Y 0.00116244 -4 *2687:17 0.000724607 -5 *2687:10 0.00156749 -6 *26752:C *26752:D 6.71359e-05 -7 *26752:C *26790:B1 0.000219711 -8 *26752:C *2721:9 0.000312849 -9 *2687:10 *2723:13 0.000449459 -10 *2687:10 *5544:15 7.30191e-05 -11 *2687:17 *26790:B1 1.24368e-05 -12 *26151:B1 *2687:10 0.000133405 -13 *26489:A *2687:10 9.3111e-05 -14 *26489:D *2687:10 2.89016e-05 -15 *26683:A *2687:17 2.04825e-05 -16 *26686:C *2687:17 1.18064e-05 -17 *26749:A *2687:10 5.52302e-05 -18 *26787:A *2687:17 9.96487e-05 -19 *1941:50 *2687:17 2.06178e-05 -20 *1986:96 *2687:17 9.73251e-05 -21 *1986:136 *2687:10 7.15687e-05 -22 *2022:101 *2687:10 6.07655e-06 -23 *2022:101 *2687:17 0.000232658 -24 *2022:105 *2687:10 0.00097345 -25 *2022:105 *2687:17 6.6894e-06 -26 *2032:19 *2687:10 0.000542051 -27 *2056:37 *2687:10 0.000961367 -28 *2056:53 *2687:10 4.97121e-06 -29 *2411:21 *26752:C 2.37575e-05 -30 *2411:21 *26790:A1 3.91935e-05 -31 *2411:21 *2687:17 0.000100831 -32 *2514:17 *2687:10 1.5012e-05 -33 *2514:17 *2687:17 0.000334351 -34 *2525:13 *26790:A1 4.58194e-05 -35 *2525:13 *2687:17 2.28598e-05 -36 *2621:14 *2687:17 0.000123288 -37 *2622:8 *2687:17 2.49484e-05 -38 *2631:23 *26752:C 0 -39 *2632:10 *26752:C 0.000467842 -40 *2632:10 *2687:17 0.000102545 -*RES -1 *26749:Y *2687:10 44.1661 -2 *2687:10 *2687:17 15.4554 -3 *2687:17 *26790:A1 10.2464 -4 *2687:17 *26752:C 17.175 -*END - -*D_NET *2688 0.00612571 -*CONN -*I *26784:B I *D sky130_fd_sc_hd__or3_1 -*I *26752:A_N I *D sky130_fd_sc_hd__and4b_1 -*I *26750:X O *D sky130_fd_sc_hd__or4b_1 -*CAP -1 *26784:B 0 -2 *26752:A_N 0.000117875 -3 *26750:X 0.00108606 -4 *2688:18 0.000183087 -5 *2688:17 0.00115127 -6 *26752:A_N *26752:D 0.00020099 -7 *26752:A_N *26783:C 0.00014576 -8 *26752:A_N *2721:9 0.000135028 -9 *2688:17 *26784:C 0.000307969 -10 *2688:17 *5673:309 0.000324994 -11 *2688:18 *26752:D 0.000109073 -12 *2688:18 *26783:B 9.25014e-06 -13 *2688:18 *26783:C 0.000117206 -14 *26121:B *2688:17 9.20274e-05 -15 *26151:A2 *2688:17 0.000331511 -16 *26151:B1 *2688:17 1.41029e-05 -17 *26151:B2 *2688:17 0.000125717 -18 *26471:B *2688:17 0.000125505 -19 *26578:B *2688:17 6.39829e-05 -20 *26750:B *2688:17 9.60875e-05 -21 *26784:A *2688:17 2.14658e-05 -22 *1930:25 *2688:17 0.00114827 -23 *2027:18 *2688:17 7.2252e-05 -24 *2092:13 *2688:17 0.000104077 -25 *2252:12 *2688:17 2.71356e-05 -26 *2618:11 *2688:17 1.50181e-05 -*RES -1 *26750:X *2688:17 49.8893 -2 *2688:17 *2688:18 1.64286 -3 *2688:18 *26752:A_N 17.1036 -4 *2688:18 *26784:B 13.8 -*END - -*D_NET *2689 0.00349783 -*CONN -*I *26783:B I *D sky130_fd_sc_hd__nand3_1 -*I *26752:D I *D sky130_fd_sc_hd__and4b_1 -*I *26751:Y O *D sky130_fd_sc_hd__nor3_1 -*CAP -1 *26783:B 0.000210695 -2 *26752:D 0.000222662 -3 *26751:Y 0.000545941 -4 *2689:17 0.000979298 -5 *26752:D *26783:C 1.08359e-05 -6 *26752:D *2721:9 1.54142e-05 -7 *26752:D *5673:309 3.3536e-05 -8 *26783:B *26783:C 0.000123548 -9 *26783:B *5673:309 2.90252e-05 -10 *2689:17 *26784:C 5.96783e-05 -11 *26492:C *2689:17 0.00025009 -12 *26578:B *26783:B 5.82156e-05 -13 *26685:B *26783:B 1.74352e-05 -14 *26686:B *2689:17 3.01979e-05 -15 *26751:A *2689:17 9.95905e-05 -16 *26751:B *2689:17 5.33005e-05 -17 *26751:C *2689:17 1.30909e-05 -18 *26752:A_N *26752:D 0.00020099 -19 *26752:C *26752:D 6.71359e-05 -20 *26783:A *26783:B 4.65783e-05 -21 *2011:140 *26752:D 0.000168217 -22 *2011:145 *26752:D 1.28166e-05 -23 *2011:145 *26783:B 1.43349e-05 -24 *2622:8 *2689:17 5.33005e-05 -25 *2685:11 *2689:17 6.35826e-05 -26 *2688:18 *26752:D 0.000109073 -27 *2688:18 *26783:B 9.25014e-06 -*RES -1 *26751:Y *2689:17 23.1571 -2 *2689:17 *26752:D 19.0366 -3 *2689:17 *26783:B 17.8893 -*END - -*D_NET *2690 0.000979967 -*CONN -*I *26753:A2 I *D sky130_fd_sc_hd__a21oi_2 -*I *26752:X O *D sky130_fd_sc_hd__and4b_1 -*CAP -1 *26753:A2 0.000161504 -2 *26752:X 0.000161504 -3 *26227:B *26753:A2 0.000314395 -4 *26753:A1 *26753:A2 5.40608e-05 -5 *26753:B1 *26753:A2 0.000156061 -6 *1862:22 *26753:A2 3.1613e-05 -7 *2047:155 *26753:A2 0.000100831 -*RES -1 *26752:X *26753:A2 32.3679 -*END - -*D_NET *2691 0.0217464 -*CONN -*I *26763:C I *D sky130_fd_sc_hd__or4_1 -*I *26753:Y O *D sky130_fd_sc_hd__a21oi_2 -*CAP -1 *26763:C 0.00110339 -2 *26753:Y 0 -3 *2691:14 0.00231764 -4 *2691:8 0.00246583 -5 *2691:5 0.00125158 -6 *26763:C *25883:A1 0 -7 *26763:C *26712:A1 0.000125731 -8 *26763:C *28231:D 0.000111924 -9 *26763:C *2700:9 0.00212957 -10 *26763:C *5780:47 0 -11 *26763:C *5780:61 0 -12 *2691:8 *26783:C 0.000100831 -13 *2691:14 *2692:11 4.97121e-06 -14 *26296:A *2691:8 0.000179845 -15 *26309:B1 *2691:8 2.16719e-05 -16 *26394:D_N *2691:8 0.000128214 -17 *26520:B *2691:8 9.19219e-05 -18 *26657:C *26763:C 0.0001746 -19 *26665:D1 *2691:14 8.00806e-05 -20 *26675:A1 *26763:C 0.00035202 -21 *26675:A1 *2691:14 0.000388407 -22 *26727:A *26763:C 4.65919e-05 -23 *26752:B *2691:8 0.000100735 -24 *26757:B1 *2691:8 0.00107053 -25 *26763:A *26763:C 0.000135028 -26 *26773:A2 *2691:14 2.89016e-05 -27 *29606:A *26763:C 2.09897e-05 -28 *29750:A *26763:C 9.60939e-05 -29 *1867:16 *26763:C 6.65398e-05 -30 *1967:59 *2691:8 0.000193118 -31 *2012:17 *2691:8 0.000138069 -32 *2012:20 *2691:8 0 -33 *2043:166 *2691:8 0.00154737 -34 *2047:155 *2691:8 0.000466669 -35 *2089:26 *26763:C 1.53472e-05 -36 *2089:26 *2691:14 0.00291146 -37 *2092:30 *2691:14 0.00206035 -38 *2236:8 *2691:8 0.000125717 -39 *2236:10 *2691:8 0.000222892 -40 *2252:12 *2691:8 0.00012473 -41 *2252:14 *2691:8 0.00108423 -42 *2526:18 *2691:14 0.000262774 -*RES -1 *26753:Y *2691:5 13.8 -2 *2691:5 *2691:8 49.5536 -3 *2691:8 *2691:14 45.6518 -4 *2691:14 *26763:C 44.8268 -*END - -*D_NET *2692 0.00436539 -*CONN -*I *26762:A_N I *D sky130_fd_sc_hd__and2b_1 -*I *26772:C I *D sky130_fd_sc_hd__nor4_1 -*I *26754:X O *D sky130_fd_sc_hd__or4b_1 -*CAP -1 *26762:A_N 0 -2 *26772:C 0.000139418 -3 *26754:X 0.00115238 -4 *2692:11 0.00129179 -5 *26772:C *3553:26 0.000175519 -6 *2692:11 *26401:A2 0 -7 *2692:11 *26665:A2 1.59373e-05 -8 *2692:11 *26762:B 8.43535e-06 -9 *26675:A1 *2692:11 0.000678884 -10 *26736:B *26772:C 2.59355e-05 -11 *26736:C *26772:C 5.17614e-05 -12 *26736:C *2692:11 4.58976e-05 -13 *26754:D_N *2692:11 0.000301438 -14 *26772:B *26772:C 2.59355e-05 -15 *1883:94 *2692:11 0.000108447 -16 *1950:101 *2692:11 2.16949e-05 -17 *1950:103 *2692:11 7.70723e-05 -18 *2045:21 *26772:C 9.0143e-05 -19 *2092:30 *2692:11 9.24395e-05 -20 *2223:13 *2692:11 5.72869e-05 -21 *2483:14 *2692:11 0 -22 *2483:28 *2692:11 0 -23 *2691:14 *2692:11 4.97121e-06 -*RES -1 *26754:X *2692:11 39.05 -2 *2692:11 *26772:C 22.0321 -3 *2692:11 *26762:A_N 9.3 -*END - -*D_NET *2693 0.00306099 -*CONN -*I *26756:B I *D sky130_fd_sc_hd__or2_1 -*I *26755:X O *D sky130_fd_sc_hd__a2111o_1 -*CAP -1 *26756:B 0.000654308 -2 *26755:X 0.000654308 -3 *26756:B *2698:11 0.00020489 -4 *26755:D1 *26756:B 0.000719699 -5 *2061:41 *26756:B 0.000121787 -6 *2083:31 *26756:B 1.43864e-05 -7 *2352:24 *26756:B 0.000540276 -8 *2436:36 *26756:B 0.000151333 -*RES -1 *26755:X *26756:B 43.5286 -*END - -*D_NET *2694 0.00257134 -*CONN -*I *26761:B I *D sky130_fd_sc_hd__or4_1 -*I *26775:B I *D sky130_fd_sc_hd__or4_1 -*I *26756:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *26761:B 0.000357114 -2 *26775:B 0.000429883 -3 *26756:X 0 -4 *2694:4 0.000786997 -5 *26761:B *2709:8 2.05938e-05 -6 *26775:B *26791:A2 2.79421e-05 -7 *26775:B *2728:12 9.25014e-06 -8 *26775:B *2735:8 0.000197991 -9 *26103:B *26775:B 6.13757e-06 -10 *26736:B *26761:B 4.46186e-06 -11 *26736:C *26761:B 6.05161e-06 -12 *26756:A *26775:B 2.78892e-05 -13 *1935:92 *26761:B 8.89321e-05 -14 *2019:115 *26775:B 0.000347054 -15 *2021:16 *26775:B 0.000149562 -16 *2021:20 *26775:B 1.77757e-05 -17 *2544:14 *26761:B 7.83587e-05 -18 *2674:17 *26761:B 1.53439e-05 -*RES -1 *26756:X *2694:4 9.3 -2 *2694:4 *26775:B 26.4071 -3 *2694:4 *26761:B 22.8714 -*END - -*D_NET *2695 0.00106472 -*CONN -*I *26758:D I *D sky130_fd_sc_hd__or4_1 -*I *26757:X O *D sky130_fd_sc_hd__a211o_1 -*CAP -1 *26758:D 0.000181735 -2 *26757:X 0.000181735 -3 *26758:D *5723:46 6.12364e-05 -4 *26520:C *26758:D 0.000184382 -5 *26664:A2 *26758:D 5.70972e-05 -6 *26758:A *26758:D 9.90431e-05 -7 *1950:93 *26758:D 0.000145104 -8 *2569:6 *26758:D 4.32182e-06 -9 *2608:5 *26758:D 5.33005e-05 -10 *2608:26 *26758:D 9.6765e-05 -*RES -1 *26757:X *26758:D 32.9214 -*END - -*D_NET *2696 0.00528171 -*CONN -*I *26772:D I *D sky130_fd_sc_hd__nor4_1 -*I *26761:C I *D sky130_fd_sc_hd__or4_1 -*I *26758:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26772:D 0 -2 *26761:C 0.000111997 -3 *26758:X 0.000602557 -4 *2696:8 0.000714554 -5 *26761:C *2709:8 0.000315172 -6 *26634:B *2696:8 0.00019384 -7 *26634:C *2696:8 0.000525372 -8 *26736:A *26761:C 1.00375e-05 -9 *26736:A *2696:8 4.83782e-05 -10 *26736:B *26761:C 1.17968e-05 -11 *26758:A *2696:8 9.71197e-05 -12 *26772:B *2696:8 0.000644995 -13 *1393:39 *2696:8 2.80703e-05 -14 *1518:15 *2696:8 0.00017733 -15 *2608:26 *26761:C 0.000361823 -16 *2608:26 *2696:8 0.00143867 -*RES -1 *26758:X *2696:8 34.5143 -2 *2696:8 *26761:C 18.4786 -3 *2696:8 *26772:D 13.8 -*END - -*D_NET *2697 0.00343886 -*CONN -*I *26760:B I *D sky130_fd_sc_hd__or3b_1 -*I *26759:Y O *D sky130_fd_sc_hd__o2111ai_1 -*CAP -1 *26760:B 0.000776573 -2 *26759:Y 0.000776573 -3 *26422:B2 *26760:B 0.000304394 -4 *26500:B *26760:B 1.43e-05 -5 *2023:8 *26760:B 0.000100831 -6 *2060:25 *26760:B 0.000151608 -7 *2111:69 *26760:B 0.000131767 -8 *2124:41 *26760:B 2.05938e-05 -9 *2315:18 *26760:B 0 -10 *2345:8 *26760:B 0.00116222 -*RES -1 *26759:Y *26760:B 45.6 -*END - -*D_NET *2698 0.00584566 -*CONN -*I *26799:A1 I *D sky130_fd_sc_hd__o21a_1 -*I *26761:D I *D sky130_fd_sc_hd__or4_1 -*I *26760:X O *D sky130_fd_sc_hd__or3b_1 -*CAP -1 *26799:A1 0 -2 *26761:D 0.000144304 -3 *26760:X 0.00197071 -4 *2698:11 0.00211502 -5 *26761:D *26799:B1 0.000308972 -6 *2698:11 *26799:B1 6.42095e-05 -7 *26517:A1 *2698:11 0.000172914 -8 *26517:A2 *2698:11 5.49489e-05 -9 *26756:B *2698:11 0.00020489 -10 *26760:A *2698:11 7.49615e-05 -11 *1935:92 *26761:D 6.99716e-05 -12 *2008:12 *2698:11 0.000243029 -13 *2104:103 *2698:11 0.000110029 -14 *2458:10 *2698:11 6.86792e-05 -15 *2534:11 *2698:11 0.000243029 -*RES -1 *26760:X *2698:11 44.3 -2 *2698:11 *26761:D 12.7286 -3 *2698:11 *26799:A1 9.3 -*END - -*D_NET *2699 0.000719414 -*CONN -*I *26762:B I *D sky130_fd_sc_hd__and2b_1 -*I *26761:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26762:B 0.000154256 -2 *26761:X 0.000154256 -3 *26762:B *3553:26 0.000104974 -4 *26736:C *26762:B 0.000181796 -5 *1883:94 *26762:B 1.24368e-05 -6 *2045:21 *26762:B 0.00010326 -7 *2692:11 *26762:B 8.43535e-06 -*RES -1 *26761:X *26762:B 31.1179 -*END - -*D_NET *2700 0.00619816 -*CONN -*I *26763:D I *D sky130_fd_sc_hd__or4_1 -*I *26762:X O *D sky130_fd_sc_hd__and2b_1 -*CAP -1 *26763:D 0 -2 *26762:X 0.000960184 -3 *2700:9 0.000960184 -4 *2700:9 *28231:D 1.37292e-05 -5 *2700:9 *29284:A 1.08359e-05 -6 *2700:9 *5721:10 0.000257966 -7 *2700:9 *5824:28 0.000988417 -8 *26763:A *2700:9 0.000136958 -9 *26763:C *2700:9 0.00212957 -10 *28404:D *2700:9 0.000135028 -11 *29750:A *2700:9 5.33005e-05 -12 *2089:26 *2700:9 0.000551986 -*RES -1 *26762:X *2700:9 49.8893 -2 *2700:9 *26763:D 9.3 -*END - -*D_NET *2701 0.00155152 -*CONN -*I *26771:A_N I *D sky130_fd_sc_hd__and2b_1 -*I *26796:B I *D sky130_fd_sc_hd__nor4_1 -*I *26764:X O *D sky130_fd_sc_hd__or3b_1 -*CAP -1 *26771:A_N 6.17285e-05 -2 *26796:B 0.00016234 -3 *26764:X 0.000179277 -4 *2701:6 0.000403346 -5 *26796:B *26771:B 0.000198698 -6 *26796:B *26796:D 0.000227532 -7 *26796:B *2730:13 0.000142856 -8 *2701:6 *26771:B 3.34366e-05 -9 *2701:6 *2732:11 0 -10 *26744:B *26796:B 0 -11 *26744:B *2701:6 0 -12 *2544:30 *2701:6 0.000142306 -*RES -1 *26764:X *2701:6 17.8714 -2 *2701:6 *26796:B 18.5321 -3 *2701:6 *26771:A_N 14.7464 -*END - -*D_NET *2702 0.000849631 -*CONN -*I *26766:C_N I *D sky130_fd_sc_hd__or3b_1 -*I *26765:X O *D sky130_fd_sc_hd__o211a_1 -*CAP -1 *26766:C_N 0.000313666 -2 *26765:X 0.000313666 -3 *26766:C_N *2703:12 0 -4 *26765:A1 *26766:C_N 2.9561e-05 -5 *26765:A2 *26766:C_N 0.000137515 -6 *26765:B1 *26766:C_N 5.52238e-05 -*RES -1 *26765:X *26766:C_N 24.0821 -*END - -*D_NET *2703 0.00712752 -*CONN -*I *26796:C I *D sky130_fd_sc_hd__nor4_1 -*I *26770:B I *D sky130_fd_sc_hd__or3_1 -*I *26766:X O *D sky130_fd_sc_hd__or3b_1 -*CAP -1 *26796:C 0.000180421 -2 *26770:B 4.36033e-05 -3 *26766:X 0.000982852 -4 *2703:12 0.00120688 -5 *26796:C *26796:D 3.67257e-05 -6 *26796:C *5007:44 0.000101545 -7 *26796:C *6358:12 9.4724e-05 -8 *2703:12 *5007:44 0.000482856 -9 *2703:12 *6358:12 0.000484578 -10 *26374:B *2703:12 0.000595717 -11 *26613:A_N *2703:12 3.15101e-05 -12 *26722:A1 *2703:12 0.00182864 -13 *26765:A1 *2703:12 0.000295432 -14 *26766:C_N *2703:12 0 -15 *2104:128 *2703:12 0.000519489 -16 *2316:5 *2703:12 5.33334e-05 -17 *2316:28 *2703:12 0.000135923 -18 *2678:5 *26770:B 5.33005e-05 -*RES -1 *26766:X *2703:12 44.4964 -2 *2703:12 *26770:B 14.3357 -3 *2703:12 *26796:C 17.3179 -*END - -*D_NET *2704 0.00136582 -*CONN -*I *26768:A2 I *D sky130_fd_sc_hd__o211a_1 -*I *26767:Y O *D sky130_fd_sc_hd__a2bb2oi_1 -*CAP -1 *26768:A2 0.000269075 -2 *26767:Y 0.000269075 -3 *26591:A1 *26768:A2 1.16848e-05 -4 *2098:57 *26768:A2 0.000175892 -5 *2126:36 *26768:A2 0.00017309 -6 *2126:40 *26768:A2 0.000146474 -7 *2196:35 *26768:A2 9.50968e-06 -8 *2348:8 *26768:A2 0.000311021 -*RES -1 *26767:Y *26768:A2 33.7741 -*END - -*D_NET *2705 0.00295521 -*CONN -*I *26769:C_N I *D sky130_fd_sc_hd__or3b_1 -*I *26768:X O *D sky130_fd_sc_hd__o211a_1 -*CAP -1 *26769:C_N 0.000605061 -2 *26768:X 0.000605061 -3 *26769:C_N *5722:131 0.000828332 -4 *26433:A_N *26769:C_N 5.33005e-05 -5 *26434:A_N *26769:C_N 0.000146474 -6 *26591:A1 *26769:C_N 0.000221628 -7 *26718:A *26769:C_N 0.000103041 -8 *26768:A1 *26769:C_N 3.79027e-06 -9 *2110:26 *26769:C_N 0.000101509 -10 *2196:35 *26769:C_N 4.81887e-06 -11 *2539:8 *26769:C_N 2.23987e-05 -12 *2658:9 *26769:C_N 0.000259798 -*RES -1 *26768:X *26769:C_N 42.6714 -*END - -*D_NET *2706 0.0160728 -*CONN -*I *26770:C I *D sky130_fd_sc_hd__or3_1 -*I *26794:C I *D sky130_fd_sc_hd__or4b_1 -*I *26769:X O *D sky130_fd_sc_hd__or3b_1 -*CAP -1 *26770:C 0.000649898 -2 *26794:C 0 -3 *26769:X 0.000319046 -4 *2706:17 0.000833122 -5 *2706:14 0.0016839 -6 *2706:13 0.00181972 -7 *26770:C *26413:B1 0.00106843 -8 *26770:C *2730:13 9.36589e-05 -9 *2706:14 *26794:D_N 5.53052e-05 -10 *2706:14 *5722:153 9.77703e-05 -11 *2706:14 *5722:166 0.000191874 -12 *2706:17 *26794:D_N 9.41642e-05 -13 *26414:A1 *2706:13 9.31209e-05 -14 *26414:B1 *2706:13 0.000147465 -15 *26435:A1 *2706:13 0.000897155 -16 *26435:D1 *2706:13 5.4695e-05 -17 *26436:C_N *2706:14 0.00012501 -18 *26740:A1 *26770:C 5.52238e-05 -19 *26740:D1 *26770:C 0.000692401 -20 *26740:D1 *2706:17 9.31893e-05 -21 *26770:A *26770:C 1.04232e-05 -22 *26793:A1 *2706:14 0.00027095 -23 *26793:A2 *2706:14 1.66763e-05 -24 *26793:B2 *2706:14 4.26759e-05 -25 *26794:A *26770:C 0.000135028 -26 *26794:B *26770:C 4.58194e-05 -27 *2043:102 *2706:13 0.000139057 -28 *2111:69 *2706:14 0.000255791 -29 *2155:106 *2706:13 0.000552531 -30 *2161:107 *2706:14 0.000815067 -31 *2294:12 *2706:14 0 -32 *2295:9 *26770:C 0.000345257 -33 *2315:18 *2706:14 0.00132568 -34 *2315:25 *2706:14 0.000309457 -35 *2315:29 *2706:14 0.000709887 -36 *2376:15 *2706:14 0 -37 *2661:26 *2706:14 0 -38 *2675:9 *2706:13 5.31158e-05 -39 *2675:9 *2706:14 0.00116481 -40 *2678:5 *26770:C 0.000815415 -*RES -1 *26769:X *2706:13 33.6393 -2 *2706:13 *2706:14 48.3929 -3 *2706:14 *2706:17 7.91071 -4 *2706:17 *26794:C 9.3 -5 *2706:17 *26770:C 30.8 -*END - -*D_NET *2707 0.00153581 -*CONN -*I *26771:B I *D sky130_fd_sc_hd__and2b_1 -*I *26770:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *26771:B 0.000377683 -2 *26770:X 0.000377683 -3 *26771:B *2730:13 0 -4 *26771:B *5839:63 8.18783e-05 -5 *26744:B *26771:B 0 -6 *26796:B *26771:B 0.000198698 -7 *2544:30 *26771:B 0.000367396 -8 *2678:16 *26771:B 9.90367e-05 -9 *2701:6 *26771:B 3.34366e-05 -*RES -1 *26770:X *26771:B 35.2786 -*END - -*D_NET *2708 0.00639195 -*CONN -*I *26791:C1 I *D sky130_fd_sc_hd__a211o_1 -*I *26771:X O *D sky130_fd_sc_hd__and2b_1 -*CAP -1 *26791:C1 0.000751472 -2 *26771:X 0.00100389 -3 *2708:10 0.00175536 -4 *26791:C1 *28233:D 7.55769e-05 -5 *26791:C1 *3581:38 0 -6 *26791:C1 *3581:45 0 -7 *2708:10 *28405:CLK 9.24395e-05 -8 *2708:10 *3581:45 0 -9 *2708:10 *5709:107 0.000251492 -10 *26671:A *26791:C1 0.000365674 -11 *26672:C *26791:C1 3.25078e-05 -12 *26728:D *26791:C1 0.000122956 -13 *26745:B *2708:10 0.000293268 -14 *26763:B *2708:10 0.000217362 -15 *26795:A *2708:10 4.18541e-05 -16 *1883:119 *2708:10 0.000117144 -17 *2022:39 *26791:C1 0.000317719 -18 *2022:39 *2708:10 0.000138178 -19 *2544:14 *26791:C1 0.000803154 -20 *2544:26 *26791:C1 1.19011e-05 -21 *2544:30 *2708:10 0 -22 *2608:26 *26791:C1 0 -*RES -1 *26771:X *2708:10 36.6125 -2 *2708:10 *26791:C1 33.8089 -*END - -*D_NET *2709 0.00300809 -*CONN -*I *26799:B1 I *D sky130_fd_sc_hd__o21a_1 -*I *26791:A1 I *D sky130_fd_sc_hd__a211o_1 -*I *26772:Y O *D sky130_fd_sc_hd__nor4_1 -*CAP -1 *26799:B1 0.000176626 -2 *26791:A1 0.000270453 -3 *26772:Y 0.000215289 -4 *2709:8 0.000662368 -5 *26791:A1 *26791:B1 5.33005e-05 -6 *26103:B *26799:B1 0.000388642 -7 *26728:A *26791:A1 5.63043e-05 -8 *26736:B *2709:8 0.000291433 -9 *26761:B *2709:8 2.05938e-05 -10 *26761:C *2709:8 0.000315172 -11 *26761:D *26799:B1 0.000308972 -12 *1935:92 *26799:B1 1.39726e-05 -13 *1935:92 *2709:8 1.04114e-05 -14 *2544:14 *26791:A1 0 -15 *2544:14 *2709:8 0 -16 *2608:26 *26791:A1 0.00012401 -17 *2608:26 *2709:8 3.6337e-05 -18 *2698:11 *26799:B1 6.42095e-05 -*RES -1 *26772:Y *2709:8 19.425 -2 *2709:8 *26791:A1 18.4607 -3 *2709:8 *26799:B1 18.8714 -*END - -*D_NET *2710 0.00415345 -*CONN -*I *26775:C I *D sky130_fd_sc_hd__or4_1 -*I *26773:X O *D sky130_fd_sc_hd__a2111o_1 -*CAP -1 *26775:C 0.00076137 -2 *26773:X 0.00076137 -3 *26775:C *26806:C1 0.000130103 -4 *26668:A *26775:C 0.000108525 -5 *26773:C1 *26775:C 0.000304394 -6 *26775:A *26775:C 3.51442e-05 -7 *2008:12 *26775:C 0.00109872 -8 *2458:10 *26775:C 0.000841724 -9 *2566:6 *26775:C 0.000112103 -*RES -1 *26773:X *26775:C 46.7429 -*END - -*D_NET *2711 0.00640958 -*CONN -*I *26798:C I *D sky130_fd_sc_hd__or4b_1 -*I *26775:D I *D sky130_fd_sc_hd__or4_1 -*I *26774:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *26798:C 0.000177371 -2 *26775:D 0.000379566 -3 *26774:X 0.000837456 -4 *2711:7 0.00139439 -5 *26775:D *26806:C1 0.000208342 -6 *26103:B *26775:D 0.000177545 -7 *26668:A *2711:7 0.000470824 -8 *26774:C1 *2711:7 6.13757e-06 -9 *2011:54 *26775:D 0.00104417 -10 *2011:54 *26798:C 0.000252714 -11 *2334:8 *26775:D 0.00104246 -12 *2334:8 *26798:C 0.000259786 -13 *2436:17 *26798:C 7.02611e-05 -14 *2595:42 *26775:D 8.85532e-05 -*RES -1 *26774:X *2711:7 23.7821 -2 *2711:7 *26775:D 29.05 -3 *2711:7 *26798:C 18.6214 -*END - -*D_NET *2712 0.00057985 -*CONN -*I *26791:A2 I *D sky130_fd_sc_hd__a211o_1 -*I *26775:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26791:A2 0.000199851 -2 *26775:X 0.000199851 -3 *26791:A2 *2728:12 2.79421e-05 -4 *26103:B *26791:A2 0.000108447 -5 *26728:A *26791:A2 1.58163e-05 -6 *26775:B *26791:A2 2.79421e-05 -*RES -1 *26775:X *26791:A2 22.3321 -*END - -*D_NET *2713 0.001208 -*CONN -*I *26777:C_N I *D sky130_fd_sc_hd__or3b_1 -*I *26776:X O *D sky130_fd_sc_hd__o22a_1 -*CAP -1 *26777:C_N 0.000241866 -2 *26776:X 0.000241866 -3 *26540:B *26777:C_N 0.000180777 -4 *26776:A2 *26777:C_N 0.000147889 -5 *2128:44 *26777:C_N 0.000311614 -6 *2133:212 *26777:C_N 8.399e-05 -*RES -1 *26776:X *26777:C_N 33.4393 -*END - -*D_NET *2714 0.00187351 -*CONN -*I *26781:A2 I *D sky130_fd_sc_hd__o31a_1 -*I *26777:X O *D sky130_fd_sc_hd__or3b_1 -*CAP -1 *26781:A2 0.000384205 -2 *26777:X 0.000384205 -3 *26547:D *26781:A2 0.00044824 -4 *26704:B1_N *26781:A2 7.6021e-05 -5 *26777:A *26781:A2 1.58163e-05 -6 *373:12 *26781:A2 5.03519e-05 -7 *2157:105 *26781:A2 6.99815e-05 -8 *2190:38 *26781:A2 0.000101915 -9 *2194:45 *26781:A2 0.000172831 -10 *2485:24 *26781:A2 0.000169939 -*RES -1 *26777:X *26781:A2 37.3679 -*END - -*D_NET *2715 0.00139913 -*CONN -*I *26779:C I *D sky130_fd_sc_hd__or4b_1 -*I *26778:Y O *D sky130_fd_sc_hd__a21oi_1 -*CAP -1 *26779:C 0.000275602 -2 *26778:Y 0.000275602 -3 *26779:C *5564:40 0.000314043 -4 *26779:C *6169:90 0.000311293 -5 *26540:B *26779:C 0.000222591 -*RES -1 *26778:Y *26779:C 33.85 -*END - -*D_NET *2716 0.00508175 -*CONN -*I *26781:A3 I *D sky130_fd_sc_hd__o31a_1 -*I *26802:C I *D sky130_fd_sc_hd__or4_1 -*I *26779:X O *D sky130_fd_sc_hd__or4b_1 -*CAP -1 *26781:A3 8.86376e-05 -2 *26802:C 8.08142e-05 -3 *26779:X 0.000751091 -4 *2716:11 0.000920542 -5 *26802:C *26802:D 5.33005e-05 -6 *2716:11 *5664:139 2.56723e-05 -7 *26705:B1 *2716:11 0.000105033 -8 *26734:C *2716:11 4.56437e-05 -9 *26777:B *2716:11 0.000339346 -10 *26779:B *2716:11 0.00071143 -11 *26781:A1 *26781:A3 2.12005e-05 -12 *26781:A1 *2716:11 6.66848e-05 -13 *26802:A *26802:C 1.98839e-05 -14 *373:12 *26802:C 0.000150632 -15 *2128:41 *2716:11 9.60939e-05 -16 *2128:44 *2716:11 0.000752498 -17 *2133:212 *2716:11 9.00971e-05 -18 *2161:82 *2716:11 5.6761e-05 -19 *2161:89 *2716:11 0.000314903 -20 *2190:58 *26781:A3 2.42516e-05 -21 *2194:45 *26802:C 9.41642e-05 -22 *2485:24 *26802:C 0.000148911 -23 *2671:6 *2716:11 0.00012416 -*RES -1 *26779:X *2716:11 38.2464 -2 *2716:11 *26802:C 21.1929 -3 *2716:11 *26781:A3 10.6571 -*END - -*D_NET *2717 0.0119978 -*CONN -*I *26806:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *26781:B1 I *D sky130_fd_sc_hd__o31a_1 -*I *26780:Y O *D sky130_fd_sc_hd__nor3_1 -*CAP -1 *26806:B1 0.000421311 -2 *26781:B1 4.78389e-05 -3 *26780:Y 0.00035385 -4 *2717:33 0.00314446 -5 *2717:12 0.00312483 -6 *26806:B1 *26806:A1 9.41642e-05 -7 *26806:B1 *2738:7 0.000330207 -8 *2717:33 *26802:D 4.43256e-05 -9 *2717:33 *2738:7 0.00198619 -10 *26427:B1 *26806:B1 0.00092519 -11 *26441:B *2717:33 3.46512e-05 -12 *26608:C *2717:33 4.11173e-05 -13 *26734:A *2717:12 8.26428e-05 -14 *26802:B *2717:12 7.69988e-05 -15 *373:32 *2717:12 0.000256602 -16 *2142:153 *2717:33 0 -17 *2157:141 *2717:12 7.69776e-06 -18 *2165:14 *26781:B1 8.15843e-05 -19 *2165:14 *2717:12 0.000653243 -20 *2194:45 *26781:B1 0.00015234 -21 *2194:45 *2717:12 2.31791e-05 -22 *2313:19 *2717:33 6.99087e-05 -23 *2345:8 *2717:33 4.29471e-05 -24 *2634:17 *2717:12 2.51343e-06 -*RES -1 *26780:Y *2717:12 23.925 -2 *2717:12 *26781:B1 15.7464 -3 *2717:12 *2717:33 44.0992 -4 *2717:33 *26806:B1 20.1036 -*END - -*D_NET *2718 0.00960412 -*CONN -*I *26792:B1 I *D sky130_fd_sc_hd__a211o_1 -*I *26781:X O *D sky130_fd_sc_hd__o31a_1 -*CAP -1 *26792:B1 0 -2 *26781:X 0.000915575 -3 *2718:19 0.00254324 -4 *2718:10 0.00345881 -5 *2718:19 *28959:A 5.52302e-05 -6 *2718:19 *2728:12 7.83366e-05 -7 *2718:19 *5664:251 0.000588543 -8 *2718:19 *5664:313 6.60863e-05 -9 *2718:19 *6358:7 0.000388051 -10 *26353:A *2718:19 1.98839e-05 -11 *26410:B1 *2718:10 0.000168939 -12 *1953:137 *2718:19 2.89016e-05 -13 *2011:63 *2718:19 0.000151167 -14 *2011:84 *2718:19 1.8729e-05 -15 *2133:198 *2718:10 0 -16 *2155:117 *2718:10 0.00012401 -17 *2156:10 *2718:19 5.52238e-05 -18 *2187:141 *2718:10 0.000353073 -19 *2190:58 *2718:10 0.000260152 -20 *2486:21 *2718:19 0.000177821 -21 *2674:22 *2718:19 0.00015234 -*RES -1 *26781:X *2718:10 30.5321 -2 *2718:10 *2718:19 49.4107 -3 *2718:19 *26792:B1 9.3 -*END - -*D_NET *2719 0.0030358 -*CONN -*I *26783:C I *D sky130_fd_sc_hd__nand3_1 -*I *26782:Y O *D sky130_fd_sc_hd__nor3_1 -*CAP -1 *26783:C 0.000735756 -2 *26782:Y 0.000735756 -3 *26783:C *2721:9 0.000123295 -4 *26783:C *5545:11 0.000347174 -5 *26783:C *5673:309 0.000107449 -6 *26752:A_N *26783:C 0.00014576 -7 *26752:B *26783:C 0.000267092 -8 *26752:D *26783:C 1.08359e-05 -9 *26783:A *26783:C 8.471e-05 -10 *26783:B *26783:C 0.000123548 -11 *2011:140 *26783:C 5.90443e-06 -12 *2047:155 *26783:C 0.00013048 -13 *2688:18 *26783:C 0.000117206 -14 *2691:8 *26783:C 0.000100831 -*RES -1 *26782:Y *26783:C 42.5911 -*END - -*D_NET *2720 0.00141869 -*CONN -*I *26784:C I *D sky130_fd_sc_hd__or3_1 -*I *26783:Y O *D sky130_fd_sc_hd__nand3_1 -*CAP -1 *26784:C 0.00029698 -2 *26783:Y 0.00029698 -3 *26784:C *5673:309 0.000194342 -4 *26492:C *26784:C 4.69643e-05 -5 *26578:B *26784:C 1.08359e-05 -6 *26685:B *26784:C 5.52238e-05 -7 *26784:A *26784:C 0.000149722 -8 *2688:17 *26784:C 0.000307969 -9 *2689:17 *26784:C 5.96783e-05 -*RES -1 *26783:Y *26784:C 34.6714 -*END - -*D_NET *2721 0.00268997 -*CONN -*I *26805:B1 I *D sky130_fd_sc_hd__a21oi_2 -*I *26790:B1 I *D sky130_fd_sc_hd__a31oi_2 -*I *26784:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *26805:B1 0.000150872 -2 *26790:B1 8.90227e-05 -3 *26784:X 0.000133553 -4 *2721:9 0.000373447 -5 *26805:B1 *5673:309 0.000196262 -6 *26227:B *26805:B1 0.000301438 -7 *26752:A_N *2721:9 0.000135028 -8 *26752:C *26790:B1 0.000219711 -9 *26752:C *2721:9 0.000312849 -10 *26752:D *2721:9 1.54142e-05 -11 *26753:A1 *26805:B1 0.000303368 -12 *26783:C *2721:9 0.000123295 -13 *2047:155 *2721:9 0.000109866 -14 *2056:28 *26805:B1 3.90513e-05 -15 *2525:13 *26790:B1 5.035e-05 -16 *2525:13 *26805:B1 0.00012401 -17 *2687:17 *26790:B1 1.24368e-05 -*RES -1 *26784:X *2721:9 22.5321 -2 *2721:9 *26790:B1 11.4786 -3 *2721:9 *26805:B1 23.8536 -*END - -*D_NET *2722 0.00258793 -*CONN -*I *26786:D I *D sky130_fd_sc_hd__nor4_1 -*I *26785:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *26786:D 0.000459128 -2 *26785:Y 0.000459128 -3 *26786:D *25508:A 9.60939e-05 -4 *26786:D *25508:C_N 0.000233917 -5 *26786:D *25508:D_N 0.000276211 -6 *26786:D *2723:7 5.33433e-05 -7 *26679:D *26786:D 9.69119e-06 -8 *26786:B *26786:D 5.58941e-05 -9 *30639:A *26786:D 0 -10 *1790:17 *26786:D 0.000746375 -11 *2056:53 *26786:D 9.91093e-05 -12 *2617:5 *26786:D 9.90431e-05 -*RES -1 *26785:Y *26786:D 41.2786 -*END - -*D_NET *2723 0.0069912 -*CONN -*I *26787:C I *D sky130_fd_sc_hd__and3_1 -*I *26786:Y O *D sky130_fd_sc_hd__nor4_1 -*CAP -1 *26787:C 0 -2 *26786:Y 0.000103945 -3 *2723:13 0.00140938 -4 *2723:7 0.00151333 -5 *26472:B1_N *2723:13 0.000548974 -6 *26489:A *2723:13 0.000527792 -7 *26490:B *2723:13 0 -8 *26565:A2 *2723:13 0 -9 *26679:D *2723:13 0.000165549 -10 *26686:D *2723:13 1.95435e-05 -11 *26786:B *2723:13 0.000197984 -12 *26786:D *2723:7 5.33433e-05 -13 *1985:29 *2723:13 5.31185e-05 -14 *2011:145 *2723:13 0.000158747 -15 *2011:157 *2723:13 0.000121446 -16 *2022:101 *2723:13 3.1914e-05 -17 *2022:105 *2723:13 0.00102006 -18 *2032:19 *2723:13 1.40849e-05 -19 *2056:28 *2723:13 0.000210131 -20 *2406:8 *2723:13 0.000378429 -21 *2617:5 *2723:7 1.39726e-05 -22 *2618:11 *2723:13 0 -23 *2687:10 *2723:13 0.000449459 -*RES -1 *26786:Y *2723:7 15.1571 -2 *2723:7 *2723:13 45.125 -3 *2723:13 *26787:C 9.3 -*END - -*D_NET *2724 0.00250281 -*CONN -*I *26790:A2 I *D sky130_fd_sc_hd__a31oi_2 -*I *26787:X O *D sky130_fd_sc_hd__and3_1 -*CAP -1 *26790:A2 0.000358488 -2 *26787:X 0.000358488 -3 *26584:A *26790:A2 0.000145421 -4 *26686:A *26790:A2 9.41642e-05 -5 *26686:B *26790:A2 2.18827e-05 -6 *26686:C *26790:A2 3.97677e-05 -7 *26686:D *26790:A2 2.40107e-05 -8 *26751:A *26790:A2 5.33005e-05 -9 *26751:B *26790:A2 3.25078e-05 -10 *26787:B *26790:A2 0.000383166 -11 *1941:50 *26790:A2 0.00018077 -12 *2021:59 *26790:A2 0.000543032 -13 *2685:11 *26790:A2 0.000267814 -*RES -1 *26787:X *26790:A2 39.35 -*END - -*D_NET *2725 0.00491766 -*CONN -*I *26789:C I *D sky130_fd_sc_hd__and4bb_1 -*I *26788:X O *D sky130_fd_sc_hd__o31a_1 -*CAP -1 *26789:C 0.00103156 -2 *26788:X 0.00103156 -3 *26789:C *6291:54 8.77511e-05 -4 *26073:A_N *26789:C 0.000430515 -5 *26126:A *26789:C 0.000116003 -6 *26460:A *26789:C 0.000107354 -7 *26567:A1 *26789:C 1.4796e-05 -8 *26567:A2 *26789:C 0.000634916 -9 *26567:B1 *26789:C 0.00021846 -10 *26788:A1 *26789:C 0.000221634 -11 *1771:43 *26789:C 0.000148901 -12 *1960:24 *26789:C 0.000117931 -13 *2015:15 *26789:C 3.76824e-05 -14 *2032:76 *26789:C 4.53462e-05 -15 *2401:23 *26789:C 8.35129e-05 -16 *2507:10 *26789:C 0.000589751 -*RES -1 *26788:X *26789:C 49.9214 -*END - -*D_NET *2726 0.00850642 -*CONN -*I *26790:A3 I *D sky130_fd_sc_hd__a31oi_2 -*I *26804:C I *D sky130_fd_sc_hd__and4bb_1 -*I *26789:X O *D sky130_fd_sc_hd__and4bb_1 -*CAP -1 *26790:A3 0.000288167 -2 *26804:C 0 -3 *26789:X 0.0016647 -4 *2726:11 0.000613202 -5 *2726:10 0.00198974 -6 *26790:A3 *26804:D 2.14658e-05 -7 *26790:A3 *26805:A2 1.21258e-05 -8 *26790:A3 *2741:11 9.25014e-06 -9 *26790:A3 *5782:183 0.000125724 -10 *2726:11 *26804:D 4.27437e-05 -11 *26227:B *26790:A3 9.60939e-05 -12 *26567:A2 *2726:10 8.35129e-05 -13 *26585:B *26790:A3 5.33005e-05 -14 *26691:A *2726:10 0.00151908 -15 *26747:A *2726:10 0.000401838 -16 *26804:B_N *2726:11 0.00014285 -17 *1862:22 *26790:A3 5.20359e-06 -18 *1942:169 *2726:11 0.000108525 -19 *1996:25 *26790:A3 9.0476e-05 -20 *2019:50 *2726:10 0.000514729 -21 *2024:40 *2726:10 0.000252768 -22 *2083:6 *2726:10 0.0001746 -23 *2519:8 *2726:10 0.000270401 -24 *2525:13 *26790:A3 2.59355e-05 -*RES -1 *26789:X *2726:10 48.0321 -2 *2726:10 *2726:11 4.23214 -3 *2726:11 *26804:C 9.3 -4 *2726:11 *26790:A3 23.0679 -*END - -*D_NET *2727 0.0195436 -*CONN -*I *26791:B1 I *D sky130_fd_sc_hd__a211o_1 -*I *26790:Y O *D sky130_fd_sc_hd__a31oi_2 -*CAP -1 *26791:B1 0.00491736 -2 *26790:Y 0.000882058 -3 *2727:11 0.00579941 -4 *26791:B1 *26806:C1 0 -5 *26791:B1 *5723:75 0.000168046 -6 *26791:B1 *5782:149 0.000522917 -7 *2727:11 *5782:164 0.000102868 -8 *26172:A1 *2727:11 0.000135757 -9 *26362:A *2727:11 0.000522212 -10 *26518:A *26791:B1 0.000130975 -11 *26728:A *26791:B1 1.92789e-05 -12 *26728:B *26791:B1 0.000824891 -13 *26791:A1 *26791:B1 5.33005e-05 -14 *1518:15 *26791:B1 0.000135947 -15 *1986:96 *2727:11 0.00148097 -16 *1996:48 *2727:11 0.000593177 -17 *2011:16 *26791:B1 0 -18 *2019:88 *26791:B1 0.000103885 -19 *2019:94 *26791:B1 0.000262681 -20 *2021:10 *26791:B1 0.000121503 -21 *2022:20 *2727:11 2.11419e-05 -22 *2024:54 *26791:B1 0.000211585 -23 *2024:54 *2727:11 9.87398e-05 -24 *2024:65 *26791:B1 0.000119484 -25 *2044:18 *26791:B1 0.000274517 -26 *2086:10 *2727:11 0.00123666 -27 *2291:20 *26791:B1 0.000110057 -28 *2544:14 *26791:B1 0.000493746 -29 *2660:23 *26791:B1 0.000200406 -*RES -1 *26790:Y *2727:11 49.9632 -2 *2727:11 *26791:B1 41.0521 -*END - -*D_NET *2728 0.00610769 -*CONN -*I *26792:C1 I *D sky130_fd_sc_hd__a211o_1 -*I *26791:X O *D sky130_fd_sc_hd__a211o_1 -*CAP -1 *26792:C1 0 -2 *26791:X 0.000959307 -3 *2728:12 0.000959307 -4 *2728:12 *2735:8 8.29621e-05 -5 *26673:B *2728:12 0.000379546 -6 *26695:B *2728:12 1.53472e-05 -7 *26728:C *2728:12 0.000314465 -8 *26756:A *2728:12 0.00012401 -9 *26775:B *2728:12 9.25014e-06 -10 *26791:A2 *2728:12 2.79421e-05 -11 *28406:D *2728:12 0.00048387 -12 *29803:A *2728:12 0.000124713 -13 *2544:30 *2728:12 0.000215158 -14 *2576:26 *2728:12 0.000543683 -15 *2674:17 *2728:12 0.000101775 -16 *2674:22 *2728:12 0.00168802 -17 *2718:19 *2728:12 7.83366e-05 -*RES -1 *26791:X *2728:12 46.4964 -2 *2728:12 *26792:C1 13.8 -*END - -*D_NET *2729 0.00159776 -*CONN -*I *26794:D_N I *D sky130_fd_sc_hd__or4b_1 -*I *26793:X O *D sky130_fd_sc_hd__o221a_1 -*CAP -1 *26794:D_N 0.000215797 -2 *26793:X 0.000215797 -3 *26794:D_N *6357:19 0.000525005 -4 *26740:D1 *26794:D_N 9.60875e-05 -5 *2161:107 *26794:D_N 0.000395608 -6 *2315:29 *26794:D_N 0 -7 *2706:14 *26794:D_N 5.53052e-05 -8 *2706:17 *26794:D_N 9.41642e-05 -*RES -1 *26793:X *26794:D_N 35.35 -*END - -*D_NET *2730 0.00610348 -*CONN -*I *26795:B I *D sky130_fd_sc_hd__nor2_1 -*I *26794:X O *D sky130_fd_sc_hd__or4b_1 -*CAP -1 *26795:B 0 -2 *26794:X 0.00199152 -3 *2730:13 0.00199152 -4 *2730:13 *26796:D 2.14658e-05 -5 *2730:13 *5839:63 9.45471e-05 -6 *26740:A1 *2730:13 2.89016e-05 -7 *26740:D1 *2730:13 0.00136039 -8 *26744:B *2730:13 1.02504e-05 -9 *26770:C *2730:13 9.36589e-05 -10 *26771:B *2730:13 0 -11 *26796:B *2730:13 0.000142856 -12 *2020:28 *2730:13 0 -13 *2295:9 *2730:13 0.000177214 -14 *2544:30 *2730:13 0 -15 *2678:5 *2730:13 1.15281e-05 -16 *2678:16 *2730:13 0.000179626 -*RES -1 *26794:X *2730:13 46.3714 -2 *2730:13 *26795:B 9.3 -*END - -*D_NET *2731 0.000788976 -*CONN -*I *26796:D I *D sky130_fd_sc_hd__nor4_1 -*I *26795:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *26796:D 0.000223053 -2 *26795:Y 0.000223053 -3 *26796:D *2732:11 5.71472e-05 -4 *26796:B *26796:D 0.000227532 -5 *26796:C *26796:D 3.67257e-05 -6 *2730:13 *26796:D 2.14658e-05 -*RES -1 *26795:Y *26796:D 22.8321 -*END - -*D_NET *2732 0.00353802 -*CONN -*I *26807:A I *D sky130_fd_sc_hd__or3_1 -*I *26796:Y O *D sky130_fd_sc_hd__nor4_1 -*CAP -1 *26807:A 0 -2 *26796:Y 0.000747939 -3 *2732:11 0.000747939 -4 *2732:11 *26807:C 0.000185098 -5 *26744:B *2732:11 0.000145584 -6 *26764:B *2732:11 5.77037e-05 -7 *26764:C_N *2732:11 0.000172877 -8 *26792:A2 *2732:11 0.000316927 -9 *26796:D *2732:11 5.71472e-05 -10 *2020:28 *2732:11 0.000768094 -11 *2022:39 *2732:11 0.000142856 -12 *2674:22 *2732:11 4.62664e-05 -13 *2681:8 *2732:11 0.000149588 -14 *2701:6 *2732:11 0 -*RES -1 *26796:Y *2732:11 41.5679 -2 *2732:11 *26807:A 9.3 -*END - -*D_NET *2733 0.00133813 -*CONN -*I *26798:D_N I *D sky130_fd_sc_hd__or4b_1 -*I *26797:X O *D sky130_fd_sc_hd__o221a_1 -*CAP -1 *26798:D_N 0.000372137 -2 *26797:X 0.000372137 -3 *2009:33 *26798:D_N 7.95355e-05 -4 *2009:40 *26798:D_N 0.000216755 -5 *2383:8 *26798:D_N 0.000149544 -6 *2435:11 *26798:D_N 0.00014802 -*RES -1 *26797:X *26798:D_N 33.3679 -*END - -*D_NET *2734 0.00371262 -*CONN -*I *26799:A2 I *D sky130_fd_sc_hd__o21a_1 -*I *26798:X O *D sky130_fd_sc_hd__or4b_1 -*CAP -1 *26799:A2 0 -2 *26798:X 0.000878771 -3 *2734:16 0.000878771 -4 *26633:B *2734:16 9.44259e-05 -5 *26668:D *2734:16 0.000431864 -6 *26756:A *2734:16 0.000150618 -7 *2008:12 *2734:16 7.6644e-05 -8 *2019:94 *2734:16 0.000139859 -9 *2019:115 *2734:16 9.12446e-05 -10 *2022:28 *2734:16 0.000258797 -11 *2022:39 *2734:16 0.000255259 -12 *2436:17 *2734:16 0.000175892 -13 *2534:11 *2734:16 7.83587e-05 -14 *2674:17 *2734:16 0.00020212 -*RES -1 *26798:X *2734:16 46.6036 -2 *2734:16 *26799:A2 9.3 -*END - -*D_NET *2735 0.00438393 -*CONN -*I *26807:B I *D sky130_fd_sc_hd__or3_1 -*I *26799:X O *D sky130_fd_sc_hd__o21a_1 -*CAP -1 *26807:B 0 -2 *26799:X 0.000611584 -3 *2735:8 0.000611584 -4 *26392:A2 *2735:8 0.000137631 -5 *26673:B *2735:8 0.000379546 -6 *26775:B *2735:8 0.000197991 -7 *2019:115 *2735:8 4.16207e-05 -8 *2019:125 *2735:8 5.7234e-05 -9 *2021:20 *2735:8 0.000870779 -10 *2633:11 *2735:8 0.000360823 -11 *2674:22 *2735:8 0.00103217 -12 *2728:12 *2735:8 8.29621e-05 -*RES -1 *26799:X *2735:8 41.1929 -2 *2735:8 *26807:B 9.3 -*END - -*D_NET *2736 0.00256399 -*CONN -*I *26801:C_N I *D sky130_fd_sc_hd__or3b_1 -*I *26800:X O *D sky130_fd_sc_hd__o22a_1 -*CAP -1 *26801:C_N 0.000732649 -2 *26800:X 0.000732649 -3 *26801:C_N *5664:131 1.02504e-05 -4 *26206:B *26801:C_N 4.98055e-06 -5 *26800:B1 *26801:C_N 2.12733e-05 -6 *2136:89 *26801:C_N 0.000337637 -7 *2148:8 *26801:C_N 0.000200413 -8 *2161:89 *26801:C_N 0.00021846 -9 *2161:107 *26801:C_N 0.000250539 -10 *2191:23 *26801:C_N 5.51406e-05 -*RES -1 *26800:X *26801:C_N 39.4929 -*END - -*D_NET *2737 0.00225886 -*CONN -*I *26802:D I *D sky130_fd_sc_hd__or4_1 -*I *26801:X O *D sky130_fd_sc_hd__or3b_1 -*CAP -1 *26802:D 0.000576838 -2 *26801:X 0.000576838 -3 *26802:D *2738:7 0.000511668 -4 *26802:D *5664:243 2.89114e-05 -5 *26802:A *26802:D 0.000127983 -6 *26802:C *26802:D 5.33005e-05 -7 *2133:198 *26802:D 0.000312729 -8 *2155:117 *26802:D 0 -9 *2194:45 *26802:D 2.6269e-05 -10 *2717:33 *26802:D 4.43256e-05 -*RES -1 *26801:X *26802:D 39.8679 -*END - -*D_NET *2738 0.00844067 -*CONN -*I *26806:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *26802:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *26806:B2 0 -2 *26802:X 0 -3 *2738:7 0.00178857 -4 *2738:4 0.00178857 -5 *2738:7 *26415:B1 0.00059764 -6 *2738:7 *26429:A3 0.000386115 -7 *2738:7 *5722:166 5.33433e-05 -8 *26216:A *2738:7 1.74352e-05 -9 *26427:A3 *2738:7 1.32552e-05 -10 *26427:B1 *2738:7 1.82657e-05 -11 *26437:B *2738:7 5.52302e-05 -12 *26611:A *2738:7 0.000894193 -13 *26802:D *2738:7 0.000511668 -14 *26806:B1 *2738:7 0.000330207 -15 *2717:33 *2738:7 0.00198619 -*RES -1 *26802:X *2738:4 9.3 -2 *2738:4 *2738:7 41.5223 -3 *2738:7 *26806:B2 9.3 -*END - -*D_NET *2739 0.00255023 -*CONN -*I *26804:D I *D sky130_fd_sc_hd__and4bb_1 -*I *26803:X O *D sky130_fd_sc_hd__o2111a_1 -*CAP -1 *26804:D 0.000439737 -2 *26803:X 0.000439737 -3 *26566:A *26804:D 0.000148189 -4 *26790:A3 *26804:D 2.14658e-05 -5 *26804:B_N *26804:D 9.71197e-05 -6 *1862:22 *26804:D 5.38242e-05 -7 *1942:169 *26804:D 0.000924065 -8 *2019:41 *26804:D 1.50181e-05 -9 *2506:12 *26804:D 0.000357497 -10 *2628:8 *26804:D 1.08359e-05 -11 *2726:11 *26804:D 4.27437e-05 -*RES -1 *26803:X *26804:D 41.4393 -*END - -*D_NET *2740 0.000387237 -*CONN -*I *26805:A2 I *D sky130_fd_sc_hd__a21oi_2 -*I *26804:X O *D sky130_fd_sc_hd__and4bb_1 -*CAP -1 *26805:A2 8.74686e-05 -2 *26804:X 8.74686e-05 -3 *26227:B *26805:A2 9.0145e-05 -4 *26790:A3 *26805:A2 1.21258e-05 -5 *1862:22 *26805:A2 0.000110029 -*RES -1 *26804:X *26805:A2 20.7786 -*END - -*D_NET *2741 0.0255559 -*CONN -*I *26806:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *26805:Y O *D sky130_fd_sc_hd__a21oi_2 -*CAP -1 *26806:C1 0.00627707 -2 *26805:Y 0.000110462 -3 *2741:11 0.0074417 -4 *2741:7 0.00127509 -5 *26806:C1 *26806:A1 5.7616e-05 -6 *26806:C1 *3413:25 0 -7 *26806:C1 *5007:44 2.90068e-05 -8 *26806:C1 *5007:48 0.000594404 -9 *2741:11 *5723:26 0.000175892 -10 *2741:11 *5782:183 0.000595722 -11 *26227:B *2741:7 0.000135028 -12 *26389:A2 *26806:C1 0.000175618 -13 *26427:B1 *26806:C1 7.6644e-05 -14 *26516:A2 *26806:C1 0.000479127 -15 *26775:C *26806:C1 0.000130103 -16 *26775:D *26806:C1 0.000208342 -17 *26790:A3 *2741:11 9.25014e-06 -18 *26791:B1 *26806:C1 0 -19 *1518:15 *26806:C1 0 -20 *1953:137 *26806:C1 4.18749e-05 -21 *1996:25 *2741:11 0.0014431 -22 *2008:12 *26806:C1 0.000180933 -23 *2011:16 *26806:C1 0.000836334 -24 *2019:88 *2741:11 0.00110784 -25 *2019:94 *2741:11 3.4323e-06 -26 *2021:59 *2741:11 9.00192e-05 -27 *2056:8 *2741:11 0.00195439 -28 *2061:26 *26806:C1 0.000414452 -29 *2061:41 *26806:C1 0.000486304 -30 *2291:14 *2741:11 0.000143109 -31 *2302:30 *26806:C1 4.32957e-05 -32 *2334:8 *26806:C1 0.000484603 -33 *2343:22 *26806:C1 1.30751e-05 -34 *2534:11 *26806:C1 0.000181054 -35 *2534:34 *26806:C1 0.000127981 -36 *2595:21 *26806:C1 0.000232999 -*RES -1 *26805:Y *2741:7 15.1571 -2 *2741:7 *2741:11 46.4643 -3 *2741:11 *26806:C1 49.1575 -*END - -*D_NET *2742 0.000370007 -*CONN -*I *26807:C I *D sky130_fd_sc_hd__or3_1 -*I *26806:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *26807:C 6.9582e-05 -2 *26806:X 6.9582e-05 -3 *2674:22 *26807:C 4.57445e-05 -4 *2732:11 *26807:C 0.000185098 -*RES -1 *26806:X *26807:C 29.7786 -*END - -*D_NET *2743 0.00363645 -*CONN -*I *26810:S I *D sky130_fd_sc_hd__mux2_1 -*I *26809:S I *D sky130_fd_sc_hd__mux2_1 -*I *26808:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26810:S 0 -2 *26809:S 0.000183417 -3 *26808:Y 0.000578367 -4 *2743:12 0.000761784 -5 *26809:S *25663:A0 0.000161995 -6 *26809:S *26810:A1 0.00052491 -7 *26809:S *28227:D 0.000304338 -8 *26809:S *5303:9 1.74352e-05 -9 *2743:12 *26821:S 0.00017827 -10 *2743:12 *28417:D 0.000307102 -11 *2743:12 *2745:24 0.000135789 -12 *2743:12 *5698:256 0.000469291 -13 *2743:12 *5705:52 0 -14 *505:17 *2743:12 1.37495e-05 -*RES -1 *26808:Y *2743:12 28.3357 -2 *2743:12 *26809:S 16.1571 -3 *2743:12 *26810:S 9.3 -*END - -*D_NET *2744 0.00844963 -*CONN -*I *26813:S I *D sky130_fd_sc_hd__mux2_1 -*I *26815:S I *D sky130_fd_sc_hd__mux2_1 -*I *26812:S I *D sky130_fd_sc_hd__mux2_1 -*I *26814:S I *D sky130_fd_sc_hd__mux2_1 -*I *26816:S I *D sky130_fd_sc_hd__mux2_1 -*I *26811:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *26813:S 0.000325484 -2 *26815:S 0.000201484 -3 *26812:S 2.68308e-05 -4 *26814:S 3.9717e-05 -5 *26816:S 0.000305927 -6 *26811:Y 2.72583e-05 -7 *2744:51 0.000682031 -8 *2744:36 0.000706109 -9 *2744:14 0.00107285 -10 *2744:6 0.00127868 -11 *26813:S *26813:A0 0.00029791 -12 *26813:S *28227:D 0.00018286 -13 *26813:S *4244:7 0.000183027 -14 *26813:S *4274:16 2.63752e-05 -15 *26813:S *5026:35 7.88444e-05 -16 *26814:S *29464:A 0.000183015 -17 *26814:S *30918:A 5.33005e-05 -18 *26815:S *26813:A0 1.90936e-05 -19 *26815:S *26815:A0 4.75955e-05 -20 *26815:S *4274:16 1.5702e-05 -21 *26815:S *5705:67 2.10523e-05 -22 *26816:S *4255:9 0.000464797 -23 *26816:S *4256:11 0.000119193 -24 *26816:S *5482:18 0.000218036 -25 *26816:S *5592:113 2.77289e-05 -26 *2744:6 *26811:B 4.45982e-06 -27 *2744:6 *5050:25 9.54798e-06 -28 *2744:6 *5203:27 8.22793e-06 -29 *2744:14 *26820:S 6.24939e-05 -30 *2744:14 *30918:A 3.67624e-05 -31 *2744:14 *4944:18 1.34009e-05 -32 *2744:14 *5014:24 7.55978e-06 -33 *2744:14 *5482:18 2.09413e-05 -34 *2744:36 *26815:A1 9.92684e-05 -35 *2744:36 *26819:A0 1.60474e-05 -36 *2744:36 *3791:36 0.000101196 -37 *2744:36 *4332:24 8.79856e-06 -38 *2744:36 *5050:25 0.00011595 -39 *2744:36 *5488:34 1.80032e-05 -40 *2744:51 *26821:A1 0.000106037 -41 *2744:51 *29999:A 0.000225616 -42 *2744:51 *4274:16 2.30169e-05 -43 *2744:51 *5484:44 2.04825e-05 -44 pad_flash_io1_ieb *26816:S 0 -45 *29309:A *26813:S 2.08238e-05 -46 *30032:A *2744:51 1.34631e-05 -47 *467:18 *26814:S 7.04471e-05 -48 *505:17 *26812:S 5.04841e-06 -49 *505:17 *2744:51 0.000225616 -50 *755:18 *26815:S 2.88045e-05 -51 *755:18 *26816:S 0.000330042 -52 *755:18 *2744:14 8.6229e-06 -53 *755:42 *26816:S 5.52302e-05 -54 *758:26 *26812:S 4.67975e-05 -55 *758:26 *2744:51 0.000142011 -*RES -1 *26811:Y *2744:6 14.5321 -2 *2744:6 *2744:14 8.23214 -3 *2744:14 *26816:S 22.6036 -4 *2744:14 *26814:S 15.5679 -5 *2744:6 *2744:36 12.5536 -6 *2744:36 *26812:S 10.0321 -7 *2744:36 *2744:51 18.0714 -8 *2744:51 *26815:S 16.9607 -9 *2744:51 *26813:S 20.9786 -*END - -*D_NET *2745 0.0216723 -*CONN -*I *26822:S I *D sky130_fd_sc_hd__mux2_1 -*I *26821:S I *D sky130_fd_sc_hd__mux2_1 -*I *26823:S I *D sky130_fd_sc_hd__mux2_1 -*I *26820:S I *D sky130_fd_sc_hd__mux2_1 -*I *26819:S I *D sky130_fd_sc_hd__mux2_1 -*I *26818:S I *D sky130_fd_sc_hd__mux2_1 -*I *26817:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *26822:S 0.00070382 -2 *26821:S 0.000148826 -3 *26823:S 2.04426e-05 -4 *26820:S 0.000137894 -5 *26819:S 6.82109e-05 -6 *26818:S 0 -7 *26817:Y 0.00060113 -8 *2745:75 0.00330934 -9 *2745:33 0.000581898 -10 *2745:24 0.000504555 -11 *2745:23 0.000356545 -12 *2745:13 0.000287389 -13 *2745:8 0.00339464 -14 *26819:S *4944:18 0.000115882 -15 *26819:S *5203:27 0.000121885 -16 *26820:S *5014:24 4.6025e-06 -17 *26820:S *5069:46 3.44599e-05 -18 *26820:S *5979:21 8.22793e-06 -19 *26821:S *25662:A0 2.89016e-05 -20 *26821:S *29999:A 5.52238e-05 -21 *26821:S *5705:67 3.55731e-05 -22 *26822:S *25400:B2 0.000180764 -23 *26822:S *25617:A0 0.000196363 -24 *26822:S *26822:A1 4.11173e-05 -25 *26822:S *27527:A2 0.000644247 -26 *26822:S *27550:S 0 -27 *26822:S *27571:B1 0.000114398 -28 *26822:S *5488:64 3.28967e-05 -29 *26822:S *5685:126 8.17274e-05 -30 *26822:S *5685:142 0.000365164 -31 *26823:S *26823:A0 2.59355e-05 -32 *26823:S *28417:D 5.33005e-05 -33 *2745:8 *26817:B 6.09762e-05 -34 *2745:8 *28419:CLK 0.00016002 -35 *2745:8 *5000:14 2.76572e-05 -36 *2745:8 *5489:6 1.01913e-05 -37 *2745:8 *5494:46 8.71613e-05 -38 *2745:8 *5665:127 0 -39 *2745:8 *5778:245 0.000300498 -40 *2745:13 *29057:A 0.000136958 -41 *2745:13 *5483:44 0.000234901 -42 *2745:13 *5491:97 0.000563663 -43 *2745:13 *5778:11 0.000316184 -44 *2745:23 *26818:A1 0.000221634 -45 *2745:23 *5491:97 0.000304387 -46 *2745:24 *26818:A1 0.000148706 -47 *2745:24 *3653:52 8.6229e-06 -48 *2745:24 *5698:256 1.41885e-05 -49 *2745:24 *5705:67 6.87975e-06 -50 *2745:33 *25618:A1 5.66971e-05 -51 *2745:33 *26818:A1 0.000180387 -52 *2745:33 *26819:A0 9.85599e-06 -53 *2745:33 *3653:52 0.000160893 -54 *2745:33 *3653:54 1.08716e-05 -55 *2745:33 *3653:56 8.6229e-06 -56 *2745:33 *4332:25 0.000127921 -57 *2745:33 *4944:18 0.000230591 -58 *2745:33 *5050:25 2.6269e-05 -59 *2745:33 *5203:27 0.000143458 -60 *2745:33 *5698:256 0.000200561 -61 *2745:75 *25399:A1 1.54142e-05 -62 *2745:75 *28368:CLK 5.91452e-05 -63 *2745:75 *28797:RESET_B 0.00049266 -64 *2745:75 *3791:126 0.000132957 -65 *2745:75 *3792:10 5.71472e-05 -66 *2745:75 *3884:24 8.25843e-06 -67 *2745:75 *5483:44 2.14658e-05 -68 *2745:75 *5484:15 0.000738573 -69 *2745:75 *5489:6 1.90936e-05 -70 *2745:75 *5489:28 0.000458864 -71 *2745:75 *5489:41 0.0013258 -72 *2745:75 *5491:97 9.71197e-05 -73 *2745:75 *5665:127 0 -74 *2745:75 *5691:298 9.25014e-06 -75 *2745:75 *5778:11 4.08637e-05 -76 *25618:S *2745:33 0.000184503 -77 *26811:A *2745:33 0.000390648 -78 *26834:A *2745:13 9.71197e-05 -79 *26834:A *2745:23 3.5298e-05 -80 *28797:D *2745:75 0.000211093 -81 *460:33 *26822:S 0.000641578 -82 *466:15 *26822:S 0.00011065 -83 *467:18 *2745:33 5.50052e-05 -84 *468:20 *2745:8 4.6025e-06 -85 *505:17 *26821:S 3.47641e-06 -86 *701:29 *2745:33 3.5097e-05 -87 *759:16 *26822:S 1.43288e-05 -88 *1671:24 *26822:S 2.16719e-05 -89 *2743:12 *26821:S 0.00017827 -90 *2743:12 *2745:24 0.000135789 -91 *2744:14 *26820:S 6.24939e-05 -*RES -1 *26817:Y *2745:8 29.05 -2 *2745:8 *2745:13 8.07143 -3 *2745:13 *26818:S 9.3 -4 *2745:13 *2745:23 7.92857 -5 *2745:23 *2745:24 2.55357 -6 *2745:24 *2745:33 17.5893 -7 *2745:33 *26819:S 11.4786 -8 *2745:33 *26820:S 20.55 -9 *2745:24 *26823:S 14.3357 -10 *2745:23 *26821:S 16.8893 -11 *2745:8 *2745:75 49.9464 -12 *2745:75 *26822:S 37.6045 -*END - -*D_NET *2746 0.00417026 -*CONN -*I *26825:S I *D sky130_fd_sc_hd__mux2_1 -*I *26826:S I *D sky130_fd_sc_hd__mux2_1 -*I *26824:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26825:S 0.000594472 -2 *26826:S 0 -3 *26824:Y 0.000206078 -4 *2746:5 0.00080055 -5 *26825:S *5636:10 0.000253811 -6 *26825:S *5707:200 6.71327e-05 -7 *26825:S *5944:17 0.000308008 -8 *26825:S *6141:21 0.000169577 -9 *2746:5 *25615:A0 0.000432304 -10 *2746:5 *5675:235 0.000127728 -11 *2746:5 *5707:200 1.98839e-05 -12 *2746:5 *6141:21 9.58689e-05 -13 *29562:A *26825:S 2.12733e-05 -14 *282:15 *26825:S 0.000547385 -15 *456:17 *26825:S 6.05161e-06 -16 *1815:19 *26825:S 0.000293872 -17 *1815:34 *26825:S 0.000226266 -*RES -1 *26824:Y *2746:5 14.7643 -2 *2746:5 *26826:S 9.3 -3 *2746:5 *26825:S 34.1929 -*END - -*D_NET *2747 0.00147747 -*CONN -*I *26828:S I *D sky130_fd_sc_hd__mux2_1 -*I *26827:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26828:S 0.000308196 -2 *26827:Y 0.000308196 -3 *26828:S *25358:B2 0.000314556 -4 *26828:S *26828:A1 5.33005e-05 -5 *26828:S *2848:33 3.69974e-05 -6 *26828:S *3844:41 0.000125649 -7 *26828:S *5657:38 5.49544e-05 -8 *25266:B *26828:S 6.67536e-05 -9 *25266:C *26828:S 4.38296e-05 -10 *282:21 *26828:S 2.06178e-05 -11 *1580:12 *26828:S 0.0001238 -12 *1592:20 *26828:S 2.06178e-05 -*RES -1 *26827:Y *26828:S 37.5786 -*END - -*D_NET *2748 0.00544948 -*CONN -*I *26831:S I *D sky130_fd_sc_hd__mux2_1 -*I *26832:S I *D sky130_fd_sc_hd__mux2_1 -*I *26833:S I *D sky130_fd_sc_hd__mux2_1 -*I *26830:S I *D sky130_fd_sc_hd__mux2_1 -*I *26829:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *26831:S 0 -2 *26832:S 0 -3 *26833:S 9.55325e-05 -4 *26830:S 9.20707e-05 -5 *26829:X 0.00015923 -6 *2748:20 0.000673178 -7 *2748:12 0.000860402 -8 *2748:9 0.000534057 -9 *26830:S *26830:A0 0.000227532 -10 *26833:S *26830:A0 0.000184745 -11 *26833:S *26833:A1 5.52238e-05 -12 *26833:S *28434:D 0.000157254 -13 *2748:9 *5528:27 0.000341518 -14 *2748:9 *5529:31 0.000128161 -15 *2748:9 *5675:132 0.000204129 -16 *2748:12 *28432:D 0.000128154 -17 *2748:12 *3572:81 0 -18 *2748:12 *5528:27 0.000763884 -19 *2748:20 *26833:A1 0 -20 *2748:20 *28431:RESET_B 0 -21 *2748:20 *28434:D 0 -22 *2748:20 *3572:81 0 -23 *2748:20 *5528:8 1.31235e-05 -24 *2748:20 *5528:27 0.00060421 -25 *29798:A *26833:S 0.000227071 -*RES -1 *26829:X *2748:9 23.6214 -2 *2748:9 *2748:12 14.3393 -3 *2748:12 *2748:20 15 -4 *2748:20 *26830:S 11.4786 -5 *2748:20 *26833:S 13.6929 -6 *2748:12 *26832:S 13.8 -7 *2748:9 *26831:S 9.3 -*END - -*D_NET *2749 0.000793861 -*CONN -*I *26835:S I *D sky130_fd_sc_hd__mux2_1 -*I *26834:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26835:S 0.000128243 -2 *26834:Y 0.000128243 -3 *26835:S *5483:44 0.000127258 -4 *26835:S *5484:44 0.00022681 -5 *753:13 *26835:S 0.000183307 -*RES -1 *26834:Y *26835:S 22.8321 -*END - -*D_NET *2750 0.00259477 -*CONN -*I *26837:C1 I *D sky130_fd_sc_hd__o211a_1 -*I *26836:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *26837:C1 0.000624897 -2 *26836:X 0.000624897 -3 *26837:C1 *26837:A1 1.13834e-05 -4 *26837:C1 *3153:32 0.000135028 -5 *26837:C1 *3170:41 3.05155e-05 -6 *26837:C1 *5620:18 0.00013248 -7 *26837:C1 *5634:52 0.000310532 -8 *25351:B *26837:C1 1.90936e-05 -9 *26837:A2 *26837:C1 2.78369e-06 -10 *1248:56 *26837:C1 5.62065e-05 -11 *1253:98 *26837:C1 1.68105e-06 -12 *1400:95 *26837:C1 1.99581e-05 -13 *1535:39 *26837:C1 0.000131834 -14 *1601:6 *26837:C1 2.04825e-05 -15 *1705:13 *26837:C1 2.63501e-05 -16 *1723:27 *26837:C1 0.000446649 -*RES -1 *26836:X *26837:C1 39.2836 -*END - -*D_NET *2751 0.00917595 -*CONN -*I *26843:S I *D sky130_fd_sc_hd__mux2_1 -*I *26840:S I *D sky130_fd_sc_hd__mux2_1 -*I *26841:S I *D sky130_fd_sc_hd__mux2_1 -*I *26842:S I *D sky130_fd_sc_hd__mux2_1 -*I *26839:S I *D sky130_fd_sc_hd__mux2_1 -*I *26844:S I *D sky130_fd_sc_hd__mux2_1 -*I *26838:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *26843:S 0 -2 *26840:S 0.000203245 -3 *26841:S 0 -4 *26842:S 0.000164396 -5 *26839:S 0 -6 *26844:S 0.000243291 -7 *26838:Y 0.000773964 -8 *2751:47 0.000203245 -9 *2751:22 0.000660225 -10 *2751:20 0.000973166 -11 *2751:16 0.000624566 -12 *2751:14 0.00100669 -13 *26840:S *26840:A0 6.42095e-05 -14 *26840:S *26841:A1 0.000189662 -15 *26840:S *6345:13 0.000151265 -16 *26840:S *6350:26 0.00016968 -17 *26842:S *4352:19 9.60337e-06 -18 *26842:S *4574:37 3.05874e-05 -19 *26842:S *5718:70 8.08399e-05 -20 *26842:S *5746:124 2.06178e-05 -21 *26842:S *5926:171 0.000202149 -22 *26842:S *5926:186 0.000255848 -23 *26842:S *6385:32 0.000110667 -24 *26844:S *26839:A1 3.48023e-05 -25 *26844:S *28437:D 0.000158847 -26 *26844:S *28437:RESET_B 1.84925e-05 -27 *26844:S *5352:22 7.44888e-05 -28 *26844:S *5512:50 0 -29 *26844:S *5757:31 0 -30 *26844:S *5757:176 8.94158e-05 -31 *2751:14 *25219:A1 8.6229e-06 -32 *2751:14 *27240:A 0.000122101 -33 *2751:14 *3569:78 0.000580666 -34 *2751:14 *4574:47 3.48731e-05 -35 *2751:14 *5599:39 3.33872e-05 -36 *2751:14 *5700:174 0 -37 *2751:16 *3569:78 0.00036379 -38 *2751:16 *5700:174 0.000134077 -39 *2751:16 *6320:17 4.19624e-06 -40 *2751:20 *3569:78 0.000142371 -41 *2751:20 *5535:70 8.6051e-05 -42 *2751:20 *5700:174 1.55485e-05 -43 *2751:20 *5757:31 0.00011277 -44 *2751:20 *6183:14 3.28967e-05 -45 *2751:22 *5352:22 0.00033188 -46 *2751:22 *5548:16 3.74118e-05 -47 *2751:22 *5757:31 0 -48 *29739:A *26840:S 0.000270326 -49 *1717:14 *2751:20 0.000199786 -50 *1717:14 *2751:22 5.7066e-05 -51 *1717:25 *2751:14 9.41642e-05 -*RES -1 *26838:Y *2751:14 37.3357 -2 *2751:14 *2751:16 4.98214 -3 *2751:16 *2751:20 8.40179 -4 *2751:20 *2751:22 6.95536 -5 *2751:22 *26844:S 18.7821 -6 *2751:22 *26839:S 13.8 -7 *2751:20 *26842:S 21.5924 -8 *2751:16 *2751:47 4.5 -9 *2751:47 *26841:S 9.3 -10 *2751:47 *26840:S 15.5857 -11 *2751:14 *26843:S 13.8 -*END - -*D_NET *2752 0.000338436 -*CONN -*I *30554:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26845:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *30554:A 4.42083e-05 -2 *26845:X 4.42083e-05 -3 *30554:A *5667:136 0.00012501 -4 *1580:12 *30554:A 0.00012501 -*RES -1 *26845:X *30554:A 29.2429 -*END - -*D_NET *2753 0.00178242 -*CONN -*I *26848:S I *D sky130_fd_sc_hd__mux2_1 -*I *26847:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26848:S 0.000266832 -2 *26847:Y 0.000266832 -3 *26848:S *25142:B 4.04359e-05 -4 *26848:S *26847:B 7.74135e-05 -5 *26848:S *26848:A1 0.000265016 -6 *1415:27 *26848:S 0.000144573 -7 *1565:20 *26848:S 1.90936e-05 -8 *1627:10 *26848:S 0.000702227 -*RES -1 *26847:Y *26848:S 36.8321 -*END - -*D_NET *2754 0.0648547 -*CONN -*I *26851:S I *D sky130_fd_sc_hd__mux2_1 -*I *26850:S I *D sky130_fd_sc_hd__mux2_1 -*I *26852:S I *D sky130_fd_sc_hd__mux2_1 -*I *26849:X O *D sky130_fd_sc_hd__and2_4 -*CAP -1 *26851:S 4.74881e-05 -2 *26850:S 0.000325378 -3 *26852:S 8.95943e-06 -4 *26849:X 0.000664398 -5 *2754:41 0.000646788 -6 *2754:34 0.00121432 -7 *2754:28 0.00458713 -8 *2754:26 0.00402319 -9 *2754:20 0.00402865 -10 *2754:18 0.00470228 -11 *2754:14 0.00162847 -12 *26850:S *26850:A0 2.14658e-05 -13 *26850:S *26850:A1 0.000136958 -14 *26850:S *26857:A0 3.08907e-05 -15 *26850:S *3861:53 0.000248416 -16 *26850:S *4875:18 0.000123582 -17 *26851:S *5795:163 6.05161e-06 -18 *26851:S *5795:181 2.28598e-05 -19 *26852:S *27189:A1 5.52238e-05 -20 *26852:S *29115:A 5.71472e-05 -21 *2754:14 *27312:C 4.77427e-05 -22 *2754:14 *27314:A 0.000137561 -23 *2754:14 *27314:C 0.000140841 -24 *2754:14 *2782:100 1.57206e-05 -25 *2754:14 *2782:106 0.000633948 -26 *2754:14 *2877:25 2.61099e-05 -27 *2754:14 *5643:39 0.000782645 -28 *2754:14 *5717:186 4.66122e-05 -29 *2754:18 *27716:B1 0.000141039 -30 *2754:18 *27717:B1 1.53472e-05 -31 *2754:18 *27717:C1 0.000851472 -32 *2754:18 *2782:106 0.000505599 -33 *2754:18 *3205:189 0.00063429 -34 *2754:18 *5598:56 4.02416e-05 -35 *2754:18 *5651:85 0.000106334 -36 *2754:20 *25322:A1 0.000122689 -37 *2754:20 *25333:B1 0.00220639 -38 *2754:20 *27353:A2 0.000181532 -39 *2754:20 *27375:B1 9.61525e-05 -40 *2754:20 *27717:B1 0.000107813 -41 *2754:20 *2779:170 1.721e-05 -42 *2754:20 *2782:106 0.000449183 -43 *2754:20 *2782:116 0.000116286 -44 *2754:20 *2859:199 0.00244798 -45 *2754:20 *2859:201 8.12929e-05 -46 *2754:20 *2859:214 0.000271864 -47 *2754:20 *2866:270 0.00176544 -48 *2754:20 *3205:189 0.000298657 -49 *2754:20 *3259:25 0.000244423 -50 *2754:20 *3900:40 0.00592931 -51 *2754:20 *3900:48 0.00259874 -52 *2754:20 *3900:60 2.60389e-05 -53 *2754:20 *3976:31 0.000276189 -54 *2754:20 *3976:55 0.000163471 -55 *2754:20 *5598:56 0.000444429 -56 *2754:20 *5614:30 7.6644e-05 -57 *2754:20 *5651:129 0.000239211 -58 *2754:20 *5663:69 8.92445e-05 -59 *2754:20 *5663:81 0.000132828 -60 *2754:26 *3900:40 0.000274622 -61 *2754:28 *27112:S 0.000242062 -62 *2754:28 *27387:A2 4.33002e-05 -63 *2754:28 *27388:B1 0.000150528 -64 *2754:28 *27388:C1 0.000117913 -65 *2754:28 *27704:B1 4.19791e-05 -66 *2754:28 *28477:RESET_B 7.39316e-05 -67 *2754:28 *28676:RESET_B 0.000162443 -68 *2754:28 *2889:92 0.000222243 -69 *2754:28 *2894:303 0.00126596 -70 *2754:28 *2895:289 0.000427882 -71 *2754:28 *3164:322 0.000386014 -72 *2754:28 *3164:374 0.001144 -73 *2754:28 *3783:66 0.000431871 -74 *2754:28 *3822:85 2.99826e-05 -75 *2754:28 *3900:26 0.000952124 -76 *2754:28 *3900:28 0.00399314 -77 *2754:28 *3900:38 0.000119065 -78 *2754:28 *3900:40 0.00108885 -79 *2754:28 *5584:263 0.000744712 -80 *2754:28 *5633:97 0.00011765 -81 *2754:28 *5658:149 9.28617e-05 -82 *2754:28 *5795:188 0 -83 *2754:28 *5903:35 5.84591e-05 -84 *2754:34 *27112:S 0.000772617 -85 *2754:34 *28477:RESET_B 2.94666e-05 -86 *2754:34 *29639:A 0.000658247 -87 *2754:34 *2764:30 0.000354394 -88 *2754:34 *3861:40 0 -89 *2754:34 *5771:107 5.83304e-05 -90 *2754:34 *5795:181 0.00068492 -91 *2754:34 *5903:28 0.00015875 -92 *2754:34 *5903:35 0.000183121 -93 *2754:41 *27189:A1 0.000137983 -94 *2754:41 *29115:A 0.000126566 -95 *2754:41 *29639:A 0.000402162 -96 *2754:41 *30567:A 1.03904e-05 -97 *2754:41 *3861:40 2.80306e-05 -98 *2754:41 *3861:53 0.000559421 -99 *2754:41 *4812:13 0.000146102 -100 *2754:41 *5795:163 4.58194e-05 -101 *29789:A *2754:28 3.64479e-05 -102 *30415:A *2754:34 6.59861e-05 -103 *778:15 *26850:S 7.6644e-05 -104 *1178:36 *2754:14 1.79205e-05 -105 *1178:36 *2754:18 0.000971815 -106 *1361:147 *2754:28 8.06653e-05 -107 *1496:10 *2754:18 0.000372508 -108 *1526:8 *2754:20 3.93348e-05 -109 *1526:8 *2754:26 0.000580994 -110 *1526:8 *2754:28 0.000826197 -111 *1527:25 *2754:20 0.000160143 -*RES -1 *26849:X *2754:14 29.8089 -2 *2754:14 *2754:18 27.9821 -3 *2754:18 *2754:20 126.259 -4 *2754:20 *2754:26 8.63393 -5 *2754:26 *2754:28 105.768 -6 *2754:28 *2754:34 29.9018 -7 *2754:34 *2754:41 19.3214 -8 *2754:41 *26852:S 9.83571 -9 *2754:41 *26850:S 25.7107 -10 *2754:34 *26851:S 10.2643 -*END - -*D_NET *2755 0.0032686 -*CONN -*I *26855:S I *D sky130_fd_sc_hd__mux2_1 -*I *26854:S I *D sky130_fd_sc_hd__mux2_1 -*I *26853:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *26855:S 0.000162547 -2 *26854:S 0 -3 *26853:Y 0.000458863 -4 *2755:11 0.000621409 -5 *26855:S *26855:A1 5.33005e-05 -6 *26855:S *3986:40 0.000436238 -7 *26855:S *5581:46 0.000176834 -8 *26855:S *6225:79 7.25375e-05 -9 *2755:11 *24880:A 4.02038e-05 -10 *2755:11 *26854:A0 9.41642e-05 -11 *2755:11 *4001:27 0.000114218 -12 *2755:11 *5581:46 8.55871e-05 -13 *2755:11 *5666:231 7.40571e-05 -14 *2755:11 *5711:67 5.52238e-05 -15 *2755:11 *5711:144 9.41642e-05 -16 *2755:11 *6225:79 3.97677e-05 -17 *26853:A *2755:11 6.05161e-06 -18 *29149:A *2755:11 5.52302e-05 -19 *29152:A *26855:S 0.000306837 -20 *1327:98 *2755:11 0.00030485 -21 *1407:89 *2755:11 1.65169e-05 -*RES -1 *26853:Y *2755:11 27.55 -2 *2755:11 *26854:S 9.3 -3 *2755:11 *26855:S 15.5857 -*END - -*D_NET *2756 0.0237967 -*CONN -*I *26860:S I *D sky130_fd_sc_hd__mux2_1 -*I *26861:S I *D sky130_fd_sc_hd__mux2_1 -*I *26864:S I *D sky130_fd_sc_hd__mux2_1 -*I *26863:S I *D sky130_fd_sc_hd__mux2_1 -*I *26862:S I *D sky130_fd_sc_hd__mux2_1 -*I *26859:S I *D sky130_fd_sc_hd__mux2_1 -*I *26858:S I *D sky130_fd_sc_hd__mux2_1 -*I *26857:S I *D sky130_fd_sc_hd__mux2_1 -*I *26856:Y O *D sky130_fd_sc_hd__nand2_4 -*CAP -1 *26860:S 0.000113892 -2 *26861:S 1.70436e-05 -3 *26864:S 0.000387231 -4 *26863:S 0 -5 *26862:S 0.000192999 -6 *26859:S 0 -7 *26858:S 0.000607585 -8 *26857:S 0.000488997 -9 *26856:Y 0.00143635 -10 *2756:93 0.000734526 -11 *2756:72 0.000960127 -12 *2756:66 0.000730232 -13 *2756:57 0.00063667 -14 *2756:48 0.000290138 -15 *2756:31 0.0011762 -16 *2756:10 0.00243324 -17 *26857:S *26857:A0 6.76146e-05 -18 *26857:S *28211:D 0.0004903 -19 *26857:S *3603:164 0 -20 *26857:S *3848:95 1.21258e-05 -21 *26857:S *4043:21 0.00014285 -22 *26857:S *4043:29 0.000479652 -23 *26857:S *6011:17 4.5894e-05 -24 *26858:S *25692:S 2.0653e-05 -25 *26858:S *26858:A1 5.33005e-05 -26 *26858:S *30559:A 9.39059e-05 -27 *26858:S *30887:A 0.000392411 -28 *26858:S *4229:27 1.90323e-05 -29 *26858:S *4786:21 5.33005e-05 -30 *26858:S *5172:23 4.00349e-05 -31 *26858:S *5588:85 1.41556e-05 -32 *26860:S *26860:A0 0.000163309 -33 *26860:S *26860:A1 0.000117662 -34 *26861:S *26861:A1 2.59355e-05 -35 *26861:S *28453:D 4.18895e-05 -36 *26862:S *26862:A1 7.98971e-05 -37 *26862:S *5364:13 9.13987e-05 -38 *26862:S *5452:16 0 -39 *26864:S *24832:A 0.0003206 -40 *26864:S *26864:A1 5.71472e-05 -41 *26864:S *28457:CLK 2.68764e-05 -42 *26864:S *5274:20 3.69047e-06 -43 *26864:S *5453:13 0.000220153 -44 *26864:S *6241:9 0.000332977 -45 *2756:10 *29074:A 0.000391132 -46 *2756:10 *29971:A 0 -47 *2756:10 *3538:6 9.96553e-05 -48 *2756:10 *3538:14 0.000423358 -49 *2756:10 *3582:41 0.000123449 -50 *2756:10 *3582:90 0.000446385 -51 *2756:10 *3604:8 0.000263198 -52 *2756:10 *3604:12 9.57064e-05 -53 *2756:10 *3604:92 0.000113492 -54 *2756:10 *3604:110 0.000378516 -55 *2756:10 *4030:18 0 -56 *2756:10 *4875:18 0.000516782 -57 *2756:10 *5668:31 8.05612e-05 -58 *2756:31 *29074:A 0.000328494 -59 *2756:31 *5173:14 3.17289e-05 -60 *2756:31 *5449:16 0.000117831 -61 *2756:31 *5830:16 4.19624e-06 -62 *2756:31 *5894:14 1.10997e-05 -63 *2756:31 *6262:14 5.52654e-05 -64 *2756:57 *28454:CLK 0.00073856 -65 *2756:57 *3887:97 7.39203e-06 -66 *2756:66 *26860:A0 2.68526e-05 -67 *2756:66 *26861:A1 6.05161e-06 -68 *2756:66 *28453:D 0.000341108 -69 *2756:66 *28453:RESET_B 4.75875e-05 -70 *2756:66 *3887:97 9.85424e-06 -71 *2756:66 *5683:32 8.19176e-05 -72 *2756:66 *5879:237 0.000522346 -73 *2756:72 *25739:A0 0.000104974 -74 *2756:72 *26859:A1 0.000203556 -75 *2756:72 *27134:S 8.8696e-05 -76 *2756:72 *30559:A 0.000826531 -77 *2756:72 *5462:55 0.000424015 -78 *2756:72 *5830:16 2.04825e-05 -79 *2756:72 *6011:17 2.72589e-05 -80 *2756:93 *24832:A 6.5891e-05 -81 *2756:93 *25739:A0 0.000372104 -82 *2756:93 *28457:CLK 2.83284e-06 -83 *2756:93 *4924:17 4.05405e-05 -84 *2756:93 *5462:55 0.000625114 -85 *2756:93 *5680:40 0.000139515 -86 *2756:93 *6286:16 1.15058e-05 -87 *25693:S *26858:S 1.94879e-05 -88 *29154:A *26862:S 5.52238e-05 -89 *29373:A *26858:S 0.000180764 -90 *30709:A *26858:S 0.000152268 -91 *30709:A *2756:48 0.000108535 -92 *30709:A *2756:57 3.8274e-05 -93 *59:21 *26858:S 0.000109039 -94 *60:13 *26858:S 0 -95 *778:15 *26857:S 3.85347e-05 -96 *783:17 *2756:72 1.90936e-05 -97 *785:21 *26858:S 5.33005e-05 -98 *785:21 *2756:48 0.000222666 -99 *785:21 *2756:57 0.000695773 -100 *790:22 *26864:S 0.000276211 -101 *889:22 *2756:31 0 -*RES -1 *26856:Y *2756:10 48.9964 -2 *2756:10 *26857:S 35.4607 -3 *2756:10 *2756:31 12.9821 -4 *2756:31 *26858:S 30.8357 -5 *2756:31 *2756:48 2.17857 -6 *2756:48 *26859:S 9.3 -7 *2756:48 *2756:57 12.9643 -8 *2756:57 *2756:66 13.0714 -9 *2756:66 *2756:72 9.81925 -10 *2756:72 *26862:S 20.8796 -11 *2756:72 *2756:93 8.13558 -12 *2756:93 *26863:S 13.8 -13 *2756:93 *26864:S 24.4964 -14 *2756:66 *26861:S 9.83571 -15 *2756:57 *26860:S 16.5857 -*END - -*D_NET *2757 0.0167151 -*CONN -*I *29506:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26865:X O *D sky130_fd_sc_hd__or4_4 -*CAP -1 *29506:A 0.000778323 -2 *26865:X 0.00185104 -3 *2757:21 0.00223197 -4 *2757:11 0.00330468 -5 *29506:A *27111:A1 3.92854e-05 -6 *29506:A *2776:241 0.000218726 -7 *29506:A *3283:7 5.33005e-05 -8 *29506:A *3718:79 0.000117706 -9 *29506:A *3913:26 0.00022604 -10 *29506:A *5627:251 8.38485e-05 -11 *2757:11 *26992:A0 0.000380555 -12 *2757:11 *26992:A1 8.43535e-06 -13 *2757:11 *28124:CLK 0.000464819 -14 *2757:11 *28124:D 0.000132879 -15 *2757:11 *2771:93 0.000429934 -16 *2757:11 *3600:103 0.000257619 -17 *2757:11 *4502:21 0.000219711 -18 *2757:21 *28124:RESET_B 2.60969e-05 -19 *2757:21 *28676:CLK 0.000173515 -20 *2757:21 *29200:A 0.000112995 -21 *2757:21 *2759:43 7.79781e-06 -22 *2757:21 *3153:320 0.00113643 -23 *2757:21 *3206:304 0.00139638 -24 *2757:21 *3730:7 5.33433e-05 -25 *2757:21 *4970:17 9.25859e-05 -26 *2757:21 *5646:294 0.000882384 -27 *2757:21 *5768:169 3.97677e-05 -28 *2757:21 *5768:175 0.000226145 -29 *2757:21 *5777:131 5.87854e-05 -30 *2757:21 *5910:42 0.000186124 -31 *29119:A *2757:11 0.000136958 -32 *1288:152 *29506:A 0.000305124 -33 *1361:147 *29506:A 0.00104247 -34 *1826:238 *2757:11 1.65442e-05 -35 *1826:238 *2757:21 2.27812e-05 -*RES -1 *26865:X *2757:11 36.2821 -2 *2757:11 *2757:21 48.3393 -3 *2757:21 *29506:A 32.9786 -*END - -*D_NET *2758 0.0626974 -*CONN -*I *26882:S I *D sky130_fd_sc_hd__mux2_1 -*I *26881:S I *D sky130_fd_sc_hd__mux2_1 -*I *26877:S I *D sky130_fd_sc_hd__mux2_1 -*I *26880:S I *D sky130_fd_sc_hd__mux2_1 -*I *26876:S I *D sky130_fd_sc_hd__mux2_1 -*I *26878:S I *D sky130_fd_sc_hd__mux2_1 -*I *26875:S I *D sky130_fd_sc_hd__mux2_1 -*I *26879:S I *D sky130_fd_sc_hd__mux2_1 -*I *26874:X O *D sky130_fd_sc_hd__and2_4 -*CAP -1 *26882:S 1.49752e-05 -2 *26881:S 2.37855e-05 -3 *26877:S 0 -4 *26880:S 0.000637705 -5 *26876:S 0.00083019 -6 *26878:S 4.81007e-05 -7 *26875:S 0 -8 *26879:S 0 -9 *26874:X 0 -10 *2758:132 0.00172144 -11 *2758:125 0.00268277 -12 *2758:105 0.00430171 -13 *2758:90 0.00335982 -14 *2758:74 0.00206283 -15 *2758:67 0.000758003 -16 *2758:64 0.00162411 -17 *2758:37 0.000928881 -18 *2758:23 0.00191434 -19 *2758:8 0.00121697 -20 *2758:4 0.0016162 -21 *26876:S *26876:A0 0.000178955 -22 *26876:S *27700:B2 0.000961168 -23 *26876:S *29866:A 0.000178847 -24 *26876:S *5600:120 0.000342302 -25 *26876:S *5643:47 1.00733e-05 -26 *26876:S *5643:58 0.000429964 -27 *26878:S *3182:27 0.000122446 -28 *26878:S *6225:116 0.000113196 -29 *26880:S *28471:D 0.000173083 -30 *26880:S *2786:87 2.22043e-05 -31 *26880:S *2786:89 0.000712146 -32 *26880:S *2848:233 1.90936e-05 -33 *26880:S *5814:12 0.00164938 -34 *26882:S *26882:A0 5.33005e-05 -35 *26882:S *27813:A2 4.08637e-05 -36 *2758:8 *26874:B 0.000219289 -37 *2758:8 *2841:17 0.000221212 -38 *2758:8 *3182:27 5.41794e-05 -39 *2758:8 *6225:116 5.58941e-05 -40 *2758:23 *26875:A0 4.08637e-05 -41 *2758:23 *28787:CLK 5.52302e-05 -42 *2758:23 *29035:A 3.82357e-05 -43 *2758:23 *2877:25 0.000222077 -44 *2758:23 *2883:15 0.00129524 -45 *2758:23 *3206:84 9.59159e-05 -46 *2758:23 *3872:43 0.000213868 -47 *2758:23 *3886:51 0.000214135 -48 *2758:23 *6225:116 0.000212413 -49 *2758:37 *25324:A1 9.41642e-05 -50 *2758:37 *26875:A0 9.41642e-05 -51 *2758:37 *26875:A1 3.2687e-05 -52 *2758:37 *27670:B 0.000175892 -53 *2758:37 *27768:B1 4.73656e-05 -54 *2758:37 *27768:B2 0.000176898 -55 *2758:37 *2780:25 2.28499e-05 -56 *2758:37 *2790:58 6.05161e-06 -57 *2758:37 *2877:25 0.000216755 -58 *2758:37 *2882:31 0.000216755 -59 *2758:37 *3205:169 0.000498784 -60 *2758:37 *3221:15 9.41642e-05 -61 *2758:37 *4015:26 1.27784e-05 -62 *2758:37 *4015:31 9.25014e-06 -63 *2758:37 *5448:30 0.00130707 -64 *2758:37 *5687:114 0.000345218 -65 *2758:37 *5707:103 0.000537309 -66 *2758:64 *27770:A0 0.000638017 -67 *2758:64 *27770:S 0.000245058 -68 *2758:64 *27794:A2 0.000263108 -69 *2758:64 *31016:A 0.000178425 -70 *2758:64 *2845:172 4.87854e-05 -71 *2758:64 *2882:173 0.000247224 -72 *2758:64 *2938:11 0.000513284 -73 *2758:64 *3194:70 0.000197991 -74 *2758:64 *3316:17 6.31355e-05 -75 *2758:64 *3666:15 1.71589e-05 -76 *2758:64 *3666:119 2.45832e-05 -77 *2758:64 *4030:48 0.000693047 -78 *2758:64 *5598:49 5.05208e-05 -79 *2758:64 *5630:14 8.20109e-05 -80 *2758:67 *24854:A 0.000360816 -81 *2758:67 *27818:C1 0.000125674 -82 *2758:67 *5631:20 0.000157074 -83 *2758:67 *5755:32 0.000597623 -84 *2758:74 *26881:A0 4.97967e-05 -85 *2758:74 *26882:A0 5.33005e-05 -86 *2758:74 *27813:A2 0.000180348 -87 *2758:74 *2998:30 0.000914488 -88 *2758:74 *3153:140 1.33343e-05 -89 *2758:74 *5485:139 0.00107999 -90 *2758:74 *5631:20 0.000129719 -91 *2758:90 *26877:A1 5.33005e-05 -92 *2758:90 *26881:A0 6.57032e-05 -93 *2758:90 *27070:S 0.000202835 -94 *2758:90 *2867:184 2.7814e-05 -95 *2758:90 *2892:126 0.000427867 -96 *2758:90 *3215:25 0.000436638 -97 *2758:90 *3538:24 0.00111817 -98 *2758:90 *3574:28 8.43535e-06 -99 *2758:90 *3913:52 0.000143188 -100 *2758:90 *3939:21 0.000388772 -101 *2758:90 *5700:308 0.000132058 -102 *2758:90 *5936:29 0.000136682 -103 *2758:105 *2777:94 0.000264124 -104 *2758:105 *2848:191 0.00173233 -105 *2758:105 *2853:187 0.00203162 -106 *2758:105 *2853:202 2.52313e-05 -107 *2758:105 *2853:224 0.000171028 -108 *2758:105 *2867:192 0.000139071 -109 *2758:105 *2894:210 0.000135744 -110 *2758:105 *2938:6 4.32957e-05 -111 *2758:105 *3538:24 0.000111243 -112 *2758:105 *3558:213 2.16696e-06 -113 *2758:105 *5643:40 2.06178e-05 -114 *2758:105 *5651:129 2.04825e-05 -115 *2758:105 *5700:308 3.06466e-05 -116 *2758:105 *5700:329 4.66078e-05 -117 *2758:125 *26896:A1 1.85689e-05 -118 *2758:125 *3848:40 0.000293573 -119 *2758:125 *3952:46 0.000218679 -120 *2758:125 *3952:50 0.00129845 -121 *2758:125 *5643:40 9.54798e-06 -122 *2758:125 *5651:129 0.000600621 -123 *2758:125 *5872:10 0.00118827 -124 *2758:132 *27828:C1 0.000125724 -125 *2758:132 *2786:89 0.00247085 -126 *2758:132 *3029:20 8.15251e-05 -127 *2758:132 *3852:24 0.000517982 -128 *2758:132 *5650:231 0.000200413 -129 *2758:132 *5650:327 0.00021192 -130 *2758:132 *5814:12 0.000531317 -131 *2758:132 *5814:21 0.000358148 -132 *26874:A *2758:8 6.87146e-05 -133 *29186:A *2758:23 1.90936e-05 -134 *29723:A *2758:90 3.97677e-05 -135 *1182:15 *2758:8 0.000548732 -136 *1182:15 *2758:23 0.000972761 -137 *1182:15 *2758:64 0.000136682 -138 *1250:232 *26876:S 0.00079547 -139 *1273:130 *2758:74 6.34436e-05 -140 *1328:115 *2758:37 0.000535594 -141 *1358:19 *2758:105 0.000443827 -142 *1501:40 *2758:125 0.000384819 -143 *1638:36 *26880:S 4.11218e-05 -144 *1651:9 *2758:125 0.000106018 -*RES -1 *26874:X *2758:4 9.3 -2 *2758:4 *2758:8 10.6964 -3 *2758:8 *2758:23 46.6607 -4 *2758:23 *2758:37 47.8393 -5 *2758:37 *26879:S 9.3 -6 *2758:23 *26875:S 9.3 -7 *2758:8 *26878:S 15.4429 -8 *2758:4 *2758:64 45.6964 -9 *2758:64 *2758:67 12.2143 -10 *2758:67 *2758:74 26.1071 -11 *2758:74 *2758:90 41.7857 -12 *2758:90 *2758:105 25.5928 -13 *2758:105 *26876:S 34.925 -14 *2758:105 *2758:125 44.6607 -15 *2758:125 *2758:132 37.5982 -16 *2758:132 *26880:S 35.3268 -17 *2758:90 *26877:S 9.3 -18 *2758:74 *26881:S 9.72857 -19 *2758:67 *26882:S 9.83571 -*END - -*D_NET *2759 0.109086 -*CONN -*I *26891:S I *D sky130_fd_sc_hd__mux2_1 -*I *26890:S I *D sky130_fd_sc_hd__mux2_1 -*I *26887:S I *D sky130_fd_sc_hd__mux2_1 -*I *26886:S I *D sky130_fd_sc_hd__mux2_1 -*I *26885:S I *D sky130_fd_sc_hd__mux2_1 -*I *26884:S I *D sky130_fd_sc_hd__mux2_1 -*I *26888:S I *D sky130_fd_sc_hd__mux2_1 -*I *26889:S I *D sky130_fd_sc_hd__mux2_1 -*I *26883:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *26891:S 0 -2 *26890:S 0.000814353 -3 *26887:S 5.45128e-05 -4 *26886:S 2.63785e-05 -5 *26885:S 2.08509e-05 -6 *26884:S 0 -7 *26888:S 0.000419704 -8 *26889:S 0 -9 *26883:Y 0.000252709 -10 *2759:180 0.0015201 -11 *2759:168 0.00155789 -12 *2759:149 0.00355248 -13 *2759:147 0.00326627 -14 *2759:120 0.0016259 -15 *2759:119 0.0017955 -16 *2759:111 0.00373862 -17 *2759:80 0.00125393 -18 *2759:69 0.00100538 -19 *2759:68 0.003315 -20 *2759:49 0.00691442 -21 *2759:43 0.00254746 -22 *2759:31 0.0071404 -23 *2759:11 0.00541913 -24 *2759:5 0.00145055 -25 *26885:S *5708:141 1.97695e-05 -26 *26886:S *3924:38 6.69937e-05 -27 *26886:S *5629:172 6.47471e-05 -28 *26887:S *26887:A1 4.58194e-05 -29 *26888:S *27766:C 3.17684e-05 -30 *26890:S *26890:A0 1.98839e-05 -31 *26890:S *26890:A1 0.000351156 -32 *26890:S *26962:S 0.000217202 -33 *26890:S *30379:A 0.000598173 -34 *26890:S *2767:34 0.000743139 -35 *26890:S *2772:171 0.000293422 -36 *26890:S *4072:32 0.0019633 -37 *26890:S *4072:34 5.25481e-05 -38 *2759:11 *2764:89 4.87854e-05 -39 *2759:11 *3796:173 0.000640966 -40 *2759:11 *5586:84 9.70065e-05 -41 *2759:11 *5875:13 0.000894856 -42 *2759:31 *25629:A0 4.88898e-05 -43 *2759:31 *26889:A1 6.8839e-05 -44 *2759:31 *27210:S 0.000232445 -45 *2759:31 *27773:A1 0.000133698 -46 *2759:31 *27822:A2 0.000326207 -47 *2759:31 *28764:RESET_B 0.000160301 -48 *2759:31 *2776:224 0 -49 *2759:31 *3153:313 0.000158612 -50 *2759:31 *3153:325 0.000193138 -51 *2759:31 *3718:27 0 -52 *2759:31 *3757:75 6.24292e-05 -53 *2759:31 *3796:124 0.000197315 -54 *2759:31 *3796:163 7.69049e-05 -55 *2759:31 *3811:15 0.000158586 -56 *2759:31 *3835:36 2.29842e-05 -57 *2759:31 *3900:21 8.40344e-05 -58 *2759:31 *3967:18 0.000123892 -59 *2759:31 *3991:52 4.65519e-05 -60 *2759:31 *3991:71 0.000804646 -61 *2759:31 *4045:18 0.000239897 -62 *2759:31 *4222:21 2.99468e-05 -63 *2759:31 *5638:195 3.8386e-06 -64 *2759:31 *5646:294 0.000204016 -65 *2759:31 *5655:238 5.11957e-05 -66 *2759:31 *5655:264 9.77021e-06 -67 *2759:31 *5676:80 9.01672e-05 -68 *2759:31 *5682:84 0.000108808 -69 *2759:43 *26886:A1 2.61099e-05 -70 *2759:43 *26887:A1 1.65169e-05 -71 *2759:43 *28676:CLK 0.000375729 -72 *2759:43 *2784:134 7.95355e-05 -73 *2759:43 *2788:93 0.00125799 -74 *2759:43 *3770:28 0.000748059 -75 *2759:43 *5663:192 1.19402e-05 -76 *2759:43 *5910:42 1.99881e-05 -77 *2759:49 *2788:93 0.00039384 -78 *2759:68 *26942:A1 0.00011802 -79 *2759:68 *27609:C1 6.35819e-05 -80 *2759:68 *2776:241 5.5174e-05 -81 *2759:68 *2788:93 0.000526659 -82 *2759:68 *3179:205 9.34324e-05 -83 *2759:68 *3578:66 2.74858e-05 -84 *2759:68 *3600:26 7.43578e-06 -85 *2759:68 *3600:30 0.00013872 -86 *2759:68 *3959:20 0 -87 *2759:68 *4031:18 6.54117e-05 -88 *2759:68 *4076:24 2.06112e-05 -89 *2759:68 *5639:229 0.00190055 -90 *2759:68 *5810:115 0.000932121 -91 *2759:69 *26884:A1 0.00059925 -92 *2759:69 *2772:77 0.000151593 -93 *2759:69 *3803:11 0.000218409 -94 *2759:69 *3803:20 0.000141729 -95 *2759:69 *5613:46 2.12005e-05 -96 *2759:80 *27605:A2 0.00011648 -97 *2759:80 *28478:RESET_B 0.000549942 -98 *2759:80 *3803:40 0.00170003 -99 *2759:80 *3959:20 9.71706e-05 -100 *2759:80 *4049:38 9.41642e-05 -101 *2759:80 *5613:46 0.000141163 -102 *2759:80 *5762:163 9.0573e-05 -103 *2759:80 *5832:8 0.00139402 -104 *2759:111 *26978:S 0.000196196 -105 *2759:111 *27012:A0 1.61863e-05 -106 *2759:111 *28588:D 0.000127439 -107 *2759:111 *2773:118 0.000654592 -108 *2759:111 *2784:88 0.000226639 -109 *2759:111 *2784:94 0.00059431 -110 *2759:111 *2794:107 0.000233879 -111 *2759:111 *2794:122 0.000205867 -112 *2759:111 *2855:198 2.22866e-05 -113 *2759:111 *2891:270 4.26759e-05 -114 *2759:111 *3174:283 5.59013e-05 -115 *2759:111 *3179:186 0.000132889 -116 *2759:111 *3241:10 0.000563323 -117 *2759:111 *3846:33 0.00013361 -118 *2759:111 *3898:11 0.000161649 -119 *2759:111 *3963:42 0 -120 *2759:111 *4003:20 0.000372384 -121 *2759:111 *4003:27 2.17739e-05 -122 *2759:111 *4132:33 0 -123 *2759:111 *4132:38 0 -124 *2759:111 *5646:232 2.09632e-05 -125 *2759:111 *5701:169 4.25668e-05 -126 *2759:111 *5795:60 0.000111243 -127 *2759:111 *5803:27 0.000134119 -128 *2759:111 *5824:213 0.000379069 -129 *2759:119 *27697:A2 4.89354e-06 -130 *2759:119 *2778:152 4.65519e-05 -131 *2759:119 *3924:62 5.00194e-05 -132 *2759:119 *5702:58 0.000314985 -133 *2759:120 *27021:S 6.69937e-05 -134 *2759:120 *27342:A1 0.00014559 -135 *2759:120 *2784:88 0.000230769 -136 *2759:120 *3241:14 0.00311273 -137 *2759:120 *3886:78 0.000888616 -138 *2759:120 *3886:79 3.90046e-05 -139 *2759:120 *3963:87 0.000714013 -140 *2759:120 *5633:164 4.15592e-05 -141 *2759:120 *5645:108 4.78837e-05 -142 *2759:120 *5652:27 0.000345311 -143 *2759:147 *3612:49 0.000114658 -144 *2759:147 *4393:9 0.000810381 -145 *2759:147 *5680:106 0.000115122 -146 *2759:147 *5681:10 0.00152098 -147 *2759:147 *5681:14 1.43864e-05 -148 *2759:147 *5800:84 8.64347e-05 -149 *2759:149 *25381:A2 0 -150 *2759:149 *25718:A1 0.00030226 -151 *2759:149 *26908:A1 0.000335915 -152 *2759:149 *28190:D 4.32957e-05 -153 *2759:149 *28192:D 0.000128154 -154 *2759:149 *28549:RESET_B 0.000347986 -155 *2759:149 *28553:D 6.82922e-05 -156 *2759:149 *28589:CLK 7.56527e-05 -157 *2759:149 *30875:A 9.47909e-05 -158 *2759:149 *2761:213 0.00143683 -159 *2759:149 *2774:244 9.60337e-06 -160 *2759:149 *2776:207 0.000352439 -161 *2759:149 *3612:49 1.82241e-05 -162 *2759:149 *3617:12 0.000172896 -163 *2759:149 *3617:14 0.000227218 -164 *2759:149 *3617:16 9.65075e-05 -165 *2759:149 *3617:18 1.73191e-05 -166 *2759:149 *3617:97 0.000426424 -167 *2759:149 *3617:110 0.000426905 -168 *2759:149 *3617:121 9.65075e-05 -169 *2759:149 *3757:13 3.19722e-05 -170 *2759:149 *4072:8 0.000141368 -171 *2759:149 *4072:29 0.00103506 -172 *2759:149 *4124:43 0.000192769 -173 *2759:149 *4238:16 0.00103685 -174 *2759:149 *4399:14 0.000195198 -175 *2759:149 *4465:17 1.66787e-05 -176 *2759:149 *5681:14 0.00214202 -177 *2759:149 *5681:16 0.00107804 -178 *2759:149 *5766:29 1.94879e-05 -179 *2759:149 *5766:93 9.91086e-05 -180 *2759:149 *5766:105 0.000359245 -181 *2759:149 *5801:19 4.94271e-05 -182 *2759:149 *6001:17 4.32957e-05 -183 *2759:168 *24848:A 1.41029e-05 -184 *2759:168 *25320:A1 0.000868332 -185 *2759:168 *26891:A1 2.5066e-05 -186 *2759:168 *28481:CLK 1.09232e-05 -187 *2759:168 *28553:D 7.47029e-06 -188 *2759:168 *28555:CLK 0.000100084 -189 *2759:168 *2769:66 4.84498e-05 -190 *2759:168 *2772:171 0.000123295 -191 *2759:168 *3558:276 9.41642e-05 -192 *2759:168 *3618:8 0.000629811 -193 *2759:168 *3618:12 0.000195547 -194 *2759:168 *3618:69 0.000368096 -195 *2759:168 *4072:29 0.00125123 -196 *2759:168 *4072:32 0.00107378 -197 *2759:180 *26891:A1 1.52717e-05 -198 *2759:180 *28555:D 6.86792e-05 -199 *2759:180 *2775:44 0.000573722 -200 *2759:180 *5528:53 0.000572729 -201 *25249:C1 *26885:S 2.34059e-05 -202 *25342:C1 *2759:68 0 -203 *25383:C1 *2759:68 0.00133561 -204 *25721:A1 *2759:149 2.06112e-05 -205 *26946:A *2759:31 0 -206 *29064:A *2759:31 3.32758e-05 -207 *29210:A *2759:111 0.00013485 -208 *29227:A *2759:49 0 -209 *29227:A *2759:68 0 -210 *29903:A *2759:149 0.000394147 -211 *30086:A *2759:31 5.63043e-05 -212 *30086:A *2759:43 1.11474e-05 -213 *30294:A *26888:S 0.000220058 -214 *30387:A *2759:111 6.66459e-05 -215 *30392:A *2759:120 0.000109271 -216 *30396:A *26890:S 0.000140933 -217 *30415:A *2759:43 7.43447e-05 -218 *793:13 *2759:149 0.000554429 -219 *1267:80 *2759:119 0.000370343 -220 *1287:184 *2759:120 0.000384253 -221 *1361:45 *2759:68 0.000176282 -222 *1361:114 *2759:68 0.000347304 -223 *1519:26 *2759:111 0.000222594 -224 *1549:14 *2759:120 0.000949137 -225 *1549:24 *2759:120 0.000475166 -226 *1672:16 *2759:80 9.39227e-05 -227 *1826:238 *2759:31 8.2547e-06 -228 *1834:37 *2759:68 1.90936e-05 -229 *1853:19 *2759:43 0.000310292 -230 *1853:38 *2759:31 0.00255505 -231 *2757:21 *2759:43 7.79781e-06 -*RES -1 *26883:Y *2759:5 11.8893 -2 *2759:5 *2759:11 14.125 -3 *2759:11 *26889:S 9.3 -4 *2759:11 *2759:31 33.169 -5 *2759:31 *2759:43 47.8393 -6 *2759:43 *2759:49 4.06957 -7 *2759:49 *2759:68 45.4927 -8 *2759:68 *2759:69 6.28571 -9 *2759:69 *2759:80 47.7321 -10 *2759:80 *26888:S 14.675 -11 *2759:69 *26884:S 9.3 -12 *2759:49 *2759:111 48.3448 -13 *2759:111 *2759:119 11.1058 -14 *2759:119 *2759:120 55.2232 -15 *2759:120 *26885:S 14.5321 -16 *2759:43 *26886:S 14.6839 -17 *2759:31 *26887:S 10.675 -18 *2759:5 *2759:147 25.0446 -19 *2759:147 *2759:149 94.2321 -20 *2759:149 *2759:168 47.1696 -21 *2759:168 *2759:180 26.8214 -22 *2759:180 *26890:S 44.5857 -23 *2759:168 *26891:S 9.3 -*END - -*D_NET *2760 0.116086 -*CONN -*I *26895:S I *D sky130_fd_sc_hd__mux2_1 -*I *26897:S I *D sky130_fd_sc_hd__mux2_1 -*I *26898:S I *D sky130_fd_sc_hd__mux2_1 -*I *26894:S I *D sky130_fd_sc_hd__mux2_1 -*I *26896:S I *D sky130_fd_sc_hd__mux2_1 -*I *26900:S I *D sky130_fd_sc_hd__mux2_1 -*I *26899:S I *D sky130_fd_sc_hd__mux2_1 -*I *26893:S I *D sky130_fd_sc_hd__mux2_1 -*I *26892:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *26895:S 7.53869e-05 -2 *26897:S 5.87876e-05 -3 *26898:S 0 -4 *26894:S 0 -5 *26896:S 0.000124908 -6 *26900:S 0 -7 *26899:S 0 -8 *26893:S 0 -9 *26892:Y 0.000134786 -10 *2760:179 0.00324102 -11 *2760:174 0.00341835 -12 *2760:166 0.00031151 -13 *2760:164 0.00172909 -14 *2760:163 0.00300962 -15 *2760:157 0.00443991 -16 *2760:135 0.00287627 -17 *2760:113 0.00859455 -18 *2760:109 0.00433514 -19 *2760:98 0.00115359 -20 *2760:91 0.002506 -21 *2760:69 0.000968222 -22 *2760:51 0.00260072 -23 *2760:33 0.00206687 -24 *2760:26 0.00159173 -25 *2760:12 0.00167714 -26 *2760:10 0.00205938 -27 *2760:5 0.00222312 -28 *26895:S *26895:A1 5.52302e-05 -29 *26896:S *26896:A0 0.000259549 -30 *26896:S *26896:A1 2.59355e-05 -31 *26896:S *2871:224 6.42095e-05 -32 *26896:S *5748:36 2.24079e-05 -33 *26897:S *26897:A1 0.000125717 -34 *26897:S *2780:261 7.50505e-05 -35 *26897:S *5833:191 1.41029e-05 -36 *2760:5 *3205:235 0.000175892 -37 *2760:10 *3205:235 0.000342302 -38 *2760:10 *5718:345 7.72038e-05 -39 *2760:12 *2845:211 0.000257773 -40 *2760:12 *5718:345 3.34687e-05 -41 *2760:26 *26896:A0 0.00117663 -42 *2760:26 *27713:A2 0.000383166 -43 *2760:26 *2788:39 0.000379517 -44 *2760:26 *2872:163 0.000246828 -45 *2760:26 *3627:12 0.000504112 -46 *2760:26 *3627:23 0.000188128 -47 *2760:26 *3939:50 0.000178751 -48 *2760:26 *5640:18 7.91612e-05 -49 *2760:26 *5667:60 0.000590974 -50 *2760:33 *26893:A0 9.71197e-05 -51 *2760:33 *28468:CLK 0.000262223 -52 *2760:33 *28482:SET_B 0.000302844 -53 *2760:33 *28875:A 1.21258e-05 -54 *2760:33 *3174:188 4.87077e-05 -55 *2760:33 *3627:50 9.25014e-06 -56 *2760:33 *3627:52 0.000142376 -57 *2760:33 *3946:18 1.39711e-05 -58 *2760:33 *4927:15 0.000214094 -59 *2760:51 *28482:SET_B 9.41642e-05 -60 *2760:51 *28757:CLK 0 -61 *2760:51 *2859:313 4.85033e-05 -62 *2760:51 *2889:166 0.000218404 -63 *2760:51 *3206:199 0.000684629 -64 *2760:51 *4927:15 0.000150266 -65 *2760:51 *5656:40 0.000175892 -66 *2760:51 *5678:47 0.00130442 -67 *2760:51 *5678:71 4.61529e-05 -68 *2760:69 *26899:A1 0.000135028 -69 *2760:69 *26900:A0 2.12087e-05 -70 *2760:69 *26900:A1 5.65955e-05 -71 *2760:69 *28757:CLK 1.89602e-05 -72 *2760:69 *28757:D 5.33005e-05 -73 *2760:69 *2855:310 0.000111243 -74 *2760:69 *3164:258 0.00105933 -75 *2760:69 *3206:199 3.97677e-05 -76 *2760:69 *3206:207 7.6644e-05 -77 *2760:69 *3865:38 7.6644e-05 -78 *2760:69 *3956:17 0.000261799 -79 *2760:69 *5645:72 0.00105823 -80 *2760:69 *5678:71 0.000202161 -81 *2760:91 *27364:A 0.000266869 -82 *2760:91 *27364:C 0.000124783 -83 *2760:91 *27364:D 5.2064e-05 -84 *2760:91 *27375:B1 0.000217184 -85 *2760:91 *2844:246 0.000161857 -86 *2760:91 *3848:40 1.721e-05 -87 *2760:91 *5651:248 7.83659e-05 -88 *2760:91 *5663:50 0.000957791 -89 *2760:98 *2844:246 0.00137605 -90 *2760:98 *3185:92 0.0001326 -91 *2760:98 *4044:14 0.000932832 -92 *2760:98 *4093:7 7.56452e-05 -93 *2760:98 *4115:30 0.000939295 -94 *2760:98 *5667:25 0.000214196 -95 *2760:109 *27467:A2 4.00349e-05 -96 *2760:109 *2780:150 0.000214949 -97 *2760:109 *2780:308 6.88475e-05 -98 *2760:109 *2880:11 0 -99 *2760:109 *2880:108 0.000156214 -100 *2760:109 *3293:14 0.00013713 -101 *2760:109 *3703:10 0.000174437 -102 *2760:109 *3939:51 0 -103 *2760:109 *3965:70 0.00130358 -104 *2760:109 *3965:79 9.27418e-05 -105 *2760:109 *5645:104 0.000578056 -106 *2760:109 *5647:70 0.000261168 -107 *2760:113 *27743:D 0.000121549 -108 *2760:113 *2787:139 0.000271935 -109 *2760:113 *2787:144 0.00121254 -110 *2760:113 *2848:242 8.32042e-05 -111 *2760:113 *2867:249 0.000530741 -112 *2760:113 *2874:321 0.00191594 -113 *2760:113 *2874:336 0.00336121 -114 *2760:113 *2943:11 0.000246202 -115 *2760:113 *3170:245 9.65341e-06 -116 *2760:113 *3177:85 0.000196902 -117 *2760:113 *3718:92 0.000651966 -118 *2760:113 *4108:58 0.00229989 -119 *2760:113 *4108:98 0.000148589 -120 *2760:113 *4134:45 0.000363766 -121 *2760:135 *26898:A1 5.49544e-05 -122 *2760:135 *27117:A 3.77315e-05 -123 *2760:135 *27422:B2 0.000181112 -124 *2760:135 *27433:B1 0 -125 *2760:135 *27436:A2 1.79609e-05 -126 *2760:135 *2792:95 2.31957e-05 -127 *2760:135 *2866:307 2.01997e-05 -128 *2760:135 *2895:281 4.65519e-05 -129 *2760:135 *2943:11 4.26759e-05 -130 *2760:135 *3165:74 2.21972e-05 -131 *2760:135 *3170:245 0.000401531 -132 *2760:135 *3367:43 0.000465649 -133 *2760:135 *3824:12 2.04825e-05 -134 *2760:135 *3837:43 2.08323e-05 -135 *2760:135 *3915:37 2.04825e-05 -136 *2760:135 *4082:70 4.6387e-05 -137 *2760:135 *4110:17 8.48807e-05 -138 *2760:135 *4110:23 8.6587e-05 -139 *2760:135 *4110:37 4.65519e-05 -140 *2760:135 *4123:33 2.04825e-05 -141 *2760:135 *4360:11 0.000237636 -142 *2760:135 *5644:94 0 -143 *2760:135 *5650:335 6.05161e-06 -144 *2760:135 *5702:147 0.000167383 -145 *2760:135 *5879:98 0.000543665 -146 *2760:135 *5879:112 0.00105339 -147 *2760:157 *27379:A2 0.00012116 -148 *2760:157 *27429:A2 0.000314352 -149 *2760:157 *27738:C1 0 -150 *2760:157 *2786:55 0 -151 *2760:157 *2848:333 0 -152 *2760:157 *2852:108 0 -153 *2760:157 *2864:293 0.000115624 -154 *2760:157 *3170:245 0.00023193 -155 *2760:157 *3259:13 0.00024412 -156 *2760:157 *3538:16 0.00010604 -157 *2760:157 *3730:8 1.34792e-05 -158 *2760:157 *3861:40 0.000363275 -159 *2760:157 *3939:63 4.10444e-05 -160 *2760:157 *4069:41 0.000322524 -161 *2760:157 *4069:48 0.000507237 -162 *2760:157 *5584:263 0.000282763 -163 *2760:157 *5642:141 0.000103363 -164 *2760:157 *5647:109 0.000136961 -165 *2760:157 *5824:265 1.34692e-05 -166 *2760:163 *2933:12 0.00180234 -167 *2760:163 *3678:23 0.00155587 -168 *2760:163 *3951:18 0.000209456 -169 *2760:163 *5633:103 0.000167565 -170 *2760:164 *26967:S 0.00117681 -171 *2760:164 *27704:B1 8.77729e-05 -172 *2760:164 *27705:C1 0.000119704 -173 *2760:164 *28500:CLK 0.000671892 -174 *2760:164 *28548:D 0.000307328 -175 *2760:164 *2768:96 0.00103922 -176 *2760:164 *3582:31 1.08359e-05 -177 *2760:164 *3599:8 0.000331064 -178 *2760:164 *3599:59 0.000186547 -179 *2760:164 *3691:8 0 -180 *2760:164 *3691:12 2.7814e-05 -181 *2760:164 *3691:18 1.21637e-05 -182 *2760:164 *3691:20 0.000422836 -183 *2760:164 *3717:25 0.000127108 -184 *2760:164 *3874:91 6.47099e-05 -185 *2760:164 *3899:24 0.000457672 -186 *2760:164 *5701:83 6.50734e-05 -187 *2760:164 *5701:85 0.000370894 -188 *2760:164 *5701:87 0.000458369 -189 *2760:164 *5701:89 0.000397939 -190 *2760:164 *5701:91 0.000418354 -191 *2760:164 *5701:95 0.000587913 -192 *2760:164 *5759:18 0.000417775 -193 *2760:164 *5771:8 0.000216297 -194 *2760:164 *5863:60 0.000146853 -195 *2760:174 *27074:A1 3.36817e-05 -196 *2760:174 *2770:134 1.39841e-05 -197 *2760:174 *3704:10 7.83174e-05 -198 *2760:174 *4403:20 0.000212315 -199 *2760:174 *5702:29 2.59093e-05 -200 *2760:179 *26897:A1 0.000349867 -201 *2760:179 *27068:A0 0.000123901 -202 *2760:179 *27118:A1 4.16984e-05 -203 *2760:179 *28562:D 0.000262504 -204 *2760:179 *28643:D 0.000406405 -205 *2760:179 *30215:A 9.71197e-05 -206 *2760:179 *2780:272 0.000304088 -207 *2760:179 *4509:13 0.00154012 -208 *2760:179 *4928:13 1.18881e-05 -209 *2760:179 *5912:67 0.000520522 -210 *25118:B1 *2760:33 3.34295e-05 -211 *25190:A2 *2760:157 0.000183754 -212 *29105:A *26895:S 3.37161e-05 -213 *29105:A *2760:174 0.000102821 -214 *29123:A *2760:164 8.84375e-05 -215 *29457:A *2760:179 5.33005e-05 -216 *29661:A *26896:S 0.000260574 -217 *30111:A *2760:69 4.85033e-05 -218 *976:31 *2760:174 0.000430985 -219 *1178:123 *2760:91 0.000467426 -220 *1178:124 *2760:109 0 -221 *1178:158 *2760:113 5.65546e-05 -222 *1185:144 *2760:113 0.000234319 -223 *1185:175 *2760:113 0.000298203 -224 *1185:175 *2760:157 0 -225 *1185:184 *2760:157 0.000765282 -226 *1258:49 *2760:157 6.69444e-05 -227 *1258:56 *2760:157 0 -228 *1260:135 *2760:135 0.00231004 -229 *1261:41 *2760:109 0 -230 *1261:168 *2760:163 0.000382581 -231 *1261:227 *2760:163 0.000248164 -232 *1262:181 *2760:12 0.0010764 -233 *1262:181 *2760:26 0.000883024 -234 *1269:128 *2760:163 0.000142026 -235 *1285:26 *2760:98 0.000348833 -236 *1290:148 *2760:33 0.000339346 -237 *1490:24 *2760:10 9.91086e-05 -238 *1490:24 *2760:12 0.000735569 -239 *1490:26 *2760:12 0.000218999 -240 *1490:26 *2760:26 3.78008e-05 -241 *1506:18 *2760:113 0.000453916 -242 *1512:11 *2760:109 0.000196517 -243 *1642:37 *2760:98 0.00128512 -244 *1864:141 *2760:33 0.000301438 -*RES -1 *26892:Y *2760:5 11.0679 -2 *2760:5 *2760:10 9.25 -3 *2760:10 *2760:12 15 -4 *2760:12 *2760:26 47.0714 -5 *2760:26 *2760:33 21.4643 -6 *2760:33 *26893:S 9.3 -7 *2760:33 *2760:51 32.4821 -8 *2760:51 *26899:S 9.3 -9 *2760:51 *2760:69 41.8214 -10 *2760:69 *26900:S 9.3 -11 *2760:12 *26896:S 17.6214 -12 *2760:10 *2760:91 37.3705 -13 *2760:91 *2760:98 43.5938 -14 *2760:98 *26894:S 9.3 -15 *2760:5 *2760:109 47.4553 -16 *2760:109 *2760:113 16.7157 -17 *2760:113 *2760:135 46.6963 -18 *2760:135 *26898:S 9.3 -19 *2760:113 *2760:157 37.6067 -20 *2760:157 *2760:163 44.8036 -21 *2760:163 *2760:164 56.8929 -22 *2760:164 *2760:166 4.5 -23 *2760:166 *2760:174 16.4286 -24 *2760:174 *2760:179 46.2679 -25 *2760:179 *26897:S 20.2464 -26 *2760:166 *26895:S 10.6571 -*END - -*D_NET *2761 0.097558 -*CONN -*I *26905:S I *D sky130_fd_sc_hd__mux2_1 -*I *26909:S I *D sky130_fd_sc_hd__mux2_1 -*I *26908:S I *D sky130_fd_sc_hd__mux2_1 -*I *26904:S I *D sky130_fd_sc_hd__mux2_1 -*I *26903:S I *D sky130_fd_sc_hd__mux2_1 -*I *26902:S I *D sky130_fd_sc_hd__mux2_1 -*I *26906:S I *D sky130_fd_sc_hd__mux2_1 -*I *26907:S I *D sky130_fd_sc_hd__mux2_1 -*I *26901:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *26905:S 0.000279021 -2 *26909:S 0 -3 *26908:S 0.000195748 -4 *26904:S 0 -5 *26903:S 2.23854e-05 -6 *26902:S 5.6835e-05 -7 *26906:S 0.00113602 -8 *26907:S 0 -9 *26901:Y 4.04031e-05 -10 *2761:213 0.0012389 -11 *2761:188 0.00229144 -12 *2761:184 0.00162195 -13 *2761:168 0.000975777 -14 *2761:134 0.00135446 -15 *2761:121 0.00270654 -16 *2761:114 0.00347143 -17 *2761:98 0.00698447 -18 *2761:80 0.00244037 -19 *2761:67 0.00600555 -20 *2761:53 0.0050366 -21 *2761:38 0.00538339 -22 *2761:19 0.00262239 -23 *2761:11 0.00246545 -24 *2761:8 0.000521531 -25 *26902:S *26902:A1 0.000220937 -26 *26902:S *3742:48 0.000220937 -27 *26905:S *27031:S 0.00013708 -28 *26905:S *5461:28 0 -29 *26905:S *5586:122 5.40442e-05 -30 *26905:S *5659:18 3.97209e-05 -31 *26905:S *5716:145 0.000457088 -32 *26905:S *5800:108 0.000337527 -33 *26906:S *25202:B2 0.000737123 -34 *26906:S *27077:S 0.000177821 -35 *26906:S *27748:A1 0.000330453 -36 *26906:S *28494:D 0.000430819 -37 *26906:S *2888:258 0.000720852 -38 *26906:S *3901:35 0.00156511 -39 *26906:S *5582:113 0.00185378 -40 *26906:S *5689:117 0.000182605 -41 *26908:S *26908:A1 0.000260574 -42 *26908:S *28460:D 5.52302e-05 -43 *2761:8 *2769:146 3.4494e-05 -44 *2761:8 *5176:11 5.33005e-05 -45 *2761:8 *5586:122 5.36422e-05 -46 *2761:8 *5800:108 5.36422e-05 -47 *2761:11 *5176:11 0.000247793 -48 *2761:19 *25060:B2 5.33005e-05 -49 *2761:19 *27099:A 5.29189e-05 -50 *2761:19 *4045:50 8.43535e-06 -51 *2761:19 *4239:17 9.41642e-05 -52 *2761:19 *5176:11 0.00133804 -53 *2761:19 *5892:25 0.000118157 -54 *2761:38 *26988:A0 0.000140784 -55 *2761:38 *3811:44 9.72157e-05 -56 *2761:38 *4045:50 4.55419e-05 -57 *2761:38 *4071:15 6.37874e-05 -58 *2761:38 *4071:19 0.000425256 -59 *2761:38 *4123:20 9.87385e-05 -60 *2761:38 *4239:17 2.05612e-05 -61 *2761:38 *5639:165 0 -62 *2761:38 *5682:197 5.33005e-05 -63 *2761:38 *5873:26 7.69776e-06 -64 *2761:38 *5873:31 4.06087e-07 -65 *2761:53 *27057:S 0.000232834 -66 *2761:53 *27058:S 0.000147082 -67 *2761:53 *27789:A1 1.21258e-05 -68 *2761:53 *27789:B2 2.59355e-05 -69 *2761:53 *27791:A 2.12087e-05 -70 *2761:53 *27791:C 0.000226682 -71 *2761:53 *27791:D 8.25843e-06 -72 *2761:53 *28629:RESET_B 0.000149826 -73 *2761:53 *2770:118 2.34017e-05 -74 *2761:53 *2894:319 3.2437e-05 -75 *2761:53 *2894:331 0.000334708 -76 *2761:53 *3338:8 0.000216755 -77 *2761:53 *3367:31 0.000376858 -78 *2761:53 *3902:24 0.000136951 -79 *2761:53 *3963:60 8.92267e-05 -80 *2761:53 *5607:102 8.43775e-05 -81 *2761:53 *5650:335 0.000177162 -82 *2761:53 *5702:38 2.82126e-05 -83 *2761:53 *5777:208 0.00052103 -84 *2761:67 *27057:A1 1.85689e-05 -85 *2761:67 *27200:S 2.01997e-05 -86 *2761:67 *2794:107 1.48639e-05 -87 *2761:67 *3821:17 2.26973e-05 -88 *2761:67 *3924:53 1.90936e-05 -89 *2761:67 *4120:30 2.11419e-05 -90 *2761:67 *5587:87 0 -91 *2761:67 *5646:232 2.06112e-05 -92 *2761:67 *5663:155 0.000421074 -93 *2761:80 *27200:S 0.000134557 -94 *2761:80 *27679:B2 0.000108045 -95 *2761:80 *27699:B1 0.000212319 -96 *2761:80 *27747:A2 0.000289592 -97 *2761:80 *28628:CLK 0.000154094 -98 *2761:80 *2791:199 0.000286294 -99 *2761:80 *3162:8 8.90816e-06 -100 *2761:80 *3179:186 1.21791e-05 -101 *2761:80 *3230:6 0.000139693 -102 *2761:80 *4017:56 5.91765e-05 -103 *2761:80 *4115:10 0.000227826 -104 *2761:80 *5587:87 0 -105 *2761:80 *5641:243 7.77914e-05 -106 *2761:80 *5663:155 0.000265683 -107 *2761:80 *5708:34 0.000284475 -108 *2761:98 *27697:A2 0 -109 *2761:98 *27758:B2 0.000111243 -110 *2761:98 *3846:33 0.000739574 -111 *2761:98 *3963:60 0 -112 *2761:98 *4132:38 0 -113 *2761:98 *5632:131 0 -114 *2761:98 *5702:58 2.07038e-05 -115 *2761:114 *27338:B1 0.00014966 -116 *2761:114 *2871:318 0.000175892 -117 *2761:114 *2889:65 0 -118 *2761:114 *3833:16 0.000492325 -119 *2761:114 *3846:34 0.000371942 -120 *2761:114 *3924:73 0.000494047 -121 *2761:114 *3963:60 0 -122 *2761:114 *3992:66 0.00108989 -123 *2761:114 *4132:38 0 -124 *2761:121 *25287:A1 0.000257619 -125 *2761:121 *26903:A1 0.000200155 -126 *2761:121 *27344:B1 6.87203e-05 -127 *2761:121 *2882:210 0.000104099 -128 *2761:121 *3299:14 0.000172233 -129 *2761:121 *4067:11 0.000136682 -130 *2761:134 *6467:DIODE 0.000260152 -131 *2761:134 *25253:A1 4.08637e-05 -132 *2761:134 *25253:A2 1.89695e-05 -133 *2761:134 *26903:A0 0.000139136 -134 *2761:134 *26903:A1 4.96113e-05 -135 *2761:134 *3314:26 0.000123919 -136 *2761:134 *4063:43 0.000358387 -137 *2761:134 *4070:48 0.000202967 -138 *2761:134 *4070:50 0.000116057 -139 *2761:134 *4122:43 0.00042217 -140 *2761:134 *5652:45 9.83388e-05 -141 *2761:168 *26904:A0 0.000225424 -142 *2761:168 *26904:A1 5.33005e-05 -143 *2761:168 *27335:B1 0 -144 *2761:168 *27340:B1 1.90936e-05 -145 *2761:168 *2853:251 0.000416516 -146 *2761:168 *2853:262 9.7659e-05 -147 *2761:168 *4003:30 2.06112e-05 -148 *2761:168 *5582:70 7.90803e-05 -149 *2761:168 *5702:84 2.4461e-05 -150 *2761:168 *5764:239 0 -151 *2761:184 *30838:A 0.000451822 -152 *2761:184 *2772:152 0.00011391 -153 *2761:184 *2776:201 0.000136951 -154 *2761:184 *4147:95 0.00053573 -155 *2761:184 *4147:97 0.00182632 -156 *2761:184 *5461:28 0.00189956 -157 *2761:184 *6206:11 5.33005e-05 -158 *2761:188 *29729:A 0.000426374 -159 *2761:188 *2768:220 6.79735e-05 -160 *2761:188 *3679:84 0.000261307 -161 *2761:188 *5433:29 0.000401681 -162 *2761:188 *5659:22 0.00184071 -163 *2761:213 *27043:A1 4.38511e-05 -164 *2761:213 *28192:CLK 0.00033763 -165 *2761:213 *28553:D 0.000493565 -166 *2761:213 *29729:A 0 -167 *2761:213 *30873:A 9.97744e-05 -168 *2761:213 *2772:160 0 -169 *2761:213 *2772:164 3.12187e-05 -170 *2761:213 *3617:18 0.000195202 -171 *2761:213 *4147:106 0.000955232 -172 *2761:213 *5461:26 0.000955232 -173 *2761:213 *5601:97 0.000220214 -174 *25093:A2 *2761:168 0.000383166 -175 *25172:B1 *2761:53 2.91613e-05 -176 *25186:A2 *2761:53 0.000601236 -177 *25186:A2 *2761:67 0.000299831 -178 *25186:A2 *2761:98 0.000703186 -179 *25253:B1 *2761:134 0.000231238 -180 *25256:A *2761:134 0.000207747 -181 *25256:B *2761:134 9.99644e-06 -182 *25315:A2 *2761:80 2.28147e-05 -183 *25721:A1 *2761:184 3.97677e-05 -184 *26973:A *2761:19 0.000238329 -185 *26973:A *2761:38 0.000253724 -186 *29081:A *2761:38 5.49544e-05 -187 *30340:A *26906:S 5.36248e-05 -188 *30695:A *2761:188 0.000136957 -189 *793:13 *26908:S 4.13496e-05 -190 *793:13 *2761:213 9.60939e-05 -191 *1178:174 *2761:114 0.000161441 -192 *1248:137 *2761:121 0.000576555 -193 *1248:137 *2761:134 0.00167635 -194 *1252:207 *2761:168 0.00042866 -195 *1261:238 *2761:53 0.000913108 -196 *1267:65 *2761:168 0 -197 *1267:76 *2761:168 8.07951e-05 -198 *1267:80 *2761:98 0.00172013 -199 *1269:128 *2761:53 7.88739e-05 -200 *1272:101 *2761:53 0.00046283 -201 *1275:195 *2761:53 0.00154673 -202 *1276:102 *2761:114 2.0091e-05 -203 *1286:26 *2761:114 0 -204 *1293:149 *2761:53 0.00126383 -205 *1293:173 *2761:53 0 -206 *1361:45 *2761:80 4.8817e-05 -207 *1377:14 *2761:53 0.000135028 -208 *1506:17 *2761:53 0.000177162 -209 *1549:38 *2761:121 0.00100734 -210 *1556:26 *2761:168 6.8646e-06 -211 *1557:16 *2761:168 0 -212 *1558:14 *2761:114 0.000215472 -213 *1680:17 *2761:80 1.21955e-05 -214 *1855:11 *26905:S 0.000129208 -215 *2759:149 *2761:213 0.00143683 -*RES -1 *26901:Y *2761:8 15.0679 -2 *2761:8 *2761:11 7.08929 -3 *2761:11 *2761:19 29.375 -4 *2761:19 *26907:S 9.3 -5 *2761:19 *2761:38 34.4821 -6 *2761:38 *2761:53 49.4844 -7 *2761:53 *2761:67 22.5153 -8 *2761:67 *2761:80 28.9595 -9 *2761:80 *26906:S 45.4607 -10 *2761:67 *2761:98 11.6601 -11 *2761:98 *2761:114 45.0238 -12 *2761:114 *2761:121 30.8036 -13 *2761:121 *2761:134 49.875 -14 *2761:134 *26902:S 11.4786 -15 *2761:121 *26903:S 9.72857 -16 *2761:98 *2761:168 43.9171 -17 *2761:168 *26904:S 9.3 -18 *2761:11 *2761:184 46 -19 *2761:184 *2761:188 31.3036 -20 *2761:188 *26908:S 13.1214 -21 *2761:188 *2761:213 49.6441 -22 *2761:213 *26909:S 9.3 -23 *2761:8 *26905:S 23.0321 -*END - -*D_NET *2762 0.0040523 -*CONN -*I *29836:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26910:Y O *D sky130_fd_sc_hd__nand2_4 -*CAP -1 *29836:A 0 -2 *26910:Y 0.000513293 -3 *2762:16 0.000513293 -4 *2762:16 *26910:A 0.000219711 -5 *2762:16 *27723:C1 3.49625e-05 -6 *2762:16 *3582:41 0.000190098 -7 *2762:16 *3718:63 0.000506894 -8 *2762:16 *3900:6 0.000166911 -9 *2762:16 *3900:21 3.51442e-05 -10 *2762:16 *5644:106 4.85033e-05 -11 *2762:16 *5668:31 8.41037e-05 -12 *2762:16 *5669:278 0.000750629 -13 *2762:16 *5781:12 0.000215228 -14 *2762:16 *5914:29 0.000773532 -*RES -1 *26910:Y *2762:16 44.8714 -2 *2762:16 *29836:A 9.3 -*END - -*D_NET *2763 0.120002 -*CONN -*I *26922:S I *D sky130_fd_sc_hd__mux2_1 -*I *26924:S I *D sky130_fd_sc_hd__mux2_1 -*I *26920:S I *D sky130_fd_sc_hd__mux2_1 -*I *26923:S I *D sky130_fd_sc_hd__mux2_1 -*I *26925:S I *D sky130_fd_sc_hd__mux2_1 -*I *26927:S I *D sky130_fd_sc_hd__mux2_1 -*I *26926:S I *D sky130_fd_sc_hd__mux2_1 -*I *26921:S I *D sky130_fd_sc_hd__mux2_1 -*I *26919:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *26922:S 0.000379865 -2 *26924:S 0 -3 *26920:S 0.0013584 -4 *26923:S 0 -5 *26925:S 0 -6 *26927:S 0 -7 *26926:S 0 -8 *26921:S 0.00157619 -9 *26919:Y 4.61475e-05 -10 *2763:219 0.00359261 -11 *2763:207 0.00376291 -12 *2763:198 0.00190857 -13 *2763:196 0.00179505 -14 *2763:185 0.00397854 -15 *2763:169 0.00557126 -16 *2763:145 0.00379499 -17 *2763:124 0.00144751 -18 *2763:108 0.00191244 -19 *2763:82 0.00347174 -20 *2763:71 0.00640399 -21 *2763:69 0.00541162 -22 *2763:62 0.00167937 -23 *2763:58 0.00139209 -24 *2763:55 0.00140343 -25 *2763:44 0.00121461 -26 *2763:42 0.00190015 -27 *2763:29 0.00137042 -28 *2763:25 0.00191438 -29 *2763:5 0.00200546 -30 *26920:S *26920:A0 3.67142e-05 -31 *26920:S *26920:A1 0.000129123 -32 *26920:S *26924:A0 2.36643e-05 -33 *26920:S *26924:A1 9.99853e-05 -34 *26920:S *27658:A2 9.77264e-06 -35 *26920:S *2767:188 0.000251135 -36 *26920:S *2792:30 0.00082323 -37 *26920:S *3578:8 0 -38 *26920:S *3578:27 0 -39 *26920:S *5709:32 6.05161e-06 -40 *26920:S *5729:176 0.000235734 -41 *26920:S *5763:79 0.000185124 -42 *26921:S *26921:A1 9.58126e-05 -43 *26921:S *27363:B2 1.90111e-05 -44 *26921:S *27694:A2 4.85033e-05 -45 *26921:S *27694:C1 3.69047e-06 -46 *26921:S *28507:D 2.79421e-05 -47 *26921:S *29871:A 0.000240484 -48 *26921:S *2886:241 0.000299655 -49 *26921:S *3677:48 0.000507908 -50 *26921:S *4093:17 0.000156744 -51 *26921:S *4115:30 0.000401996 -52 *26921:S *4132:51 4.58194e-05 -53 *26921:S *5656:89 0.000136676 -54 *26922:S *26922:A0 2.14757e-05 -55 *26922:S *26922:A1 5.71472e-05 -56 *26922:S *5702:29 3.17163e-05 -57 *26922:S *5795:163 0.000255347 -58 *2763:5 *26919:A 5.33005e-05 -59 *2763:5 *4399:14 2.59355e-05 -60 *2763:5 *4455:11 0.000123471 -61 *2763:25 *25381:B2 5.4869e-05 -62 *2763:25 *25712:S 0.000141526 -63 *2763:25 *26988:A0 3.43071e-05 -64 *2763:25 *28190:D 6.59103e-06 -65 *2763:25 *28458:CLK 0.000288317 -66 *2763:25 *2767:118 2.59024e-05 -67 *2763:25 *2769:30 9.54986e-05 -68 *2763:25 *2775:14 2.63501e-05 -69 *2763:25 *3733:51 0.000966371 -70 *2763:25 *3891:13 1.94879e-05 -71 *2763:25 *3891:113 0.000370864 -72 *2763:25 *4124:19 0.000119376 -73 *2763:25 *4399:14 2.14658e-05 -74 *2763:25 *4455:11 0.000139631 -75 *2763:25 *5910:80 5.4869e-05 -76 *2763:25 *5911:64 9.60939e-05 -77 *2763:29 *28458:CLK 5.27506e-05 -78 *2763:29 *2767:118 0.000707651 -79 *2763:29 *3718:157 0.000854783 -80 *2763:29 *5602:100 0.000125685 -81 *2763:29 *5824:286 1.24368e-05 -82 *2763:29 *5824:322 0.000139491 -83 *2763:29 *6004:15 9.58126e-05 -84 *2763:42 *24845:A 0.000150618 -85 *2763:42 *26932:A1 0 -86 *2763:42 *28517:D 0.000167449 -87 *2763:42 *2764:108 2.94592e-05 -88 *2763:42 *2764:120 0.000335945 -89 *2763:42 *3617:49 4.27934e-05 -90 *2763:42 *3617:56 9.32245e-05 -91 *2763:42 *3617:63 0.000197991 -92 *2763:42 *3679:16 0.000128868 -93 *2763:42 *3718:157 1.93629e-05 -94 *2763:42 *4218:18 0.000145618 -95 *2763:42 *5602:100 0.000108889 -96 *2763:42 *5637:19 4.38243e-05 -97 *2763:42 *5800:119 0.00131004 -98 *2763:42 *5910:88 2.11543e-05 -99 *2763:42 *6244:23 0.000101545 -100 *2763:44 *25717:A0 7.55769e-05 -101 *2763:44 *28513:D 0.000316894 -102 *2763:44 *2764:120 0.00233094 -103 *2763:44 *2767:20 0 -104 *2763:44 *5602:100 0.000426599 -105 *2763:44 *5910:88 0.0022739 -106 *2763:55 *28513:D 0.000135138 -107 *2763:55 *2764:120 7.83659e-05 -108 *2763:55 *4124:85 3.16331e-05 -109 *2763:58 *24994:A1 0.000979813 -110 *2763:58 *28545:D 0.000125717 -111 *2763:58 *2776:144 0.00264312 -112 *2763:58 *4073:22 5.43587e-05 -113 *2763:58 *4099:8 3.61189e-05 -114 *2763:58 *4124:85 0.00023938 -115 *2763:58 *4124:93 7.39819e-05 -116 *2763:58 *5602:100 0.000600642 -117 *2763:62 *27026:A0 5.52238e-05 -118 *2763:62 *2774:180 0.000305619 -119 *2763:69 *24970:B2 0.000240844 -120 *2763:69 *30395:A 0.00020489 -121 *2763:69 *3630:55 0.000125355 -122 *2763:69 *5656:240 0.00024845 -123 *2763:71 *24970:B2 3.06878e-06 -124 *2763:71 *27482:A1 6.96405e-05 -125 *2763:71 *27842:A1 0.000632473 -126 *2763:71 *27842:A2 7.80759e-05 -127 *2763:71 *27842:A3 0.00029767 -128 *2763:71 *2845:215 0.000387486 -129 *2763:71 *2845:347 0.0012982 -130 *2763:71 *3205:235 0.000258724 -131 *2763:82 *27363:B1 2.29112e-05 -132 *2763:82 *27694:B1 7.58841e-05 -133 *2763:82 *3538:16 0.000188821 -134 *2763:82 *3820:91 4.25327e-05 -135 *2763:82 *3822:32 0.000190344 -136 *2763:82 *5596:26 1.90936e-05 -137 *2763:108 *3682:15 3.69047e-06 -138 *2763:108 *5675:338 0.00038021 -139 *2763:124 *2773:8 0.00166501 -140 *2763:124 *5386:12 0.000136501 -141 *2763:124 *5639:98 0.00166856 -142 *2763:124 *5679:92 5.87097e-05 -143 *2763:124 *5829:126 0.000618191 -144 *2763:145 *28509:CLK 0.000132113 -145 *2763:145 *28509:D 5.62934e-05 -146 *2763:145 *28597:D 2.74584e-05 -147 *2763:145 *3612:39 3.25247e-05 -148 *2763:145 *4399:14 5.55449e-05 -149 *2763:145 *5589:135 0 -150 *2763:145 *5911:64 0.00033105 -151 *2763:169 *26923:A0 0.000273549 -152 *2763:169 *27114:S 0.00089557 -153 *2763:169 *27742:B1 9.41642e-05 -154 *2763:169 *27792:A 0.000140258 -155 *2763:169 *28687:RESET_B 0.000894548 -156 *2763:169 *2791:45 0.000281057 -157 *2763:169 *3153:300 4.33002e-05 -158 *2763:169 *3339:22 3.44695e-06 -159 *2763:169 *3837:27 1.08359e-05 -160 *2763:169 *4045:50 0 -161 *2763:169 *4095:33 2.28598e-05 -162 *2763:169 *4147:31 7.55474e-05 -163 *2763:169 *5663:222 4.67474e-05 -164 *2763:169 *5682:141 0.000121388 -165 *2763:185 *27704:A1 7.44721e-05 -166 *2763:185 *27704:A2 0.000180764 -167 *2763:185 *27704:B2 9.81603e-05 -168 *2763:185 *27705:C1 9.71197e-05 -169 *2763:185 *27742:B1 7.00763e-05 -170 *2763:185 *28676:D 2.42516e-05 -171 *2763:185 *2770:118 5.00194e-05 -172 *2763:185 *2772:46 4.97486e-05 -173 *2763:185 *2788:158 0 -174 *2763:185 *3538:16 0.000182608 -175 *2763:185 *3582:31 1.02936e-05 -176 *2763:185 *3809:11 0.00012401 -177 *2763:185 *3991:38 9.23805e-05 -178 *2763:185 *4095:33 0.000539212 -179 *2763:185 *4147:31 1.42087e-05 -180 *2763:185 *4147:46 2.35215e-05 -181 *2763:185 *5627:251 5.41797e-06 -182 *2763:185 *5663:192 0.000588774 -183 *2763:185 *5668:50 9.06586e-05 -184 *2763:196 *2771:84 2.06178e-05 -185 *2763:196 *2784:133 0.000144038 -186 *2763:196 *3704:14 0.000561153 -187 *2763:196 *3874:79 0 -188 *2763:196 *3874:91 0 -189 *2763:196 *3899:14 0.000118438 -190 *2763:196 *3924:38 0.00035637 -191 *2763:196 *3963:42 4.73891e-05 -192 *2763:196 *4094:12 0.000248589 -193 *2763:196 *4094:17 2.00751e-05 -194 *2763:196 *5629:172 0.00104817 -195 *2763:196 *5646:252 5.23496e-05 -196 *2763:196 *5646:258 3.7143e-05 -197 *2763:196 *5646:267 0.000175845 -198 *2763:196 *5701:113 0.000225287 -199 *2763:196 *5702:29 0.000121503 -200 *2763:196 *5768:161 2.09897e-05 -201 *2763:207 *28760:D 0.000177204 -202 *2763:207 *2794:95 7.6644e-05 -203 *2763:207 *5795:163 6.1293e-05 -204 *2763:207 *5863:77 7.6644e-05 -205 *2763:219 *25363:A1 4.85033e-05 -206 *2763:219 *25363:B2 0.00103449 -207 *2763:219 *26924:A0 3.55279e-05 -208 *2763:219 *26924:A1 0.000165217 -209 *2763:219 *28743:D 0.000100823 -210 *2763:219 *28747:CLK 9.92131e-05 -211 *2763:219 *28747:D 8.55871e-05 -212 *2763:219 *29100:A 1.56723e-05 -213 *2763:219 *4024:23 2.74026e-05 -214 *2763:219 *4096:11 6.77246e-05 -215 *2763:219 *4096:25 9.94037e-05 -216 *2763:219 *4102:32 0.000134084 -217 *2763:219 *4350:116 0.000600814 -218 *2763:219 *5715:32 9.91086e-05 -219 *2763:219 *5729:176 2.51343e-06 -220 *2763:219 *5763:79 4.17433e-05 -221 *25051:B1 *2763:169 1.08189e-05 -222 *29234:A *2763:185 5.71472e-05 -223 *29286:A *2763:196 5.68913e-05 -224 *30044:A *2763:58 0.00031688 -225 *30214:A *2763:5 8.43535e-06 -226 *30398:A *2763:124 9.41642e-05 -227 *244:65 *2763:25 0.00169055 -228 *244:65 *2763:42 0.000691273 -229 *244:65 *2763:58 0.0003243 -230 *244:65 *2763:145 9.16325e-06 -231 *794:23 *2763:29 2.12087e-05 -232 *890:10 *2763:145 0.000517144 -233 *1185:88 *2763:82 0.0021602 -234 *1225:163 *2763:219 0.000289576 -235 *1225:181 *2763:207 0.0013343 -236 *1225:181 *2763:219 1.24368e-05 -237 *1261:101 *2763:108 0.000381864 -238 *1268:79 *2763:62 9.66977e-05 -239 *1268:95 *2763:62 0.000366015 -240 *1268:95 *2763:69 3.02545e-05 -241 *1268:95 *2763:108 0.000399974 -242 *1268:96 *2763:124 0.000616477 -243 *1268:123 *2763:58 0.00069649 -244 *1270:88 *2763:62 0.000175618 -245 *1273:181 *2763:169 0.000109651 -246 *1275:139 *2763:58 0.000666589 -247 *1275:195 *2763:25 4.38243e-05 -248 *1288:127 *2763:185 9.71197e-05 -249 *1288:127 *2763:196 1.52978e-05 -250 *1288:152 *2763:185 0.00135551 -251 *1320:15 *2763:62 0.000675263 -252 *1320:15 *2763:69 0.000124714 -253 *1320:15 *2763:108 0 -254 *1334:22 *2763:108 0.000493915 -255 *1361:147 *2763:185 0.000625215 -256 *1364:19 *2763:169 0.000391354 -257 *1697:44 *2763:185 4.10599e-05 -258 *1853:64 *2763:169 0.00221271 -*RES -1 *26919:Y *2763:5 10.6571 -2 *2763:5 *2763:25 49.4885 -3 *2763:25 *2763:29 17.0625 -4 *2763:29 *2763:42 35.4732 -5 *2763:42 *2763:44 34.2768 -6 *2763:44 *2763:55 9.04078 -7 *2763:55 *2763:58 47.5804 -8 *2763:58 *2763:62 16.125 -9 *2763:62 *2763:69 21.7857 -10 *2763:69 *2763:71 52.6964 -11 *2763:71 *2763:82 44.5893 -12 *2763:82 *26921:S 43.9964 -13 *2763:62 *2763:108 29.6786 -14 *2763:108 *2763:124 43 -15 *2763:124 *26926:S 9.3 -16 *2763:44 *26927:S 13.8 -17 *2763:29 *26925:S 9.3 -18 *2763:5 *2763:145 19.875 -19 *2763:145 *26923:S 9.3 -20 *2763:145 *2763:169 47.072 -21 *2763:169 *2763:185 45.7293 -22 *2763:185 *2763:196 48.3126 -23 *2763:196 *2763:198 4.5 -24 *2763:198 *2763:207 29.7857 -25 *2763:207 *2763:219 46.1786 -26 *2763:219 *26920:S 45.0857 -27 *2763:219 *26924:S 9.3 -28 *2763:198 *26922:S 24.2107 -*END - -*D_NET *2764 0.133049 -*CONN -*I *26931:S I *D sky130_fd_sc_hd__mux2_1 -*I *26932:S I *D sky130_fd_sc_hd__mux2_1 -*I *26935:S I *D sky130_fd_sc_hd__mux2_1 -*I *26930:S I *D sky130_fd_sc_hd__mux2_1 -*I *26936:S I *D sky130_fd_sc_hd__mux2_1 -*I *26934:S I *D sky130_fd_sc_hd__mux2_1 -*I *26929:S I *D sky130_fd_sc_hd__mux2_1 -*I *26933:S I *D sky130_fd_sc_hd__mux2_1 -*I *26928:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *26931:S 7.51922e-05 -2 *26932:S 8.97577e-05 -3 *26935:S 0.00140635 -4 *26930:S 0.000758582 -5 *26936:S 3.61819e-05 -6 *26934:S 2.36895e-05 -7 *26929:S 0 -8 *26933:S 0.000661906 -9 *26928:Y 3.82418e-05 -10 *2764:209 0.00517309 -11 *2764:169 0.00268396 -12 *2764:166 0.00263224 -13 *2764:160 0.00534968 -14 *2764:152 0.00210382 -15 *2764:151 0.00179757 -16 *2764:129 0.00188136 -17 *2764:120 0.00242915 -18 *2764:108 0.0014578 -19 *2764:99 0.000431342 -20 *2764:94 0.00178858 -21 *2764:92 0.00240121 -22 *2764:89 0.00189474 -23 *2764:52 0.000965825 -24 *2764:46 0.00151192 -25 *2764:43 0.00226541 -26 *2764:35 0.00345372 -27 *2764:30 0.00393381 -28 *2764:21 0.0046592 -29 *2764:5 0.00417346 -30 *26930:S *28515:D 0.00026901 -31 *26930:S *2850:179 4.15592e-05 -32 *26930:S *2852:28 9.3565e-05 -33 *26930:S *2894:210 4.00349e-05 -34 *26930:S *2978:36 6.40797e-05 -35 *26930:S *3184:96 0.000162475 -36 *26930:S *3184:118 0.000524338 -37 *26930:S *3782:98 4.21517e-05 -38 *26930:S *3834:57 0.000431471 -39 *26930:S *5657:206 4.1879e-05 -40 *26931:S *4671:11 1.24368e-05 -41 *26931:S *5702:160 1.98839e-05 -42 *26932:S *26932:A0 9.60875e-05 -43 *26932:S *26932:A1 3.82357e-05 -44 *26932:S *3705:62 0.000260574 -45 *26933:S *26929:A0 9.41642e-05 -46 *26933:S *28514:CLK 9.90431e-05 -47 *26933:S *28514:D 0.000167906 -48 *26933:S *28532:RESET_B 0.000144038 -49 *26933:S *3589:135 0.000405727 -50 *26933:S *5462:21 9.60875e-05 -51 *26934:S *5954:15 5.52238e-05 -52 *26935:S *26935:A1 2.89016e-05 -53 *26935:S *30865:A 2.59355e-05 -54 *26935:S *3631:10 1.39841e-05 -55 *26935:S *4046:26 0.000220441 -56 *26935:S *5589:180 7.27549e-05 -57 *26936:S *4112:21 6.05161e-06 -58 *26936:S *5676:154 8.90371e-05 -59 *2764:21 *24976:A1 8.23419e-05 -60 *2764:21 *24976:B2 9.7659e-05 -61 *2764:21 *24977:B2 0.000157278 -62 *2764:21 *28464:D 5.33005e-05 -63 *2764:21 *2791:13 0.000190952 -64 *2764:21 *5590:191 2.13481e-06 -65 *2764:21 *5870:19 8.43535e-06 -66 *2764:21 *5911:46 0.00055984 -67 *2764:21 *5911:53 0.00142054 -68 *2764:30 *25193:A1 4.58194e-05 -69 *2764:30 *25193:B2 5.33005e-05 -70 *2764:30 *28464:D 0.00012324 -71 *2764:30 *28501:CLK 0.000135028 -72 *2764:30 *28501:D 7.48091e-05 -73 *2764:30 *29054:A 0.000123939 -74 *2764:30 *29055:A 0.000556103 -75 *2764:30 *2779:10 3.45453e-05 -76 *2764:30 *5776:20 0.000137983 -77 *2764:30 *5777:160 0.000126409 -78 *2764:30 *5870:19 0.00189519 -79 *2764:30 *5870:21 0.00119093 -80 *2764:30 *5903:28 2.49484e-05 -81 *2764:35 *26912:A1 0.000642093 -82 *2764:35 *28463:CLK 1.98839e-05 -83 *2764:35 *28677:D 0.000601147 -84 *2764:35 *28677:RESET_B 4.8794e-05 -85 *2764:35 *3601:24 0.000220842 -86 *2764:35 *5628:212 1.90111e-05 -87 *2764:35 *5771:107 6.05161e-06 -88 *2764:35 *5820:31 0.00113176 -89 *2764:35 *5820:46 8.43535e-06 -90 *2764:43 *27205:S 9.71197e-05 -91 *2764:43 *28759:D 0.000726341 -92 *2764:43 *3601:24 0.000303972 -93 *2764:43 *5669:254 5.52238e-05 -94 *2764:43 *5716:121 1.02821e-05 -95 *2764:43 *5863:77 0.000137983 -96 *2764:46 *28638:CLK 0.00020455 -97 *2764:46 *3699:13 0.00112908 -98 *2764:46 *4043:54 0.00318559 -99 *2764:46 *5246:10 0.0005295 -100 *2764:46 *5584:163 0.000138955 -101 *2764:46 *5792:26 0.000171334 -102 *2764:52 *28532:RESET_B 5.58941e-05 -103 *2764:52 *5462:21 0.000392019 -104 *2764:52 *6280:6 5.41794e-05 -105 *2764:89 *27004:S 0.000197984 -106 *2764:89 *28170:CLK 0.000121629 -107 *2764:89 *28573:RESET_B 0.000175892 -108 *2764:89 *28581:D 0.000148935 -109 *2764:89 *28605:D 0.000286128 -110 *2764:89 *3718:5 6.05161e-06 -111 *2764:89 *3718:147 3.97677e-05 -112 *2764:89 *3796:176 2.77258e-05 -113 *2764:89 *5588:106 1.21258e-05 -114 *2764:89 *5588:113 0.000104511 -115 *2764:89 *5588:130 0.00108571 -116 *2764:89 *5681:10 0 -117 *2764:89 *5696:26 1.08359e-05 -118 *2764:92 *27013:S 3.4323e-06 -119 *2764:92 *28605:D 0.000585123 -120 *2764:92 *3718:147 0.00197739 -121 *2764:92 *5696:39 0.000313458 -122 *2764:94 *26988:A0 4.04359e-05 -123 *2764:94 *28529:RESET_B 2.16719e-05 -124 *2764:94 *28567:CLK 0.000162607 -125 *2764:94 *2767:118 0.000178629 -126 *2764:94 *2772:152 2.86824e-05 -127 *2764:94 *3613:56 0.000241838 -128 *2764:94 *3613:58 0.00039968 -129 *2764:94 *3707:13 1.20719e-05 -130 *2764:94 *3718:157 0 -131 *2764:94 *4238:16 0.000111568 -132 *2764:94 *4466:10 0.000111113 -133 *2764:94 *5589:135 8.07599e-06 -134 *2764:94 *5680:129 0.00152763 -135 *2764:99 *5680:129 0.000336788 -136 *2764:99 *5954:15 0.00016834 -137 *2764:108 *2767:18 0.000542804 -138 *2764:108 *3617:56 0.000183215 -139 *2764:108 *3617:63 9.7478e-05 -140 *2764:108 *5602:100 0.000124022 -141 *2764:108 *5800:119 3.09981e-05 -142 *2764:120 *25717:A0 7.72916e-05 -143 *2764:120 *2767:18 2.3964e-05 -144 *2764:120 *3617:56 4.38058e-05 -145 *2764:120 *4124:85 0.00162386 -146 *2764:120 *5602:100 0.000590062 -147 *2764:120 *5766:29 6.28194e-05 -148 *2764:120 *6001:17 0.000197907 -149 *2764:129 *26936:A1 9.35114e-06 -150 *2764:129 *28497:D 0.00034127 -151 *2764:129 *30045:A 0.000165123 -152 *2764:129 *3618:43 9.58181e-05 -153 *2764:129 *4073:22 0.000339109 -154 *2764:129 *4112:11 6.57032e-05 -155 *2764:129 *4112:13 6.90561e-05 -156 *2764:129 *4112:21 0.000139187 -157 *2764:129 *5676:154 0.00129393 -158 *2764:129 *5767:55 0.000135028 -159 *2764:151 *2792:102 3.63298e-05 -160 *2764:151 *2866:296 1.69265e-05 -161 *2764:151 *4112:21 0.000345211 -162 *2764:151 *5651:289 0.000100831 -163 *2764:151 *5651:311 0.000249313 -164 *2764:151 *5680:209 0 -165 *2764:152 *27465:A1 1.90936e-05 -166 *2764:152 *27479:A2 0.000753206 -167 *2764:152 *2774:162 0.000501376 -168 *2764:152 *2792:102 0.000204499 -169 *2764:152 *2792:104 0.00243503 -170 *2764:152 *2844:201 1.90936e-05 -171 *2764:152 *2861:42 3.1573e-05 -172 *2764:152 *3022:11 0.000324575 -173 *2764:152 *3774:36 0.00286078 -174 *2764:160 *27479:B2 0.000508745 -175 *2764:160 *2856:294 0.00177775 -176 *2764:160 *3193:60 0.00177835 -177 *2764:166 *3558:213 0.000602373 -178 *2764:166 *3558:223 0.000140228 -179 *2764:166 *5531:18 0.00030501 -180 *2764:166 *5650:198 9.23226e-05 -181 *2764:169 *27713:A2 0.000103679 -182 *2764:169 *30427:A 9.60875e-05 -183 *2764:169 *30812:A 3.13687e-05 -184 *2764:169 *2788:39 0.000589407 -185 *2764:169 *3263:11 0.000303965 -186 *2764:169 *5635:93 0.00270528 -187 *2764:169 *5652:93 0.000116519 -188 *2764:169 *5652:95 0.00171725 -189 *2764:209 *27815:B2 0.000339182 -190 *2764:209 *27816:C 5.41797e-05 -191 *2764:209 *2844:201 0.000606786 -192 *2764:209 *2856:294 0.000859733 -193 *2764:209 *2883:143 5.38267e-05 -194 *2764:209 *2883:158 0.000399698 -195 *2764:209 *2889:162 0.000127805 -196 *2764:209 *2889:166 0.000183617 -197 *2764:209 *2895:256 0.000114127 -198 *2764:209 *3018:22 0.000689941 -199 *2764:209 *3165:27 0.000275571 -200 *2764:209 *3193:51 0.000257282 -201 *2764:209 *3193:59 0.000115606 -202 *2764:209 *3345:6 0.000287807 -203 *2764:209 *3558:223 0.000123176 -204 *2764:209 *3735:58 0.000150618 -205 *2764:209 *3864:63 0.0018009 -206 *2764:209 *3904:38 0.000125424 -207 *2764:209 *3942:60 0.000432035 -208 *2764:209 *4086:68 2.04866e-05 -209 *2764:209 *5589:180 8.83373e-05 -210 *2764:209 *5650:198 0 -211 *24976:A2 *2764:21 4.58194e-05 -212 *24976:C1 *2764:21 7.25033e-05 -213 *24977:B1 *2764:21 1.65169e-05 -214 *25019:A2 *26935:S 2.89016e-05 -215 *25677:A1 *2764:43 5.33005e-05 -216 *25677:B1 *2764:43 4.43256e-05 -217 *25677:B2 *2764:43 0.000166595 -218 *25727:S *2764:92 0.000137321 -219 *27063:A *2764:30 2.59355e-05 -220 *29099:A *26933:S 0 -221 *29168:A *2764:43 0.000172725 -222 *29704:A *26932:S 7.43578e-06 -223 *29865:A *26930:S 0.000183941 -224 *30218:A *26933:S 0.000363837 -225 *30362:A *2764:89 0.000392276 -226 *30443:A *2764:209 0.000597907 -227 *244:65 *2764:120 0.00118137 -228 *244:65 *2764:129 0.00028855 -229 *922:17 *2764:92 7.6644e-05 -230 *1225:33 *26930:S 0.000127359 -231 *1256:160 *2764:43 0.00026353 -232 *1265:161 *2764:30 0.000305314 -233 *1272:168 *26935:S 0.000218734 -234 *1274:141 *2764:129 0.000287842 -235 *1275:164 *2764:94 0.000121549 -236 *1308:19 *2764:209 0 -237 *1328:179 *2764:166 0.000307098 -238 *1345:13 *26935:S 0.00147133 -239 *1367:53 *26936:S 1.21258e-05 -240 *1385:25 *2764:209 0.000389818 -241 *1385:33 *2764:209 0.00017423 -242 *1490:166 *2764:94 0.00314058 -243 *1490:166 *2764:99 0.000336788 -244 *1513:7 *2764:30 4.48128e-05 -245 *1697:20 *2764:92 1.09026e-05 -246 *1697:20 *2764:94 0.000269438 -247 *1853:77 *2764:92 0.00035638 -248 *1853:77 *2764:94 0.000123605 -249 *1862:82 *2764:46 0.000652058 -250 *1862:93 *26933:S 0.00011174 -251 *2754:34 *2764:30 0.000354394 -252 *2759:11 *2764:89 4.87854e-05 -253 *2763:42 *2764:108 2.94592e-05 -254 *2763:42 *2764:120 0.000335945 -255 *2763:44 *2764:120 0.00233094 -256 *2763:55 *2764:120 7.83659e-05 -*RES -1 *26928:Y *2764:5 10.0321 -2 *2764:5 *2764:21 42.7679 -3 *2764:21 *2764:30 47.2321 -4 *2764:30 *2764:35 36.2679 -5 *2764:35 *2764:43 26.125 -6 *2764:43 *2764:46 49.8571 -7 *2764:46 *2764:52 13.5536 -8 *2764:52 *26933:S 32.7464 -9 *2764:52 *26929:S 9.3 -10 *2764:5 *2764:89 47.3214 -11 *2764:89 *2764:92 27.0268 -12 *2764:92 *2764:94 46.1161 -13 *2764:94 *2764:99 10.6429 -14 *2764:99 *26934:S 9.83571 -15 *2764:99 *2764:108 11.6071 -16 *2764:108 *2764:120 47.8338 -17 *2764:120 *2764:129 41.75 -18 *2764:129 *26936:S 10.2643 -19 *2764:129 *2764:151 17.71 -20 *2764:151 *2764:152 48.0893 -21 *2764:152 *2764:160 34.2662 -22 *2764:160 *2764:166 13.4621 -23 *2764:166 *2764:169 49.8036 -24 *2764:169 *26930:S 30.5522 -25 *2764:160 *2764:209 47.1218 -26 *2764:209 *26935:S 43.1929 -27 *2764:108 *26932:S 16.3893 -28 *2764:94 *26931:S 15.1929 -*END - -*D_NET *2765 0.00966031 -*CONN -*I *29736:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26937:Y O *D sky130_fd_sc_hd__nand2_4 -*CAP -1 *29736:A 0.000381424 -2 *26937:Y 0.00178552 -3 *2765:18 0.00216695 -4 *29736:A *2856:355 0.000440625 -5 *29736:A *3164:333 5.33005e-05 -6 *2765:18 *25205:A1 4.53834e-05 -7 *2765:18 *27015:A0 2.42516e-05 -8 *2765:18 *27015:A1 0.000466823 -9 *2765:18 *27431:B1 0.0020561 -10 *2765:18 *30197:A 8.25801e-05 -11 *2765:18 *2856:344 0.000337867 -12 *2765:18 *2864:301 0.000158552 -13 *2765:18 *2891:311 0.000452055 -14 *2765:18 *2955:15 2.06178e-05 -15 *2765:18 *3164:319 0 -16 *2765:18 *3165:99 1.99236e-05 -17 *2765:18 *3537:38 8.6281e-05 -18 *2765:18 *3939:102 8.6281e-05 -19 *2765:18 *3967:18 3.98737e-05 -20 *2765:18 *4030:28 1.0945e-05 -21 *2765:18 *4082:70 9.23226e-05 -22 *2765:18 *5635:187 0.000682765 -23 *2765:18 *5652:200 4.46186e-06 -24 *2765:18 *5654:157 5.33334e-05 -25 *2765:18 *5696:77 6.77479e-05 -26 *29066:A *2765:18 4.43256e-05 -*RES -1 *26937:Y *2765:18 48.593 -2 *2765:18 *29736:A 20.1036 -*END - -*D_NET *2766 0.0408724 -*CONN -*I *26950:S I *D sky130_fd_sc_hd__mux2_1 -*I *26949:S I *D sky130_fd_sc_hd__mux2_1 -*I *26947:S I *D sky130_fd_sc_hd__mux2_1 -*I *26948:S I *D sky130_fd_sc_hd__mux2_1 -*I *26951:S I *D sky130_fd_sc_hd__mux2_1 -*I *26952:S I *D sky130_fd_sc_hd__mux2_1 -*I *26953:S I *D sky130_fd_sc_hd__mux2_1 -*I *26954:S I *D sky130_fd_sc_hd__mux2_1 -*I *26946:X O *D sky130_fd_sc_hd__and2_4 -*CAP -1 *26950:S 5.84655e-05 -2 *26949:S 0 -3 *26947:S 0 -4 *26948:S 0.000670355 -5 *26951:S 0.000210213 -6 *26952:S 0.000263482 -7 *26953:S 0.000325146 -8 *26954:S 1.6833e-05 -9 *26946:X 0.000817138 -10 *2766:101 0.00212773 -11 *2766:93 0.00145144 -12 *2766:88 0.00142803 -13 *2766:79 0.00400008 -14 *2766:57 0.00396903 -15 *2766:32 0.00212753 -16 *2766:23 0.00282514 -17 *2766:14 0.000985973 -18 *26948:S *29857:A 0.000354679 -19 *26948:S *3578:27 6.81083e-05 -20 *26948:S *3589:11 5.33005e-05 -21 *26948:S *3589:17 0.000152095 -22 *26948:S *5709:20 2.61189e-05 -23 *26950:S *3913:16 0.000109601 -24 *26950:S *5771:157 5.11241e-05 -25 *26951:S *3578:27 0.000443792 -26 *26951:S *3589:71 0 -27 *26951:S *5688:10 0 -28 *26952:S *27183:A0 5.52302e-05 -29 *26952:S *5682:251 0.000205344 -30 *26952:S *5682:254 0.000123295 -31 *26952:S *5775:78 6.09572e-05 -32 *26953:S *24827:A 0.000364948 -33 *26953:S *3839:13 0.000255577 -34 *26953:S *3978:130 0.000164727 -35 *26953:S *5881:26 0.000190547 -36 *26954:S *6201:11 5.33005e-05 -37 *2766:14 *26914:A1 1.24368e-05 -38 *2766:14 *2791:275 0.000127623 -39 *2766:14 *3926:29 0.000319208 -40 *2766:14 *5695:80 0.000410741 -41 *2766:14 *5709:312 1.90936e-05 -42 *2766:14 *5911:28 2.44318e-05 -43 *2766:14 *5926:66 1.41665e-05 -44 *2766:14 *5926:68 0.000745756 -45 *2766:23 *2791:275 0.000104821 -46 *2766:23 *3926:29 0.000330016 -47 *2766:32 *28537:D 2.28499e-05 -48 *2766:32 *5682:11 8.43535e-06 -49 *2766:32 *5682:26 7.6644e-05 -50 *2766:32 *5682:251 0.001127 -51 *2766:32 *5683:43 0.000304394 -52 *2766:32 *5775:60 0.000654903 -53 *2766:32 *5905:16 2.34204e-05 -54 *2766:57 *2769:209 0.00109301 -55 *2766:57 *2786:147 0.000357782 -56 *2766:57 *2791:275 0.000230811 -57 *2766:57 *3926:29 0.000961574 -58 *2766:57 *5702:202 9.0519e-05 -59 *2766:57 *5926:66 0 -60 *2766:57 *6278:11 0.000270024 -61 *2766:79 *26985:A0 0.000435839 -62 *2766:79 *28691:D 0.000486672 -63 *2766:79 *28691:SET_B 4.70821e-05 -64 *2766:79 *29082:A 0.000321582 -65 *2766:79 *29157:A 4.23876e-05 -66 *2766:79 *2769:209 0.00270201 -67 *2766:79 *2769:227 0.00023133 -68 *2766:79 *2786:147 0.00172343 -69 *2766:79 *3686:27 0.000295784 -70 *2766:79 *3874:102 1.50551e-05 -71 *2766:79 *3924:34 9.60875e-05 -72 *2766:79 *5701:29 9.25014e-06 -73 *2766:79 *5824:170 0.000219009 -74 *2766:88 *26947:A0 7.60799e-05 -75 *2766:88 *28530:D 5.52302e-05 -76 *2766:88 *28554:CLK 0.000911479 -77 *2766:88 *29353:A 0.000157258 -78 *2766:88 *4128:10 0.000304797 -79 *2766:88 *5832:46 0 -80 *2766:88 *5833:200 0.000187742 -81 *2766:93 *26947:A0 0.000273449 -82 *2766:93 *4128:10 9.24491e-05 -83 *2766:93 *5833:200 4.46186e-06 -84 *2766:101 *26897:A0 9.20581e-06 -85 *2766:101 *30215:A 0.000121573 -86 *2766:101 *2780:261 1.69115e-05 -87 *2766:101 *5715:26 0.000130201 -88 *2766:101 *5833:191 0 -89 *26946:A *2766:14 0.000139485 -90 *29354:A *2766:93 6.05161e-06 -91 *29967:A *2766:57 5.91106e-05 -92 *29978:A *26954:S 2.85321e-05 -93 *30216:A *2766:101 0.000120418 -94 *30712:A *2766:57 0 -95 *866:20 *2766:57 1.25494e-05 -96 *889:22 *2766:79 0.000139907 -97 *1292:153 *2766:14 6.09919e-05 -*RES -1 *26946:X *2766:14 33.3 -2 *2766:14 *26954:S 14.3357 -3 *2766:14 *2766:23 4.375 -4 *2766:23 *2766:32 35.3214 -5 *2766:32 *26953:S 27.5321 -6 *2766:32 *26952:S 22.5321 -7 *2766:23 *2766:57 20.267 -8 *2766:57 *2766:79 42.0074 -9 *2766:79 *2766:88 28.9464 -10 *2766:88 *2766:93 9.33929 -11 *2766:93 *2766:101 29.5 -12 *2766:101 *26951:S 19.3893 -13 *2766:101 *26948:S 34.3536 -14 *2766:93 *26947:S 9.3 -15 *2766:88 *26949:S 13.8 -16 *2766:57 *26950:S 18.7011 -*END - -*D_NET *2767 0.102888 -*CONN -*I *26959:S I *D sky130_fd_sc_hd__mux2_1 -*I *26961:S I *D sky130_fd_sc_hd__mux2_1 -*I *26956:S I *D sky130_fd_sc_hd__mux2_1 -*I *26960:S I *D sky130_fd_sc_hd__mux2_1 -*I *26963:S I *D sky130_fd_sc_hd__mux2_1 -*I *26957:S I *D sky130_fd_sc_hd__mux2_1 -*I *26958:S I *D sky130_fd_sc_hd__mux2_1 -*I *26962:S I *D sky130_fd_sc_hd__mux2_1 -*I *26955:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *26959:S 4.84535e-05 -2 *26961:S 0 -3 *26956:S 0.000325132 -4 *26960:S 0.000129424 -5 *26963:S 3.80874e-05 -6 *26957:S 2.06112e-05 -7 *26958:S 0.000522567 -8 *26962:S 0.000375407 -9 *26955:Y 2.04426e-05 -10 *2767:190 0.000752177 -11 *2767:188 0.00185128 -12 *2767:175 0.00377417 -13 *2767:167 0.00576073 -14 *2767:149 0.00360299 -15 *2767:142 0.00343373 -16 *2767:122 0.00377265 -17 *2767:118 0.00217409 -18 *2767:66 0.00258873 -19 *2767:62 0.00389376 -20 *2767:49 0.0018863 -21 *2767:34 0.00166802 -22 *2767:20 0.00245182 -23 *2767:18 0.00211227 -24 *2767:7 0.00279436 -25 *26956:S *28980:A 0.000594314 -26 *26956:S *6036:107 5.33005e-05 -27 *26956:S *6192:22 5.33005e-05 -28 *26957:S *5708:147 3.99614e-06 -29 *26958:S *27342:C1 0.000218019 -30 *26958:S *27353:A2 0.000215528 -31 *26958:S *2905:14 0.000205467 -32 *26958:S *3848:40 0.000371432 -33 *26958:S *4146:11 0.000135028 -34 *26958:S *4146:16 0.000216755 -35 *26958:S *5614:35 2.84109e-05 -36 *26958:S *5708:164 6.05161e-06 -37 *26959:S *26959:A1 0.000125547 -38 *26959:S *6087:19 0.000178847 -39 *26960:S *26960:A0 9.99853e-05 -40 *26960:S *26960:A1 7.09928e-05 -41 *26960:S *4246:11 0.000241145 -42 *26962:S *26962:A1 0.000425959 -43 *26962:S *26971:A1 0.000101011 -44 *26962:S *30379:A 0.000250393 -45 *26962:S *2772:177 0.000449666 -46 *26962:S *3786:60 0.000338702 -47 *26963:S *26963:A0 8.43535e-06 -48 *26963:S *26963:A1 5.33005e-05 -49 *26963:S *5614:18 4.58194e-05 -50 *2767:7 *5432:36 5.33005e-05 -51 *2767:7 *5911:94 2.59355e-05 -52 *2767:18 *26932:A1 0.000395907 -53 *2767:18 *28496:D 1.27625e-05 -54 *2767:18 *3617:56 6.607e-06 -55 *2767:18 *5602:100 0.000116155 -56 *2767:18 *5680:129 0.000710453 -57 *2767:18 *5800:119 2.4692e-05 -58 *2767:18 *5824:306 0.000905916 -59 *2767:18 *5910:88 0 -60 *2767:18 *5911:115 0.000416286 -61 *2767:20 *24993:A1 0.000143044 -62 *2767:20 *26927:A1 0.000150632 -63 *2767:20 *28496:D 0.0001786 -64 *2767:20 *28513:D 4.75428e-05 -65 *2767:20 *29071:A 0.000158654 -66 *2767:20 *30063:A 4.40705e-05 -67 *2767:20 *2773:45 0.00148715 -68 *2767:20 *3786:35 0.000262693 -69 *2767:20 *3786:60 0.000412318 -70 *2767:20 *4099:8 9.3231e-05 -71 *2767:20 *5601:83 0.000450682 -72 *2767:20 *5602:100 0.000126071 -73 *2767:20 *5680:147 9.72247e-05 -74 *2767:20 *5910:88 1.69115e-05 -75 *2767:34 *24993:A1 1.31516e-05 -76 *2767:34 *25014:B1 0.000127732 -77 *2767:34 *25014:B2 0.000103993 -78 *2767:34 *2772:171 0.000787078 -79 *2767:34 *2772:177 0.000355065 -80 *2767:34 *3558:224 0.000187413 -81 *2767:34 *3558:227 7.48091e-05 -82 *2767:34 *3558:251 1.97381e-05 -83 *2767:34 *3786:60 0.000891504 -84 *2767:34 *5602:100 0.000142052 -85 *2767:62 *26963:A0 6.05161e-06 -86 *2767:62 *26963:A1 0 -87 *2767:62 *3179:82 8.83414e-05 -88 *2767:62 *3370:11 0.000137561 -89 *2767:62 *3943:37 0.000143949 -90 *2767:62 *4151:44 9.58126e-05 -91 *2767:62 *5614:18 0.000302932 -92 *2767:62 *5642:95 0.000139187 -93 *2767:62 *5662:76 0.00043536 -94 *2767:66 *6471:DIODE 9.71197e-05 -95 *2767:66 *25066:A1 2.14757e-05 -96 *2767:66 *25066:B2 0.000137167 -97 *2767:66 *2885:129 0.000332771 -98 *2767:66 *3666:144 4.82947e-05 -99 *2767:66 *3850:24 0.000331064 -100 *2767:66 *5601:75 0.000608498 -101 *2767:66 *5644:68 0.000177815 -102 *2767:66 *5647:59 0.0013816 -103 *2767:118 *28458:CLK 0.000154144 -104 *2767:118 *28511:RESET_B 0.000127439 -105 *2767:118 *28529:RESET_B 9.30022e-05 -106 *2767:118 *2769:30 4.73891e-05 -107 *2767:118 *3718:157 0.000179735 -108 *2767:118 *5589:135 0.000372529 -109 *2767:118 *5602:100 0.00081035 -110 *2767:118 *5680:129 4.73471e-05 -111 *2767:118 *5766:197 0.000164554 -112 *2767:118 *5801:19 2.01997e-05 -113 *2767:118 *5824:306 0.000276279 -114 *2767:122 *4396:14 0.000405132 -115 *2767:122 *5589:135 0.00025394 -116 *2767:142 *26959:A1 0.00018077 -117 *2767:142 *27004:S 0.00040843 -118 *2767:142 *27006:A1 0.00011307 -119 *2767:142 *2772:45 0.000420378 -120 *2767:142 *2791:45 2.79405e-05 -121 *2767:142 *3206:293 0.00074204 -122 *2767:142 *3339:22 0.000151509 -123 *2767:142 *3607:76 0.000104646 -124 *2767:142 *3612:33 3.47529e-05 -125 *2767:142 *3612:35 0.000168224 -126 *2767:142 *3965:22 2.81307e-05 -127 *2767:142 *3965:29 0.000132421 -128 *2767:142 *4205:22 0.000187661 -129 *2767:142 *4206:29 6.60111e-05 -130 *2767:142 *5651:378 0.00200002 -131 *2767:142 *5676:80 5.00194e-05 -132 *2767:142 *5682:158 0.000208334 -133 *2767:142 *5911:64 4.21301e-05 -134 *2767:142 *6087:19 0.00121813 -135 *2767:149 *3796:163 4.78395e-05 -136 *2767:149 *4205:22 1.55206e-05 -137 *2767:167 *25628:A0 5.33433e-05 -138 *2767:167 *27675:B1 5.49544e-05 -139 *2767:167 *27675:B2 0.000567506 -140 *2767:167 *28611:D 0.000347432 -141 *2767:167 *28676:RESET_B 0.000381395 -142 *2767:167 *2788:158 0 -143 *2767:167 *3206:304 0.000115333 -144 *2767:167 *3206:306 1.88242e-05 -145 *2767:167 *3226:8 0.00138312 -146 *2767:167 *3537:35 3.91961e-05 -147 *2767:167 *3757:75 9.88655e-06 -148 *2767:167 *3781:11 0.000158415 -149 *2767:167 *3874:91 1.94945e-05 -150 *2767:167 *3926:40 3.71349e-05 -151 *2767:167 *3963:21 2.05612e-05 -152 *2767:167 *3963:42 2.90548e-05 -153 *2767:167 *3991:52 1.09611e-05 -154 *2767:167 *5651:378 0 -155 *2767:167 *5656:327 0.000133359 -156 *2767:167 *5676:47 7.69776e-06 -157 *2767:167 *5701:113 2.09897e-05 -158 *2767:167 *5768:127 8.33853e-05 -159 *2767:167 *5814:12 2.06178e-05 -160 *2767:175 *25112:A2 0.000267807 -161 *2767:175 *26865:A 0.00102345 -162 *2767:175 *28678:D 0.000464893 -163 *2767:175 *28879:A 3.04394e-05 -164 *2767:175 *3206:306 1.82549e-05 -165 *2767:175 *3578:7 0.000136682 -166 *2767:175 *4043:54 0.000266099 -167 *2767:175 *5646:259 0.000686293 -168 *2767:175 *5689:81 0.000306324 -169 *2767:188 *28510:CLK 9.95963e-05 -170 *2767:188 *28534:D 0.000289315 -171 *2767:188 *28980:A 0.000149389 -172 *2767:188 *28999:A 0.000285215 -173 *2767:188 *3578:8 0 -174 *2767:188 *5709:32 0.000288592 -175 *2767:188 *5729:176 0.000231634 -176 *2767:188 *5763:79 0 -177 *2767:188 *5832:8 0 -178 *2767:188 *5891:76 0.000337651 -179 *2767:188 *5891:92 0.000166976 -180 *2767:190 *28980:A 0.000718241 -181 *2767:190 *28999:A 0 -182 *2767:190 *5709:10 0.000234532 -183 *25051:B1 *2767:142 6.63047e-05 -184 *25054:B1 *2767:142 6.98512e-06 -185 *25207:B *26958:S 0 -186 *25628:S *2767:167 5.33005e-05 -187 *25727:S *2767:122 0.000172152 -188 *26865:B *2767:175 8.85712e-05 -189 *26890:S *26962:S 0.000217202 -190 *26890:S *2767:34 0.000743139 -191 *26920:S *2767:188 0.000251135 -192 *27353:C1 *26958:S 0.000756428 -193 *29075:A *2767:167 4.12053e-05 -194 *29730:A *2767:18 0.00012501 -195 *29943:A *2767:142 0.000224685 -196 *30194:A *26956:S 0 -197 *30194:A *2767:190 0 -198 *30200:A *2767:188 0.000123137 -199 *244:166 *26959:S 1.98839e-05 -200 *1258:123 *2767:34 9.36643e-05 -201 *1267:221 *2767:62 4.82865e-05 -202 *1274:137 *2767:62 0.00122736 -203 *1274:138 *2767:62 0.00158912 -204 *1274:170 *2767:34 0.00087074 -205 *1274:170 *2767:62 0.000165123 -206 *1274:172 *26962:S 0.000340424 -207 *1275:164 *2767:118 0.000308154 -208 *1286:168 *26958:S 1.21258e-05 -209 *1286:168 *2767:66 0.000619947 -210 *1288:194 *2767:142 0.000789318 -211 *1293:181 *2767:142 6.54117e-05 -212 *1334:11 *2767:62 0.000166289 -213 *1378:8 *2767:62 4.87854e-05 -214 *1490:164 *2767:20 0.00150082 -215 *1490:166 *2767:18 0.000187401 -216 *1490:166 *2767:20 8.34842e-05 -217 *1516:21 *26958:S 5.33005e-05 -218 *1516:21 *2767:66 0.000944932 -219 *1672:16 *2767:188 0.00162367 -220 *1697:20 *2767:118 0.000280043 -221 *1826:238 *2767:167 0.00424272 -222 *1853:64 *2767:142 9.60875e-05 -223 *1853:77 *2767:118 0.000670565 -224 *1853:77 *2767:122 0.00075754 -225 *1853:94 *2767:18 0.000441515 -226 *1853:94 *2767:118 0.00046577 -227 *1862:10 *2767:188 0 -228 *2763:25 *2767:118 2.59024e-05 -229 *2763:29 *2767:118 0.000707651 -230 *2763:44 *2767:20 0 -231 *2764:94 *2767:118 0.000178629 -232 *2764:108 *2767:18 0.000542804 -233 *2764:120 *2767:18 2.3964e-05 -*RES -1 *26955:Y *2767:7 14.3357 -2 *2767:7 *2767:18 31.2768 -3 *2767:18 *2767:20 41.8661 -4 *2767:20 *2767:34 45.7946 -5 *2767:34 *26962:S 37.1482 -6 *2767:20 *2767:49 4.5 -7 *2767:49 *2767:62 46.8214 -8 *2767:62 *2767:66 48.4286 -9 *2767:66 *26958:S 42.9429 -10 *2767:66 *26957:S 9.72857 -11 *2767:49 *26963:S 10.2464 -12 *2767:7 *2767:118 40.9145 -13 *2767:118 *2767:122 16.6161 -14 *2767:122 *2767:142 45.9553 -15 *2767:142 *2767:149 5.89286 -16 *2767:149 *2767:167 49.4997 -17 *2767:167 *2767:175 49.2679 -18 *2767:175 *2767:188 48.1786 -19 *2767:188 *2767:190 9.23214 -20 *2767:190 *26960:S 18.0321 -21 *2767:190 *26956:S 22.05 -22 *2767:149 *26961:S 9.3 -23 *2767:122 *26959:S 11.0679 -*END - -*D_NET *2768 0.125164 -*CONN -*I *26972:S I *D sky130_fd_sc_hd__mux2_1 -*I *26970:S I *D sky130_fd_sc_hd__mux2_1 -*I *26968:S I *D sky130_fd_sc_hd__mux2_1 -*I *26971:S I *D sky130_fd_sc_hd__mux2_1 -*I *26966:S I *D sky130_fd_sc_hd__mux2_1 -*I *26967:S I *D sky130_fd_sc_hd__mux2_1 -*I *26969:S I *D sky130_fd_sc_hd__mux2_1 -*I *26965:S I *D sky130_fd_sc_hd__mux2_1 -*I *26964:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *26972:S 0 -2 *26970:S 0 -3 *26968:S 2.25053e-05 -4 *26971:S 1.99857e-05 -5 *26966:S 4.66064e-05 -6 *26967:S 0.000531381 -7 *26969:S 0.000651136 -8 *26965:S 0.000443937 -9 *26964:Y 0 -10 *2768:220 0.00272441 -11 *2768:205 0.00291275 -12 *2768:204 0.00099878 -13 *2768:190 0.00162947 -14 *2768:175 0.00175107 -15 *2768:146 0.0015419 -16 *2768:141 0.00226636 -17 *2768:107 0.00340101 -18 *2768:96 0.00368045 -19 *2768:90 0.00288851 -20 *2768:89 0.00395363 -21 *2768:69 0.00225139 -22 *2768:56 0.00211984 -23 *2768:50 0.00267601 -24 *2768:33 0.00408711 -25 *2768:25 0.00349252 -26 *2768:22 0.00439548 -27 *2768:4 0.00494849 -28 *26965:S *3206:115 0.000466997 -29 *26965:S *3673:10 9.25014e-06 -30 *26965:S *3783:110 0.000143161 -31 *26966:S *26966:A0 1.98839e-05 -32 *26966:S *26966:A1 5.33005e-05 -33 *26966:S *2872:260 8.43535e-06 -34 *26967:S *26967:A0 5.33005e-05 -35 *26967:S *3874:91 0.000436167 -36 *26967:S *5584:178 4.27437e-05 -37 *26967:S *5701:101 0.000193639 -38 *26967:S *5759:18 0.000197779 -39 *26968:S *30836:A 1.58163e-05 -40 *26968:S *3705:62 5.33005e-05 -41 *26969:S *5688:39 3.14138e-05 -42 *26969:S *5891:92 0.000355329 -43 *2768:22 *27808:B1 0.000534652 -44 *2768:22 *27811:B2 3.34366e-05 -45 *2768:22 *2844:190 8.94491e-05 -46 *2768:22 *3185:34 1.70865e-05 -47 *2768:22 *3185:48 0 -48 *2768:22 *3748:37 0.000150257 -49 *2768:22 *4150:31 0.000135383 -50 *2768:22 *5649:91 0.000523832 -51 *2768:22 *5654:52 8.94491e-05 -52 *2768:22 *5656:240 0.000596565 -53 *2768:22 *5660:102 1.80912e-05 -54 *2768:22 *5679:19 5.39236e-05 -55 *2768:22 *5679:35 0.000155907 -56 *2768:22 *5679:81 0.000122084 -57 *2768:22 *5680:232 0.000115214 -58 *2768:22 *5756:60 0.000175529 -59 *2768:22 *5756:65 0.000634459 -60 *2768:22 *5926:105 0.000259542 -61 *2768:25 *25118:B2 1.149e-05 -62 *2768:25 *27051:A0 6.86792e-05 -63 *2768:25 *27051:A1 0.000322531 -64 *2768:25 *28708:RESET_B 6.86693e-05 -65 *2768:25 *29037:A 6.04159e-05 -66 *2768:25 *3946:30 8.25843e-06 -67 *2768:25 *3952:16 0.000180951 -68 *2768:25 *5926:81 8.57572e-06 -69 *2768:25 *5926:103 0.000804959 -70 *2768:33 *3206:115 0.000473331 -71 *2768:33 *3872:79 9.99989e-05 -72 *2768:33 *3946:30 6.20497e-05 -73 *2768:33 *3977:24 0.00019384 -74 *2768:50 *25332:A1 4.22135e-06 -75 *2768:50 *27683:A1 0.000646158 -76 *2768:50 *2844:246 0.00012364 -77 *2768:50 *3963:93 0.000296235 -78 *2768:50 *3992:53 0.00202264 -79 *2768:50 *5634:123 0.0020963 -80 *2768:50 *5643:66 0.00126664 -81 *2768:50 *5700:50 0.000305422 -82 *2768:56 *27345:B2 0.000614258 -83 *2768:56 *27352:A 4.22135e-06 -84 *2768:56 *27686:B2 0.00018552 -85 *2768:56 *27692:C 7.80929e-05 -86 *2768:56 *27692:D 0.000906891 -87 *2768:56 *2913:8 4.038e-06 -88 *2768:56 *3233:26 4.11218e-05 -89 *2768:56 *3872:86 0.00197486 -90 *2768:56 *3886:78 0.000272757 -91 *2768:56 *4146:79 0.000524649 -92 *2768:56 *5652:12 0.000281245 -93 *2768:69 *25249:A1 4.81707e-05 -94 *2768:69 *2871:298 0 -95 *2768:69 *2872:260 2.84494e-05 -96 *2768:69 *2892:197 0.000666256 -97 *2768:69 *2907:13 2.8761e-05 -98 *2768:69 *3739:131 0.000449825 -99 *2768:69 *3912:35 0.000767619 -100 *2768:69 *3952:68 0.000368519 -101 *2768:89 *27335:B1 1.14658e-05 -102 *2768:89 *2853:251 8.19176e-05 -103 *2768:89 *2859:242 0.00121537 -104 *2768:89 *3899:38 2.61486e-05 -105 *2768:89 *3899:40 0.00182186 -106 *2768:89 *3912:27 3.28286e-05 -107 *2768:89 *3912:35 0.00103555 -108 *2768:89 *4069:89 0.000310462 -109 *2768:89 *5632:115 1.21813e-05 -110 *2768:89 *5764:230 0.000366847 -111 *2768:90 *25238:B2 9.83051e-06 -112 *2768:90 *27120:A1 1.17968e-05 -113 *2768:90 *28492:D 1.03169e-05 -114 *2768:90 *28492:RESET_B 4.64488e-06 -115 *2768:90 *28684:D 2.30116e-06 -116 *2768:90 *2786:55 4.18834e-05 -117 *2768:90 *2855:198 1.90936e-05 -118 *2768:90 *2860:271 0.000110341 -119 *2768:90 *3179:167 0.000131604 -120 *2768:90 *3717:25 0.000889591 -121 *2768:90 *3756:13 0 -122 *2768:90 *3874:76 0.000662286 -123 *2768:90 *3874:79 0.00430896 -124 *2768:90 *3899:24 8.43312e-05 -125 *2768:90 *3899:26 0.00212211 -126 *2768:90 *3899:38 0.00122427 -127 *2768:90 *3912:27 0.000649608 -128 *2768:90 *5605:170 2.06112e-05 -129 *2768:90 *5630:264 0.000188523 -130 *2768:90 *5759:18 0.000108872 -131 *2768:90 *5764:239 0 -132 *2768:96 *2776:241 9.41642e-05 -133 *2768:96 *2784:134 9.60939e-05 -134 *2768:96 *3717:18 0.000146474 -135 *2768:96 *3717:25 0.000728028 -136 *2768:96 *3874:91 1.721e-05 -137 *2768:96 *5701:101 0.000133412 -138 *2768:96 *5759:18 0.000160692 -139 *2768:107 *28707:CLK 2.59355e-05 -140 *2768:107 *28707:D 7.62417e-05 -141 *2768:107 *3846:8 2.04825e-05 -142 *2768:107 *4076:21 9.51319e-05 -143 *2768:107 *5590:161 5.75763e-05 -144 *2768:107 *5688:39 2.93419e-05 -145 *2768:107 *5768:143 5.33005e-05 -146 *2768:107 *5768:161 0.00122501 -147 *2768:107 *5777:103 0.000251754 -148 *2768:107 *5824:153 1.90936e-05 -149 *2768:141 *25020:B2 0.000535014 -150 *2768:141 *28560:D 0.000315172 -151 *2768:141 *4072:34 0.000292436 -152 *2768:141 *4150:22 0.00010932 -153 *2768:141 *5461:20 0 -154 *2768:141 *5467:18 0.00012618 -155 *2768:141 *5468:31 0.00148759 -156 *2768:141 *5926:105 0.0010442 -157 *2768:146 *26971:A1 0.000139907 -158 *2768:146 *28601:RESET_B 0.000197984 -159 *2768:146 *2769:98 0.000646904 -160 *2768:146 *5461:20 0.00135095 -161 *2768:175 *24850:A 0.000317935 -162 *2768:175 *25014:B1 0.000263183 -163 *2768:175 *25320:B2 0.000455554 -164 *2768:175 *26975:A1 7.55769e-05 -165 *2768:175 *28555:SET_B 0.000232402 -166 *2768:175 *29773:A 0.000504613 -167 *2768:175 *30869:A 1.24368e-05 -168 *2768:175 *30908:A 2.58997e-05 -169 *2768:175 *3558:282 9.41642e-05 -170 *2768:175 *3690:17 0.000301075 -171 *2768:175 *5659:24 0.00160404 -172 *2768:190 *26972:A1 6.05161e-06 -173 *2768:190 *28555:SET_B 5.41797e-06 -174 *2768:190 *28569:D 0.000142748 -175 *2768:190 *30872:A 4.58194e-05 -176 *2768:190 *3679:89 0.000649839 -177 *2768:190 *5461:26 0.000844997 -178 *2768:190 *5659:24 0.0019165 -179 *2768:190 *5767:89 0.000181955 -180 *2768:204 *26868:A1 0.000297566 -181 *2768:204 *3679:89 0.00127509 -182 *2768:204 *3692:8 0 -183 *2768:204 *4217:15 5.75147e-05 -184 *2768:204 *5586:152 0.000248493 -185 *2768:204 *5659:24 0.00127681 -186 *2768:205 *25716:A1 1.31516e-05 -187 *2768:205 *28460:RESET_B 7.6644e-05 -188 *2768:205 *3679:84 0.000529507 -189 *2768:205 *5702:160 8.33559e-05 -190 *2768:205 *5766:43 0.000264129 -191 *2768:220 *26870:A1 4.61501e-05 -192 *2768:220 *28194:RESET_B 3.42904e-05 -193 *2768:220 *28516:CLK 6.57032e-05 -194 *2768:220 *3617:63 7.02611e-05 -195 *2768:220 *3679:84 0.000164554 -196 *2768:220 *3891:58 0.000123295 -197 *2768:220 *5433:7 2.26818e-05 -198 *2768:220 *5433:29 0.00015115 -199 *2768:220 *5652:184 0.00012501 -200 *2768:220 *5702:160 0.000238741 -201 *2768:220 *6207:19 0.000182289 -202 mgmt_gpio_out[9] *2768:175 0.000435959 -203 *24932:B *26969:S 0.000350143 -204 *24990:A2 *2768:22 0 -205 *25020:C1 *2768:141 8.66324e-05 -206 *25118:A2 *2768:25 2.48548e-05 -207 *25118:B1 *2768:25 5.33005e-05 -208 *25118:C1 *2768:25 0.00073004 -209 *25717:A1 *2768:204 3.55552e-05 -210 *25717:S *2768:204 0.000117861 -211 *29203:A *26967:S 0.000125724 -212 *29651:A *2768:220 0.00105886 -213 *29653:A *2768:25 5.33005e-05 -214 *30383:A *2768:90 0.000238053 -215 *30508:A *26966:S 0.000135028 -216 *30508:A *2768:69 6.37106e-05 -217 *30693:A *2768:204 0.000188058 -218 *1185:72 *2768:22 3.92772e-05 -219 *1225:33 *2768:25 0.000105675 -220 *1256:113 *2768:90 0.000116533 -221 *1257:173 *26969:S 0.00100944 -222 *1265:142 *2768:90 1.90936e-05 -223 *1266:64 *2768:69 8.30045e-05 -224 *1266:104 *2768:89 0.000166352 -225 *1272:160 *2768:22 0.000151972 -226 *1338:11 *2768:141 0.000591756 -227 *1338:11 *2768:146 0 -228 *1368:37 *2768:22 0.000132004 -229 *1439:16 *2768:25 0.00174728 -230 *1439:19 *2768:33 0.00068216 -231 *1494:22 *2768:56 0.0002277 -232 *1556:23 *2768:90 7.6644e-05 -233 *1556:26 *2768:90 0 -234 *1568:15 *2768:69 0.000136676 -235 *1574:6 *2768:90 0.000129462 -236 *1574:21 *2768:89 9.30965e-05 -237 *1642:20 *26965:S 6.61248e-05 -238 *1853:19 *2768:107 0 -239 *2760:164 *26967:S 0.00117681 -240 *2760:164 *2768:96 0.00103922 -241 *2761:188 *2768:220 6.79735e-05 -*RES -1 *26964:Y *2768:4 9.3 -2 *2768:4 *2768:22 47.7918 -3 *2768:22 *2768:25 30.9464 -4 *2768:25 *2768:33 37.6786 -5 *2768:33 *26965:S 24.8893 -6 *2768:33 *2768:50 49.2589 -7 *2768:50 *2768:56 46.6648 -8 *2768:56 *2768:69 33.4821 -9 *2768:69 *2768:89 46.7755 -10 *2768:89 *2768:90 78.9018 -11 *2768:90 *2768:96 23.5804 -12 *2768:96 *2768:107 36.455 -13 *2768:107 *26969:S 24.4339 -14 *2768:96 *26967:S 30.6125 -15 *2768:69 *26966:S 10.6571 -16 *2768:4 *2768:141 48.5625 -17 *2768:141 *2768:146 23.5446 -18 *2768:146 *26971:S 9.72857 -19 *2768:146 *2768:175 48.6339 -20 *2768:175 *2768:190 42.8661 -21 *2768:190 *2768:204 49.1607 -22 *2768:204 *2768:205 6.80357 -23 *2768:205 *26968:S 14.3357 -24 *2768:205 *2768:220 45.5179 -25 *2768:220 *26970:S 13.8 -26 *2768:190 *26972:S 9.3 -*END - -*D_NET *2769 0.1096 -*CONN -*I *26976:S I *D sky130_fd_sc_hd__mux2_1 -*I *26974:S I *D sky130_fd_sc_hd__mux2_1 -*I *26979:S I *D sky130_fd_sc_hd__mux2_1 -*I *26977:S I *D sky130_fd_sc_hd__mux2_1 -*I *26975:S I *D sky130_fd_sc_hd__mux2_1 -*I *26980:S I *D sky130_fd_sc_hd__mux2_1 -*I *26981:S I *D sky130_fd_sc_hd__mux2_1 -*I *26978:S I *D sky130_fd_sc_hd__mux2_1 -*I *26973:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *26976:S 8.14302e-06 -2 *26974:S 0 -3 *26979:S 0 -4 *26977:S 8.07564e-05 -5 *26975:S 0.000233981 -6 *26980:S 0.000195986 -7 *26981:S 0.000606182 -8 *26978:S 0.000988165 -9 *26973:Y 7.67532e-05 -10 *2769:227 0.00137068 -11 *2769:209 0.00510798 -12 *2769:187 0.00454434 -13 *2769:182 0.00215936 -14 *2769:176 0.00197857 -15 *2769:167 0.00216354 -16 *2769:146 0.00259695 -17 *2769:98 0.00213918 -18 *2769:79 0.00189624 -19 *2769:66 0.00237346 -20 *2769:65 0.00234284 -21 *2769:31 0.0057887 -22 *2769:30 0.00626612 -23 *2769:10 0.0019719 -24 *2769:5 0.00127551 -25 *26975:S *3558:231 0.000168177 -26 *26976:S *3874:102 2.06178e-05 -27 *26976:S *5701:9 2.06178e-05 -28 *26977:S *26977:A0 6.05161e-06 -29 *26978:S *25141:A1 0.000724365 -30 *26978:S *26978:A0 0.000150509 -31 *26978:S *27758:A1 1.15281e-05 -32 *26978:S *27758:A2 6.07476e-05 -33 *26978:S *27758:B2 0.000136676 -34 *26978:S *28629:CLK 0.000425221 -35 *26978:S *28755:D 2.42516e-05 -36 *26978:S *3898:11 0.000159935 -37 *26978:S *5638:133 0.000261801 -38 *26978:S *5646:232 0.000157596 -39 *26978:S *5663:155 0.000195356 -40 *26980:S *28480:RESET_B 6.58195e-05 -41 *26980:S *3812:7 6.43196e-05 -42 *26980:S *5659:28 0.000177941 -43 *26981:S *26981:A1 6.42095e-05 -44 *26981:S *27026:A1 5.52302e-05 -45 *26981:S *3812:7 0.000213849 -46 *2769:5 *26901:B 0.000259318 -47 *2769:5 *5176:11 0.000259318 -48 *2769:10 *25712:A1 0.000167238 -49 *2769:10 *4147:95 0.00017796 -50 *2769:10 *4147:97 1.37568e-05 -51 *2769:10 *5681:14 0.000552528 -52 *2769:30 *26944:A1 9.08199e-05 -53 *2769:30 *26988:A0 0.000198535 -54 *2769:30 *27027:A 0.000444638 -55 *2769:30 *27027:B 3.17346e-05 -56 *2769:30 *27784:A2 0 -57 *2769:30 *28190:D 0 -58 *2769:30 *28458:CLK 3.77322e-05 -59 *2769:30 *3718:157 8.84829e-05 -60 *2769:30 *4214:20 6.94613e-05 -61 *2769:30 *4744:15 0.000138103 -62 *2769:30 *5084:20 0.000592627 -63 *2769:30 *5639:165 1.25459e-05 -64 *2769:31 *27027:A 0.000400673 -65 *2769:31 *27420:A1 0.000500713 -66 *2769:31 *28190:D 0.000220935 -67 *2769:31 *29314:A 0.000123095 -68 *2769:31 *2770:98 2.33546e-05 -69 *2769:31 *2980:7 0.000508712 -70 *2769:31 *3809:32 3.22692e-05 -71 *2769:31 *3891:29 0.000427696 -72 *2769:31 *4120:30 0.000137983 -73 *2769:31 *5084:20 0.00067967 -74 *2769:31 *5658:133 0.00137977 -75 *2769:65 *2772:152 9.58423e-05 -76 *2769:65 *4214:20 1.30843e-05 -77 *2769:66 *24848:A 0.000409889 -78 *2769:66 *29901:A 0.0011824 -79 *2769:66 *30834:A 0.000339002 -80 *2769:66 *30837:A 0.000125724 -81 *2769:66 *2772:152 0.000155447 -82 *2769:66 *2776:192 0.00236519 -83 *2769:66 *4072:29 0.00142011 -84 *2769:66 *4147:97 0.000364273 -85 *2769:66 *5681:16 0.0043789 -86 *2769:66 *5681:27 0.00209021 -87 *2769:79 *26891:A1 0.000391302 -88 *2769:79 *28568:RESET_B 0.000129868 -89 *2769:79 *30834:A 0.000359669 -90 *2769:79 *2770:46 0.000368621 -91 *2769:79 *3813:11 1.17968e-05 -92 *2769:79 *4147:106 5.32956e-05 -93 *2769:79 *5461:26 4.98055e-06 -94 *2769:79 *5603:17 9.55638e-05 -95 *2769:98 *25014:A1 3.06803e-05 -96 *2769:98 *25320:A1 0.000112674 -97 *2769:98 *28552:RESET_B 0.000387979 -98 *2769:98 *28568:D 0.000100823 -99 *2769:98 *28568:RESET_B 9.77349e-05 -100 *2769:98 *28601:RESET_B 0.000289823 -101 *2769:98 *30907:A 9.40388e-05 -102 *2769:98 *2770:46 0.000878771 -103 *2769:98 *3558:227 9.71197e-05 -104 *2769:98 *4072:32 0 -105 *2769:98 *5461:20 0 -106 *2769:146 *26867:A1 0.000152888 -107 *2769:146 *26901:B 0.000122591 -108 *2769:146 *26977:A1 0.000776044 -109 *2769:146 *27031:S 0.00111665 -110 *2769:146 *4206:10 4.75812e-05 -111 *2769:146 *5176:11 0.000397648 -112 *2769:146 *5176:14 0.000669882 -113 *2769:167 *27031:S 2.53056e-05 -114 *2769:167 *27792:B 0.000256874 -115 *2769:167 *3338:8 0.000149419 -116 *2769:167 *3538:92 5.4826e-05 -117 *2769:167 *4095:11 0.00182227 -118 *2769:167 *4095:33 0.000517363 -119 *2769:167 *4147:20 1.6449e-05 -120 *2769:167 *4206:10 5.63588e-05 -121 *2769:167 *4396:14 0.00162171 -122 *2769:167 *5703:21 0.000550676 -123 *2769:176 *27832:A2 0.000380009 -124 *2769:176 *27833:B2 4.1972e-05 -125 *2769:176 *5703:21 0.00052621 -126 *2769:176 *5774:185 0.000764672 -127 *2769:182 *24976:A1 0.000521308 -128 *2769:182 *27771:B1 0.000260276 -129 *2769:182 *27821:A1 0.000134094 -130 *2769:182 *29921:A 0.000168089 -131 *2769:182 *3930:32 0.000230827 -132 *2769:182 *3965:134 0.000283504 -133 *2769:182 *4047:8 3.38196e-06 -134 *2769:182 *4085:16 0 -135 *2769:182 *4086:16 0.000752921 -136 *2769:182 *5881:26 0.000266479 -137 *2769:182 *5914:18 0.000344535 -138 *2769:187 *26979:A0 0.000146464 -139 *2769:187 *28738:CLK 0.00012401 -140 *2769:187 *28740:RESET_B 9.59532e-06 -141 *2769:187 *28758:CLK 0.000284209 -142 *2769:187 *3609:94 0.000287487 -143 *2769:187 *3930:32 0.000842909 -144 *2769:187 *4033:8 0 -145 *2769:187 *5775:109 0 -146 *2769:209 *26979:A0 1.10866e-05 -147 *2769:209 *27071:A1 0.000306317 -148 *2769:209 *28454:RESET_B 6.30931e-05 -149 *2769:209 *28536:RESET_B 0 -150 *2769:209 *29955:A 5.58428e-05 -151 *2769:209 *2779:48 0.00246339 -152 *2769:209 *2794:69 0 -153 *2769:209 *3926:18 2.04825e-05 -154 *2769:209 *3926:29 8.79156e-05 -155 *2769:209 *3930:32 1.26352e-05 -156 *2769:209 *4056:53 6.46173e-05 -157 *2769:209 *4252:9 0.000307336 -158 *2769:209 *5296:20 5.75141e-05 -159 *2769:209 *5701:29 0 -160 *2769:209 *5775:171 0.000281695 -161 *2769:209 *5903:11 0.000350813 -162 *2769:209 *5905:37 2.02078e-05 -163 *2769:209 *6218:16 8.86718e-05 -164 *2769:227 *26974:A1 0.000738751 -165 *2769:227 *26985:A0 0.000476702 -166 *2769:227 *28691:D 0.000530999 -167 *2769:227 *30160:A 0.000645043 -168 *2769:227 *2786:151 0.000653884 -169 *2769:227 *3686:27 0.000113283 -170 *2769:227 *3874:102 7.69776e-06 -171 *2769:227 *4509:13 3.69047e-06 -172 *2769:227 *5701:29 0 -173 *2769:227 *5748:6 0.00012401 -174 mgmt_gpio_out[15] *2769:167 8.95905e-05 -175 *6419:DIODE *26977:S 2.95726e-05 -176 *25206:A *2769:31 1.39726e-05 -177 *25206:C *2769:31 0.00213024 -178 *25206:D *2769:31 2.28598e-05 -179 *27420:A2 *2769:31 0.00150589 -180 *29315:A *2769:30 1.0033e-05 -181 *29672:A *26978:S 5.33005e-05 -182 *29678:A *26978:S 0.000107938 -183 *29774:A *2769:98 0.000125731 -184 *29967:A *2769:209 8.88357e-06 -185 *30046:A *2769:66 2.14378e-05 -186 *30060:A *2769:66 0.000503275 -187 *30077:A *2769:30 0.000178786 -188 *30385:A *2769:98 0.000125724 -189 *30396:A *2769:98 0.000128146 -190 *244:90 *2769:167 8.25843e-06 -191 *244:98 *2769:167 6.13253e-05 -192 *244:104 *2769:167 0.000183726 -193 *244:110 *2769:167 0.000180764 -194 *244:118 *2769:167 0.000219711 -195 *889:22 *2769:209 0 -196 *970:16 *2769:209 5.58809e-05 -197 *974:20 *2769:209 0.000201965 -198 *1246:126 *2769:31 2.77143e-05 -199 *1258:123 *2769:98 0.000123716 -200 *1261:101 *26981:S 5.33005e-05 -201 *1261:177 *26978:S 6.35819e-05 -202 *1269:154 *2769:146 0.000527345 -203 *1338:11 *2769:98 0.00047112 -204 *1371:40 *2769:79 9.41642e-05 -205 *1519:26 *26978:S 0.00110691 -206 *1526:7 *2769:31 9.41642e-05 -207 *1853:77 *2769:30 0.000306202 -208 *1855:11 *2769:146 0.000112131 -209 *2759:111 *26978:S 0.000196196 -210 *2759:168 *2769:66 4.84498e-05 -211 *2761:8 *2769:146 3.4494e-05 -212 *2763:25 *2769:30 9.54986e-05 -213 *2766:57 *2769:209 0.00109301 -214 *2766:79 *2769:209 0.00270201 -215 *2766:79 *2769:227 0.00023133 -216 *2767:118 *2769:30 4.73891e-05 -217 *2768:146 *2769:98 0.000646904 -*RES -1 *26973:Y *2769:5 11.8893 -2 *2769:5 *2769:10 15.1689 -3 *2769:10 *2769:30 33.3387 -4 *2769:30 *2769:31 88.8393 -5 *2769:31 *26978:S 46.3357 -6 *2769:10 *2769:65 10.8347 -7 *2769:65 *2769:66 88.0089 -8 *2769:66 *2769:79 25.0714 -9 *2769:79 *2769:98 49.0893 -10 *2769:98 *26981:S 19.9786 -11 *2769:98 *26980:S 22.7286 -12 *2769:79 *26975:S 13.1393 -13 *2769:5 *2769:146 37.4643 -14 *2769:146 *26977:S 15.175 -15 *2769:146 *2769:167 49.7321 -16 *2769:167 *2769:176 24.1607 -17 *2769:176 *2769:182 42.375 -18 *2769:182 *2769:187 18.8036 -19 *2769:187 *26979:S 13.8 -20 *2769:187 *2769:209 34.3706 -21 *2769:209 *2769:227 43.7178 -22 *2769:227 *26974:S 9.3 -23 *2769:209 *26976:S 17.4868 -*END - -*D_NET *2770 0.0987916 -*CONN -*I *26986:S I *D sky130_fd_sc_hd__mux2_1 -*I *26985:S I *D sky130_fd_sc_hd__mux2_1 -*I *26983:S I *D sky130_fd_sc_hd__mux2_1 -*I *26987:S I *D sky130_fd_sc_hd__mux2_1 -*I *26988:S I *D sky130_fd_sc_hd__mux2_1 -*I *26990:S I *D sky130_fd_sc_hd__mux2_1 -*I *26989:S I *D sky130_fd_sc_hd__mux2_1 -*I *26984:S I *D sky130_fd_sc_hd__mux2_1 -*I *26982:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *26986:S 0.00019983 -2 *26985:S 0.000245941 -3 *26983:S 0 -4 *26987:S 0 -5 *26988:S 0 -6 *26990:S 4.96008e-05 -7 *26989:S 0.000181142 -8 *26984:S 0.00153955 -9 *26982:Y 0.000151917 -10 *2770:156 0.00125175 -11 *2770:142 0.00366519 -12 *2770:134 0.00492946 -13 *2770:127 0.00667889 -14 *2770:118 0.00613909 -15 *2770:98 0.00561562 -16 *2770:97 0.00577811 -17 *2770:53 0.0044469 -18 *2770:46 0.00447198 -19 *2770:27 0.00250916 -20 *2770:18 0.00253599 -21 *2770:17 0.00159707 -22 *2770:11 0.000233968 -23 *2770:7 0.00234134 -24 *26984:S *26984:A1 0.00013757 -25 *26984:S *27475:A1 0.000119303 -26 *26984:S *27475:A2 0.000235425 -27 *26984:S *2871:231 0.000157984 -28 *26984:S *3029:20 4.61308e-05 -29 *26984:S *4138:45 0.000159071 -30 *26984:S *5650:234 0.00129009 -31 *26985:S *5736:10 0.000192547 -32 *26985:S *5824:159 0.000242669 -33 *26985:S *5912:67 0.000100831 -34 *26986:S *26850:A0 8.15826e-05 -35 *26986:S *26953:A1 0 -36 *26986:S *5781:10 6.53083e-05 -37 *26986:S *6284:17 3.09981e-05 -38 *26989:S *5461:26 2.11419e-05 -39 *26989:S *5659:24 1.94879e-05 -40 *26989:S *5680:196 9.46912e-05 -41 *26990:S *5767:81 9.41642e-05 -42 *2770:7 *26988:A1 5.33005e-05 -43 *2770:7 *28459:CLK 5.96516e-05 -44 *2770:11 *25712:S 5.33005e-05 -45 *2770:11 *2773:46 0.000118114 -46 *2770:11 *2776:207 4.90076e-05 -47 *2770:11 *5801:19 8.6229e-06 -48 *2770:17 *25712:S 5.74499e-06 -49 *2770:17 *28567:RESET_B 5.33005e-05 -50 *2770:18 *25381:B2 0.00141832 -51 *2770:18 *26955:A 0.00207845 -52 *2770:18 *28191:D 0.00059254 -53 *2770:18 *28193:D 0.000107404 -54 *2770:18 *28458:RESET_B 0.000100661 -55 *2770:18 *28460:D 9.89536e-05 -56 *2770:18 *2772:160 7.88545e-05 -57 *2770:18 *2773:46 0.000621469 -58 *2770:18 *4124:43 0.000624306 -59 *2770:18 *4124:58 0.0019299 -60 *2770:18 *5528:55 3.25573e-05 -61 *2770:27 *27035:A1 0.000128146 -62 *2770:27 *28555:CLK 0.000216304 -63 *2770:27 *28919:A 0.000335674 -64 *2770:27 *2772:160 0.000391103 -65 *2770:27 *2772:164 0.000133635 -66 *2770:27 *3618:39 0.000265447 -67 *2770:27 *3786:57 0.00152002 -68 *2770:27 *4073:5 0.000177821 -69 *2770:27 *4124:58 7.69771e-05 -70 *2770:27 *5528:55 4.41974e-05 -71 *2770:27 *5676:125 0.000411294 -72 *2770:27 *5676:127 6.91157e-05 -73 *2770:27 *5676:131 0.000453097 -74 *2770:27 *5767:81 0.000177461 -75 *2770:46 *24848:A 0.00024189 -76 *2770:46 *25320:A1 0.000156027 -77 *2770:46 *28555:D 1.94879e-05 -78 *2770:46 *28568:RESET_B 0.00101117 -79 *2770:46 *30834:A 0.000163437 -80 *2770:46 *5461:26 0.000753005 -81 *2770:46 *5659:24 0.000751283 -82 *2770:53 *3029:20 9.87421e-06 -83 *2770:53 *5528:47 2.04825e-05 -84 *2770:53 *5680:196 0.000328108 -85 *2770:97 *26901:B 0.00010928 -86 *2770:97 *26988:A0 0.000301883 -87 *2770:97 *27425:B2 4.58835e-05 -88 *2770:97 *27784:C1 0.000282292 -89 *2770:97 *27791:B 2.07441e-05 -90 *2770:97 *29314:A 6.92858e-05 -91 *2770:97 *2772:152 5.10217e-05 -92 *2770:97 *3164:304 6.35819e-05 -93 *2770:97 *3681:52 3.83681e-05 -94 *2770:97 *3707:13 0.000134235 -95 *2770:97 *4214:20 0.000655408 -96 *2770:97 *4239:17 1.39717e-05 -97 *2770:97 *5084:20 8.47161e-06 -98 *2770:97 *5587:169 0.000164135 -99 *2770:97 *5643:203 2.16755e-05 -100 *2770:97 *5652:184 0.000158579 -101 *2770:98 *27027:A 0.00149573 -102 *2770:98 *27420:A1 0.000496847 -103 *2770:118 *2786:26 0.000845161 -104 *2770:118 *2888:291 6.58722e-05 -105 *2770:118 *3283:8 0.000604166 -106 *2770:118 *3744:36 0.000135743 -107 *2770:118 *3783:47 0.00084901 -108 *2770:118 *4069:41 0 -109 *2770:118 *4147:46 0 -110 *2770:118 *5654:94 0.000463203 -111 *2770:118 *5654:131 0.000450086 -112 *2770:118 *5781:12 0.000602451 -113 *2770:127 *28762:RESET_B 0.000141366 -114 *2770:127 *3913:21 0.00167354 -115 *2770:127 *4082:6 4.50149e-05 -116 *2770:127 *5656:321 8.71137e-05 -117 *2770:127 *5695:67 0.000466515 -118 *2770:127 *5771:187 0.000133707 -119 *2770:127 *5781:10 0 -120 *2770:127 *5829:68 0 -121 *2770:134 *26850:A0 0.000427809 -122 *2770:134 *26953:A1 0 -123 *2770:134 *28484:CLK 0.000554609 -124 *2770:134 *29157:A 3.15517e-05 -125 *2770:134 *4403:20 0.00166478 -126 *2770:134 *5772:83 0 -127 *2770:134 *5787:9 0 -128 *2770:134 *5893:10 0.000225616 -129 *2770:134 *5912:81 4.18895e-05 -130 *2770:142 *26983:A1 2.28598e-05 -131 *2770:142 *4102:12 1.65558e-05 -132 *2770:142 *5704:6 5.59013e-05 -133 *2770:142 *5912:81 0.000894874 -134 *2770:142 *5912:100 0.00210058 -135 *2770:156 *26949:A0 0.000146474 -136 *2770:156 *26983:A1 4.87854e-05 -137 *2770:156 *28530:SET_B 1.21258e-05 -138 *2770:156 *28532:D 0.000312222 -139 *2770:156 *28532:RESET_B 4.90118e-05 -140 *2770:156 *2786:156 6.00732e-05 -141 *2770:156 *3589:114 0 -142 *2770:156 *4128:10 0 -143 *2770:156 *4133:12 0.000196497 -144 *2770:156 *4246:11 0.000139019 -145 *2770:156 *5689:56 0.000468528 -146 *2770:156 *5715:17 5.43491e-05 -147 *2770:156 *5832:46 6.07232e-05 -148 *2770:156 *5912:100 7.31843e-05 -149 *2770:156 *6280:6 0.000685059 -150 *25013:A2 *2770:53 0 -151 *25014:A2 *2770:53 0.00146957 -152 *25014:C1 *2770:53 1.90936e-05 -153 *25186:A2 *2770:118 3.77315e-05 -154 *26973:A *2770:97 0 -155 *30161:A *2770:134 0 -156 *976:31 *2770:134 8.81391e-05 -157 *1246:126 *2770:98 0.00212815 -158 *1256:173 *2770:127 4.88232e-05 -159 *1269:264 *2770:53 0.000221365 -160 *1275:164 *2770:97 0.000169156 -161 *1275:195 *2770:97 0 -162 *1368:46 *2770:53 0.000622999 -163 *2760:174 *2770:134 1.39841e-05 -164 *2761:53 *2770:118 2.34017e-05 -165 *2763:185 *2770:118 5.00194e-05 -166 *2769:31 *2770:98 2.33546e-05 -167 *2769:79 *2770:46 0.000368621 -168 *2769:98 *2770:46 0.000878771 -*RES -1 *26982:Y *2770:7 15.5679 -2 *2770:7 *2770:11 6.67857 -3 *2770:11 *2770:17 6.48214 -4 *2770:17 *2770:18 54.9196 -5 *2770:18 *2770:27 38.4375 -6 *2770:27 *2770:46 46.2385 -7 *2770:46 *2770:53 49.751 -8 *2770:53 *26984:S 37.5768 -9 *2770:46 *26989:S 18.4298 -10 *2770:27 *26990:S 10.2464 -11 *2770:11 *26988:S 9.3 -12 *2770:7 *2770:97 17.9521 -13 *2770:97 *2770:98 46.5357 -14 *2770:98 *2770:118 47.2523 -15 *2770:118 *2770:127 37.6688 -16 *2770:127 *2770:134 21.3818 -17 *2770:134 *2770:142 42.4286 -18 *2770:142 *2770:156 42.7857 -19 *2770:156 *26987:S 9.3 -20 *2770:142 *26983:S 9.3 -21 *2770:134 *26985:S 24.8 -22 *2770:127 *26986:S 18.5013 -*END - -*D_NET *2771 0.0847961 -*CONN -*I *26999:S I *D sky130_fd_sc_hd__mux2_1 -*I *26998:S I *D sky130_fd_sc_hd__mux2_1 -*I *26997:S I *D sky130_fd_sc_hd__mux2_1 -*I *26995:S I *D sky130_fd_sc_hd__mux2_1 -*I *26994:S I *D sky130_fd_sc_hd__mux2_1 -*I *26992:S I *D sky130_fd_sc_hd__mux2_1 -*I *26996:S I *D sky130_fd_sc_hd__mux2_1 -*I *26993:S I *D sky130_fd_sc_hd__mux2_1 -*I *26991:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *26999:S 0 -2 *26998:S 0.00103146 -3 *26997:S 7.52001e-05 -4 *26995:S 0.00011035 -5 *26994:S 0 -6 *26992:S 0 -7 *26996:S 2.03441e-05 -8 *26993:S 0.000435804 -9 *26991:Y 0 -10 *2771:171 0.00208298 -11 *2771:111 0.00159264 -12 *2771:104 0.00179959 -13 *2771:97 0.00149969 -14 *2771:93 0.00173878 -15 *2771:84 0.00259925 -16 *2771:65 0.00255881 -17 *2771:64 0.00243042 -18 *2771:57 0.00323632 -19 *2771:36 0.00269586 -20 *2771:22 0.00389505 -21 *2771:11 0.00409562 -22 *2771:4 0.00209475 -23 *26993:S *26993:A0 0.000175892 -24 *26993:S *26993:A1 2.89114e-05 -25 *26993:S *27083:S 9.41642e-05 -26 *26993:S *2781:136 0.000415626 -27 *26993:S *3768:58 7.48087e-05 -28 *26993:S *3768:66 0.000261794 -29 *26995:S *26995:A1 0.00029388 -30 *26995:S *3770:11 8.11273e-05 -31 *26995:S *5696:11 9.80173e-05 -32 *26995:S *5696:17 8.76257e-05 -33 *26996:S *5688:65 5.7661e-06 -34 *26997:S *26925:A0 3.69047e-06 -35 *26997:S *27786:A1 6.53083e-05 -36 *26997:S *28461:D 5.33005e-05 -37 *26997:S *3720:19 2.16755e-05 -38 *26997:S *4357:21 6.35864e-05 -39 *26998:S *24853:A 8.03612e-05 -40 *26998:S *26998:A0 6.05161e-06 -41 *26998:S *26998:A1 5.49544e-05 -42 *26998:S *28577:D 0.000354109 -43 *26998:S *28616:CLK 1.17968e-05 -44 *26998:S *4095:83 0.000778918 -45 *26998:S *5676:110 1.98839e-05 -46 *26998:S *5767:166 0.000163358 -47 *26998:S *5847:44 0.000244047 -48 *26998:S *5863:163 0 -49 *26998:S *5892:40 6.43348e-05 -50 *2771:11 *26934:A0 7.27549e-05 -51 *2771:11 *27433:A2 0 -52 *2771:11 *28529:CLK 9.70752e-05 -53 *2771:11 *3615:8 0.000177004 -54 *2771:11 *3615:10 4.70792e-05 -55 *2771:11 *3615:12 0.000216376 -56 *2771:11 *3615:80 0.000493562 -57 *2771:11 *3720:19 0.000162574 -58 *2771:11 *4107:17 4.42631e-05 -59 *2771:11 *4219:11 0.000239558 -60 *2771:11 *5639:165 0 -61 *2771:11 *5683:244 0 -62 *2771:11 *5683:266 0 -63 *2771:11 *5683:299 7.82911e-05 -64 *2771:11 *5879:112 9.6589e-05 -65 *2771:11 *5892:39 5.00194e-05 -66 *2771:22 *26923:A0 4.19624e-06 -67 *2771:22 *27099:A 0.000178953 -68 *2771:22 *27099:B 4.33002e-05 -69 *2771:22 *28495:RESET_B 0.000112777 -70 *2771:22 *2775:99 0.000497102 -71 *2771:22 *3995:58 0.000907531 -72 *2771:22 *5386:12 0 -73 *2771:22 *5589:123 0.000202008 -74 *2771:22 *5589:134 0.000569806 -75 *2771:22 *5682:141 0.000250608 -76 *2771:22 *5847:12 0.00042463 -77 *2771:22 *5873:281 0.000157591 -78 *2771:22 *5892:6 0 -79 *2771:22 *5892:25 0.000248243 -80 *2771:22 *5911:153 6.71341e-05 -81 *2771:36 *26889:A1 6.19779e-05 -82 *2771:36 *3783:24 6.57032e-05 -83 *2771:36 *3811:15 0.000136951 -84 *2771:36 *4045:18 0.000155881 -85 *2771:36 *4058:15 0.000146669 -86 *2771:36 *4222:21 0.0001782 -87 *2771:36 *5682:141 0.000134052 -88 *2771:36 *5682:158 0.000229139 -89 *2771:36 *5800:78 7.42369e-05 -90 *2771:36 *5847:12 0.000132134 -91 *2771:36 *5892:6 0.000317703 -92 *2771:57 *26995:A1 0.000139202 -93 *2771:57 *28732:RESET_B 0.000344515 -94 *2771:57 *3718:27 0.00021398 -95 *2771:57 *3718:47 0.00116799 -96 *2771:57 *3770:11 0.000136136 -97 *2771:57 *4006:11 9.7659e-05 -98 *2771:57 *4137:16 7.47122e-05 -99 *2771:57 *5680:89 0.00107494 -100 *2771:57 *5774:164 7.62298e-05 -101 *2771:57 *5774:177 4.08637e-05 -102 *2771:64 *28732:CLK 1.56e-05 -103 *2771:64 *28732:D 0.000174228 -104 *2771:64 *3705:14 0.000121573 -105 *2771:64 *3718:63 0.00129947 -106 *2771:64 *3770:11 1.04066e-05 -107 *2771:64 *3770:21 2.90075e-05 -108 *2771:64 *3887:91 0.000121573 -109 *2771:65 *30085:A 5.33005e-05 -110 *2771:65 *3582:13 0.000174583 -111 *2771:65 *3582:31 0.000846412 -112 *2771:65 *4017:33 0.000125355 -113 *2771:65 *4017:41 0.000941874 -114 *2771:65 *5586:17 0.00101769 -115 *2771:65 *5586:31 0.00209441 -116 *2771:65 *5629:217 1.54142e-05 -117 *2771:65 *5795:181 0.000175892 -118 *2771:84 *26994:A0 5.33005e-05 -119 *2771:84 *27675:B2 0.000225511 -120 *2771:84 *27707:A1 1.18064e-05 -121 *2771:84 *29277:A 6.57032e-05 -122 *2771:84 *3704:14 1.90936e-05 -123 *2771:84 *3907:27 4.14498e-05 -124 *2771:84 *4029:24 8.3116e-05 -125 *2771:84 *4076:21 0.000107643 -126 *2771:84 *5586:17 0.000344232 -127 *2771:84 *5629:217 0.000140842 -128 *2771:84 *5638:162 6.41179e-05 -129 *2771:84 *5646:258 5.89494e-05 -130 *2771:84 *5646:267 4.2985e-06 -131 *2771:84 *5701:113 0.000375519 -132 *2771:84 *5702:29 0 -133 *2771:84 *5768:169 1.17968e-05 -134 *2771:84 *6247:6 5.11653e-05 -135 *2771:93 *25314:B2 4.87854e-05 -136 *2771:93 *26992:A0 0.00014183 -137 *2771:93 *3600:45 0.00019421 -138 *2771:93 *5585:8 0.00205979 -139 *2771:93 *5725:24 0.00184519 -140 *2771:93 *6269:12 0.000352238 -141 *2771:97 *3719:13 0.000183053 -142 *2771:97 *4031:28 0.00164945 -143 *2771:97 *5585:8 0.00197714 -144 *2771:97 *5590:98 6.8646e-06 -145 *2771:97 *5688:65 2.28598e-05 -146 *2771:104 *25085:A1 0.00019124 -147 *2771:104 *27400:B2 0.000105924 -148 *2771:104 *27749:B2 0.000478759 -149 *2771:104 *4031:28 0.000115096 -150 *2771:104 *5585:23 2.26973e-05 -151 *2771:104 *5589:73 5.00841e-06 -152 *2771:104 *5688:65 0.000133932 -153 *2771:104 *5688:85 1.21258e-05 -154 *2771:104 *5777:53 0.000230886 -155 *2771:111 *27083:A0 4.82947e-05 -156 *2771:111 *27083:A1 2.01326e-05 -157 *2771:111 *27083:S 7.06728e-05 -158 *2771:111 *27416:C 0.000135528 -159 *2771:111 *3753:93 0.00131939 -160 *2771:111 *3768:58 5.33005e-05 -161 *2771:111 *3859:11 0.000125724 -162 *2771:111 *3940:28 0.000110774 -163 *2771:111 *3998:44 0.0020594 -164 *2771:111 *5585:23 0.000121402 -165 *2771:111 *5777:53 0.000153054 -166 *2771:171 *26870:A0 6.47405e-05 -167 *2771:171 *26934:A0 2.93638e-05 -168 *2771:171 *28516:CLK 0.000240829 -169 *2771:171 *28577:D 8.36572e-05 -170 *2771:171 *4219:11 1.38323e-05 -171 *2771:171 *5637:19 0.000162163 -172 *2771:171 *5847:44 6.66506e-05 -173 *2771:171 *5911:115 0.000329702 -174 *2771:171 *5954:15 1.24368e-05 -175 *2771:171 *6001:17 0.000135242 -176 *25061:A2 *2771:171 0.000234749 -177 *25085:A2 *2771:104 9.22222e-06 -178 *25257:A *2771:111 5.55449e-05 -179 *26991:A *2771:11 0.000135028 -180 *29070:A *2771:36 4.21517e-05 -181 *29861:A *2771:111 5.37879e-05 -182 *29903:A *26997:S 2.34503e-05 -183 *29903:A *2771:11 0.000473319 -184 *29998:A *2771:22 0.000125724 -185 *30053:A *26998:S 0.000178425 -186 *30053:A *2771:171 9.41642e-05 -187 *30144:A *26995:S 2.44318e-05 -188 *30211:A *2771:97 9.1943e-05 -189 *30211:A *2771:104 0.000216755 -190 *30214:A *2771:22 0.000127446 -191 *30369:A *2771:22 0.000125724 -192 *30582:A *2771:57 0.000919116 -193 *30588:A *2771:36 0.000342903 -194 *1256:96 *2771:111 0.000123605 -195 *1260:86 *2771:104 3.93252e-05 -196 *1260:184 *2771:171 0.00131632 -197 *1275:142 *2771:171 0.00108947 -198 *1275:164 *2771:171 0.00102212 -199 *1275:195 *2771:22 3.3472e-05 -200 *1286:72 *2771:84 0.00111212 -201 *1406:24 *2771:111 0.000676029 -202 *1562:19 *2771:97 1.65157e-05 -203 *1562:33 *2771:104 0.000830531 -204 *1562:45 *2771:111 2.59355e-05 -205 *1853:19 *2771:84 0.00274371 -206 *2757:11 *2771:93 0.000429934 -207 *2763:196 *2771:84 2.06178e-05 -*RES -1 *26991:Y *2771:4 9.3 -2 *2771:4 *2771:11 26.4006 -3 *2771:11 *2771:22 38.0667 -4 *2771:22 *2771:36 35.0446 -5 *2771:36 *2771:57 43.375 -6 *2771:57 *2771:64 29.6607 -7 *2771:64 *2771:65 30.5179 -8 *2771:65 *2771:84 42.4046 -9 *2771:84 *2771:93 45.5179 -10 *2771:93 *2771:97 31.5714 -11 *2771:97 *2771:104 22.8214 -12 *2771:104 *2771:111 42.1607 -13 *2771:111 *26993:S 18.4607 -14 *2771:97 *26996:S 9.72857 -15 *2771:84 *26992:S 9.3 -16 *2771:65 *26994:S 9.3 -17 *2771:36 *26995:S 13.1393 -18 *2771:11 *26997:S 18.7127 -19 *2771:4 *2771:171 47.425 -20 *2771:171 *26998:S 48.3893 -21 *2771:171 *26999:S 9.3 -*END - -*D_NET *2772 0.127451 -*CONN -*I *27008:S I *D sky130_fd_sc_hd__mux2_1 -*I *27007:S I *D sky130_fd_sc_hd__mux2_1 -*I *27004:S I *D sky130_fd_sc_hd__mux2_1 -*I *27005:S I *D sky130_fd_sc_hd__mux2_1 -*I *27001:S I *D sky130_fd_sc_hd__mux2_1 -*I *27002:S I *D sky130_fd_sc_hd__mux2_1 -*I *27003:S I *D sky130_fd_sc_hd__mux2_1 -*I *27006:S I *D sky130_fd_sc_hd__mux2_1 -*I *27000:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *27008:S 0.000255136 -2 *27007:S 0.000191091 -3 *27004:S 0.00113493 -4 *27005:S 0 -5 *27001:S 2.15003e-05 -6 *27002:S 0.000690089 -7 *27003:S 0.000142116 -8 *27006:S 4.77189e-05 -9 *27000:Y 0 -10 *2772:196 0.00147149 -11 *2772:189 0.00205918 -12 *2772:183 0.00152521 -13 *2772:177 0.00136653 -14 *2772:171 0.00168437 -15 *2772:164 0.00162118 -16 *2772:160 0.00193961 -17 *2772:152 0.00415762 -18 *2772:91 0.00174872 -19 *2772:85 0.00212019 -20 *2772:77 0.00314243 -21 *2772:69 0.00322782 -22 *2772:63 0.00362986 -23 *2772:48 0.00260354 -24 *2772:46 0.00406708 -25 *2772:45 0.00596637 -26 *2772:27 0.0031204 -27 *2772:14 0.0010987 -28 *2772:4 0.00399485 -29 *27001:S *27001:A1 1.00733e-05 -30 *27002:S *27002:A0 8.55871e-05 -31 *27002:S *27002:A1 5.33005e-05 -32 *27002:S *2773:155 0.000878422 -33 *27002:S *4012:39 0.000120169 -34 *27003:S *4081:17 0.000330349 -35 *27003:S *4970:17 3.34366e-05 -36 *27003:S *5803:14 0.000142958 -37 *27004:S *25054:A1 0.000134276 -38 *27004:S *28573:RESET_B 0.000514558 -39 *27004:S *2776:224 0.000142242 -40 *27004:S *5588:130 1.81805e-05 -41 *27004:S *5680:89 9.0145e-05 -42 *27004:S *5696:21 0.000178847 -43 *27004:S *5696:26 0.00099877 -44 *27004:S *5773:164 0.000250185 -45 *27006:S *5800:78 9.60939e-05 -46 *27006:S *6086:23 8.62336e-05 -47 *27007:S *2775:50 0.000125717 -48 *27007:S *3734:16 0.000154345 -49 *27007:S *5608:71 0.00012401 -50 *27007:S *5678:97 4.00679e-05 -51 *27008:S *2776:117 5.58941e-05 -52 *2772:14 *26923:A1 0.000125724 -53 *2772:14 *28583:CLK 0.000285664 -54 *2772:14 *28583:RESET_B 0.000191574 -55 *2772:14 *28913:A 0.000437915 -56 *2772:14 *28914:A 0.000546497 -57 *2772:14 *2774:244 0.00018372 -58 *2772:14 *3733:51 0.000978305 -59 *2772:14 *4399:14 0.000394969 -60 *2772:14 *4455:11 3.68898e-05 -61 *2772:14 *5847:12 0.000885127 -62 *2772:14 *5911:71 0.000136951 -63 *2772:27 *28583:RESET_B 0.000147807 -64 *2772:27 *5847:12 0.000136711 -65 *2772:45 *25054:A1 0.00094803 -66 *2772:45 *2776:224 0.000994676 -67 *2772:45 *3206:293 0.000184633 -68 *2772:45 *4033:8 0.000176463 -69 *2772:45 *4084:18 7.5779e-05 -70 *2772:45 *4147:31 3.47641e-06 -71 *2772:45 *4149:34 0.00024464 -72 *2772:45 *5676:80 4.65519e-05 -73 *2772:45 *5682:158 0.000211829 -74 *2772:45 *5800:68 9.83442e-05 -75 *2772:46 *28543:CLK 0.000194122 -76 *2772:46 *28543:D 3.45337e-05 -77 *2772:46 *28676:D 0.000181796 -78 *2772:46 *3607:13 0.000582586 -79 *2772:46 *4149:10 0.000169759 -80 *2772:46 *5582:183 0.000123287 -81 *2772:46 *5663:192 0.000156477 -82 *2772:63 *27708:C 0.000197991 -83 *2772:63 *28524:D 5.52302e-05 -84 *2772:63 *28524:RESET_B 8.98755e-05 -85 *2772:63 *28729:CLK 0.00013027 -86 *2772:63 *2791:163 0.000608439 -87 *2772:63 *3599:39 3.65133e-05 -88 *2772:63 *3678:18 0.000196519 -89 *2772:63 *4120:11 1.39841e-05 -90 *2772:63 *5803:14 0.000496592 -91 *2772:69 *27005:A1 2.3495e-05 -92 *2772:69 *28901:A 0.00168834 -93 *2772:69 *3600:8 0.000387418 -94 *2772:69 *5639:232 0.00177928 -95 *2772:77 *26884:A1 2.77143e-05 -96 *2772:77 *27001:A1 0.000227883 -97 *2772:77 *28602:D 0.000344232 -98 *2772:77 *2780:259 0.000331689 -99 *2772:77 *3591:59 1.82549e-05 -100 *2772:77 *3803:11 0.000220333 -101 *2772:77 *3803:20 0.000128523 -102 *2772:77 *4011:45 6.86792e-05 -103 *2772:77 *5613:46 4.10026e-05 -104 *2772:77 *5689:85 9.71197e-05 -105 *2772:77 *5689:96 5.33005e-05 -106 *2772:77 *5810:115 0.000393761 -107 *2772:77 *5836:300 0.000329982 -108 *2772:85 *24856:A 3.34366e-05 -109 *2772:85 *27001:A1 2.59355e-05 -110 *2772:85 *28966:A 2.22043e-05 -111 *2772:85 *2773:155 0.00173142 -112 *2772:85 *3578:85 7.84603e-05 -113 *2772:85 *3591:59 0.00010082 -114 *2772:85 *5669:232 0.000689224 -115 *2772:85 *5669:245 0.000377174 -116 *2772:85 *5715:51 0.000856908 -117 *2772:85 *5780:110 0 -118 *2772:91 *25144:A1 0.000262343 -119 *2772:91 *28370:D 9.20635e-06 -120 *2772:91 *3484:10 7.51095e-05 -121 *2772:91 *3484:20 0.00122398 -122 *2772:91 *4040:20 0.00124912 -123 *2772:91 *5909:44 0.000498937 -124 *2772:152 *25381:B2 0.000516528 -125 *2772:152 *25718:A0 6.28248e-05 -126 *2772:152 *29901:A 0.000111777 -127 *2772:152 *30875:A 0.000136845 -128 *2772:152 *2773:46 0.00019867 -129 *2772:152 *2773:60 1.58313e-05 -130 *2772:152 *3617:110 0.000115987 -131 *2772:152 *3617:121 0.000262947 -132 *2772:152 *4147:97 0.00011391 -133 *2772:152 *4214:20 3.30397e-05 -134 *2772:152 *4238:16 0.00013175 -135 *2772:152 *4239:17 0.000312891 -136 *2772:152 *5589:135 6.54117e-05 -137 *2772:152 *5680:106 9.29142e-05 -138 *2772:152 *5681:16 0.000171412 -139 *2772:152 *5773:49 5.74562e-05 -140 *2772:152 *5773:53 0.000344372 -141 *2772:160 *27043:A1 0.000342495 -142 *2772:160 *30873:A 0.00208967 -143 *2772:160 *2773:46 0.00259962 -144 *2772:160 *3617:12 2.37761e-05 -145 *2772:160 *3617:97 3.87755e-05 -146 *2772:160 *3617:110 2.00368e-05 -147 *2772:160 *4124:58 0.000111065 -148 *2772:160 *5911:115 0.000461698 -149 *2772:164 *28481:CLK 0.000199842 -150 *2772:164 *28553:D 0.00014211 -151 *2772:164 *28555:CLK 0.000797195 -152 *2772:164 *28609:RESET_B 0.000283512 -153 *2772:164 *28919:A 0.000344925 -154 *2772:164 *3618:12 0 -155 *2772:164 *5676:125 0.000359912 -156 *2772:164 *5676:127 7.90803e-05 -157 *2772:164 *5676:131 0.000453104 -158 *2772:164 *5767:56 0.000117196 -159 *2772:164 *5767:60 0.000241198 -160 *2772:164 *5767:125 0.000741879 -161 *2772:171 *25014:B1 1.92789e-05 -162 *2772:171 *28481:CLK 0.000374394 -163 *2772:171 *28555:CLK 0.000514962 -164 *2772:171 *28555:D 4.64488e-06 -165 *2772:171 *3558:251 0 -166 *2772:171 *4072:32 0.000497271 -167 *2772:177 *25014:B1 0.000130161 -168 *2772:177 *25014:B2 0.00022445 -169 *2772:177 *28552:CLK 0.000307579 -170 *2772:177 *30379:A 7.88844e-05 -171 *2772:177 *3558:224 6.27233e-05 -172 *2772:177 *3630:41 0.000175526 -173 *2772:177 *3630:48 0.000449269 -174 *2772:177 *4124:102 0.00194528 -175 *2772:183 *3558:224 1.18064e-05 -176 *2772:183 *4150:22 0.000164945 -177 *2772:183 *5528:47 0.00142932 -178 *2772:183 *5529:52 0.0013683 -179 *2772:189 *3574:43 0.000303368 -180 *2772:189 *4150:22 0.000205678 -181 *2772:189 *5528:47 1.43349e-05 -182 *2772:189 *5529:35 0.00227069 -183 *2772:196 *2775:50 0.00203333 -184 *2772:196 *3864:23 0.000103249 -185 *2772:196 *4124:116 1.21746e-05 -186 *2772:196 *5601:128 0.000584399 -187 *2772:196 *5602:53 0.000222905 -188 *2772:196 *5608:71 0.0020176 -189 *2772:196 *5678:81 0.000462483 -190 *2772:196 *5678:85 0.000108511 -191 *2772:196 *5755:92 0.000262568 -192 *25054:B1 *2772:45 3.05874e-05 -193 *25055:C *2772:45 0.000176463 -194 *25199:A2 *2772:85 0.000136951 -195 *25558:A0 *2772:85 0.000108848 -196 *25900:A *2772:85 0.000177221 -197 *25924:A *27002:S 0.00087859 -198 *25924:A *2772:91 6.05161e-06 -199 *26890:S *2772:171 0.000293422 -200 *26962:S *2772:177 0.000449666 -201 *29234:A *2772:46 1.92905e-05 -202 *29685:A *27008:S 0.000301438 -203 *30329:A *2772:69 0.000264702 -204 *30336:A *2772:77 9.55226e-05 -205 *30406:A *2772:177 1.58163e-05 -206 *30463:A *27007:S 1.58163e-05 -207 *940:17 *2772:45 0.000277835 -208 *1261:119 *2772:189 0.000327426 -209 *1269:154 *2772:14 0.000137561 -210 *1269:154 *2772:152 0.000342302 -211 *1275:164 *2772:152 0 -212 *1288:194 *2772:45 0.00120039 -213 *1339:26 *27008:S 5.59013e-05 -214 *1339:36 *2772:183 7.49162e-06 -215 *1339:36 *2772:189 0.00293321 -216 *1358:19 *2772:183 0 -217 *1361:147 *2772:46 0.00197265 -218 *1393:39 *2772:85 6.57032e-05 -219 *1433:34 *2772:91 0.000224236 -220 *1463:32 *2772:91 0.000585316 -221 *1463:42 *2772:85 0.000251777 -222 *1463:42 *2772:91 0.001489 -223 *1697:50 *2772:63 3.99747e-05 -224 *1853:64 *27004:S 0 -225 *1883:29 *2772:77 0.000273603 -226 *1883:29 *2772:85 0.000321169 -227 *1892:16 *2772:85 2.23987e-05 -228 *2759:69 *2772:77 0.000151593 -229 *2759:168 *2772:171 0.000123295 -230 *2761:184 *2772:152 0.00011391 -231 *2761:213 *2772:160 0 -232 *2761:213 *2772:164 3.12187e-05 -233 *2763:185 *2772:46 4.97486e-05 -234 *2764:89 *27004:S 0.000197984 -235 *2764:94 *2772:152 2.86824e-05 -236 *2767:34 *2772:171 0.000787078 -237 *2767:34 *2772:177 0.000355065 -238 *2767:142 *27004:S 0.00040843 -239 *2767:142 *2772:45 0.000420378 -240 *2769:65 *2772:152 9.58423e-05 -241 *2769:66 *2772:152 0.000155447 -242 *2770:18 *2772:160 7.88545e-05 -243 *2770:27 *2772:160 0.000391103 -244 *2770:27 *2772:164 0.000133635 -245 *2770:97 *2772:152 5.10217e-05 -*RES -1 *27000:Y *2772:4 9.3 -2 *2772:4 *2772:14 43.5179 -3 *2772:14 *27006:S 15.175 -4 *2772:14 *2772:27 5.50821 -5 *2772:27 *2772:45 31.4807 -6 *2772:45 *2772:46 50.2321 -7 *2772:46 *2772:48 4.5 -8 *2772:48 *27003:S 18.175 -9 *2772:48 *2772:63 37.6429 -10 *2772:63 *2772:69 29.4286 -11 *2772:69 *2772:77 46.7857 -12 *2772:77 *2772:85 48.1964 -13 *2772:85 *2772:91 47.2143 -14 *2772:91 *27002:S 35.5321 -15 *2772:77 *27001:S 9.72857 -16 *2772:69 *27005:S 9.3 -17 *2772:27 *27004:S 33.9974 -18 *2772:4 *2772:152 47.2084 -19 *2772:152 *2772:160 42.6071 -20 *2772:160 *2772:164 29.8214 -21 *2772:164 *2772:171 31.7589 -22 *2772:171 *2772:177 40.3393 -23 *2772:177 *2772:183 25.1986 -24 *2772:183 *2772:189 49.3125 -25 *2772:189 *2772:196 46.125 -26 *2772:196 *27007:S 18.4429 -27 *2772:196 *27008:S 26.5321 -*END - -*D_NET *2773 0.127734 -*CONN -*I *27013:S I *D sky130_fd_sc_hd__mux2_1 -*I *27015:S I *D sky130_fd_sc_hd__mux2_1 -*I *27014:S I *D sky130_fd_sc_hd__mux2_1 -*I *27010:S I *D sky130_fd_sc_hd__mux2_1 -*I *27011:S I *D sky130_fd_sc_hd__mux2_1 -*I *27012:S I *D sky130_fd_sc_hd__mux2_1 -*I *27017:S I *D sky130_fd_sc_hd__mux2_1 -*I *27016:S I *D sky130_fd_sc_hd__mux2_1 -*I *27009:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *27013:S 0.000152514 -2 *27015:S 5.47176e-05 -3 *27014:S 0 -4 *27010:S 0 -5 *27011:S 0.000425698 -6 *27012:S 9.27121e-05 -7 *27017:S 0.000514714 -8 *27016:S 0 -9 *27009:Y 0 -10 *2773:155 0.00250357 -11 *2773:154 0.00215156 -12 *2773:150 0.00244087 -13 *2773:139 0.00471858 -14 *2773:118 0.0019586 -15 *2773:97 0.00500159 -16 *2773:94 0.0031875 -17 *2773:84 0.00349018 -18 *2773:68 0.0039917 -19 *2773:60 0.00405605 -20 *2773:46 0.00273797 -21 *2773:45 0.0028668 -22 *2773:17 0.000827833 -23 *2773:16 0.00125559 -24 *2773:8 0.0039348 -25 *2773:7 0.0031369 -26 *2773:4 0.00121751 -27 *27011:S *29059:A 0 -28 *27011:S *29831:A 0.000135028 -29 *27011:S *4014:22 0.000326746 -30 *27011:S *5709:159 0.000104406 -31 *27011:S *5715:117 1.37527e-05 -32 *27012:S *3170:251 0.000299992 -33 *27012:S *3174:283 0.000298483 -34 *27013:S *26905:A0 0.00010047 -35 *27013:S *27013:A1 9.41642e-05 -36 *27013:S *3718:147 2.84494e-05 -37 *27013:S *4399:14 1.98839e-05 -38 *27013:S *4511:19 6.05161e-06 -39 *27013:S *5696:44 2.09413e-05 -40 *27013:S *5696:77 5.33005e-05 -41 *27015:S *27015:A0 4.32443e-05 -42 *27017:S *28593:CLK 5.96516e-05 -43 *27017:S *3747:11 5.52238e-05 -44 *27017:S *5529:31 0.000150618 -45 *27017:S *5606:78 0.000163455 -46 *27017:S *5675:86 5.03772e-05 -47 *27017:S *5675:97 1.02821e-05 -48 *27017:S *5678:97 5.33005e-05 -49 *27017:S *5755:125 0.000365848 -50 *2773:7 *2776:177 0.000135028 -51 *2773:7 *5910:91 2.14757e-05 -52 *2773:8 *27465:B2 0.000576385 -53 *2773:8 *27840:C 0.00145086 -54 *2773:8 *28497:D 8.00806e-05 -55 *2773:8 *28561:CLK 0.000295701 -56 *2773:8 *28568:CLK 0.000175519 -57 *2773:8 *2776:177 3.34295e-05 -58 *2773:8 *3630:67 0.000894759 -59 *2773:8 *3709:44 0.000990532 -60 *2773:8 *3889:62 5.00841e-06 -61 *2773:8 *3890:68 0.000398387 -62 *2773:8 *4046:18 9.74588e-05 -63 *2773:8 *4046:26 2.14474e-05 -64 *2773:8 *5639:98 0.0010103 -65 *2773:8 *5639:104 0.000215878 -66 *2773:8 *5639:147 0.000400834 -67 *2773:8 *5642:71 0.000655733 -68 *2773:8 *5656:261 0.00069051 -69 *2773:8 *5676:154 0.000173097 -70 *2773:8 *5756:65 4.43126e-05 -71 *2773:8 *5756:86 0.000439202 -72 *2773:8 *5847:44 0.000182654 -73 *2773:8 *5872:25 0.000121503 -74 *2773:8 *5958:11 0.00072312 -75 *2773:16 *24981:B2 0.000218352 -76 *2773:16 *3574:43 6.37327e-05 -77 *2773:16 *3748:34 0.00163658 -78 *2773:16 *4098:42 0.0015769 -79 *2773:16 *5386:12 0.000376506 -80 *2773:17 *28593:CLK 0.000105569 -81 *2773:17 *5606:78 0.000260574 -82 *2773:17 *5678:85 5.52238e-05 -83 *2773:17 *5678:97 8.17274e-05 -84 *2773:45 *26999:A1 0.000100823 -85 *2773:45 *27798:B2 0.000431857 -86 *2773:45 *28513:D 9.66073e-06 -87 *2773:45 *29071:A 0.000303362 -88 *2773:45 *2775:28 0.000147344 -89 *2773:45 *2775:33 7.75093e-05 -90 *2773:45 *2776:177 0.000122591 -91 *2773:45 *5602:100 0.000251909 -92 *2773:45 *5680:147 0.00017309 -93 *2773:45 *5910:88 0.00051784 -94 *2773:45 *5910:91 0.000142979 -95 *2773:46 *25718:A0 0.000310163 -96 *2773:46 *28191:D 0.000590832 -97 *2773:46 *28193:D 0.000101545 -98 *2773:46 *28458:RESET_B 0.000119895 -99 *2773:46 *28460:D 9.89536e-05 -100 *2773:46 *2776:207 7.49162e-06 -101 *2773:46 *3617:125 1.17968e-05 -102 *2773:46 *3617:144 5.29944e-05 -103 *2773:46 *4124:43 0.000620759 -104 *2773:46 *4124:58 0.00138857 -105 *2773:46 *5773:53 0.000197715 -106 *2773:46 *5773:55 0.000280748 -107 *2773:46 *5801:19 0.000144757 -108 *2773:60 *25381:B2 0 -109 *2773:60 *26905:A0 4.11218e-05 -110 *2773:60 *3692:29 0.000184114 -111 *2773:60 *3757:13 0 -112 *2773:60 *4465:17 0.000127798 -113 *2773:60 *5680:106 5.63597e-05 -114 *2773:60 *5681:14 0.000104702 -115 *2773:60 *5773:49 0.000123288 -116 *2773:60 *5773:53 7.85436e-05 -117 *2773:60 *5801:19 0.000760682 -118 *2773:68 *27432:A1 0.000257619 -119 *2773:68 *27432:B2 1.38323e-05 -120 *2773:68 *27432:C1 0.00013833 -121 *2773:68 *3367:31 1.21258e-05 -122 *2773:68 *3839:30 2.06112e-05 -123 *2773:68 *3902:9 0.000919461 -124 *2773:68 *4511:19 0.00177087 -125 *2773:84 *25561:A0 0.000185618 -126 *2773:84 *27431:B2 2.90075e-05 -127 *2773:84 *28591:D 0.000767627 -128 *2773:84 *2856:344 0.000290724 -129 *2773:84 *2888:291 0.000259542 -130 *2773:84 *2891:311 0.000654542 -131 *2773:84 *3692:45 7.64454e-05 -132 *2773:84 *3744:31 1.54993e-05 -133 *2773:84 *3757:15 5.44821e-06 -134 *2773:84 *3772:26 0.000433059 -135 *2773:84 *3916:20 6.56941e-05 -136 *2773:84 *3939:102 6.51414e-05 -137 *2773:84 *4069:32 1.94879e-05 -138 *2773:84 *4069:33 0.000318801 -139 *2773:84 *5582:183 0.000767627 -140 *2773:84 *5627:216 0.000157286 -141 *2773:84 *5650:352 6.36172e-05 -142 *2773:84 *5814:12 0.000191389 -143 *2773:94 *25205:A1 0.000139187 -144 *2773:94 *25561:A0 9.87648e-05 -145 *2773:94 *27727:A1 0.000570003 -146 *2773:94 *27727:B1 7.49387e-06 -147 *2773:94 *27727:B2 3.32631e-05 -148 *2773:94 *3165:89 2.79421e-05 -149 *2773:94 *3165:99 4.00679e-05 -150 *2773:94 *3900:28 9.65217e-05 -151 *2773:94 *5814:12 9.71108e-05 -152 *2773:94 *5903:35 9.65217e-05 -153 *2773:97 *2856:355 0.00047556 -154 *2773:97 *2856:364 0.0014604 -155 *2773:97 *3164:333 0.00224568 -156 *2773:118 *2784:94 0.000295678 -157 *2773:118 *2848:282 0.000578296 -158 *2773:118 *3165:103 0.0001711 -159 *2773:118 *3821:19 0.000150394 -160 *2773:118 *3846:33 1.89948e-05 -161 *2773:118 *3886:79 0.000560703 -162 *2773:118 *4003:20 0.00012746 -163 *2773:118 *4054:17 0.000131124 -164 *2773:118 *4094:20 0.000150394 -165 *2773:118 *5582:103 0.0001711 -166 *2773:118 *5646:232 9.32133e-05 -167 *2773:118 *5824:213 0.00147539 -168 *2773:139 *27023:A1 0.000545162 -169 *2773:139 *27748:A2 0.000972939 -170 *2773:139 *27750:A2 0.000654638 -171 *2773:139 *27750:C1 0.000259542 -172 *2773:139 *3581:138 1.04376e-05 -173 *2773:139 *3706:22 0.000145411 -174 *2773:139 *3758:25 0 -175 *2773:139 *4003:8 0.000195199 -176 *2773:139 *4003:20 0.000191914 -177 *2773:139 *4054:17 0.000144905 -178 *2773:139 *4080:22 0.000373865 -179 *2773:139 *4133:12 0.000135242 -180 *2773:139 *5582:113 0.000133405 -181 *2773:139 *5590:131 3.79109e-05 -182 *2773:139 *5643:282 0.000145411 -183 *2773:139 *5824:147 0.0010509 -184 *2773:139 *5824:150 0.000754575 -185 *2773:150 *27010:A1 0.000278374 -186 *2773:150 *27014:A1 5.33005e-05 -187 *2773:150 *27023:A1 8.43535e-06 -188 *2773:150 *27750:B2 0.000110029 -189 *2773:150 *28614:RESET_B 0.000251467 -190 *2773:150 *5689:165 1.92789e-05 -191 *2773:150 *5709:50 0.000855137 -192 *2773:150 *5762:105 8.25613e-05 -193 *2773:154 *27010:A1 5.33005e-05 -194 *2773:154 *5709:50 7.95355e-05 -195 *2773:154 *5715:51 4.58194e-05 -196 *2773:154 *5715:63 1.38323e-05 -197 *2773:155 *24856:A 5.84267e-05 -198 *2773:155 *25144:B2 0.000167273 -199 *2773:155 *25558:A1 0.000535598 -200 *2773:155 *25913:A1 0.000125731 -201 *2773:155 *28364:D 2.05938e-05 -202 *2773:155 *28370:D 0.000320031 -203 *2773:155 *28586:SET_B 4.66203e-05 -204 *2773:155 *3484:20 9.98387e-05 -205 *2773:155 *3776:42 0.00110725 -206 *2773:155 *5646:182 7.49166e-06 -207 *2773:155 *5646:195 0.00170326 -208 *2773:155 *5669:19 0.000207871 -209 *2773:155 *5688:143 0.000168333 -210 *2773:155 *5715:83 0.000670942 -211 *2773:155 *5729:35 0 -212 *2773:155 *5760:69 0.000123288 -213 *2773:155 *5760:74 0.000389854 -214 *2773:155 *5760:156 6.42242e-05 -215 *2773:155 *5909:44 0.000344006 -216 *25029:C1 *2773:8 0.000578146 -217 *25144:B1 *2773:155 0.000240342 -218 *25186:A2 *2773:118 0.00061604 -219 *25205:A2 *2773:94 4.45768e-06 -220 *25924:A *2773:155 0.000113571 -221 *27002:S *2773:155 0.000878422 -222 *27009:A *2773:8 0.000227736 -223 *29192:A *2773:155 5.58875e-06 -224 *30183:A *2773:155 0.000127439 -225 *30387:A *2773:118 0.000375835 -226 *791:11 *2773:60 0.000168333 -227 *826:27 *2773:68 3.06878e-06 -228 *1258:14 *2773:139 0.000562676 -229 *1261:177 *2773:118 2.22327e-05 -230 *1270:94 *2773:16 0.000573972 -231 *1270:114 *27017:S 0.000148911 -232 *1272:155 *2773:8 0.000936426 -233 *1272:160 *2773:8 0.000203548 -234 *1272:168 *2773:8 6.01475e-05 -235 *1272:168 *2773:16 4.31289e-05 -236 *1286:27 *2773:118 0.000586561 -237 *1302:6 *2773:68 1.90936e-05 -238 *1315:10 *2773:8 0.000515373 -239 *1315:12 *2773:8 0.00417996 -240 *1463:32 *27011:S 0.000326746 -241 *1463:42 *2773:155 0.000335054 -242 *1525:14 *2773:94 0.000298483 -243 *1853:77 *2773:46 0.000335534 -244 *1853:94 *2773:46 0.000266957 -245 *1892:16 *2773:155 0.000170245 -246 *1892:23 *2773:155 7.80122e-05 -247 *2759:111 *2773:118 0.000654592 -248 *2763:124 *2773:8 0.00166501 -249 *2764:92 *27013:S 3.4323e-06 -250 *2767:20 *2773:45 0.00148715 -251 *2770:11 *2773:46 0.000118114 -252 *2770:18 *2773:46 0.000621469 -253 *2772:85 *2773:155 0.00173142 -254 *2772:152 *2773:46 0.00019867 -255 *2772:152 *2773:60 1.58313e-05 -256 *2772:160 *2773:46 0.00259962 -*RES -1 *27009:Y *2773:4 9.3 -2 *2773:4 *2773:7 6.26786 -3 *2773:7 *2773:8 125.5 -4 *2773:8 *2773:16 45.1607 -5 *2773:16 *2773:17 5.875 -6 *2773:17 *27016:S 9.3 -7 *2773:17 *27017:S 29.1393 -8 *2773:4 *2773:45 42.0357 -9 *2773:45 *2773:46 58.8661 -10 *2773:46 *2773:60 17.9554 -11 *2773:60 *2773:68 49.3654 -12 *2773:68 *2773:84 37.7392 -13 *2773:84 *2773:94 42.5893 -14 *2773:94 *2773:97 28.4464 -15 *2773:97 *2773:118 47.6233 -16 *2773:118 *27012:S 12.3 -17 *2773:97 *2773:139 45.184 -18 *2773:139 *2773:150 33.5714 -19 *2773:150 *2773:154 6.26786 -20 *2773:154 *2773:155 75.5625 -21 *2773:155 *27011:S 27.0363 -22 *2773:150 *27010:S 9.3 -23 *2773:139 *27014:S 9.3 -24 *2773:84 *27015:S 14.7643 -25 *2773:60 *27013:S 17.2286 -*END - -*D_NET *2774 0.102392 -*CONN -*I *27022:S I *D sky130_fd_sc_hd__mux2_1 -*I *27021:S I *D sky130_fd_sc_hd__mux2_1 -*I *27020:S I *D sky130_fd_sc_hd__mux2_1 -*I *27025:S I *D sky130_fd_sc_hd__mux2_1 -*I *27026:S I *D sky130_fd_sc_hd__mux2_1 -*I *27019:S I *D sky130_fd_sc_hd__mux2_1 -*I *27023:S I *D sky130_fd_sc_hd__mux2_1 -*I *27024:S I *D sky130_fd_sc_hd__mux2_1 -*I *27018:X O *D sky130_fd_sc_hd__and2_4 -*CAP -1 *27022:S 0 -2 *27021:S 0.001082 -3 *27020:S 2.8192e-05 -4 *27025:S 0 -5 *27026:S 0 -6 *27019:S 0.00190249 -7 *27023:S 0.000603174 -8 *27024:S 0 -9 *27018:X 0.000422983 -10 *2774:244 0.00228746 -11 *2774:180 0.00108687 -12 *2774:162 0.00406192 -13 *2774:146 0.00493613 -14 *2774:140 0.00417693 -15 *2774:110 0.00530638 -16 *2774:99 0.00154819 -17 *2774:92 0.00149347 -18 *2774:76 0.0028887 -19 *2774:74 0.00265703 -20 *2774:35 0.00280248 -21 *2774:31 0.00380192 -22 *2774:29 0.00411083 -23 *2774:15 0.00158169 -24 *2774:10 0.00379577 -25 *27019:S *26894:A0 7.53529e-06 -26 *27019:S *2855:175 0.00010282 -27 *27019:S *2877:187 0.00021846 -28 *27019:S *2879:83 0.000216052 -29 *27019:S *3540:23 0.000284492 -30 *27019:S *3797:79 6.32026e-05 -31 *27019:S *3797:85 0.000125466 -32 *27019:S *3885:26 0.000185413 -33 *27019:S *4133:133 0.000176156 -34 *27019:S *5644:205 0.00244586 -35 *27019:S *5777:9 5.94839e-05 -36 *27020:S *27020:A1 7.20336e-05 -37 *27020:S *2886:295 5.582e-05 -38 *27021:S *27021:A0 7.62682e-05 -39 *27021:S *2784:88 6.69937e-05 -40 *27021:S *2845:286 0.00144933 -41 *27021:S *5630:264 0.000116807 -42 *27023:S *25630:A0 0.000425953 -43 *27023:S *27023:A0 0.000178847 -44 *27023:S *27408:A2 0.000180777 -45 *27023:S *3979:19 0.000124767 -46 *27023:S *4031:18 9.17543e-05 -47 *2774:10 *3902:24 0.00022117 -48 *2774:10 *5654:157 8.55871e-05 -49 *2774:10 *5862:35 0.000785792 -50 *2774:10 *5911:165 8.55871e-05 -51 *2774:15 *27024:A0 0.000436342 -52 *2774:15 *28127:D 8.55871e-05 -53 *2774:15 *2775:99 2.79421e-05 -54 *2774:15 *2775:109 0.000561752 -55 *2774:15 *5774:88 8.18277e-05 -56 *2774:29 *27024:A0 2.05612e-05 -57 *2774:29 *27024:A1 5.33005e-05 -58 *2774:29 *2775:109 0.000435951 -59 *2774:29 *2775:111 9.99644e-06 -60 *2774:29 *5873:281 5.72984e-05 -61 *2774:31 *26940:A0 0.000137983 -62 *2774:31 *27103:A1 0.000629996 -63 *2774:31 *30027:A 0.000175892 -64 *2774:31 *2775:111 0.000211583 -65 *2774:31 *2794:101 9.41642e-05 -66 *2774:31 *3686:50 0.000470798 -67 *2774:31 *4082:42 0.000178847 -68 *2774:31 *5810:77 0.0014089 -69 *2774:31 *5810:86 0.000971952 -70 *2774:31 *5873:281 0.000256997 -71 *2774:31 *5873:289 0.000584823 -72 *2774:35 *25630:A0 0.000178847 -73 *2774:74 *25364:B2 6.97253e-05 -74 *2774:74 *27748:A2 3.64238e-05 -75 *2774:74 *28126:RESET_B 9.65172e-05 -76 *2774:74 *28558:D 3.94839e-05 -77 *2774:74 *28574:CLK 9.83721e-06 -78 *2774:74 *28574:RESET_B 6.14836e-06 -79 *2774:74 *28675:SET_B 2.62915e-05 -80 *2774:74 *2779:83 0 -81 *2774:74 *2784:121 0.000637083 -82 *2774:74 *2879:156 9.14379e-05 -83 *2774:74 *2891:270 9.69276e-05 -84 *2774:74 *3693:11 5.59433e-05 -85 *2774:74 *3901:27 0.000126148 -86 *2774:74 *5607:71 4.30869e-05 -87 *2774:74 *5627:116 1.13265e-05 -88 *2774:74 *5640:235 0.000210733 -89 *2774:74 *5765:129 6.6112e-05 -90 *2774:74 *5768:52 0.000259057 -91 *2774:74 *5879:44 0 -92 *2774:76 *25203:B2 7.09817e-05 -93 *2774:76 *27415:A2 8.72997e-05 -94 *2774:76 *2879:127 0.000395793 -95 *2774:76 *3299:8 0.000487367 -96 *2774:76 *3712:22 5.42575e-05 -97 *2774:76 *3836:21 8.91933e-05 -98 *2774:76 *5627:103 9.85424e-06 -99 *2774:76 *5627:116 1.94945e-05 -100 *2774:76 *5642:151 0.000213089 -101 *2774:92 *27413:A2 6.81786e-05 -102 *2774:92 *27415:A2 0.000488398 -103 *2774:92 *2875:275 0.00051522 -104 *2774:92 *3162:20 0.00103143 -105 *2774:92 *3299:8 0.000490334 -106 *2774:92 *3552:22 0.000302665 -107 *2774:92 *5609:189 1.17921e-05 -108 *2774:92 *5627:103 0.000993397 -109 *2774:92 *5661:245 0.00034076 -110 *2774:92 *6247:18 6.00887e-05 -111 *2774:99 *25240:B2 0.000156145 -112 *2774:99 *3162:20 0.000118137 -113 *2774:99 *5609:189 0.00066886 -114 *2774:99 *5708:80 4.51691e-05 -115 *2774:99 *5708:86 0.000257947 -116 *2774:110 *27344:B1 6.58704e-05 -117 *2774:110 *27681:A1 5.14314e-05 -118 *2774:110 *28654:D 1.88175e-05 -119 *2774:110 *30418:A 0.000428858 -120 *2774:110 *2882:210 0.000182402 -121 *2774:110 *3299:8 0.000711475 -122 *2774:110 *3299:14 0.000236413 -123 *2774:110 *3540:23 0.000212861 -124 *2774:110 *3885:26 0.000204238 -125 *2774:110 *5609:183 0.000353781 -126 *2774:110 *5609:189 0.000272639 -127 *2774:110 *5650:250 0.0004987 -128 *2774:110 *5764:65 1.76606e-05 -129 *2774:140 *2891:165 0.00149999 -130 *2774:140 *2891:326 0.000367753 -131 *2774:140 *5582:31 0.00134412 -132 *2774:140 *5582:42 9.87421e-06 -133 *2774:140 *5603:43 0.00118588 -134 *2774:140 *5603:52 8.43535e-06 -135 *2774:146 *28600:D 1.98839e-05 -136 *2774:146 *2880:11 9.41642e-05 -137 *2774:146 *2880:93 0.000219711 -138 *2774:146 *5582:42 0.0002634 -139 *2774:162 *27465:A1 2.63501e-05 -140 *2774:162 *2792:102 0.000499551 -141 *2774:162 *2885:123 2.72887e-05 -142 *2774:162 *3176:234 0.000145753 -143 *2774:162 *3760:7 4.38027e-05 -144 *2774:162 *3930:94 6.94775e-05 -145 *2774:162 *4073:39 0.000129624 -146 *2774:180 *24992:B2 0.000148182 -147 *2774:180 *24994:B2 0.000139629 -148 *2774:180 *27026:A0 2.12005e-05 -149 *2774:180 *27840:D 0.00105415 -150 *2774:180 *5642:82 0.00111443 -151 *2774:180 *5863:163 0.000227422 -152 *2774:180 *5910:114 0.000229129 -153 *2774:244 *25631:A1 0.000343528 -154 *2774:244 *28127:D 0.000309027 -155 *2774:244 *28197:CLK 3.18676e-05 -156 *2774:244 *28197:D 3.58638e-05 -157 *2774:244 *28583:CLK 9.87983e-06 -158 *2774:244 *29052:A 3.82242e-05 -159 *2774:244 *29091:A 4.96113e-05 -160 *2774:244 *3612:49 2.06178e-05 -161 *2774:244 *3757:13 0.000297607 -162 *2774:244 *3980:46 6.8445e-06 -163 *2774:244 *4399:14 0.000298838 -164 *2774:244 *4455:11 0.000405304 -165 *2774:244 *5773:146 5.21336e-05 -166 *2774:244 *5911:71 1.90936e-05 -167 *24994:A2 *2774:180 0.000136708 -168 *25190:C1 *27021:S 0.00194232 -169 *25243:C1 *2774:74 6.33321e-05 -170 *25340:B1 *2774:110 9.49916e-05 -171 *29077:A *2774:29 3.47826e-05 -172 *29307:A *2774:74 2.70189e-05 -173 *29667:A *27023:S 6.12434e-05 -174 *29828:A *2774:146 0.000301438 -175 *30079:A *2774:180 0.000129913 -176 *30369:A *2774:244 5.33005e-05 -177 *30412:A *2774:99 0.000127446 -178 *922:17 *2774:244 0.000343763 -179 *1185:88 *27019:S 0.000216755 -180 *1225:144 *2774:74 0.000154651 -181 *1242:128 *2774:162 0.000554461 -182 *1256:113 *27021:S 0 -183 *1258:14 *2774:74 0.000807096 -184 *1258:123 *2774:162 0.000506751 -185 *1260:43 *27019:S 1.34824e-05 -186 *1261:64 *2774:180 0.000241489 -187 *1266:132 *2774:146 0.00260247 -188 *1267:100 *2774:74 0.000290254 -189 *1268:79 *2774:180 0.000282022 -190 *1275:54 *27019:S 0.000812063 -191 *1275:225 *27019:S 0.000697454 -192 *1287:184 *2774:99 4.63348e-05 -193 *1372:12 *2774:10 0.000121768 -194 *1456:125 *2774:110 0.000118824 -195 *1490:146 *2774:180 0.000319017 -196 *1494:22 *27019:S 1.54449e-05 -197 *1633:45 *2774:110 0.000685695 -198 *1638:36 *2774:162 0.000456518 -199 *1659:34 *2774:74 0.000155338 -200 *1660:19 *2774:74 0 -201 *1697:32 *2774:10 0.000304082 -202 *1826:251 *2774:31 0.000128467 -203 *1826:251 *2774:35 8.43535e-06 -204 *2759:120 *27021:S 6.69937e-05 -205 *2759:149 *2774:244 9.60337e-06 -206 *2763:62 *2774:180 0.000305619 -207 *2764:152 *2774:162 0.000501376 -208 *2772:14 *2774:244 0.00018372 -*RES -1 *27018:X *2774:10 30.5143 -2 *2774:10 *2774:15 17.8214 -3 *2774:15 *27024:S 9.3 -4 *2774:15 *2774:29 9.01786 -5 *2774:29 *2774:31 64.6071 -6 *2774:31 *2774:35 2.60714 -7 *2774:35 *27023:S 28.1393 -8 *2774:35 *2774:74 48.8413 -9 *2774:74 *2774:76 15.9107 -10 *2774:76 *2774:92 49.8304 -11 *2774:92 *2774:99 15.86 -12 *2774:99 *2774:110 43.0893 -13 *2774:110 *27019:S 39.2305 -14 *2774:110 *2774:140 43.1875 -15 *2774:140 *2774:146 34.9732 -16 *2774:146 *2774:162 48.1869 -17 *2774:162 *2774:180 49.5796 -18 *2774:180 *27026:S 9.3 -19 *2774:146 *27025:S 9.3 -20 *2774:99 *27020:S 14.7643 -21 *2774:76 *27021:S 28.3654 -22 *2774:10 *2774:244 43.367 -23 *2774:244 *27022:S 9.3 -*END - -*D_NET *2775 0.160716 -*CONN -*I *27031:S I *D sky130_fd_sc_hd__mux2_1 -*I *27033:S I *D sky130_fd_sc_hd__mux2_1 -*I *27028:S I *D sky130_fd_sc_hd__mux2_1 -*I *27032:S I *D sky130_fd_sc_hd__mux2_1 -*I *27029:S I *D sky130_fd_sc_hd__mux2_1 -*I *27030:S I *D sky130_fd_sc_hd__mux2_1 -*I *6613:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27035:S I *D sky130_fd_sc_hd__mux2_1 -*I *27034:S I *D sky130_fd_sc_hd__mux2_1 -*I *27027:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *27031:S 0.00178888 -2 *27033:S 0.000136786 -3 *27028:S 3.33736e-05 -4 *27032:S 0 -5 *27029:S 4.06852e-05 -6 *27030:S 0 -7 *6613:DIODE 0 -8 *27035:S 0.000149641 -9 *27034:S 0.00046981 -10 *27027:Y 0 -11 *2775:187 0.00275516 -12 *2775:174 0.0047459 -13 *2775:173 0.00392999 -14 *2775:160 0.00352058 -15 *2775:156 0.00317283 -16 *2775:132 0.00264014 -17 *2775:129 0.00213638 -18 *2775:117 0.004435 -19 *2775:111 0.00748914 -20 *2775:109 0.0054356 -21 *2775:99 0.00379554 -22 *2775:82 0.0048999 -23 *2775:50 0.00365053 -24 *2775:49 0.00325778 -25 *2775:44 0.00126307 -26 *2775:33 0.00146193 -27 *2775:28 0.000870114 -28 *2775:18 0.00181377 -29 *2775:14 0.00177332 -30 *2775:5 0.00137532 -31 *27028:S *3591:51 0.000128154 -32 *27028:S *5709:38 0.000119618 -33 *27029:S *27029:A0 4.85049e-06 -34 *27029:S *27029:A1 5.33005e-05 -35 *27029:S *2886:295 4.58194e-05 -36 *27031:S *30877:A 0.000127446 -37 *27031:S *3692:29 0.00133754 -38 *27031:S *4238:16 0.000295929 -39 *27031:S *5461:32 0 -40 *27031:S *5586:98 0.000531169 -41 *27031:S *5586:122 0 -42 *27031:S *5696:77 1.46617e-05 -43 *27031:S *5716:145 4.85373e-05 -44 *27031:S *5801:19 9.90022e-05 -45 *27031:S *5911:153 8.99252e-05 -46 *27033:S *25048:A1 8.00892e-05 -47 *27033:S *27033:A0 8.6229e-06 -48 *27033:S *27033:A1 1.83136e-05 -49 *27033:S *3839:24 2.30116e-06 -50 *27034:S *30092:A 9.71197e-05 -51 *27034:S *3572:95 0.000366675 -52 *27034:S *4334:15 0.000135028 -53 *27034:S *5602:53 0.000126964 -54 *27034:S *5608:71 0.00102686 -55 *27034:S *5678:111 0.000151318 -56 *27034:S *5753:177 0.000439434 -57 *27035:S *26972:A1 0.000178425 -58 *2775:14 *26988:A0 3.67949e-05 -59 *2775:14 *27426:A1 0.000101808 -60 *2775:14 *28190:D 1.40051e-05 -61 *2775:14 *28511:CLK 0.000113068 -62 *2775:14 *3733:51 6.91426e-05 -63 *2775:14 *3733:59 0.000169064 -64 *2775:14 *4124:19 0.000588587 -65 *2775:14 *5084:20 2.89656e-05 -66 *2775:14 *5847:43 6.71336e-05 -67 *2775:18 *26925:A1 0.000159628 -68 *2775:18 *28511:CLK 2.96768e-05 -69 *2775:18 *28516:CLK 2.82119e-05 -70 *2775:18 *3733:59 6.62299e-06 -71 *2775:18 *5911:94 0.000102011 -72 *2775:28 *27836:A1 0.000418768 -73 *2775:28 *3722:8 0.000196786 -74 *2775:28 *3722:34 0.000140092 -75 *2775:28 *3774:5 0.000300131 -76 *2775:28 *3774:17 1.32056e-05 -77 *2775:28 *5767:150 0.000147706 -78 *2775:28 *5910:88 0.000139523 -79 *2775:33 *5910:88 7.92169e-05 -80 *2775:44 *28545:RESET_B 0.000158736 -81 *2775:44 *30056:A 0.000310314 -82 *2775:44 *3618:74 0.000175519 -83 *2775:44 *5528:53 0.000432659 -84 *2775:44 *5601:97 0.000290899 -85 *2775:50 *28584:RESET_B 0.00027438 -86 *2775:50 *28585:RESET_B 0.000362538 -87 *2775:50 *30395:A 0.000185304 -88 *2775:50 *3708:29 0.00110488 -89 *2775:50 *3708:32 0.00209743 -90 *2775:50 *3708:37 5.70969e-05 -91 *2775:50 *3786:60 0.00166263 -92 *2775:50 *3786:68 1.82282e-05 -93 *2775:50 *3812:14 0.000778452 -94 *2775:50 *3864:23 0.000291278 -95 *2775:50 *5528:47 0.000127052 -96 *2775:50 *5601:128 0.0024536 -97 *2775:50 *5602:53 0.000615659 -98 *2775:50 *5602:100 0.000296611 -99 *2775:50 *5608:71 0.0001693 -100 *2775:50 *5608:78 0.00329426 -101 *2775:50 *5678:85 0.00167098 -102 *2775:50 *5753:177 0.000488653 -103 *2775:50 *5753:186 0.000125731 -104 *2775:50 *5755:125 5.83233e-05 -105 *2775:82 *30357:A 8.89913e-05 -106 *2775:82 *3613:20 3.25078e-05 -107 *2775:82 *4071:11 0.000394733 -108 *2775:82 *5084:20 4.32309e-05 -109 *2775:82 *5607:115 2.74561e-05 -110 *2775:82 *5773:23 0.000132556 -111 *2775:82 *5847:12 0.000315472 -112 *2775:82 *5847:43 2.36897e-05 -113 *2775:82 *5911:79 0.000125622 -114 *2775:82 *5911:81 0 -115 *2775:99 *27107:A1 0.000331624 -116 *2775:99 *2891:311 1.29487e-05 -117 *2775:99 *3744:20 9.666e-05 -118 *2775:99 *3757:13 0.000124935 -119 *2775:99 *3757:15 0.000757138 -120 *2775:99 *3772:26 0.00013 -121 *2775:99 *3839:24 0.000293178 -122 *2775:99 *3891:13 0.000456011 -123 *2775:99 *5696:77 0.000135737 -124 *2775:99 *5774:88 8.2607e-05 -125 *2775:99 *5892:25 0.000498927 -126 *2775:99 *5911:153 0.000135737 -127 *2775:99 *5911:165 1.7754e-05 -128 *2775:109 *27024:A0 0.000139625 -129 *2775:109 *4137:16 3.31806e-05 -130 *2775:109 *5774:88 7.17525e-05 -131 *2775:111 *25630:A1 5.33005e-05 -132 *2775:111 *3717:25 9.58126e-05 -133 *2775:111 *5810:77 0.00280306 -134 *2775:111 *5810:86 0.000307462 -135 *2775:111 *5873:281 0.000879019 -136 *2775:111 *5873:289 0.00117098 -137 *2775:117 *25905:A0 0.000426985 -138 *2775:117 *28590:CLK 0.000292766 -139 *2775:117 *28598:D 1.32091e-05 -140 *2775:117 *3745:8 2.76559e-05 -141 *2775:117 *5689:190 0.000942203 -142 *2775:117 *5762:105 5.20359e-06 -143 *2775:117 *5762:116 4.28938e-05 -144 *2775:129 *25366:A2 4.43256e-05 -145 *2775:129 *25902:A0 0.000143188 -146 *2775:129 *27032:A0 0.000296108 -147 *2775:129 *28478:CLK 0.000317067 -148 *2775:129 *3389:13 4.11173e-05 -149 *2775:129 *3389:20 0.000152693 -150 *2775:129 *3591:6 0.000166976 -151 *2775:129 *3591:8 0.000370037 -152 *2775:129 *3591:10 3.53786e-05 -153 *2775:129 *3591:51 9.30022e-05 -154 *2775:129 *4102:34 0.000569399 -155 *2775:129 *4141:30 0.00100913 -156 *2775:129 *4141:34 0.000139139 -157 *2775:129 *5600:61 0.000771897 -158 *2775:129 *5709:38 0.000317602 -159 *2775:129 *5762:163 0.000200406 -160 *2775:132 *3771:22 0.00117866 -161 *2775:132 *3790:102 0.000293181 -162 *2775:132 *4128:24 0.00326503 -163 *2775:132 *5688:123 0.00112153 -164 *2775:132 *5891:18 0.000419936 -165 *2775:156 *6618:DIODE 0.000138705 -166 *2775:156 *3215:242 1.62779e-05 -167 *2775:156 *3927:20 0.000410085 -168 *2775:156 *4070:48 0.000204125 -169 *2775:156 *4109:53 0.000201779 -170 *2775:156 *4122:43 0.000203626 -171 *2775:156 *5590:79 4.05523e-05 -172 *2775:156 *5649:176 6.89133e-05 -173 *2775:156 *5709:182 0.000153446 -174 *2775:156 *5832:8 0.000199935 -175 *2775:160 *25240:B2 0.000751202 -176 *2775:160 *28630:D 2.55897e-05 -177 *2775:160 *29059:A 0.000116568 -178 *2775:160 *2871:354 4.86178e-05 -179 *2775:160 *2872:295 4.73891e-05 -180 *2775:160 *2886:295 2.76952e-05 -181 *2775:160 *2889:65 7.93001e-05 -182 *2775:160 *3875:118 4.65324e-05 -183 *2775:160 *3998:46 0.000107673 -184 *2775:160 *5652:45 2.68193e-05 -185 *2775:173 *25240:B2 2.35215e-05 -186 *2775:173 *26903:A0 0.000168959 -187 *2775:173 *27311:B1 0.000131357 -188 *2775:173 *27311:B2 0.000127359 -189 *2775:173 *28634:CLK 0.0001014 -190 *2775:173 *2876:19 3.93677e-05 -191 *2775:173 *3998:46 4.36671e-05 -192 *2775:173 *4133:46 0 -193 *2775:173 *4952:14 0.00243309 -194 *2775:173 *5688:349 0 -195 *2775:174 *25343:A1 0.000254585 -196 *2775:174 *29044:A 0 -197 *2775:174 *2851:30 7.55804e-05 -198 *2775:174 *3667:55 0 -199 *2775:174 *3998:46 0.00140295 -200 *2775:174 *3998:50 0.000918209 -201 *2775:174 *4952:14 0.000426567 -202 *2775:174 *5582:10 0.00012401 -203 *2775:174 *5584:103 0.000781614 -204 *2775:174 *5585:47 0.00011122 -205 *2775:174 *5630:52 0.000121418 -206 *2775:174 *5936:111 0.00162741 -207 *2775:174 *5936:125 0.000856262 -208 *2775:187 *25357:A1 5.05761e-05 -209 *2775:187 *25378:A1 2.55579e-05 -210 *2775:187 *27030:A1 5.33005e-05 -211 *2775:187 *2879:61 5.15728e-05 -212 *2775:187 *3185:92 0.00044305 -213 *2775:187 *3299:29 0 -214 *2775:187 *3673:10 0.000247131 -215 *2775:187 *3686:109 3.75845e-05 -216 *2775:187 *3749:113 8.99513e-05 -217 *2775:187 *3751:44 4.37712e-06 -218 *2775:187 *3783:110 0.00068411 -219 *2775:187 *3998:50 0.00140559 -220 *2775:187 *5713:49 0.000266235 -221 *2775:187 *5713:62 1.88624e-05 -222 *2775:187 *5936:33 0.000364766 -223 *2775:187 *5936:111 6.84411e-05 -224 mgmt_gpio_out[15] *27031:S 7.91465e-05 -225 *24989:A2 *27035:S 9.60939e-05 -226 *24989:A2 *2775:33 0.000175892 -227 *25032:A *2775:50 0.000828069 -228 *25061:A2 *2775:28 0.000224322 -229 *25291:A2 *2775:174 1.94879e-05 -230 *25292:C1 *2775:174 0.000254815 -231 *25382:C1 *2775:156 0.00011094 -232 *26905:S *27031:S 0.00013708 -233 *27007:S *2775:50 0.000125717 -234 *29223:A *2775:111 0.000425959 -235 *29315:A *2775:14 2.63501e-05 -236 *29667:A *2775:111 0.000122357 -237 *30028:A *2775:111 0.000218409 -238 *30049:A *2775:44 0.000509486 -239 *30064:A *2775:44 0.000342495 -240 *30077:A *2775:14 6.53083e-05 -241 *30077:A *2775:82 1.02504e-05 -242 *30118:A *2775:18 0.00104864 -243 *30238:A *2775:174 0.000106894 -244 *30463:A *2775:50 0.000902239 -245 *30697:A *27031:S 0.000855724 -246 *244:65 *2775:14 2.17146e-05 -247 *244:65 *2775:18 0.00268883 -248 *244:65 *2775:28 0.000358944 -249 *534:25 *2775:14 5.31158e-05 -250 *900:15 *2775:82 0.000118545 -251 *1178:69 *2775:187 8.25897e-05 -252 *1225:100 *2775:173 0 -253 *1242:159 *27033:S 0.000175554 -254 *1242:159 *2775:99 0.000295022 -255 *1256:95 *2775:173 0.00010283 -256 *1260:20 *2775:187 0.00117459 -257 *1260:184 *2775:18 0.0010843 -258 *1260:184 *2775:28 0.000693117 -259 *1260:188 *2775:28 9.86618e-05 -260 *1261:119 *2775:50 0.000924396 -261 *1261:199 *2775:129 0.000180219 -262 *1265:95 *2775:174 9.49992e-05 -263 *1267:49 *2775:156 0 -264 *1269:86 *2775:156 0.000146777 -265 *1271:162 *2775:173 0.00011602 -266 *1273:181 *2775:109 7.63537e-05 -267 *1274:221 *2775:160 0.000336774 -268 *1275:26 *2775:187 0 -269 *1275:195 *27031:S 0 -270 *1279:109 *2775:173 0.00011602 -271 *1287:149 *2775:160 0.000118902 -272 *1287:184 *2775:160 6.48546e-06 -273 *1287:184 *2775:173 2.35215e-05 -274 *1290:14 *2775:187 0.000508712 -275 *1352:19 *2775:50 2.21972e-05 -276 *1406:24 *2775:160 9.9245e-05 -277 *1406:24 *2775:173 4.52619e-05 -278 *1415:18 *2775:132 0.000247764 -279 *1447:51 *2775:132 6.58974e-05 -280 *1451:6 *2775:156 6.9548e-05 -281 *1490:82 *27034:S 0.000103842 -282 *1490:147 *2775:44 0.000561696 -283 *1490:147 *2775:50 0.000578233 -284 *1490:164 *2775:44 0.00219851 -285 *1559:10 *2775:156 9.25014e-06 -286 *1642:20 *2775:187 0.000220594 -287 *1661:19 *2775:174 0.00116648 -288 *1663:16 *2775:174 3.87393e-05 -289 *1697:20 *2775:99 8.22439e-05 -290 *1826:251 *2775:111 0.00109472 -291 *1855:11 *27031:S 6.28948e-05 -292 *2759:180 *2775:44 0.000573722 -293 *2763:25 *2775:14 2.63501e-05 -294 *2769:146 *27031:S 0.00111665 -295 *2769:167 *27031:S 2.53056e-05 -296 *2771:22 *2775:99 0.000497102 -297 *2772:196 *2775:50 0.00203333 -298 *2773:45 *2775:28 0.000147344 -299 *2773:45 *2775:33 7.75093e-05 -300 *2774:15 *2775:99 2.79421e-05 -301 *2774:15 *2775:109 0.000561752 -302 *2774:29 *2775:109 0.000435951 -303 *2774:29 *2775:111 9.99644e-06 -304 *2774:31 *2775:111 0.000211583 -*RES -1 *27027:Y *2775:5 13.8 -2 *2775:5 *2775:14 17.0252 -3 *2775:14 *2775:18 35.875 -4 *2775:18 *2775:28 33.1696 -5 *2775:28 *2775:33 7.30357 -6 *2775:33 *2775:44 49.0536 -7 *2775:44 *2775:49 9.94643 -8 *2775:49 *2775:50 135.062 -9 *2775:50 *27034:S 30.7018 -10 *2775:33 *27035:S 12.3179 -11 *2775:28 *6613:DIODE 13.8 -12 *2775:5 *2775:82 19.1689 -13 *2775:82 *2775:99 31.3064 -14 *2775:99 *2775:109 25.9107 -15 *2775:109 *2775:111 74.6696 -16 *2775:111 *2775:117 42.2232 -17 *2775:117 *2775:129 41.8393 -18 *2775:129 *2775:132 46.5179 -19 *2775:132 *2775:156 49.5235 -20 *2775:156 *2775:160 8.33521 -21 *2775:160 *2775:173 19.6912 -22 *2775:173 *2775:174 62.6607 -23 *2775:174 *2775:187 49.8887 -24 *2775:187 *27030:S 9.3 -25 *2775:160 *27029:S 14.7464 -26 *2775:129 *27032:S 9.3 -27 *2775:117 *27028:S 15.4429 -28 *2775:99 *27033:S 21.3893 -29 *2775:82 *27031:S 42.7946 -*END - -*D_NET *2776 0.165242 -*CONN -*I *27040:S I *D sky130_fd_sc_hd__mux2_1 -*I *27038:S I *D sky130_fd_sc_hd__mux2_1 -*I *27041:S I *D sky130_fd_sc_hd__mux2_1 -*I *27043:S I *D sky130_fd_sc_hd__mux2_1 -*I *27042:S I *D sky130_fd_sc_hd__mux2_1 -*I *27044:S I *D sky130_fd_sc_hd__mux2_1 -*I *27039:S I *D sky130_fd_sc_hd__mux2_1 -*I *27037:S I *D sky130_fd_sc_hd__mux2_1 -*I *27036:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *27040:S 0.000417036 -2 *27038:S 9.21171e-06 -3 *27041:S 0.000732987 -4 *27043:S 0 -5 *27042:S 0 -6 *27044:S 0 -7 *27039:S 0.000102096 -8 *27037:S 0.00132353 -9 *27036:Y 5.1491e-05 -10 *2776:257 0.0037298 -11 *2776:243 0.00300603 -12 *2776:241 0.00204763 -13 *2776:231 0.00413652 -14 *2776:224 0.00442936 -15 *2776:212 0.00373467 -16 *2776:207 0.00187251 -17 *2776:201 0.00201868 -18 *2776:192 0.00243635 -19 *2776:177 0.00225588 -20 *2776:158 0.00351303 -21 *2776:153 0.00501724 -22 *2776:144 0.00181372 -23 *2776:139 0.00235403 -24 *2776:128 0.0018502 -25 *2776:117 0.0020122 -26 *2776:109 0.0025078 -27 *2776:65 0.00384528 -28 *2776:48 0.00567755 -29 *2776:23 0.00473389 -30 *2776:15 0.0044621 -31 *2776:10 0.00299773 -32 *2776:7 0.00151146 -33 *27037:S *25097:A1 5.16774e-05 -34 *27037:S *26827:B 0.000137561 -35 *27037:S *27037:A1 5.33005e-05 -36 *27037:S *28610:D 0 -37 *27037:S *2859:35 4.35597e-05 -38 *27037:S *2888:59 2.97489e-05 -39 *27037:S *3875:63 8.28437e-05 -40 *27037:S *5619:17 0.00120718 -41 *27037:S *5620:18 0.000426391 -42 *27038:S *5593:33 3.73407e-05 -43 *27038:S *5638:136 4.50149e-05 -44 *27039:S *27091:S 5.39976e-05 -45 *27039:S *3847:25 2.17663e-05 -46 *27039:S *5196:94 0.000130033 -47 *27039:S *5700:122 3.36833e-05 -48 *27040:S *27040:A0 0.000304394 -49 *27040:S *27040:A1 2.28598e-05 -50 *27040:S *30840:A 9.3111e-05 -51 *27040:S *3770:8 0.000407866 -52 *27040:S *5681:10 0.000770691 -53 *27041:S *27041:A1 0.000231967 -54 *27041:S *28590:D 0.000216755 -55 *27041:S *30297:A 0.000135028 -56 *27041:S *5833:190 0.000214716 -57 *2776:7 *30561:A 9.71197e-05 -58 *2776:10 *3634:13 0.000153047 -59 *2776:10 *5583:178 0.000122398 -60 *2776:10 *5667:286 5.58941e-05 -61 *2776:15 *27044:A1 0.000330112 -62 *2776:15 *30348:A 0.00010096 -63 *2776:23 *25009:A1 2.44318e-05 -64 *2776:23 *27595:A 6.90561e-05 -65 *2776:23 *27595:B 0.000416271 -66 *2776:23 *27602:A 4.88546e-05 -67 *2776:23 *27602:B 5.52238e-05 -68 *2776:23 *27611:A_N 0.000255267 -69 *2776:23 *27611:B 4.30159e-05 -70 *2776:23 *3147:15 0.000178847 -71 *2776:23 *3850:24 0.000214984 -72 *2776:23 *3851:54 0.000213269 -73 *2776:23 *5585:99 0.000211519 -74 *2776:23 *6303:10 1.32276e-05 -75 *2776:23 *6307:35 0.000140933 -76 *2776:48 *25125:A1 0.000307789 -77 *2776:48 *27248:B 2.89114e-05 -78 *2776:48 *27377:A0 0.00077268 -79 *2776:48 *27377:S 4.05892e-05 -80 *2776:48 *28773:CLK 0.000167235 -81 *2776:48 *30871:A 1.73146e-05 -82 *2776:48 *2777:58 0.000239731 -83 *2776:48 *3388:17 0.00264049 -84 *2776:48 *3820:69 0.000125977 -85 *2776:48 *3873:34 8.94556e-05 -86 *2776:48 *5585:99 1.44239e-05 -87 *2776:48 *5599:232 0.000419618 -88 *2776:48 *6314:37 1.98839e-05 -89 *2776:48 *6319:25 4.98281e-05 -90 *2776:65 *25892:A0 0.000318231 -91 *2776:65 *27091:S 0.000826784 -92 *2776:65 *27905:A1 0.000100907 -93 *2776:65 *2845:30 2.93106e-05 -94 *2776:65 *2856:38 1.60177e-05 -95 *2776:65 *2874:32 0.000546198 -96 *2776:65 *3205:27 0.000111421 -97 *2776:65 *3205:43 0.000391842 -98 *2776:65 *3547:25 0.000845568 -99 *2776:65 *3816:43 6.81786e-05 -100 *2776:65 *3868:32 0.000231801 -101 *2776:65 *3989:20 2.16416e-05 -102 *2776:65 *4062:27 8.48485e-05 -103 *2776:65 *5196:94 0.000620405 -104 *2776:65 *5629:20 0.000575442 -105 *2776:109 *28215:D 0.000100823 -106 *2776:109 *3633:13 0.000153054 -107 *2776:109 *3633:62 0.000426247 -108 *2776:109 *3633:73 0.000379645 -109 *2776:109 *3890:9 6.42242e-05 -110 *2776:109 *5503:8 0.000257947 -111 *2776:109 *5583:178 0.000233352 -112 *2776:109 *5667:286 0.000504624 -113 *2776:117 *26935:A0 7.83587e-05 -114 *2776:117 *28182:D 0.000471833 -115 *2776:117 *28592:CLK 0.000225314 -116 *2776:117 *30865:A 1.01912e-05 -117 *2776:117 *3631:10 6.91157e-05 -118 *2776:117 *3631:12 0.000590667 -119 *2776:117 *3633:73 1.17921e-05 -120 *2776:117 *3864:39 0.000745705 -121 *2776:117 *4124:116 5.46937e-05 -122 *2776:117 *4150:65 3.51016e-05 -123 *2776:117 *5602:53 0.000357544 -124 *2776:117 *5829:127 0.00252988 -125 *2776:128 *4150:22 1.25092e-05 -126 *2776:128 *4150:65 0.00163041 -127 *2776:128 *5602:53 0.000342576 -128 *2776:128 *5829:127 0.000863833 -129 *2776:139 *25011:A1 5.83233e-05 -130 *2776:139 *27026:A1 0.000177009 -131 *2776:139 *30397:A 0.00023507 -132 *2776:139 *3630:25 0.00179126 -133 *2776:139 *3682:21 0.000331457 -134 *2776:139 *3786:68 0.00188494 -135 *2776:139 *3812:14 1.53472e-05 -136 *2776:139 *3812:24 2.49484e-05 -137 *2776:139 *4150:22 6.35819e-05 -138 *2776:139 *4150:65 9.30878e-05 -139 *2776:139 *5602:53 0.000173734 -140 *2776:139 *5602:61 1.90936e-05 -141 *2776:139 *5602:100 0.000274172 -142 *2776:139 *5756:119 7.58687e-05 -143 *2776:139 *5829:127 9.87983e-06 -144 *2776:144 *26962:A1 0.000103032 -145 *2776:144 *27026:A0 0.000265385 -146 *2776:144 *27026:A1 0.000831237 -147 *2776:144 *28544:D 0.000341541 -148 *2776:144 *4124:93 0.000839707 -149 *2776:144 *5602:100 0.000370839 -150 *2776:144 *5756:119 2.59045e-05 -151 *2776:153 *5386:12 0.00104646 -152 *2776:153 *5602:100 0.00104849 -153 *2776:158 *30162:A 6.77968e-05 -154 *2776:158 *3785:16 1.21258e-05 -155 *2776:158 *3915:55 8.55871e-05 -156 *2776:158 *5651:311 0.000137074 -157 *2776:158 *5676:154 6.06291e-05 -158 *2776:158 *5767:41 0.00025696 -159 *2776:158 *5767:55 0.000251176 -160 *2776:177 *27043:A1 5.33005e-05 -161 *2776:177 *28616:CLK 1.96885e-05 -162 *2776:177 *5680:147 1.02821e-05 -163 *2776:177 *5680:168 0.00034381 -164 *2776:177 *5767:150 9.97077e-05 -165 *2776:177 *5767:166 0.000241662 -166 *2776:177 *5847:44 0.000121516 -167 *2776:177 *5910:91 0.000259542 -168 *2776:192 *27043:A1 0.000596351 -169 *2776:192 *4147:97 0.00236347 -170 *2776:201 *28191:D 0.000218679 -171 *2776:201 *5433:29 2.12863e-05 -172 *2776:201 *5766:136 0.000100567 -173 *2776:201 *5766:153 0.000176888 -174 *2776:201 *5766:163 0.000372719 -175 *2776:201 *5766:172 0.000408634 -176 *2776:201 *6206:11 0.00108013 -177 *2776:207 *25718:A1 0.000100831 -178 *2776:207 *28190:D 4.50033e-05 -179 *2776:207 *28458:CLK 0.00028096 -180 *2776:207 *28557:D 9.71197e-05 -181 *2776:207 *3617:125 0.000148189 -182 *2776:207 *3617:144 0.000173097 -183 *2776:207 *4238:16 0.00103297 -184 *2776:207 *5801:19 0.000860956 -185 *2776:212 *3770:8 0.000279243 -186 *2776:212 *5670:13 0 -187 *2776:212 *5681:10 0.000677678 -188 *2776:212 *5681:14 0.000938446 -189 *2776:212 *5773:100 0.000354217 -190 *2776:212 *5773:109 0.000331523 -191 *2776:212 *5773:120 0.000137224 -192 *2776:212 *5773:131 0.000279615 -193 *2776:224 *25054:A1 0.000369838 -194 *2776:224 *26889:A1 3.90809e-05 -195 *2776:224 *3206:293 0.000636893 -196 *2776:224 *4086:16 1.90936e-05 -197 *2776:224 *4222:21 0.00102953 -198 *2776:224 *5638:195 2.71841e-05 -199 *2776:224 *5862:35 2.04825e-05 -200 *2776:224 *5875:13 0.000315587 -201 *2776:231 *25054:A1 0.000241355 -202 *2776:231 *25054:B2 6.26774e-06 -203 *2776:231 *28713:D 0.000603334 -204 *2776:231 *3153:300 0.000222666 -205 *2776:231 *3185:229 0.000637589 -206 *2776:231 *3582:8 0.0016398 -207 *2776:231 *3582:121 0.000135028 -208 *2776:231 *3731:61 0.000221219 -209 *2776:231 *5651:400 0.000260574 -210 *2776:241 *26886:A1 0.000638709 -211 *2776:241 *27111:A0 0.000920697 -212 *2776:241 *27111:A1 0.000978889 -213 *2776:241 *2784:134 0.000652911 -214 *2776:241 *2784:148 4.43256e-05 -215 *2776:241 *2784:162 0.000896136 -216 *2776:241 *2788:93 0.00020962 -217 *2776:241 *3924:38 0.0001354 -218 *2776:241 *5593:33 3.42107e-06 -219 *2776:241 *5627:251 0.000218726 -220 *2776:241 *5629:172 0.000133685 -221 *2776:241 *5646:258 0 -222 *2776:241 *5701:116 0.000466547 -223 *2776:241 *5701:192 0.000586288 -224 *2776:257 *28126:D 0.000507506 -225 *2776:257 *28582:D 0.000375049 -226 *2776:257 *3732:7 1.38406e-05 -227 *2776:257 *3979:7 0.000216755 -228 *2776:257 *5593:33 0.000971577 -229 *2776:257 *5638:136 0.000976943 -230 *2776:257 *5641:180 0.000106874 -231 *2776:257 *5795:61 1.98839e-05 -232 *2776:257 *5795:70 9.41642e-05 -233 *2776:257 *5810:97 6.21188e-05 -234 *2776:257 *5833:190 4.00826e-05 -235 *25009:A2 *2776:23 0.000305459 -236 *25015:C1 *2776:23 0.000267697 -237 *25028:C1 *2776:117 0.00012501 -238 *25054:A2 *2776:231 7.13226e-06 -239 *25061:B1 *2776:177 0.000307871 -240 *25097:A2 *27037:S 3.26334e-05 -241 *25097:B1 *2776:65 4.13918e-05 -242 *25410:A2 *2776:65 6.81431e-05 -243 *25721:A1 *2776:201 2.61099e-05 -244 *25721:S *2776:201 1.39726e-05 -245 *25891:S *2776:65 0.000129556 -246 *25892:S *2776:65 5.52302e-05 -247 *26964:A *2776:139 0.000100356 -248 *27004:S *2776:224 0.000142242 -249 *27008:S *2776:117 5.58941e-05 -250 *27248:A *2776:48 2.89016e-05 -251 *28773:D *2776:23 4.58194e-05 -252 *28773:D *2776:48 0.000175543 -253 *28789:D *2776:48 1.98839e-05 -254 *29214:A *2776:144 0.000249486 -255 *29339:A *27037:S 0.000108675 -256 *29506:A *2776:241 0.000218726 -257 *29747:A *2776:257 2.54558e-06 -258 *29830:A *2776:48 6.60111e-05 -259 *29943:A *2776:231 0.000538266 -260 *29961:A *2776:109 0.000197984 -261 *30042:A *2776:139 1.721e-05 -262 *30064:A *2776:158 5.33005e-05 -263 *30097:A *2776:158 0.000120112 -264 *30170:A *27040:S 1.74352e-05 -265 *30331:A *27041:S 5.33005e-05 -266 *30504:A *2776:10 0.000414755 -267 *244:65 *2776:109 0.000349427 -268 *244:65 *2776:117 0.000869131 -269 *244:65 *2776:139 8.93887e-05 -270 *244:65 *2776:144 1.18358e-05 -271 *527:16 *2776:109 0.00122408 -272 *938:9 *2776:212 8.89385e-05 -273 *1237:27 *2776:65 7.82365e-05 -274 *1244:128 *2776:65 0.000608392 -275 *1248:33 *27037:S 5.31531e-05 -276 *1248:33 *2776:65 0.000290851 -277 *1248:56 *27037:S 0.00016735 -278 *1252:61 *2776:48 0.00188224 -279 *1257:46 *27037:S 0.000331802 -280 *1257:69 *27037:S 8.81866e-05 -281 *1260:202 *2776:177 2.11543e-05 -282 *1261:101 *2776:139 0.00071864 -283 *1268:123 *2776:144 0.00105187 -284 *1269:154 *2776:207 7.53109e-06 -285 *1274:141 *2776:153 4.15161e-05 -286 *1274:141 *2776:177 0.000368096 -287 *1274:172 *2776:139 1.16258e-05 -288 *1274:172 *2776:144 6.8888e-05 -289 *1275:142 *2776:177 0.000924396 -290 *1282:77 *2776:23 0.000204681 -291 *1282:84 *2776:23 5.33005e-05 -292 *1292:110 *2776:241 4.65519e-05 -293 *1315:10 *2776:177 0.000290279 -294 *1326:35 *27037:S 0.000142884 -295 *1339:24 *2776:109 2.59045e-05 -296 *1339:26 *2776:109 0.000939389 -297 *1339:26 *2776:117 0.000386854 -298 *1361:114 *2776:241 4.3966e-05 -299 *1371:51 *2776:153 4.34472e-05 -300 *1371:51 *2776:177 0.000482868 -301 *1416:31 *27037:S 2.59024e-05 -302 *1417:15 *2776:65 0.000165653 -303 *1449:115 *2776:65 1.51217e-05 -304 *1490:82 *2776:10 0.000232783 -305 *1490:146 *2776:144 6.07328e-05 -306 *1550:34 *2776:48 1.90936e-05 -307 *1588:11 *2776:65 6.20441e-06 -308 *1853:38 *2776:231 0.00051524 -309 *1853:64 *2776:231 6.95811e-05 -310 *1853:77 *2776:207 0.000142846 -311 *1890:35 *2776:65 0.000125084 -312 *2759:31 *2776:224 0 -313 *2759:68 *2776:241 5.5174e-05 -314 *2759:149 *2776:207 0.000352439 -315 *2761:184 *2776:201 0.000136951 -316 *2763:58 *2776:144 0.00264312 -317 *2768:96 *2776:241 9.41642e-05 -318 *2769:66 *2776:192 0.00236519 -319 *2770:11 *2776:207 4.90076e-05 -320 *2772:45 *2776:224 0.000994676 -321 *2773:7 *2776:177 0.000135028 -322 *2773:8 *2776:177 3.34295e-05 -323 *2773:45 *2776:177 0.000122591 -324 *2773:46 *2776:207 7.49162e-06 -*RES -1 *27036:Y *2776:7 14.7464 -2 *2776:7 *2776:10 12.8214 -3 *2776:10 *2776:15 39.2857 -4 *2776:15 *2776:23 41.9821 -5 *2776:23 *2776:48 49.973 -6 *2776:48 *2776:65 47.0935 -7 *2776:65 *27037:S 35.8849 -8 *2776:48 *27039:S 18.3211 -9 *2776:15 *27044:S 9.3 -10 *2776:7 *2776:109 43.1161 -11 *2776:109 *2776:117 48.6786 -12 *2776:117 *2776:128 28.014 -13 *2776:128 *2776:139 42.8571 -14 *2776:139 *2776:144 48.6907 -15 *2776:144 *2776:153 13.2873 -16 *2776:153 *2776:158 43.9643 -17 *2776:158 *27042:S 9.3 -18 *2776:153 *2776:177 42.5893 -19 *2776:177 *27043:S 9.3 -20 *2776:177 *2776:192 49.5 -21 *2776:192 *2776:201 36.5714 -22 *2776:201 *2776:207 35.1786 -23 *2776:207 *2776:212 28.8661 -24 *2776:212 *2776:224 18.0389 -25 *2776:224 *2776:231 47.4821 -26 *2776:231 *2776:241 48.8448 -27 *2776:241 *2776:243 3.41 -28 *2776:243 *2776:257 49.6875 -29 *2776:257 *27041:S 22.175 -30 *2776:243 *27038:S 14.3804 -31 *2776:212 *27040:S 27.6125 -*END - -*D_NET *2777 0.0653074 -*CONN -*I *27053:S I *D sky130_fd_sc_hd__mux2_1 -*I *27049:S I *D sky130_fd_sc_hd__mux2_1 -*I *27052:S I *D sky130_fd_sc_hd__mux2_1 -*I *27051:S I *D sky130_fd_sc_hd__mux2_1 -*I *27048:S I *D sky130_fd_sc_hd__mux2_1 -*I *27046:S I *D sky130_fd_sc_hd__mux2_1 -*I *27047:S I *D sky130_fd_sc_hd__mux2_1 -*I *27050:S I *D sky130_fd_sc_hd__mux2_1 -*I *27045:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *27053:S 0 -2 *27049:S 0.000617968 -3 *27052:S 0 -4 *27051:S 0 -5 *27048:S 2.04425e-05 -6 *27046:S 0.000239185 -7 *27047:S 0 -8 *27050:S 0.000398222 -9 *27045:Y 0 -10 *2777:119 0.000983237 -11 *2777:105 0.00314428 -12 *2777:94 0.00287245 -13 *2777:71 0.00471227 -14 *2777:59 0.00354673 -15 *2777:58 0.00405469 -16 *2777:49 0.00546708 -17 *2777:26 0.000566064 -18 *2777:11 0.000955947 -19 *2777:4 0.000591592 -20 *27046:S *28618:D 5.38242e-05 -21 *27046:S *5448:21 0.000189357 -22 *27046:S *5707:137 0.000179809 -23 *27048:S *27048:A1 5.33005e-05 -24 *27048:S *3848:61 2.59355e-05 -25 *27049:S *6550:DIODE 1.21258e-05 -26 *27049:S *27049:A1 3.93346e-05 -27 *27049:S *27165:A0 0.000272174 -28 *27049:S *27165:A1 4.3537e-05 -29 *27049:S *27165:S 0.000143375 -30 *27049:S *5694:183 0.000197799 -31 *27049:S *5694:189 0.000386121 -32 *27049:S *5694:195 9.60939e-05 -33 *27049:S *5926:152 9.60939e-05 -34 *27050:S *27050:A0 9.71197e-05 -35 *27050:S *28622:D 0.000351943 -36 *27050:S *2839:71 0.000178401 -37 *27050:S *5592:64 0.00011421 -38 *27050:S *5667:129 4.87953e-05 -39 *27050:S *5667:131 9.46929e-05 -40 *27050:S *5687:166 3.06511e-05 -41 *2777:11 *29688:A 5.33005e-05 -42 *2777:11 *5481:6 0.000191403 -43 *2777:11 *5602:21 7.32272e-05 -44 *2777:11 *5667:129 0.000139275 -45 *2777:11 *5687:153 0.000193118 -46 *2777:26 *28622:RESET_B 0.000323956 -47 *2777:26 *2839:33 0 -48 *2777:26 *5448:21 0.000740012 -49 *2777:26 *5707:137 3.22325e-05 -50 *2777:26 *5745:159 0.000142021 -51 *2777:49 *3847:25 4.64236e-05 -52 *2777:49 *5535:14 0.000158707 -53 *2777:49 *5602:21 0.000275169 -54 *2777:58 *30833:A 1.24397e-05 -55 *2777:58 *2782:71 0.000407318 -56 *2777:58 *2792:145 0.000170769 -57 *2777:58 *3196:30 5.98536e-06 -58 *2777:58 *3388:17 0.000128279 -59 *2777:58 *4796:30 0 -60 *2777:58 *5535:14 0 -61 *2777:58 *5599:247 2.70244e-05 -62 *2777:59 *27354:B1 0.000346699 -63 *2777:59 *27759:A1 0.000604877 -64 *2777:59 *2781:51 4.11173e-05 -65 *2777:59 *2782:92 0.00033295 -66 *2777:59 *2853:24 6.35819e-05 -67 *2777:59 *3174:29 0.00013098 -68 *2777:59 *3185:264 4.15161e-05 -69 *2777:59 *3197:19 7.80096e-05 -70 *2777:59 *3642:8 0.00015877 -71 *2777:59 *3642:18 8.89561e-05 -72 *2777:59 *3782:66 0.000187836 -73 *2777:59 *3796:60 6.80221e-05 -74 *2777:59 *3796:62 0.00327459 -75 *2777:59 *5873:120 0.000128154 -76 *2777:71 *27354:B1 0.000262291 -77 *2777:71 *27717:A1 4.86982e-05 -78 *2777:71 *2852:28 1.3879e-05 -79 *2777:71 *2889:22 0 -80 *2777:71 *2891:104 2.73672e-05 -81 *2777:71 *2917:26 0.000296133 -82 *2777:71 *2958:48 2.35985e-05 -83 *2777:71 *3184:53 0.00245928 -84 *2777:71 *3184:71 1.28958e-05 -85 *2777:71 *3184:95 1.41123e-05 -86 *2777:71 *3796:62 0.000227675 -87 *2777:71 *3860:38 0.0010225 -88 *2777:71 *3873:90 0.000352215 -89 *2777:71 *5644:158 0.000528635 -90 *2777:71 *5653:28 0.000103363 -91 *2777:71 *5700:98 0.000191402 -92 *2777:94 *25026:A1 7.28485e-05 -93 *2777:94 *26893:A0 0.000638477 -94 *2777:94 *27454:A2 0.000286034 -95 *2777:94 *28482:SET_B 0.000342682 -96 *2777:94 *2782:115 5.00194e-05 -97 *2777:94 *2786:89 0.000261903 -98 *2777:94 *2786:101 2.31704e-05 -99 *2777:94 *2853:187 4.11227e-05 -100 *2777:94 *2894:210 0.000242039 -101 *2777:94 *3185:48 9.41642e-05 -102 *2777:94 *3822:49 8.69554e-05 -103 *2777:94 *3873:90 0.000614309 -104 *2777:94 *5485:139 0.000417429 -105 *2777:94 *5485:145 4.85914e-05 -106 *2777:94 *5667:348 4.8928e-05 -107 *2777:94 *5694:283 2.59024e-05 -108 *2777:105 *25022:A1 0.000306715 -109 *2777:105 *25022:B2 0.000181796 -110 *2777:105 *27244:A2 1.46553e-05 -111 *2777:105 *27244:A3 3.28151e-05 -112 *2777:105 *27252:C 0.000283116 -113 *2777:105 *27257:A 0.000177821 -114 *2777:105 *27257:B 9.08415e-05 -115 *2777:105 *27260:A1 6.38759e-05 -116 *2777:105 *28779:RESET_B 7.27884e-05 -117 *2777:105 *30833:A 6.67434e-05 -118 *2777:105 *2827:16 2.60984e-05 -119 *2777:105 *2978:36 0.0014529 -120 *2777:105 *3796:23 0.0015723 -121 *2777:105 *5515:42 0.0012766 -122 *2777:105 *5585:105 5.1992e-05 -123 *2777:105 *5667:129 0.000279002 -124 *2777:105 *5667:182 0.000439197 -125 *2777:105 *5678:176 5.33005e-05 -126 *2777:105 *5678:181 0.000222666 -127 *2777:105 *6309:8 2.77289e-05 -128 *2777:105 *6309:39 4.32309e-05 -129 *2777:105 *6310:35 0 -130 *2777:119 *25022:A1 0.000108525 -131 *2777:119 *27052:A1 6.09688e-05 -132 *2777:119 *27053:A1 9.91086e-05 -133 *2777:119 *28624:RESET_B 8.07807e-05 -134 *2777:119 *30471:A 7.32272e-05 -135 *2777:119 *3826:26 0.000240221 -136 *2777:119 *4685:8 0 -137 *2777:119 *4907:17 0 -138 *2777:119 *5694:183 9.41642e-05 -139 *2777:119 *5752:66 4.8936e-05 -140 *24969:A2 *2777:105 0.000125443 -141 *25022:B1 *2777:105 3.97677e-05 -142 *25219:C1 *2777:11 0.000137983 -143 *25219:C1 *2777:49 0.000432038 -144 *26838:A *2777:58 0 -145 *26838:A *2777:105 0 -146 *26849:A *2777:59 0.000102502 -147 *29156:A *2777:94 9.57086e-05 -148 *29276:A *27046:S 0.000134471 -149 *29772:A *27049:S 0.000107342 -150 *29792:A *27049:S 7.1271e-05 -151 *30285:A *27049:S 0.000472299 -152 *30285:A *2777:119 2.95726e-05 -153 *1178:65 *2777:94 0.000572168 -154 *1178:105 *2777:94 4.65519e-05 -155 *1252:61 *2777:58 0.000318066 -156 *1252:83 *2777:59 1.90431e-05 -157 *1252:83 *2777:71 4.65519e-05 -158 *1271:23 *27050:S 0.000139019 -159 *1271:23 *2777:11 0.000149356 -160 *1271:29 *2777:105 0.000357055 -161 *1271:49 *2777:105 0.000891295 -162 *1290:48 *2777:11 0.000136951 -163 *1346:7 *2777:105 0.000733694 -164 *1346:7 *2777:119 0.000519664 -165 *1527:25 *2777:94 0.000104997 -166 *1539:11 *2777:49 0.000204909 -167 *1550:34 *2777:58 0.000417644 -168 *1550:34 *2777:59 2.01525e-05 -169 *1550:41 *2777:59 7.83174e-05 -170 *1590:15 *27046:S 0.00042994 -171 *1639:14 *2777:71 0.000128532 -172 *1639:25 *2777:71 0.00059125 -173 *1639:25 *2777:94 0.000606888 -174 *1717:25 *27050:S 9.90431e-05 -175 *1803:11 *2777:105 0.000434753 -176 *1826:46 *2777:105 4.70448e-05 -177 *2758:105 *2777:94 0.000264124 -178 *2776:48 *2777:58 0.000239731 -*RES -1 *27045:Y *2777:4 9.3 -2 *2777:4 *2777:11 16.3214 -3 *2777:11 *27050:S 29.55 -4 *2777:11 *2777:26 14.3393 -5 *2777:26 *27047:S 13.8 -6 *2777:26 *27046:S 21.0143 -7 *2777:4 *2777:49 17.5261 -8 *2777:49 *2777:58 15.7384 -9 *2777:58 *2777:59 47.4821 -10 *2777:59 *2777:71 27.7947 -11 *2777:71 *27048:S 14.3357 -12 *2777:71 *2777:94 44.4385 -13 *2777:94 *27051:S 9.3 -14 *2777:49 *2777:105 40.7785 -15 *2777:105 *27052:S 9.3 -16 *2777:105 *2777:119 20.1071 -17 *2777:119 *27049:S 25.4786 -18 *2777:119 *27053:S 9.3 -*END - -*D_NET *2778 0.117597 -*CONN -*I *27061:S I *D sky130_fd_sc_hd__mux2_1 -*I *27062:S I *D sky130_fd_sc_hd__mux2_1 -*I *27055:S I *D sky130_fd_sc_hd__mux2_1 -*I *27060:S I *D sky130_fd_sc_hd__mux2_1 -*I *27058:S I *D sky130_fd_sc_hd__mux2_1 -*I *27057:S I *D sky130_fd_sc_hd__mux2_1 -*I *27059:S I *D sky130_fd_sc_hd__mux2_1 -*I *27056:S I *D sky130_fd_sc_hd__mux2_1 -*I *27054:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *27061:S 0.000305757 -2 *27062:S 0 -3 *27055:S 0 -4 *27060:S 0 -5 *27058:S 0.000530443 -6 *27057:S 0.000944218 -7 *27059:S 2.34247e-05 -8 *27056:S 1.9877e-05 -9 *27054:Y 0.000642801 -10 *2778:203 0.000329636 -11 *2778:194 0.0026716 -12 *2778:155 0.00276692 -13 *2778:152 0.0078347 -14 *2778:132 0.00455935 -15 *2778:121 0.00233321 -16 *2778:120 0.00417074 -17 *2778:83 0.00402466 -18 *2778:79 0.0028591 -19 *2778:66 0.0022426 -20 *2778:56 0.00139899 -21 *2778:55 0.00215568 -22 *2778:35 0.00400199 -23 *2778:14 0.00298657 -24 *27056:S *5481:6 5.64168e-05 -25 *27057:S *28629:RESET_B 0.0003197 -26 *27057:S *3924:53 6.71336e-05 -27 *27057:S *5587:87 8.95849e-05 -28 *27057:S *5587:130 3.30444e-05 -29 *27057:S *5629:166 6.53083e-05 -30 *27057:S *5663:155 0.000253737 -31 *27057:S *5702:38 2.39966e-05 -32 *27058:S *2788:76 0 -33 *27058:S *2888:252 0 -34 *27058:S *2894:319 0.000177915 -35 *27058:S *3251:12 4.13589e-05 -36 *27058:S *3770:35 1.23107e-05 -37 *27058:S *3822:104 0.000216755 -38 *27058:S *5607:82 0.000216755 -39 *27061:S *27062:A1 5.52238e-05 -40 *27061:S *27252:A 4.46406e-05 -41 *27061:S *28704:CLK 4.23378e-05 -42 *27061:S *3636:8 0.000259301 -43 *27061:S *5530:32 4.18895e-05 -44 *27061:S *5678:247 6.24939e-05 -45 *27061:S *6385:139 0.000243652 -46 *2778:14 *27258:A 0.000164788 -47 *2778:14 *3019:8 0.000214481 -48 *2778:14 *3019:21 8.58829e-05 -49 *2778:14 *5970:43 0.000236917 -50 *2778:14 *6385:139 0.000318732 -51 *2778:14 *6385:141 0.000296797 -52 *2778:35 *6498:DIODE 9.46929e-05 -53 *2778:35 *27056:A1 0.000821531 -54 *2778:35 *27235:A1 5.02092e-05 -55 *2778:35 *27267:A 0.00051324 -56 *2778:35 *27439:A2 0.00223642 -57 *2778:35 *29877:A 0.000307336 -58 *2778:35 *2787:17 0.000763443 -59 *2778:35 *2840:53 0.000946574 -60 *2778:35 *3019:21 1.34009e-05 -61 *2778:35 *3820:18 7.04471e-05 -62 *2778:35 *3820:69 1.49749e-05 -63 *2778:35 *4352:19 0.000694587 -64 *2778:35 *4796:30 6.52495e-05 -65 *2778:35 *5530:32 0.000183726 -66 *2778:35 *5535:14 6.30931e-05 -67 *2778:35 *5602:21 0.000661689 -68 *2778:35 *5680:257 6.25005e-05 -69 *2778:55 *6463:DIODE 0.00011966 -70 *2778:55 *5211:8 0.00102813 -71 *2778:55 *5481:6 0.000274299 -72 *2778:55 *5585:92 2.09826e-05 -73 *2778:55 *5602:21 0.00139344 -74 *2778:55 *5713:146 2.26424e-05 -75 *2778:56 *27055:A0 0.00110044 -76 *2778:66 *25893:A0 3.22304e-05 -77 *2778:66 *25893:A1 0.000249247 -78 *2778:66 *27055:A0 0.00010096 -79 *2778:66 *2865:44 7.50658e-05 -80 *2778:66 *3816:27 3.97677e-05 -81 *2778:66 *3854:37 0.000135353 -82 *2778:66 *4053:12 8.56177e-05 -83 *2778:66 *5483:135 0.000606375 -84 *2778:66 *5869:32 1.56763e-05 -85 *2778:79 *28436:D 0.000130519 -86 *2778:79 *3185:268 9.41642e-05 -87 *2778:79 *3568:178 9.58126e-05 -88 *2778:79 *5861:65 7.83663e-05 -89 *2778:79 *5861:69 0.00251926 -90 *2778:83 *28436:D 7.05143e-06 -91 *2778:83 *2867:39 4.15526e-05 -92 *2778:83 *3153:32 0.000212379 -93 *2778:83 *3174:56 2.04825e-05 -94 *2778:83 *5600:14 0.00110281 -95 *2778:83 *5861:65 3.59108e-05 -96 *2778:83 *5869:27 0.000347488 -97 *2778:83 *5869:74 6.51926e-05 -98 *2778:120 *3666:35 2.04825e-05 -99 *2778:120 *3685:89 2.04825e-05 -100 *2778:120 *3758:43 1.62539e-05 -101 *2778:120 *5604:20 0.000752952 -102 *2778:120 *5605:81 0.00024318 -103 *2778:120 *5621:34 0.000386164 -104 *2778:120 *5869:74 0.000451278 -105 *2778:120 *5869:85 0.000621191 -106 *2778:120 *6258:12 0.000266932 -107 *2778:121 *27311:B2 4.32957e-05 -108 *2778:121 *2780:184 0.00115463 -109 *2778:121 *3758:43 0.00109077 -110 *2778:121 *5585:28 0.000153094 -111 *2778:121 *5585:47 0.00041992 -112 *2778:121 *5607:13 0.000308599 -113 *2778:121 *5616:44 0.000136694 -114 *2778:121 *5663:98 0.000196262 -115 *2778:132 *27059:A1 2.11419e-05 -116 *2778:132 *2871:354 0.00017441 -117 *2778:132 *2886:295 1.58163e-05 -118 *2778:132 *3758:41 7.22561e-05 -119 *2778:132 *3758:43 0.00116529 -120 *2778:132 *4133:32 0.000415848 -121 *2778:132 *4133:46 0.00112796 -122 *2778:152 *25238:B2 0.000676201 -123 *2778:152 *28596:RESET_B 4.65519e-05 -124 *2778:152 *2855:198 6.30086e-06 -125 *2778:152 *2886:295 1.46231e-05 -126 *2778:152 *2891:200 0.00142967 -127 *2778:152 *3153:221 0.000237 -128 *2778:152 *3179:154 2.6257e-05 -129 *2778:152 *3552:22 0.000438815 -130 *2778:152 *3753:93 3.93168e-05 -131 *2778:152 *3924:62 0.000495842 -132 *2778:152 *3963:60 1.462e-05 -133 *2778:152 *4080:41 0.000109651 -134 *2778:152 *4133:32 0.00150899 -135 *2778:152 *5630:264 0.000101768 -136 *2778:152 *5644:237 0.000651151 -137 *2778:152 *5765:58 0.000340804 -138 *2778:152 *5879:97 0.00242028 -139 *2778:152 *6269:12 5.21577e-05 -140 *2778:152 *6280:18 0.00150715 -141 *2778:155 *27369:A2 0.00117718 -142 *2778:155 *2788:76 0 -143 *2778:155 *2922:20 0.000216092 -144 *2778:155 *5873:304 0.000160692 -145 *2778:155 *6351:12 0.000137276 -146 *2778:194 *25238:B2 0.000187964 -147 *2778:194 *2848:282 5.51573e-05 -148 *2778:194 *3251:11 1.02504e-05 -149 *2778:194 *3824:12 0.000120506 -150 *2778:194 *3848:38 4.11218e-05 -151 *2778:194 *3915:37 0.000117919 -152 *2778:194 *5630:264 8.45157e-05 -153 *2778:194 *5879:98 0.00262933 -154 *2778:194 *6351:24 1.43455e-05 -155 *2778:203 *6498:DIODE 6.05161e-06 -156 *2778:203 *27062:A1 5.52302e-05 -157 *2778:203 *5530:32 8.46829e-05 -158 *2778:203 *5675:284 1.38323e-05 -159 *25098:B *2778:120 4.65519e-05 -160 *25107:C1 *2778:120 0.000205749 -161 *25183:B1 *2778:120 2.42775e-05 -162 *25186:A2 *27057:S 4.14281e-05 -163 *25186:A2 *27058:S 6.42122e-06 -164 *25190:B1 *2778:194 2.9988e-05 -165 *25190:C1 *2778:152 0.000100767 -166 *25209:C1 *2778:83 6.32026e-05 -167 *25223:B1 *2778:120 4.19535e-05 -168 *25225:B *2778:120 0.000113119 -169 *25258:B1 *2778:83 0.000586903 -170 *25284:A *2778:120 0.00034485 -171 *25284:B *2778:83 4.36464e-05 -172 *25284:C *2778:120 0.00030942 -173 *25289:B1 *2778:66 9.6991e-05 -174 *25387:B1 *2778:66 0.000130885 -175 *29103:A *2778:56 0.000170379 -176 *29103:A *2778:66 0.000265447 -177 *29725:A *2778:152 6.77629e-05 -178 *30353:A *2778:66 9.71197e-05 -179 *30771:A *2778:83 2.35985e-05 -180 *1225:100 *2778:132 0.000121165 -181 *1230:51 *2778:120 0.00010294 -182 *1243:34 *2778:120 8.23977e-05 -183 *1244:53 *2778:35 0.000362937 -184 *1245:72 *2778:35 2.4461e-05 -185 *1250:79 *2778:55 0.000391509 -186 *1252:12 *2778:66 9.43346e-05 -187 *1253:34 *2778:120 0.000495301 -188 *1257:120 *2778:66 0.000116021 -189 *1258:56 *2778:194 1.8995e-06 -190 *1260:102 *2778:194 2.37836e-05 -191 *1261:227 *27057:S 0.000217694 -192 *1269:10 *2778:121 0.000144038 -193 *1269:128 *27057:S 0.000196423 -194 *1269:128 *27058:S 2.33124e-05 -195 *1269:128 *2778:155 0.00105293 -196 *1272:101 *27058:S 7.20566e-05 -197 *1274:17 *2778:120 2.35215e-05 -198 *1275:234 *2778:152 0.000393712 -199 *1277:76 *2778:14 5.52238e-05 -200 *1279:102 *2778:120 2.01997e-05 -201 *1282:12 *2778:79 0.000108542 -202 *1282:12 *2778:83 0 -203 *1282:20 *2778:79 0.00145351 -204 *1282:136 *2778:120 6.30931e-05 -205 *1287:184 *2778:132 5.19522e-06 -206 *1289:27 *2778:83 0.000208852 -207 *1289:27 *2778:120 0.000142712 -208 *1289:34 *2778:79 0.000121139 -209 *1289:34 *2778:83 0.00312716 -210 *1289:44 *2778:79 0.000504241 -211 *1291:8 *2778:83 5.32236e-05 -212 *1292:8 *2778:121 1.90936e-05 -213 *1292:24 *2778:121 0.00010283 -214 *1292:195 *2778:121 4.09646e-05 -215 *1294:128 *2778:55 0.000329548 -216 *1421:26 *2778:79 0.000281694 -217 *1421:93 *2778:79 0.000207054 -218 *1421:100 *2778:120 0.002953 -219 *1476:183 *2778:120 0.000414759 -220 *1494:22 *2778:121 8.87557e-05 -221 *1536:13 *27056:S 5.4695e-05 -222 *1536:13 *2778:55 0.000282835 -223 *1557:16 *2778:152 0 -224 *1574:21 *2778:152 4.73953e-05 -225 *1576:8 *2778:120 5.26224e-05 -226 *1576:8 *2778:121 0.00432946 -227 *1576:8 *2778:132 1.53472e-05 -228 *1576:27 *2778:120 0.000128155 -229 *1590:15 *2778:66 0.00025233 -230 *1594:23 *2778:66 0.000259549 -231 *1653:35 *2778:66 0.000122892 -232 *1659:34 *2778:152 0.00156556 -233 *1717:25 *2778:35 0.000386121 -234 *1805:11 *2778:35 6.01539e-05 -235 *2759:119 *2778:152 4.65519e-05 -236 *2761:53 *27057:S 0.000232834 -237 *2761:53 *27058:S 0.000147082 -*RES -1 *27054:Y *2778:14 33.9964 -2 *2778:14 *2778:35 49.3275 -3 *2778:35 *27056:S 14.5321 -4 *2778:35 *2778:55 46.9107 -5 *2778:55 *2778:56 10.8036 -6 *2778:56 *2778:66 37 -7 *2778:66 *2778:79 49.0142 -8 *2778:79 *2778:83 45.1339 -9 *2778:83 *2778:120 49.7503 -10 *2778:120 *2778:121 56.7411 -11 *2778:121 *2778:132 27 -12 *2778:132 *27059:S 9.72857 -13 *2778:132 *2778:152 47.0419 -14 *2778:152 *2778:155 7.9314 -15 *2778:155 *27057:S 23.749 -16 *2778:155 *27058:S 24.5461 -17 *2778:152 *2778:194 46.2252 -18 *2778:194 *27060:S 9.3 -19 *2778:56 *27055:S 9.3 -20 *2778:14 *2778:203 0.946429 -21 *2778:203 *27062:S 9.3 -22 *2778:203 *27061:S 25.9429 -*END - -*D_NET *2779 0.107108 -*CONN -*I *27065:S I *D sky130_fd_sc_hd__mux2_1 -*I *27064:S I *D sky130_fd_sc_hd__mux2_1 -*I *27070:S I *D sky130_fd_sc_hd__mux2_1 -*I *27066:S I *D sky130_fd_sc_hd__mux2_1 -*I *27071:S I *D sky130_fd_sc_hd__mux2_1 -*I *27069:S I *D sky130_fd_sc_hd__mux2_1 -*I *27067:S I *D sky130_fd_sc_hd__mux2_1 -*I *27068:S I *D sky130_fd_sc_hd__mux2_1 -*I *27063:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *27065:S 0.000208301 -2 *27064:S 1.69531e-05 -3 *27070:S 0.00117867 -4 *27066:S 0 -5 *27071:S 2.65875e-05 -6 *27069:S 0.000450422 -7 *27067:S 1.69531e-05 -8 *27068:S 0 -9 *27063:Y 0 -10 *2779:170 0.00255063 -11 *2779:161 0.0026062 -12 *2779:140 0.00169272 -13 *2779:132 0.00216413 -14 *2779:121 0.00331284 -15 *2779:107 0.00279626 -16 *2779:96 0.00254954 -17 *2779:90 0.00306165 -18 *2779:83 0.00266393 -19 *2779:80 0.00378826 -20 *2779:67 0.00527354 -21 *2779:66 0.00225668 -22 *2779:48 0.00144299 -23 *2779:33 0.00132702 -24 *2779:26 0.00280022 -25 *2779:10 0.00424561 -26 *2779:4 0.00110131 -27 *27064:S *2891:326 5.33005e-05 -28 *27064:S *5603:52 5.33005e-05 -29 *27065:S *2866:323 0.000259549 -30 *27065:S *4044:13 0.000124201 -31 *27065:S *4115:28 0.000124201 -32 *27065:S *5646:232 8.94556e-05 -33 *27065:S *5660:217 0.000257619 -34 *27065:S *5764:274 4.18413e-05 -35 *27067:S *26976:A1 5.33005e-05 -36 *27067:S *6261:17 5.33005e-05 -37 *27069:S *27069:A0 0.000139907 -38 *27069:S *27069:A1 0.00014183 -39 *27069:S *28454:RESET_B 3.10052e-05 -40 *27069:S *28641:RESET_B 3.42067e-05 -41 *27069:S *3939:104 0.000718946 -42 *27069:S *5814:10 0.000193584 -43 *27070:S *27070:A1 5.52238e-05 -44 *27070:S *5678:11 1.02936e-05 -45 *27070:S *5678:18 0.000158902 -46 *27070:S *5700:308 0.000201127 -47 *27071:S *27071:A1 0.000140933 -48 *2779:10 *26852:A0 0.000548219 -49 *2779:10 *27063:B 7.89933e-05 -50 *2779:10 *28501:CLK 6.86693e-05 -51 *2779:10 *3537:20 1.3142e-05 -52 *2779:10 *3835:36 0.000358309 -53 *2779:10 *4043:32 0.00183402 -54 *2779:10 *5668:11 8.05708e-05 -55 *2779:10 *5870:21 1.57414e-05 -56 *2779:26 *28484:D 0.0012437 -57 *2779:26 *29157:A 0.000137834 -58 *2779:26 *29458:A 0.000127886 -59 *2779:26 *2780:272 0.00215242 -60 *2779:26 *3848:13 2.79421e-05 -61 *2779:26 *3861:53 2.95726e-05 -62 *2779:26 *3874:102 0 -63 *2779:26 *4043:32 3.36969e-05 -64 *2779:26 *4812:13 0.000389077 -65 *2779:26 *4884:17 0.000418126 -66 *2779:26 *5702:202 0 -67 *2779:26 *5772:22 9.54798e-06 -68 *2779:26 *5772:34 3.47819e-05 -69 *2779:26 *5772:83 0 -70 *2779:26 *5905:48 0.000200385 -71 *2779:33 *3835:36 0.00013463 -72 *2779:33 *3848:13 0.000497344 -73 *2779:33 *4043:32 3.09273e-05 -74 *2779:33 *5772:100 0.000185485 -75 *2779:33 *6261:17 4.36787e-05 -76 *2779:48 *28454:RESET_B 7.57819e-06 -77 *2779:48 *29955:A 0.000211979 -78 *2779:48 *3848:13 3.32605e-05 -79 *2779:48 *3926:29 2.83562e-06 -80 *2779:48 *3939:104 4.11173e-05 -81 *2779:48 *5676:307 0.000251754 -82 *2779:48 *5772:100 4.00349e-05 -83 *2779:48 *5775:171 5.93202e-05 -84 *2779:48 *6284:17 0.000569611 -85 *2779:67 *25722:A0 8.85664e-07 -86 *2779:67 *25722:A1 0.000751042 -87 *2779:67 *2788:16 0.00030588 -88 *2779:67 *2788:76 1.90936e-05 -89 *2779:67 *2888:269 0.000323241 -90 *2779:67 *3259:13 7.83965e-05 -91 *2779:67 *3606:39 0.000123706 -92 *2779:67 *3730:8 0.000589426 -93 *2779:67 *3861:40 0.00512229 -94 *2779:67 *5629:217 6.07142e-05 -95 *2779:67 *5635:187 1.01417e-05 -96 *2779:67 *5656:350 5.08855e-05 -97 *2779:67 *5910:42 0 -98 *2779:80 *27110:A0 0.000217133 -99 *2779:80 *27110:A1 6.79442e-05 -100 *2779:80 *27368:B1 1.65169e-05 -101 *2779:80 *27368:B2 0.000632598 -102 *2779:80 *28675:SET_B 0.000112293 -103 *2779:80 *2784:94 0.000145239 -104 *2779:80 *2784:112 0.000125355 -105 *2779:80 *3924:53 0.000216755 -106 *2779:80 *5593:20 0.000134909 -107 *2779:80 *5692:47 3.18881e-05 -108 *2779:80 *5795:78 0.00013878 -109 *2779:83 *27122:S 2.46668e-05 -110 *2779:83 *27403:A2 2.63652e-05 -111 *2779:83 *4132:38 0.000134891 -112 *2779:83 *5765:129 0 -113 *2779:90 *27122:S 0.000437156 -114 *2779:90 *27140:A0 0 -115 *2779:90 *2855:189 7.20062e-05 -116 *2779:90 *4132:38 0.000607262 -117 *2779:96 *28571:D 0.000307925 -118 *2779:96 *2875:275 2.50034e-05 -119 *2779:96 *2879:118 1.69824e-05 -120 *2779:96 *2891:200 8.66324e-05 -121 *2779:96 *3162:20 2.84879e-05 -122 *2779:96 *3162:34 2.01997e-05 -123 *2779:96 *3797:101 0.00236571 -124 *2779:96 *5661:232 0.000111243 -125 *2779:96 *5708:80 0.000539201 -126 *2779:96 *5764:76 0.000236526 -127 *2779:96 *5764:106 0.000310942 -128 *2779:96 *5795:96 0.000240952 -129 *2779:107 *28634:D 0.00014129 -130 *2779:107 *30173:A 0.000156673 -131 *2779:107 *3162:20 0.000102543 -132 *2779:107 *3540:23 0.000445925 -133 *2779:107 *3667:55 0.000318743 -134 *2779:107 *3768:22 1.82896e-05 -135 *2779:107 *4069:112 0.000147332 -136 *2779:107 *5614:37 0.000756006 -137 *2779:121 *24960:A 0.000416863 -138 *2779:121 *28634:D 0.000165932 -139 *2779:121 *29334:A 0.000140331 -140 *2779:121 *2876:29 0.000251243 -141 *2779:121 *2978:22 0.000859005 -142 *2779:121 *3667:55 0.00231814 -143 *2779:121 *3768:22 0.000115573 -144 *2779:121 *4054:66 0.000918806 -145 *2779:121 *5700:50 0.00015285 -146 *2779:121 *5713:336 2.05803e-05 -147 *2779:121 *5795:96 1.47871e-05 -148 *2779:121 *5890:81 0.000116624 -149 *2779:121 *6269:12 0.00103628 -150 *2779:132 *2864:176 0.000709653 -151 *2779:132 *2864:182 0.000353391 -152 *2779:132 *3413:51 0.000174261 -153 *2779:132 *3667:55 0.00121956 -154 *2779:132 *3768:27 0.00121575 -155 *2779:132 *4054:66 5.05964e-05 -156 *2779:132 *5700:50 1.14338e-05 -157 *2779:140 *27066:A0 9.71197e-05 -158 *2779:140 *27066:A1 0.000137227 -159 *2779:140 *27297:B2 4.87854e-05 -160 *2779:140 *2866:70 0.000404221 -161 *2779:140 *3306:6 0.000580846 -162 *2779:140 *3907:45 7.86964e-05 -163 *2779:140 *5655:182 0.000582426 -164 *2779:161 *27066:A0 0.000163455 -165 *2779:161 *2870:43 0 -166 *2779:161 *2889:36 1.94945e-05 -167 *2779:161 *3185:65 2.26973e-05 -168 *2779:161 *3185:92 0.000224556 -169 *2779:161 *3992:53 0.00114918 -170 *2779:161 *4015:37 0.00114709 -171 *2779:161 *5597:20 0 -172 *2779:161 *5700:55 0.00014833 -173 *2779:170 *27719:B2 0.00012026 -174 *2779:170 *30770:A 1.09026e-05 -175 *2779:170 *3153:143 5.41797e-06 -176 *2779:170 *3259:25 4.21584e-05 -177 *2779:170 *3269:10 3.72592e-05 -178 *2779:170 *3874:26 3.17148e-05 -179 *2779:170 *3874:37 0.00207416 -180 *2779:170 *3899:54 0.000218679 -181 *2779:170 *3900:48 0.000121573 -182 *2779:170 *3965:85 0.000713024 -183 *2779:170 *5597:7 0.000178148 -184 *2779:170 *5597:20 0.000836594 -185 *2779:170 *5598:56 0.000370781 -186 *2779:170 *5627:18 0 -187 *2779:170 *5713:305 4.53834e-05 -188 *25031:B1 *27070:S 0.000406625 -189 *25345:D *2779:121 9.41642e-05 -190 *25362:B1 *2779:132 0.000165754 -191 *27063:A *2779:10 9.41642e-05 -192 *29234:A *2779:67 7.83366e-05 -193 *29754:A *2779:140 0.000262223 -194 *29754:A *2779:161 0.000175892 -195 *30569:A *2779:26 0.000183726 -196 *866:20 *27069:S 1.90936e-05 -197 *970:16 *2779:48 0.0004798 -198 *974:20 *27071:S 0.000140933 -199 *1026:19 *2779:48 0.00020832 -200 *1248:140 *2779:96 7.53309e-05 -201 *1248:150 *2779:96 0.00023962 -202 *1260:43 *2779:121 6.0914e-05 -203 *1262:80 *2779:90 0.000396836 -204 *1266:104 *2779:96 9.16711e-05 -205 *1267:83 *2779:80 0.000134453 -206 *1269:110 *2779:90 0.000399257 -207 *1269:128 *2779:67 0.000751634 -208 *1605:13 *2779:96 0.000160427 -209 *1660:19 *2779:83 0.000419219 -210 *1660:42 *2779:96 0.000955135 -211 *1660:42 *2779:107 0.000772845 -212 *1660:48 *2779:107 0.000186793 -213 *1661:19 *2779:121 0.000113562 -214 *1663:16 *2779:121 9.25014e-06 -215 *1835:6 *2779:26 4.6392e-05 -216 *1853:38 *2779:67 6.25005e-05 -217 *2754:20 *2779:170 1.721e-05 -218 *2758:90 *27070:S 0.000202835 -219 *2764:30 *2779:10 3.45453e-05 -220 *2769:209 *2779:48 0.00246339 -221 *2774:74 *2779:83 0 -*RES -1 *27063:Y *2779:4 9.3 -2 *2779:4 *2779:10 34.3482 -3 *2779:10 *2779:26 46.9238 -4 *2779:26 *27068:S 9.3 -5 *2779:10 *2779:33 8.70536 -6 *2779:33 *27067:S 14.3357 -7 *2779:33 *2779:48 12.2076 -8 *2779:48 *27069:S 24.9964 -9 *2779:48 *27071:S 15.1571 -10 *2779:4 *2779:66 4.5 -11 *2779:66 *2779:67 67.5179 -12 *2779:67 *2779:80 48.3782 -13 *2779:80 *2779:83 5.16153 -14 *2779:83 *2779:90 11.2552 -15 *2779:90 *2779:96 48.4218 -16 *2779:96 *2779:107 34.2768 -17 *2779:107 *2779:121 49.9333 -18 *2779:121 *2779:132 46.271 -19 *2779:132 *2779:140 19.4643 -20 *2779:140 *27066:S 9.3 -21 *2779:140 *2779:161 34.4484 -22 *2779:161 *2779:170 48.375 -23 *2779:170 *27070:S 34.1393 -24 *2779:107 *27064:S 14.3357 -25 *2779:83 *27065:S 25.159 -*END - -*D_NET *2780 0.165219 -*CONN -*I *6615:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27080:S I *D sky130_fd_sc_hd__mux2_1 -*I *27076:S I *D sky130_fd_sc_hd__mux2_1 -*I *27074:S I *D sky130_fd_sc_hd__mux2_1 -*I *27077:S I *D sky130_fd_sc_hd__mux2_1 -*I *27073:S I *D sky130_fd_sc_hd__mux2_1 -*I *6614:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27075:S I *D sky130_fd_sc_hd__mux2_1 -*I *27078:S I *D sky130_fd_sc_hd__mux2_1 -*I *27079:S I *D sky130_fd_sc_hd__mux2_1 -*I *27072:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *6615:DIODE 0.000133742 -2 *27080:S 0 -3 *27076:S 0 -4 *27074:S 0.000166369 -5 *27077:S 4.72103e-05 -6 *27073:S 0.000155398 -7 *6614:DIODE 0 -8 *27075:S 8.12777e-05 -9 *27078:S 0.000624748 -10 *27079:S 7.5125e-05 -11 *27072:Y 0 -12 *2780:308 0.00060079 -13 *2780:297 0.00110395 -14 *2780:272 0.00343735 -15 *2780:261 0.00377131 -16 *2780:259 0.00273452 -17 *2780:228 0.00186683 -18 *2780:223 0.00219397 -19 *2780:196 0.00334672 -20 *2780:195 0.00241157 -21 *2780:184 0.00220309 -22 *2780:161 0.00393733 -23 *2780:158 0.00424157 -24 *2780:150 0.00277556 -25 *2780:129 0.000219188 -26 *2780:100 0.00255083 -27 *2780:94 0.00431553 -28 *2780:90 0.00365127 -29 *2780:72 0.00314339 -30 *2780:61 0.00390474 -31 *2780:43 0.00340042 -32 *2780:25 0.00359689 -33 *2780:6 0.00451905 -34 *2780:5 0.00222946 -35 *2780:4 0.000370623 -36 *6615:DIODE *27080:A1 0.000105471 -37 *27073:S *27073:A1 4.43256e-05 -38 *27073:S *2894:44 9.41642e-05 -39 *27073:S *3829:36 7.44888e-05 -40 *27073:S *5517:30 2.87767e-05 -41 *27074:S *2794:95 0 -42 *27074:S *3686:27 0 -43 *27074:S *5912:81 5.52302e-05 -44 *27075:S *25604:A0 0.000139452 -45 *27075:S *4528:14 0.000148383 -46 *27077:S *25202:B2 0.000180107 -47 *27078:S *25519:B 6.24939e-05 -48 *27078:S *27078:A0 6.74893e-05 -49 *27078:S *27078:A1 2.59355e-05 -50 *27078:S *27229:A 9.77749e-05 -51 *27078:S *2803:20 0 -52 *27078:S *2809:24 0.000240734 -53 *27078:S *5539:33 0 -54 *27078:S *5680:257 0.000425357 -55 *27078:S *5751:83 8.34937e-05 -56 *27078:S *5926:171 9.90431e-05 -57 *27078:S *6314:75 1.26641e-05 -58 *27078:S *6381:120 0.000155212 -59 *27078:S *6382:26 5.23533e-05 -60 *27078:S *6383:21 7.14469e-05 -61 *27079:S *27079:A0 5.52238e-05 -62 *27079:S *27079:A1 5.68713e-05 -63 *27079:S *2799:18 5.23139e-05 -64 *27079:S *4574:37 0.00035281 -65 *2780:6 *26896:A0 0.000162607 -66 *2780:6 *28649:CLK 0.000314105 -67 *2780:6 *28708:D 0.00014101 -68 *2780:6 *2860:209 0.000156845 -69 *2780:6 *2867:193 0.00022539 -70 *2780:6 *2871:194 0.00177648 -71 *2780:6 *2872:163 0.00412888 -72 *2780:6 *3559:21 0.000308657 -73 *2780:6 *3627:33 0.00072296 -74 *2780:6 *3964:48 0.00114489 -75 *2780:6 *3965:79 0.000733977 -76 *2780:6 *5640:27 9.12207e-06 -77 *2780:6 *5667:60 0.000105121 -78 *2780:25 *27333:B1 0.000178847 -79 *2780:25 *27670:A 2.89016e-05 -80 *2780:25 *27670:B 0.000339346 -81 *2780:25 *27770:S 0.000354032 -82 *2780:25 *28806:RESET_B 0.000573382 -83 *2780:25 *2787:112 4.38058e-05 -84 *2780:25 *2872:163 0.000215669 -85 *2780:25 *2882:31 0.000347322 -86 *2780:25 *2895:28 0.00012191 -87 *2780:25 *2895:227 4.49768e-05 -88 *2780:25 *2917:26 0.000128565 -89 *2780:25 *3388:17 0.00240413 -90 *2780:25 *3559:21 0.000149668 -91 *2780:25 *3782:66 0.000130519 -92 *2780:43 *28466:CLK 7.75521e-05 -93 *2780:43 *28642:D 0.00034188 -94 *2780:43 *2784:59 0.000345616 -95 *2780:43 *3842:7 7.62658e-05 -96 *2780:43 *5211:7 9.41642e-05 -97 *2780:43 *5873:164 0.000533624 -98 *2780:61 *25099:A1 2.59355e-05 -99 *2780:61 *25265:A1 0.00257073 -100 *2780:61 *27882:B2 4.11173e-05 -101 *2780:61 *2834:40 9.37606e-06 -102 *2780:61 *2855:139 0.00178285 -103 *2780:61 *3165:216 0.000264085 -104 *2780:61 *3777:51 8.76591e-06 -105 *2780:61 *3829:36 0.000401107 -106 *2780:61 *5517:30 7.55983e-05 -107 *2780:61 *5583:58 5.66539e-05 -108 *2780:61 *5609:89 0.000113453 -109 *2780:61 *5661:42 2.63501e-05 -110 *2780:61 *5754:6 0.000189733 -111 *2780:72 *25099:A1 0.000415795 -112 *2780:72 *25289:B2 0.0001701 -113 *2780:72 *25387:A1 0 -114 *2780:72 *25387:B2 1.8245e-05 -115 *2780:72 *27055:A1 3.26077e-05 -116 *2780:72 *27882:B2 0 -117 *2780:72 *3790:32 2.06178e-05 -118 *2780:72 *3794:33 3.30004e-05 -119 *2780:72 *4873:22 2.74858e-05 -120 *2780:72 *5499:59 3.32613e-05 -121 *2780:72 *5517:18 0.000858558 -122 *2780:72 *5517:20 0.00109325 -123 *2780:72 *5618:85 0.000587187 -124 *2780:90 *25260:A1 0.000331461 -125 *2780:90 *25615:A0 9.60293e-05 -126 *2780:90 *26846:A1 8.24047e-05 -127 *2780:90 *28443:D 2.97119e-05 -128 *2780:90 *29030:A 6.15221e-05 -129 *2780:90 *29507:A 0.000803864 -130 *2780:90 *3639:30 0.000804099 -131 *2780:90 *5517:18 0.000690455 -132 *2780:90 *5592:78 2.73792e-05 -133 *2780:90 *6142:14 0 -134 *2780:90 *6338:41 0.000112777 -135 *2780:94 *25604:A0 2.04825e-05 -136 *2780:94 *28429:SET_B 0.000134506 -137 *2780:94 *29029:A 6.44345e-05 -138 *2780:94 *29111:A 0.000235154 -139 *2780:94 *29838:A 9.71197e-05 -140 *2780:94 *3638:105 0.000870011 -141 *2780:94 *4528:14 0.000153283 -142 *2780:94 *5516:45 0.000214591 -143 *2780:94 *5517:5 0.000224583 -144 *2780:94 *5707:222 2.59093e-05 -145 *2780:94 *5746:8 0 -146 *2780:100 *29838:A 2.79405e-05 -147 *2780:100 *3847:14 0.000189306 -148 *2780:100 *4740:33 0.000775236 -149 *2780:100 *6104:19 0.00031473 -150 *2780:100 *6105:17 0.00329909 -151 *2780:100 *6141:21 0.00115364 -152 *2780:100 *6381:78 4.15592e-05 -153 *2780:100 *6381:120 0.000107416 -154 *2780:129 *28642:SET_B 1.94879e-05 -155 *2780:129 *3829:36 0.000120098 -156 *2780:129 *5517:30 4.11764e-05 -157 *2780:129 *5754:6 1.10632e-05 -158 *2780:150 *2880:108 0.000536164 -159 *2780:150 *3293:14 0.000103262 -160 *2780:150 *3939:51 0 -161 *2780:150 *3965:79 0.000136104 -162 *2780:150 *5649:116 0.000135028 -163 *2780:150 *5667:60 7.55804e-05 -164 *2780:158 *27363:B1 0.000178425 -165 *2780:158 *27693:A 0.000259324 -166 *2780:158 *27694:B1 0.000259267 -167 *2780:158 *3677:48 0.000190055 -168 *2780:158 *3756:20 0.000191573 -169 *2780:158 *5596:47 0.00108019 -170 *2780:161 *24930:B 0.000245182 -171 *2780:161 *27349:B2 8.43535e-06 -172 *2780:161 *28926:A 0.000125355 -173 *2780:161 *3625:7 7.43258e-05 -174 *2780:161 *4093:20 0.000153027 -175 *2780:161 *5644:205 0.00140729 -176 *2780:161 *5861:5 0.000301438 -177 *2780:184 *28841:A 1.37469e-05 -178 *2780:184 *3758:43 0.00189426 -179 *2780:184 *4076:72 0.000489301 -180 *2780:184 *4076:87 0.000147574 -181 *2780:184 *4133:77 0.000121573 -182 *2780:184 *5836:78 0.000411735 -183 *2780:184 *5892:96 0.000122157 -184 *2780:184 *5892:119 2.22456e-05 -185 *2780:195 *2873:17 0.000192276 -186 *2780:195 *2891:326 0.00034188 -187 *2780:195 *3305:8 0.000105382 -188 *2780:195 *5614:37 0.000219289 -189 *2780:196 *28603:CLK 0.000414435 -190 *2780:196 *28710:CLK 0.00230684 -191 *2780:196 *2877:299 0.000849695 -192 *2780:196 *3595:6 0.000549156 -193 *2780:196 *3758:41 4.7559e-05 -194 *2780:196 *3823:17 2.81891e-05 -195 *2780:196 *4076:52 0.00044377 -196 *2780:196 *4076:59 0.00258129 -197 *2780:196 *4076:72 0.00168788 -198 *2780:196 *6258:12 0.000320457 -199 *2780:223 *25145:A2 0.000151207 -200 *2780:223 *25146:B2 0.000224387 -201 *2780:223 *27104:S 3.33642e-05 -202 *2780:223 *27400:A2 0.000137912 -203 *2780:223 *27404:A2 0.000370442 -204 *2780:223 *27406:B1 7.29646e-05 -205 *2780:223 *27605:A2 0.00012768 -206 *2780:223 *27755:B1 4.43851e-05 -207 *2780:223 *2845:300 2.98252e-05 -208 *2780:223 *3719:40 0.000182731 -209 *2780:223 *3849:30 0.000167679 -210 *2780:223 *4076:48 3.13969e-05 -211 *2780:223 *4076:52 0.000502088 -212 *2780:223 *5628:150 1.32023e-05 -213 *2780:223 *5644:250 7.60194e-05 -214 *2780:223 *5645:156 0.000586856 -215 *2780:223 *5650:285 7.79511e-05 -216 *2780:223 *5704:18 0.000595142 -217 *2780:228 *27077:A0 2.22043e-05 -218 *2780:228 *27409:C 0.0007345 -219 *2780:228 *27409:D 5.13513e-05 -220 *2780:228 *27765:A2 0.000157031 -221 *2780:228 *3732:43 0.000863778 -222 *2780:228 *3979:32 8.35828e-05 -223 *2780:228 *4076:48 8.82295e-05 -224 *2780:228 *5650:297 9.69372e-05 -225 *2780:228 *6258:12 0.000114414 -226 *2780:259 *25140:B2 4.63766e-05 -227 *2780:259 *27005:A1 0.000321106 -228 *2780:259 *27023:A1 2.04825e-05 -229 *2780:259 *27409:D 0.000513458 -230 *2780:259 *28526:D 0.000125369 -231 *2780:259 *2788:124 0.000159257 -232 *2780:259 *3745:8 2.70899e-05 -233 *2780:259 *4076:48 7.91595e-05 -234 *2780:259 *5715:37 0 -235 *2780:259 *5836:300 6.26233e-05 -236 *2780:261 *28706:SET_B 0.000450008 -237 *2780:261 *30215:A 0.000185427 -238 *2780:261 *5778:149 2.97537e-05 -239 *2780:261 *5833:191 0 -240 *2780:261 *5836:300 0.00337259 -241 *2780:272 *27068:A0 0.00115035 -242 *2780:272 *27074:A0 0.000104829 -243 *2780:272 *27169:S 0 -244 *2780:272 *29458:A 3.29283e-05 -245 *2780:272 *30215:A 5.03772e-05 -246 *2780:297 *26976:A1 0.000697703 -247 *2780:297 *28564:D 0.000130755 -248 *2780:297 *28564:RESET_B 9.39411e-05 -249 *2780:297 *28643:SET_B 4.03518e-05 -250 *2780:297 *29082:A 2.09897e-05 -251 *2780:297 *30160:A 1.26938e-05 -252 *2780:297 *3603:6 9.80415e-05 -253 *2780:297 *3603:30 0.000230219 -254 *2780:297 *3603:58 9.25014e-06 -255 *2780:297 *3686:27 0 -256 *2780:297 *3691:8 1.01487e-05 -257 *2780:297 *4402:16 0.000201265 -258 *2780:297 *4884:17 0.000859707 -259 *2780:297 *5771:30 0 -260 *2780:297 *5772:63 2.00751e-05 -261 *2780:297 *5772:70 4.94713e-05 -262 *2780:297 *5905:48 0.00148876 -263 *2780:308 *27080:A1 9.76435e-06 -264 *2780:308 *2880:108 7.80059e-05 -265 *2780:308 *5829:85 6.04127e-05 -266 *2780:308 *5829:96 0.000168339 -267 pll_trim[24] *2780:94 0.000364522 -268 *6611:DIODE *2780:43 0.000177815 -269 *24935:A *2780:196 0.000391568 -270 *25146:B1 *2780:196 1.94945e-05 -271 *25148:C *2780:223 9.16666e-05 -272 *25251:B *2780:195 0.000411581 -273 *25257:D *2780:196 0.00010326 -274 *25383:C1 *2780:259 0 -275 *25387:C1 *2780:72 0.000473785 -276 *26897:S *2780:261 7.50505e-05 -277 *26906:S *27077:S 0.000177821 -278 *28770:D *27079:S 0.000139907 -279 *28800:D *2780:25 4.93948e-05 -280 *29101:A *2780:261 0.000113369 -281 *29285:A *2780:308 5.66971e-05 -282 *29352:A *27073:S 0.000177821 -283 *29358:A *2780:261 0.000312123 -284 *29457:A *2780:272 2.59355e-05 -285 *29461:A *2780:90 4.05154e-05 -286 *29805:A *27078:S 9.76435e-06 -287 *29876:A *2780:100 4.25794e-05 -288 *11:17 *2780:100 0.000697786 -289 *19:25 *2780:100 0.000127237 -290 *39:26 *2780:100 0.00154197 -291 *74:11 *2780:25 0.00265118 -292 *889:22 *2780:297 0.000694754 -293 *976:31 *27074:S 5.71472e-05 -294 *1103:24 *27078:S 1.71705e-05 -295 *1182:32 *2780:43 0.000150494 -296 *1185:86 *2780:158 5.33005e-05 -297 *1185:86 *2780:308 0.000138859 -298 *1185:88 *2780:158 8.9372e-05 -299 *1243:7 *2780:161 0.000216755 -300 *1244:23 *2780:90 3.72009e-05 -301 *1246:76 *2780:6 3.89984e-05 -302 *1249:67 *2780:196 0.000119828 -303 *1249:78 *2780:196 5.48376e-05 -304 *1250:47 *2780:43 4.25176e-05 -305 *1250:72 *2780:43 0.000205198 -306 *1252:146 *2780:6 0.000174448 -307 *1254:67 *2780:100 0.000928008 -308 *1254:116 *2780:72 0 -309 *1254:144 *2780:61 0.000483379 -310 *1254:144 *2780:72 0 -311 *1269:15 *2780:195 0.000105471 -312 *1271:88 *2780:61 5.33433e-05 -313 *1271:104 *2780:61 1.78394e-05 -314 *1271:104 *2780:72 2.42516e-05 -315 *1273:232 *2780:90 1.94879e-05 -316 *1277:131 *2780:43 0.000348211 -317 *1290:89 *27078:S 1.44633e-05 -318 *1291:31 *2780:25 0.000148215 -319 *1292:24 *2780:184 0.000245411 -320 *1292:202 *2780:161 0.00100499 -321 *1327:156 *2780:72 0.000134991 -322 *1327:156 *2780:90 7.87409e-06 -323 *1328:66 *2780:72 0 -324 *1328:78 *2780:72 0 -325 *1420:22 *2780:61 0.000278743 -326 *1433:10 *2780:261 0.000582025 -327 *1433:23 *2780:259 0.000606286 -328 *1433:23 *2780:261 0.000223709 -329 *1434:76 *2780:223 0.000632898 -330 *1463:50 *2780:228 0.000105546 -331 *1485:23 *2780:61 7.77264e-05 -332 *1490:21 *2780:158 0.000752744 -333 *1524:22 *2780:196 1.08359e-05 -334 *1566:20 *2780:184 4.65132e-05 -335 *1566:20 *2780:196 6.26305e-05 -336 *1698:25 *2780:223 0.000167384 -337 *1796:8 *27078:S 3.52953e-05 -338 *1834:37 *2780:259 0.000892592 -339 *1862:82 *2780:272 7.07702e-05 -340 *2758:37 *2780:25 2.28499e-05 -341 *2760:109 *2780:150 0.000214949 -342 *2760:109 *2780:308 6.88475e-05 -343 *2760:179 *2780:272 0.000304088 -344 *2766:101 *2780:261 1.69115e-05 -345 *2772:77 *2780:259 0.000331689 -346 *2778:121 *2780:184 0.00115463 -347 *2779:26 *2780:272 0.00215242 -*RES -1 *27072:Y *2780:4 9.3 -2 *2780:4 *2780:5 4.5 -3 *2780:5 *2780:6 73.7411 -4 *2780:6 *2780:25 48.897 -5 *2780:25 *2780:43 35.375 -6 *2780:43 *2780:61 37.46 -7 *2780:61 *2780:72 44.8036 -8 *2780:72 *2780:90 42.0915 -9 *2780:90 *2780:94 37.5268 -10 *2780:94 *2780:100 17.0367 -11 *2780:100 *27079:S 17.2107 -12 *2780:100 *27078:S 27.4964 -13 *2780:94 *27075:S 15.8982 -14 *2780:43 *2780:129 1.64286 -15 *2780:129 *6614:DIODE 13.8 -16 *2780:129 *27073:S 17.1393 -17 *2780:4 *2780:150 13.5714 -18 *2780:150 *2780:158 47.8036 -19 *2780:158 *2780:161 44.0536 -20 *2780:161 *2780:184 49.7721 -21 *2780:184 *2780:195 25.1071 -22 *2780:195 *2780:196 67.2143 -23 *2780:196 *2780:223 40.669 -24 *2780:223 *2780:228 20.4732 -25 *2780:228 *27077:S 15.5679 -26 *2780:228 *2780:259 33.3983 -27 *2780:259 *2780:261 48.8482 -28 *2780:261 *2780:272 32.2171 -29 *2780:272 *27074:S 16.4339 -30 *2780:272 *2780:297 48.0625 -31 *2780:297 *27076:S 9.3 -32 *2780:150 *2780:308 13.0714 -33 *2780:308 *27080:S 9.3 -34 *2780:308 *6615:DIODE 11.4786 -*END - -*D_NET *2781 0.0930614 -*CONN -*I *27084:S I *D sky130_fd_sc_hd__mux2_1 -*I *27082:S I *D sky130_fd_sc_hd__mux2_1 -*I *27087:S I *D sky130_fd_sc_hd__mux2_1 -*I *27085:S I *D sky130_fd_sc_hd__mux2_1 -*I *27083:S I *D sky130_fd_sc_hd__mux2_1 -*I *27086:S I *D sky130_fd_sc_hd__mux2_1 -*I *27088:S I *D sky130_fd_sc_hd__mux2_1 -*I *27089:S I *D sky130_fd_sc_hd__mux2_1 -*I *27081:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *27084:S 0 -2 *27082:S 2.15003e-05 -3 *27087:S 0.000791479 -4 *27085:S 0 -5 *27083:S 0.000145956 -6 *27086:S 0.000161265 -7 *27088:S 2.40229e-05 -8 *27089:S 0.000464304 -9 *27081:Y 1.64896e-05 -10 *2781:162 0.00235658 -11 *2781:138 0.0023591 -12 *2781:136 0.00312201 -13 *2781:119 0.003297 -14 *2781:98 0.00190648 -15 *2781:88 0.00380608 -16 *2781:87 0.00442407 -17 *2781:65 0.00299513 -18 *2781:51 0.00387786 -19 *2781:39 0.00422931 -20 *2781:38 0.00258581 -21 *2781:21 0.00104268 -22 *2781:7 0.000698562 -23 *27086:S *27086:A1 3.23206e-05 -24 *27086:S *5688:349 0.000136682 -25 *27086:S *5764:36 2.75856e-05 -26 *27087:S *27380:A2 0.000730163 -27 *27087:S *27380:B1 6.10101e-05 -28 *27087:S *27380:B2 8.55871e-05 -29 *27087:S *27382:B1 1.34013e-05 -30 *27087:S *2787:139 7.14469e-05 -31 *27087:S *2844:386 0.000421074 -32 *27087:S *3718:92 7.36771e-05 -33 *27087:S *5683:169 5.33005e-05 -34 *27087:S *5683:184 8.17274e-05 -35 *27088:S *27088:A1 1.55885e-05 -36 *27088:S *6150:18 5.52238e-05 -37 *27089:S *28181:CLK 0.00010206 -38 *27089:S *30460:A 8.91726e-05 -39 *27089:S *3773:11 0.000193833 -40 *27089:S *5675:97 9.58557e-05 -41 *27089:S *5675:119 1.32293e-05 -42 *27089:S *5753:83 4.66203e-05 -43 *27089:S *5753:85 0.000216082 -44 *2781:7 *28656:RESET_B 2.89016e-05 -45 *2781:7 *4232:13 2.89016e-05 -46 *2781:21 *30460:A 0.000100735 -47 *2781:21 *5675:97 4.75428e-05 -48 *2781:21 *6150:18 7.39203e-06 -49 *2781:38 *4098:42 1.94879e-05 -50 *2781:38 *5536:31 1.05524e-05 -51 *2781:38 *5675:97 9.02385e-06 -52 *2781:38 *5947:14 0 -53 *2781:39 *27663:B 5.54432e-05 -54 *2781:39 *29846:A 0.000212414 -55 *2781:39 *2827:72 0.00017754 -56 *2781:39 *2827:77 0.000308574 -57 *2781:39 *2866:34 2.14757e-05 -58 *2781:39 *3209:11 4.16984e-05 -59 *2781:39 *3215:7 0.000426985 -60 *2781:39 *3347:12 0.00171106 -61 *2781:39 *5461:7 0.00534 -62 *2781:39 *5504:86 0.000152147 -63 *2781:51 *25269:A1 1.65001e-05 -64 *2781:51 *25321:A1 2.43626e-05 -65 *2781:51 *27084:A1 0.000222244 -66 *2781:51 *29820:A 8.72544e-05 -67 *2781:51 *2889:11 8.76591e-06 -68 *2781:51 *2889:198 0.000469343 -69 *2781:51 *3168:25 0 -70 *2781:51 *3174:29 0 -71 *2781:51 *3178:133 0 -72 *2781:51 *3782:66 2.07158e-05 -73 *2781:51 *3860:9 3.31789e-05 -74 *2781:51 *3952:27 0.000208371 -75 *2781:51 *5459:39 0.000208371 -76 *2781:51 *5638:16 0 -77 *2781:51 *5646:17 0.000211731 -78 *2781:51 *5651:13 0.000639824 -79 *2781:51 *5651:20 6.16444e-05 -80 *2781:51 *5700:118 0.000155436 -81 *2781:51 *5700:237 0.00102903 -82 *2781:51 *5718:256 0.000211981 -83 *2781:65 *25407:B2 1.65169e-05 -84 *2781:65 *27084:A1 9.41642e-05 -85 *2781:65 *28650:D 8.00554e-05 -86 *2781:65 *2875:46 4.2487e-05 -87 *2781:65 *3164:35 0.000863476 -88 *2781:65 *5196:78 0.000472906 -89 *2781:65 *5196:94 1.4396e-05 -90 *2781:65 *5591:36 0.000346127 -91 *2781:65 *5646:17 0.000175892 -92 *2781:65 *5651:21 5.36e-05 -93 *2781:65 *5687:129 0.000748041 -94 *2781:87 *27073:A0 0.00026302 -95 *2781:87 *27614:B1 1.90936e-05 -96 *2781:87 *2855:139 0.00155273 -97 *2781:87 *2891:24 0.000798088 -98 *2781:87 *3164:35 0.000135028 -99 *2781:87 *3829:29 6.20441e-06 -100 *2781:87 *3844:41 0.000167397 -101 *2781:87 *3933:17 8.34352e-05 -102 *2781:87 *5505:42 0.000409945 -103 *2781:87 *5609:89 0.00154942 -104 *2781:87 *5661:21 0.000130813 -105 *2781:87 *5661:42 0.000312873 -106 *2781:87 *5754:18 0.000260584 -107 *2781:88 *25209:B2 0.000130653 -108 *2781:88 *2978:22 0.00014833 -109 *2781:88 *3673:23 9.32003e-06 -110 *2781:88 *3712:28 1.50738e-05 -111 *2781:88 *3844:59 0.000814896 -112 *2781:88 *5604:34 9.46146e-05 -113 *2781:88 *5713:336 0.000160692 -114 *2781:88 *6247:36 0.00633663 -115 *2781:98 *25344:A1 0.000183329 -116 *2781:98 *3667:65 8.92035e-05 -117 *2781:98 *3712:28 0.00185005 -118 *2781:98 *3740:41 0.000262679 -119 *2781:98 *3952:68 0.000264327 -120 *2781:98 *5584:115 0.000984739 -121 *2781:98 *5795:96 0.000187857 -122 *2781:98 *6247:34 0.000204002 -123 *2781:98 *6247:36 0.000275276 -124 *2781:119 *25344:A1 0.000708091 -125 *2781:119 *28595:D 0.000122682 -126 *2781:119 *3667:65 0.000988944 -127 *2781:119 *5584:131 0.000941437 -128 *2781:119 *5764:51 0.000196746 -129 *2781:119 *5777:32 0.000612156 -130 *2781:136 *27681:A1 0.000126446 -131 *2781:136 *28571:D 0.000260574 -132 *2781:136 *3299:8 0.000128161 -133 *2781:136 *3859:42 3.30337e-05 -134 *2781:136 *5627:90 0.000106154 -135 *2781:138 *27085:A0 1.58163e-05 -136 *2781:138 *27085:A1 3.32631e-05 -137 *2781:138 *3796:79 0.00054429 -138 *2781:162 *27085:A1 2.42516e-05 -139 *2781:162 *27386:B1 7.67241e-05 -140 *2781:162 *2860:259 6.05161e-06 -141 *2781:162 *2875:322 0.000878944 -142 *2781:162 *3580:11 0.00031434 -143 *2781:162 *3796:79 3.97677e-05 -144 *2781:162 *3848:40 0.000197977 -145 *2781:162 *3900:40 0.000196269 -146 *2781:162 *3913:43 0.000201907 -147 *2781:162 *4069:74 0.000171967 -148 *2781:162 *5632:71 9.41642e-05 -149 *2781:162 *5643:160 9.10458e-06 -150 *25197:C *2781:162 0.000133103 -151 *25340:B1 *2781:98 8.51829e-05 -152 *25407:B1 *2781:65 5.33005e-05 -153 *26993:S *27083:S 9.41642e-05 -154 *26993:S *2781:136 0.000415626 -155 *29134:A *27082:S 1.00733e-05 -156 *29134:A *2781:65 0.00025849 -157 *29134:A *2781:87 0.000115971 -158 *29821:A *2781:65 0.000387299 -159 *29861:A *27083:S 1.32056e-05 -160 *30174:A *27086:S 2.12005e-05 -161 *30229:A *27087:S 9.94194e-05 -162 *30412:A *2781:136 9.41642e-05 -163 *527:16 *27088:S 5.33334e-05 -164 *527:16 *2781:38 0.000679895 -165 *557:11 *27089:S 0.000924316 -166 *557:11 *2781:21 0.000150632 -167 *1242:206 *2781:87 9.26679e-06 -168 *1256:61 *2781:39 5.87933e-05 -169 *1271:104 *2781:87 4.00349e-05 -170 *1272:40 *2781:119 4.38243e-05 -171 *1347:31 *2781:21 0.000168573 -172 *1347:31 *2781:38 1.94945e-05 -173 *1510:27 *2781:162 5.10079e-05 -174 *1514:18 *2781:162 1.74156e-05 -175 *1547:12 *2781:65 0.000293184 -176 *1607:8 *2781:88 0.00759241 -177 *1654:17 *2781:88 4.19535e-05 -178 *1659:34 *2781:88 0.000137113 -179 *2771:111 *27083:S 7.06728e-05 -180 *2777:59 *2781:51 4.11173e-05 -*RES -1 *27081:Y *2781:7 14.3357 -2 *2781:7 *27089:S 28.7286 -3 *2781:7 *2781:21 5.94643 -4 *2781:21 *27088:S 14.7464 -5 *2781:21 *2781:38 13.6502 -6 *2781:38 *2781:39 52.6964 -7 *2781:39 *2781:51 27.2408 -8 *2781:51 *2781:65 41.8036 -9 *2781:65 *2781:87 38.3861 -10 *2781:87 *2781:88 101.062 -11 *2781:88 *2781:98 39.0893 -12 *2781:98 *27086:S 16.9607 -13 *2781:98 *2781:119 32 -14 *2781:119 *27083:S 11.9071 -15 *2781:119 *2781:136 41.9196 -16 *2781:136 *2781:138 13.4732 -17 *2781:138 *27085:S 9.3 -18 *2781:138 *2781:162 45.5 -19 *2781:162 *27087:S 33.05 -20 *2781:65 *27082:S 9.72857 -21 *2781:51 *27084:S 9.3 -*END - -*D_NET *2782 0.109737 -*CONN -*I *27097:S I *D sky130_fd_sc_hd__mux2_1 -*I *27098:S I *D sky130_fd_sc_hd__mux2_1 -*I *27096:S I *D sky130_fd_sc_hd__mux2_1 -*I *27094:S I *D sky130_fd_sc_hd__mux2_1 -*I *27091:S I *D sky130_fd_sc_hd__mux2_1 -*I *27093:S I *D sky130_fd_sc_hd__mux2_1 -*I *27092:S I *D sky130_fd_sc_hd__mux2_1 -*I *27095:S I *D sky130_fd_sc_hd__mux2_1 -*I *27090:X O *D sky130_fd_sc_hd__and2_4 -*CAP -1 *27097:S 0.000192101 -2 *27098:S 0.000712144 -3 *27096:S 3.12428e-05 -4 *27094:S 0.000163382 -5 *27091:S 0.00137674 -6 *27093:S 1.94245e-05 -7 *27092:S 0 -8 *27095:S 0.000452599 -9 *27090:X 2.30855e-05 -10 *2782:183 0.00186849 -11 *2782:170 0.00292422 -12 *2782:147 0.00319175 -13 *2782:146 0.0035645 -14 *2782:127 0.00228867 -15 *2782:116 0.00487312 -16 *2782:115 0.00337719 -17 *2782:106 0.00211006 -18 *2782:100 0.00287498 -19 *2782:92 0.0021548 -20 *2782:71 0.00372594 -21 *2782:53 0.00486038 -22 *2782:27 0.0025238 -23 *2782:13 0.00657193 -24 *2782:5 0.00282752 -25 *27091:S *27759:C1 2.07506e-05 -26 *27091:S *28658:SET_B 0.000161643 -27 *27091:S *29833:A 4.65519e-05 -28 *27091:S *2856:25 8.51704e-05 -29 *27091:S *3206:13 9.59063e-05 -30 *27091:S *3642:46 0.00019849 -31 *27091:S *5196:94 6.39094e-05 -32 *27093:S *25125:A1 4.34648e-05 -33 *27093:S *3796:60 4.15592e-05 -34 *27094:S *27094:A0 0.000342924 -35 *27094:S *3874:9 5.99573e-05 -36 *27094:S *3874:16 5.33005e-05 -37 *27094:S *4030:36 1.01417e-05 -38 *27094:S *4069:74 4.11173e-05 -39 *27095:S *25261:A1 5.52238e-05 -40 *27095:S *27095:A0 2.89114e-05 -41 *27095:S *4796:20 0.000502704 -42 *27095:S *5448:16 0.00010969 -43 *27095:S *5707:178 5.33005e-05 -44 *27095:S *5945:18 5.52302e-05 -45 *27096:S *27096:A0 1.97695e-05 -46 *27096:S *28671:CLK 0 -47 *27097:S *25006:B2 4.46186e-06 -48 *27097:S *27097:A0 0.000212443 -49 *27097:S *3903:26 7.30305e-05 -50 *27097:S *3904:21 0 -51 *27097:S *5752:35 4.90803e-05 -52 *27097:S *5752:46 0.000221809 -53 *27098:S *25006:B2 0.000144313 -54 *27098:S *25063:A1 2.8266e-06 -55 *27098:S *27098:A0 2.44318e-05 -56 *27098:S *27098:A1 0.000108214 -57 *27098:S *27224:A 5.33433e-05 -58 *27098:S *3878:22 0 -59 *27098:S *3904:12 0 -60 *27098:S *5352:22 3.67817e-05 -61 *27098:S *5534:49 0 -62 *27098:S *5752:35 0.000837962 -63 *2782:13 *25037:S 0.000154296 -64 *2782:13 *26840:A1 0.00175875 -65 *2782:13 *27270:A2 0 -66 *2782:13 *28793:CLK 4.8843e-05 -67 *2782:13 *2802:16 8.08399e-05 -68 *2782:13 *5718:233 3.09981e-05 -69 *2782:13 *5746:158 0.000974287 -70 *2782:13 *6386:94 0.000438758 -71 *2782:27 *25037:S 9.45773e-05 -72 *2782:27 *25261:A1 4.27935e-05 -73 *2782:27 *26840:A1 6.58294e-06 -74 *2782:27 *27594:S 2.30116e-06 -75 *2782:27 *28438:CLK 2.42516e-05 -76 *2782:27 *28438:D 3.18676e-05 -77 *2782:27 *28659:D 0.000143557 -78 *2782:27 *29111:A 8.6229e-06 -79 *2782:27 *3638:15 3.61629e-06 -80 *2782:27 *4574:47 9.75253e-05 -81 *2782:27 *5448:16 0.000250483 -82 *2782:27 *5485:121 2.90184e-05 -83 *2782:27 *5535:70 5.91765e-05 -84 *2782:27 *5707:178 5.33005e-05 -85 *2782:27 *5746:31 0.000464893 -86 *2782:27 *6325:12 0.000189553 -87 *2782:53 *2978:36 0 -88 *2782:53 *3184:43 0 -89 *2782:53 *3873:34 0.000411069 -90 *2782:53 *3938:17 0.000107388 -91 *2782:53 *4796:30 0 -92 *2782:53 *4907:28 0.000380109 -93 *2782:53 *5757:43 6.49838e-05 -94 *2782:53 *5757:48 0.000135989 -95 *2782:71 *29833:A 2.65744e-05 -96 *2782:71 *3206:13 2.31796e-05 -97 *2782:71 *3782:45 0.000318832 -98 *2782:71 *5599:247 0.000289146 -99 *2782:71 *5757:76 0.00176851 -100 *2782:92 *27207:A0 2.14378e-05 -101 *2782:92 *2842:38 0.000399962 -102 *2782:92 *2888:14 0.00105724 -103 *2782:92 *3642:8 0.000335196 -104 *2782:92 *5694:94 0.000108508 -105 *2782:92 *5694:110 0.000208928 -106 *2782:100 *27207:A0 0.000244079 -107 *2782:100 *27288:C 7.34455e-06 -108 *2782:100 *27314:B 9.8045e-05 -109 *2782:100 *27314:C 1.08359e-05 -110 *2782:100 *27326:C 0.000272969 -111 *2782:100 *2842:43 8.28882e-05 -112 *2782:100 *2879:27 1.92661e-05 -113 *2782:100 *2883:15 0.000353988 -114 *2782:100 *3182:16 0 -115 *2782:100 *5599:273 0.000489105 -116 *2782:100 *5651:20 6.35864e-05 -117 *2782:100 *5694:94 4.98055e-06 -118 *2782:100 *5700:250 0.00026374 -119 *2782:100 *6306:116 0.000343582 -120 *2782:100 *6306:123 6.86105e-05 -121 *2782:100 *6306:140 0.000211333 -122 *2782:106 *27716:B1 0.000141039 -123 *2782:106 *27716:B2 0.000348881 -124 *2782:106 *27717:A1 6.99087e-05 -125 *2782:106 *27717:B1 0.000145154 -126 *2782:106 *27717:C1 0.000619507 -127 *2782:106 *2841:17 7.25338e-05 -128 *2782:106 *2879:27 0.000112409 -129 *2782:106 *2882:22 4.33002e-05 -130 *2782:106 *3205:195 0.000304701 -131 *2782:106 *3900:60 6.13772e-05 -132 *2782:106 *5599:295 0.000107613 -133 *2782:106 *5643:40 0.000469939 -134 *2782:106 *5754:34 0.000394391 -135 *2782:106 *5754:43 0.000173083 -136 *2782:116 *25333:B1 0.0022081 -137 *2782:116 *2894:303 0.000563555 -138 *2782:116 *3848:40 0.00802445 -139 *2782:116 *3900:40 0.00635174 -140 *2782:116 *3900:60 1.90936e-05 -141 *2782:116 *5643:40 0.000462307 -142 *2782:127 *27371:C1 0 -143 *2782:127 *27387:B1 0.000122061 -144 *2782:127 *27427:A2 0.00019128 -145 *2782:127 *2848:265 4.83844e-05 -146 *2782:127 *2875:302 2.01997e-05 -147 *2782:127 *2886:335 0.000143188 -148 *2782:127 *2886:336 0.000775662 -149 *2782:127 *2894:303 0.000275083 -150 *2782:127 *3153:204 3.59519e-05 -151 *2782:127 *3259:25 0.000339395 -152 *2782:127 *5633:152 0.000169392 -153 *2782:146 *27427:A2 0.000131348 -154 *2782:146 *27735:B2 0.000149902 -155 *2782:146 *3153:204 4.07837e-05 -156 *2782:146 *3538:16 0.000210214 -157 *2782:146 *3796:108 0.000172194 -158 *2782:146 *5595:32 3.03664e-05 -159 *2782:146 *5632:115 3.04984e-05 -160 *2782:147 *27096:A0 2.92869e-05 -161 *2782:147 *27096:A1 5.52238e-05 -162 *2782:147 *2986:18 0.000136958 -163 *2782:147 *3215:204 0.00113365 -164 *2782:147 *3552:39 0.00179614 -165 *2782:147 *3915:49 0.000339346 -166 *2782:147 *4134:78 0.000138705 -167 *2782:170 *25044:A1 3.41332e-05 -168 *2782:170 *27217:A 4.14279e-05 -169 *2782:170 *27462:S 0.000116033 -170 *2782:170 *27483:A2 0.000150551 -171 *2782:170 *28793:CLK 6.96405e-05 -172 *2782:170 *28793:D 0.000260322 -173 *2782:170 *2784:26 6.49184e-06 -174 *2782:170 *3636:16 0 -175 *2782:170 *5523:96 9.49984e-05 -176 *2782:170 *5667:230 1.1152e-05 -177 *2782:170 *5680:257 9.42015e-05 -178 *2782:170 *6331:13 0.000234104 -179 *2782:170 *6387:96 0.000136958 -180 *2782:183 *27115:A0 4.94195e-05 -181 *2782:183 *27461:A1 0.000135028 -182 *2782:183 *28680:CLK 3.2738e-05 -183 *2782:183 *28680:D 0.000252092 -184 *2782:183 *28680:RESET_B 1.66258e-05 -185 *2782:183 *2784:204 0.000135028 -186 *2782:183 *5535:71 0.000931692 -187 *2782:183 *5720:31 0.000553007 -188 *2782:183 *5720:39 9.90367e-05 -189 *25044:A2 *2782:170 1.75642e-05 -190 *25176:A2 *2782:106 0.000495323 -191 *25534:A2_N *2782:170 1.56e-05 -192 *25534:B2 *2782:170 5.48376e-05 -193 *26874:A *2782:106 2.06178e-05 -194 *27039:S *27091:S 5.39976e-05 -195 *29498:A *2782:71 1.12506e-05 -196 *29789:A *2782:127 4.65519e-05 -197 *30159:A *2782:147 1.07719e-05 -198 *30470:A *2782:183 5.33433e-05 -199 *30591:A *27098:S 4.29329e-05 -200 *30613:A *2782:170 4.00349e-05 -201 *244:57 *27098:S 0.000210347 -202 *369:17 *2782:13 9.90431e-05 -203 *977:15 *2782:13 0.000212189 -204 *977:15 *2782:27 0.00021444 -205 *1178:105 *2782:116 0.000251371 -206 *1237:27 *27091:S 0.00123393 -207 *1240:130 *27095:S 6.0149e-05 -208 *1240:137 *2782:170 2.5206e-05 -209 *1240:144 *2782:170 2.95726e-05 -210 *1245:116 *2782:13 0.000127538 -211 *1252:207 *27094:S 0.000122269 -212 *1264:83 *2782:27 0.000568669 -213 *1277:98 *2782:170 5.68722e-05 -214 *1290:53 *2782:27 0 -215 *1300:8 *2782:183 0.000118545 -216 *1366:13 *2782:183 2.65242e-05 -217 *1385:16 *2782:183 9.25014e-06 -218 *1446:12 *27091:S 0.000398996 -219 *1501:20 *2782:127 4.91452e-05 -220 *1524:37 *2782:127 4.53109e-06 -221 *1527:25 *2782:115 2.98296e-05 -222 *1527:25 *2782:116 0.000159428 -223 *1550:34 *2782:71 0.00110981 -224 *1796:25 *2782:170 8.6229e-06 -225 *2754:14 *2782:100 1.57206e-05 -226 *2754:14 *2782:106 0.000633948 -227 *2754:18 *2782:106 0.000505599 -228 *2754:20 *2782:106 0.000449183 -229 *2754:20 *2782:116 0.000116286 -230 *2776:65 *27091:S 0.000826784 -231 *2777:58 *2782:71 0.000407318 -232 *2777:59 *2782:92 0.00033295 -233 *2777:94 *2782:115 5.00194e-05 -*RES -1 *27090:X *2782:5 9.72857 -2 *2782:5 *2782:13 14.4427 -3 *2782:13 *2782:27 44.7194 -4 *2782:27 *27095:S 27.55 -5 *2782:27 *27092:S 9.3 -6 *2782:13 *2782:53 14.8586 -7 *2782:53 *27093:S 17.7904 -8 *2782:53 *2782:71 12.1827 -9 *2782:71 *27091:S 26.0816 -10 *2782:71 *2782:92 33.7582 -11 *2782:92 *2782:100 24.3482 -12 *2782:100 *2782:106 49.9643 -13 *2782:106 *2782:115 7.24613 -14 *2782:115 *2782:116 117.304 -15 *2782:116 *2782:127 14.4412 -16 *2782:127 *27094:S 21.6296 -17 *2782:127 *2782:146 11.4551 -18 *2782:146 *2782:147 52.6964 -19 *2782:147 *27096:S 10.0321 -20 *2782:5 *2782:170 45.375 -21 *2782:170 *2782:183 33.1964 -22 *2782:183 *27098:S 30.9607 -23 *2782:183 *27097:S 19.0321 -*END - -*D_NET *2783 0.000453727 -*CONN -*I *30357:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *27099:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *30357:A 7.9495e-05 -2 *27099:Y 7.9495e-05 -3 *30357:A *5696:77 9.54798e-06 -4 *30357:A *5773:23 3.16901e-05 -5 *30357:A *5847:12 0.000164508 -6 *2775:82 *30357:A 8.89913e-05 -*RES -1 *27099:Y *30357:A 29.85 -*END - -*D_NET *2784 0.133392 -*CONN -*I *27116:S I *D sky130_fd_sc_hd__mux2_1 -*I *27115:S I *D sky130_fd_sc_hd__mux2_1 -*I *27110:S I *D sky130_fd_sc_hd__mux2_1 -*I *27113:S I *D sky130_fd_sc_hd__mux2_1 -*I *27114:S I *D sky130_fd_sc_hd__mux2_1 -*I *27111:S I *D sky130_fd_sc_hd__mux2_1 -*I *27112:S I *D sky130_fd_sc_hd__mux2_1 -*I *27109:S I *D sky130_fd_sc_hd__mux2_1 -*I *27108:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *27116:S 0.000389791 -2 *27115:S 1.7778e-05 -3 *27110:S 0 -4 *27113:S 0.000215125 -5 *27114:S 0.00167589 -6 *27111:S 0 -7 *27112:S 0.000684604 -8 *27109:S 0.000437318 -9 *27108:Y 0 -10 *2784:204 0.000796898 -11 *2784:162 0.0028243 -12 *2784:148 0.00116952 -13 *2784:134 0.00120246 -14 *2784:133 0.0028584 -15 *2784:121 0.00347273 -16 *2784:112 0.00246328 -17 *2784:94 0.00192644 -18 *2784:88 0.00161872 -19 *2784:67 0.00584554 -20 *2784:65 0.00571935 -21 *2784:59 0.00308947 -22 *2784:57 0.00240367 -23 *2784:54 0.00172836 -24 *2784:30 0.00213417 -25 *2784:26 0.00322144 -26 *2784:4 0.00319465 -27 *27109:S *25626:A1 5.52302e-05 -28 *27109:S *5526:16 1.90936e-05 -29 *27109:S *5713:146 2.04825e-05 -30 *27109:S *5713:203 0.000977782 -31 *27112:S *27112:A0 4.87953e-05 -32 *27112:S *28676:RESET_B 0.000164272 -33 *27112:S *5640:267 2.42516e-05 -34 *27112:S *5820:15 0.000177821 -35 *27112:S *5903:35 0.00144687 -36 *27113:S *28522:D 0.000127908 -37 *27113:S *4076:21 0.00010637 -38 *27113:S *5651:410 9.71197e-05 -39 *27113:S *5688:44 2.81861e-05 -40 *27114:S *26923:A0 0.000531784 -41 *27114:S *27833:B2 1.94945e-05 -42 *27114:S *2785:12 0.000107313 -43 *27114:S *3811:32 2.11419e-05 -44 *27114:S *3876:62 2.59355e-05 -45 *27114:S *4095:33 0.000743271 -46 *27114:S *4147:31 0 -47 *27114:S *5663:222 0.00101449 -48 *27114:S *5682:141 2.89016e-05 -49 *27114:S *5905:68 9.62145e-05 -50 *27114:S *5905:79 9.25014e-06 -51 *27115:S *5535:71 2.59355e-05 -52 *27116:S *25006:B2 0.000252358 -53 *27116:S *27116:A1 5.71472e-05 -54 *27116:S *3878:22 0.000213868 -55 *27116:S *5585:115 3.47142e-05 -56 *2784:26 *25044:A1 0.000223605 -57 *2784:26 *27462:A0 0.000350932 -58 *2784:26 *29879:A 0 -59 *2784:26 *2840:17 0.000133718 -60 *2784:26 *3636:16 0.0002257 -61 *2784:26 *3638:49 4.30603e-05 -62 *2784:26 *3847:25 8.64454e-05 -63 *2784:26 *4952:13 6.37188e-06 -64 *2784:26 *5713:203 0 -65 *2784:26 *5720:77 0.000644594 -66 *2784:26 *6319:19 1.29403e-05 -67 *2784:26 *6385:122 0.000227415 -68 *2784:26 *6387:96 1.21289e-05 -69 *2784:30 *27484:S 0.000424995 -70 *2784:30 *28439:CLK 9.71197e-05 -71 *2784:30 *4796:30 0.000934031 -72 *2784:30 *5448:21 6.81486e-05 -73 *2784:54 *27050:A1 0.000411298 -74 *2784:54 *29933:A 0.000108676 -75 *2784:54 *3212:21 0.000348207 -76 *2784:54 *3794:19 0.000802339 -77 *2784:54 *3794:85 8.90091e-05 -78 *2784:54 *3797:8 0.000351617 -79 *2784:54 *3797:21 0.000173034 -80 *2784:54 *5448:21 0.000201859 -81 *2784:54 *5585:92 4.15183e-05 -82 *2784:54 *5707:162 0.00020093 -83 *2784:54 *5750:47 0.00035013 -84 *2784:57 *27164:A0 0.000141849 -85 *2784:57 *28736:CLK 7.19833e-05 -86 *2784:57 *28736:D 0.000152333 -87 *2784:57 *2839:33 0.000503691 -88 *2784:57 *3794:33 0.000100823 -89 *2784:57 *3992:11 3.50049e-05 -90 *2784:57 *5448:26 0.000115645 -91 *2784:59 *25375:A2 8.79856e-06 -92 *2784:59 *27082:A1 2.11419e-05 -93 *2784:59 *27325:B1 6.42007e-05 -94 *2784:59 *27332:C 0 -95 *2784:59 *27333:C1 6.43445e-05 -96 *2784:59 *28466:SET_B 0.000268842 -97 *2784:59 *28736:CLK 0 -98 *2784:59 *2787:78 0.000201376 -99 *2784:59 *2874:32 9.56491e-05 -100 *2784:59 *2888:22 9.11365e-06 -101 *2784:59 *2889:211 2.84349e-05 -102 *2784:59 *3174:29 4.00349e-05 -103 *2784:59 *3560:18 0.000719684 -104 *2784:59 *3797:38 0.000367297 -105 *2784:59 *3989:66 0 -106 *2784:59 *5448:26 0.00193425 -107 *2784:59 *5448:30 0.00092978 -108 *2784:59 *5661:21 5.70402e-05 -109 *2784:59 *5750:217 0.000363961 -110 *2784:65 *28470:D 0.000186388 -111 *2784:65 *2856:124 7.35763e-05 -112 *2784:65 *2856:137 0.00136847 -113 *2784:65 *3667:28 2.22043e-05 -114 *2784:65 *3992:42 5.18967e-05 -115 *2784:65 *5448:30 0.000841492 -116 *2784:65 *5448:40 0.000218619 -117 *2784:65 *5600:90 0.000917363 -118 *2784:65 *5638:62 9.51782e-05 -119 *2784:67 *27332:A 0.000149758 -120 *2784:67 *27338:A2 0.000161522 -121 *2784:67 *27345:A1 1.94945e-05 -122 *2784:67 *27349:C1 0.000145388 -123 *2784:67 *27351:B1 2.90164e-05 -124 *2784:67 *27352:B 0.00112615 -125 *2784:67 *27352:D 0.00103177 -126 *2784:67 *27682:C 0.00117433 -127 *2784:67 *27683:C1 0.000334609 -128 *2784:67 *28467:CLK 2.44031e-05 -129 *2784:67 *28475:D 0.000311879 -130 *2784:67 *28604:CLK 0.000172607 -131 *2784:67 *28851:A 6.46173e-05 -132 *2784:67 *2859:187 6.53397e-05 -133 *2784:67 *2871:305 0.000103974 -134 *2784:67 *2882:206 0.00103377 -135 *2784:67 *3227:8 0.00119344 -136 *2784:67 *3644:10 2.04866e-05 -137 *2784:67 *3644:30 2.15258e-05 -138 *2784:67 *3644:37 7.30037e-05 -139 *2784:67 *3846:34 0 -140 *2784:67 *3963:93 6.82091e-06 -141 *2784:67 *3963:109 0.00156602 -142 *2784:67 *3963:119 0.00020133 -143 *2784:67 *3992:42 0.0007571 -144 *2784:67 *3992:53 8.41284e-06 -145 *2784:67 *3992:54 0.000825588 -146 *2784:67 *3992:66 0.00144727 -147 *2784:67 *4054:26 0.00114099 -148 *2784:67 *4054:44 0.000416978 -149 *2784:67 *5634:123 0.00478698 -150 *2784:67 *5634:140 0.000345682 -151 *2784:67 *5754:179 0.000838863 -152 *2784:88 *27012:A0 6.13706e-05 -153 *2784:88 *2866:323 9.41642e-05 -154 *2784:88 *3174:283 2.61337e-05 -155 *2784:88 *3241:10 0 -156 *2784:88 *3241:14 1.34836e-05 -157 *2784:88 *3598:18 5.00194e-05 -158 *2784:88 *3886:79 0.00114745 -159 *2784:88 *5593:15 2.35126e-05 -160 *2784:88 *5630:264 0 -161 *2784:88 *5645:136 0.000119489 -162 *2784:94 *27110:A1 0.000286046 -163 *2784:112 *27110:A0 0.000177815 -164 *2784:112 *27110:A1 5.33005e-05 -165 *2784:112 *27690:B1 0.000157773 -166 *2784:112 *27758:C1 0.000127774 -167 *2784:112 *28675:D 2.11419e-05 -168 *2784:112 *3164:346 0.000667407 -169 *2784:112 *3226:14 0.000361343 -170 *2784:112 *3907:32 0.000362931 -171 *2784:112 *5590:121 0.000486114 -172 *2784:112 *5692:47 8.43535e-06 -173 *2784:112 *5777:178 0.000175892 -174 *2784:121 *27407:A2 0.000175892 -175 *2784:121 *28522:D 4.48583e-05 -176 *2784:121 *3712:22 9.91086e-05 -177 *2784:121 *5688:53 0.00118824 -178 *2784:133 *28522:SET_B 0.000149463 -179 *2784:133 *28678:RESET_B 1.39726e-05 -180 *2784:133 *3582:31 0.000249933 -181 *2784:133 *3599:8 9.41642e-05 -182 *2784:133 *3600:26 0.000165123 -183 *2784:133 *4115:7 1.58163e-05 -184 *2784:133 *5702:29 0.00014576 -185 *2784:134 *26886:A1 0.000181796 -186 *2784:134 *2788:93 0.000888295 -187 *2784:134 *5663:192 0.000388045 -188 *2784:148 *5663:192 8.57023e-05 -189 *2784:162 *27111:A1 7.03295e-05 -190 *2784:162 *3915:19 1.5872e-05 -191 *2784:162 *3978:33 0.00129947 -192 *2784:162 *5627:251 0.000221689 -193 *2784:162 *5631:113 0.000561378 -194 *2784:162 *5639:199 0.000259549 -195 *2784:162 *5651:400 0.000548269 -196 *2784:162 *5663:192 0.000191115 -197 *2784:162 *5695:124 0.000715986 -198 *2784:162 *5819:24 0.000562895 -199 *2784:204 *3878:22 5.26864e-05 -200 *2784:204 *5585:115 0.000122682 -201 *25006:A2 *2784:26 7.31843e-05 -202 *25006:B1 *2784:26 7.62437e-05 -203 *25044:A2 *2784:26 4.55333e-05 -204 *25208:C1 *2784:65 0.000168586 -205 *25520:A *2784:26 0.00146292 -206 *25534:A1_N *2784:26 7.32272e-05 -207 *25534:A2_N *2784:26 0.000754248 -208 *25631:S *27114:S 7.52635e-05 -209 *27021:S *2784:88 6.69937e-05 -210 *27108:A *2784:26 9.90431e-05 -211 *28189:D *2784:26 5.33005e-05 -212 *29236:A *27109:S 5.33005e-05 -213 *29437:A *2784:59 1.79205e-05 -214 *29796:A *2784:26 0.00176553 -215 *30303:A *27116:S 0.00013331 -216 *30387:A *2784:88 0.000308327 -217 *30387:A *2784:94 2.06178e-05 -218 *30392:A *2784:88 0.000295877 -219 *30470:A *27115:S 5.52238e-05 -220 *23:22 *27116:S 5.33005e-05 -221 *977:15 *2784:26 0.000436732 -222 *1178:174 *2784:67 2.06112e-05 -223 *1225:144 *2784:121 0.000638798 -224 *1225:149 *2784:121 1.37292e-05 -225 *1228:53 *2784:65 0.000202379 -226 *1240:144 *2784:26 0.000263108 -227 *1244:53 *27109:S 0.000182103 -228 *1246:146 *2784:112 0.00019862 -229 *1256:160 *27113:S 0.000308295 -230 *1256:160 *2784:121 0.00115476 -231 *1260:43 *2784:67 0.000138017 -232 *1262:80 *2784:88 6.06399e-05 -233 *1267:80 *2784:88 0.00022933 -234 *1267:80 *2784:94 0.000120169 -235 *1270:22 *2784:67 0.00100988 -236 *1273:181 *27114:S 0.000109651 -237 *1273:246 *2784:26 0.00194704 -238 *1273:251 *2784:26 0.00112286 -239 *1277:131 *2784:59 0.000551281 -240 *1277:131 *2784:65 0.000123483 -241 *1282:205 *2784:67 0.00014699 -242 *1288:127 *2784:133 7.49387e-06 -243 *1290:14 *2784:65 2.58997e-05 -244 *1290:14 *2784:67 2.85781e-05 -245 *1290:42 *2784:54 0.00164422 -246 *1290:48 *2784:30 0.000241562 -247 *1290:48 *2784:54 0.00069215 -248 *1361:114 *2784:133 0.000859056 -249 *1364:19 *27114:S 0.000389792 -250 *1366:13 *2784:26 0.00072043 -251 *1366:13 *2784:204 1.11479e-05 -252 *1385:15 *2784:204 9.60939e-05 -253 *1582:25 *2784:57 0.000384509 -254 *1633:13 *2784:112 0.000466547 -255 *1659:34 *2784:121 0.000202825 -256 *1697:44 *2784:162 0.0014566 -257 *1717:25 *2784:54 0.000101136 -258 *1798:19 *27116:S 0.000133091 -259 *2754:28 *27112:S 0.000242062 -260 *2754:34 *27112:S 0.000772617 -261 *2759:43 *2784:134 7.95355e-05 -262 *2759:111 *2784:88 0.000226639 -263 *2759:111 *2784:94 0.00059431 -264 *2759:120 *2784:88 0.000230769 -265 *2763:169 *27114:S 0.00089557 -266 *2763:196 *2784:133 0.000144038 -267 *2768:96 *2784:134 9.60939e-05 -268 *2773:118 *2784:94 0.000295678 -269 *2774:74 *2784:121 0.000637083 -270 *2776:241 *2784:134 0.000652911 -271 *2776:241 *2784:148 4.43256e-05 -272 *2776:241 *2784:162 0.000896136 -273 *2779:80 *2784:94 0.000145239 -274 *2779:80 *2784:112 0.000125355 -275 *2780:43 *2784:59 0.000345616 -276 *2782:170 *2784:26 6.49184e-06 -277 *2782:183 *2784:204 0.000135028 -*RES -1 *27108:Y *2784:4 9.3 -2 *2784:4 *2784:26 49.772 -3 *2784:26 *2784:30 16.9732 -4 *2784:30 *27109:S 22.9929 -5 *2784:30 *2784:54 49.7768 -6 *2784:54 *2784:57 12.6518 -7 *2784:57 *2784:59 52.875 -8 *2784:59 *2784:65 33.2946 -9 *2784:65 *2784:67 153.884 -10 *2784:67 *2784:88 46.7955 -11 *2784:88 *2784:94 18.7761 -12 *2784:94 *2784:112 48.0357 -13 *2784:112 *2784:121 41.0179 -14 *2784:121 *2784:133 43.2321 -15 *2784:133 *2784:134 15.3214 -16 *2784:134 *27112:S 39.7464 -17 *2784:134 *2784:148 0.946429 -18 *2784:148 *27111:S 9.3 -19 *2784:148 *2784:162 47.8929 -20 *2784:162 *27114:S 32.462 -21 *2784:121 *27113:S 19.7821 -22 *2784:94 *27110:S 9.3 -23 *2784:4 *2784:204 9.96429 -24 *2784:204 *27115:S 14.3357 -25 *2784:204 *27116:S 23.0679 -*END - -*D_NET *2785 0.013227 -*CONN -*I *29151:A I *D sky130_fd_sc_hd__clkbuf_8 -*I *27117:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *29151:A 0 -2 *27117:Y 0.000737755 -3 *2785:12 0.00171331 -4 *2785:10 0.00245107 -5 *2785:10 *27060:A0 0.000162641 -6 *2785:10 *27775:B1 0.000112203 -7 *2785:10 *27775:C1 0.000244422 -8 *2785:10 *2997:20 0.000101512 -9 *2785:10 *3215:305 0 -10 *2785:10 *3746:22 0.000302109 -11 *2785:10 *5642:141 0.000157194 -12 *2785:10 *5656:310 2.04825e-05 -13 *2785:10 *5879:98 0.000343534 -14 *2785:12 *27775:C1 9.12207e-06 -15 *2785:12 *29065:A 0.000580946 -16 *2785:12 *3215:286 4.1834e-05 -17 *2785:12 *3215:305 0.000594528 -18 *2785:12 *3746:12 0.000530143 -19 *2785:12 *3746:19 0.000338558 -20 *2785:12 *3978:21 0.000118983 -21 *2785:12 *5683:106 0.00312454 -22 *2785:12 *5683:110 1.59636e-05 -23 *2785:12 *5905:68 0.000174284 -24 *2785:12 *5905:79 8.28277e-05 -25 *27114:S *2785:12 0.000107313 -26 *1246:119 *2785:10 2.53643e-05 -27 *1246:119 *2785:12 0.00105103 -28 *1826:178 *2785:10 8.53238e-05 -*RES -1 *27117:Y *2785:10 28.1482 -2 *2785:10 *2785:12 52.1875 -3 *2785:12 *29151:A 13.8 -*END - -*D_NET *2786 0.119853 -*CONN -*I *27130:S I *D sky130_fd_sc_hd__mux2_1 -*I *27134:S I *D sky130_fd_sc_hd__mux2_1 -*I *27128:S I *D sky130_fd_sc_hd__mux2_1 -*I *27127:S I *D sky130_fd_sc_hd__mux2_1 -*I *27132:S I *D sky130_fd_sc_hd__mux2_1 -*I *27133:S I *D sky130_fd_sc_hd__mux2_1 -*I *27129:S I *D sky130_fd_sc_hd__mux2_1 -*I *27131:S I *D sky130_fd_sc_hd__mux2_1 -*I *27126:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *27130:S 0 -2 *27134:S 0.000684391 -3 *27128:S 2.23854e-05 -4 *27127:S 0 -5 *27132:S 0.00011797 -6 *27133:S 0 -7 *27129:S 0 -8 *27131:S 0 -9 *27126:Y 0 -10 *2786:172 0.000881988 -11 *2786:156 0.0034446 -12 *2786:151 0.00385631 -13 *2786:147 0.00331525 -14 *2786:136 0.00410548 -15 *2786:112 0.00179342 -16 *2786:101 0.00305699 -17 *2786:89 0.00382645 -18 *2786:87 0.00311891 -19 *2786:83 0.00156798 -20 *2786:80 0.00352071 -21 *2786:66 0.0031733 -22 *2786:59 0.00314465 -23 *2786:57 0.00319945 -24 *2786:55 0.00350273 -25 *2786:38 0.00514808 -26 *2786:26 0.00213448 -27 *2786:22 0.00195067 -28 *2786:4 0.00199649 -29 *27132:S *27132:A0 2.6269e-05 -30 *27132:S *27132:A1 5.33005e-05 -31 *27132:S *2891:288 5.36e-05 -32 *27132:S *3939:94 9.2316e-05 -33 *27134:S *24829:A 2.06112e-05 -34 *27134:S *26859:A1 7.21957e-05 -35 *27134:S *5781:10 0.000367379 -36 *27134:S *5829:42 0.000143372 -37 *27134:S *6011:17 0.00144333 -38 *2786:22 *26851:A0 4.58194e-05 -39 *2786:22 *26914:A1 0.000125717 -40 *2786:22 *27126:B 0.000197984 -41 *2786:22 *27724:C1 0.00012501 -42 *2786:22 *27726:B2 0.000240129 -43 *2786:22 *27726:C1 0.000307523 -44 *2786:22 *3273:10 5.03514e-05 -45 *2786:22 *3783:47 9.02385e-06 -46 *2786:22 *3913:21 0.00121984 -47 *2786:22 *3913:26 6.6461e-05 -48 *2786:22 *4030:18 0.000487127 -49 *2786:22 *5590:165 2.90018e-05 -50 *2786:22 *5820:85 2.26973e-05 -51 *2786:22 *6275:11 0.00032959 -52 *2786:26 *27734:B 0.000100831 -53 *2786:26 *3273:10 0.000904331 -54 *2786:26 *3283:8 0.000566747 -55 *2786:26 *3731:61 0.000211075 -56 *2786:26 *3783:47 0.000362188 -57 *2786:26 *5582:156 9.61525e-05 -58 *2786:26 *5651:400 0.000196269 -59 *2786:38 *27429:A2 4.83837e-05 -60 *2786:38 *27728:C1 0.000165099 -61 *2786:38 *2848:333 1.74567e-05 -62 *2786:38 *3165:89 0.000695889 -63 *2786:38 *3283:8 1.40849e-05 -64 *2786:38 *3783:47 9.25014e-06 -65 *2786:38 *3783:56 0.00138464 -66 *2786:38 *3783:66 0.000243826 -67 *2786:38 *3913:26 0.000156724 -68 *2786:38 *3913:33 4.38265e-05 -69 *2786:38 *5635:187 1.01487e-05 -70 *2786:38 *5647:109 0.000708426 -71 *2786:38 *5777:208 4.00349e-05 -72 *2786:55 *27120:A1 1.98839e-05 -73 *2786:55 *28684:D 0.000107815 -74 *2786:55 *28684:RESET_B 0.00095751 -75 *2786:55 *2845:286 0.0016552 -76 *2786:55 *2882:276 0.00012963 -77 *2786:55 *3153:221 9.71788e-06 -78 *2786:55 *3179:154 0.000539706 -79 *2786:55 *3899:38 4.18834e-05 -80 *2786:55 *3913:33 0.00139544 -81 *2786:55 *3978:65 0.000167762 -82 *2786:55 *4095:57 5.51124e-05 -83 *2786:59 *27131:A1 9.40164e-05 -84 *2786:59 *27416:A 0.00136352 -85 *2786:59 *27416:B 0.000209448 -86 *2786:59 *3153:221 0.000187973 -87 *2786:66 *27391:B1 0.000461937 -88 *2786:66 *2891:288 0.000347692 -89 *2786:66 *3939:94 0.000332543 -90 *2786:80 *27117:A 0.000969929 -91 *2786:80 *27117:B 0.000152353 -92 *2786:80 *27141:S 0 -93 *2786:80 *27422:A2 0.000426144 -94 *2786:80 *27429:A2 0.000266643 -95 *2786:80 *27429:B1 0.000167292 -96 *2786:80 *2867:260 6.14836e-06 -97 *2786:80 *2874:336 0 -98 *2786:80 *2885:156 8.66107e-05 -99 *2786:80 *3215:286 0.000340734 -100 *2786:80 *3537:47 5.99199e-06 -101 *2786:80 *3991:38 0.000154185 -102 *2786:80 *4121:62 8.09779e-05 -103 *2786:80 *4138:31 7.59402e-06 -104 *2786:80 *5631:58 0 -105 *2786:80 *5660:189 0 -106 *2786:83 *2844:386 0.000100248 -107 *2786:83 *2867:249 0.000265217 -108 *2786:83 *3552:44 0.000203408 -109 *2786:83 *3798:40 0.000168939 -110 *2786:83 *4095:79 4.26825e-05 -111 *2786:83 *5644:71 0.00107525 -112 *2786:83 *5814:12 0.00266265 -113 *2786:87 *26880:A0 0.000123288 -114 *2786:87 *3619:14 6.057e-07 -115 *2786:87 *5601:67 0.00014101 -116 *2786:87 *5635:104 4.83851e-05 -117 *2786:87 *5644:71 0.000980979 -118 *2786:87 *5647:59 0.000144038 -119 *2786:87 *5814:12 0.000607467 -120 *2786:89 *25026:A1 5.30523e-05 -121 *2786:89 *27828:C1 0.000127439 -122 *2786:89 *28471:D 0.000174805 -123 *2786:89 *28485:RESET_B 0.000480215 -124 *2786:89 *28623:D 8.61743e-05 -125 *2786:89 *2848:233 2.06112e-05 -126 *2786:89 *2864:156 4.78297e-05 -127 *2786:89 *3537:73 0 -128 *2786:89 *5485:145 2.46142e-05 -129 *2786:89 *5631:26 0 -130 *2786:89 *5635:104 0.00408692 -131 *2786:89 *5649:99 0.00213576 -132 *2786:89 *5756:10 0.00018593 -133 *2786:89 *5814:21 0 -134 *2786:101 *27811:A2 8.23597e-06 -135 *2786:101 *28482:SET_B 0.000328247 -136 *2786:101 *28696:D 9.47364e-06 -137 *2786:101 *2791:139 4.39823e-05 -138 *2786:101 *3537:73 1.08399e-05 -139 *2786:101 *3669:9 0.000136721 -140 *2786:101 *3669:20 5.50996e-05 -141 *2786:101 *3669:63 0.00139272 -142 *2786:101 *5584:79 1.3142e-05 -143 *2786:101 *5755:237 0.000109851 -144 *2786:101 *5755:243 0.000145496 -145 *2786:101 *5755:252 0.000123744 -146 *2786:101 *5755:263 0.000191525 -147 *2786:112 *24854:A 0.000614991 -148 *2786:112 *25017:A1 2.46861e-05 -149 *2786:112 *25176:A1 0.000293187 -150 *2786:112 *25176:B2 0.000221219 -151 *2786:112 *27163:A0 9.59532e-06 -152 *2786:112 *27716:B1 0.000971254 -153 *2786:112 *28473:CLK 0.000298483 -154 *2786:112 *28722:D 9.60875e-05 -155 *2786:112 *2845:180 0.000139913 -156 *2786:112 *2894:181 0.000110117 -157 *2786:112 *3991:11 4.29615e-05 -158 *2786:112 *4055:33 0.000149797 -159 *2786:112 *4649:20 2.06178e-05 -160 *2786:112 *5485:139 6.16276e-05 -161 *2786:112 *5755:38 9.25014e-06 -162 *2786:112 *5755:224 0.000236814 -163 *2786:112 *5755:237 5.7739e-05 -164 *2786:136 *26851:A0 0.000114655 -165 *2786:136 *3538:59 3.1312e-05 -166 *2786:136 *3538:75 4.46186e-06 -167 *2786:136 *3887:97 0.000107305 -168 *2786:136 *5695:67 0.000358977 -169 *2786:136 *5781:10 0.000349918 -170 *2786:136 *5829:42 0.000201539 -171 *2786:136 *5829:50 0.000172956 -172 *2786:147 *26857:A0 2.04825e-05 -173 *2786:147 *28637:CLK 7.84241e-05 -174 *2786:147 *28691:CLK 0.000178847 -175 *2786:147 *29082:A 0.00090931 -176 *2786:147 *30266:A 9.58624e-05 -177 *2786:147 *3603:35 0.000360455 -178 *2786:147 *3603:37 7.48091e-05 -179 *2786:147 *3603:43 0.000772579 -180 *2786:147 *3603:152 0.000120126 -181 *2786:147 *3691:7 5.03772e-05 -182 *2786:147 *4509:13 2.13481e-06 -183 *2786:147 *5702:202 0.000387403 -184 *2786:151 *26974:A1 4.88637e-05 -185 *2786:151 *4446:13 4.35567e-05 -186 *2786:151 *4509:13 8.25843e-06 -187 *2786:156 *28532:D 5.9563e-05 -188 *2786:156 *28532:RESET_B 9.51514e-06 -189 *2786:156 *29004:A 4.79842e-05 -190 *2786:156 *29452:A 6.74911e-05 -191 *2786:156 *3602:40 0.00016345 -192 *2786:156 *3920:20 4.13595e-05 -193 *2786:156 *3998:7 1.40306e-05 -194 *2786:156 *5715:17 0.00185303 -195 *2786:172 *27121:A1 5.33334e-05 -196 *2786:172 *5781:10 1.64442e-05 -197 *2786:172 *5829:42 0.00018567 -198 *25176:A2 *2786:112 2.95726e-05 -199 *25190:A2 *2786:55 0 -200 *26880:S *2786:87 2.22043e-05 -201 *26880:S *2786:89 0.000712146 -202 *27126:A *2786:136 0.00018372 -203 *29145:A *2786:151 0.000314139 -204 *29156:A *2786:89 1.05524e-05 -205 *29156:A *2786:101 5.22991e-06 -206 *29712:A *2786:112 0.00047567 -207 *29974:A *2786:136 0.000590934 -208 *29974:A *2786:172 0.0001065 -209 *29981:A *2786:136 0.000323558 -210 *29981:A *2786:172 0.000103571 -211 *30372:A *2786:101 0 -212 *778:15 *2786:147 4.19624e-06 -213 *783:17 *27134:S 0.00103421 -214 *1024:19 *2786:151 0.000185643 -215 *1026:19 *2786:172 9.71197e-05 -216 *1256:113 *2786:55 9.41642e-05 -217 *1271:211 *2786:55 0.000120892 -218 *1287:210 *2786:83 6.39808e-05 -219 *1290:179 *2786:101 0.000342497 -220 *1328:140 *2786:112 0.000147841 -221 *1328:142 *2786:112 1.74903e-05 -222 *1496:10 *2786:112 0.000135028 -223 *1514:18 *2786:38 3.73407e-05 -224 *1514:18 *2786:55 0.00139716 -225 *1638:36 *2786:89 4.28365e-05 -226 *2756:72 *27134:S 8.8696e-05 -227 *2758:132 *2786:89 0.00247085 -228 *2760:157 *2786:55 0 -229 *2766:57 *2786:147 0.000357782 -230 *2766:79 *2786:147 0.00172343 -231 *2768:90 *2786:55 4.18834e-05 -232 *2769:227 *2786:151 0.000653884 -233 *2770:118 *2786:26 0.000845161 -234 *2770:156 *2786:156 6.00732e-05 -235 *2777:94 *2786:89 0.000261903 -236 *2777:94 *2786:101 2.31704e-05 -*RES -1 *27126:Y *2786:4 9.3 -2 *2786:4 *2786:22 32.5268 -3 *2786:22 *2786:26 28.7411 -4 *2786:26 *2786:38 33.4783 -5 *2786:38 *2786:55 49.8831 -6 *2786:55 *2786:57 1.03571 -7 *2786:57 *2786:59 51.0536 -8 *2786:59 *27131:S 9.3 -9 *2786:38 *2786:66 16.125 -10 *2786:66 *2786:80 26.0411 -11 *2786:80 *2786:83 35.2679 -12 *2786:83 *2786:87 18.2679 -13 *2786:87 *2786:89 85.7857 -14 *2786:89 *2786:101 30.8304 -15 *2786:101 *2786:112 45.0893 -16 *2786:112 *27129:S 9.3 -17 *2786:101 *27133:S 13.8 -18 *2786:66 *27132:S 12.0321 -19 *2786:4 *2786:136 31.3661 -20 *2786:136 *2786:147 43.441 -21 *2786:147 *2786:151 10.4107 -22 *2786:151 *2786:156 48.1607 -23 *2786:156 *27127:S 13.8 -24 *2786:147 *27128:S 9.72857 -25 *2786:136 *2786:172 9.66964 -26 *2786:172 *27134:S 32.8118 -27 *2786:172 *27130:S 9.3 -*END - -*D_NET *2787 0.109714 -*CONN -*I *27141:S I *D sky130_fd_sc_hd__mux2_1 -*I *27137:S I *D sky130_fd_sc_hd__mux2_1 -*I *27140:S I *D sky130_fd_sc_hd__mux2_1 -*I *27139:S I *D sky130_fd_sc_hd__mux2_1 -*I *27136:S I *D sky130_fd_sc_hd__mux2_1 -*I *27138:S I *D sky130_fd_sc_hd__mux2_1 -*I *27142:S I *D sky130_fd_sc_hd__mux2_1 -*I *27143:S I *D sky130_fd_sc_hd__mux2_1 -*I *27135:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *27141:S 0.00173145 -2 *27137:S 0.000309503 -3 *27140:S 0.000552494 -4 *27139:S 9.41872e-05 -5 *27136:S 0.00111457 -6 *27138:S 0.0012343 -7 *27142:S 0 -8 *27143:S 0.000277491 -9 *27135:Y 0.000212995 -10 *2787:167 0.000954544 -11 *2787:161 0.0035983 -12 *2787:144 0.00589754 -13 *2787:139 0.00195414 -14 *2787:128 0.00420186 -15 *2787:127 0.00331299 -16 *2787:113 0.00150123 -17 *2787:112 0.00251016 -18 *2787:78 0.00335488 -19 *2787:62 0.00491374 -20 *2787:37 0.00366529 -21 *2787:17 0.00172535 -22 *2787:7 0.00292647 -23 *27136:S *25358:A1 0.000357694 -24 *27136:S *27091:A1 9.58479e-05 -25 *27136:S *27136:A1 5.33005e-05 -26 *27136:S *28720:D 0.000149298 -27 *27136:S *2855:15 0.000626953 -28 *27136:S *2860:154 5.20232e-05 -29 *27136:S *3185:264 1.81982e-06 -30 *27136:S *3560:18 9.75668e-05 -31 *27136:S *3797:53 0.00015307 -32 *27136:S *4051:43 2.03618e-05 -33 *27136:S *5211:8 0.00046777 -34 *27136:S *5486:20 6.97969e-05 -35 *27136:S *5713:109 3.30646e-05 -36 *27136:S *5713:130 2.36299e-05 -37 *27137:S *27137:A1 0.000135028 -38 *27137:S *3177:121 0.000135028 -39 *27137:S *3907:32 0.000710555 -40 *27137:S *4119:26 0.000719805 -41 *27138:S *27138:A1 5.55297e-05 -42 *27138:S *2849:30 0.000110226 -43 *27138:S *2860:26 0.000204643 -44 *27138:S *3184:43 0.000237863 -45 *27138:S *3196:30 0.00017988 -46 *27139:S *27139:A0 0.000122591 -47 *27139:S *27714:A2 4.35597e-05 -48 *27139:S *5694:254 9.41642e-05 -49 *27140:S *28702:D 8.48807e-05 -50 *27140:S *3552:22 0.000709043 -51 *27140:S *3907:32 0.000577322 -52 *27140:S *4119:26 0.000577322 -53 *27141:S *25062:B2 5.60201e-05 -54 *27141:S *27060:A0 2.59355e-05 -55 *27141:S *2867:260 0 -56 *27141:S *2997:20 0.000243478 -57 *27141:S *3537:47 4.73953e-05 -58 *27141:S *4138:31 2.98296e-05 -59 *27141:S *5595:32 2.86974e-05 -60 *27141:S *5632:90 4.37712e-06 -61 *27141:S *5658:124 2.49484e-05 -62 *27141:S *5683:139 0.000462024 -63 *27141:S *5683:166 0.000194398 -64 *27141:S *5683:207 8.55871e-05 -65 *27141:S *5683:223 4.96113e-05 -66 *27141:S *5905:110 0.00139021 -67 *27143:S *27143:A1 7.83587e-05 -68 *27143:S *5523:96 0.000234883 -69 *2787:7 *4685:23 0.000312215 -70 *2787:17 *27142:A1 5.52238e-05 -71 *2787:17 *27214:C1 3.35492e-05 -72 *2787:17 *27235:A1 0.000468528 -73 *2787:17 *27267:A 0.000213884 -74 *2787:17 *27440:A0 0.000314061 -75 *2787:17 *28779:CLK 0.000294097 -76 *2787:17 *30522:A 1.98839e-05 -77 *2787:17 *2811:15 0.000881344 -78 *2787:17 *2812:9 3.92757e-05 -79 *2787:17 *2827:16 0.000181599 -80 *2787:17 *2840:53 0.000270321 -81 *2787:17 *3575:109 0.000170046 -82 *2787:17 *5602:21 6.43714e-06 -83 *2787:17 *5678:204 2.14757e-05 -84 *2787:17 *5678:216 0.000149885 -85 *2787:17 *5751:148 0.000218404 -86 *2787:17 *6386:131 0 -87 *2787:37 *27241:A3 0.000232448 -88 *2787:37 *27241:B1 9.84337e-05 -89 *2787:37 *27243:A 4.62535e-05 -90 *2787:37 *27254:B1 2.17201e-05 -91 *2787:37 *27278:B 7.65824e-05 -92 *2787:37 *27595:A 0.000165027 -93 *2787:37 *27599:B 0.000136632 -94 *2787:37 *28779:CLK 0.000233792 -95 *2787:37 *2812:9 0.000313981 -96 *2787:37 *2815:31 0.000289815 -97 *2787:37 *2843:11 0.00010948 -98 *2787:37 *2849:15 0 -99 *2787:37 *3147:15 4.2991e-05 -100 *2787:37 *5608:28 0.00012401 -101 *2787:37 *5718:233 1.39737e-05 -102 *2787:37 *5718:240 0 -103 *2787:37 *6303:31 4.06693e-05 -104 *2787:62 *27599:B 3.3572e-05 -105 *2787:62 *2825:78 3.64479e-05 -106 *2787:62 *2843:11 0.000931435 -107 *2787:62 *2852:11 1.1257e-05 -108 *2787:62 *2852:297 3.40789e-05 -109 *2787:62 *2871:8 0.000262753 -110 *2787:62 *2871:160 0.000472383 -111 *2787:62 *2895:13 0.000744786 -112 *2787:62 *3151:17 0 -113 *2787:62 *3151:24 0 -114 *2787:62 *3156:41 2.18993e-05 -115 *2787:62 *3196:30 0.000518079 -116 *2787:62 *5657:13 0.000234246 -117 *2787:62 *5660:8 6.00128e-05 -118 *2787:62 *5718:256 0 -119 *2787:78 *27291:A 6.05161e-06 -120 *2787:78 *2852:11 0.00129976 -121 *2787:78 *2856:25 0.000426265 -122 *2787:78 *2856:270 2.17065e-05 -123 *2787:78 *2874:20 0.000625148 -124 *2787:78 *2874:32 3.69047e-06 -125 *2787:78 *3185:264 0 -126 *2787:78 *3205:27 0.00201207 -127 *2787:78 *3560:18 0.000159084 -128 *2787:78 *5530:58 8.9249e-05 -129 *2787:78 *5657:13 0.00027807 -130 *2787:78 *5694:110 8.74121e-05 -131 *2787:78 *5873:153 1.10978e-05 -132 *2787:112 *27618:A 4.94195e-05 -133 *2787:112 *27820:A1 8.54568e-05 -134 *2787:112 *27820:B2 0.000146508 -135 *2787:112 *27843:A0 6.70412e-05 -136 *2787:112 *27843:A1 3.58965e-05 -137 *2787:112 *28806:RESET_B 3.95515e-05 -138 *2787:112 *29512:A 0.000262468 -139 *2787:112 *2825:78 5.74893e-05 -140 *2787:112 *2864:96 0.000561422 -141 *2787:112 *2871:160 9.2676e-05 -142 *2787:112 *2872:160 2.84109e-05 -143 *2787:112 *2894:181 5.77031e-05 -144 *2787:112 *2895:13 0.000133417 -145 *2787:112 *2895:23 0.00129948 -146 *2787:112 *3559:14 1.43638e-05 -147 *2787:112 *5535:37 0.00112463 -148 *2787:112 *5718:284 4.87854e-05 -149 *2787:113 *2790:92 0 -150 *2787:113 *3183:40 4.63244e-05 -151 *2787:113 *3991:29 6.4735e-05 -152 *2787:113 *4186:26 0.00112602 -153 *2787:113 *5640:18 0.00241699 -154 *2787:113 *5667:68 0.000653794 -155 *2787:127 *4186:26 0.000262087 -156 *2787:127 *5583:134 0.000200103 -157 *2787:127 *5640:18 0.000255259 -158 *2787:128 *25118:B2 0.00105046 -159 *2787:128 *28623:CLK 0.000242913 -160 *2787:128 *28649:RESET_B 0.000800612 -161 *2787:128 *28708:RESET_B 8.16428e-05 -162 *2787:128 *28968:A 0.000146474 -163 *2787:128 *2844:265 0.00108955 -164 *2787:128 *2844:275 0.000263366 -165 *2787:128 *2853:202 4.28365e-05 -166 *2787:128 *2874:169 0.0011297 -167 *2787:128 *2880:93 2.17146e-05 -168 *2787:128 *2894:269 0.00034971 -169 *2787:128 *3574:66 0 -170 *2787:128 *3718:107 0.00176546 -171 *2787:128 *3952:16 0.00162761 -172 *2787:128 *3952:46 0.000355094 -173 *2787:128 *4082:76 0.000317422 -174 *2787:128 *4082:80 0.000388601 -175 *2787:128 *4108:58 7.98645e-05 -176 *2787:128 *5601:40 2.96123e-05 -177 *2787:128 *5658:44 0.000669182 -178 *2787:128 *5658:69 0.000244015 -179 *2787:128 *5658:80 4.56437e-05 -180 *2787:128 *5829:96 0.000303727 -181 *2787:139 *25188:A1 0.00132861 -182 *2787:139 *27428:A2 4.65519e-05 -183 *2787:139 *2943:11 0.00106177 -184 *2787:139 *3718:92 0.00057308 -185 *2787:139 *3718:107 2.0382e-05 -186 *2787:139 *3965:56 0.000254867 -187 *2787:139 *4082:74 0.00019397 -188 *2787:139 *4082:76 0.00273935 -189 *2787:139 *4108:58 0.000364792 -190 *2787:144 *3939:63 0.000237596 -191 *2787:144 *4134:45 0.000772845 -192 *2787:161 *27339:B2 0.000339346 -193 *2787:161 *27388:B1 2.88647e-05 -194 *2787:161 *27388:B2 6.26774e-06 -195 *2787:161 *27388:C1 8.55871e-05 -196 *2787:161 *2950:10 0.000135028 -197 *2787:161 *3679:39 0.000760333 -198 *2787:161 *3679:55 9.41642e-05 -199 *2787:161 *3913:33 1.90936e-05 -200 *2787:161 *5582:89 0.000257619 -201 *2787:161 *5595:32 0.000376052 -202 *2787:161 *5905:110 0.000411309 -203 *2787:161 *5905:124 0.000409661 -204 *2787:167 *3898:41 0.000177821 -205 *2787:167 *5905:124 0.000221932 -206 *24985:A2 *27143:S 0.000574458 -207 *27087:S *2787:139 7.14469e-05 -208 *27474:B *2787:128 1.90936e-05 -209 *29285:A *2787:128 0.00016324 -210 *29437:A *27136:S 0.000159513 -211 *29437:A *2787:78 1.90936e-05 -212 *29540:A *27140:S 0.000128321 -213 *29659:A *27139:S 0.000260152 -214 *30148:A *27141:S 0.000257619 -215 *1185:125 *2787:128 0.000855011 -216 *1225:33 *2787:128 0.00019719 -217 *1242:230 *2787:113 6.35864e-05 -218 *1250:72 *27136:S 0.000363478 -219 *1250:74 *27136:S 0.000474548 -220 *1252:232 *27138:S 0.000733504 -221 *1262:97 *2787:161 0.000478028 -222 *1278:41 *2787:17 0.000572026 -223 *1363:114 *27139:S 1.98839e-05 -224 *1514:18 *2787:161 2.04825e-05 -225 *1549:14 *2787:161 0.000372702 -226 *1624:26 *2787:17 0.000124987 -227 *1826:26 *2787:37 0 -228 *1826:46 *2787:37 0 -229 *1826:117 *2787:128 0.000324493 -230 *2760:113 *2787:139 0.000271935 -231 *2760:113 *2787:144 0.00121254 -232 *2778:35 *2787:17 0.000763443 -233 *2780:25 *2787:112 4.38058e-05 -234 *2784:59 *2787:78 0.000201376 -235 *2786:80 *27141:S 0 -*RES -1 *27135:Y *2787:7 16.8 -2 *2787:7 *2787:17 27.6145 -3 *2787:17 *27143:S 26.0143 -4 *2787:17 *27142:S 9.3 -5 *2787:7 *2787:37 25.8661 -6 *2787:37 *27138:S 25.1849 -7 *2787:37 *2787:62 40.142 -8 *2787:62 *2787:78 46.8039 -9 *2787:78 *27136:S 47.1702 -10 *2787:62 *2787:112 47.8482 -11 *2787:112 *2787:113 32 -12 *2787:113 *27139:S 16.8 -13 *2787:113 *2787:127 16.2857 -14 *2787:127 *2787:128 96.6607 -15 *2787:128 *2787:139 49.8948 -16 *2787:139 *2787:144 9.4238 -17 *2787:144 *2787:161 49.8762 -18 *2787:161 *2787:167 7.12946 -19 *2787:167 *27140:S 29.05 -20 *2787:167 *27137:S 24.6929 -21 *2787:144 *27141:S 35.501 -*END - -*D_NET *2788 0.0971238 -*CONN -*I *27152:S I *D sky130_fd_sc_hd__mux2_1 -*I *27150:S I *D sky130_fd_sc_hd__mux2_1 -*I *27148:S I *D sky130_fd_sc_hd__mux2_1 -*I *27146:S I *D sky130_fd_sc_hd__mux2_1 -*I *27149:S I *D sky130_fd_sc_hd__mux2_1 -*I *27145:S I *D sky130_fd_sc_hd__mux2_1 -*I *27147:S I *D sky130_fd_sc_hd__mux2_1 -*I *6616:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6617:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27151:S I *D sky130_fd_sc_hd__mux2_1 -*I *27144:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *27152:S 0.000265961 -2 *27150:S 0.000283326 -3 *27148:S 0 -4 *27146:S 4.21113e-05 -5 *27149:S 0 -6 *27145:S 0.000181498 -7 *27147:S 9.78746e-05 -8 *6616:DIODE 0.000110462 -9 *6617:DIODE 7.23131e-05 -10 *27151:S 0 -11 *27144:Y 0.000167173 -12 *2788:168 0.00140566 -13 *2788:158 0.00287272 -14 *2788:139 0.00119892 -15 *2788:124 0.00233885 -16 *2788:105 0.00317823 -17 *2788:97 0.002467 -18 *2788:93 0.00269538 -19 *2788:76 0.00660031 -20 *2788:39 0.00115612 -21 *2788:28 0.00124931 -22 *2788:25 0.000368648 -23 *2788:22 0.0036048 -24 *2788:21 0.00350713 -25 *2788:16 0.00171665 -26 *2788:9 0.00475436 -27 *6616:DIODE *2866:63 0.000135028 -28 *6617:DIODE *27151:A0 9.58126e-05 -29 *6617:DIODE *3916:34 9.58181e-05 -30 *6617:DIODE *5627:162 1.38323e-05 -31 *27146:S *3907:27 4.1834e-05 -32 *27146:S *5748:6 8.99513e-05 -33 *27147:S *27147:A0 0.000175892 -34 *27147:S *27147:A1 5.33005e-05 -35 *27150:S *27148:A1 0.000348207 -36 *27150:S *27150:A1 5.65357e-05 -37 *27150:S *3538:75 3.47459e-05 -38 *27150:S *5584:194 0.000191086 -39 *27150:S *5683:83 1.58163e-05 -40 *27150:S *5683:96 8.43535e-06 -41 *27150:S *5800:43 0.000583908 -42 *27152:S *27152:A1 1.58163e-05 -43 *27152:S *3215:309 2.11419e-05 -44 *27152:S *3565:10 1.94879e-05 -45 *27152:S *3978:21 8.85532e-05 -46 *27152:S *4147:31 8.05246e-05 -47 *27152:S *5651:378 0.000416528 -48 *27152:S *5676:47 2.79421e-05 -49 *27152:S *5800:11 0.000116734 -50 *2788:9 *27144:B 3.29905e-05 -51 *2788:9 *5587:130 0.000136958 -52 *2788:16 *27732:B1 0.000217181 -53 *2788:16 *2848:333 0.000111243 -54 *2788:16 *3179:154 6.09762e-05 -55 *2788:16 *3259:13 0.000403474 -56 *2788:16 *3835:36 0.00107896 -57 *2788:16 *3835:38 0.00103922 -58 *2788:16 *3861:40 4.75908e-05 -59 *2788:16 *4069:66 0.000549829 -60 *2788:16 *4204:12 0.00069986 -61 *2788:16 *5692:41 0.000678072 -62 *2788:21 *5589:95 5.68721e-05 -63 *2788:21 *5905:110 9.88827e-05 -64 *2788:22 *27373:C1 0.000336175 -65 *2788:22 *27396:A2 6.25005e-05 -66 *2788:22 *27736:B2 1.90936e-05 -67 *2788:22 *28563:CLK 0.000561095 -68 *2788:22 *2845:215 0.000404282 -69 *2788:22 *2845:247 0.00122894 -70 *2788:22 *2853:224 0.000353612 -71 *2788:22 *2880:30 0.000195979 -72 *2788:22 *2880:45 0.000123605 -73 *2788:22 *2956:8 0.00295962 -74 *2788:22 *3153:204 0.000339983 -75 *2788:22 *3177:100 0.000455135 -76 *2788:22 *3623:52 0.000576365 -77 *2788:22 *3666:126 0.00396369 -78 *2788:22 *3796:82 0.000106403 -79 *2788:22 *3796:108 0.00064649 -80 *2788:22 *3822:30 0.000253058 -81 *2788:22 *3822:32 0.000193693 -82 *2788:22 *3835:38 3.03337e-05 -83 *2788:22 *4030:48 0.000257739 -84 *2788:22 *5596:51 0.00278044 -85 *2788:22 *5649:128 0.00010283 -86 *2788:25 *6552:DIODE 4.58194e-05 -87 *2788:25 *27147:A0 5.33005e-05 -88 *2788:25 *3558:193 6.05161e-06 -89 *2788:28 *27147:A0 5.55449e-05 -90 *2788:28 *2938:6 0.000120975 -91 *2788:28 *5700:329 6.48025e-05 -92 *2788:39 *27151:A0 9.83442e-05 -93 *2788:39 *2938:6 0.000258797 -94 *2788:39 *3574:71 0.00025849 -95 *2788:39 *3574:75 4.58194e-05 -96 *2788:39 *3627:5 1.56e-05 -97 *2788:39 *5627:162 0.000407331 -98 *2788:39 *5640:18 0.000377809 -99 *2788:39 *5652:95 0.000587484 -100 *2788:39 *5700:329 0.000260512 -101 *2788:76 *2888:291 0.000199619 -102 *2788:76 *3165:99 0.000239314 -103 *2788:76 *3259:13 1.19468e-05 -104 *2788:76 *3281:8 3.44344e-05 -105 *2788:76 *3538:16 0.000155034 -106 *2788:76 *3744:36 0.000108576 -107 *2788:76 *3796:118 4.65519e-05 -108 *2788:76 *4030:27 0.000499302 -109 *2788:76 *4030:28 1.53411e-05 -110 *2788:76 *4069:41 4.65519e-05 -111 *2788:76 *4095:46 4.41121e-05 -112 *2788:76 *5631:88 0.00043676 -113 *2788:76 *5873:289 5.00194e-05 -114 *2788:93 *26886:A1 0.000178955 -115 *2788:93 *2791:163 2.83129e-05 -116 *2788:93 *3951:15 0.000645041 -117 *2788:93 *4095:33 6.98512e-05 -118 *2788:93 *5629:172 2.11419e-05 -119 *2788:93 *5646:252 1.94945e-05 -120 *2788:93 *6351:12 0.00064361 -121 *2788:97 *25628:A0 3.90513e-05 -122 *2788:97 *3226:8 0.000344282 -123 *2788:97 *3822:108 1.68244e-05 -124 *2788:97 *4002:18 0 -125 *2788:97 *5777:103 0.000120873 -126 *2788:105 *25112:A2 0.000888327 -127 *2788:105 *5777:86 9.59517e-05 -128 *2788:105 *5777:103 0.000525635 -129 *2788:105 *5792:28 0.000887826 -130 *2788:124 *25140:A2 0.000220455 -131 *2788:124 *25140:B2 0.000472351 -132 *2788:124 *28901:A 9.11494e-05 -133 *2788:124 *2970:19 0.000159126 -134 *2788:124 *3578:118 0.000186641 -135 *2788:124 *3732:11 3.4323e-06 -136 *2788:124 *3745:8 7.55747e-05 -137 *2788:124 *4049:38 9.41642e-05 -138 *2788:124 *4076:48 0 -139 *2788:124 *4133:12 0.00197964 -140 *2788:124 *5582:113 0.000144903 -141 *2788:124 *5792:28 0 -142 *2788:124 *6280:6 0.000388756 -143 *2788:139 *25147:A2 0.000105471 -144 *2788:139 *27149:A1 0.000251292 -145 *2788:139 *27403:A2 0.00017774 -146 *2788:139 *2893:24 3.03561e-05 -147 *2788:139 *3304:22 0.000186219 -148 *2788:139 *4043:70 0.000303238 -149 *2788:139 *4133:12 0 -150 *2788:139 *4133:28 0.000155365 -151 *2788:139 *5587:87 6.57192e-05 -152 *2788:139 *5660:217 2.06112e-05 -153 *2788:139 *5688:85 0.000317427 -154 *2788:139 *5792:28 0.000750814 -155 *2788:139 *5792:40 0.000231702 -156 *2788:139 *5873:321 5.14926e-05 -157 *2788:158 *2791:163 4.22135e-06 -158 *2788:158 *3538:16 0.000651578 -159 *2788:158 *4030:27 9.12207e-06 -160 *2788:158 *4147:31 4.21358e-05 -161 *2788:158 *5628:202 0.000596539 -162 *2788:158 *5651:378 0.000198849 -163 *2788:158 *5656:321 5.80069e-05 -164 *2788:168 *27148:A1 5.33005e-05 -165 *2788:168 *27741:A1 0.00117543 -166 *2788:168 *27741:B1 0.00010909 -167 *2788:168 *27742:C1 0.000651883 -168 *2788:168 *3796:163 3.84377e-05 -169 *2788:168 *3887:91 0.000253375 -170 *2788:168 *4056:18 0.000243821 -171 *2788:168 *5584:194 6.42095e-05 -172 *2788:168 *5777:160 0.00120777 -173 *2788:168 *5800:43 0.000178847 -174 *2788:168 *5926:68 0.000142593 -175 *25141:C1 *2788:124 0.000504656 -176 *25206:B *2788:9 0.000175892 -177 *27058:S *2788:76 0 -178 *27144:A *2788:9 3.08382e-06 -179 *29075:A *2788:158 7.14091e-06 -180 *29278:A *2788:97 0.000147024 -181 *29719:A *27147:S 0.000119303 -182 *29719:A *2788:25 6.05161e-06 -183 *29948:A *27150:S 0.000263932 -184 *1178:105 *2788:22 0.00117293 -185 *1178:123 *2788:22 0.000223523 -186 *1246:146 *2788:76 4.65519e-05 -187 *1252:146 *27147:S 1.38323e-05 -188 *1252:146 *2788:25 5.96516e-05 -189 *1262:191 *6617:DIODE 0.000103211 -190 *1262:191 *2788:39 0.0008657 -191 *1266:119 *2788:22 0.00108059 -192 *1286:72 *2788:105 1.37319e-05 -193 *1286:85 *2788:97 0.000317929 -194 *1361:114 *2788:93 0 -195 *1361:147 *2788:93 0 -196 *1393:11 *2788:9 1.57414e-05 -197 *1462:10 *2788:139 0 -198 *1520:19 *2788:22 0.000140953 -199 *1520:20 *2788:22 0.000158172 -200 *1660:11 *2788:97 0.000530289 -201 *1697:44 *2788:93 0.000110642 -202 *1697:44 *2788:158 0 -203 *1853:19 *2788:97 0.000112483 -204 *1853:19 *2788:105 0.000764054 -205 *2759:43 *2788:93 0.00125799 -206 *2759:49 *2788:93 0.00039384 -207 *2759:68 *2788:93 0.000526659 -208 *2760:26 *2788:39 0.000379517 -209 *2763:185 *2788:158 0 -210 *2764:169 *2788:39 0.000589407 -211 *2767:167 *2788:158 0 -212 *2776:241 *2788:93 0.00020962 -213 *2778:155 *2788:76 0 -214 *2779:67 *2788:16 0.00030588 -215 *2779:67 *2788:76 1.90936e-05 -216 *2780:259 *2788:124 0.000159257 -217 *2784:134 *2788:93 0.000888295 -*RES -1 *27144:Y *2788:9 17.2286 -2 *2788:9 *2788:16 49.1875 -3 *2788:16 *2788:21 7.15527 -4 *2788:21 *2788:22 124.438 -5 *2788:22 *2788:25 7.91071 -6 *2788:25 *2788:28 6.14286 -7 *2788:28 *2788:39 44.7857 -8 *2788:39 *27151:S 9.3 -9 *2788:39 *6617:DIODE 11.4786 -10 *2788:28 *6616:DIODE 15.1571 -11 *2788:25 *27147:S 11.8893 -12 *2788:9 *2788:76 27.6053 -13 *2788:76 *2788:93 47.822 -14 *2788:93 *2788:97 15.6942 -15 *2788:97 *2788:105 26.6962 -16 *2788:105 *27145:S 11.8893 -17 *2788:105 *2788:124 49.5089 -18 *2788:124 *2788:139 40.9911 -19 *2788:139 *27149:S 9.3 -20 *2788:97 *27146:S 18.3975 -21 *2788:76 *2788:158 15.4066 -22 *2788:158 *2788:168 39.7582 -23 *2788:168 *27148:S 9.3 -24 *2788:168 *27150:S 18.4607 -25 *2788:158 *27152:S 19.9502 -*END - -*D_NET *2789 0.0313332 -*CONN -*I *27154:S I *D sky130_fd_sc_hd__mux2_1 -*I *27157:S I *D sky130_fd_sc_hd__mux2_1 -*I *27158:S I *D sky130_fd_sc_hd__mux2_1 -*I *27155:S I *D sky130_fd_sc_hd__mux2_1 -*I *27156:S I *D sky130_fd_sc_hd__mux2_1 -*I *27153:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *27154:S 0.000212847 -2 *27157:S 0 -3 *27158:S 0.000692668 -4 *27155:S 0 -5 *27156:S 0 -6 *27153:X 0.000167835 -7 *2789:44 0.00137629 -8 *2789:27 0.0031181 -9 *2789:25 0.00364618 -10 *2789:17 0.00153076 -11 *2789:8 0.000274041 -12 *27154:S *27911:A1 5.33005e-05 -13 *27154:S *5063:15 0.000431864 -14 *27158:S *27155:A0 2.28598e-05 -15 *27158:S *27158:A0 0.000175892 -16 *27158:S *27924:A1 1.50738e-05 -17 *27158:S *28715:D 5.60201e-05 -18 *27158:S *2894:106 0.000160456 -19 *27158:S *2894:107 8.6229e-06 -20 *27158:S *3479:8 0.000172301 -21 *27158:S *3739:36 0.000350922 -22 *27158:S *4103:40 0.000734278 -23 *27158:S *5626:19 9.18462e-05 -24 *27158:S *5838:31 5.33005e-05 -25 *2789:8 *25267:B2 0.000304843 -26 *2789:8 *28019:A0 0.00016634 -27 *2789:8 *5698:78 0.000145411 -28 *2789:17 *25267:B2 0.000182393 -29 *2789:17 *3973:10 8.00842e-05 -30 *2789:25 *25267:B2 1.17921e-05 -31 *2789:25 *27863:B2 5.66976e-05 -32 *2789:25 *27865:A 0.000495622 -33 *2789:25 *27888:A2 0.000920987 -34 *2789:25 *27911:A1 0.000703525 -35 *2789:25 *27911:A2 0.000542024 -36 *2789:25 *3156:85 0.000600913 -37 *2789:25 *3156:112 0.000934952 -38 *2789:25 *3212:68 2.37761e-05 -39 *2789:25 *3804:58 0 -40 *2789:25 *3869:28 3.61589e-06 -41 *2789:25 *3973:10 4.29471e-05 -42 *2789:25 *3983:52 0 -43 *2789:25 *4103:24 0.000269206 -44 *2789:25 *5665:204 0.000304845 -45 *2789:27 *25429:A1 0.000254697 -46 *2789:27 *25472:B1 4.0393e-05 -47 *2789:27 *25835:A0 7.55769e-05 -48 *2789:27 *27157:A0 2.94055e-05 -49 *2789:27 *27489:A1 3.56057e-05 -50 *2789:27 *27489:A2 0.000150625 -51 *2789:27 *27523:B2 2.06178e-05 -52 *2789:27 *27871:A2 0.000189488 -53 *2789:27 *27938:B1 3.27359e-05 -54 *2789:27 *27938:B2 1.51251e-05 -55 *2789:27 *28022:A 1.78394e-05 -56 *2789:27 *28049:A 0.000548164 -57 *2789:27 *28164:D 0.000285591 -58 *2789:27 *28717:D 0.000300343 -59 *2789:27 *2834:109 0.00180211 -60 *2789:27 *2834:142 0.000212122 -61 *2789:27 *2894:87 2.07441e-05 -62 *2789:27 *2894:99 0.00138734 -63 *2789:27 *3073:14 0.00148766 -64 *2789:27 *3156:112 0.00091756 -65 *2789:27 *3454:18 0.00014377 -66 *2789:27 *3739:56 9.64049e-06 -67 *2789:27 *3896:26 8.23185e-05 -68 *2789:27 *4103:18 8.25194e-05 -69 *2789:27 *4103:24 0.000224884 -70 *2789:27 *5706:170 2.50479e-05 -71 *2789:27 *5728:172 0.000105208 -72 *2789:27 *5728:205 0.000123175 -73 *2789:44 *25801:A1 1.20729e-05 -74 *2789:44 *27155:A0 2.59355e-05 -75 *2789:44 *27938:B1 9.75704e-05 -76 *2789:44 *27938:B2 7.58497e-05 -77 *2789:44 *27938:C1 0.000189714 -78 *2789:44 *28260:D 0.000460318 -79 *2789:44 *3479:8 0.000545992 -80 *2789:44 *3739:36 0.000360712 -81 *2789:44 *4103:8 8.6229e-06 -82 *2789:44 *4103:18 7.17109e-05 -83 *2789:44 *4160:98 9.41642e-05 -84 *2789:44 *4161:80 4.82947e-05 -85 *2789:44 *5838:31 5.33005e-05 -86 *27153:A *2789:8 5.52302e-05 -87 *28085:RESET_B *2789:27 6.94824e-05 -88 *29216:A *2789:25 0 -89 *29331:A *27158:S 0.000304646 -90 *400:14 *27158:S 0.000424029 -91 *1476:75 *2789:27 0.000229777 -92 *1603:36 *2789:27 9.69108e-05 -93 *1769:16 *2789:27 7.6644e-05 -94 *1864:59 *2789:27 0.000276509 -*RES -1 *27153:X *2789:8 18.4071 -2 *2789:8 *27156:S 13.8 -3 *2789:8 *2789:17 2.9375 -4 *2789:17 *2789:25 40.7857 -5 *2789:25 *2789:27 72.8304 -6 *2789:27 *2789:44 31 -7 *2789:44 *27155:S 9.3 -8 *2789:44 *27158:S 45.925 -9 *2789:27 *27157:S 13.8 -10 *2789:17 *27154:S 18.4607 -*END - -*D_NET *2790 0.055768 -*CONN -*I *27167:S I *D sky130_fd_sc_hd__mux2_1 -*I *27165:S I *D sky130_fd_sc_hd__mux2_1 -*I *27166:S I *D sky130_fd_sc_hd__mux2_1 -*I *27163:S I *D sky130_fd_sc_hd__mux2_1 -*I *27162:S I *D sky130_fd_sc_hd__mux2_1 -*I *27161:S I *D sky130_fd_sc_hd__mux2_1 -*I *27164:S I *D sky130_fd_sc_hd__mux2_1 -*I *27160:S I *D sky130_fd_sc_hd__mux2_1 -*I *27159:X O *D sky130_fd_sc_hd__and2_4 -*CAP -1 *27167:S 3.08599e-05 -2 *27165:S 0.000353504 -3 *27166:S 0.000640277 -4 *27163:S 0.000139914 -5 *27162:S 0.000878853 -6 *27161:S 0 -7 *27164:S 0 -8 *27160:S 4.45334e-05 -9 *27159:X 0 -10 *2790:136 0.000794531 -11 *2790:92 0.00197465 -12 *2790:77 0.00115298 -13 *2790:58 0.00192747 -14 *2790:46 0.00364089 -15 *2790:32 0.0038827 -16 *2790:29 0.00573594 -17 *2790:8 0.00810242 -18 *2790:4 0.00224132 -19 *27160:S *26875:A1 4.16984e-05 -20 *27160:S *2877:25 0.000136951 -21 *27162:S *27162:A0 5.30637e-06 -22 *27162:S *28722:CLK 6.02142e-05 -23 *27163:S *27163:A0 2.26973e-05 -24 *27163:S *27163:A1 3.57488e-05 -25 *27163:S *28473:CLK 0.000120972 -26 *27163:S *3153:140 0.000142871 -27 *27163:S *3628:12 8.6229e-06 -28 *27163:S *3628:14 0.000145241 -29 *27163:S *3952:18 3.15812e-05 -30 *27163:S *5650:31 0.00014051 -31 *27165:S *28726:D 0.000168197 -32 *27165:S *3993:13 0.00013209 -33 *27165:S *3993:32 0.000139975 -34 *27165:S *5602:41 0.000228438 -35 *27166:S *3177:24 1.94879e-05 -36 *27166:S *5647:18 1.1594e-05 -37 *27166:S *5678:129 1.02132e-05 -38 *27166:S *5678:141 1.2828e-05 -39 *27167:S *27167:A0 0.000139202 -40 *27167:S *5675:132 0.000139202 -41 *2790:8 *27649:D 0.000109637 -42 *2790:8 *3177:24 0.000804367 -43 *2790:8 *3799:25 0 -44 *2790:8 *3826:26 0.000257598 -45 *2790:8 *3826:49 0.000773069 -46 *2790:8 *3877:23 0 -47 *2790:8 *3993:14 0.00052563 -48 *2790:8 *5608:62 1.94945e-05 -49 *2790:8 *5645:39 0.000223717 -50 *2790:8 *5647:18 0.000185949 -51 *2790:8 *5714:13 0 -52 *2790:8 *5970:18 6.27272e-06 -53 *2790:29 *27603:A 3.69047e-06 -54 *2790:29 *2827:72 6.35412e-05 -55 *2790:29 *2852:17 0 -56 *2790:29 *2852:297 0.000283597 -57 *2790:29 *3165:192 4.02e-05 -58 *2790:29 *3178:133 0.000554225 -59 *2790:29 *3214:173 6.92626e-05 -60 *2790:29 *3537:74 3.75052e-05 -61 *2790:29 *3865:37 0 -62 *2790:29 *3952:27 0 -63 *2790:29 *5459:39 0.000116155 -64 *2790:29 *5631:20 0.000406019 -65 *2790:29 *5638:30 7.21208e-05 -66 *2790:29 *5651:13 0 -67 *2790:29 *5658:7 1.04766e-05 -68 *2790:29 *5714:16 0.000361493 -69 *2790:32 *2840:98 0.000235221 -70 *2790:32 *2892:115 6.33225e-05 -71 *2790:32 *5638:16 5.26224e-05 -72 *2790:32 *5757:127 5.4869e-05 -73 *2790:46 *27447:B1 0.000265873 -74 *2790:46 *27820:B1 5.36904e-05 -75 *2790:46 *28466:D 0.000765901 -76 *2790:46 *2839:38 0.000122446 -77 *2790:46 *2844:153 0.000244226 -78 *2790:46 *2850:177 0.000114697 -79 *2790:46 *2883:15 0.000147886 -80 *2790:46 *2894:21 0.000668283 -81 *2790:46 *5720:225 6.39808e-05 -82 *2790:46 *5757:127 0.00275603 -83 *2790:58 *26875:A1 0.000177815 -84 *2790:58 *2877:25 4.66596e-05 -85 *2790:58 *5707:103 0.0015433 -86 *2790:58 *5707:113 7.10552e-05 -87 *2790:77 *27091:A0 4.58194e-05 -88 *2790:77 *28723:D 5.33433e-05 -89 *2790:77 *2839:38 7.62605e-05 -90 *2790:77 *2855:12 9.41642e-05 -91 *2790:77 *4015:10 8.62918e-05 -92 *2790:77 *4015:22 0.000277061 -93 *2790:77 *5448:26 0.000151242 -94 *2790:77 *5700:137 1.12577e-05 -95 *2790:77 *5707:113 0.00146432 -96 *2790:77 *5707:137 0.000315663 -97 *2790:77 *5873:142 5.26224e-05 -98 *2790:92 *27746:A1 3.48013e-05 -99 *2790:92 *27770:A1 6.42242e-05 -100 *2790:92 *27795:S 0.000536758 -101 *2790:92 *28473:CLK 0.000121573 -102 *2790:92 *2840:98 0.000120672 -103 *2790:92 *2882:173 0.000105469 -104 *2790:92 *3153:140 0.000357658 -105 *2790:92 *3174:153 0.000166203 -106 *2790:92 *3178:136 1.21637e-05 -107 *2790:92 *3952:18 0.000365241 -108 *2790:92 *3991:29 0 -109 *2790:92 *5277:8 1.76821e-05 -110 *2790:92 *5718:310 0.000236844 -111 *2790:92 *5757:127 0.000499068 -112 *2790:136 *28726:D 0.000132573 -113 *2790:136 *5602:41 0.0001932 -114 *24979:D *2790:8 4.47395e-05 -115 *24983:C1 *2790:8 0 -116 *27049:S *27165:S 0.000143375 -117 *29770:A *2790:77 9.71197e-05 -118 *29772:A *27165:S 0.000172965 -119 *30484:A *27166:S 9.99853e-05 -120 *1244:62 *27165:S 0 -121 *1244:62 *2790:136 0 -122 *1252:83 *2790:46 0.000146927 -123 *1290:34 *2790:46 0.000120724 -124 *1290:34 *2790:77 0.000527059 -125 *1290:42 *2790:77 0 -126 *1310:11 *2790:136 6.02811e-05 -127 *1317:13 *2790:8 0.000735547 -128 *1317:13 *2790:136 0.000267731 -129 *1328:115 *2790:58 0.00161717 -130 *1328:115 *2790:77 0.00017309 -131 *1368:23 *2790:29 0 -132 *1826:117 *2790:29 0.000156678 -133 *2758:37 *2790:58 6.05161e-06 -134 *2787:113 *2790:92 0 -*RES -1 *27159:X *2790:4 9.3 -2 *2790:4 *2790:8 44.3661 -3 *2790:8 *2790:29 46.7748 -4 *2790:29 *2790:32 6.57071 -5 *2790:32 *2790:46 45.2197 -6 *2790:46 *27160:S 10.6571 -7 *2790:46 *2790:58 28.6786 -8 *2790:58 *2790:77 43.7857 -9 *2790:77 *27164:S 9.3 -10 *2790:58 *27161:S 13.8 -11 *2790:32 *2790:92 25.8304 -12 *2790:92 *27162:S 24.6393 -13 *2790:92 *27163:S 18.6214 -14 *2790:8 *27166:S 22.8446 -15 *2790:4 *2790:136 12.7857 -16 *2790:136 *27165:S 22.925 -17 *2790:136 *27167:S 15.1571 -*END - -*D_NET *2791 0.147196 -*CONN -*I *27172:S I *D sky130_fd_sc_hd__mux2_1 -*I *27171:S I *D sky130_fd_sc_hd__mux2_1 -*I *27170:S I *D sky130_fd_sc_hd__mux2_1 -*I *27169:S I *D sky130_fd_sc_hd__mux2_1 -*I *6618:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27173:S I *D sky130_fd_sc_hd__mux2_1 -*I *27176:S I *D sky130_fd_sc_hd__mux2_1 -*I *27175:S I *D sky130_fd_sc_hd__mux2_1 -*I *27174:S I *D sky130_fd_sc_hd__mux2_1 -*I *27168:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *27172:S 0 -2 *27171:S 0 -3 *27170:S 0 -4 *27169:S 0.000988692 -5 *6618:DIODE 0.000223165 -6 *27173:S 2.65463e-05 -7 *27176:S 0 -8 *27175:S 0.00119387 -9 *27174:S 7.22637e-05 -10 *27168:Y 0.00089669 -11 *2791:275 0.00225429 -12 *2791:246 0.00191426 -13 *2791:233 0.00214478 -14 *2791:209 0.00518263 -15 *2791:199 0.00728 -16 *2791:173 0.00373564 -17 *2791:169 0.000573249 -18 *2791:163 0.00397559 -19 *2791:139 0.00330656 -20 *2791:117 0.00385711 -21 *2791:102 0.00265398 -22 *2791:87 0.00239553 -23 *2791:73 0.00409163 -24 *2791:71 0.00289997 -25 *2791:66 0.00471539 -26 *2791:45 0.00893757 -27 *2791:20 0.00170758 -28 *2791:13 0.0038415 -29 *6618:DIODE *27173:A0 0.000212077 -30 *6618:DIODE *27173:A1 3.99614e-06 -31 *6618:DIODE *5643:227 0.000136676 -32 *6618:DIODE *5709:182 9.63449e-05 -33 *27169:S *27169:A0 9.7659e-05 -34 *27169:S *5777:94 0.000936595 -35 *27169:S *6269:12 0.000375392 -36 *27173:S *27173:A1 5.49544e-05 -37 *27173:S *5643:227 5.33005e-05 -38 *27173:S *5709:182 2.12005e-05 -39 *27174:S *27174:A0 9.60875e-05 -40 *27174:S *27174:A1 2.89114e-05 -41 *27174:S *3783:41 2.04825e-05 -42 *27174:S *5586:51 8.43535e-06 -43 *27174:S *5683:96 5.7616e-05 -44 *27175:S *27175:A0 0.000135028 -45 *27175:S *27175:A1 5.65955e-05 -46 *27175:S *27812:A1 0.000939237 -47 *2791:13 *24976:A1 0.000432425 -48 *2791:13 *24976:B2 2.14658e-05 -49 *2791:13 *28711:D 4.30382e-05 -50 *2791:13 *3978:130 9.8045e-05 -51 *2791:13 *4137:16 5.28368e-06 -52 *2791:13 *5590:191 0.000136013 -53 *2791:13 *5911:46 0.000567042 -54 *2791:20 *26916:A0 0.000230626 -55 *2791:20 *27822:B2 0.000192539 -56 *2791:20 *28196:D 0.00022459 -57 *2791:20 *3916:18 8.46316e-05 -58 *2791:20 *4034:8 2.94273e-05 -59 *2791:20 *4136:8 9.75435e-05 -60 *2791:20 *5640:285 0.00018077 -61 *2791:20 *5676:60 0.000191248 -62 *2791:20 *5683:96 0.000319422 -63 *2791:20 *5870:19 9.49984e-05 -64 *2791:45 *3153:300 3.70093e-05 -65 *2791:45 *3206:293 0.000115139 -66 *2791:45 *3320:16 0.000537841 -67 *2791:45 *3607:76 2.83129e-05 -68 *2791:45 *3783:41 8.3e-05 -69 *2791:45 *3837:27 0.000281183 -70 *2791:45 *3969:14 0.000692518 -71 *2791:45 *4095:33 0.000135028 -72 *2791:45 *4136:8 0.000593279 -73 *2791:45 *5638:195 0.000314632 -74 *2791:45 *5663:222 0.000132176 -75 *2791:45 *5683:103 1.48555e-05 -76 *2791:45 *5774:146 4.4931e-05 -77 *2791:66 *27776:A2 0.00123244 -78 *2791:66 *3153:284 0 -79 *2791:66 *3153:300 0.000356849 -80 *2791:66 *3170:232 0.000276034 -81 *2791:66 *3580:8 0.00010326 -82 *2791:66 *3580:87 0.000778766 -83 *2791:66 *3757:15 0.000132869 -84 *2791:66 *3785:44 0.00114823 -85 *2791:66 *3837:27 0.000504035 -86 *2791:66 *3850:38 5.31904e-05 -87 *2791:66 *3916:20 8.98003e-05 -88 *2791:66 *4136:16 0.00010283 -89 *2791:66 *5589:96 0.000137561 -90 *2791:66 *5631:58 0 -91 *2791:66 *5633:69 6.72288e-05 -92 *2791:66 *5633:72 4.65519e-05 -93 *2791:66 *5635:147 0.000315192 -94 *2791:66 *5795:206 6.82498e-05 -95 *2791:71 *27427:B1 0.00049904 -96 *2791:71 *3580:8 0.000106954 -97 *2791:71 *3969:16 0.000718549 -98 *2791:71 *5635:147 0.000118315 -99 *2791:73 *24987:A1 0.000605418 -100 *2791:73 *25056:A1 0.000993412 -101 *2791:73 *28615:D 0.000261934 -102 *2791:73 *28655:D 4.21517e-05 -103 *2791:73 *28689:D 0.000465734 -104 *2791:73 *2866:241 0.000104041 -105 *2791:73 *2871:231 0.00196899 -106 *2791:73 *2885:138 0.000383501 -107 *2791:73 *2892:161 0.000143652 -108 *2791:73 *3580:8 0.000857038 -109 *2791:73 *3917:16 0.00024792 -110 *2791:73 *3917:22 0.00153223 -111 *2791:73 *3969:16 0.000916505 -112 *2791:73 *3969:20 0.00189588 -113 *2791:73 *5535:54 4.21584e-05 -114 *2791:73 *5633:60 0.00209033 -115 *2791:73 *5633:63 0.000104182 -116 *2791:73 *5635:147 0.000128228 -117 *2791:87 *2891:147 0.000263654 -118 *2791:87 *3852:24 9.91086e-05 -119 *2791:87 *5632:44 5.41794e-05 -120 *2791:87 *5667:60 5.59013e-05 -121 *2791:87 *5829:113 0.00087546 -122 *2791:102 *27176:A1 5.52302e-05 -123 *2791:102 *2845:211 0.00052706 -124 *2791:102 *2853:202 5.52634e-05 -125 *2791:102 *2860:209 0.000499374 -126 *2791:102 *2867:193 0.00120851 -127 *2791:102 *5667:60 2.23649e-05 -128 *2791:117 *27811:B1 0.000305706 -129 *2791:117 *28468:D 7.40571e-05 -130 *2791:117 *2871:206 0.000527449 -131 *2791:117 *2894:188 0.000671967 -132 *2791:117 *2894:210 0.000180554 -133 *2791:117 *3627:12 0.000341561 -134 *2791:117 *3665:11 0.000169327 -135 *2791:117 *3946:18 2.22043e-05 -136 *2791:117 *3946:29 0.000254271 -137 *2791:117 *3952:18 0.000628577 -138 *2791:117 *5584:76 0.000975074 -139 *2791:117 *5650:31 0.000100417 -140 *2791:117 *5718:313 0.000453161 -141 *2791:117 *5718:315 0.000267658 -142 *2791:139 *25017:A1 1.90936e-05 -143 *2791:139 *28696:D 2.27496e-05 -144 *2791:139 *2845:392 0.000104046 -145 *2791:139 *3215:13 0.000135927 -146 *2791:139 *3669:20 0.00019645 -147 *2791:139 *3929:13 0.000180741 -148 *2791:139 *4649:20 0.000150344 -149 *2791:139 *5644:158 0.000481027 -150 *2791:139 *5649:55 3.00664e-05 -151 *2791:139 *5650:198 0.000260821 -152 *2791:163 *26923:A0 0.00116167 -153 *2791:163 *27730:B2 6.43196e-05 -154 *2791:163 *27779:C1 2.08409e-05 -155 *2791:163 *29053:A 2.56091e-05 -156 *2791:163 *2888:291 0 -157 *2791:163 *3185:229 0.000338734 -158 *2791:163 *3915:19 0.000190444 -159 *2791:163 *3915:37 2.01997e-05 -160 *2791:163 *3978:33 8.39011e-05 -161 *2791:163 *4030:27 2.04825e-05 -162 *2791:163 *4095:33 0.0010375 -163 *2791:163 *4120:11 9.41642e-05 -164 *2791:163 *5628:202 8.6229e-06 -165 *2791:163 *5829:149 5.87925e-05 -166 *2791:169 *28524:D 0.00054662 -167 *2791:169 *3924:38 0.00017309 -168 *2791:169 *5629:172 0.000171375 -169 *2791:169 *5639:229 4.30301e-05 -170 *2791:169 *5701:126 8.46644e-05 -171 *2791:169 *5701:128 8.40933e-05 -172 *2791:173 *27171:A0 5.49544e-05 -173 *2791:173 *5701:128 4.17433e-05 -174 *2791:173 *5768:79 6.43196e-05 -175 *2791:199 *27748:A2 2.33437e-05 -176 *2791:199 *27750:A2 0.000121128 -177 *2791:199 *28523:D 6.05161e-06 -178 *2791:199 *28729:CLK 0.000200406 -179 *2791:199 *28763:D 0.000122446 -180 *2791:199 *2879:156 0.000242269 -181 *2791:199 *3179:186 8.70249e-05 -182 *2791:199 *3686:50 0.000236151 -183 *2791:199 *3781:16 5.66157e-05 -184 *2791:199 *3907:30 5.83304e-05 -185 *2791:199 *4057:6 0.000132667 -186 *2791:199 *4057:10 1.90936e-05 -187 *2791:199 *4115:28 1.90936e-05 -188 *2791:199 *4119:7 4.12921e-06 -189 *2791:199 *4119:8 0.000761055 -190 *2791:199 *5593:20 0.000358813 -191 *2791:199 *5638:136 2.34983e-05 -192 *2791:199 *5640:176 4.48195e-05 -193 *2791:199 *5748:6 7.00395e-05 -194 *2791:199 *5768:79 9.80677e-06 -195 *2791:209 *27173:A0 0.000185981 -196 *2791:209 *27415:A1 0 -197 *2791:209 *29059:A 0.000708042 -198 *2791:209 *2844:304 6.7848e-05 -199 *2791:209 *2871:354 0 -200 *2791:209 *3153:221 0.000815045 -201 *2791:209 *3753:93 0.000110498 -202 *2791:209 *3758:25 0 -203 *2791:209 *5585:23 0.000958835 -204 *2791:209 *5589:73 0.000495749 -205 *2791:209 *5590:79 0 -206 *2791:209 *5688:320 0.000127359 -207 *2791:209 *5709:182 0.000105471 -208 *2791:209 *6269:12 5.30373e-05 -209 *2791:209 *6280:17 0 -210 *2791:233 *28499:CLK 8.07153e-05 -211 *2791:233 *3601:32 7.47376e-05 -212 *2791:233 *3601:50 6.09057e-05 -213 *2791:233 *3686:36 0.00108204 -214 *2791:233 *3781:16 0.000561383 -215 *2791:233 *3907:27 0.000770835 -216 *2791:233 *3907:30 0.000858345 -217 *2791:233 *4028:8 0.000756124 -218 *2791:233 *5593:36 0 -219 *2791:233 *5640:264 2.04825e-05 -220 *2791:233 *5647:159 0 -221 *2791:233 *5669:265 0.000196262 -222 *2791:233 *5708:9 7.55759e-05 -223 *2791:233 *5748:6 9.90819e-06 -224 *2791:233 *5777:103 0 -225 *2791:246 *25380:B2 0.00127097 -226 *2791:246 *27170:A1 5.33005e-05 -227 *2791:246 *5716:67 7.57946e-05 -228 *2791:246 *5820:46 0.000325258 -229 *2791:246 *5879:32 7.75022e-05 -230 *2791:275 *29975:A 4.1879e-05 -231 *2791:275 *2794:69 0.000145101 -232 *2791:275 *3916:18 0.000130643 -233 *2791:275 *3965:130 0.000387174 -234 *2791:275 *4034:8 0.000234598 -235 *2791:275 *5680:66 0.000774923 -236 *2791:275 *5709:312 3.80117e-05 -237 *2791:275 *5736:24 0 -238 *2791:275 *5870:19 0.000225462 -239 *2791:275 *5926:66 0.00138489 -240 *2791:275 *6278:11 2.93959e-05 -241 *2791:275 *6279:29 6.57032e-05 -242 *24976:A2 *2791:13 4.58194e-05 -243 *25068:B1 *2791:20 0.000202473 -244 *25315:A2 *2791:199 0.000474126 -245 *25364:A2 *2791:199 4.98422e-05 -246 *25725:S *2791:45 0 -247 *29223:A *2791:169 5.33005e-05 -248 *29227:A *2791:173 0.000105471 -249 *29948:A *2791:13 0.000135028 -250 *29952:A *27174:S 0.000175892 -251 *30038:A *2791:102 9.94194e-05 -252 *30267:A *27169:S 5.03787e-05 -253 *30437:A *27175:S 5.20669e-05 -254 *1225:33 *2791:102 1.60961e-05 -255 *1225:100 *2791:209 0 -256 *1256:96 *2791:209 0.00138715 -257 *1256:135 *2791:209 0.00227407 -258 *1260:135 *2791:66 5.00194e-05 -259 *1262:181 *2791:117 0.000437251 -260 *1265:119 *2791:209 0.00107833 -261 *1287:216 *2791:73 7.29646e-05 -262 *1288:229 *2791:87 0.00137948 -263 *1288:229 *2791:102 0.000257619 -264 *1290:148 *2791:117 0.000425866 -265 *1292:65 *2791:209 6.60111e-05 -266 *1293:181 *2791:45 4.35173e-05 -267 *1302:16 *2791:139 1.94945e-05 -268 *1303:10 *2791:13 0.00216982 -269 *1322:12 *2791:139 2.11419e-05 -270 *1358:19 *2791:102 2.9988e-05 -271 *1361:45 *2791:199 1.82011e-05 -272 *1363:127 *2791:87 0.000100831 -273 *1389:10 *2791:20 0.000562301 -274 *1490:24 *2791:102 0.000182395 -275 *1490:26 *2791:102 0.000877221 -276 *1490:26 *2791:117 0.000802474 -277 *1562:19 *2791:209 0.000557487 -278 *1697:32 *2791:45 0.000109339 -279 *1697:32 *2791:66 0.000191554 -280 *1697:50 *2791:163 0.000619758 -281 *1697:50 *2791:199 7.6644e-05 -282 *1826:251 *2791:199 0.000429665 -283 *1835:6 *27169:S 0.000933593 -284 *1862:82 *27169:S 0 -285 *2761:80 *2791:199 0.000286294 -286 *2763:169 *2791:45 0.000281057 -287 *2764:21 *2791:13 0.000190952 -288 *2766:14 *2791:275 0.000127623 -289 *2766:23 *2791:275 0.000104821 -290 *2766:57 *2791:275 0.000230811 -291 *2767:142 *2791:45 2.79405e-05 -292 *2772:63 *2791:163 0.000608439 -293 *2775:156 *6618:DIODE 0.000138705 -294 *2780:272 *27169:S 0 -295 *2786:101 *2791:139 4.39823e-05 -296 *2788:93 *2791:163 2.83129e-05 -297 *2788:158 *2791:163 4.22135e-06 -*RES -1 *27168:Y *2791:13 49.4429 -2 *2791:13 *2791:20 28.9643 -3 *2791:20 *27174:S 16.3 -4 *2791:20 *2791:45 41.0201 -5 *2791:45 *2791:66 49.7182 -6 *2791:66 *2791:71 14.4196 -7 *2791:71 *2791:73 92.5625 -8 *2791:73 *2791:87 47 -9 *2791:87 *2791:102 37.9107 -10 *2791:102 *2791:117 49.9435 -11 *2791:117 *2791:139 33.9534 -12 *2791:139 *27175:S 29.9607 -13 *2791:87 *27176:S 9.3 -14 *2791:45 *2791:163 49.177 -15 *2791:163 *2791:169 14.2857 -16 *2791:169 *2791:173 7.91071 -17 *2791:173 *2791:199 48.3437 -18 *2791:199 *2791:209 28.9666 -19 *2791:209 *27173:S 10.2464 -20 *2791:209 *6618:DIODE 14.675 -21 *2791:173 *2791:233 43.9244 -22 *2791:233 *2791:246 29.3036 -23 *2791:246 *27169:S 39.3714 -24 *2791:233 *27170:S 9.3 -25 *2791:169 *27171:S 9.3 -26 *2791:13 *2791:275 41.0909 -27 *2791:275 *27172:S 9.3 -*END - -*D_NET *2792 0.129932 -*CONN -*I *27185:S I *D sky130_fd_sc_hd__mux2_1 -*I *27183:S I *D sky130_fd_sc_hd__mux2_1 -*I *27181:S I *D sky130_fd_sc_hd__mux2_1 -*I *27184:S I *D sky130_fd_sc_hd__mux2_1 -*I *27182:S I *D sky130_fd_sc_hd__mux2_1 -*I *27179:S I *D sky130_fd_sc_hd__mux2_1 -*I *27180:S I *D sky130_fd_sc_hd__mux2_1 -*I *27178:S I *D sky130_fd_sc_hd__mux2_1 -*I *27177:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *27185:S 0.000106708 -2 *27183:S 0 -3 *27181:S 0.000256393 -4 *27184:S 3.26257e-05 -5 *27182:S 0.000460938 -6 *27179:S 2.46659e-05 -7 *27180:S 0 -8 *27178:S 0.000291438 -9 *27177:Y 0 -10 *2792:181 0.000634755 -11 *2792:176 0.000973533 -12 *2792:145 0.00135657 -13 *2792:129 0.00229535 -14 *2792:128 0.00230241 -15 *2792:114 0.00229556 -16 *2792:112 0.00160647 -17 *2792:108 0.00182249 -18 *2792:106 0.00163512 -19 *2792:104 0.00135145 -20 *2792:102 0.00222724 -21 *2792:96 0.00228889 -22 *2792:95 0.00227268 -23 *2792:85 0.00194315 -24 *2792:78 0.00254899 -25 *2792:65 0.00322544 -26 *2792:62 0.00250602 -27 *2792:30 0.00334808 -28 *2792:26 0.00448374 -29 *2792:13 0.00289926 -30 *2792:4 0.00274082 -31 *27178:S *28735:D 0.000179656 -32 *27178:S *4011:23 0.000886192 -33 *27178:S *4141:21 0.000363585 -34 *27179:S *2834:31 3.39014e-05 -35 *27179:S *3196:42 9.8224e-05 -36 *27181:S *27183:A1 2.59355e-05 -37 *27181:S *3694:28 0.000522089 -38 *27181:S *5870:8 0.000538134 -39 *27181:S *5881:26 2.89016e-05 -40 *27182:S *2864:28 4.58764e-05 -41 *27182:S *3216:46 2.06112e-05 -42 *27182:S *3872:28 0.000363714 -43 *27182:S *5486:20 0.000155848 -44 *27182:S *5687:142 3.04972e-05 -45 *27182:S *6067:17 0.000284564 -46 *27184:S *27184:A1 5.33005e-05 -47 *27184:S *5589:180 1.98839e-05 -48 *2792:13 *27180:A0 0.00127168 -49 *2792:13 *27180:A1 0.000398901 -50 *2792:13 *29283:A 0.000608593 -51 *2792:13 *5903:31 0.000219711 -52 *2792:13 *6275:11 0.00112597 -53 *2792:26 *25380:A1 5.10822e-05 -54 *2792:26 *27180:A0 9.41642e-05 -55 *2792:26 *27180:A1 2.59355e-05 -56 *2792:26 *28737:RESET_B 0.000108447 -57 *2792:26 *3601:10 4.32957e-05 -58 *2792:26 *3998:17 1.08359e-05 -59 *2792:26 *5589:74 0 -60 *2792:26 *5647:159 0.000210382 -61 *2792:26 *5729:188 2.09826e-05 -62 *2792:26 *5777:94 0.000233265 -63 *2792:26 *5820:46 4.50033e-05 -64 *2792:26 *5863:77 8.85532e-05 -65 *2792:30 *25380:B2 0.000159872 -66 *2792:30 *27668:C 2.34204e-05 -67 *2792:30 *3920:20 0 -68 *2792:30 *4011:23 0.000738238 -69 *2792:30 *4141:21 0.000115887 -70 *2792:62 *26914:A1 0.000604166 -71 *2792:62 *27177:A 2.59355e-05 -72 *2792:62 *3608:14 0.000121614 -73 *2792:62 *3900:99 9.69041e-05 -74 *2792:62 *3926:29 0.000423674 -75 *2792:62 *4138:18 2.06112e-05 -76 *2792:62 *5590:191 0.000336203 -77 *2792:62 *5676:26 1.90936e-05 -78 *2792:62 *5800:19 2.66789e-06 -79 *2792:62 *5829:68 8.23597e-06 -80 *2792:62 *5905:16 0.000119907 -81 *2792:62 *5911:28 0.000866527 -82 *2792:62 *5911:43 1.5424e-05 -83 *2792:62 *6275:11 0.000437762 -84 *2792:65 *29602:A 0.000268403 -85 *2792:65 *5669:312 0.000867483 -86 *2792:65 *5680:77 9.41642e-05 -87 *2792:65 *5820:85 0.00149351 -88 *2792:65 *5820:93 5.33005e-05 -89 *2792:78 *25051:B2 0.000168174 -90 *2792:78 *27771:B1 7.06957e-05 -91 *2792:78 *27833:B1 6.12696e-05 -92 *2792:78 *3367:18 6.87561e-05 -93 *2792:78 *3694:32 0.000960102 -94 *2792:78 *3811:24 0.000261114 -95 *2792:78 *4019:14 0 -96 *2792:78 *4086:16 0.00126192 -97 *2792:78 *5586:74 0.000392026 -98 *2792:78 *5676:87 0.000775615 -99 *2792:78 *5680:89 5.84075e-05 -100 *2792:78 *5862:44 0 -101 *2792:85 *28527:CLK 0.000422038 -102 *2792:85 *3165:85 2.71744e-05 -103 *2792:85 *3614:19 0.000477048 -104 *2792:85 *3902:24 0.000108514 -105 *2792:85 *3902:32 0.000640196 -106 *2792:85 *5607:115 0.000100474 -107 *2792:85 *5676:87 0.000280103 -108 *2792:95 *2872:355 0.00101964 -109 *2792:95 *3164:295 0 -110 *2792:95 *3902:32 0.00142892 -111 *2792:95 *4149:57 1.84099e-05 -112 *2792:95 *5676:87 0.0001326 -113 *2792:95 *5702:147 5.13086e-05 -114 *2792:96 *24982:B1 0.000148189 -115 *2792:96 *27436:B2 4.15526e-05 -116 *2792:96 *28576:CLK 0.000136875 -117 *2792:96 *28703:CLK 0.000153047 -118 *2792:96 *2844:399 0.000367298 -119 *2792:96 *2866:296 0.000211825 -120 *2792:96 *2872:336 0.000561371 -121 *2792:96 *2872:355 0.000753089 -122 *2792:96 *2892:242 0.000597721 -123 *2792:96 *3022:11 3.51235e-05 -124 *2792:96 *3721:14 0.000912271 -125 *2792:96 *3774:17 0.000274238 -126 *2792:96 *5676:95 0.000866373 -127 *2792:96 *5676:110 0.000317602 -128 *2792:96 *5767:178 9.23883e-05 -129 *2792:102 *27465:A1 1.90936e-05 -130 *2792:102 *27466:C1 0 -131 *2792:102 *28521:D 4.76575e-05 -132 *2792:102 *2866:296 0 -133 *2792:102 *3022:11 0.0012961 -134 *2792:102 *4112:21 0.00170149 -135 *2792:102 *5651:311 7.65277e-05 -136 *2792:104 *27458:A2 1.08524e-05 -137 *2792:104 *27466:B2 0.000923118 -138 *2792:104 *27466:C1 0.000144722 -139 *2792:104 *2844:201 0.00129949 -140 *2792:104 *2872:187 1.34261e-05 -141 *2792:104 *3864:86 7.30519e-05 -142 *2792:104 *3878:43 0.000169676 -143 *2792:104 *3878:49 0.00250268 -144 *2792:104 *4112:21 7.64351e-05 -145 *2792:104 *5654:52 0.000256137 -146 *2792:108 *28489:CLK 0.000243627 -147 *2792:108 *2885:91 1.64618e-05 -148 *2792:108 *2888:177 0.00428795 -149 *2792:108 *3629:18 0.000392997 -150 *2792:108 *3864:63 0.000137276 -151 *2792:108 *3864:86 0.000367394 -152 *2792:108 *3878:43 0.000735639 -153 *2792:108 *5654:29 0.00200169 -154 *2792:108 *5654:52 0.000218002 -155 *2792:108 *5756:60 7.40571e-05 -156 *2792:112 *2888:177 0.000424714 -157 *2792:112 *3878:43 0.000220687 -158 *2792:114 *27650:B 0.000835383 -159 *2792:114 *27803:B1 0.00150392 -160 *2792:114 *2888:170 0.000368333 -161 *2792:114 *2888:177 1.02033e-05 -162 *2792:114 *3194:24 0.000155731 -163 *2792:114 *3202:9 0.000173097 -164 *2792:114 *3735:46 0.000904257 -165 *2792:114 *3878:43 0.0044404 -166 *2792:128 *27640:C 9.11102e-06 -167 *2792:128 *27652:D 2.06112e-05 -168 *2792:128 *3147:30 4.29471e-05 -169 *2792:128 *3851:54 4.11173e-05 -170 *2792:128 *3943:20 7.69776e-06 -171 *2792:128 *5631:145 0.000935961 -172 *2792:128 *5662:15 0.000189368 -173 *2792:128 *5981:38 6.83915e-05 -174 *2792:129 *27606:C 1.74352e-05 -175 *2792:129 *27644:A 2.59355e-05 -176 *2792:129 *30821:A 9.31209e-05 -177 *2792:129 *2834:31 0.000152945 -178 *2792:129 *2892:16 0.000175809 -179 *2792:129 *3204:15 0.00122303 -180 *2792:129 *5631:145 0.000541988 -181 *2792:129 *5635:15 0.00216398 -182 *2792:129 *5873:114 0.000147699 -183 *2792:129 *5873:120 0.00345312 -184 *2792:145 *28668:CLK 8.34234e-05 -185 *2792:145 *2834:31 0.000217089 -186 *2792:145 *3173:17 8.77324e-05 -187 *2792:145 *3196:42 0.000272459 -188 *2792:145 *3572:34 1.94945e-05 -189 *2792:145 *3820:69 1.94945e-05 -190 *2792:145 *3873:67 3.64112e-05 -191 *2792:145 *3886:26 9.54798e-06 -192 *2792:145 *5635:15 0.000699207 -193 *2792:145 *5694:119 1.84044e-05 -194 *2792:176 *27203:A1 3.17148e-05 -195 *2792:176 *3694:32 0.000348729 -196 *2792:176 *4019:14 0 -197 *2792:181 *27183:A1 6.57032e-05 -198 *2792:181 *27203:A1 0.000297659 -199 *2792:181 *28742:RESET_B 0.000505046 -200 *2792:181 *3582:55 0.000146102 -201 *2792:181 *3694:28 3.61589e-06 -202 *2792:181 *3694:32 0.000140831 -203 *2792:181 *4019:14 0 -204 *2792:181 *4827:14 9.41642e-05 -205 *2792:181 *5776:38 3.36969e-05 -206 *2792:181 *5881:26 1.5424e-05 -207 *25051:B1 *2792:78 1.65046e-05 -208 *25070:B *2792:96 7.57673e-05 -209 *25070:C *2792:96 0.000713662 -210 *25380:A2 *2792:26 0.000942465 -211 *25380:B1 *2792:30 4.72896e-05 -212 *26920:S *2792:30 0.00082323 -213 *26946:A *2792:62 0.000135028 -214 *29286:A *2792:26 2.24079e-05 -215 *29922:A *2792:65 0.000264756 -216 *29989:A *2792:62 0 -217 *30443:A *27184:S 9.41642e-05 -218 *1245:68 *2792:145 6.14836e-06 -219 *1256:173 *2792:26 0.00047174 -220 *1265:161 *2792:62 1.14338e-05 -221 *1292:133 *2792:13 7.71593e-05 -222 *1292:133 *2792:26 0.000683537 -223 *1292:133 *2792:62 2.24079e-05 -224 *1292:153 *2792:62 9.90367e-05 -225 *1328:98 *27182:S 0.000757363 -226 *1364:19 *2792:95 0.000615229 -227 *1365:16 *2792:95 0.000238424 -228 *1372:12 *2792:78 2.22944e-05 -229 *1372:12 *2792:85 0.00228092 -230 *1550:34 *2792:145 0 -231 *1862:10 *2792:30 0.00136183 -232 *1862:79 *2792:30 0.000896304 -233 *2760:135 *2792:95 2.31957e-05 -234 *2764:151 *2792:102 3.63298e-05 -235 *2764:152 *2792:102 0.000204499 -236 *2764:152 *2792:104 0.00243503 -237 *2774:162 *2792:102 0.000499551 -238 *2777:58 *2792:145 0.000170769 -*RES -1 *27177:Y *2792:4 9.3 -2 *2792:4 *2792:13 31.9286 -3 *2792:13 *2792:26 26.4703 -4 *2792:26 *2792:30 49.5268 -5 *2792:30 *27178:S 25.5411 -6 *2792:13 *27180:S 9.3 -7 *2792:4 *2792:62 41.9914 -8 *2792:62 *2792:65 30.5 -9 *2792:65 *2792:78 45.5268 -10 *2792:78 *2792:85 37.3504 -11 *2792:85 *2792:95 29.2283 -12 *2792:95 *2792:96 49.9107 -13 *2792:96 *2792:102 30.3393 -14 *2792:102 *2792:104 51.2768 -15 *2792:104 *2792:106 0.535714 -16 *2792:106 *2792:108 57.8036 -17 *2792:108 *2792:112 6.04464 -18 *2792:112 *2792:114 57.2768 -19 *2792:114 *2792:128 30.8893 -20 *2792:128 *2792:129 47.7679 -21 *2792:129 *2792:145 23.2197 -22 *2792:145 *27179:S 10.2464 -23 *2792:145 *27182:S 32.1929 -24 *2792:108 *27184:S 14.7464 -25 *2792:65 *2792:176 9.53571 -26 *2792:176 *2792:181 15.6964 -27 *2792:181 *27181:S 26.4786 -28 *2792:181 *27183:S 9.3 -29 *2792:176 *27185:S 14.7464 -*END - -*D_NET *2793 0.00910067 -*CONN -*I *29229:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27186:Y O *D sky130_fd_sc_hd__nand2_4 -*CAP -1 *29229:A 0.000470772 -2 *27186:Y 0.00186331 -3 *2793:14 0.00233408 -4 *29229:A *26917:S 0.000240655 -5 *29229:A *27150:A1 0.000221641 -6 *29229:A *27192:S 0.000902904 -7 *29229:A *28758:RESET_B 0.000131967 -8 *29229:A *3965:130 0.000525394 -9 *29229:A *4137:14 0.000175479 -10 *29229:A *5775:78 8.71955e-05 -11 *2793:14 *27192:A1 0.000180239 -12 *2793:14 *28709:CLK 2.44318e-05 -13 *2793:14 *28709:D 0.000236944 -14 *2793:14 *28711:D 0.000200844 -15 *2793:14 *3837:20 0.00014101 -16 *2793:14 *3900:99 0.00014101 -17 *2793:14 *3965:5 0 -18 *2793:14 *3965:10 0.000342302 -19 *2793:14 *3965:130 5.33005e-05 -20 *2793:14 *3967:7 9.41642e-05 -21 *2793:14 *5683:57 0.000650915 -22 *2793:14 *5775:32 3.1521e-05 -23 *1225:203 *2793:14 3.23308e-05 -24 *1286:117 *2793:14 1.82549e-05 -*RES -1 *27186:Y *2793:14 46.2821 -2 *2793:14 *29229:A 34.3536 -*END - -*D_NET *2794 0.113103 -*CONN -*I *27196:S I *D sky130_fd_sc_hd__mux2_1 -*I *27199:S I *D sky130_fd_sc_hd__mux2_1 -*I *27198:S I *D sky130_fd_sc_hd__mux2_1 -*I *27202:S I *D sky130_fd_sc_hd__mux2_1 -*I *27197:S I *D sky130_fd_sc_hd__mux2_1 -*I *27200:S I *D sky130_fd_sc_hd__mux2_1 -*I *27201:S I *D sky130_fd_sc_hd__mux2_1 -*I *27203:S I *D sky130_fd_sc_hd__mux2_1 -*I *27195:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *27196:S 0.000761321 -2 *27199:S 0 -3 *27198:S 5.32247e-05 -4 *27202:S 0.000534836 -5 *27197:S 0 -6 *27200:S 0.00102763 -7 *27201:S 1.5966e-05 -8 *27203:S 0.00037137 -9 *27195:Y 1.03356e-05 -10 *2794:202 0.00113682 -11 *2794:183 0.0015456 -12 *2794:177 0.00241994 -13 *2794:172 0.00425196 -14 *2794:163 0.00387784 -15 *2794:141 0.00312668 -16 *2794:122 0.0043799 -17 *2794:121 0.00228827 -18 *2794:107 0.00166797 -19 *2794:102 0.000824503 -20 *2794:101 0.0015816 -21 *2794:95 0.00253028 -22 *2794:89 0.00218542 -23 *2794:86 0.00303694 -24 *2794:69 0.00521733 -25 *2794:37 0.00447778 -26 *2794:5 0.00193282 -27 *27196:S *24837:A 0.00014285 -28 *27196:S *28754:CLK 0.000171375 -29 *27196:S *3602:8 0.00014784 -30 *27196:S *3602:89 0.000399841 -31 *27196:S *3822:110 0 -32 *27196:S *4491:14 0.00011001 -33 *27196:S *5462:21 0.00129738 -34 *27196:S *5716:244 0.000400867 -35 *27196:S *5736:10 0 -36 *27196:S *5748:6 8.91726e-05 -37 *27196:S *5772:50 0.000227638 -38 *27196:S *5772:52 5.64115e-05 -39 *27196:S *5792:15 0.000487467 -40 *27198:S *27198:A1 8.05752e-05 -41 *27198:S *27703:B2 0.000140933 -42 *27200:S *2894:319 0.000590398 -43 *27200:S *4080:41 0.000500376 -44 *27200:S *5587:87 0 -45 *27200:S *5795:70 0.000500376 -46 *27201:S *29421:A 1.98839e-05 -47 *27201:S *5191:15 5.52302e-05 -48 *27202:S *26964:B 4.58194e-05 -49 *27202:S *5645:72 0.00156516 -50 *27202:S *5663:35 0.000111243 -51 *27202:S *5926:105 9.41642e-05 -52 *27203:S *27185:A0 2.27189e-05 -53 *27203:S *27185:A1 0.000315158 -54 *27203:S *27203:A1 0.000392026 -55 *27203:S *3538:76 0.000443183 -56 *27203:S *4019:14 5.26184e-05 -57 *27203:S *5703:21 0.000148911 -58 *2794:5 *24975:A1 5.52238e-05 -59 *2794:5 *5862:44 3.18676e-05 -60 *2794:37 *24975:A1 9.41642e-05 -61 *2794:37 *24978:A1 4.63685e-05 -62 *2794:37 *29421:A 0.000378482 -63 *2794:37 *30258:A 0 -64 *2794:37 *5191:15 0.000133737 -65 *2794:37 *5703:13 0.000418176 -66 *2794:37 *5862:44 5.51819e-05 -67 *2794:37 *5881:13 0 -68 *2794:37 *5892:6 0 -69 *2794:37 *5925:26 0.0013132 -70 *2794:69 *28536:D 0.000158902 -71 *2794:69 *29421:A 0.000169359 -72 *2794:69 *29957:A 0.00030824 -73 *2794:69 *29966:A 0.000225932 -74 *2794:69 *4137:14 0.000137983 -75 *2794:69 *5364:13 0.000139907 -76 *2794:69 *5775:109 2.04825e-05 -77 *2794:69 *5788:18 0.000472727 -78 *2794:69 *5925:17 1.90936e-05 -79 *2794:69 *6261:17 0.000902021 -80 *2794:69 *6270:11 5.75573e-06 -81 *2794:69 *6279:29 0.000954321 -82 *2794:86 *28533:D 0.000795381 -83 *2794:86 *28556:D 2.06112e-05 -84 *2794:86 *29157:A 4.18834e-05 -85 *2794:86 *3835:26 0.000485996 -86 *2794:86 *4854:17 0.00105855 -87 *2794:86 *5702:202 0.000343953 -88 *2794:86 *5772:83 0.00144724 -89 *2794:86 *6259:38 5.19842e-06 -90 *2794:86 *6279:29 2.28598e-05 -91 *2794:95 *28737:D 0.000117163 -92 *2794:95 *29458:A 0 -93 *2794:95 *3603:95 0.000548248 -94 *2794:95 *3686:27 0 -95 *2794:95 *3846:8 9.39787e-05 -96 *2794:95 *4029:16 0.000177934 -97 *2794:95 *5772:63 0.000173097 -98 *2794:95 *5772:70 0.000143629 -99 *2794:95 *5795:44 0.000140684 -100 *2794:95 *5795:50 0.000595844 -101 *2794:95 *5824:159 0 -102 *2794:95 *5863:77 0.000349055 -103 *2794:95 *5870:21 0.000145337 -104 *2794:95 *5910:17 5.52238e-05 -105 *2794:101 *28524:RESET_B 0.00111577 -106 *2794:101 *3924:38 0.000665279 -107 *2794:101 *3924:53 0.000316555 -108 *2794:101 *4029:24 0.00151762 -109 *2794:101 *5590:134 0.000173253 -110 *2794:101 *5777:103 0.000104127 -111 *2794:101 *5777:111 0.000125717 -112 *2794:101 *5824:153 7.97588e-05 -113 *2794:102 *3846:8 2.02907e-05 -114 *2794:102 *4029:24 0.000334689 -115 *2794:102 *5824:153 2.06178e-05 -116 *2794:107 *2894:319 0.000113497 -117 *2794:107 *3164:333 1.90936e-05 -118 *2794:107 *3846:8 4.05107e-05 -119 *2794:107 *4029:24 0.000737954 -120 *2794:107 *5646:232 6.06387e-05 -121 *2794:107 *5654:108 0.000285094 -122 *2794:107 *5701:169 0.000440347 -123 *2794:122 *27339:B2 0.000213277 -124 *2794:122 *27758:B2 0.00015693 -125 *2794:122 *28699:CLK 0.000208114 -126 *2794:122 *2883:231 7.95998e-05 -127 *2794:122 *2883:250 0.000137442 -128 *2794:122 *2885:175 0.000175214 -129 *2794:122 *2891:270 4.43299e-05 -130 *2794:122 *3233:16 0.00168679 -131 *2794:122 *3241:10 0.000653696 -132 *2794:122 *3241:14 0.000149085 -133 *2794:122 *3550:11 0.00168677 -134 *2794:122 *3937:8 0.000242851 -135 *2794:122 *3937:37 0.000414783 -136 *2794:122 *3963:72 3.18023e-05 -137 *2794:122 *3963:87 0.000521301 -138 *2794:122 *3992:66 0.00129042 -139 *2794:122 *4003:20 0.000862746 -140 *2794:122 *4054:17 0.00220723 -141 *2794:122 *4054:26 7.71967e-05 -142 *2794:141 *27351:B1 0.000185051 -143 *2794:141 *28752:CLK 0.000810429 -144 *2794:141 *2882:206 0.000182531 -145 *2794:141 *2913:8 0.000121549 -146 *2794:141 *3233:16 0.0001876 -147 *2794:141 *3664:57 0.000178802 -148 *2794:141 *3821:19 0.00018104 -149 *2794:141 *3886:67 0.00134862 -150 *2794:141 *3963:87 0.00137333 -151 *2794:141 *3963:93 0.000145347 -152 *2794:141 *4054:26 0.000172392 -153 *2794:141 *5609:165 0.000175892 -154 *2794:141 *5657:241 0.00023743 -155 *2794:141 *5892:74 9.06203e-05 -156 *2794:163 *2874:212 0.00154194 -157 *2794:163 *2888:212 0.000169686 -158 *2794:163 *3250:23 0.000218409 -159 *2794:163 *3703:35 0.000487651 -160 *2794:163 *3912:58 0.00013158 -161 *2794:163 *5609:165 5.33005e-05 -162 *2794:163 *5657:232 1.76039e-05 -163 *2794:172 *24986:A2 0.000712389 -164 *2794:172 *28563:CLK 4.82947e-05 -165 *2794:172 *2874:212 8.90461e-05 -166 *2794:172 *3215:196 0.00125341 -167 *2794:172 *3703:10 7.37323e-05 -168 *2794:172 *5589:166 0.000549557 -169 *2794:172 *5650:327 5.21937e-05 -170 *2794:172 *5658:69 2.59355e-05 -171 *2794:177 *3917:27 0.00195436 -172 *2794:183 *27473:B1 0.000138786 -173 *2794:183 *2864:156 7.86041e-05 -174 *2794:183 *3031:10 2.04866e-05 -175 *2794:183 *3386:22 0.000414508 -176 *2794:183 *3956:17 0.00155652 -177 *2794:183 *5647:41 0.000425397 -178 *2794:202 *28986:A 0 -179 *2794:202 *4491:14 1.02504e-05 -180 *2794:202 *5736:10 0 -181 *2794:202 *5772:52 7.23602e-05 -182 *2794:202 *5772:63 0.000469483 -183 *2794:202 *5824:159 0 -184 *24978:A2 *2794:37 0.000224949 -185 *24987:B1 *2794:172 0.000154997 -186 *25186:A2 *2794:107 0.000170769 -187 *27074:S *2794:95 0 -188 *29094:A *2794:37 0.000293388 -189 *29114:A *2794:86 2.14757e-05 -190 *29114:A *2794:89 0.0010286 -191 *29116:A *2794:95 0.000127439 -192 *29197:A *2794:102 0.000124964 -193 *29963:A *2794:37 0 -194 *30476:A *2794:141 0.000177815 -195 *30476:A *2794:163 4.08637e-05 -196 *778:15 *2794:86 4.15526e-05 -197 *1246:151 *27200:S 0.000166546 -198 *1266:64 *2794:141 0 -199 *1270:33 *2794:141 0.00135049 -200 *1281:125 *2794:163 0.000166104 -201 *1286:101 *2794:101 0.000436225 -202 *1288:241 *2794:183 0.000175512 -203 *1288:264 *2794:183 0.000338928 -204 *1292:215 *2794:177 0.000505757 -205 *1304:28 *27202:S 0.00156188 -206 *1308:19 *2794:183 0.000262136 -207 *1358:19 *2794:183 0.000534082 -208 *1367:46 *2794:183 0.000535833 -209 *1863:14 *2794:95 0.000101916 -210 *2759:111 *2794:107 0.000233879 -211 *2759:111 *2794:122 0.000205867 -212 *2761:67 *27200:S 2.01997e-05 -213 *2761:67 *2794:107 1.48639e-05 -214 *2761:80 *27200:S 0.000134557 -215 *2763:207 *2794:95 7.6644e-05 -216 *2769:209 *2794:69 0 -217 *2774:31 *2794:101 9.41642e-05 -218 *2791:275 *2794:69 0.000145101 -*RES -1 *27195:Y *2794:5 9.83571 -2 *2794:5 *27203:S 28.6214 -3 *2794:5 *2794:37 43.625 -4 *2794:37 *27201:S 9.83571 -5 *2794:37 *2794:69 48.2643 -6 *2794:69 *2794:86 42.191 -7 *2794:86 *2794:89 14.4821 -8 *2794:89 *2794:95 38.3393 -9 *2794:95 *2794:101 49.8393 -10 *2794:101 *2794:102 4.375 -11 *2794:102 *2794:107 18.6655 -12 *2794:107 *27200:S 26.0802 -13 *2794:107 *2794:121 3.41 -14 *2794:121 *2794:122 77.8393 -15 *2794:122 *2794:141 43.4623 -16 *2794:141 *27197:S 9.3 -17 *2794:141 *2794:163 34.0714 -18 *2794:163 *2794:172 47.25 -19 *2794:172 *2794:177 35.4107 -20 *2794:177 *2794:183 33.8122 -21 *2794:183 *27202:S 35.0589 -22 *2794:102 *27198:S 15.5857 -23 *2794:89 *2794:202 8.32143 -24 *2794:202 *27199:S 13.8 -25 *2794:202 *27196:S 48.3536 -*END - -*D_NET *2795 0.00104325 -*CONN -*I *30258:A I *D sky130_fd_sc_hd__buf_6 -*I *27204:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *30258:A 0.000240253 -2 *27204:Y 0.000240253 -3 *30258:A *5863:11 0.000186765 -4 *30258:A *5892:6 0.000313527 -5 *29963:A *30258:A 6.24558e-05 -6 *2794:37 *30258:A 0 -*RES -1 *27204:Y *30258:A 33.85 -*END - -*D_NET *2796 0.00209029 -*CONN -*I *27214:C1 I *D sky130_fd_sc_hd__o311a_1 -*I *27213:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *27214:C1 0.000453619 -2 *27213:X 0.000453619 -3 *27214:C1 *2827:16 8.04253e-05 -4 *27214:C1 *3572:55 0.000123728 -5 *27214:C1 *3575:109 0 -6 *27214:C1 *5720:148 5.60681e-05 -7 *27214:C1 *6311:19 0.000307343 -8 *27214:C1 *6350:47 5.17614e-05 -9 *27214:C1 *6384:47 0.000307343 -10 *27214:C1 *6386:105 0.000212588 -11 *27214:C1 *6386:131 1.02504e-05 -12 *2787:17 *27214:C1 3.35492e-05 -*RES -1 *27213:X *27214:C1 38.35 -*END - -*D_NET *2797 0.0165384 -*CONN -*I *27220:C I *D sky130_fd_sc_hd__or3_1 -*I *27275:B I *D sky130_fd_sc_hd__nor2_4 -*I *27215:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *27220:C 0 -2 *27275:B 0.000197014 -3 *27215:Y 0.0015333 -4 *2797:38 0.00102634 -5 *2797:19 0.00275665 -6 *27275:B *2798:25 5.49995e-05 -7 *27275:B *5513:53 0.000106556 -8 *27275:B *5609:45 0.000308001 -9 *27275:B *5718:14 2.48492e-05 -10 *27275:B *5858:23 5.96516e-05 -11 *27275:B *6387:22 0.000197901 -12 *2797:19 *27215:B 9.31209e-05 -13 *2797:19 *27227:A3 1.90936e-05 -14 *2797:19 *27228:B 6.19639e-06 -15 *2797:19 *27230:A 0.000638014 -16 *2797:19 *27230:B 0.000102747 -17 *2797:19 *2802:32 2.86751e-05 -18 *2797:19 *2803:20 0.000454843 -19 *2797:19 *2808:36 4.00349e-05 -20 *2797:19 *5129:18 8.44287e-05 -21 *2797:19 *5352:22 0.000588365 -22 *2797:19 *5751:83 0.000293674 -23 *2797:19 *6314:75 6.89095e-05 -24 *2797:19 *6381:101 4.16726e-05 -25 *2797:38 *26840:A1 1.67002e-05 -26 *2797:38 *27220:A 5.33005e-05 -27 *2797:38 *28189:CLK 0.000247622 -28 *2797:38 *28767:RESET_B 9.41642e-05 -29 *2797:38 *29738:A 8.34352e-05 -30 *2797:38 *3575:113 5.26224e-05 -31 *2797:38 *5513:53 0.000254453 -32 *2797:38 *5515:32 0.000534033 -33 *2797:38 *5535:71 0.000125453 -34 *2797:38 *5718:14 2.03044e-05 -35 *2797:38 *5718:56 4.5133e-05 -36 *2797:38 *5746:152 8.60631e-05 -37 *2797:38 *5746:170 5.23471e-05 -38 *2797:38 *5746:176 6.2187e-05 -39 *2797:38 *6100:17 0.000339346 -40 *25018:C1 *2797:19 3.27359e-05 -41 *27220:B *2797:38 7.50757e-05 -42 *27272:C *2797:19 0.00153302 -43 *28187:D *2797:38 0.000867056 -44 *29739:A *2797:38 0.000649909 -45 *29796:A *2797:38 8.61512e-05 -46 *1103:24 *2797:19 0.000173364 -47 *1117:13 *2797:38 3.99023e-05 -48 *1177:11 *2797:19 0.000643577 -49 *1290:89 *2797:19 0.00162398 -50 *1624:16 *2797:19 2.14302e-05 -*RES -1 *27215:Y *2797:19 37.6246 -2 *2797:19 *27275:B 19.5232 -3 *2797:19 *2797:38 41.1696 -4 *2797:38 *27220:C 9.3 -*END - -*D_NET *2798 0.0342578 -*CONN -*I *27967:A2 I *D sky130_fd_sc_hd__o21ba_1 -*I *27893:A2 I *D sky130_fd_sc_hd__o21ba_1 -*I *27918:A2 I *D sky130_fd_sc_hd__o21ba_1 -*I *27218:B1 I *D sky130_fd_sc_hd__o31a_1 -*I *27868:A2 I *D sky130_fd_sc_hd__o21ba_1 -*I *27225:C1 I *D sky130_fd_sc_hd__o221a_1 -*I *27216:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *27967:A2 2.23688e-05 -2 *27893:A2 2.37855e-05 -3 *27918:A2 0.00123226 -4 *27218:B1 0.00018836 -5 *27868:A2 0 -6 *27225:C1 0.000158902 -7 *27216:Y 3.50848e-05 -8 *2798:70 0.00154162 -9 *2798:68 0.00201468 -10 *2798:64 0.00382864 -11 *2798:60 0.003788 -12 *2798:34 0.00246317 -13 *2798:25 0.000995534 -14 *2798:5 0.000580805 -15 *27218:B1 *2800:16 1.0357e-05 -16 *27218:B1 *3572:55 0.000136388 -17 *27218:B1 *5720:137 1.73227e-05 -18 *27218:B1 *6350:47 0.000137983 -19 *27218:B1 *6386:94 5.35475e-05 -20 *27218:B1 *6386:105 9.39059e-05 -21 *27225:C1 *27225:B1 5.76608e-05 -22 *27225:C1 *27271:S 5.25862e-06 -23 *27225:C1 *5720:97 2.423e-06 -24 *27225:C1 *5969:10 3.18676e-05 -25 *27918:A2 *25355:A 1.83053e-05 -26 *27918:A2 *27893:B1_N 4.50543e-05 -27 *27918:A2 *27918:A1 5.49544e-05 -28 *27918:A2 *27918:B1_N 0.000201394 -29 *27918:A2 *2839:85 2.54304e-06 -30 *27918:A2 *3436:13 1.96616e-05 -31 *27918:A2 *3460:21 0.000137538 -32 *27918:A2 *5158:11 0.000279633 -33 *27918:A2 *6055:23 0.000101545 -34 *27967:A2 *27967:B1_N 3.99614e-06 -35 *2798:5 *5609:45 4.84073e-05 -36 *2798:5 *6387:27 4.84073e-05 -37 *2798:25 *2840:12 0.00037161 -38 *2798:25 *5538:21 9.60939e-05 -39 *2798:25 *5609:45 0.000180314 -40 *2798:25 *5675:223 6.91755e-05 -41 *2798:25 *5718:11 1.21554e-05 -42 *2798:25 *6345:45 9.60939e-05 -43 *2798:25 *6387:22 0.000314707 -44 *2798:25 *6387:27 3.76935e-05 -45 *2798:34 *28188:RESET_B 0.000356646 -46 *2798:34 *2840:12 0.000146806 -47 *2798:34 *5538:21 4.38128e-05 -48 *2798:34 *5720:87 0.000662325 -49 *2798:34 *5720:129 0.000211353 -50 *2798:34 *5746:158 2.11419e-05 -51 *2798:34 *6320:71 0 -52 *2798:34 *6345:45 5.52238e-05 -53 *2798:34 *6386:94 1.11775e-05 -54 *2798:34 *6386:105 3.45423e-05 -55 *2798:60 *27262:B 0.00166553 -56 *2798:60 *28441:CLK 8.46829e-05 -57 *2798:60 *3572:55 0.000442809 -58 *2798:60 *3638:60 9.87983e-06 -59 *2798:60 *3638:64 0.00102967 -60 *2798:60 *3847:25 1.90936e-05 -61 *2798:60 *3872:17 9.41642e-05 -62 *2798:60 *5005:14 1.69961e-05 -63 *2798:60 *5536:11 0.00138597 -64 *2798:60 *5678:247 0.00028317 -65 *2798:60 *5713:203 0.000228896 -66 *2798:60 *6386:105 1.66787e-05 -67 *2798:64 *29109:A 0.000121443 -68 *2798:64 *2839:71 0.000348237 -69 *2798:64 *2839:73 0.000101818 -70 *2798:64 *3972:13 6.32753e-05 -71 *2798:64 *5005:14 5.87854e-05 -72 *2798:68 *25949:A0 0.000434412 -73 *2798:68 *27967:A1 2.28499e-05 -74 *2798:68 *28100:D 0.000219711 -75 *2798:68 *29239:A 0.000182694 -76 *2798:68 *29377:A 5.33005e-05 -77 *2798:68 *29581:A 0.000219711 -78 *2798:68 *30067:A 5.33005e-05 -79 *2798:68 *2839:73 0.000179672 -80 *2798:68 *3986:26 0.000312438 -81 *2798:68 *4310:10 0.000505757 -82 *2798:68 *5010:18 0.00027856 -83 *2798:68 *5354:17 0.000989607 -84 *2798:68 *6337:17 1.98839e-05 -85 *2798:70 *27893:A1 5.52238e-05 -86 *2798:70 *2839:73 7.02611e-05 -87 *2798:70 *2839:85 0.000102812 -88 *27214:B1 *2798:34 0.000194629 -89 *27214:B1 *2798:60 3.24055e-05 -90 *27275:B *2798:25 5.49995e-05 -91 *29881:A *2798:60 0.000315165 -92 *1117:13 *27225:C1 3.39599e-05 -93 *1178:18 *27225:C1 0.000180387 -94 *1244:53 *2798:60 0.000870142 -95 *1328:22 *2798:64 0.00114413 -96 *1328:22 *2798:68 0.000312215 -97 *1671:24 *27918:A2 0.000346218 -*RES -1 *27216:Y *2798:5 10.2464 -2 *2798:5 *27225:C1 21.6125 -3 *2798:5 *2798:25 19.0536 -4 *2798:25 *27868:A2 9.3 -5 *2798:25 *2798:34 18.8214 -6 *2798:34 *27218:B1 26.2777 -7 *2798:34 *2798:60 31.4984 -8 *2798:60 *2798:64 27.6607 -9 *2798:64 *2798:68 35.0536 -10 *2798:68 *2798:70 5.05357 -11 *2798:70 *27918:A2 36.2107 -12 *2798:70 *27893:A2 9.72857 -13 *2798:68 *27967:A2 9.72857 -*END - -*D_NET *2799 0.00689519 -*CONN -*I *27270:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27217:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *27270:A1 0.000177844 -2 *27217:Y 0.00110953 -3 *2799:18 0.00128737 -4 *27270:A1 *27270:A2 9.55684e-05 -5 *27270:A1 *28771:CLK 0.000134332 -6 *27270:A1 *5609:45 0.000599293 -7 *27270:A1 *5751:55 2.22618e-05 -8 *2799:18 *27079:A0 5.33005e-05 -9 *2799:18 *27079:A1 0.000731804 -10 *2799:18 *27217:B 5.71472e-05 -11 *2799:18 *27223:A 9.41642e-05 -12 *2799:18 *27223:C 6.04072e-05 -13 *2799:18 *27272:A 5.33005e-05 -14 *2799:18 *2810:15 0.00086552 -15 *2799:18 *5470:10 5.33005e-05 -16 *2799:18 *6314:75 6.11417e-05 -17 *27079:S *2799:18 5.23139e-05 -18 *28770:D *2799:18 0.000476631 -19 *28771:D *27270:A1 7.02611e-05 -20 *29876:A *2799:18 4.51495e-05 -21 *19:25 *2799:18 0.00011157 -22 *1245:142 *2799:18 4.58976e-05 -23 *1254:40 *2799:18 3.37708e-05 -24 *1290:89 *2799:18 0.000603315 -*RES -1 *27217:Y *2799:18 44.9964 -2 *2799:18 *27270:A1 15.175 -*END - -*D_NET *2800 0.0219245 -*CONN -*I *27219:A I *D sky130_fd_sc_hd__inv_2 -*I *27221:B I *D sky130_fd_sc_hd__nand2_1 -*I *27228:D I *D sky130_fd_sc_hd__and4_1 -*I *27227:A3 I *D sky130_fd_sc_hd__a31o_1 -*I *27222:B I *D sky130_fd_sc_hd__or2_1 -*I *27218:X O *D sky130_fd_sc_hd__o31a_1 -*CAP -1 *27219:A 0.000577427 -2 *27221:B 0 -3 *27228:D 0 -4 *27227:A3 0.000374139 -5 *27222:B 6.14818e-05 -6 *27218:X 0.00106105 -7 *2800:80 0.000888934 -8 *2800:56 0.000405584 -9 *2800:55 0.00160787 -10 *2800:18 0.00227861 -11 *2800:16 0.00201326 -12 *27219:A *25063:A1 0.0001827 -13 *27219:A *27221:A 5.46383e-06 -14 *27219:A *2803:20 2.59355e-05 -15 *27219:A *4463:19 9.41642e-05 -16 *27219:A *6380:99 3.97677e-05 -17 *27219:A *6380:112 6.57032e-05 -18 *27222:B *29032:A 3.47641e-06 -19 *27222:B *2806:9 0.00014183 -20 *27227:A3 *27229:A 9.41642e-05 -21 *27227:A3 *27230:B 7.14469e-05 -22 *27227:A3 *2808:5 0.000165988 -23 *27227:A3 *2808:36 3.79922e-05 -24 *27227:A3 *5858:17 5.49489e-05 -25 *27227:A3 *6381:101 6.62924e-05 -26 *2800:16 *27218:A1 4.07023e-05 -27 *2800:16 *28792:CLK 1.82657e-05 -28 *2800:16 *3041:15 5.19805e-05 -29 *2800:16 *5485:127 2.65207e-05 -30 *2800:16 *5485:131 0.00016978 -31 *2800:16 *5713:203 8.4127e-06 -32 *2800:16 *5718:233 4.8126e-05 -33 *2800:16 *5720:137 5.35979e-05 -34 *2800:16 *6320:71 0.000197113 -35 *2800:16 *6350:47 1.74352e-05 -36 *2800:16 *6386:8 0.000216755 -37 *2800:16 *6387:54 7.43578e-06 -38 *2800:18 *28648:RESET_B 1.14483e-05 -39 *2800:18 *29032:A 6.48447e-05 -40 *2800:18 *5485:127 9.81561e-05 -41 *2800:18 *5675:213 9.99101e-05 -42 *2800:18 *5751:107 0 -43 *2800:55 *24973:B2 5.42764e-05 -44 *2800:55 *27223:C 0.000355009 -45 *2800:55 *27226:B2 0.000237606 -46 *2800:55 *2806:9 0.000113722 -47 *2800:55 *2809:24 2.02794e-05 -48 *2800:55 *3851:12 0.000229412 -49 *2800:55 *3851:31 9.71844e-05 -50 *2800:55 *5521:67 0.000486273 -51 *2800:55 *5523:96 0.00067883 -52 *2800:55 *5747:21 0.000269492 -53 *2800:55 *5753:28 0.000304669 -54 *2800:55 *6104:19 0.000129044 -55 *2800:56 *2808:5 5.49544e-05 -56 *2800:80 *27230:B 1.98839e-05 -57 *2800:80 *27230:C 6.50073e-05 -58 *2800:80 *2803:20 5.25941e-05 -59 *2800:80 *2808:5 5.49489e-05 -60 *2800:80 *6380:99 0.000572371 -61 *24974:A2 *27219:A 0.000139453 -62 *25018:A2 *2800:55 7.75186e-05 -63 *25046:A2 *2800:18 0.000140848 -64 *25046:B1 *2800:18 0.00128689 -65 *25063:B1 *2800:55 2.63295e-05 -66 *25521:A1 *2800:16 5.52238e-05 -67 *27213:A2 *2800:16 0.000110928 -68 *27218:B1 *2800:16 1.0357e-05 -69 *30602:A *27222:B 0.000130132 -70 *30602:A *2800:55 0.000572156 -71 *30670:A *2800:55 9.60337e-06 -72 *14:24 *2800:55 0.000274294 -73 *15:18 *27219:A 0.000197747 -74 *15:18 *2800:55 0.000127466 -75 *26:17 *27219:A 7.60915e-05 -76 *244:57 *27219:A 0.000180777 -77 *369:17 *2800:16 8.44271e-06 -78 *981:15 *2800:55 0 -79 *1102:18 *27222:B 1.30694e-05 -80 *1102:18 *2800:55 0.000416494 -81 *1103:24 *2800:80 2.59355e-05 -82 *1177:14 *2800:16 0.000276082 -83 *1254:40 *2800:18 0.000566924 -84 *1254:67 *2800:55 0.000117425 -85 *1264:83 *2800:18 2.41521e-05 -86 *1273:265 *2800:16 0.000425946 -87 *1290:89 *2800:55 0.00040973 -88 *1300:8 *27219:A 0.000321683 -89 *1385:15 *27219:A 0.000639391 -90 *2797:19 *27227:A3 1.90936e-05 -*RES -1 *27218:X *2800:16 41.5411 -2 *2800:16 *2800:18 20.9196 -3 *2800:18 *27222:B 15.8893 -4 *2800:18 *2800:55 47.9732 -5 *2800:55 *2800:56 0.535714 -6 *2800:56 *27227:A3 23.6036 -7 *2800:56 *27228:D 9.3 -8 *2800:55 *2800:80 7.14286 -9 *2800:80 *27221:B 9.3 -10 *2800:80 *27219:A 33.4786 -*END - -*D_NET *2801 0.00201411 -*CONN -*I *27226:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27219:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *27226:A2 0.000460205 -2 *27219:Y 0.000460205 -3 *27226:A2 *25063:A1 5.52302e-05 -4 *27226:A2 *4463:19 1.74352e-05 -5 *27226:A2 *4907:10 0.000135092 -6 *27226:A2 *5448:10 3.69047e-06 -7 *27226:A2 *6381:52 0.000301131 -8 *30674:A *27226:A2 0 -9 *22:18 *27226:A2 0.000529356 -10 *1102:18 *27226:A2 5.17614e-05 -*RES -1 *27219:Y *27226:A2 38.5107 -*END - -*D_NET *2802 0.0179932 -*CONN -*I *27230:A I *D sky130_fd_sc_hd__and3_1 -*I *27231:B1_N I *D sky130_fd_sc_hd__a21boi_1 -*I *27223:A I *D sky130_fd_sc_hd__and3_1 -*I *27220:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *27230:A 0.000437999 -2 *27231:B1_N 0.000643174 -3 *27223:A 0.00040132 -4 *27220:X 0.0014542 -5 *2802:32 0.00141594 -6 *2802:16 0.00219029 -7 *27223:A *28648:CLK 0.000221296 -8 *27223:A *3636:16 1.1594e-05 -9 *27223:A *5609:65 9.67517e-05 -10 *27223:A *6105:17 0.000268053 -11 *27223:A *6382:10 8.13305e-05 -12 *27230:A *5129:18 4.22135e-06 -13 *27230:A *5352:22 0.000327438 -14 *27230:A *6380:99 5.20232e-05 -15 *27231:B1_N *25044:A1 0.000173062 -16 *27231:B1_N *25523:D 5.25862e-06 -17 *27231:B1_N *25524:A 6.24175e-05 -18 *27231:B1_N *27272:D_N 6.19908e-05 -19 *27231:B1_N *6104:19 3.14942e-05 -20 *2802:16 *3573:34 0.000116971 -21 *2802:16 *3636:16 0.000493895 -22 *2802:16 *5746:152 5.19179e-05 -23 *2802:16 *5746:158 0.000977782 -24 *2802:16 *5746:170 5.02506e-05 -25 *2802:16 *5746:176 1.69153e-05 -26 *2802:16 *6314:19 0.000313677 -27 *2802:16 *6385:122 0.000172859 -28 *2802:16 *6386:94 0.000756607 -29 *2802:32 *5352:22 0.000896629 -30 *25524:B *27231:B1_N 0.000337978 -31 *27272:C *2802:32 0.000826291 -32 *28770:D *27223:A 9.60875e-05 -33 *29800:A *27223:A 9.2468e-05 -34 *29800:A *2802:16 0.000140981 -35 *18:20 *27223:A 2.95076e-06 -36 *977:15 *2802:16 0.000191048 -37 *1103:24 *27230:A 0.000669194 -38 *1245:116 *2802:16 0.00269012 -39 *1290:89 *27231:B1_N 0.000246846 -40 *1624:16 *27230:A 6.24939e-05 -41 *1800:14 *27231:B1_N 7.69776e-06 -42 *2782:13 *2802:16 8.08399e-05 -43 *2797:19 *27230:A 0.000638014 -44 *2797:19 *2802:32 2.86751e-05 -45 *2799:18 *27223:A 9.41642e-05 -*RES -1 *27220:X *2802:16 36.9821 -2 *2802:16 *27223:A 22.6661 -3 *2802:16 *2802:32 4.89833 -4 *2802:32 *27231:B1_N 28.1225 -5 *2802:32 *27230:A 19.5823 -*END - -*D_NET *2803 0.00696377 -*CONN -*I *27223:B I *D sky130_fd_sc_hd__and3_1 -*I *27221:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *27223:B 0 -2 *27221:Y 0.00138025 -3 *2803:20 0.00138025 -4 *2803:20 *25523:D 0.000104439 -5 *2803:20 *27223:C 9.70328e-05 -6 *2803:20 *27273:A1_N 0.000179918 -7 *2803:20 *28648:CLK 0.000117902 -8 *2803:20 *2805:40 2.9054e-05 -9 *2803:20 *2809:24 0.000118153 -10 *2803:20 *5129:18 1.39695e-05 -11 *2803:20 *5352:22 1.33873e-05 -12 *2803:20 *5609:65 1.99932e-05 -13 *2803:20 *5747:21 4.34627e-05 -14 *2803:20 *6105:17 0.000216755 -15 *2803:20 *6191:10 0.000124019 -16 *2803:20 *6314:75 0.000139331 -17 *2803:20 *6380:58 0.000175892 -18 *2803:20 *6381:101 0.000322883 -19 *2803:20 *6382:26 0.000257619 -20 *25524:B *2803:20 0.000102859 -21 *27078:S *2803:20 0 -22 *27219:A *2803:20 2.59355e-05 -23 *29876:A *2803:20 3.4323e-06 -24 *23:22 *2803:20 0.000179191 -25 *1103:24 *2803:20 0.000554558 -26 *1245:142 *2803:20 0.000818761 -27 *1290:89 *2803:20 3.72851e-05 -28 *2797:19 *2803:20 0.000454843 -29 *2800:80 *2803:20 5.25941e-05 -*RES -1 *27221:Y *2803:20 49.2557 -2 *2803:20 *27223:B 13.8 -*END - -*D_NET *2804 0.00244583 -*CONN -*I *27223:C I *D sky130_fd_sc_hd__and3_1 -*I *27222:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *27223:C 0.000469441 -2 *27222:X 0.000469441 -3 *27223:C *27222:A 5.98785e-05 -4 *27223:C *28648:CLK 0.000375052 -5 *27223:C *5747:21 7.3106e-05 -6 *27223:C *6179:10 7.29712e-05 -7 *28770:D *27223:C 6.04072e-05 -8 *1102:18 *27223:C 0.000353086 -9 *2799:18 *27223:C 6.04072e-05 -10 *2800:55 *27223:C 0.000355009 -11 *2803:20 *27223:C 9.70328e-05 -*RES -1 *27222:X *27223:C 39.0286 -*END - -*D_NET *2805 0.0137715 -*CONN -*I *27269:C_N I *D sky130_fd_sc_hd__or3b_1 -*I *27226:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27224:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *27269:C_N 0 -2 *27226:B1 0.000356875 -3 *27224:Y 0.00138038 -4 *2805:40 0.00117823 -5 *2805:13 0.00291549 -6 *27226:B1 *27226:A1 3.47641e-06 -7 *27226:B1 *4463:19 5.71472e-05 -8 *27226:B1 *5129:18 9.51384e-05 -9 *2805:13 *27224:A 0.000133382 -10 *2805:13 *27224:B 0.00048733 -11 *2805:13 *4574:20 0.000669576 -12 *2805:13 *5459:10 4.28365e-05 -13 *2805:13 *5753:28 0.00181391 -14 *2805:40 *27227:A1 3.97677e-05 -15 *2805:40 *27232:A1 0.000265453 -16 *2805:40 *27269:A 0.000228245 -17 *2805:40 *27270:B1 6.62058e-05 -18 *2805:40 *27272:A 5.00841e-06 -19 *2805:40 *27272:D_N 5.90989e-05 -20 *2805:40 *29620:A 0.00016641 -21 *2805:40 *2808:36 0 -22 *2805:40 *5129:18 0.000343517 -23 *2805:40 *5470:10 0 -24 *2805:40 *5609:65 0.000127958 -25 *2805:40 *5659:48 4.1879e-05 -26 *2805:40 *5858:17 0.00146785 -27 *2805:40 *6314:75 0.000137639 -28 *2805:40 *6380:58 0.000339346 -29 *2805:40 *6381:101 8.6229e-06 -30 *2805:40 *6382:26 0.000333229 -31 *2805:40 *6383:41 5.33005e-05 -32 *2805:40 *6383:46 2.95642e-05 -33 *24974:C1 *2805:40 9.60875e-05 -34 *25049:B1 *27226:B1 0 -35 *25049:B1 *2805:40 0 -36 *25063:A2 *2805:40 0 -37 *25306:A2 *27226:B1 0 -38 *28771:D *2805:40 0.000239281 -39 *29800:A *2805:40 0.000135028 -40 *30671:A *2805:13 4.72917e-05 -41 *12:20 *2805:40 1.88026e-05 -42 *22:18 *27226:B1 0 -43 *39:39 *2805:40 4.22135e-06 -44 *1102:18 *27226:B1 1.40415e-05 -45 *1103:24 *2805:40 7.62547e-05 -46 *1245:142 *2805:40 8.49085e-06 -47 *1371:11 *2805:13 0.000203832 -48 *1624:16 *27226:B1 5.22638e-05 -49 *2803:20 *2805:40 2.9054e-05 -*RES -1 *27224:Y *2805:13 27.6058 -2 *2805:13 *27226:B1 19.4696 -3 *2805:13 *2805:40 44.0268 -4 *2805:40 *27269:C_N 9.3 -*END - -*D_NET *2806 0.00842196 -*CONN -*I *27226:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27225:X O *D sky130_fd_sc_hd__o221a_1 -*CAP -1 *27226:B2 0.000401939 -2 *27225:X 0.00213244 -3 *2806:9 0.00253438 -4 *27226:B2 *25306:B2 2.89114e-05 -5 *27226:B2 *4463:19 0.000162593 -6 *27226:B2 *6104:19 0.000317082 -7 *2806:9 *25499:S 0.000327448 -8 *2806:9 *27222:A 0.000357952 -9 *2806:9 *27225:A1 5.44941e-05 -10 *2806:9 *27225:A2 0 -11 *2806:9 *29032:A 2.77258e-05 -12 *2806:9 *3875:16 3.19564e-05 -13 *2806:9 *4574:37 4.37992e-05 -14 *2806:9 *5513:53 0 -15 *2806:9 *5753:28 1.92789e-05 -16 *2806:9 *6008:26 8.37335e-05 -17 *25306:A2 *27226:B2 3.02036e-05 -18 *27222:B *2806:9 0.00014183 -19 *30602:A *2806:9 6.58597e-05 -20 *30727:A *2806:9 7.09433e-05 -21 *14:24 *2806:9 4.85688e-05 -22 *39:26 *2806:9 1.76569e-05 -23 *40:30 *2806:9 0.000233506 -24 *981:15 *27226:B2 0.000310066 -25 *1102:18 *27226:B2 0.000628259 -26 *2800:55 *27226:B2 0.000237606 -27 *2800:55 *2806:9 0.000113722 -*RES -1 *27225:X *2806:9 48.9964 -2 *2806:9 *27226:B2 24.0321 -*END - -*D_NET *2807 0.0025621 -*CONN -*I *27230:B I *D sky130_fd_sc_hd__and3_1 -*I *27227:X O *D sky130_fd_sc_hd__a31o_1 -*CAP -1 *27230:B 0.000722529 -2 *27227:X 0.000722529 -3 *27230:B *27215:B 1.10381e-05 -4 *27230:B *3850:8 0.000104439 -5 *27230:B *5523:96 7.69776e-06 -6 *27230:B *5720:31 0 -7 *27230:B *5858:17 5.49544e-05 -8 *27230:B *6380:89 1.3185e-05 -9 *27230:B *6380:99 0.000432998 -10 *25018:A2 *27230:B 0.000139202 -11 *27227:A3 *27230:B 7.14469e-05 -12 *1103:24 *27230:B 9.84757e-05 -13 *1240:137 *27230:B 6.09762e-05 -14 *2797:19 *27230:B 0.000102747 -15 *2800:80 *27230:B 1.98839e-05 -*RES -1 *27227:X *27230:B 40.4393 -*END - -*D_NET *2808 0.0102112 -*CONN -*I *27232:A2 I *D sky130_fd_sc_hd__o21a_1 -*I *27231:A2 I *D sky130_fd_sc_hd__a21boi_1 -*I *27229:A I *D sky130_fd_sc_hd__clkinv_2 -*I *27228:X O *D sky130_fd_sc_hd__and4_1 -*CAP -1 *27232:A2 0.000525634 -2 *27231:A2 0.000322295 -3 *27229:A 0.00104765 -4 *27228:X 7.87976e-05 -5 *2808:36 0.00114376 -6 *2808:5 0.00142228 -7 *27229:A *27079:A0 9.71057e-05 -8 *27229:A *28647:D 9.23975e-05 -9 *27229:A *2809:24 0.000264428 -10 *27229:A *3850:8 3.06035e-05 -11 *27229:A *5539:33 8.6229e-06 -12 *27229:A *5926:152 0 -13 *27229:A *5926:171 0.000106943 -14 *27229:A *6380:89 4.59488e-05 -15 *27229:A *6380:99 6.05161e-06 -16 *27231:A2 *27272:A 0.000188741 -17 *27231:A2 *3851:12 5.52302e-05 -18 *27231:A2 *4574:20 0.000109709 -19 *27231:A2 *6383:46 7.96587e-06 -20 *27232:A2 *4907:10 2.11419e-05 -21 *27232:A2 *5534:49 0.00153515 -22 *27232:A2 *5570:23 0.00152432 -23 *27232:A2 *6380:112 2.11419e-05 -24 *2808:5 *6380:99 0.000135403 -25 *2808:36 *27228:B 6.07328e-05 -26 *2808:36 *27228:C 9.20464e-06 -27 *2808:36 *27272:A 5.87681e-05 -28 *2808:36 *5609:65 5.25192e-06 -29 *2808:36 *6382:65 7.69776e-06 -30 *27078:S *27229:A 9.77749e-05 -31 *27227:A3 *27229:A 9.41642e-05 -32 *27227:A3 *2808:5 0.000165988 -33 *27227:A3 *2808:36 3.79922e-05 -34 *11:17 *27231:A2 6.87574e-05 -35 *23:22 *27231:A2 0.000374211 -36 *23:22 *2808:36 9.39682e-05 -37 *244:57 *27231:A2 0.000186662 -38 *1240:134 *27229:A 8.71583e-06 -39 *2797:19 *2808:36 4.00349e-05 -40 *2800:56 *2808:5 5.49544e-05 -41 *2800:80 *2808:5 5.49489e-05 -42 *2805:40 *2808:36 0 -*RES -1 *27228:X *2808:5 11.8893 -2 *2808:5 *27229:A 33.7464 -3 *2808:5 *2808:36 9.38393 -4 *2808:36 *27231:A2 21.6661 -5 *2808:36 *27232:A2 23.3941 -*END - -*D_NET *2809 0.0049467 -*CONN -*I *27230:C I *D sky130_fd_sc_hd__and3_1 -*I *27229:Y O *D sky130_fd_sc_hd__clkinv_2 -*CAP -1 *27230:C 0.000140593 -2 *27229:Y 0.00091688 -3 *2809:24 0.00105747 -4 *27230:C *6380:99 6.21062e-05 -5 *2809:24 *25006:A1 0.000157878 -6 *2809:24 *25044:A1 5.14314e-05 -7 *2809:24 *27078:A1 3.45453e-05 -8 *2809:24 *5523:96 2.44823e-05 -9 *2809:24 *5538:21 0.000707358 -10 *2809:24 *5538:28 0.000693966 -11 *2809:24 *6386:29 5.20232e-05 -12 *25018:A2 *2809:24 0.000175339 -13 *27078:S *2809:24 0.000240734 -14 *27229:A *2809:24 0.000264428 -15 *1103:24 *2809:24 0.000116226 -16 *1624:16 *2809:24 4.77979e-05 -17 *2800:55 *2809:24 2.02794e-05 -18 *2800:80 *27230:C 6.50073e-05 -19 *2803:20 *2809:24 0.000118153 -*RES -1 *27229:Y *2809:24 47.8826 -2 *2809:24 *27230:C 15.6393 -*END - -*D_NET *2810 0.00497689 -*CONN -*I *27232:B1 I *D sky130_fd_sc_hd__o21a_1 -*I *27231:Y O *D sky130_fd_sc_hd__a21boi_1 -*CAP -1 *27232:B1 0 -2 *27231:Y 0.00141424 -3 *2810:15 0.00141424 -4 *2810:15 *24974:A1 9.41642e-05 -5 *2810:15 *25524:A 9.39935e-05 -6 *2810:15 *27231:A1 7.44888e-05 -7 *2810:15 *27232:A1 0.000130265 -8 *2810:15 *5470:10 1.82549e-05 -9 *24974:A2 *2810:15 0.000298483 -10 *15:18 *2810:15 0.000119706 -11 *1104:16 *2810:15 1.56e-05 -12 *1245:142 *2810:15 0.000137983 -13 *1290:89 *2810:15 0.000181905 -14 *1798:19 *2810:15 0.000118052 -15 *2799:18 *2810:15 0.00086552 -*RES -1 *27231:Y *2810:15 48.3089 -2 *2810:15 *27232:B1 9.3 -*END - -*D_NET *2811 0.0133853 -*CONN -*I *27270:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27235:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27233:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *27270:A2 0.00127846 -2 *27235:A1 0.000575845 -3 *27233:Y 0.00127837 -4 *2811:15 0.00313267 -5 *27235:A1 *27235:A0 1.58163e-05 -6 *27235:A1 *2839:117 0.000199425 -7 *27235:A1 *2840:53 0.000399114 -8 *27235:A1 *3575:83 7.68659e-05 -9 *27270:A2 *27273:B1 1.83518e-05 -10 *27270:A2 *28771:CLK 9.41642e-05 -11 *27270:A2 *2837:8 1.15058e-05 -12 *27270:A2 *3575:137 0.000106947 -13 *27270:A2 *5534:14 8.19176e-05 -14 *27270:A2 *5667:201 0.000631606 -15 *27270:A2 *5718:233 0 -16 *27270:A2 *5997:10 0.000246589 -17 *27270:A2 *6384:8 8.44271e-06 -18 *27270:A2 *6386:8 9.86249e-07 -19 *27270:A2 *6386:12 1.85762e-05 -20 *27270:A2 *6386:17 8.20715e-05 -21 *2811:15 *27061:A0 2.14757e-05 -22 *2811:15 *27061:A1 0.000401066 -23 *2811:15 *27256:B1 4.87171e-05 -24 *2811:15 *27440:A0 0.000166915 -25 *2811:15 *27462:S 8.6229e-06 -26 *2811:15 *28632:RESET_B 0.000177749 -27 *2811:15 *2831:17 0.000273165 -28 *2811:15 *3636:16 0.000129822 -29 *2811:15 *5536:11 0.000155478 -30 *2811:15 *5713:208 7.48301e-06 -31 *25534:A1_N *27235:A1 0.000461961 -32 *25534:A1_N *27270:A2 0.000792688 -33 *25534:A1_N *2811:15 4.34131e-05 -34 *27213:A2 *27270:A2 1.14483e-05 -35 *27270:A1 *27270:A2 9.55684e-05 -36 *369:17 *27270:A2 1.60506e-05 -37 *770:24 *27270:A2 4.03433e-05 -38 *1177:14 *27270:A2 0 -39 *1244:53 *2811:15 4.29637e-05 -40 *1805:11 *27235:A1 0.000832526 -41 *2778:35 *27235:A1 5.02092e-05 -42 *2782:13 *27270:A2 0 -43 *2787:17 *27235:A1 0.000468528 -44 *2787:17 *2811:15 0.000881344 -*RES -1 *27233:Y *2811:15 33.8852 -2 *2811:15 *27235:A1 22.5159 -3 *2811:15 *27270:A2 42.4154 -*END - -*D_NET *2812 0.00409845 -*CONN -*I *27254:B1 I *D sky130_fd_sc_hd__o32a_1 -*I *27250:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27241:B2 I *D sky130_fd_sc_hd__o32a_1 -*I *27234:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *27254:B1 0.000454189 -2 *27250:A0 0 -3 *27241:B2 7.4146e-05 -4 *27234:X 0.000377257 -5 *2812:11 0.000172567 -6 *2812:9 0.000929867 -7 *27241:B2 *27241:A3 5.33005e-05 -8 *27241:B2 *28777:SET_B 0.000118986 -9 *27241:B2 *28780:CLK 5.33005e-05 -10 *27241:B2 *2825:12 0.000120504 -11 *27254:B1 *27241:A3 8.53043e-05 -12 *27254:B1 *27254:B2 8.02119e-05 -13 *27254:B1 *28777:SET_B 0.000138399 -14 *27254:B1 *28778:SET_B 3.0034e-05 -15 *27254:B1 *2825:12 0 -16 *27254:B1 *6303:22 5.33005e-05 -17 *27254:B1 *6303:31 0.000416253 -18 *2812:9 *27244:B1 8.57023e-05 -19 *2812:9 *28777:SET_B 0 -20 *2812:9 *3796:36 0.000205538 -21 *2812:9 *6307:16 7.63022e-05 -22 *2812:9 *6386:131 5.64858e-05 -23 *2812:11 *27244:B1 0.00014183 -24 *2787:17 *2812:9 3.92757e-05 -25 *2787:37 *27254:B1 2.17201e-05 -26 *2787:37 *2812:9 0.000313981 -*RES -1 *27234:X *2812:9 26.6571 -2 *2812:9 *2812:11 1.35714 -3 *2812:11 *27241:B2 20.4786 -4 *2812:11 *27250:A0 9.3 -5 *2812:9 *27254:B1 28.0679 -*END - -*D_NET *2813 0.0266111 -*CONN -*I *27320:B I *D sky130_fd_sc_hd__and3_4 -*I *27309:B I *D sky130_fd_sc_hd__and3_4 -*I *27291:B I *D sky130_fd_sc_hd__and3_4 -*I *27314:B I *D sky130_fd_sc_hd__and3_4 -*I *27329:B I *D sky130_fd_sc_hd__and3_4 -*I *27302:B I *D sky130_fd_sc_hd__and3_4 -*I *27288:B I *D sky130_fd_sc_hd__and3_4 -*I *27313:A I *D sky130_fd_sc_hd__and2_4 -*I *27241:A2 I *D sky130_fd_sc_hd__o32a_1 -*I *27237:B I *D sky130_fd_sc_hd__nand2_1 -*I *27236:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *27320:B 1.7586e-05 -2 *27309:B 5.05652e-05 -3 *27291:B 5.82403e-05 -4 *27314:B 0.000590462 -5 *27329:B 5.39608e-05 -6 *27302:B 0.000105155 -7 *27288:B 0 -8 *27313:A 0.000871478 -9 *27241:A2 1.41579e-05 -10 *27237:B 0.000500636 -11 *27236:Y 0.000116785 -12 *2813:89 0.000716037 -13 *2813:80 0.000577023 -14 *2813:72 0.00201231 -15 *2813:58 0.00174216 -16 *2813:44 0.00131209 -17 *2813:41 0.00137635 -18 *2813:34 0.00124047 -19 *2813:11 0.0010539 -20 *2813:7 0.000921739 -21 *27237:B *27241:A3 0.000318929 -22 *27237:B *27241:B1 6.87574e-05 -23 *27237:B *28780:CLK 5.5473e-05 -24 *27241:A2 *27241:A3 3.57366e-05 -25 *27291:B *2842:31 8.90826e-05 -26 *27291:B *2842:38 2.48938e-05 -27 *27291:B *5694:110 5.17787e-05 -28 *27302:B *31009:A 6.94952e-05 -29 *27302:B *2883:15 0.000346765 -30 *27302:B *5598:17 0.000106953 -31 *27309:B *3572:20 4.13496e-05 -32 *27309:B *5717:133 9.60939e-05 -33 *27309:B *6306:102 0.00016641 -34 *27313:A *2842:31 9.8958e-05 -35 *27313:A *2845:22 0.000510785 -36 *27313:A *2850:164 0.000100673 -37 *27313:A *2958:56 1.54199e-05 -38 *27313:A *3214:21 0.000147606 -39 *27313:A *3642:84 0.000167386 -40 *27313:A *3796:62 0.000114879 -41 *27314:B *26874:B 2.79421e-05 -42 *27314:B *27276:A 2.60984e-05 -43 *27314:B *27314:C 5.49489e-05 -44 *27314:B *2879:27 5.49489e-05 -45 *27314:B *2883:15 2.41649e-05 -46 *27314:B *3182:16 2.60158e-05 -47 *27314:B *5599:273 0.000305449 -48 *27314:B *5627:16 0.000151909 -49 *27314:B *5667:86 0.000149469 -50 *27314:B *5717:179 4.22135e-06 -51 *27320:B *2858:11 1.04232e-05 -52 *27329:B *2877:359 3.97677e-05 -53 *27329:B *2894:21 0.000178425 -54 *27329:B *5717:186 9.66977e-05 -55 *2813:7 *27236:A 6.87574e-05 -56 *2813:11 *24820:A 4.28365e-05 -57 *2813:11 *28773:SET_B 0.000147065 -58 *2813:11 *28780:CLK 2.28598e-05 -59 *2813:11 *2815:31 3.19583e-05 -60 *2813:11 *2839:19 1.01912e-05 -61 *2813:11 *5707:244 5.91336e-05 -62 *2813:11 *6302:19 0.000579447 -63 *2813:34 *27300:C 7.50477e-05 -64 *2813:34 *2815:31 0.000163868 -65 *2813:34 *2858:11 2.14757e-05 -66 *2813:34 *3575:76 0 -67 *2813:34 *5608:28 2.14658e-05 -68 *2813:34 *6302:19 3.10885e-05 -69 *2813:34 *6302:33 1.21317e-05 -70 *2813:41 *27320:A 0.000140933 -71 *2813:41 *2815:42 0 -72 *2813:41 *2815:55 2.032e-05 -73 *2813:41 *2858:6 5.58941e-05 -74 *2813:41 *2858:11 3.97677e-05 -75 *2813:41 *2858:27 0.000347466 -76 *2813:41 *2858:36 0.00146621 -77 *2813:41 *2858:51 0.000139942 -78 *2813:41 *3192:20 0.000666926 -79 *2813:41 *6306:57 0.000433884 -80 *2813:41 *6306:74 0.0001516 -81 *2813:44 *3572:20 8.40933e-05 -82 *2813:44 *5717:133 0.000505757 -83 *2813:44 *6306:102 0.000135028 -84 *2813:58 *2842:31 0.00015566 -85 *2813:58 *2850:164 7.05375e-05 -86 *2813:58 *5694:110 3.31656e-05 -87 *2813:72 *27288:C 0.000130757 -88 *2813:72 *27291:A 0.00013799 -89 *2813:72 *27326:C 1.31516e-05 -90 *2813:72 *2853:24 8.92267e-05 -91 *2813:72 *2856:270 0.000298753 -92 *2813:72 *2882:22 0.000160465 -93 *2813:72 *2889:22 0 -94 *2813:72 *2978:36 0 -95 *2813:72 *3153:10 6.13706e-05 -96 *2813:72 *4796:30 0 -97 *2813:72 *5196:94 6.57893e-06 -98 *2813:72 *5643:39 4.59234e-05 -99 *2813:72 *5651:85 0.000817566 -100 *2813:72 *5657:13 0.000133359 -101 *2813:72 *5667:108 0 -102 *2813:80 *27288:C 5.49544e-05 -103 *2813:80 *3170:5 0.000171703 -104 *2813:80 *3538:39 5.59013e-05 -105 *2813:80 *5627:16 0.000349384 -106 *2813:80 *5717:168 7.48356e-05 -107 *2813:80 *5717:179 6.34408e-05 -108 *2813:89 *5627:16 0.000136247 -109 *2813:89 *5717:179 6.64337e-05 -110 *27241:A1 *2813:11 0.000263524 -111 *27254:A1 *2813:7 5.33005e-05 -112 *1185:12 *2813:34 9.25014e-06 -113 *1185:12 *2813:41 0.000224577 -114 *1218:136 *2813:7 1.21258e-05 -115 *1805:11 *2813:11 5.04448e-05 -116 *1805:11 *2813:41 0.000188266 -117 *2782:100 *27314:B 9.8045e-05 -*RES -1 *27236:Y *2813:7 15.9786 -2 *2813:7 *2813:11 16.8571 -3 *2813:11 *27237:B 16.3357 -4 *2813:11 *27241:A2 9.72857 -5 *2813:7 *2813:34 10.1429 -6 *2813:34 *2813:41 37.7143 -7 *2813:41 *2813:44 11.1964 -8 *2813:44 *27313:A 36.05 -9 *2813:44 *2813:58 2.09821 -10 *2813:58 *2813:72 23.97 -11 *2813:72 *27288:B 9.3 -12 *2813:72 *2813:80 13 -13 *2813:80 *27302:B 17.2107 -14 *2813:80 *2813:89 1.94643 -15 *2813:89 *27329:B 15.5679 -16 *2813:89 *27314:B 32.3893 -17 *2813:58 *27291:B 15.2911 -18 *2813:41 *27309:B 11.0679 -19 *2813:34 *27320:B 9.72857 -*END - -*D_NET *2814 0.0102572 -*CONN -*I *27244:A2 I *D sky130_fd_sc_hd__a31o_1 -*I *27242:B I *D sky130_fd_sc_hd__or2_1 -*I *27237:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *27244:A2 0.000863273 -2 *27242:B 2.38083e-05 -3 *27237:Y 0.000873771 -4 *2814:13 0.00176085 -5 *27242:B *27242:A 2.63834e-05 -6 *27242:B *5746:196 5.64168e-05 -7 *27244:A2 *27242:A 0.00023755 -8 *27244:A2 *27244:A3 0.000266695 -9 *27244:A2 *30833:A 0.00157997 -10 *27244:A2 *2978:36 0.000173416 -11 *27244:A2 *5746:196 0.000481933 -12 *27244:A2 *5757:43 2.06178e-05 -13 *27244:A2 *6314:37 0.000463936 -14 *2814:13 *28780:CLK 0.000688592 -15 *2814:13 *2818:8 0.000178847 -16 *2814:13 *2825:12 6.11983e-05 -17 *2814:13 *5530:44 0.000722147 -18 *2814:13 *5707:251 0.000685637 -19 *2814:13 *5720:159 0.000247212 -20 *2814:13 *5970:47 0.000685906 -21 *1250:121 *27244:A2 0.000144381 -22 *2777:105 *27244:A2 1.46553e-05 -*RES -1 *27237:Y *2814:13 46.0321 -2 *2814:13 *27242:B 14.5321 -3 *2814:13 *27244:A2 30.1179 -*END - -*D_NET *2815 0.0210326 -*CONN -*I *27299:B I *D sky130_fd_sc_hd__and3_4 -*I *27330:B I *D sky130_fd_sc_hd__and3_4 -*I *27294:B I *D sky130_fd_sc_hd__and3_4 -*I *27324:B I *D sky130_fd_sc_hd__and3_4 -*I *27317:B I *D sky130_fd_sc_hd__and3_4 -*I *27307:B I *D sky130_fd_sc_hd__and3_4 -*I *27280:B I *D sky130_fd_sc_hd__and3_4 -*I *27295:B I *D sky130_fd_sc_hd__and3_4 -*I *27241:A3 I *D sky130_fd_sc_hd__o32a_1 -*I *27238:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *27299:B 4.29478e-05 -2 *27330:B 7.18823e-05 -3 *27294:B 9.95775e-05 -4 *27324:B 0 -5 *27317:B 0.000274356 -6 *27307:B 0.000234351 -7 *27280:B 0 -8 *27295:B 0.000216847 -9 *27241:A3 0.000204924 -10 *27238:X 0.000291814 -11 *2815:73 0.000524409 -12 *2815:72 0.000751318 -13 *2815:59 0.000843533 -14 *2815:55 0.000392726 -15 *2815:46 0.000466804 -16 *2815:42 0.000587555 -17 *2815:31 0.0011792 -18 *2815:11 0.00126793 -19 *27241:A3 *27241:B1 0.000181803 -20 *27241:A3 *28780:CLK 4.3057e-05 -21 *27241:A3 *6303:31 5.54856e-05 -22 *27294:B *2858:63 0.000137983 -23 *27294:B *3192:31 1.98839e-05 -24 *27295:B *27295:C 0.000306304 -25 *27295:B *2821:8 5.03772e-05 -26 *27295:B *2865:31 0.000130001 -27 *27295:B *5643:9 0.000304387 -28 *27299:B *2847:7 0.000135028 -29 *27299:B *3573:16 0.000135028 -30 *27307:B *27307:C 9.41642e-05 -31 *27307:B *2872:13 7.95355e-05 -32 *27307:B *2872:154 6.42095e-05 -33 *27307:B *3206:13 5.33005e-05 -34 *27307:B *3214:21 0 -35 *27317:B *27207:A0 1.3142e-05 -36 *27317:B *27324:C 5.52302e-05 -37 *27317:B *29820:A 0 -38 *27317:B *2842:41 0.000132924 -39 *27317:B *5504:67 8.13784e-05 -40 *27317:B *5643:39 0.000155387 -41 *27317:B *5646:17 0.000137561 -42 *27330:B *3575:8 0.00026023 -43 *27330:B *5655:16 0.000248138 -44 *2815:11 *27241:B1 0.000646068 -45 *2815:11 *5625:15 0.00111425 -46 *2815:11 *6303:31 5.90774e-05 -47 *2815:11 *6303:39 0.000135933 -48 *2815:31 *25271:B2 3.09718e-05 -49 *2815:31 *30871:A 0.00053339 -50 *2815:31 *6303:31 0.000281272 -51 *2815:42 *6306:57 2.06076e-05 -52 *2815:46 *5535:25 0.000226229 -53 *2815:46 *5635:15 9.59532e-06 -54 *2815:46 *6306:57 0.000364555 -55 *2815:46 *6306:74 0.000146992 -56 *2815:55 *3192:20 0.000164439 -57 *2815:55 *5535:25 0.000228781 -58 *2815:55 *6306:74 1.10632e-05 -59 *2815:59 *2858:36 0.000354473 -60 *2815:59 *3206:13 1.90936e-05 -61 *2815:59 *3575:8 0.000175892 -62 *2815:59 *5643:32 0.000128104 -63 *2815:59 *5655:16 0.00017754 -64 *2815:59 *6318:32 1.94479e-05 -65 *2815:72 *27323:A 5.41794e-05 -66 *2815:72 *2858:36 3.34366e-05 -67 *2815:72 *2858:51 0.000511453 -68 *2815:72 *2858:63 0.000344866 -69 *2815:72 *3209:21 0.000194435 -70 *2815:72 *5627:16 7.23506e-05 -71 *2815:72 *6306:102 0.000363328 -72 *2815:72 *6318:32 3.73278e-05 -73 *2815:73 *27324:C 5.52238e-05 -74 *2815:73 *3192:31 0.000159071 -75 *27237:B *27241:A3 0.000318929 -76 *27241:A2 *27241:A3 3.57366e-05 -77 *27241:B2 *27241:A3 5.33005e-05 -78 *27254:A2 *2815:31 0.000250813 -79 *27254:B1 *27241:A3 8.53043e-05 -80 *1185:12 *2815:31 0.000192527 -81 *1185:35 *2815:31 0.000202305 -82 *1185:35 *2815:42 0.000392244 -83 *1185:35 *2815:46 4.58253e-05 -84 *1277:76 *2815:11 4.93132e-05 -85 *1282:68 *2815:31 0.000648915 -86 *1805:11 *2815:31 0.000795047 -87 *1805:11 *2815:42 0.00022574 -88 *2787:37 *27241:A3 0.000232448 -89 *2787:37 *2815:31 0.000289815 -90 *2813:11 *2815:31 3.19583e-05 -91 *2813:34 *2815:31 0.000163868 -92 *2813:41 *2815:42 0 -93 *2813:41 *2815:55 2.032e-05 -*RES -1 *27238:X *2815:11 25.5679 -2 *2815:11 *27241:A3 20.5187 -3 *2815:11 *2815:31 22.8983 -4 *2815:31 *27295:B 19.6929 -5 *2815:31 *2815:42 5.28571 -6 *2815:42 *2815:46 7.75 -7 *2815:46 *27280:B 13.8 -8 *2815:46 *2815:55 7.66071 -9 *2815:55 *2815:59 10.9464 -10 *2815:59 *27307:B 18.05 -11 *2815:59 *2815:72 16.4643 -12 *2815:72 *2815:73 3.82143 -13 *2815:73 *27317:B 24.9786 -14 *2815:73 *27324:B 9.3 -15 *2815:72 *27294:B 11.0679 -16 *2815:55 *27330:B 11.8893 -17 *2815:42 *27299:B 15.1571 -*END - -*D_NET *2816 0.0158993 -*CONN -*I *27267:A I *D sky130_fd_sc_hd__nor2_1 -*I *27262:B I *D sky130_fd_sc_hd__nor2_1 -*I *27239:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *27267:A 0.00074162 -2 *27262:B 0.00148476 -3 *27239:Y 0.000739693 -4 *2816:12 0.00296607 -5 *27262:B *27844:A0 4.35586e-05 -6 *27262:B *28767:CLK 6.28248e-05 -7 *27262:B *2840:59 0.000884283 -8 *27262:B *5536:11 0.000268252 -9 *27262:B *5678:247 0.00310589 -10 *27262:B *5713:203 3.47641e-06 -11 *27262:B *6311:43 6.09773e-05 -12 *27262:B *6317:49 1.89507e-06 -13 *27267:A *24817:A 2.5358e-05 -14 *27267:A *27267:B 9.54798e-06 -15 *27267:A *27440:S 2.11419e-05 -16 *27267:A *27462:S 4.45302e-05 -17 *27267:A *28704:CLK 5.49544e-05 -18 *27267:A *2831:17 0.000181331 -19 *27267:A *5602:21 0.00114608 -20 *27267:A *5675:281 1.61405e-05 -21 *27267:A *5675:284 5.49544e-05 -22 *27267:A *5751:167 5.62253e-05 -23 *2816:12 *24817:A 0.000445961 -24 *2816:12 *27273:B2 2.61076e-05 -25 *2816:12 *27462:S 0.000175716 -26 *2816:12 *2831:17 0.000116794 -27 *2816:12 *5538:21 5.33005e-05 -28 *2816:12 *5751:107 6.19352e-05 -29 *2816:12 *5751:116 0.00010305 -30 *2816:12 *6385:122 0 -31 *2816:12 *6386:29 7.14469e-05 -32 *25015:A2 *27267:A 1.34436e-05 -33 *27239:A *2816:12 0.000391307 -34 *29800:A *2816:12 0 -35 *1278:41 *27267:A 7.40571e-05 -36 *2778:35 *27267:A 0.00051324 -37 *2787:17 *27267:A 0.000213884 -38 *2798:60 *27262:B 0.00166553 -*RES -1 *27239:Y *2816:12 29.5411 -2 *2816:12 *27262:B 30.97 -3 *2816:12 *27267:A 29.174 -*END - -*D_NET *2817 0.015353 -*CONN -*I *27248:B I *D sky130_fd_sc_hd__nand2_1 -*I *27247:A2 I *D sky130_fd_sc_hd__a31o_1 -*I *27244:A3 I *D sky130_fd_sc_hd__a31o_1 -*I *27255:A2 I *D sky130_fd_sc_hd__a21oi_1 -*I *27260:A2 I *D sky130_fd_sc_hd__a31o_1 -*I *27240:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *27248:B 1.67089e-05 -2 *27247:A2 0.000323585 -3 *27244:A3 0.000166576 -4 *27255:A2 0.000611536 -5 *27260:A2 0.000151528 -6 *27240:Y 0 -7 *2817:52 0.000936606 -8 *2817:33 0.00115847 -9 *2817:11 0.00238353 -10 *2817:5 0.00201604 -11 *27244:A3 *30833:A 2.28188e-05 -12 *27244:A3 *2978:36 0.000100509 -13 *27244:A3 *5700:174 9.08232e-05 -14 *27244:A3 *5757:43 3.08405e-05 -15 *27247:A2 *27246:B 0.000181796 -16 *27247:A2 *27249:A0 0.000169758 -17 *27247:A2 *2818:26 1.98839e-05 -18 *27247:A2 *4574:47 0 -19 *27247:A2 *5585:92 2.42516e-05 -20 *27248:B *28773:CLK 5.33005e-05 -21 *27255:A2 *27255:A1 0.000110627 -22 *27255:A2 *2831:17 7.36559e-05 -23 *27255:A2 *5602:21 0.000234573 -24 *27260:A2 *27260:A3 0.000123295 -25 *27260:A2 *27260:B1 4.1331e-06 -26 *27260:A2 *2998:32 0 -27 *27260:A2 *5667:201 8.25719e-05 -28 *2817:11 *3569:78 2.22043e-05 -29 *2817:11 *4796:30 9.32361e-05 -30 *2817:11 *5602:21 0.00163279 -31 *2817:11 *5667:182 0 -32 *2817:11 *5667:201 0 -33 *2817:33 *25219:A1 5.48123e-05 -34 *2817:33 *27418:A1 4.12593e-05 -35 *2817:33 *28790:D 0.000309986 -36 *2817:33 *3569:73 2.12863e-05 -37 *2817:33 *3569:78 8.3504e-05 -38 *2817:33 *5694:119 0 -39 *2817:33 *5720:182 2.51744e-05 -40 *2817:52 *25124:A1 0 -41 *2817:52 *27244:B1 0.000688608 -42 *2817:52 *28790:D 1.04707e-05 -43 *2817:52 *29501:A 5.49544e-05 -44 *2817:52 *3569:73 4.69164e-05 -45 *2817:52 *4574:47 0 -46 *2817:52 *5581:25 0.000111117 -47 *2817:52 *5707:244 1.32704e-05 -48 *2817:52 *5720:182 3.5703e-05 -49 *2817:52 *5749:61 8.1128e-05 -50 *27213:A2 *27260:A2 4.64488e-06 -51 *27240:B *2817:11 0.00184131 -52 *27244:A2 *27244:A3 0.000266695 -53 *27248:A *27247:A2 9.56446e-06 -54 *27248:A *2817:52 9.25014e-06 -55 *28775:D *2817:52 5.8148e-05 -56 *28780:D *27260:A2 0 -57 *28781:D *27255:A2 1.85668e-05 -58 *29502:A *2817:52 0.00038907 -59 *1218:136 *27247:A2 0.000154345 -60 *1271:49 *27255:A2 0 -61 *1271:49 *2817:11 0 -62 *1289:55 *2817:52 0.000125884 -63 *2776:48 *27248:B 2.89114e-05 -64 *2777:105 *27244:A3 3.28151e-05 -*RES -1 *27240:Y *2817:5 13.8 -2 *2817:5 *2817:11 8.78785 -3 *2817:11 *27260:A2 20.2189 -4 *2817:11 *27255:A2 20.5083 -5 *2817:5 *2817:33 7.79464 -6 *2817:33 *27244:A3 22.2869 -7 *2817:33 *2817:52 23.9911 -8 *2817:52 *27247:A2 20.6036 -9 *2817:52 *27248:B 14.3357 -*END - -*D_NET *2818 0.00998565 -*CONN -*I *27247:A3 I *D sky130_fd_sc_hd__a31o_1 -*I *27246:B I *D sky130_fd_sc_hd__nor2_1 -*I *27243:A I *D sky130_fd_sc_hd__inv_2 -*I *27242:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *27247:A3 0 -2 *27246:B 0.000559044 -3 *27243:A 0.0011908 -4 *27242:X 0.000417083 -5 *2818:26 0.00127858 -6 *2818:8 0.00232742 -7 *27243:A *3573:25 0.000143745 -8 *27243:A *3796:36 0.000114587 -9 *27243:A *5718:240 5.41797e-06 -10 *27243:A *6307:16 2.27416e-05 -11 *27243:A *6386:131 5.74499e-06 -12 *27246:B *27249:A0 0.000142237 -13 *27246:B *28776:SET_B 0 -14 *27246:B *2821:8 9.0145e-05 -15 *27246:B *2849:30 2.21807e-05 -16 *27246:B *2865:31 0.000111655 -17 *27246:B *5585:92 6.05161e-06 -18 *27246:B *6305:25 0.000447415 -19 *27246:B *6306:33 8.65612e-05 -20 *2818:8 *29525:A 0.000300286 -21 *2818:8 *3573:30 0.00030181 -22 *2818:8 *5720:169 9.25014e-06 -23 *2818:8 *5746:196 3.05404e-05 -24 *2818:8 *5970:47 6.26177e-05 -25 *2818:8 *6318:30 1.48369e-05 -26 *2818:26 *27247:A1 9.90367e-05 -27 *2818:26 *5720:169 0.000112161 -28 *2818:26 *5746:196 0.000144528 -29 *2818:26 *6304:10 0.000562415 -30 *2818:26 *6318:32 6.53202e-05 -31 *27247:A2 *27246:B 0.000181796 -32 *27247:A2 *2818:26 1.98839e-05 -33 *27248:A *27246:B 0.000208828 -34 *28775:D *27246:B 2.89016e-05 -35 *1178:36 *27246:B 0 -36 *1185:12 *27246:B 0.00013651 -37 *1218:136 *2818:26 3.22692e-05 -38 *1271:23 *27243:A 0.000344835 -39 *1805:11 *2818:26 0 -40 *1826:46 *27243:A 0.000133323 -41 *2787:37 *27243:A 4.62535e-05 -42 *2814:13 *2818:8 0.000178847 -*RES -1 *27242:X *2818:8 21.7821 -2 *2818:8 *27243:A 38.0143 -3 *2818:8 *2818:26 18.9821 -4 *2818:26 *27246:B 32.1036 -5 *2818:26 *27247:A3 9.3 -*END - -*D_NET *2819 0.00551591 -*CONN -*I *27244:B1 I *D sky130_fd_sc_hd__a31o_1 -*I *27243:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *27244:B1 0.00105338 -2 *27243:Y 0.00105338 -3 *27244:B1 *27244:A1 2.47446e-05 -4 *27244:B1 *2839:117 0.000183713 -5 *27244:B1 *5522:61 0.000656397 -6 *27244:B1 *5581:25 0.0003962 -7 *27244:B1 *5720:159 0.000134549 -8 *27244:B1 *5720:169 0.000153589 -9 *27244:B1 *6307:23 0.000158902 -10 *27250:A1 *27244:B1 0.000476702 -11 *27274:B *27244:B1 5.52238e-05 -12 *1289:55 *27244:B1 0.000252984 -13 *2812:9 *27244:B1 8.57023e-05 -14 *2812:11 *27244:B1 0.00014183 -15 *2817:52 *27244:B1 0.000688608 -*RES -1 *27243:Y *27244:B1 45.4571 -*END - -*D_NET *2820 0.0177502 -*CONN -*I *27321:B I *D sky130_fd_sc_hd__and3_4 -*I *27326:B I *D sky130_fd_sc_hd__and3_4 -*I *27314:C I *D sky130_fd_sc_hd__and3_4 -*I *27312:B I *D sky130_fd_sc_hd__and3_4 -*I *27307:C I *D sky130_fd_sc_hd__and3_4 -*I *27313:B I *D sky130_fd_sc_hd__and2_4 -*I *27280:C I *D sky130_fd_sc_hd__and3_4 -*I *27327:B I *D sky130_fd_sc_hd__and3_4 -*I *27245:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *27321:B 0.000374514 -2 *27326:B 0 -3 *27314:C 0.000194844 -4 *27312:B 0 -5 *27307:C 2.99504e-05 -6 *27313:B 0.000586966 -7 *27280:C 0.000150452 -8 *27327:B 0.000631623 -9 *27245:Y 0 -10 *2820:61 0.000270728 -11 *2820:58 0.00118992 -12 *2820:46 0.00121927 -13 *2820:35 0.000819818 -14 *2820:26 0.000352574 -15 *2820:5 0.000754961 -16 *2820:4 0.000453292 -17 *27280:C *2843:17 0.000188877 -18 *27280:C *2843:19 9.41642e-05 -19 *27280:C *5634:15 0.00046742 -20 *27307:C *2872:13 9.41642e-05 -21 *27313:B *29497:A 2.59355e-05 -22 *27313:B *30818:A 8.55871e-05 -23 *27313:B *2878:16 4.58194e-05 -24 *27313:B *3195:25 0.000742937 -25 *27313:B *5268:7 9.58689e-05 -26 *27313:B *5717:61 0.000466253 -27 *27314:C *2879:27 6.42095e-05 -28 *27314:C *2883:15 0.000311773 -29 *27314:C *5599:273 1.98839e-05 -30 *27314:C *5643:39 2.99028e-05 -31 *27321:B *27245:B 2.14658e-05 -32 *27321:B *27321:A 8.96033e-05 -33 *27321:B *2892:111 8.82248e-05 -34 *27327:B *27245:A 6.05161e-06 -35 *27327:B *27277:B 9.3111e-05 -36 *27327:B *27287:C 5.64115e-05 -37 *27327:B *27327:A 8.49829e-05 -38 *27327:B *2892:8 4.00349e-05 -39 *27327:B *2892:111 0.000198121 -40 *27327:B *3173:17 0.000326526 -41 *27327:B *3573:16 0.000178847 -42 *27327:B *3938:38 8.68127e-05 -43 *27327:B *5530:44 0.000425867 -44 *27327:B *5635:15 8.60127e-05 -45 *2820:5 *27245:A 1.98839e-05 -46 *2820:5 *27245:B 7.43578e-06 -47 *2820:5 *2892:111 5.74499e-06 -48 *2820:26 *2852:11 0.00012401 -49 *2820:35 *2852:11 0.000418838 -50 *2820:46 *2852:11 0.000163767 -51 *2820:58 *25176:B2 0.000376862 -52 *2820:58 *2844:153 0.000302256 -53 *2820:58 *2852:11 0.00038167 -54 *2820:58 *2853:24 4.33002e-05 -55 *2820:58 *2875:10 4.43712e-05 -56 *2820:58 *2883:15 8.80543e-05 -57 *2820:58 *6306:111 0.00046829 -58 *2820:58 *6318:32 6.20685e-05 -59 *2820:61 *2883:15 0.000144068 -60 *2820:61 *5643:39 6.58002e-05 -61 *27307:B *27307:C 9.41642e-05 -62 *27314:B *27314:C 5.49489e-05 -63 *1178:36 *27327:B 0.000312416 -64 *1178:36 *2820:26 0.000125731 -65 *1178:36 *2820:35 0.000410295 -66 *1178:36 *2820:46 0.000154608 -67 *1178:36 *2820:58 0.00218615 -68 *2754:14 *27314:C 0.000140841 -69 *2782:100 *27314:C 1.08359e-05 -*RES -1 *27245:Y *2820:4 9.3 -2 *2820:4 *2820:5 0.946429 -3 *2820:5 *27327:B 34.2289 -4 *2820:5 *2820:26 6.14286 -5 *2820:26 *27280:C 18.4429 -6 *2820:26 *2820:35 5.58929 -7 *2820:35 *27313:B 26.1571 -8 *2820:35 *2820:46 2.25 -9 *2820:46 *27307:C 14.7464 -10 *2820:46 *2820:58 35.8393 -11 *2820:58 *2820:61 6.44643 -12 *2820:61 *27312:B 13.8 -13 *2820:61 *27314:C 18.425 -14 *2820:58 *27326:B 9.3 -15 *2820:4 *27321:B 14.4964 -*END - -*D_NET *2821 0.00290764 -*CONN -*I *27249:S I *D sky130_fd_sc_hd__mux2_1 -*I *27247:B1 I *D sky130_fd_sc_hd__a31o_1 -*I *27246:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *27249:S 6.33494e-05 -2 *27247:B1 8.97031e-05 -3 *27246:Y 0.000626616 -4 *2821:8 0.000779669 -5 *27247:B1 *6305:17 0.000191963 -6 *27249:S *2849:30 7.84126e-05 -7 *27249:S *6305:25 0.000224583 -8 *2821:8 *27295:A 1.92905e-05 -9 *2821:8 *2860:26 0.000153677 -10 *2821:8 *2865:31 3.97493e-05 -11 *2821:8 *6305:17 3.17148e-05 -12 *2821:8 *6318:32 0.000147145 -13 *27246:B *2821:8 9.0145e-05 -14 *27295:B *2821:8 5.03772e-05 -15 *1178:36 *27247:B1 9.15175e-05 -16 *1178:36 *2821:8 0.000229731 -*RES -1 *27246:Y *2821:8 24.9429 -2 *2821:8 *27247:B1 16.3536 -3 *2821:8 *27249:S 15.9786 -*END - -*D_NET *2822 0.00103077 -*CONN -*I *27249:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27248:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *27249:A0 0.000186527 -2 *27248:Y 0.000186527 -3 *27249:A0 *28773:CLK 0.000141555 -4 *27249:A0 *5585:92 7.83587e-05 -5 *27249:A0 *5585:99 9.80173e-05 -6 *27249:A0 *5667:113 0 -7 *27246:B *27249:A0 0.000142237 -8 *27247:A2 *27249:A0 0.000169758 -9 *27248:A *27249:A0 2.77857e-05 -*RES -1 *27248:Y *27249:A0 32.725 -*END - -*D_NET *2823 0.0197398 -*CONN -*I *27259:C I *D sky130_fd_sc_hd__and3_1 -*I *27266:A I *D sky130_fd_sc_hd__and3_4 -*I *27657:A I *D sky130_fd_sc_hd__and3_4 -*I *27624:B I *D sky130_fd_sc_hd__and3_4 -*I *27613:B I *D sky130_fd_sc_hd__and3_4 -*I *27641:B I *D sky130_fd_sc_hd__and3b_4 -*I *27654:A I *D sky130_fd_sc_hd__and3_4 -*I *27616:A I *D sky130_fd_sc_hd__and3_4 -*I *27644:A I *D sky130_fd_sc_hd__and3_4 -*I *27632:A I *D sky130_fd_sc_hd__and3_4 -*I *27251:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *27259:C 0.00055837 -2 *27266:A 0 -3 *27657:A 5.82993e-05 -4 *27624:B 7.33383e-05 -5 *27613:B 0 -6 *27641:B 2.12334e-05 -7 *27654:A 0.000658541 -8 *27616:A 8.59694e-05 -9 *27644:A 2.3932e-05 -10 *27632:A 4.36033e-05 -11 *27251:X 0 -12 *2823:86 0.000339349 -13 *2823:72 0.000394301 -14 *2823:63 0.000666576 -15 *2823:49 0.00117284 -16 *2823:38 0.000415141 -17 *2823:29 0.000489584 -18 *2823:26 0.00103607 -19 *2823:8 0.00169127 -20 *2823:4 0.00136199 -21 *27259:C *27252:C 7.40571e-05 -22 *27259:C *27260:B1 0.000225616 -23 *27259:C *28779:CLK 0.000298772 -24 *27259:C *2828:10 0.000192862 -25 *27259:C *3796:36 0.000143391 -26 *27616:A *3150:93 5.33005e-05 -27 *27616:A *3168:11 4.85033e-05 -28 *27616:A *5658:7 0.000216755 -29 *27624:B *27624:C 4.12041e-05 -30 *27624:B *5504:67 0.000175892 -31 *27632:A *5608:42 5.33005e-05 -32 *27641:B *27641:C 3.18676e-05 -33 *27641:B *2855:279 9.90367e-05 -34 *27641:B *5863:195 1.39726e-05 -35 *27644:A *5873:114 2.59355e-05 -36 *27654:A *3206:13 0.000347181 -37 *27654:A *3206:179 0.000547019 -38 *27657:A *3019:8 0.00014576 -39 *2823:8 *27252:B 8.94474e-05 -40 *2823:8 *27252:C 0 -41 *2823:8 *27260:A1 2.28378e-05 -42 *2823:8 *27264:A_N 0.000211827 -43 *2823:8 *27284:A_N 0.000189476 -44 *2823:8 *2828:10 0 -45 *2823:8 *5485:131 0.000291954 -46 *2823:8 *6308:54 2.89114e-05 -47 *2823:8 *6309:39 0 -48 *2823:26 *25271:B2 0 -49 *2823:26 *25526:B 2.58997e-05 -50 *2823:26 *27264:A_N 6.35819e-05 -51 *2823:26 *30871:A 0 -52 *2823:26 *2832:15 0.000514955 -53 *2823:26 *3150:8 0.000223275 -54 *2823:26 *3150:38 0.00040245 -55 *2823:26 *3184:43 8.56925e-05 -56 *2823:26 *5196:95 0.000306498 -57 *2823:26 *5680:257 0.000152711 -58 *2823:26 *6302:41 4.7349e-05 -59 *2823:29 *3019:8 0.000164645 -60 *2823:29 *3216:26 0.000146474 -61 *2823:29 *6385:141 1.41225e-05 -62 *2823:63 *31017:A 0.00015936 -63 *2823:63 *3163:56 0.000173169 -64 *2823:63 *3189:11 0.00010277 -65 *2823:63 *3206:179 0.000223636 -66 *2823:63 *3214:173 9.21418e-06 -67 *2823:63 *5631:145 5.41794e-05 -68 *2823:63 *6385:141 1.03169e-05 -69 *2823:72 *31017:A 0.000375813 -70 *2823:72 *6385:141 0.00011575 -71 *2823:86 *31017:A 0.000219197 -72 *2823:86 *2855:279 4.97121e-06 -73 *2823:86 *3176:7 0.000135028 -74 *2823:86 *3176:8 3.17148e-05 -75 *2823:86 *3209:11 8.79096e-05 -76 *2823:86 *5504:67 0.000216755 -77 *2823:86 *5651:13 6.39808e-05 -78 *2823:86 *6385:141 2.80819e-05 -79 *27613:A *27624:B 2.298e-05 -80 *28779:D *27259:C 0.000136958 -81 *1185:52 *2823:29 2.11419e-05 -82 *1185:52 *2823:38 0.000734533 -83 *1185:52 *2823:49 0.00013684 -84 *1185:54 *27657:A 0.00014576 -85 *1802:38 *2823:8 7.73022e-05 -86 *1802:38 *2823:26 5.6876e-05 -87 *1802:51 *2823:29 0.000186561 -88 *1802:51 *2823:38 0.000740972 -89 *1802:51 *2823:49 0.00014534 -90 *1802:51 *2823:63 0.000144348 -91 *1802:82 *2823:63 0.000287221 -92 *1803:11 *27259:C 5.8258e-05 -93 *1826:79 *2823:8 0 -94 *2792:129 *27644:A 2.59355e-05 -*RES -1 *27251:X *2823:4 9.3 -2 *2823:4 *2823:8 20.4643 -3 *2823:8 *27632:A 14.3357 -4 *2823:8 *2823:26 24.2854 -5 *2823:26 *2823:29 9.48214 -6 *2823:29 *27644:A 14.3357 -7 *2823:29 *2823:38 9.83929 -8 *2823:38 *27616:A 15.9786 -9 *2823:38 *2823:49 6.44643 -10 *2823:49 *27654:A 18.05 -11 *2823:49 *2823:63 14.6429 -12 *2823:63 *27641:B 14.7464 -13 *2823:63 *2823:72 4.98214 -14 *2823:72 *27613:B 13.8 -15 *2823:72 *2823:86 10.8036 -16 *2823:86 *27624:B 11.0679 -17 *2823:86 *27657:A 20.2464 -18 *2823:26 *27266:A 9.3 -19 *2823:4 *27259:C 29.1929 -*END - -*D_NET *2824 0.0101016 -*CONN -*I *27258:A I *D sky130_fd_sc_hd__nand2_1 -*I *27255:B1 I *D sky130_fd_sc_hd__a21oi_1 -*I *27261:B I *D sky130_fd_sc_hd__and3_1 -*I *27256:A2 I *D sky130_fd_sc_hd__a21oi_1 -*I *27252:X O *D sky130_fd_sc_hd__and3_1 -*CAP -1 *27258:A 7.60712e-05 -2 *27255:B1 0 -3 *27261:B 0.000544491 -4 *27256:A2 0.00016327 -5 *27252:X 0.000238552 -6 *2824:28 0.000725539 -7 *2824:14 0.000707546 -8 *2824:9 0.00067785 -9 *27256:A2 *27256:A1 0.000319351 -10 *27256:A2 *3636:16 5.25862e-06 -11 *27256:A2 *5680:257 0.000342539 -12 *27258:A *3019:8 0.000164788 -13 *27261:B *24817:A 4.70078e-05 -14 *27261:B *27439:A1 0.000340743 -15 *27261:B *28781:SET_B 9.71197e-05 -16 *27261:B *30453:A 1.74352e-05 -17 *27261:B *2827:16 0.000942469 -18 *27261:B *5720:159 0.000430187 -19 *27261:B *5751:167 8.33454e-05 -20 *27261:B *6311:43 0 -21 *27261:B *6384:39 0.000137561 -22 *2824:9 *24985:A1 4.35597e-05 -23 *2824:9 *27044:A0 0.000149543 -24 *2824:9 *27252:B 0.000594204 -25 *2824:9 *27260:A1 2.14658e-05 -26 *2824:9 *5522:85 0.000188044 -27 *2824:14 *25528:A_N 0.000163916 -28 *2824:14 *27252:B 0.000135028 -29 *2824:14 *27256:A1 0.000319584 -30 *2824:14 *27260:A1 6.86792e-05 -31 *2824:14 *28617:CLK 0.000553187 -32 *2824:14 *2827:16 3.15795e-05 -33 *2824:14 *3019:8 1.20719e-05 -34 *2824:14 *5680:257 0.000176742 -35 *2824:14 *6310:26 3.39401e-05 -36 *2824:14 *6310:35 0.000190098 -37 *2824:28 *6498:DIODE 2.59355e-05 -38 *2824:28 *28779:RESET_B 0.000201278 -39 *2824:28 *28781:SET_B 1.24368e-05 -40 *2824:28 *5530:32 0.000451537 -41 *28779:D *27261:B 0.000186049 -42 *28781:D *27261:B 9.71197e-05 -43 *28781:D *2824:28 4.08637e-05 -44 *1624:33 *27261:B 0.000178874 -45 *2778:14 *27258:A 0.000164788 -*RES -1 *27252:X *2824:9 26.1214 -2 *2824:9 *2824:14 16 -3 *2824:14 *27256:A2 18.4786 -4 *2824:14 *2824:28 10.1071 -5 *2824:28 *27261:B 44.8893 -6 *2824:28 *27255:B1 9.3 -7 *2824:9 *27258:A 20.55 -*END - -*D_NET *2825 0.0269995 -*CONN -*I *27618:A I *D sky130_fd_sc_hd__and3_4 -*I *27607:B I *D sky130_fd_sc_hd__nand2_4 -*I *27603:A I *D sky130_fd_sc_hd__nand2_8 -*I *27637:A I *D sky130_fd_sc_hd__and3_1 -*I *27606:A I *D sky130_fd_sc_hd__and3_2 -*I *27664:A I *D sky130_fd_sc_hd__and3_4 -*I *27254:A3 I *D sky130_fd_sc_hd__o32a_1 -*I *27253:X O *D sky130_fd_sc_hd__and2b_4 -*CAP -1 *27618:A 0.00108886 -2 *27607:B 0 -3 *27603:A 0.000215018 -4 *27637:A 0 -5 *27606:A 0 -6 *27664:A 0.000426941 -7 *27254:A3 4.5505e-05 -8 *27253:X 0.00120718 -9 *2825:78 0.0016001 -10 *2825:45 0.00137314 -11 *2825:39 0.00109172 -12 *2825:32 0.00152793 -13 *2825:27 0.00295311 -14 *2825:12 0.00252716 -15 *27254:A3 *6303:22 3.30352e-05 -16 *27603:A *3537:74 0 -17 *27603:A *5658:7 0.000136733 -18 *27603:A *5714:16 0.000234309 -19 *27618:A *27445:A2 0.000919883 -20 *27618:A *2864:96 0.00086151 -21 *27618:A *2895:23 0.000758314 -22 *27664:A *27606:C 9.54798e-06 -23 *27664:A *2827:54 4.35537e-05 -24 *27664:A *2832:27 0.000307336 -25 *27664:A *3158:11 0.000463334 -26 *27664:A *3825:37 0.000158004 -27 *27664:A *5642:13 0.00030926 -28 *2825:12 *27254:B2 2.8266e-06 -29 *2825:12 *28777:SET_B 0.000136569 -30 *2825:12 *28778:SET_B 2.65576e-05 -31 *2825:12 *5530:44 0 -32 *2825:12 *5720:159 5.58941e-05 -33 *2825:12 *6303:10 2.29592e-05 -34 *2825:12 *6307:23 3.1889e-05 -35 *2825:12 *6307:29 0.000108311 -36 *2825:12 *6307:53 4.38128e-05 -37 *2825:12 *6308:48 9.90431e-05 -38 *2825:27 *27254:B2 9.63321e-06 -39 *2825:27 *27278:B 0 -40 *2825:27 *2834:27 4.206e-05 -41 *2825:27 *2843:11 0 -42 *2825:27 *2849:15 5.65544e-05 -43 *2825:27 *2864:12 0.000284961 -44 *2825:27 *2864:96 0.000112667 -45 *2825:27 *2865:9 0 -46 *2825:27 *4907:28 2.86824e-05 -47 *2825:27 *5530:44 0 -48 *2825:27 *5657:13 0.000583278 -49 *2825:27 *6302:41 1.90936e-05 -50 *2825:27 *6303:10 1.32941e-05 -51 *2825:32 *5592:25 0.00161546 -52 *2825:39 *27637:B 0.000104982 -53 *2825:39 *3537:74 5.60507e-05 -54 *2825:39 *5714:16 4.40713e-05 -55 *2825:45 *27606:C 0.00012345 -56 *2825:45 *27637:C 0.000540241 -57 *2825:45 *2827:54 0.000258913 -58 *2825:45 *2827:65 0.0001671 -59 *2825:45 *3192:9 0.000162753 -60 *2825:45 *3192:20 4.96499e-05 -61 *2825:45 *3825:37 3.42676e-05 -62 *2825:45 *5981:38 1.88175e-05 -63 *2825:78 *2864:96 0.00132924 -64 *2825:78 *2895:23 0.000353066 -65 *2825:78 *5657:13 0.000782567 -66 *27241:B2 *2825:12 0.000120504 -67 *27254:A1 *27254:A3 6.05161e-06 -68 *27254:A2 *2825:27 2.22043e-05 -69 *27254:B1 *2825:12 0 -70 *28773:D *2825:12 0 -71 *28778:D *2825:12 0 -72 *1185:52 *2825:27 1.94879e-05 -73 *1282:68 *2825:12 3.10885e-05 -74 *1282:68 *2825:27 1.1594e-05 -75 *1282:77 *27254:A3 9.90431e-05 -76 *1289:55 *2825:12 0.000726776 -77 *1339:10 *27664:A 6.19056e-05 -78 *1339:10 *2825:45 8.24217e-05 -79 *2787:62 *2825:78 3.64479e-05 -80 *2787:112 *27618:A 4.94195e-05 -81 *2787:112 *2825:78 5.74893e-05 -82 *2790:29 *27603:A 3.69047e-06 -83 *2814:13 *2825:12 6.11983e-05 -*RES -1 *27253:X *2825:12 33.9607 -2 *2825:12 *27254:A3 15.175 -3 *2825:12 *2825:27 24.7679 -4 *2825:27 *2825:32 24.7321 -5 *2825:32 *2825:39 7.41071 -6 *2825:39 *2825:45 20.2857 -7 *2825:45 *27664:A 25.4786 -8 *2825:45 *27606:A 13.8 -9 *2825:39 *27637:A 9.3 -10 *2825:32 *27603:A 18.3179 -11 *2825:27 *2825:78 17.7321 -12 *2825:78 *27607:B 13.8 -13 *2825:78 *27618:A 34.6214 -*END - -*D_NET *2826 0.00169976 -*CONN -*I *27256:B1 I *D sky130_fd_sc_hd__a21oi_1 -*I *27255:Y O *D sky130_fd_sc_hd__a21oi_1 -*CAP -1 *27256:B1 0.000490776 -2 *27255:Y 0.000490776 -3 *27256:B1 *2831:17 3.62533e-05 -4 *27256:B1 *3636:14 0.000129876 -5 *27256:B1 *3636:16 0.000120708 -6 *27256:B1 *5530:32 0.000353689 -7 *28779:D *27256:B1 2.89624e-05 -8 *2811:15 *27256:B1 4.87171e-05 -*RES -1 *27255:Y *27256:B1 35.8679 -*END - -*D_NET *2827 0.0286793 -*CONN -*I *27266:B I *D sky130_fd_sc_hd__and3_4 -*I *27644:B I *D sky130_fd_sc_hd__and3_4 -*I *27606:B I *D sky130_fd_sc_hd__and3_2 -*I *27638:B I *D sky130_fd_sc_hd__and3_1 -*I *27663:A I *D sky130_fd_sc_hd__and3_4 -*I *27618:B I *D sky130_fd_sc_hd__and3_4 -*I *27601:B I *D sky130_fd_sc_hd__and3_4 -*I *27640:A I *D sky130_fd_sc_hd__and3_4 -*I *27258:B I *D sky130_fd_sc_hd__nand2_1 -*I *27261:C I *D sky130_fd_sc_hd__and3_1 -*I *27257:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *27266:B 2.99504e-05 -2 *27644:B 0.000164743 -3 *27606:B 0.000135309 -4 *27638:B 0.000734341 -5 *27663:A 0 -6 *27618:B 9.35342e-05 -7 *27601:B 0 -8 *27640:A 0 -9 *27258:B 0.000232088 -10 *27261:C 8.49669e-05 -11 *27257:X 0 -12 *2827:77 0.000309347 -13 *2827:72 0.00114889 -14 *2827:65 0.00231468 -15 *2827:54 0.000916606 -16 *2827:44 0.000658192 -17 *2827:41 0.00055131 -18 *2827:37 0.000862118 -19 *2827:26 0.00115268 -20 *2827:16 0.0011318 -21 *2827:4 0.00126829 -22 *27258:B *2828:10 2.59355e-05 -23 *27258:B *5707:251 5.45582e-05 -24 *27261:C *2830:11 0.000268403 -25 *27261:C *3575:100 0.000298008 -26 *27261:C *3575:108 5.52238e-05 -27 *27266:B *2832:15 5.33005e-05 -28 *27266:B *2832:27 4.08637e-05 -29 *27266:B *3670:24 9.41642e-05 -30 *27606:B *3158:11 5.33005e-05 -31 *27606:B *5663:5 6.34436e-05 -32 *27618:B *2998:32 0.000226718 -33 *27618:B *5485:133 0.000236266 -34 *27638:B *2888:167 0.000551486 -35 *27638:B *3160:97 0.000124127 -36 *27638:B *3851:54 7.69776e-06 -37 *27638:B *5633:26 2.84446e-05 -38 *27638:B *5649:29 4.19624e-06 -39 *27638:B *5863:191 0.000155959 -40 *27644:B *2834:20 0 -41 *27644:B *3825:37 0 -42 *27644:B *5662:15 0 -43 *27644:B *6385:141 0.0002029 -44 *2827:16 *24817:A 9.22103e-06 -45 *2827:16 *25528:A_N 0.000118986 -46 *2827:16 *27256:A1 0.000464236 -47 *2827:16 *27257:B 0.000303368 -48 *2827:16 *28779:RESET_B 9.06773e-05 -49 *2827:16 *3636:14 9.54536e-05 -50 *2827:16 *3636:41 0.000258495 -51 *2827:26 *27252:C 6.67658e-05 -52 *2827:26 *2835:15 8.42909e-05 -53 *2827:26 *6385:141 0.000396397 -54 *2827:37 *27054:B 2.11419e-05 -55 *2827:37 *27268:A2 0 -56 *2827:37 *28617:D 0 -57 *2827:37 *2832:27 0.000324878 -58 *2827:37 *2835:15 0.000845608 -59 *2827:37 *3147:27 0 -60 *2827:37 *5656:14 0.000132691 -61 *2827:37 *6385:141 0.000570499 -62 *2827:41 *2832:27 1.08359e-05 -63 *2827:41 *2834:20 0 -64 *2827:41 *6385:141 0.000170661 -65 *2827:44 *5442:63 9.90431e-05 -66 *2827:44 *5442:74 1.21289e-05 -67 *2827:44 *5663:5 0.000117543 -68 *2827:54 *3177:19 0.000167875 -69 *2827:54 *3825:37 0.000197987 -70 *2827:54 *5645:15 5.33005e-05 -71 *2827:65 *3040:41 0.00011926 -72 *2827:65 *3147:57 5.10822e-05 -73 *2827:65 *3825:37 0.000274345 -74 *2827:65 *5531:18 0.000453552 -75 *2827:65 *5645:15 5.33005e-05 -76 *2827:72 *27663:B 1.98839e-05 -77 *2827:72 *27663:C 0.000123471 -78 *2827:72 *30808:A 0.000819276 -79 *2827:72 *2852:297 2.84241e-06 -80 *2827:72 *3160:97 8.08822e-05 -81 *2827:72 *3537:74 0.000688377 -82 *2827:72 *5631:20 0.000691424 -83 *2827:72 *5651:13 0 -84 *2827:77 *27663:C 5.49544e-05 -85 *2827:77 *2998:32 0.000330247 -86 *2827:77 *5485:133 0.00032611 -87 *27214:C1 *2827:16 8.04253e-05 -88 *27261:B *2827:16 0.000942469 -89 *27615:A_N *2827:65 0.000661318 -90 *27641:A_N *2827:65 0.000232012 -91 *27664:A *2827:54 4.35537e-05 -92 *30523:A *2827:16 0.000480133 -93 *1218:136 *2827:37 0.00019384 -94 *1256:61 *2827:77 8.68595e-05 -95 *1339:10 *2827:65 0.000263546 -96 *1624:33 *2827:16 0.00144526 -97 *2777:105 *2827:16 2.60984e-05 -98 *2781:39 *2827:72 0.00017754 -99 *2781:39 *2827:77 0.000308574 -100 *2787:17 *2827:16 0.000181599 -101 *2790:29 *2827:72 6.35412e-05 -102 *2824:14 *2827:16 3.15795e-05 -103 *2825:45 *2827:54 0.000258913 -104 *2825:45 *2827:65 0.0001671 -*RES -1 *27257:X *2827:4 9.3 -2 *2827:4 *2827:16 47.0893 -3 *2827:16 *27261:C 12.5679 -4 *2827:4 *2827:26 10.3214 -5 *2827:26 *27258:B 17.2286 -6 *2827:26 *2827:37 19.5536 -7 *2827:37 *2827:41 2.78571 -8 *2827:41 *2827:44 7.91071 -9 *2827:44 *2827:54 15.4821 -10 *2827:54 *27640:A 9.3 -11 *2827:54 *2827:65 26.9368 -12 *2827:65 *2827:72 20.6069 -13 *2827:72 *2827:77 11.875 -14 *2827:77 *27601:B 13.8 -15 *2827:77 *27618:B 16.9607 -16 *2827:72 *27663:A 9.3 -17 *2827:65 *27638:B 23.6467 -18 *2827:44 *27606:B 11.0679 -19 *2827:41 *27644:B 16.6571 -20 *2827:37 *27266:B 14.7464 -*END - -*D_NET *2828 0.00448684 -*CONN -*I *27260:A3 I *D sky130_fd_sc_hd__a31o_1 -*I *27263:A1 I *D sky130_fd_sc_hd__a21oi_1 -*I *27258:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *27260:A3 0.000611341 -2 *27263:A1 3.09067e-05 -3 *27258:Y 0.000617974 -4 *2828:10 0.00126022 -5 *27260:A3 *5667:201 0.00012501 -6 *27260:A3 *5970:43 8.25843e-06 -7 *27260:A3 *5970:47 0.000368937 -8 *27263:A1 *5970:43 3.51393e-05 -9 *2828:10 *27252:C 2.87435e-05 -10 *2828:10 *27255:A1 0.000148189 -11 *2828:10 *28779:RESET_B 0 -12 *2828:10 *28780:RESET_B 0.00037582 -13 *2828:10 *5707:251 0.000174326 -14 *2828:10 *5751:199 8.79458e-05 -15 *2828:10 *6309:12 6.7033e-05 -16 *2828:10 *6309:39 3.04097e-05 -17 *2828:10 *6310:26 0.000142427 -18 *27258:B *2828:10 2.59355e-05 -19 *27259:C *2828:10 0.000192862 -20 *27260:A2 *27260:A3 0.000123295 -21 *28779:D *2828:10 0 -22 *1803:11 *2828:10 3.20635e-05 -23 *2823:8 *2828:10 0 -*RES -1 *27258:Y *2828:10 32.4786 -2 *2828:10 *27263:A1 10.0321 -3 *2828:10 *27260:A3 27.4786 -*END - -*D_NET *2829 0.000925595 -*CONN -*I *27260:B1 I *D sky130_fd_sc_hd__a31o_1 -*I *27259:X O *D sky130_fd_sc_hd__and3_1 -*CAP -1 *27260:B1 0.000256635 -2 *27259:X 0.000256635 -3 *27260:B1 *27260:A1 5.6671e-05 -4 *27260:B1 *5694:171 5.47476e-05 -5 *27260:B1 *6311:62 1.7276e-05 -6 *27259:C *27260:B1 0.000225616 -7 *27260:A2 *27260:B1 4.1331e-06 -8 *28780:D *27260:B1 5.38813e-05 -*RES -1 *27259:X *27260:B1 31.9527 -*END - -*D_NET *2830 0.00608893 -*CONN -*I *27263:B1 I *D sky130_fd_sc_hd__a21oi_1 -*I *27267:B I *D sky130_fd_sc_hd__nor2_1 -*I *27261:X O *D sky130_fd_sc_hd__and3_1 -*CAP -1 *27263:B1 0 -2 *27267:B 0.00099006 -3 *27261:X 0.00104225 -4 *2830:11 0.00203231 -5 *27267:B *27044:A0 2.6809e-05 -6 *27267:B *28633:D 2.01553e-05 -7 *27267:B *28633:RESET_B 8.79458e-05 -8 *27267:B *5675:281 5.25192e-06 -9 *27267:B *5694:183 6.5937e-05 -10 *27267:B *5970:43 0.000588998 -11 *27267:B *5970:47 8.43535e-06 -12 *2830:11 *28781:SET_B 0.000384775 -13 *2830:11 *3575:108 3.97677e-05 -14 *2830:11 *5530:32 2.13481e-06 -15 *2830:11 *5751:167 9.25014e-06 -16 *2830:11 *5970:47 9.66977e-05 -17 *2830:11 *6311:11 2.29097e-05 -18 *25015:A2 *27267:B 0.000120504 -19 *27261:C *2830:11 0.000268403 -20 *27267:A *27267:B 9.54798e-06 -21 *28779:D *2830:11 6.25007e-05 -22 *28781:D *2830:11 0.000204279 -*RES -1 *27261:X *2830:11 32.925 -2 *2830:11 *27267:B 31.4786 -3 *2830:11 *27263:B1 9.3 -*END - -*D_NET *2831 0.0105407 -*CONN -*I *27263:A2 I *D sky130_fd_sc_hd__a21oi_1 -*I *27262:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *27263:A2 0 -2 *27262:Y 0.00195298 -3 *2831:17 0.00195298 -4 *2831:17 *27255:A1 3.43988e-06 -5 *2831:17 *27462:S 0.000108748 -6 *2831:17 *27844:A0 5.33005e-05 -7 *2831:17 *28779:RESET_B 7.10717e-05 -8 *2831:17 *2840:17 0.00100436 -9 *2831:17 *3041:25 0.000121085 -10 *2831:17 *3572:64 0.000739275 -11 *2831:17 *4574:47 0.000107313 -12 *2831:17 *5694:133 4.22135e-06 -13 *2831:17 *5720:77 0.00150576 -14 *2831:17 *5970:43 5.52238e-05 -15 *2831:17 *6319:42 0.000392157 -16 *2831:17 *6320:17 5.16355e-05 -17 *2831:17 *6350:35 9.49984e-05 -18 *2831:17 *6387:54 0.000920419 -19 *25521:B1 *2831:17 5.62032e-05 -20 *27214:A1 *2831:17 3.06892e-05 -21 *27255:A2 *2831:17 7.36559e-05 -22 *27256:B1 *2831:17 3.62533e-05 -23 *27267:A *2831:17 0.000181331 -24 *28781:D *2831:17 0 -25 *29796:A *2831:17 0.000248336 -26 *1244:53 *2831:17 2.04825e-05 -27 *1273:251 *2831:17 0.000242072 -28 *1797:11 *2831:17 0.000122735 -29 *2811:15 *2831:17 0.000273165 -30 *2816:12 *2831:17 0.000116794 -*RES -1 *27262:Y *2831:17 45.0911 -2 *2831:17 *27263:A2 9.3 -*END - -*D_NET *2832 0.0313082 -*CONN -*I *27612:B I *D sky130_fd_sc_hd__and3_4 -*I *27618:C I *D sky130_fd_sc_hd__and3_4 -*I *27601:C I *D sky130_fd_sc_hd__and3_4 -*I *27657:B I *D sky130_fd_sc_hd__and3_4 -*I *27663:B I *D sky130_fd_sc_hd__and3_4 -*I *27624:C I *D sky130_fd_sc_hd__and3_4 -*I *27633:A I *D sky130_fd_sc_hd__and3_4 -*I *27654:B I *D sky130_fd_sc_hd__and3_4 -*I *27653:A I *D sky130_fd_sc_hd__or4_4 -*I *27652:A I *D sky130_fd_sc_hd__nor4_1 -*I *27660:A I *D sky130_fd_sc_hd__and3_4 -*I *27664:B I *D sky130_fd_sc_hd__and3_4 -*I *27266:C I *D sky130_fd_sc_hd__and3_4 -*I *27264:X O *D sky130_fd_sc_hd__and2b_4 -*CAP -1 *27612:B 0.00022913 -2 *27618:C 0.000112914 -3 *27601:C 1.67089e-05 -4 *27657:B 8.11552e-05 -5 *27663:B 0.000111256 -6 *27624:C 5.1232e-05 -7 *27633:A 0.000826093 -8 *27654:B 0.000750325 -9 *27653:A 5.95548e-05 -10 *27652:A 0 -11 *27660:A 3.95848e-05 -12 *27664:B 2.23854e-05 -13 *27266:C 0 -14 *27264:X 0.000747105 -15 *2832:147 0.000464119 -16 *2832:131 0.000192411 -17 *2832:129 0.00040016 -18 *2832:116 0.000198001 -19 *2832:95 0.00128105 -20 *2832:78 0.0018629 -21 *2832:72 0.00141506 -22 *2832:58 0.000622139 -23 *2832:47 0.000370247 -24 *2832:38 0.000548889 -25 *2832:27 0.000696828 -26 *2832:15 0.00116711 -27 *27612:B *27613:C 5.42764e-05 -28 *27612:B *27638:C 6.86792e-05 -29 *27612:B *27802:A2 0.000169799 -30 *27612:B *2888:167 8.43535e-06 -31 *27612:B *3150:116 6.13743e-05 -32 *27612:B *3851:54 0.000360919 -33 *27612:B *5863:195 6.05161e-06 -34 *27618:C *3170:5 5.33005e-05 -35 *27618:C *3204:18 0.000209777 -36 *27618:C *5485:133 0.000209777 -37 *27618:C *5687:212 5.33005e-05 -38 *27633:A *30808:A 0.000259267 -39 *27633:A *2852:297 0 -40 *27633:A *2871:160 0.000134264 -41 *27633:A *2892:115 1.66761e-05 -42 *27633:A *3149:23 3.24891e-05 -43 *27633:A *3152:17 0.000927724 -44 *27633:A *3159:8 5.90738e-05 -45 *27633:A *3168:25 0.000648678 -46 *27633:A *3185:264 0.000251762 -47 *27633:A *3952:27 8.6051e-05 -48 *27633:A *5606:51 4.85033e-05 -49 *27633:A *5640:13 0.000137983 -50 *27633:A *5641:13 0.000137561 -51 *27633:A *5646:10 0.000187902 -52 *27633:A *5651:13 5.99199e-06 -53 *27653:A *27652:C 0.000173646 -54 *27653:A *3202:9 0.000105136 -55 *27653:A *5645:15 0.000266479 -56 *27654:B *27654:C 6.57032e-05 -57 *27654:B *30795:A 0.000425115 -58 *27654:B *3019:8 7.83659e-05 -59 *27654:B *3214:173 0.000113949 -60 *27657:B *3215:7 0.000105471 -61 *27660:A *5196:95 0.000181796 -62 *27660:A *5660:9 0.000181796 -63 *27663:B *27663:C 5.33005e-05 -64 *27663:B *3215:7 4.58194e-05 -65 *2832:15 *27264:A_N 5.52302e-05 -66 *2832:15 *27268:B1 0.000260512 -67 *2832:15 *27639:B 0.000186442 -68 *2832:15 *28617:CLK 0.000112606 -69 *2832:15 *30816:A 0.00014576 -70 *2832:15 *3019:8 7.34634e-05 -71 *2832:15 *3147:15 0.000655102 -72 *2832:15 *3150:8 3.91504e-05 -73 *2832:15 *3150:38 8.50152e-05 -74 *2832:15 *3670:24 0.000195629 -75 *2832:15 *5196:95 0.000109578 -76 *2832:15 *5751:199 0 -77 *2832:27 *27268:A2 0.000185417 -78 *2832:27 *3216:9 0.000776059 -79 *2832:27 *3670:24 1.98839e-05 -80 *2832:27 *5196:95 9.71197e-05 -81 *2832:27 *5642:13 7.12246e-05 -82 *2832:38 *3163:29 0.000355359 -83 *2832:38 *3212:11 1.69115e-05 -84 *2832:38 *3216:9 9.60875e-05 -85 *2832:38 *3943:20 0 -86 *2832:38 *5459:20 7.88202e-05 -87 *2832:38 *5633:26 1.37292e-05 -88 *2832:38 *5642:13 4.43256e-05 -89 *2832:47 *27652:D 5.33072e-05 -90 *2832:47 *3943:20 0 -91 *2832:47 *5459:20 4.46186e-06 -92 *2832:47 *5633:26 0.000102583 -93 *2832:58 *27652:B 7.55747e-05 -94 *2832:58 *27652:C 1.17968e-05 -95 *2832:58 *27652:D 0.000161554 -96 *2832:58 *5633:26 2.23545e-05 -97 *2832:72 *27612:C 0.000391863 -98 *2832:72 *27652:D 2.84494e-05 -99 *2832:72 *3203:6 0.000255239 -100 *2832:72 *3952:35 0.000178847 -101 *2832:72 *5633:26 0.00049014 -102 *2832:78 *27627:B 0.000175892 -103 *2832:78 *27627:C 1.98839e-05 -104 *2832:78 *27802:A2 5.83304e-05 -105 *2832:78 *3150:116 0.000293465 -106 *2832:78 *3851:54 5.66157e-05 -107 *2832:78 *3952:35 2.24079e-05 -108 *2832:95 *3019:8 0.00074817 -109 *2832:95 *3152:17 0.000164481 -110 *2832:95 *5606:51 4.85033e-05 -111 *2832:116 *3670:14 0.000215706 -112 *2832:116 *5680:241 0.000217421 -113 *2832:129 *3670:14 0.000168939 -114 *2832:129 *5680:241 0.000162825 -115 *2832:147 *2848:384 0.000473331 -116 *2832:147 *3670:14 0.000392994 -117 *2832:147 *5680:241 0.000383743 -118 *27266:B *2832:15 5.33005e-05 -119 *27266:B *2832:27 4.08637e-05 -120 *27601:A *27601:C 5.33005e-05 -121 *27601:A *2832:78 6.96405e-05 -122 *27601:A *2832:147 0.000636786 -123 *27612:A *27612:B 5.33005e-05 -124 *27613:A *27624:C 2.85715e-05 -125 *27613:A *2832:95 6.05161e-06 -126 *27624:B *27624:C 4.12041e-05 -127 *27627:A *2832:78 1.21258e-05 -128 *27638:A *27612:B 4.27935e-05 -129 *27664:A *2832:27 0.000307336 -130 *1185:54 *27654:B 7.6644e-05 -131 *1185:54 *2832:95 0.000759021 -132 *1218:136 *2832:15 0.00024156 -133 *1256:61 *27657:B 0.000204318 -134 *1256:61 *27663:B 0.000345251 -135 *1326:57 *27601:C 2.89114e-05 -136 *1326:57 *2832:147 7.63906e-05 -137 *2781:39 *27663:B 5.54432e-05 -138 *2823:26 *2832:15 0.000514955 -139 *2827:37 *2832:27 0.000324878 -140 *2827:41 *2832:27 1.08359e-05 -141 *2827:72 *27663:B 1.98839e-05 -*RES -1 *27264:X *2832:15 40.2107 -2 *2832:15 *27266:C 9.3 -3 *2832:15 *2832:27 22.1429 -4 *2832:27 *27664:B 9.72857 -5 *2832:27 *2832:38 10.7321 -6 *2832:38 *27660:A 15.5679 -7 *2832:38 *2832:47 4.67857 -8 *2832:47 *27652:A 13.8 -9 *2832:47 *2832:58 2.91071 -10 *2832:58 *27653:A 16.3893 -11 *2832:58 *2832:72 23.0357 -12 *2832:72 *2832:78 18.4821 -13 *2832:78 *27654:B 24.8536 -14 *2832:78 *2832:95 16.4107 -15 *2832:95 *27633:A 39.6759 -16 *2832:95 *2832:116 7.35714 -17 *2832:116 *27624:C 14.9786 -18 *2832:116 *2832:129 2.25 -19 *2832:129 *2832:131 4.5 -20 *2832:131 *27663:B 12.7107 -21 *2832:131 *27657:B 11.4786 -22 *2832:129 *2832:147 16.0714 -23 *2832:147 *27601:C 9.83571 -24 *2832:147 *27618:C 21.6929 -25 *2832:72 *27612:B 20.1393 -*END - -*D_NET *2833 0.00824789 -*CONN -*I *27621:A I *D sky130_fd_sc_hd__nor2_8 -*I *27622:A I *D sky130_fd_sc_hd__nor2_8 -*I *27630:A I *D sky130_fd_sc_hd__nor2_8 -*I *27662:A I *D sky130_fd_sc_hd__nor2_8 -*I *27626:B I *D sky130_fd_sc_hd__nor2_8 -*I *27265:Y O *D sky130_fd_sc_hd__nand2b_4 -*CAP -1 *27621:A 0 -2 *27622:A 6.83392e-05 -3 *27630:A 0 -4 *27662:A 0 -5 *27626:B 0 -6 *27265:Y 0.000204298 -7 *2833:26 0.000588737 -8 *2833:19 0.00088551 -9 *2833:16 0.000597028 -10 *2833:6 0.000436214 -11 *27622:A *2840:98 5.4771e-05 -12 *27622:A *3174:12 5.83304e-05 -13 *27622:A *3183:29 0.000156956 -14 *2833:6 *27268:A1 0 -15 *2833:6 *3178:13 6.92626e-05 -16 *2833:6 *5459:32 3.51442e-05 -17 *2833:6 *6311:83 6.03387e-05 -18 *2833:16 *30799:A 0.000309266 -19 *2833:16 *5459:32 0.000368457 -20 *2833:16 *5635:15 0.000307343 -21 *2833:19 *30795:A 3.34366e-05 -22 *2833:19 *30801:A 0.000100248 -23 *2833:19 *2840:97 0.000196455 -24 *2833:19 *3156:41 0.000179481 -25 *2833:19 *5718:240 0.000261742 -26 *2833:26 *30793:A 0.000120504 -27 *2833:26 *30795:A 0.000117896 -28 *2833:26 *2840:98 0.000232642 -29 *2833:26 *3149:23 0.000110238 -30 *2833:26 *3178:133 5.41797e-06 -31 *2833:26 *3183:29 0.000973307 -32 *1803:34 *2833:6 0 -33 *1803:34 *2833:19 0.000954946 -34 *1803:34 *2833:26 0.000332764 -35 *1826:15 *2833:26 7.48409e-06 -36 *1826:26 *2833:6 0.000100009 -37 *1826:26 *2833:16 0.00032133 -*RES -1 *27265:Y *2833:6 18.4786 -2 *2833:6 *27626:B 13.8 -3 *2833:6 *2833:16 12.4821 -4 *2833:16 *2833:19 17.0714 -5 *2833:19 *27662:A 13.8 -6 *2833:19 *2833:26 14.6964 -7 *2833:26 *27630:A 13.8 -8 *2833:26 *27622:A 16.05 -9 *2833:16 *27621:A 9.3 -*END - -*D_NET *2834 0.0827862 -*CONN -*I *30822:A I *D sky130_fd_sc_hd__buf_12 -*I *27619:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27922:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27871:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27911:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27863:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27268:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27266:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *30822:A 2.37855e-05 -2 *27619:A2 0.00159076 -3 *27922:A2 0 -4 *27871:A2 0.000222643 -5 *27911:A2 0.000330116 -6 *27863:A2 5.31025e-05 -7 *27268:A2 0.000502978 -8 *27266:X 0 -9 *2834:142 0.00166224 -10 *2834:109 0.00434432 -11 *2834:97 0.00323358 -12 *2834:71 0.000457948 -13 *2834:68 0.00403365 -14 *2834:59 0.00436899 -15 *2834:52 0.00211152 -16 *2834:41 0.00308834 -17 *2834:40 0.00246836 -18 *2834:31 0.00531275 -19 *2834:27 0.00397096 -20 *2834:20 0.000912675 -21 *2834:5 0.000835571 -22 *27268:A2 *27268:A1 3.99614e-06 -23 *27268:A2 *27268:B1 5.7661e-06 -24 *27268:A2 *3147:27 0.000397138 -25 *27268:A2 *3158:11 4.30382e-05 -26 *27268:A2 *3216:9 1.02936e-05 -27 *27268:A2 *3216:26 0.000139907 -28 *27619:A2 *25374:B2 0 -29 *27619:A2 *27619:A1 0.000138527 -30 *27619:A2 *27623:B2 0 -31 *27619:A2 *28340:CLK 4.27782e-05 -32 *27619:A2 *2891:24 1.18321e-05 -33 *27619:A2 *3547:12 0.000750019 -34 *27619:A2 *3547:25 8.56884e-05 -35 *27619:A2 *3641:28 1.80537e-05 -36 *27619:A2 *3816:51 0.00134592 -37 *27619:A2 *3868:32 0.000803561 -38 *27619:A2 *5483:145 0.000718982 -39 *27619:A2 *5629:20 1.2629e-05 -40 *27619:A2 *5661:42 0.000343222 -41 *27619:A2 *5691:108 3.43568e-05 -42 *27619:A2 *5691:109 1.25477e-05 -43 *27863:A2 *27863:B2 0.000141935 -44 *27863:A2 *3212:81 6.05161e-06 -45 *27871:A2 *28049:A 4.21517e-05 -46 *27871:A2 *3896:26 8.38972e-05 -47 *27871:A2 *4103:18 0.000251121 -48 *27911:A2 *27535:A1 0.000135028 -49 *27911:A2 *27863:B2 0.000175892 -50 *27911:A2 *27911:A1 2.8937e-05 -51 *27911:A2 *27912:C1 1.89695e-05 -52 *27911:A2 *3212:81 1.52217e-05 -53 *27911:A2 *3571:13 2.02052e-05 -54 *27911:A2 *3687:73 1.01912e-05 -55 *27911:A2 *3804:58 0 -56 *27911:A2 *3869:28 0.000221641 -57 *2834:20 *3147:27 1.02504e-05 -58 *2834:20 *3825:37 0.000106439 -59 *2834:20 *5442:63 5.38273e-05 -60 *2834:20 *5442:74 0.00014285 -61 *2834:20 *5662:15 0.000603462 -62 *2834:27 *27642:B 9.41642e-05 -63 *2834:27 *2864:12 9.54536e-05 -64 *2834:27 *3194:7 0.000135028 -65 *2834:27 *5442:63 0.000356588 -66 *2834:27 *5662:15 0.00182396 -67 *2834:31 *25263:A1 4.96113e-05 -68 *2834:31 *25890:A1 4.35421e-05 -69 *2834:31 *27179:A0 0.000256992 -70 *2834:31 *27179:A1 1.98839e-05 -71 *2834:31 *28668:CLK 7.12001e-05 -72 *2834:31 *28668:D 5.49544e-05 -73 *2834:31 *28668:RESET_B 4.83461e-05 -74 *2834:31 *2839:33 4.87953e-05 -75 *2834:31 *2892:16 0.000718942 -76 *2834:31 *3196:42 1.47213e-05 -77 *2834:31 *3642:84 0.000104731 -78 *2834:31 *5504:66 0.00021291 -79 *2834:31 *5635:15 1.55885e-05 -80 *2834:31 *5707:128 0.000115552 -81 *2834:31 *5717:7 0.000219711 -82 *2834:31 *5873:120 1.0818e-05 -83 *2834:40 *25099:A1 0.000133658 -84 *2834:40 *25099:B2 0.000105687 -85 *2834:40 *27962:A1 0.000302816 -86 *2834:40 *28341:D 0.000268409 -87 *2834:40 *2850:50 9.41642e-05 -88 *2834:40 *5873:142 0.000175892 -89 *2834:41 *25374:B2 0.000857388 -90 *2834:41 *28340:CLK 0.000117054 -91 *2834:52 *3547:25 0.000102048 -92 *2834:52 *3868:32 0.00121183 -93 *2834:59 *28858:A 0.000657921 -94 *2834:59 *3173:81 0.000312679 -95 *2834:59 *3547:25 9.77264e-06 -96 *2834:59 *3827:24 0.0003144 -97 *2834:59 *3868:32 0.00138404 -98 *2834:59 *3868:41 0.000346759 -99 *2834:68 *27537:C 0 -100 *2834:68 *27539:B2 9.29324e-05 -101 *2834:68 *27864:B1 0.000303362 -102 *2834:68 *2872:41 9.84904e-05 -103 *2834:68 *2872:57 0.00018077 -104 *2834:68 *3156:73 0.000988419 -105 *2834:68 *3156:74 0.000389029 -106 *2834:68 *3156:85 9.41642e-05 -107 *2834:68 *3197:164 6.60111e-05 -108 *2834:68 *3778:44 0.00062644 -109 *2834:68 *3791:98 0.000646219 -110 *2834:68 *3814:36 6.35941e-05 -111 *2834:68 *4130:58 3.48282e-05 -112 *2834:71 *27864:C1 0.000104974 -113 *2834:71 *3168:83 0 -114 *2834:71 *4103:24 0.000170773 -115 *2834:97 *27489:A1 0.000348891 -116 *2834:97 *27489:A2 2.26424e-05 -117 *2834:97 *27489:B1 2.06112e-05 -118 *2834:97 *27860:C1 0.000160485 -119 *2834:97 *27864:C1 4.73656e-05 -120 *2834:97 *27865:D 0.000336773 -121 *2834:97 *3164:90 8.07879e-05 -122 *2834:97 *3168:83 0.000401342 -123 *2834:97 *3196:111 1.15289e-05 -124 *2834:97 *3805:59 0.000106304 -125 *2834:97 *4103:24 0.00181726 -126 *2834:97 *4152:12 0.000107313 -127 *2834:109 *2894:87 0.000127753 -128 *2834:109 *3156:124 3.84738e-05 -129 *2834:109 *3165:297 6.57893e-06 -130 *2834:109 *3194:129 9.70328e-05 -131 *2834:109 *3684:21 0.000807829 -132 *2834:109 *3749:46 0 -133 *2834:109 *3805:59 0.000119121 -134 *2834:109 *3896:26 0.000109105 -135 *2834:109 *4103:18 1.36991e-05 -136 *2834:109 *4103:24 0.0013085 -137 *2834:109 *4126:48 0 -138 *2834:109 *5728:172 2.71873e-05 -139 *2834:109 *5744:30 6.43226e-05 -140 *2834:142 *25429:A1 0.000254567 -141 *2834:142 *25645:A0 3.47641e-06 -142 *2834:142 *27157:A0 2.04825e-05 -143 *2834:142 *27557:A1 2.83916e-05 -144 *2834:142 *27557:B2 0.000198205 -145 *2834:142 *27922:A1 8.76842e-05 -146 *2834:142 *27922:B1 1.578e-05 -147 *2834:142 *27938:B2 1.76352e-05 -148 *2834:142 *28049:A 0.000511146 -149 *2834:142 *30359:A 0.000141907 -150 *2834:142 *3168:129 0.000227493 -151 *2834:142 *3168:143 8.76842e-05 -152 *2834:142 *3713:39 4.75671e-06 -153 *2834:142 *3727:20 1.31235e-05 -154 *2834:142 *3749:105 0.000497066 -155 *2834:142 *3870:34 0.000517233 -156 *2834:142 *3870:52 0.000154904 -157 *2834:142 *4103:18 0.000264441 -158 *2834:142 *5253:44 0.000282346 -159 *2834:142 *5657:114 0.000279059 -160 *2834:142 *5661:123 8.49755e-06 -161 *2834:142 *5728:172 0.000375084 -162 *25099:A2 *2834:40 5.58927e-05 -163 *25099:B1 *2834:40 9.35114e-06 -164 *25265:C1 *27619:A2 0.000155095 -165 *25279:A2 *2834:59 0.00168159 -166 *25393:A2 *2834:59 3.53079e-05 -167 *25393:C1 *2834:68 0 -168 *27179:S *2834:31 3.39014e-05 -169 *27642:A *2834:27 6.57914e-05 -170 *27644:B *2834:20 0 -171 *28085:RESET_B *27871:A2 0.000104778 -172 *29932:A *2834:40 5.33005e-05 -173 *1185:35 *2834:31 1.98839e-05 -174 *1185:52 *2834:31 0.000132967 -175 *1227:42 *2834:52 5.41794e-05 -176 *1263:50 *2834:52 5.41794e-05 -177 *1271:88 *2834:40 0.000682491 -178 *1294:147 *2834:31 0.000211331 -179 *1407:58 *2834:59 0.000135028 -180 *1417:15 *27619:A2 4.12023e-05 -181 *1417:15 *2834:41 0.000536844 -182 *1427:41 *2834:68 0 -183 *1427:41 *2834:97 1.90936e-05 -184 *1427:59 *2834:59 0.00172246 -185 *1440:56 *2834:109 8.77926e-05 -186 *1449:101 *27619:A2 1.20653e-05 -187 *1449:115 *27619:A2 3.69923e-05 -188 *1449:115 *2834:59 0.000211158 -189 *1449:121 *2834:59 3.2687e-05 -190 *1452:103 *2834:59 5.96516e-05 -191 *1472:11 *2834:109 1.42701e-05 -192 *1582:25 *2834:40 0.000884307 -193 *1619:25 *2834:97 0.000164928 -194 *1653:35 *27619:A2 0.000148999 -195 *1748:20 *2834:142 2.48858e-05 -196 *1802:38 *2834:27 0.00014051 -197 *1802:51 *2834:20 0.000148842 -198 *1802:51 *2834:27 0.000213276 -199 *2780:61 *2834:40 9.37606e-06 -200 *2789:25 *27911:A2 0.000542024 -201 *2789:27 *27871:A2 0.000189488 -202 *2789:27 *2834:109 0.00180211 -203 *2789:27 *2834:142 0.000212122 -204 *2792:129 *2834:31 0.000152945 -205 *2792:145 *2834:31 0.000217089 -206 *2825:27 *2834:27 4.206e-05 -207 *2827:37 *27268:A2 0 -208 *2827:41 *2834:20 0 -209 *2832:27 *27268:A2 0.000185417 -*RES -1 *27266:X *2834:5 13.8 -2 *2834:5 *27268:A2 24.1571 -3 *2834:5 *2834:20 13.5357 -4 *2834:20 *2834:27 28.125 -5 *2834:27 *2834:31 48.1429 -6 *2834:31 *2834:40 38.8393 -7 *2834:40 *2834:41 14.6964 -8 *2834:41 *2834:52 27.2321 -9 *2834:52 *2834:59 46.7582 -10 *2834:59 *2834:68 31.3295 -11 *2834:68 *2834:71 6.75 -12 *2834:71 *27863:A2 10.6571 -13 *2834:71 *27911:A2 28.0411 -14 *2834:68 *2834:97 31.0386 -15 *2834:97 *2834:109 41.6015 -16 *2834:109 *27871:A2 19.5946 -17 *2834:109 *2834:142 42.0618 -18 *2834:142 *27922:A2 9.3 -19 *2834:41 *27619:A2 49.315 -20 *2834:20 *30822:A 9.72857 -*END - -*D_NET *2835 0.00633684 -*CONN -*I *27268:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27267:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *27268:B1 0.000180305 -2 *27267:Y 0.0013342 -3 *2835:15 0.00151451 -4 *27268:B1 *27268:A1 2.59355e-05 -5 *27268:B1 *28617:CLK 0.000123295 -6 *27268:B1 *3019:8 6.59765e-05 -7 *2835:15 *25009:B2 6.93294e-05 -8 *2835:15 *27062:A1 0.00033644 -9 *2835:15 *27252:A 6.62375e-05 -10 *2835:15 *27252:C 0.000133412 -11 *2835:15 *28617:D 2.8266e-06 -12 *2835:15 *3147:27 0 -13 *2835:15 *3825:14 0.000103235 -14 *2835:15 *3825:37 0 -15 *2835:15 *5608:42 0.000393943 -16 *2835:15 *5675:284 0.000261196 -17 *2835:15 *5694:183 2.12521e-05 -18 *2835:15 *6385:139 6.90348e-06 -19 *2835:15 *6385:141 0 -20 *25015:C1 *2835:15 0.000176133 -21 *27268:A2 *27268:B1 5.7661e-06 -22 *30347:A *2835:15 0.00031275 -23 *1282:84 *2835:15 1.27784e-05 -24 *2827:26 *2835:15 8.42909e-05 -25 *2827:37 *2835:15 0.000845608 -26 *2832:15 *27268:B1 0.000260512 -*RES -1 *27267:Y *2835:15 47.3536 -2 *2835:15 *27268:B1 22.7286 -*END - -*D_NET *2836 0.00206429 -*CONN -*I *27270:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27269:X O *D sky130_fd_sc_hd__or3b_1 -*CAP -1 *27270:B1 0.000494277 -2 *27269:X 0.000494277 -3 *27270:B1 *27269:A 0.000139344 -4 *27270:B1 *27270:B2 7.44147e-05 -5 *27270:B1 *27271:S 0.000306309 -6 *27270:B1 *29032:A 0.000170992 -7 *27270:B1 *3575:137 0.000130763 -8 *27270:B1 *3575:139 3.51442e-05 -9 *27270:B1 *5751:8 9.67754e-05 -10 *27270:B1 *5858:17 4.62423e-05 -11 *27270:B1 *6387:10 9.54798e-06 -12 *2805:40 *27270:B1 6.62058e-05 -*RES -1 *27269:X *27270:B1 38.1893 -*END - -*D_NET *2837 0.0083799 -*CONN -*I *27273:B1 I *D sky130_fd_sc_hd__a2bb2o_1 -*I *27271:S I *D sky130_fd_sc_hd__mux2_1 -*I *27270:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27273:B1 0.000884077 -2 *27271:S 0.00111171 -3 *27270:X 0.000129382 -4 *2837:8 0.00212517 -5 *27271:S *27225:B1 0.000114847 -6 *27271:S *27271:A1 9.90367e-05 -7 *27271:S *5720:97 1.90936e-05 -8 *27271:S *5858:23 6.47172e-06 -9 *27271:S *6387:22 0.000819519 -10 *27273:B1 *27273:A1_N 6.56954e-05 -11 *27273:B1 *27273:B2 0.000181803 -12 *27273:B1 *29032:A 4.60232e-06 -13 *27273:B1 *2838:31 1.98839e-05 -14 *27273:B1 *3636:16 0.000143766 -15 *27273:B1 *5538:21 0.000715857 -16 *27273:B1 *5751:8 2.03618e-05 -17 *27273:B1 *5926:171 0.000188071 -18 *27273:B1 *6386:17 0.000392026 -19 *2837:8 *28771:CLK 0.000136958 -20 *2837:8 *5534:14 4.22135e-06 -21 *2837:8 *5570:23 0.000123728 -22 *2837:8 *5751:8 2.60996e-05 -23 *2837:8 *5751:10 1.38247e-05 -24 *25046:B1 *27273:B1 0.000142222 -25 *27225:C1 *27271:S 5.25862e-06 -26 *27270:A2 *27273:B1 1.83518e-05 -27 *27270:A2 *2837:8 1.15058e-05 -28 *27270:B1 *27271:S 0.000306309 -29 *27275:A *27271:S 0.000200296 -30 *775:23 *27271:S 0.00010096 -31 *1177:14 *27273:B1 0.000198279 -32 *1806:8 *27271:S 5.05056e-05 -*RES -1 *27270:X *2837:8 16.4964 -2 *2837:8 *27271:S 39.7464 -3 *2837:8 *27273:B1 41.5321 -*END - -*D_NET *2838 0.00534154 -*CONN -*I *27273:A2_N I *D sky130_fd_sc_hd__a2bb2o_1 -*I *27272:X O *D sky130_fd_sc_hd__or4b_1 -*CAP -1 *27273:A2_N 0 -2 *27272:X 0.00144404 -3 *2838:31 0.00144404 -4 *2838:31 *25523:D 2.3541e-05 -5 *2838:31 *27079:A1 5.52238e-05 -6 *2838:31 *27273:B2 0.000139907 -7 *2838:31 *27483:A2 0.000264755 -8 *2838:31 *28647:D 2.14757e-05 -9 *2838:31 *3040:41 0.000178134 -10 *2838:31 *3850:17 9.87983e-06 -11 *2838:31 *3851:31 0.000354165 -12 *2838:31 *5523:96 7.81165e-05 -13 *2838:31 *5570:23 4.70448e-05 -14 *27272:C *2838:31 0 -15 *27273:B1 *2838:31 1.98839e-05 -16 *29805:A *2838:31 7.69776e-06 -17 *12:20 *2838:31 5.11566e-05 -18 *18:20 *2838:31 0.000494762 -19 *23:22 *2838:31 8.30855e-05 -20 *1245:142 *2838:31 0.000228351 -21 *1264:92 *2838:31 9.90367e-05 -22 *1798:19 *2838:31 0.00029725 -*RES -1 *27272:X *2838:31 48.4839 -2 *2838:31 *27273:A2_N 9.3 -*END - -*D_NET *2839 0.0545679 -*CONN -*I *27868:B1_N I *D sky130_fd_sc_hd__o21ba_1 -*I *27893:B1_N I *D sky130_fd_sc_hd__o21ba_1 -*I *27918:B1_N I *D sky130_fd_sc_hd__o21ba_1 -*I *27967:B1_N I *D sky130_fd_sc_hd__o21ba_1 -*I *27671:A1 I *D sky130_fd_sc_hd__a32o_1 -*I *27333:C1 I *D sky130_fd_sc_hd__o221a_1 -*I *27274:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *27868:B1_N 0 -2 *27893:B1_N 6.71577e-05 -3 *27918:B1_N 0.000768469 -4 *27967:B1_N 2.06112e-05 -5 *27671:A1 0.000595598 -6 *27333:C1 7.59167e-05 -7 *27274:Y 0 -8 *2839:117 0.00154897 -9 *2839:85 0.00139444 -10 *2839:73 0.00280395 -11 *2839:71 0.00333825 -12 *2839:40 0.000671514 -13 *2839:38 0.00176059 -14 *2839:33 0.00313625 -15 *2839:19 0.00370534 -16 *2839:4 0.00276492 -17 *27333:C1 *28466:SET_B 4.04754e-05 -18 *27333:C1 *5386:11 0.00011673 -19 *27333:C1 *5448:30 6.25005e-05 -20 *27671:A1 *28466:SET_B 5.58905e-06 -21 *27671:A1 *28800:CLK 0 -22 *27671:A1 *29035:A 7.01484e-06 -23 *27671:A1 *2883:29 0.000393347 -24 *27671:A1 *2886:164 6.69937e-05 -25 *27671:A1 *3205:158 9.63135e-05 -26 *27671:A1 *3847:35 6.46173e-05 -27 *27671:A1 *5386:11 0.00118004 -28 *27671:A1 *5687:114 6.18373e-05 -29 *27893:B1_N *3436:13 9.58181e-05 -30 *27918:B1_N *25355:A 5.49489e-05 -31 *27918:B1_N *25400:B2 7.20217e-06 -32 *27918:B1_N *27869:B1 0.000350652 -33 *27918:B1_N *28383:D 6.05161e-06 -34 *27918:B1_N *28383:RESET_B 2.72654e-05 -35 *27918:B1_N *3460:21 2.59355e-05 -36 *27918:B1_N *4000:8 0.000364916 -37 *27918:B1_N *5200:25 6.46937e-05 -38 *27918:B1_N *5599:158 0.000105866 -39 *2839:19 *27047:A1 9.90367e-05 -40 *2839:19 *27244:A1 0.00165254 -41 *2839:19 *30833:A 0.000130616 -42 *2839:19 *5522:61 0.000536263 -43 *2839:19 *5535:14 0.000155862 -44 *2839:19 *5599:72 0.000157805 -45 *2839:19 *6225:102 0.000559931 -46 *2839:19 *6302:19 2.1343e-05 -47 *2839:33 *27046:A1 7.55769e-05 -48 *2839:33 *28622:RESET_B 0 -49 *2839:33 *3790:11 0.000161796 -50 *2839:33 *3794:33 3.9554e-05 -51 *2839:33 *5448:26 0.000547421 -52 *2839:33 *5522:61 9.71197e-05 -53 *2839:33 *5592:64 0 -54 *2839:33 *5625:29 0.000164804 -55 *2839:33 *5707:128 9.41642e-05 -56 *2839:33 *5707:137 6.20855e-06 -57 *2839:33 *5745:159 0.000147828 -58 *2839:33 *6225:92 6.90047e-05 -59 *2839:38 *28466:SET_B 6.81247e-05 -60 *2839:38 *29267:A 0.000119347 -61 *2839:38 *3205:158 5.23496e-05 -62 *2839:38 *3314:41 1.90936e-05 -63 *2839:38 *4015:22 0.000910598 -64 *2839:38 *5448:26 0.00146463 -65 *2839:38 *5448:30 0.00123401 -66 *2839:38 *5657:29 0.000205462 -67 *2839:38 *5700:132 8.83549e-05 -68 *2839:38 *5700:137 0.000743359 -69 *2839:38 *5873:142 5.42764e-05 -70 *2839:71 *27045:B 0.000145753 -71 *2839:71 *28622:CLK 7.67702e-05 -72 *2839:71 *28622:RESET_B 0.000232401 -73 *2839:71 *29109:A 7.41514e-05 -74 *2839:71 *3638:64 4.78552e-05 -75 *2839:71 *3820:18 5.01213e-05 -76 *2839:71 *3972:13 6.03191e-05 -77 *2839:71 *5005:14 0.000522439 -78 *2839:71 *5592:64 0 -79 *2839:71 *5609:16 0.000139177 -80 *2839:71 *5687:166 0.000176347 -81 *2839:71 *6225:92 0 -82 *2839:73 *26846:A1 0.000265453 -83 *2839:73 *27967:A1 9.90367e-05 -84 *2839:73 *5010:18 0.00106507 -85 *2839:73 *5354:17 0.00119619 -86 *2839:73 *5609:16 0.00140379 -87 *2839:73 *5618:61 0.000305901 -88 *2839:73 *6337:17 0.000216755 -89 *2839:85 *27893:A1 2.89016e-05 -90 *2839:85 *3436:13 0.000178425 -91 *2839:117 *2840:12 3.46291e-05 -92 *2839:117 *2840:53 0.000134632 -93 *2839:117 *3575:78 3.73055e-05 -94 *2839:117 *3575:83 6.19639e-06 -95 *2839:117 *5538:21 0.00040765 -96 *2839:117 *5969:19 0.00036026 -97 *2839:117 *5998:11 4.59075e-05 -98 *2839:117 *6302:8 0.000299813 -99 *2839:117 *6302:19 0.000619166 -100 *2839:117 *6345:45 0.000453917 -101 *25520:A *2839:117 0.000191097 -102 *27050:S *2839:71 0.000178401 -103 *27235:A1 *2839:117 0.000199425 -104 *27240:B *2839:117 0.0002136 -105 *27244:B1 *2839:117 0.000183713 -106 *27918:A2 *27893:B1_N 4.50543e-05 -107 *27918:A2 *27918:B1_N 0.000201394 -108 *27918:A2 *2839:85 2.54304e-06 -109 *27967:A2 *27967:B1_N 3.99614e-06 -110 *28187:D *2839:117 0.000433208 -111 *28777:D *2839:117 0.000145346 -112 *28787:D *27671:A1 0.000111922 -113 *29186:A *27671:A1 4.53436e-05 -114 *460:33 *27918:B1_N 0.000111348 -115 *1179:10 *2839:117 1.76569e-05 -116 *1250:79 *2839:19 0.000731595 -117 *1250:79 *2839:33 5.33334e-05 -118 *1250:96 *2839:19 0.000181381 -119 *1257:99 *27918:B1_N 0.000136958 -120 *1277:70 *2839:19 0.00207843 -121 *1278:29 *2839:71 0.000881378 -122 *1289:55 *2839:19 0.000131385 -123 *1290:34 *2839:38 0.000649405 -124 *1328:22 *2839:73 0.000247177 -125 *1430:165 *2839:73 0.00100832 -126 *1547:12 *2839:38 0.000143446 -127 *1550:11 *2839:33 0.000102235 -128 *1671:24 *27918:B1_N 0.000189429 -129 *1805:11 *2839:19 0.000109271 -130 *2777:26 *2839:33 0 -131 *2784:57 *2839:33 0.000503691 -132 *2784:59 *27333:C1 6.43445e-05 -133 *2790:46 *2839:38 0.000122446 -134 *2790:77 *2839:38 7.62605e-05 -135 *2798:64 *2839:71 0.000348237 -136 *2798:64 *2839:73 0.000101818 -137 *2798:68 *2839:73 0.000179672 -138 *2798:70 *2839:73 7.02611e-05 -139 *2798:70 *2839:85 0.000102812 -140 *2813:11 *2839:19 1.01912e-05 -141 *2834:31 *2839:33 4.87953e-05 -*RES -1 *27274:Y *2839:4 9.3 -2 *2839:4 *2839:19 36.5928 -3 *2839:19 *2839:33 42.2857 -4 *2839:33 *2839:38 48.5982 -5 *2839:38 *2839:40 3.41 -6 *2839:40 *27333:C1 18.2851 -7 *2839:40 *27671:A1 20.0867 -8 *2839:19 *2839:71 39.375 -9 *2839:71 *2839:73 51.0536 -10 *2839:73 *27967:B1_N 9.72857 -11 *2839:73 *2839:85 7.14286 -12 *2839:85 *27918:B1_N 35.5857 -13 *2839:85 *27893:B1_N 11.0857 -14 *2839:4 *2839:117 44.4643 -15 *2839:117 *27868:B1_N 9.3 -*END - -*D_NET *2840 0.0423115 -*CONN -*I *27795:S I *D sky130_fd_sc_hd__mux2_1 -*I *27819:B1 I *D sky130_fd_sc_hd__o21a_1 -*I *27440:S I *D sky130_fd_sc_hd__mux2_1 -*I *27462:S I *D sky130_fd_sc_hd__mux2_1 -*I *28960:A I *D sky130_fd_sc_hd__buf_8 -*I *27594:S I *D sky130_fd_sc_hd__mux2_1 -*I *27275:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *27795:S 0.000328559 -2 *27819:B1 5.37304e-05 -3 *27440:S 5.39313e-06 -4 *27462:S 0.000621031 -5 *28960:A 0.000669632 -6 *27594:S 0.000719743 -7 *27275:Y 0.00112386 -8 *2840:98 0.00138404 -9 *2840:97 0.004944 -10 *2840:59 0.00120867 -11 *2840:53 0.00529362 -12 *2840:17 0.00168327 -13 *2840:12 0.00218688 -14 *27440:S *24817:A 1.1594e-05 -15 *27462:S *24817:A 0.000221826 -16 *27462:S *28632:RESET_B 5.75147e-05 -17 *27462:S *28793:D 0.000177815 -18 *27462:S *3636:16 1.57821e-05 -19 *27462:S *5667:230 0.000686809 -20 *27462:S *5720:13 9.7659e-05 -21 *27462:S *6331:13 7.28899e-05 -22 *27462:S *6385:122 0.000123022 -23 *27462:S *6385:139 2.49484e-05 -24 *27594:S *25037:A0 6.5349e-05 -25 *27594:S *25037:S 4.75911e-05 -26 *27594:S *25563:A1 0 -27 *27594:S *26840:A1 0.000137983 -28 *27594:S *29539:A 9.99644e-06 -29 *27594:S *3573:42 9.41642e-05 -30 *27594:S *3573:80 0.00119296 -31 *27594:S *4574:47 0 -32 *27594:S *5310:18 5.09297e-05 -33 *27594:S *6042:20 4.46186e-06 -34 *27594:S *6317:49 0.000190902 -35 *27594:S *6319:70 1.65142e-05 -36 *27594:S *6325:12 5.59267e-05 -37 *27594:S *6345:13 6.17276e-05 -38 *27594:S *6345:45 0.000129363 -39 *27795:S *27795:A0 1.21258e-05 -40 *27795:S *27795:A1 5.52302e-05 -41 *27795:S *3178:136 0.000530511 -42 *27819:B1 *27819:A1 9.41642e-05 -43 *28960:A *27240:A 0.0001399 -44 *28960:A *28791:RESET_B 0.000141032 -45 *28960:A *3796:37 7.32173e-05 -46 *28960:A *5536:11 1.21859e-05 -47 *28960:A *5581:8 0 -48 *28960:A *5599:8 8.6229e-06 -49 *28960:A *6314:37 0 -50 *28960:A *6317:49 0.000341065 -51 *28960:A *6317:70 7.42554e-05 -52 *2840:12 *28188:CLK 0.000147259 -53 *2840:12 *28188:RESET_B 8.43579e-05 -54 *2840:12 *5720:87 4.60232e-06 -55 *2840:12 *5746:158 2.11419e-05 -56 *2840:53 *28188:CLK 2.06178e-05 -57 *2840:53 *30453:A 4.75955e-05 -58 *2840:53 *3575:83 6.54117e-05 -59 *2840:53 *6100:17 5.01876e-06 -60 *2840:53 *6311:43 0.000106346 -61 *2840:53 *6320:71 0 -62 *2840:53 *6387:54 0 -63 *2840:59 *5536:11 0.000893664 -64 *2840:97 *27599:B 0.000111172 -65 *2840:97 *27642:B 0.000162641 -66 *2840:97 *30795:A 0.000154762 -67 *2840:97 *30801:A 0.000103437 -68 *2840:97 *3151:17 0.000214519 -69 *2840:97 *3151:24 0.000544605 -70 *2840:97 *3151:35 0.000612598 -71 *2840:97 *3156:41 0.000170915 -72 *2840:97 *3160:84 9.0145e-05 -73 *2840:97 *3183:29 0.00027475 -74 *2840:97 *3184:43 0 -75 *2840:97 *3205:16 0.000175892 -76 *2840:97 *3216:26 2.57955e-05 -77 *2840:97 *5718:240 0.000766183 -78 *2840:98 *30793:A 9.8045e-05 -79 *2840:98 *3149:23 0.000108516 -80 *2840:98 *3174:12 0.000205625 -81 *2840:98 *3178:136 0.00113285 -82 *2840:98 *5638:16 1.721e-05 -83 *25520:A *2840:12 3.54616e-05 -84 *27235:A1 *2840:53 0.000399114 -85 *27262:B *2840:59 0.000884283 -86 *27267:A *27440:S 2.11419e-05 -87 *27267:A *27462:S 4.45302e-05 -88 *27622:A *2840:98 5.4771e-05 -89 *29511:A *27795:S 0.000264006 -90 *29739:A *27594:S 8.44271e-06 -91 *29796:A *2840:17 0.000511307 -92 *369:17 *2840:12 0.000357054 -93 *1178:36 *28960:A 1.8783e-05 -94 *1273:251 *2840:17 0.00022355 -95 *1294:243 *27594:S 0.000815305 -96 *1368:23 *2840:97 0 -97 *1797:11 *2840:12 2.53281e-05 -98 *1797:11 *2840:53 5.3526e-05 -99 *1826:15 *2840:98 0.00175347 -100 *1826:46 *27594:S 4.21517e-05 -101 *1826:46 *28960:A 0.000232823 -102 *1826:46 *2840:97 0.000160526 -103 *1826:117 *2840:98 1.19468e-05 -104 *2778:35 *2840:53 0.000946574 -105 *2782:27 *27594:S 2.30116e-06 -106 *2782:170 *27462:S 0.000116033 -107 *2784:26 *2840:17 0.000133718 -108 *2787:17 *2840:53 0.000270321 -109 *2790:32 *2840:98 0.000235221 -110 *2790:92 *27795:S 0.000536758 -111 *2790:92 *2840:98 0.000120672 -112 *2798:25 *2840:12 0.00037161 -113 *2798:34 *2840:12 0.000146806 -114 *2811:15 *27462:S 8.6229e-06 -115 *2816:12 *27462:S 0.000175716 -116 *2831:17 *27462:S 0.000108748 -117 *2831:17 *2840:17 0.00100436 -118 *2833:19 *2840:97 0.000196455 -119 *2833:26 *2840:98 0.000232642 -120 *2839:117 *2840:12 3.46291e-05 -121 *2839:117 *2840:53 0.000134632 -*RES -1 *27275:Y *2840:12 40.8804 -2 *2840:12 *2840:17 8.45247 -3 *2840:17 *27594:S 43.1125 -4 *2840:17 *28960:A 27.5768 -5 *2840:12 *2840:53 17.6301 -6 *2840:53 *2840:59 8.9585 -7 *2840:59 *27462:S 38.5946 -8 *2840:59 *27440:S 14.0768 -9 *2840:53 *2840:97 47.8577 -10 *2840:97 *2840:98 28.9643 -11 *2840:98 *27819:B1 14.7464 -12 *2840:98 *27795:S 23.925 -*END - -*D_NET *2841 0.00756738 -*CONN -*I *27820:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27334:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *27671:B1 I *D sky130_fd_sc_hd__a32o_1 -*I *27276:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *27820:A2 0.000810419 -2 *27334:A2 0 -3 *27671:B1 0.000238366 -4 *27276:Y 0.000298998 -5 *2841:17 0.00123414 -6 *2841:5 0.00210519 -7 *27671:B1 *27334:A1 5.33005e-05 -8 *27671:B1 *27334:B1 0.000367364 -9 *27820:A2 *27820:A1 1.00733e-05 -10 *27820:A2 *27820:B1 6.13022e-06 -11 *27820:A2 *5667:82 7.60871e-05 -12 *2841:5 *26874:B 7.27266e-05 -13 *2841:5 *5667:82 1.79118e-05 -14 *2841:17 *27334:A1 5.96516e-05 -15 *2841:17 *2879:27 7.42485e-05 -16 *2841:17 *3194:70 0.000189338 -17 *2841:17 *5638:30 0.000189338 -18 *2841:17 *5694:94 0.000301438 -19 *26874:A *2841:17 1.33343e-05 -20 *28787:D *27671:B1 0.000221815 -21 *1182:15 *27671:B1 2.42516e-05 -22 *1182:15 *2841:17 0.00090951 -23 *2758:8 *2841:17 0.000221212 -24 *2782:106 *2841:17 7.25338e-05 -*RES -1 *27276:Y *2841:5 12.7107 -2 *2841:5 *2841:17 36.2143 -3 *2841:17 *27671:B1 14.5768 -4 *2841:17 *27334:A2 9.3 -5 *2841:5 *27820:A2 18.3714 -*END - -*D_NET *2842 0.0118851 -*CONN -*I *27287:B I *D sky130_fd_sc_hd__and3_4 -*I *27279:B I *D sky130_fd_sc_hd__and3_4 -*I *27291:C I *D sky130_fd_sc_hd__and3_4 -*I *27324:C I *D sky130_fd_sc_hd__and3_4 -*I *27317:C I *D sky130_fd_sc_hd__and3_4 -*I *27288:C I *D sky130_fd_sc_hd__and3_4 -*I *27310:B I *D sky130_fd_sc_hd__and3_4 -*I *27285:B I *D sky130_fd_sc_hd__and3_4 -*I *27277:X O *D sky130_fd_sc_hd__and2b_2 -*CAP -1 *27287:B 0.000173479 -2 *27279:B 7.84077e-05 -3 *27291:C 5.6191e-05 -4 *27324:C 3.93385e-05 -5 *27317:C 4.32095e-05 -6 *27288:C 0.000157652 -7 *27310:B 2.47875e-05 -8 *27285:B 0 -9 *27277:X 0.000181462 -10 *2842:43 0.00046164 -11 *2842:41 0.00043094 -12 *2842:38 0.000443425 -13 *2842:31 0.000842258 -14 *2842:28 0.000702532 -15 *2842:18 0.000468477 -16 *2842:11 0.000689804 -17 *27279:B *27287:C 5.52302e-05 -18 *27279:B *5634:15 2.44318e-05 -19 *27279:B *5717:47 0.000136958 -20 *27287:B *27287:A 1.92789e-05 -21 *27287:B *5720:191 0.000211249 -22 *27288:C *27326:C 0.000206857 -23 *27288:C *3153:10 5.58875e-06 -24 *27288:C *3170:5 9.58181e-05 -25 *27288:C *5643:39 1.66752e-05 -26 *27291:C *3160:15 2.84109e-05 -27 *27291:C *3205:27 5.49544e-05 -28 *27310:B *2849:97 5.49489e-05 -29 *27310:B *5661:9 2.12087e-05 -30 *27324:C *3192:31 0.000137983 -31 *2842:11 *27277:B 0.000175892 -32 *2842:11 *27327:A 8.6229e-06 -33 *2842:11 *3938:25 9.54798e-06 -34 *2842:11 *3938:38 0.000167518 -35 *2842:11 *5442:51 0.000175892 -36 *2842:11 *5720:191 0.000188586 -37 *2842:11 *6225:110 0.000113034 -38 *2842:18 *30821:A 0.000164843 -39 *2842:18 *2849:30 0.000177686 -40 *2842:18 *2849:49 4.35189e-05 -41 *2842:18 *2850:19 4.73656e-05 -42 *2842:18 *5530:58 0.000223279 -43 *2842:18 *6225:110 0.000278498 -44 *2842:28 *2850:19 5.7616e-05 -45 *2842:28 *2850:164 9.1934e-05 -46 *2842:28 *5530:58 0.000140885 -47 *2842:28 *5634:15 3.97677e-05 -48 *2842:28 *5717:47 5.33005e-05 -49 *2842:31 *2844:18 1.90936e-05 -50 *2842:31 *2844:140 1.93629e-05 -51 *2842:31 *2849:66 0.000912006 -52 *2842:31 *2850:164 0.000272301 -53 *2842:31 *5717:47 2.95642e-05 -54 *2842:38 *27207:A0 0.000123205 -55 *2842:38 *27207:A1 4.58194e-05 -56 *2842:38 *2844:140 0.00018433 -57 *2842:38 *3192:31 9.60875e-05 -58 *2842:38 *5694:110 1.81128e-05 -59 *2842:41 *27207:A0 0.000208922 -60 *2842:41 *5643:39 6.88463e-06 -61 *2842:43 *27207:A0 5.29459e-05 -62 *2842:43 *5643:39 5.90255e-05 -63 *2842:43 *5700:250 0.000270641 -64 *27291:B *2842:31 8.90826e-05 -65 *27291:B *2842:38 2.48938e-05 -66 *27313:A *2842:31 9.8958e-05 -67 *27317:B *27324:C 5.52302e-05 -68 *27317:B *2842:41 0.000132924 -69 *1326:57 *27310:B 9.66977e-05 -70 *1490:35 *27288:C 9.87983e-06 -71 *1490:35 *2842:43 0.000151405 -72 *2782:92 *2842:38 0.000399962 -73 *2782:100 *27288:C 7.34455e-06 -74 *2782:100 *2842:43 8.28882e-05 -75 *2813:58 *2842:31 0.00015566 -76 *2813:72 *27288:C 0.000130757 -77 *2813:80 *27288:C 5.49544e-05 -78 *2815:73 *27324:C 5.52238e-05 -*RES -1 *27277:X *2842:11 24.0857 -2 *2842:11 *2842:18 12.875 -3 *2842:18 *27285:B 13.8 -4 *2842:18 *2842:28 7.80357 -5 *2842:28 *2842:31 17.375 -6 *2842:31 *2842:38 12.6786 -7 *2842:38 *2842:41 7.35714 -8 *2842:41 *2842:43 6.80357 -9 *2842:43 *27310:B 14.7464 -10 *2842:43 *27288:C 17.9071 -11 *2842:41 *27317:C 14.3357 -12 *2842:38 *27324:C 10.6571 -13 *2842:31 *27291:C 14.8714 -14 *2842:28 *27279:B 11.0679 -15 *2842:11 *27287:B 12.7107 -*END - -*D_NET *2843 0.0194878 -*CONN -*I *27306:B I *D sky130_fd_sc_hd__and3_4 -*I *27321:C I *D sky130_fd_sc_hd__and3_4 -*I *27283:B I *D sky130_fd_sc_hd__and3_4 -*I *27312:C I *D sky130_fd_sc_hd__and3_4 -*I *27296:B I *D sky130_fd_sc_hd__and3_4 -*I *27323:B I *D sky130_fd_sc_hd__and3_4 -*I *27279:C I *D sky130_fd_sc_hd__and3_4 -*I *27287:C I *D sky130_fd_sc_hd__and3_4 -*I *27278:X O *D sky130_fd_sc_hd__and2b_2 -*CAP -1 *27306:B 2.23854e-05 -2 *27321:C 8.32067e-05 -3 *27283:B 0 -4 *27312:C 0.000589207 -5 *27296:B 3.95848e-05 -6 *27323:B 0 -7 *27279:C 2.23854e-05 -8 *27287:C 0.000547294 -9 *27278:X 0.00102513 -10 *2843:79 0.000931066 -11 *2843:65 0.000889496 -12 *2843:56 0.00121614 -13 *2843:19 0.000667532 -14 *2843:17 0.00128064 -15 *2843:13 0.000785169 -16 *2843:11 0.00127478 -17 *27287:C *2886:11 0.000201771 -18 *27287:C *2892:8 0.000116395 -19 *27287:C *2892:111 0.000174696 -20 *27287:C *5634:15 1.21289e-05 -21 *27287:C *5720:191 0.00014469 -22 *27287:C *6306:42 3.42164e-05 -23 *27287:C *6306:57 3.7692e-05 -24 *27296:B *3182:16 0.000181796 -25 *27312:C *2847:37 5.80706e-06 -26 *27312:C *2847:50 1.81709e-05 -27 *27312:C *2877:25 9.41642e-05 -28 *27312:C *2877:359 0.000382744 -29 *27312:C *3194:70 0.000407301 -30 *27312:C *3538:39 0.000360657 -31 *27312:C *5717:186 6.75397e-05 -32 *27321:C *2847:19 0.000126439 -33 *27321:C *2885:27 0.000126439 -34 *27321:C *2892:111 4.08733e-05 -35 *2843:11 *27306:C 3.18676e-05 -36 *2843:11 *27599:A 0.000231955 -37 *2843:11 *2849:15 7.83587e-05 -38 *2843:11 *2871:8 5.03772e-05 -39 *2843:11 *5196:94 6.53397e-05 -40 *2843:11 *5608:28 5.01117e-05 -41 *2843:11 *5634:15 6.94042e-05 -42 *2843:11 *5657:13 0.000185827 -43 *2843:11 *6303:22 0 -44 *2843:13 *27306:C 6.87574e-05 -45 *2843:13 *2847:19 4.27437e-05 -46 *2843:13 *3194:59 9.41642e-05 -47 *2843:17 *3194:59 0.000183713 -48 *2843:17 *5634:15 1.02936e-05 -49 *2843:19 *5634:15 4.27338e-05 -50 *2843:56 *2845:22 0.000149165 -51 *2843:56 *2852:11 0.000748385 -52 *2843:56 *2858:51 0.000310194 -53 *2843:56 *3192:20 0.000276497 -54 *2843:56 *3192:31 1.27625e-05 -55 *2843:56 *5657:13 6.13075e-05 -56 *2843:56 *6318:32 0.000746549 -57 *2843:65 *2858:51 1.08359e-05 -58 *2843:65 *2858:63 0.000186281 -59 *2843:65 *3192:20 8.31321e-06 -60 *2843:65 *3192:31 0.000423225 -61 *2843:65 *3194:62 0.00017301 -62 *2843:65 *3209:21 0 -63 *2843:65 *5717:155 9.60808e-05 -64 *2843:65 *5717:164 0.000377438 -65 *2843:65 *5717:168 3.57844e-05 -66 *2843:79 *27283:A 6.05161e-06 -67 *2843:79 *2848:19 3.57366e-05 -68 *2843:79 *2848:178 0.000331116 -69 *2843:79 *3194:62 1.53743e-05 -70 *2843:79 *3194:70 0.000423631 -71 *2843:79 *5717:168 5.96901e-05 -72 *25007:B1 *2843:79 2.77258e-05 -73 *27279:B *27287:C 5.52302e-05 -74 *27280:C *2843:17 0.000188877 -75 *27280:C *2843:19 9.41642e-05 -76 *27327:B *27287:C 5.64115e-05 -77 *1178:36 *27287:C 0.000194336 -78 *1237:39 *27296:B 0.000181796 -79 *2754:14 *27312:C 4.77427e-05 -80 *2787:37 *2843:11 0.00010948 -81 *2787:62 *2843:11 0.000931435 -82 *2825:27 *2843:11 0 -*RES -1 *27278:X *2843:11 40.2821 -2 *2843:11 *2843:13 3 -3 *2843:13 *2843:17 6.30357 -4 *2843:17 *2843:19 1.76786 -5 *2843:19 *27287:C 30.2286 -6 *2843:19 *27279:C 9.72857 -7 *2843:17 *2843:56 26.1672 -8 *2843:56 *27323:B 13.8 -9 *2843:56 *2843:65 13.4107 -10 *2843:65 *27296:B 15.5679 -11 *2843:65 *2843:79 12.6786 -12 *2843:79 *27312:C 30.4964 -13 *2843:79 *27283:B 9.3 -14 *2843:13 *27321:C 20.7062 -15 *2843:11 *27306:B 9.72857 -*END - -*D_NET *2844 0.200887 -*CONN -*I *27479:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27382:A2 I *D sky130_fd_sc_hd__a211o_1 -*I *27436:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27411:A2 I *D sky130_fd_sc_hd__a211o_1 -*I *27281:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27363:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27348:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27448:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27561:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27577:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27522:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27495:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27535:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27279:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27479:A2 0.000341139 -2 *27382:A2 0.000218759 -3 *27436:A2 0.000164882 -4 *27411:A2 5.41459e-05 -5 *27281:A2 0.000830195 -6 *27363:A2 0 -7 *27348:A2 0 -8 *27448:A2 0 -9 *27561:A2 0.000412903 -10 *27577:A2 0.0013212 -11 *27522:A2 0.000165888 -12 *27495:A2 1.38783e-05 -13 *27535:A2 0.000330001 -14 *27279:X 7.36336e-05 -15 *2844:399 0.00134208 -16 *2844:386 0.00372744 -17 *2844:328 0.0012859 -18 *2844:318 0.00134488 -19 *2844:304 0.00245465 -20 *2844:290 0.00581045 -21 *2844:285 0.00516009 -22 *2844:279 0.00341122 -23 *2844:277 0.000643374 -24 *2844:275 0.00205521 -25 *2844:265 0.00247117 -26 *2844:246 0.000973702 -27 *2844:220 0.00250293 -28 *2844:204 0.00324393 -29 *2844:201 0.00280952 -30 *2844:190 0.00282948 -31 *2844:179 0.00218506 -32 *2844:164 0.00157368 -33 *2844:153 0.00275414 -34 *2844:140 0.00303745 -35 *2844:98 0.00325185 -36 *2844:89 0.00267171 -37 *2844:69 0.00238666 -38 *2844:52 0.00202104 -39 *2844:37 0.00283418 -40 *2844:27 0.00492386 -41 *2844:19 0.00808767 -42 *2844:18 0.00496956 -43 *2844:5 0.00122272 -44 *27281:A2 *27281:A1 0.000246063 -45 *27281:A2 *27281:B1 0.000141895 -46 *27281:A2 *2874:305 9.5384e-05 -47 *27281:A2 *3177:136 0.000109148 -48 *27281:A2 *3562:16 1.1984e-05 -49 *27281:A2 *3771:22 2.45732e-05 -50 *27281:A2 *3959:45 1.08571e-05 -51 *27281:A2 *4109:46 8.33037e-06 -52 *27382:A2 *27395:A 0.000308883 -53 *27382:A2 *3179:123 0.000164392 -54 *27382:A2 *3926:48 1.34741e-05 -55 *27382:A2 *4108:98 3.5615e-05 -56 *27382:A2 *4108:123 0.00011181 -57 *27411:A2 *27411:A1 4.08789e-05 -58 *27411:A2 *27411:B1 1.23284e-05 -59 *27411:A2 *3562:16 0 -60 *27411:A2 *5662:144 9.44696e-06 -61 *27436:A2 *27436:B1 1.90936e-05 -62 *27436:A2 *2892:242 6.58691e-05 -63 *27436:A2 *3165:74 3.15873e-05 -64 *27436:A2 *4110:23 1.57155e-05 -65 *27436:A2 *4110:37 2.16755e-05 -66 *27436:A2 *5702:147 5.05964e-05 -67 *27436:A2 *5879:112 7.13226e-06 -68 *27479:A2 *27480:B1 0.000178847 -69 *27479:A2 *2861:42 0.000747869 -70 *27479:A2 *3039:13 2.98258e-05 -71 *27479:A2 *3904:50 0.000135028 -72 *27495:A2 *27495:B1 3.28686e-05 -73 *27522:A2 *27522:B1 0.000114596 -74 *27522:A2 *27525:C 2.59355e-05 -75 *27522:A2 *3958:29 0.000192679 -76 *27535:A2 *27535:B1 4.58194e-05 -77 *27535:A2 *27536:C1 4.58194e-05 -78 *27535:A2 *27537:A 0.00042994 -79 *27535:A2 *3666:77 0.000190693 -80 *27535:A2 *3909:19 0.000188985 -81 *27561:A2 *24889:A0 7.41811e-05 -82 *27561:A2 *27561:A1 0.000103745 -83 *27561:A2 *27585:A2 0.000195555 -84 *27561:A2 *2895:172 0.000202876 -85 *27561:A2 *4100:22 0.000991551 -86 *27561:A2 *4113:104 0.000286577 -87 *27561:A2 *5700:28 9.60939e-05 -88 *27561:A2 *5832:27 9.41642e-05 -89 *27577:A2 *27577:A1 5.33005e-05 -90 *27577:A2 *27586:A2 6.06291e-06 -91 *27577:A2 *27586:B1 0.000108213 -92 *27577:A2 *27586:B2 4.13595e-05 -93 *27577:A2 *2866:217 0.000776874 -94 *27577:A2 *3450:23 0.000137983 -95 *27577:A2 *3715:32 1.23876e-05 -96 *27577:A2 *3752:22 7.80051e-05 -97 *27577:A2 *4087:22 7.80051e-05 -98 *2844:5 *5655:16 8.27532e-05 -99 *2844:18 *2850:164 3.97048e-05 -100 *2844:18 *2864:28 0.000120909 -101 *2844:18 *5530:58 2.04825e-05 -102 *2844:18 *5655:16 0.000267377 -103 *2844:18 *5981:45 0.000115139 -104 *2844:19 *25241:A2 2.37452e-05 -105 *2844:19 *2871:24 0.00410102 -106 *2844:19 *3557:30 0.000749778 -107 *2844:19 *3569:51 4.13496e-05 -108 *2844:19 *5634:15 6.96788e-05 -109 *2844:19 *5655:16 0.000177821 -110 *2844:27 *25241:A1 0.000237011 -111 *2844:27 *25241:A2 6.86792e-05 -112 *2844:27 *2871:39 0.00413116 -113 *2844:27 *5655:65 5.74499e-06 -114 *2844:37 *27526:C1 0.000177821 -115 *2844:37 *27537:A 0.000180777 -116 *2844:37 *27548:A1 0.000263046 -117 *2844:37 *27548:B1 0.000340079 -118 *2844:37 *27548:C1 4.18895e-05 -119 *2844:37 *27883:B2 0.000511246 -120 *2844:37 *2880:224 0.000205109 -121 *2844:37 *3411:32 0.000102184 -122 *2844:37 *5629:44 4.93928e-05 -123 *2844:52 *3055:16 0.00117335 -124 *2844:52 *3069:8 4.27799e-05 -125 *2844:52 *3724:39 0.000396926 -126 *2844:52 *5657:174 0.00087559 -127 *2844:69 *2850:88 0.000140829 -128 *2844:69 *2855:90 0.00123346 -129 *2844:69 *3069:8 2.05146e-05 -130 *2844:69 *3736:63 0.000868851 -131 *2844:69 *3957:22 0.00126933 -132 *2844:89 *25437:B1 5.04841e-06 -133 *2844:89 *27929:A1 0.000136845 -134 *2844:89 *2850:94 0.000389362 -135 *2844:89 *3736:63 0.0027119 -136 *2844:89 *3957:22 0.00232705 -137 *2844:89 *3984:33 0.000132862 -138 *2844:98 *25198:B2 0.000517373 -139 *2844:98 *27959:A2 0.000216478 -140 *2844:98 *2895:172 0.00101595 -141 *2844:98 *3724:70 0.000216478 -142 *2844:98 *3948:41 0.000733963 -143 *2844:98 *3984:33 6.72288e-05 -144 *2844:98 *4100:22 0.00101423 -145 *2844:140 *30818:A 2.11419e-05 -146 *2844:140 *2849:66 0.000169065 -147 *2844:140 *3209:21 0.000152773 -148 *2844:140 *5643:32 0.000528743 -149 *2844:140 *5643:39 2.02858e-05 -150 *2844:140 *5717:50 0.000175652 -151 *2844:140 *5863:195 7.50697e-05 -152 *2844:153 *27447:B1 0.000300974 -153 *2844:153 *27820:B1 0.000589566 -154 *2844:153 *2871:160 0.000966479 -155 *2844:153 *3040:41 0.000428824 -156 *2844:153 *3165:192 0 -157 *2844:153 *3174:29 0.00139294 -158 *2844:153 *5640:16 0.00093484 -159 *2844:153 *5653:19 0.000307966 -160 *2844:153 *5757:127 1.02975e-05 -161 *2844:153 *6306:111 0.000215039 -162 *2844:153 *6318:32 0.000835844 -163 *2844:164 *27448:A1 2.70161e-05 -164 *2844:164 *27448:B2 2.84269e-05 -165 *2844:164 *5280:7 0.000640151 -166 *2844:179 *27446:A2 1.94945e-05 -167 *2844:179 *27448:A1 4.47387e-05 -168 *2844:179 *2861:29 3.69047e-06 -169 *2844:179 *2866:36 9.93482e-05 -170 *2844:179 *2895:256 0.000863046 -171 *2844:179 *3206:199 0.000187456 -172 *2844:179 *3387:14 0.00131828 -173 *2844:179 *3850:24 0.000378673 -174 *2844:179 *5531:18 0.0019953 -175 *2844:179 *5644:14 2.2628e-05 -176 *2844:190 *2856:294 0.00177809 -177 *2844:190 *3193:60 0.00177382 -178 *2844:190 *5584:80 0.00079138 -179 *2844:190 *5650:198 0.000151868 -180 *2844:190 *5656:240 9.34324e-05 -181 *2844:201 *27458:A2 0.000654848 -182 *2844:201 *27478:A2 0.000574166 -183 *2844:201 *2861:42 8.05948e-05 -184 *2844:201 *2864:156 0.000439649 -185 *2844:201 *2895:259 0.00020996 -186 *2844:201 *3193:60 0.00020996 -187 *2844:201 *3904:38 0.000183617 -188 *2844:201 *4137:32 0 -189 *2844:201 *5650:198 0.000431344 -190 *2844:201 *5654:52 0.000236767 -191 *2844:204 *2845:362 0.00281016 -192 *2844:204 *5654:55 5.67737e-05 -193 *2844:204 *5663:50 0.00293275 -194 *2844:220 *27363:A1 0.000102562 -195 *2844:220 *27364:D 4.00679e-05 -196 *2844:220 *27374:B 0.000219289 -197 *2844:220 *27374:C 0.000218404 -198 *2844:220 *27375:B2 0.000263341 -199 *2844:220 *2845:215 4.82865e-05 -200 *2844:220 *2929:13 0.000147993 -201 *2844:220 *2936:11 5.96516e-05 -202 *2844:220 *3205:230 0.000260152 -203 *2844:220 *3538:16 9.25014e-06 -204 *2844:220 *3538:22 1.721e-05 -205 *2844:220 *3822:32 0.000190682 -206 *2844:220 *4068:41 6.81786e-05 -207 *2844:220 *5654:55 0.000298247 -208 *2844:220 *5654:65 5.52238e-05 -209 *2844:220 *5663:50 0.00155225 -210 *2844:220 *5926:78 0.00034188 -211 *2844:246 *27348:A1 0.000175892 -212 *2844:246 *27363:A1 4.4348e-05 -213 *2844:246 *27363:B1 5.04841e-06 -214 *2844:246 *27363:B2 0 -215 *2844:246 *27683:A1 0.00011025 -216 *2844:246 *3963:93 0.000135028 -217 *2844:246 *5609:144 0.000120865 -218 *2844:246 *5634:123 0.000355789 -219 *2844:246 *5667:25 5.96516e-05 -220 *2844:246 *5667:40 0.000914394 -221 *2844:265 *27475:B2 0.00031688 -222 *2844:265 *2880:93 0.000706022 -223 *2844:265 *2998:16 0.000987828 -224 *2844:265 *3991:34 0.000984535 -225 *2844:265 *5601:40 2.81098e-05 -226 *2844:265 *5658:44 0.000144876 -227 *2844:275 *25174:A1 0.00150985 -228 *2844:275 *27384:A2 2.06178e-05 -229 *2844:275 *2894:269 0.000347995 -230 *2844:275 *3926:48 2.04825e-05 -231 *2844:275 *3965:70 1.91414e-05 -232 *2844:275 *5601:40 0.000904514 -233 *2844:275 *5630:161 0.000203235 -234 *2844:275 *5645:104 0.000404818 -235 *2844:275 *5647:70 8.19726e-05 -236 *2844:275 *5647:82 0.000394347 -237 *2844:275 *5658:69 5.52238e-05 -238 *2844:277 *27395:A 0.000550631 -239 *2844:277 *27395:B 0.000440269 -240 *2844:277 *27396:B2 0.000125316 -241 *2844:277 *27744:A1 2.98805e-05 -242 *2844:277 *3179:123 0.000571395 -243 *2844:277 *3926:48 4.06623e-05 -244 *2844:277 *5647:82 0.000282762 -245 *2844:285 *2875:302 0.000319454 -246 *2844:285 *2875:322 0.000226492 -247 *2844:285 *2886:335 0.000107444 -248 *2844:285 *3250:39 0.000268749 -249 *2844:285 *5596:51 0.000343526 -250 *2844:285 *5600:173 0.000270328 -251 *2844:290 *27059:A1 0.000177596 -252 *2844:290 *28571:SET_B 0.000373992 -253 *2844:290 *3730:21 2.98212e-05 -254 *2844:304 *25111:A1 0.000165601 -255 *2844:304 *25352:A1 0.000279942 -256 *2844:304 *27083:A0 0.000175892 -257 *2844:304 *2889:65 0.00114655 -258 *2844:304 *3215:242 4.58194e-05 -259 *2844:304 *3314:26 1.87097e-05 -260 *2844:304 *5649:157 9.58126e-05 -261 *2844:304 *5709:182 5.20359e-06 -262 *2844:318 *27281:B1 0.000170575 -263 *2844:318 *2845:300 5.33005e-05 -264 *2844:318 *2963:12 0.000701356 -265 *2844:318 *3177:136 6.19664e-05 -266 *2844:318 *3314:26 0.000990827 -267 *2844:318 *3849:48 0.000329428 -268 *2844:318 *3901:79 0.00106234 -269 *2844:318 *4122:39 0.000241296 -270 *2844:318 *5630:274 0.000343528 -271 *2844:318 *5643:237 9.41642e-05 -272 *2844:318 *5656:153 1.6116e-05 -273 *2844:318 *5661:245 0.000148911 -274 *2844:318 *5662:144 9.66977e-05 -275 *2844:328 *27411:A1 0.000127359 -276 *2844:328 *27411:C1 6.27272e-06 -277 *2844:328 *3562:16 3.81794e-07 -278 *2844:328 *4109:46 1.37681e-05 -279 *2844:386 *27380:A2 0.0025986 -280 *2844:386 *27799:A2 0 -281 *2844:386 *2875:322 0.00051471 -282 *2844:386 *3215:199 1.90936e-05 -283 *2844:386 *3798:40 1.90936e-05 -284 *2844:386 *4095:79 3.69047e-06 -285 *2844:386 *4108:36 3.8993e-05 -286 *2844:386 *5635:120 0.000252059 -287 *2844:386 *5644:71 0.000101915 -288 *2844:386 *5718:387 8.89112e-05 -289 *2844:399 *25043:A1 0.000544586 -290 *2844:399 *27436:B2 0.000155259 -291 *2844:399 *28576:CLK 4.11218e-05 -292 *2844:399 *28703:CLK 0.00015134 -293 *2844:399 *2866:296 0.00180197 -294 *2844:399 *2892:242 0.000655518 -295 *2844:399 *2895:281 0 -296 *2844:399 *3798:68 0.000132869 -297 *2844:399 *3798:98 8.16231e-06 -298 *2844:399 *3993:14 0 -299 *2844:399 *5656:281 2.17146e-05 -300 *2844:399 *5676:95 0.000801374 -301 *2844:399 *5676:110 0.000315894 -302 *25174:A2 *2844:275 7.13226e-06 -303 *25640:A *2844:69 0.00104839 -304 *25933:S *2844:37 0.000129574 -305 *27087:S *2844:386 0.000421074 -306 *27474:B *2844:275 1.90936e-05 -307 *30229:A *2844:386 5.33005e-05 -308 *1178:36 *2844:140 0.000802966 -309 *1185:88 *2844:246 1.98839e-05 -310 *1185:125 *2844:265 0.00018489 -311 *1235:163 *27281:A2 6.54117e-05 -312 *1242:128 *2844:275 6.00287e-05 -313 *1256:95 *2844:304 9.63678e-05 -314 *1262:181 *2844:220 0.000554643 -315 *1270:66 *2844:285 0.000915152 -316 *1270:66 *2844:386 0.000834215 -317 *1271:162 *2844:304 9.7956e-05 -318 *1281:145 *2844:275 1.02504e-05 -319 *1291:46 *2844:164 0.000483562 -320 *1291:46 *2844:179 4.16631e-05 -321 *1292:65 *2844:304 0.000352129 -322 *1293:78 *2844:304 9.91086e-05 -323 *1293:91 *2844:304 0.000146312 -324 *1293:91 *2844:318 0.000142376 -325 *1293:129 *2844:318 0.000595404 -326 *1331:15 *2844:164 0.000177815 -327 *1358:19 *2844:201 0.000116658 -328 *1368:37 *2844:201 0 -329 *1385:33 *2844:201 0.000207268 -330 *1437:35 *2844:52 0.001418 -331 *1437:35 *2844:69 0.000417866 -332 *1469:21 *27281:A2 0 -333 *1490:35 *2844:153 0.000994411 -334 *1490:61 *2844:153 0.000386653 -335 *1490:128 *2844:265 0.000261801 -336 *1509:16 *2844:318 0.000176698 -337 *1558:33 *2844:290 0.000975731 -338 *1560:16 *2844:27 0.000302558 -339 *1639:35 *2844:246 0.000120865 -340 *1698:25 *2844:318 9.41642e-05 -341 *1897:18 *2844:37 0.000221634 -342 *1899:39 *27495:A2 9.75337e-06 -343 *2760:91 *2844:246 0.000161857 -344 *2760:98 *2844:246 0.00137605 -345 *2760:135 *27436:A2 1.79609e-05 -346 *2764:152 *27479:A2 0.000753206 -347 *2764:152 *2844:201 1.90936e-05 -348 *2764:209 *2844:201 0.000606786 -349 *2768:22 *2844:190 8.94491e-05 -350 *2768:50 *2844:246 0.00012364 -351 *2786:83 *2844:386 0.000100248 -352 *2787:128 *2844:265 0.00108955 -353 *2787:128 *2844:275 0.000263366 -354 *2790:46 *2844:153 0.000244226 -355 *2791:209 *2844:304 6.7848e-05 -356 *2792:96 *2844:399 0.000367298 -357 *2792:104 *2844:201 0.00129949 -358 *2820:58 *2844:153 0.000302256 -359 *2842:31 *2844:18 1.90936e-05 -360 *2842:31 *2844:140 1.93629e-05 -361 *2842:38 *2844:140 0.00018433 -*RES -1 *27279:X *2844:5 10.2464 -2 *2844:5 *2844:18 19.154 -3 *2844:18 *2844:19 60.0893 -4 *2844:19 *2844:27 45.875 -5 *2844:27 *2844:37 38.5357 -6 *2844:37 *27535:A2 26.0321 -7 *2844:37 *2844:52 34.0714 -8 *2844:52 *27495:A2 14.1304 -9 *2844:52 *2844:69 35.4464 -10 *2844:69 *27522:A2 17.0054 -11 *2844:69 *2844:89 49.9318 -12 *2844:89 *2844:98 27.3717 -13 *2844:98 *27577:A2 39.2821 -14 *2844:98 *27561:A2 37.4875 -15 *2844:5 *2844:140 43.5 -16 *2844:140 *2844:153 47.6632 -17 *2844:153 *2844:164 17.558 -18 *2844:164 *27448:A2 9.3 -19 *2844:164 *2844:179 46.692 -20 *2844:179 *2844:190 46.9483 -21 *2844:190 *2844:201 28.8387 -22 *2844:201 *2844:204 33.7857 -23 *2844:204 *2844:220 45.0446 -24 *2844:220 *2844:246 44.8304 -25 *2844:246 *27348:A2 9.3 -26 *2844:220 *27363:A2 9.3 -27 *2844:204 *2844:265 43.4375 -28 *2844:265 *2844:275 48.25 -29 *2844:275 *2844:277 14.2411 -30 *2844:277 *2844:279 4.5 -31 *2844:279 *2844:285 31.4821 -32 *2844:285 *2844:290 47.5 -33 *2844:290 *2844:304 48.0893 -34 *2844:304 *2844:318 48.1429 -35 *2844:318 *2844:328 8.93879 -36 *2844:328 *27281:A2 21.8233 -37 *2844:328 *27411:A2 18.2591 -38 *2844:279 *2844:386 43.4719 -39 *2844:386 *2844:399 47.3901 -40 *2844:399 *27436:A2 22.5031 -41 *2844:277 *27382:A2 19.4607 -42 *2844:201 *27479:A2 26.0232 -*END - -*D_NET *2845 0.187443 -*CONN -*I *27451:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27477:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27385:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27414:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27281:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27428:A2 I *D sky130_fd_sc_hd__a211o_1 -*I *27349:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27373:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27552:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *6619:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27587:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27521:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27497:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27535:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27280:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27451:A2 0 -2 *27477:A2 0 -3 *27385:A2 8.81865e-05 -4 *27414:A2 0 -5 *27281:B1 0.00071942 -6 *27428:A2 0.00136665 -7 *27349:A2 0.00198612 -8 *27373:A2 0.000142872 -9 *27552:A2 0.00042211 -10 *6619:DIODE 0 -11 *27587:A2 0.000356899 -12 *27521:A2 0.00171341 -13 *27497:A2 9.21234e-05 -14 *27535:B1 0.000848207 -15 *27280:X 0.000286251 -16 *2845:392 0.00398296 -17 *2845:362 0.00120132 -18 *2845:347 0.00171347 -19 *2845:300 0.00292931 -20 *2845:286 0.00606398 -21 *2845:250 0.00562011 -22 *2845:247 0.00389944 -23 *2845:215 0.00570344 -24 *2845:211 0.00282257 -25 *2845:188 0.00529469 -26 *2845:180 0.00752111 -27 *2845:172 0.00187327 -28 *2845:161 0.00226726 -29 *2845:140 0.000556215 -30 *2845:127 0.00198506 -31 *2845:109 0.00313159 -32 *2845:80 0.00776671 -33 *2845:45 0.00197139 -34 *2845:37 0.00581456 -35 *2845:31 0.00239494 -36 *2845:30 0.00289034 -37 *2845:22 0.00347079 -38 *2845:6 0.00394744 -39 *27281:B1 *27281:A1 4.44192e-05 -40 *27281:B1 *27281:B2 3.61693e-05 -41 *27281:B1 *27286:B1 0.000216755 -42 *27281:B1 *27286:C1 2.0068e-05 -43 *27281:B1 *27762:A2 0 -44 *27281:B1 *3177:136 0.000818736 -45 *27281:B1 *3810:47 0.000313647 -46 *27281:B1 *3888:12 0.000148911 -47 *27281:B1 *4128:24 0.000150618 -48 *27281:B1 *5656:153 6.59591e-05 -49 *27349:A2 *27349:A1 0.000202235 -50 *27349:A2 *4068:31 9.31595e-05 -51 *27373:A2 *2871:206 6.58722e-05 -52 *27373:A2 *2938:6 1.90936e-05 -53 *27373:A2 *3574:75 7.37323e-05 -54 *27373:A2 *5700:329 2.04825e-05 -55 *27385:A2 *27386:C1 0.00011294 -56 *27385:A2 *2848:242 4.37712e-06 -57 *27385:A2 *3538:16 0.00018553 -58 *27385:A2 *5632:71 3.90513e-05 -59 *27428:A2 *27427:A2 0.000594896 -60 *27428:A2 *2859:283 0.00025191 -61 *27428:A2 *2943:11 1.90936e-05 -62 *27428:A2 *3153:180 0.000339346 -63 *27428:A2 *3153:284 9.65414e-05 -64 *27428:A2 *3798:40 0.000469946 -65 *27428:A2 *3939:63 8.94491e-05 -66 *27428:A2 *3965:39 6.90625e-05 -67 *27428:A2 *3965:56 2.06178e-05 -68 *27428:A2 *5649:137 2.8491e-05 -69 *27428:A2 *5649:273 0.00038545 -70 *27497:A2 *27497:A1 0.000139208 -71 *27497:A2 *27497:B1 5.33005e-05 -72 *27497:A2 *27498:C1 1.98839e-05 -73 *27521:A2 *27521:A1 0.000300732 -74 *27521:A2 *27521:B1 4.1896e-06 -75 *27521:A2 *27915:A 0.00030413 -76 *27521:A2 *3089:13 2.04825e-05 -77 *27521:A2 *3666:68 0 -78 *27521:A2 *3802:38 2.63501e-05 -79 *27521:A2 *4116:51 4.41229e-05 -80 *27521:A2 *4152:51 1.90936e-05 -81 *27535:B1 *27498:B2 0.000325268 -82 *27535:B1 *27498:C1 0.000706045 -83 *27535:B1 *27535:A1 1.58163e-05 -84 *27535:B1 *27536:C1 5.74499e-06 -85 *27552:A2 *27552:A1 8.16236e-05 -86 *27552:A2 *27552:B1 2.08514e-05 -87 *27552:A2 *27552:B2 5.87072e-06 -88 *27587:A2 *27587:A1 0.000221359 -89 *27587:A2 *3962:35 0.000815728 -90 *27587:A2 *5686:35 0.000539057 -91 *2845:6 *27309:C 6.35819e-05 -92 *2845:6 *2847:19 1.02504e-05 -93 *2845:6 *2885:27 0.000505358 -94 *2845:6 *3575:12 0.000420439 -95 *2845:6 *3575:76 5.60092e-05 -96 *2845:6 *6306:102 3.14316e-05 -97 *2845:22 *25891:A1 0.00104482 -98 *2845:22 *27291:A 7.55047e-05 -99 *2845:22 *28612:D 0.000262679 -100 *2845:22 *29833:A 9.11102e-06 -101 *2845:22 *2886:26 2.06112e-05 -102 *2845:22 *3156:41 0.000148378 -103 *2845:22 *3206:13 0.000347548 -104 *2845:22 *3214:21 0.00139312 -105 *2845:22 *3938:38 4.00349e-05 -106 *2845:22 *5196:94 3.51064e-05 -107 *2845:22 *5657:13 0 -108 *2845:22 *5667:111 4.18834e-05 -109 *2845:22 *5694:110 0.000334515 -110 *2845:30 *27905:A1 0.000380129 -111 *2845:30 *2856:38 0.00051224 -112 *2845:30 *3214:36 0.000310445 -113 *2845:30 *5583:71 0.000135742 -114 *2845:30 *5629:20 1.30506e-05 -115 *2845:30 *5635:34 0.000131357 -116 *2845:31 *27905:A1 0.00168597 -117 *2845:31 *27905:A2 0.000463754 -118 *2845:31 *27905:B1 5.49489e-05 -119 *2845:31 *27905:B2 4.38251e-05 -120 *2845:31 *27905:C1 5.33005e-05 -121 *2845:31 *27906:C1 0.000180951 -122 *2845:31 *2874:35 0.00277211 -123 *2845:31 *2874:54 0.000426563 -124 *2845:31 *2878:25 0.000233633 -125 *2845:31 *2878:27 0.001051 -126 *2845:31 *3214:48 0.000715142 -127 *2845:31 *3482:37 0.000136676 -128 *2845:31 *5619:17 0.000137983 -129 *2845:31 *5718:121 0.000469068 -130 *2845:37 *27541:A2 7.69776e-06 -131 *2845:37 *3778:44 0.000149467 -132 *2845:37 *5698:26 8.81577e-05 -133 *2845:45 *27496:B 0.000137639 -134 *2845:45 *27497:A1 6.8377e-06 -135 *2845:45 *27497:B1 1.95625e-05 -136 *2845:45 *27539:B2 7.17259e-05 -137 *2845:45 *2856:68 1.96299e-05 -138 *2845:45 *3060:9 0.000120693 -139 *2845:45 *3778:25 0.000288838 -140 *2845:45 *3778:44 0.000849159 -141 *2845:45 *5698:26 0.000140424 -142 *2845:45 *5717:89 0.000177596 -143 *2845:45 *5718:126 0.000299308 -144 *2845:80 *27571:B1 0 -145 *2845:80 *28157:CLK 0.00010663 -146 *2845:80 *3124:15 0.000784539 -147 *2845:80 *3214:78 0 -148 *2845:80 *3457:22 4.88232e-05 -149 *2845:80 *3645:20 0.000155723 -150 *2845:80 *3752:54 0.000527471 -151 *2845:80 *3779:59 0.00149508 -152 *2845:80 *3831:53 4.65519e-05 -153 *2845:80 *3841:25 5.24014e-05 -154 *2845:80 *3919:63 0.000488506 -155 *2845:80 *3960:86 0 -156 *2845:80 *5650:133 0.000509389 -157 *2845:80 *5738:100 0 -158 *2845:109 *27530:B2 0.00013814 -159 *2845:109 *27568:A2 0.000219688 -160 *2845:109 *28379:RESET_B 0 -161 *2845:109 *2880:197 1.37742e-05 -162 *2845:109 *3779:59 0.00012234 -163 *2845:109 *5614:97 0.000136052 -164 *2845:109 *5691:51 0.000171671 -165 *2845:109 *5694:31 0 -166 *2845:109 *5744:114 0 -167 *2845:127 *2877:105 0.000168224 -168 *2845:127 *2878:92 0.000619591 -169 *2845:127 *2886:102 0.000924402 -170 *2845:127 *3741:38 0.000379791 -171 *2845:127 *3763:20 1.17968e-05 -172 *2845:127 *3806:62 0.000276883 -173 *2845:127 *3897:48 0.000780681 -174 *2845:127 *3961:45 5.41794e-05 -175 *2845:127 *4130:103 2.09826e-05 -176 *2845:127 *5691:61 0.000342302 -177 *2845:127 *5718:206 2.24155e-05 -178 *2845:161 *27296:C 6.09572e-05 -179 *2845:161 *27309:C 0.000104758 -180 *2845:161 *2847:37 0.000128088 -181 *2845:161 *2858:72 3.67548e-05 -182 *2845:161 *2859:16 0.000327051 -183 *2845:161 *3194:62 0 -184 *2845:161 *3205:16 1.5702e-05 -185 *2845:161 *3575:12 0.00134537 -186 *2845:161 *5700:250 0.000178847 -187 *2845:172 *2859:157 0.00167497 -188 *2845:172 *2867:158 0.00076988 -189 *2845:172 *2872:160 0.000756135 -190 *2845:172 *2874:146 7.6644e-05 -191 *2845:172 *2938:6 0.000509264 -192 *2845:172 *3164:34 7.40526e-05 -193 *2845:172 *3316:17 9.41642e-05 -194 *2845:172 *3388:17 6.28948e-05 -195 *2845:180 *2938:6 0.000749449 -196 *2845:180 *5718:313 0.000748927 -197 *2845:188 *2848:178 2.07928e-05 -198 *2845:188 *2848:191 0.000178842 -199 *2845:188 *2853:187 0 -200 *2845:188 *2867:192 0.000765979 -201 *2845:188 *2871:206 6.73831e-05 -202 *2845:188 *2978:36 0 -203 *2845:188 *3174:188 0 -204 *2845:188 *3176:24 9.20139e-05 -205 *2845:188 *3293:14 0 -206 *2845:188 *3293:34 0 -207 *2845:188 *3666:119 7.53529e-06 -208 *2845:188 *5467:18 2.2308e-05 -209 *2845:188 *5667:60 0 -210 *2845:188 *5694:283 0 -211 *2845:211 *2853:224 0 -212 *2845:211 *2860:209 0.00112344 -213 *2845:211 *2871:206 0.00065514 -214 *2845:211 *2938:6 0.000234866 -215 *2845:211 *5667:60 0 -216 *2845:211 *5718:315 0.00020996 -217 *2845:211 *5718:345 6.30931e-05 -218 *2845:215 *3205:230 6.41179e-05 -219 *2845:215 *3822:32 0.000402575 -220 *2845:215 *5632:52 0.000178288 -221 *2845:247 *2852:77 0.000131357 -222 *2845:247 *2852:108 0.00291594 -223 *2845:247 *2853:224 0.000376741 -224 *2845:247 *2853:236 0.000128058 -225 *2845:247 *2880:30 0.000127111 -226 *2845:247 *3259:25 0.000148432 -227 *2845:247 *3822:32 0.00123872 -228 *2845:247 *3952:57 0.000111982 -229 *2845:247 *4095:67 5.83068e-05 -230 *2845:247 *5596:47 0.000435872 -231 *2845:247 *5649:128 0.00236638 -232 *2845:250 *27736:B2 0.000483326 -233 *2845:250 *3796:108 6.57893e-06 -234 *2845:250 *5649:128 0.000782498 -235 *2845:250 *5649:273 0.00015045 -236 *2845:286 *27415:C1 9.41642e-05 -237 *2845:286 *2860:287 3.03145e-05 -238 *2845:286 *2864:292 0.000270481 -239 *2845:286 *3179:154 8.21982e-06 -240 *2845:286 *3796:108 2.32455e-05 -241 *2845:286 *3797:101 3.4517e-05 -242 *2845:286 *3978:65 0.000167762 -243 *2845:286 *4080:44 0.000129707 -244 *2845:286 *4095:67 0.000492237 -245 *2845:286 *5630:264 0.00234806 -246 *2845:286 *5647:82 0 -247 *2845:286 *5647:109 0 -248 *2845:286 *5649:273 7.04467e-05 -249 *2845:286 *5795:82 0.000131656 -250 *2845:300 *27751:A1 9.10423e-05 -251 *2845:300 *28710:CLK 5.33005e-05 -252 *2845:300 *28710:RESET_B 5.88998e-05 -253 *2845:300 *2883:250 0.000300453 -254 *2845:300 *3940:28 9.58181e-05 -255 *2845:300 *3966:7 9.0145e-05 -256 *2845:300 *5650:280 0.000129147 -257 *2845:300 *5662:144 0.000650159 -258 *2845:347 *26892:B 6.57032e-05 -259 *2845:347 *3205:235 0.000181686 -260 *2845:347 *4138:62 0.000267814 -261 *2845:347 *5485:145 0.000266099 -262 *2845:347 *5632:52 0.000122591 -263 *2845:347 *5660:134 0.000429518 -264 *2845:362 *27477:B2 5.68713e-05 -265 *2845:362 *27838:B1 0.00050829 -266 *2845:362 *2859:331 2.83284e-06 -267 *2845:362 *3177:61 0.000109654 -268 *2845:362 *3800:46 9.5485e-05 -269 *2845:362 *5654:55 0.00305727 -270 *2845:362 *5663:48 0.000219289 -271 *2845:362 *5663:50 0.000266112 -272 *2845:392 *27459:A 2.18792e-05 -273 *2845:392 *27810:B1 1.07995e-05 -274 *2845:392 *27811:A2 0.000381421 -275 *2845:392 *27815:B2 0.00138581 -276 *2845:392 *2871:194 8.89061e-05 -277 *2845:392 *2895:256 0 -278 *2845:392 *3164:249 5.98536e-06 -279 *2845:392 *3170:167 0.000391978 -280 *2845:392 *3176:24 0.000920749 -281 *2845:392 *3176:230 1.35877e-05 -282 *2845:392 *3215:25 0.000626639 -283 *2845:392 *3632:8 0.000112466 -284 *2845:392 *3669:20 1.90936e-05 -285 *2845:392 *3670:47 4.13589e-05 -286 *2845:392 *5467:18 0.000230979 -287 *2845:392 *5633:41 4.20041e-05 -288 *2845:392 *5639:45 2.03842e-05 -289 *2845:392 *5644:158 0.000311653 -290 *2845:392 *5645:71 4.00679e-05 -291 *2845:392 *5649:55 4.01089e-05 -292 *2845:392 *5663:27 0.000112466 -293 *2845:392 *5714:29 6.47218e-05 -294 *2845:392 *5755:192 9.20139e-05 -295 *25190:A2 *2845:286 4.96415e-05 -296 *25190:B1 *2845:286 0.00014637 -297 *25190:C1 *2845:286 0.00163409 -298 *25393:C1 *2845:37 1.02975e-05 -299 *25393:C1 *2845:45 0.000199879 -300 *25875:S *27587:A2 0.000216755 -301 *25891:S *2845:30 5.00194e-05 -302 *27021:S *2845:286 0.00144933 -303 *27281:A2 *27281:B1 0.000141895 -304 *27313:A *2845:22 0.000510785 -305 *27535:A2 *27535:B1 4.58194e-05 -306 *29344:A *2845:80 0.000114999 -307 *1185:175 *27428:A2 0.000178498 -308 *1225:33 *27373:A2 1.70804e-05 -309 *1246:90 *2845:392 6.14836e-06 -310 *1250:74 *2845:22 1.90936e-05 -311 *1252:21 *2845:31 0.000308159 -312 *1258:75 *2845:250 2.89643e-06 -313 *1258:75 *2845:286 0.000110844 -314 *1260:91 *2845:300 0.00109346 -315 *1262:181 *2845:211 0.000228162 -316 *1267:163 *27428:A2 0.000401742 -317 *1271:104 *2845:30 0.000133811 -318 *1275:94 *2845:247 5.52634e-05 -319 *1277:188 *2845:211 1.04954e-05 -320 *1290:42 *2845:22 0.000135028 -321 *1290:179 *2845:392 1.90936e-05 -322 *1292:202 *27349:A2 0.00264615 -323 *1362:118 *2845:80 0.00026319 -324 *1368:23 *2845:392 0.000185178 -325 *1423:86 *27521:A2 0.000350022 -326 *1467:27 *2845:300 0.000350185 -327 *1470:90 *27521:A2 0.000523991 -328 *1476:57 *27521:A2 7.05989e-05 -329 *1480:35 *2845:31 0.000225995 -330 *1490:24 *2845:211 6.30931e-05 -331 *1490:26 *2845:172 0 -332 *1509:16 *27281:B1 0.000142313 -333 *1510:27 *2845:247 5.3758e-05 -334 *1545:28 *2845:109 6.94999e-05 -335 *1547:51 *2845:80 0.000746588 -336 *1633:29 *2845:300 0.000298739 -337 *1657:40 *2845:31 0.000136676 -338 *1730:19 *2845:127 0.000142979 -339 *1826:170 *27428:A2 8.65112e-05 -340 *2758:64 *2845:172 4.87854e-05 -341 *2760:12 *2845:211 0.000257773 -342 *2763:71 *2845:215 0.000387486 -343 *2763:71 *2845:347 0.0012982 -344 *2776:65 *2845:30 2.93106e-05 -345 *2780:223 *2845:300 2.98252e-05 -346 *2786:55 *2845:286 0.0016552 -347 *2786:112 *2845:180 0.000139913 -348 *2787:139 *27428:A2 4.65519e-05 -349 *2788:22 *2845:215 0.000404282 -350 *2788:22 *2845:247 0.00122894 -351 *2791:102 *2845:211 0.00052706 -352 *2791:139 *2845:392 0.000104046 -353 *2843:56 *2845:22 0.000149165 -354 *2844:204 *2845:362 0.00281016 -355 *2844:220 *2845:215 4.82865e-05 -356 *2844:318 *27281:B1 0.000170575 -357 *2844:318 *2845:300 5.33005e-05 -*RES -1 *27280:X *2845:6 22.2732 -2 *2845:6 *2845:22 49.3523 -3 *2845:22 *2845:30 15.0354 -4 *2845:30 *2845:31 67.8929 -5 *2845:31 *2845:37 8.48602 -6 *2845:37 *2845:45 19.7641 -7 *2845:45 *27535:B1 22.6214 -8 *2845:45 *27497:A2 11.6929 -9 *2845:37 *2845:80 36.1654 -10 *2845:80 *27521:A2 28.4805 -11 *2845:80 *2845:109 15.4505 -12 *2845:109 *2845:127 49.8929 -13 *2845:127 *27587:A2 24.7464 -14 *2845:109 *2845:140 1.35714 -15 *2845:140 *6619:DIODE 9.3 -16 *2845:140 *27552:A2 14.3045 -17 *2845:6 *2845:161 28.6518 -18 *2845:161 *2845:172 48.8036 -19 *2845:172 *2845:180 23.7582 -20 *2845:180 *2845:188 9.28343 -21 *2845:188 *27373:A2 21.865 -22 *2845:188 *2845:211 18.2654 -23 *2845:211 *2845:215 14.4286 -24 *2845:215 *27349:A2 44.9696 -25 *2845:215 *2845:247 36.8346 -26 *2845:247 *2845:250 5.3746 -27 *2845:250 *27428:A2 35.2327 -28 *2845:250 *2845:286 25.8505 -29 *2845:286 *2845:300 47.4643 -30 *2845:300 *27281:B1 46.6482 -31 *2845:286 *27414:A2 9.3 -32 *2845:247 *27385:A2 19.6948 -33 *2845:211 *2845:347 25.3214 -34 *2845:347 *2845:362 47.8393 -35 *2845:362 *27477:A2 9.3 -36 *2845:180 *2845:392 49.6138 -37 *2845:392 *27451:A2 9.3 -*END - -*D_NET *2846 0.00231471 -*CONN -*I *27286:C1 I *D sky130_fd_sc_hd__a221o_2 -*I *27281:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27286:C1 0.000854804 -2 *27281:X 0.000854804 -3 *27286:C1 *27281:A1 0.000135028 -4 *27286:C1 *27281:B2 3.06027e-05 -5 *27286:C1 *27286:A1 3.99614e-06 -6 *27286:C1 *27286:B1 0.000342302 -7 *27286:C1 *27286:B2 1.38323e-05 -8 *27286:C1 *2848:300 4.85033e-05 -9 *27286:C1 *4350:87 1.07719e-05 -10 *27281:B1 *27286:C1 2.0068e-05 -*RES -1 *27281:X *27286:C1 28.9036 -*END - -*D_NET *2847 0.013064 -*CONN -*I *27306:C I *D sky130_fd_sc_hd__and3_4 -*I *27290:B I *D sky130_fd_sc_hd__and3_4 -*I *27329:C I *D sky130_fd_sc_hd__and3_4 -*I *27318:B I *D sky130_fd_sc_hd__and3_4 -*I *27302:C I *D sky130_fd_sc_hd__and3_4 -*I *27283:C I *D sky130_fd_sc_hd__and3_4 -*I *27330:C I *D sky130_fd_sc_hd__and3_4 -*I *27299:C I *D sky130_fd_sc_hd__and3_4 -*I *27282:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *27306:C 3.77492e-05 -2 *27290:B 1.84647e-05 -3 *27329:C 0.000142214 -4 *27318:B 0.000154563 -5 *27302:C 0 -6 *27283:C 1.1624e-05 -7 *27330:C 3.44431e-05 -8 *27299:C 0 -9 *27282:X 0.000164854 -10 *2847:52 0.000154563 -11 *2847:50 0.000312517 -12 *2847:37 0.0010065 -13 *2847:33 0.00135434 -14 *2847:22 0.000666811 -15 *2847:19 0.00046994 -16 *2847:7 0.000475972 -17 *27283:C *2848:19 5.52238e-05 -18 *27290:B *2855:12 2.59355e-05 -19 *27290:B *3197:19 4.08637e-05 -20 *27306:C *5634:15 0.00018372 -21 *27318:B *27318:C 0.000135028 -22 *27318:B *2883:15 0.000511668 -23 *27318:B *5598:17 0.000192628 -24 *27329:C *2856:270 0.000154951 -25 *27329:C *2867:158 4.22135e-06 -26 *27329:C *2877:359 0.000175892 -27 *27329:C *2894:21 8.55871e-05 -28 *27329:C *3538:39 2.22494e-05 -29 *27329:C *5638:30 0.000110952 -30 *27330:C *2871:20 4.11486e-05 -31 *27330:C *3575:8 5.66971e-05 -32 *27330:C *5655:16 0.0001399 -33 *2847:7 *3573:16 0.000159247 -34 *2847:7 *5442:63 0.000516118 -35 *2847:7 *6304:24 5.52302e-05 -36 *2847:19 *2885:27 0.000186006 -37 *2847:19 *3194:59 0.000464814 -38 *2847:19 *3573:16 5.52238e-05 -39 *2847:19 *3575:76 5.55317e-05 -40 *2847:19 *5442:63 2.44318e-05 -41 *2847:19 *5634:15 0.000137983 -42 *2847:22 *2864:96 0 -43 *2847:22 *3575:76 0.000207404 -44 *2847:22 *5981:38 0.000128349 -45 *2847:33 *27597:B 0 -46 *2847:33 *2864:96 0 -47 *2847:33 *2872:160 7.15591e-05 -48 *2847:33 *2874:8 7.6644e-05 -49 *2847:33 *2874:146 0.000267117 -50 *2847:33 *3575:8 5.19522e-06 -51 *2847:33 *3575:12 0.000231634 -52 *2847:33 *3575:76 1.15207e-05 -53 *2847:33 *5717:142 0.000117463 -54 *2847:37 *27296:C 0.000182868 -55 *2847:37 *2848:19 0.00021981 -56 *2847:37 *2855:12 0.000158902 -57 *2847:37 *2858:72 0.000401033 -58 *2847:37 *3194:62 0.00103229 -59 *2847:37 *3194:70 3.38335e-05 -60 *2847:37 *3197:19 0.00030926 -61 *2847:37 *3575:12 0 -62 *2847:37 *5687:212 0.000150618 -63 *2847:50 *2867:6 1.8038e-05 -64 *2847:50 *2867:158 1.26641e-05 -65 *2847:50 *3538:39 0.000121094 -66 *2847:50 *5687:212 8.84757e-05 -67 *25007:B1 *27283:C 5.52238e-05 -68 *27299:B *2847:7 0.000135028 -69 *27312:C *2847:37 5.80706e-06 -70 *27312:C *2847:50 1.81709e-05 -71 *27321:C *2847:19 0.000126439 -72 *2843:11 *27306:C 3.18676e-05 -73 *2843:13 *27306:C 6.87574e-05 -74 *2843:13 *2847:19 4.27437e-05 -75 *2845:6 *2847:19 1.02504e-05 -76 *2845:161 *2847:37 0.000128088 -*RES -1 *27282:X *2847:7 14.7821 -2 *2847:7 *27299:C 9.3 -3 *2847:7 *2847:19 18 -4 *2847:19 *2847:22 7.35714 -5 *2847:22 *27330:C 15.1571 -6 *2847:22 *2847:33 16.1607 -7 *2847:33 *2847:37 27.3571 -8 *2847:37 *27283:C 14.3357 -9 *2847:37 *2847:50 3.51786 -10 *2847:50 *2847:52 4.5 -11 *2847:52 *27302:C 9.3 -12 *2847:52 *27318:B 14.3536 -13 *2847:50 *27329:C 17.8179 -14 *2847:33 *27290:B 9.83571 -15 *2847:19 *27306:C 11.0679 -*END - -*D_NET *2848 0.200231 -*CONN -*I *27443:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27426:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27389:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27404:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27286:A2 I *D sky130_fd_sc_hd__a221o_2 -*I *27336:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27465:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27372:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27485:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *6564:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27530:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27577:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27557:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *6530:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27524:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27283:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27443:A2 0.000998699 -2 *27426:A2 0 -3 *27389:A2 0.000865764 -4 *27404:A2 0.000984148 -5 *27286:A2 0 -6 *27336:A2 0.000943866 -7 *27465:A2 0 -8 *27372:A2 4.21736e-05 -9 *27485:A2 2.15003e-05 -10 *6564:DIODE 0.000303878 -11 *27530:A2 0.000205207 -12 *27577:B1 0.000213241 -13 *27557:A2 0.000862577 -14 *6530:DIODE 0.000136126 -15 *27524:A2 0.00101048 -16 *27283:X 0 -17 *2848:384 0.00240032 -18 *2848:369 0.00227228 -19 *2848:333 0.00545329 -20 *2848:300 0.0017584 -21 *2848:282 0.00680954 -22 *2848:265 0.00806261 -23 *2848:242 0.0059526 -24 *2848:233 0.00186933 -25 *2848:206 0.00754162 -26 *2848:191 0.00397748 -27 *2848:178 0.00355056 -28 *2848:146 0.0015046 -29 *2848:123 0.00221673 -30 *2848:100 0.0033493 -31 *2848:96 0.000715432 -32 *2848:85 0.00103303 -33 *2848:64 0.00405848 -34 *2848:53 0.00573612 -35 *2848:51 0.00477961 -36 *2848:44 0.00268755 -37 *2848:33 0.00283697 -38 *2848:19 0.00488591 -39 *2848:4 0.00177812 -40 *6530:DIODE *3085:11 0.000178425 -41 *6564:DIODE *27485:A1 8.25843e-06 -42 *6564:DIODE *27485:B2 4.00679e-05 -43 *6564:DIODE *27490:B1 8.25843e-06 -44 *6564:DIODE *5461:7 5.20359e-06 -45 *27336:A2 *27336:A1 5.7661e-06 -46 *27336:A2 *27336:B1 0.000216377 -47 *27336:A2 *27336:C1 0.000836423 -48 *27336:A2 *27343:A 1.98839e-05 -49 *27336:A2 *2860:259 0.000111156 -50 *27336:A2 *2880:58 0.000129918 -51 *27336:A2 *3250:39 8.14863e-05 -52 *27336:A2 *4107:40 0.000115707 -53 *27336:A2 *5600:173 0.000113776 -54 *27372:A2 *27373:C1 3.04394e-05 -55 *27389:A2 *27390:C1 1.58163e-05 -56 *27389:A2 *28695:D 0 -57 *27389:A2 *3718:83 0.000766914 -58 *27389:A2 *5656:321 0.000766914 -59 *27404:A2 *27404:A1 5.55213e-05 -60 *27404:A2 *27605:A2 0.000110235 -61 *27404:A2 *2894:319 6.07716e-05 -62 *27404:A2 *3314:25 0.0012754 -63 *27404:A2 *3680:32 2.01997e-05 -64 *27404:A2 *3706:24 1.31516e-05 -65 *27404:A2 *3706:35 0.000948644 -66 *27404:A2 *3849:48 0.000169792 -67 *27404:A2 *4148:24 0.00107407 -68 *27404:A2 *5704:18 2.01997e-05 -69 *27443:A2 *3040:41 9.10327e-05 -70 *27443:A2 *3164:20 1.90936e-05 -71 *27443:A2 *3193:51 1.90936e-05 -72 *27443:A2 *3734:23 0.000177821 -73 *27443:A2 *5947:23 8.55871e-05 -74 *27485:A2 *27485:A1 1.00733e-05 -75 *27524:A2 *27524:B1 0.000196323 -76 *27524:A2 *2880:211 6.14836e-06 -77 *27524:A2 *2889:272 0.00141067 -78 *27524:A2 *2895:122 4.43081e-05 -79 *27524:A2 *3806:62 4.21517e-05 -80 *27524:A2 *3867:37 0.000232396 -81 *27524:A2 *5467:14 0 -82 *27530:A2 *27530:A1 5.55213e-05 -83 *27530:A2 *27530:B2 4.41679e-05 -84 *27530:A2 *27530:C1 0.000344491 -85 *27530:A2 *3085:11 0.000495494 -86 *27557:A2 *27557:A1 5.33005e-05 -87 *27557:A2 *27557:B1 6.09764e-05 -88 *27557:A2 *2883:89 3.63775e-05 -89 *27557:A2 *2888:125 0.00219467 -90 *27557:A2 *3974:22 0.000104114 -91 *27557:A2 *4065:107 0.0019128 -92 *27577:B1 *27577:A1 1.00733e-05 -93 *27577:B1 *27577:B2 3.29905e-05 -94 *27577:B1 *2866:217 0.000424029 -95 *27577:B1 *3715:32 4.87953e-05 -96 *2848:19 *2849:97 0.000125547 -97 *2848:19 *3194:70 0.000278568 -98 *2848:19 *5661:9 0.000135028 -99 *2848:33 *25358:B2 2.3087e-05 -100 *2848:33 *26828:A0 2.07997e-05 -101 *2848:33 *2849:97 9.60939e-05 -102 *2848:33 *2859:22 8.43535e-06 -103 *2848:33 *2875:63 0 -104 *2848:33 *2882:22 0.000157818 -105 *2848:33 *2889:211 5.05508e-05 -106 *2848:33 *3182:16 0.000678888 -107 *2848:33 *3314:41 0.00211844 -108 *2848:33 *3820:79 0.000132702 -109 *2848:33 *3872:39 1.09611e-05 -110 *2848:33 *5629:132 6.11902e-05 -111 *2848:33 *5646:17 0 -112 *2848:33 *5657:197 1.65216e-06 -113 *2848:33 *5661:9 9.41642e-05 -114 *2848:33 *5717:168 0.000157818 -115 *2848:44 *25358:B2 5.41797e-06 -116 *2848:44 *26827:B 0.000590017 -117 *2848:44 *28861:A 0.000105687 -118 *2848:44 *5483:151 0.000116395 -119 *2848:44 *5583:71 6.54117e-05 -120 *2848:51 *25411:B2 0.000149797 -121 *2848:51 *28436:CLK 4.96113e-05 -122 *2848:51 *28436:D 0.000328562 -123 *2848:51 *28436:RESET_B 4.64895e-05 -124 *2848:51 *28610:CLK 0.000342302 -125 *2848:51 *3546:22 1.13412e-05 -126 *2848:51 *3777:10 0.000238841 -127 *2848:53 *27494:A1 7.09384e-05 -128 *2848:53 *27494:B2 9.60939e-05 -129 *2848:53 *28389:D 0.000177815 -130 *2848:53 *28857:A 0.000155124 -131 *2848:53 *2853:61 0.000275761 -132 *2848:53 *2853:66 8.43535e-06 -133 *2848:53 *2888:76 0.00146545 -134 *2848:53 *3404:26 0.000784848 -135 *2848:53 *3441:24 0.000180073 -136 *2848:53 *5661:64 0.000251462 -137 *2848:64 *2853:90 5.94847e-05 -138 *2848:64 *2878:75 0.000878725 -139 *2848:64 *2886:89 0.00101609 -140 *2848:64 *2888:76 0.000135028 -141 *2848:64 *3209:85 0 -142 *2848:64 *3404:26 0.000136951 -143 *2848:64 *5892:145 0.000132862 -144 *2848:85 *2877:58 0.000133835 -145 *2848:85 *2886:89 0.0003868 -146 *2848:85 *3124:15 0 -147 *2848:85 *3763:20 2.18087e-05 -148 *2848:96 *3763:20 4.50762e-05 -149 *2848:100 *27530:C1 9.41642e-05 -150 *2848:100 *3085:11 9.58632e-05 -151 *2848:100 *3763:20 0.00130364 -152 *2848:100 *5717:117 0.00130522 -153 *2848:123 *25218:B2 5.00194e-05 -154 *2848:123 *27578:A2 0.000593654 -155 *2848:123 *28997:A 8.90826e-05 -156 *2848:123 *2872:134 0.00013805 -157 *2848:123 *2878:96 0.00077333 -158 *2848:123 *2878:120 0.00105056 -159 *2848:123 *2886:102 0.000145264 -160 *2848:123 *3101:17 0.000193671 -161 *2848:123 *3136:12 0.000193833 -162 *2848:123 *3168:153 0.000243793 -163 *2848:123 *3184:169 0.000713122 -164 *2848:123 *3461:26 5.88288e-05 -165 *2848:123 *3685:66 2.11419e-05 -166 *2848:123 *3763:20 0.000200914 -167 *2848:123 *3909:40 2.01997e-05 -168 *2848:123 *5717:117 8.04215e-05 -169 *2848:123 *5878:35 0.000172737 -170 *2848:146 *27485:A1 0.000230091 -171 *2848:146 *27486:C1 0.000348207 -172 *2848:146 *27547:C 0.000193833 -173 *2848:146 *2859:73 0.000471461 -174 *2848:146 *2871:79 0.0010884 -175 *2848:146 *2880:215 0.000193833 -176 *2848:146 *3736:51 0.000540574 -177 *2848:178 *27811:B1 9.23367e-05 -178 *2848:178 *2859:161 9.22109e-05 -179 *2848:178 *2978:36 0.000331023 -180 *2848:178 *3194:70 0.00115429 -181 *2848:178 *3293:34 0.00142721 -182 *2848:178 *3575:33 1.31516e-05 -183 *2848:178 *3575:46 4.19791e-05 -184 *2848:178 *3666:119 0.00096549 -185 *2848:178 *4030:48 0.000635362 -186 *2848:178 *5584:76 2.55302e-05 -187 *2848:178 *5598:49 0.000341254 -188 *2848:178 *5717:168 0.000379189 -189 *2848:178 *5717:179 0.000226284 -190 *2848:191 *25278:B2 1.90936e-05 -191 *2848:191 *2853:224 0.000105087 -192 *2848:191 *2859:162 1.69234e-05 -193 *2848:191 *2864:156 4.32993e-06 -194 *2848:191 *2867:192 2.92625e-05 -195 *2848:191 *2871:206 0.00139461 -196 *2848:191 *2880:129 0.00132446 -197 *2848:191 *2880:137 2.62184e-05 -198 *2848:191 *2938:6 1.94054e-05 -199 *2848:191 *3293:34 6.34043e-05 -200 *2848:191 *3666:122 0.00144338 -201 *2848:191 *3822:49 1.14338e-05 -202 *2848:191 *3913:52 4.26759e-05 -203 *2848:191 *5700:329 0.000123484 -204 *2848:206 *2853:224 0.000141605 -205 *2848:206 *2860:209 0 -206 *2848:206 *2938:6 0.000550064 -207 *2848:206 *3153:165 0.000278153 -208 *2848:206 *3293:14 0.00202154 -209 *2848:206 *3913:52 0.00192188 -210 *2848:206 *3939:51 0.000313339 -211 *2848:206 *5632:53 0.000440786 -212 *2848:206 *5649:116 0.000356538 -213 *2848:206 *5649:120 2.78346e-05 -214 *2848:206 *5660:157 0.0001052 -215 *2848:233 *27465:A1 7.18091e-05 -216 *2848:233 *27465:B2 7.04747e-05 -217 *2848:233 *27467:B1 0.000135028 -218 *2848:233 *27474:A 0 -219 *2848:233 *27831:A1 8.78763e-05 -220 *2848:233 *2877:237 0.00122624 -221 *2848:233 *2894:280 7.48927e-06 -222 *2848:233 *3345:6 6.30931e-05 -223 *2848:233 *3852:51 0.000713024 -224 *2848:242 *27438:A2 8.63126e-05 -225 *2848:242 *2860:259 0.000217694 -226 *2848:242 *2880:58 0.000149546 -227 *2848:242 *3177:85 0.00114193 -228 *2848:242 *3293:14 0.00376389 -229 *2848:242 *3939:51 0.000121549 -230 *2848:242 *3965:56 0.000241956 -231 *2848:242 *4095:67 0 -232 *2848:242 *4108:58 1.89537e-05 -233 *2848:242 *5647:82 0.000170117 -234 *2848:242 *5660:157 0.000103262 -235 *2848:265 *27371:C1 0.00021716 -236 *2848:265 *27387:B1 0.000689123 -237 *2848:265 *2860:259 0.00170905 -238 *2848:265 *2886:336 0.00078961 -239 *2848:265 *3259:25 0 -240 *2848:282 *27400:B2 3.93449e-05 -241 *2848:282 *2855:198 0 -242 *2848:282 *2892:292 0.00301067 -243 *2848:282 *3170:251 0.00100119 -244 *2848:282 *3691:34 9.41642e-05 -245 *2848:282 *3712:22 0.000171666 -246 *2848:282 *3821:19 2.04825e-05 -247 *2848:282 *3874:76 9.41642e-05 -248 *2848:282 *3978:65 0.00013256 -249 *2848:282 *4003:27 0.000541143 -250 *2848:282 *4094:20 2.21972e-05 -251 *2848:282 *5587:65 0.000357561 -252 *2848:282 *5609:219 0.0011969 -253 *2848:282 *5645:136 2.27273e-05 -254 *2848:282 *5655:217 0.000208349 -255 *2848:282 *5660:217 9.04651e-06 -256 *2848:282 *5702:38 2.23242e-05 -257 *2848:282 *6225:139 0.000134392 -258 *2848:300 *27286:A1 0 -259 *2848:300 *27286:B1 0.000219163 -260 *2848:300 *27399:B1 0.000159071 -261 *2848:300 *27400:B2 3.03345e-05 -262 *2848:300 *2892:292 7.34737e-05 -263 *2848:300 *3810:26 0 -264 *2848:300 *4135:70 7.86139e-05 -265 *2848:300 *5587:65 6.42122e-06 -266 *2848:300 *5630:292 6.90381e-06 -267 *2848:300 *5646:213 0.000216917 -268 *2848:300 *5660:217 0.000206285 -269 *2848:333 *27429:A2 0 -270 *2848:333 *2852:108 0.00016478 -271 *2848:333 *2855:219 0.000628633 -272 *2848:333 *3259:25 0.0003261 -273 *2848:333 *3796:118 0.000211306 -274 *2848:333 *3835:36 0.00010283 -275 *2848:333 *4069:41 6.55299e-05 -276 *2848:333 *5628:185 0.000223672 -277 *2848:333 *5809:22 2.30813e-05 -278 *2848:333 *5873:289 0 -279 *2848:369 *27117:B 2.06112e-05 -280 *2848:369 *27390:B1 0.000125884 -281 *2848:369 *27426:A1 3.49005e-05 -282 *2848:369 *27426:C1 3.3254e-05 -283 *2848:369 *27776:A2 4.65519e-05 -284 *2848:369 *28695:D 2.56666e-05 -285 *2848:369 *30234:A 2.13481e-06 -286 *2848:369 *2874:359 0.000387381 -287 *2848:369 *2882:303 0 -288 *2848:369 *2891:311 6.54117e-05 -289 *2848:369 *3326:11 5.6468e-05 -290 *2848:369 *3367:43 6.35819e-05 -291 *2848:369 *3887:41 5.00194e-05 -292 *2848:369 *3965:35 1.90936e-05 -293 *2848:369 *4006:51 0.000450309 -294 *2848:369 *4082:70 9.77021e-06 -295 *2848:369 *4134:45 2.89701e-05 -296 *2848:369 *4137:20 0.000111348 -297 *2848:369 *4147:46 4.49626e-05 -298 *2848:369 *5595:57 2.01997e-05 -299 *2848:369 *5692:31 0.000128411 -300 *2848:369 *5777:223 0.000204854 -301 *2848:369 *5809:22 0.000276279 -302 *2848:384 *27445:A1 4.75956e-06 -303 *2848:384 *2852:297 8.6229e-06 -304 *2848:384 *3040:41 7.47638e-05 -305 *2848:384 *3165:192 0.000245349 -306 *2848:384 *5653:19 0.000404189 -307 *2848:384 *5658:10 1.0562e-05 -308 *2848:384 *5947:27 0.000185724 -309 *25007:B1 *2848:19 8.25897e-05 -310 *25007:B1 *2848:384 0.0017136 -311 *25097:A2 *2848:44 5.52238e-05 -312 *25097:B1 *2848:44 6.7224e-05 -313 *25097:C1 *2848:44 0.000178847 -314 *25137:B1 *2848:123 3.25779e-05 -315 *25145:B1 *2848:282 0.000778812 -316 *25148:B *2848:282 2.57391e-05 -317 *25148:B *2848:300 0.000525551 -318 *25190:B1 *2848:265 0.000371787 -319 *25190:B1 *2848:333 0.000195057 -320 *25266:B *2848:33 2.08447e-05 -321 *25266:C *2848:33 0.000415122 -322 *25266:C *2848:44 5.23496e-05 -323 *25321:A2 *2848:33 0 -324 *25351:B *2848:51 1.03939e-06 -325 *25412:B *2848:53 5.49544e-05 -326 *25412:C *2848:53 0.000218409 -327 *25413:D *2848:51 7.74391e-05 -328 *26828:S *2848:33 3.69974e-05 -329 *26880:S *2848:233 1.90936e-05 -330 *27283:C *2848:19 5.52238e-05 -331 *27286:C1 *2848:300 4.85033e-05 -332 *27385:A2 *2848:242 4.37712e-06 -333 *27420:A2 *27389:A2 0.00012831 -334 *27420:A2 *2848:369 7.18262e-06 -335 *27601:A *2848:384 3.97677e-05 -336 *30192:A *2848:300 2.59355e-05 -337 *30274:A *2848:53 2.89016e-05 -338 *1178:158 *2848:242 0.00116509 -339 *1178:174 *27336:A2 7.40839e-05 -340 *1185:125 *2848:206 0.000159564 -341 *1185:144 *2848:206 4.55165e-05 -342 *1185:144 *2848:242 2.21505e-05 -343 *1185:184 *2848:369 2.01997e-05 -344 *1218:38 *2848:44 5.24002e-05 -345 *1237:39 *2848:33 0.00200682 -346 *1246:104 *2848:233 3.95183e-05 -347 *1250:234 *27372:A2 4.58194e-05 -348 *1251:29 *27524:A2 0.000527822 -349 *1256:40 *2848:33 2.14658e-05 -350 *1257:162 *2848:300 0.000363896 -351 *1258:56 *2848:282 0.000341381 -352 *1260:102 *2848:282 0.000195525 -353 *1261:41 *2848:233 0.000531304 -354 *1261:53 *2848:233 0.00131055 -355 *1265:142 *2848:282 8.40779e-05 -356 *1265:142 *2848:333 0.000308521 -357 *1268:74 *2848:206 0.000266103 -358 *1269:247 *2848:233 6.05161e-06 -359 *1286:27 *2848:282 0.000789234 -360 *1293:129 *27404:A2 0.000115163 -361 *1294:163 *2848:33 0.00240043 -362 *1326:57 *2848:384 0.00113958 -363 *1358:19 *2848:191 5.98644e-05 -364 *1385:34 *2848:233 2.45832e-05 -365 *1433:23 *27404:A2 3.25412e-06 -366 *1437:29 *2848:64 0.000136845 -367 *1470:27 *2848:146 0.000682119 -368 *1476:57 *2848:85 7.69776e-06 -369 *1476:57 *2848:96 2.37011e-05 -370 *1476:57 *2848:123 0.000636056 -371 *1506:17 *2848:369 0.000415795 -372 *1524:37 *27336:A2 0.000133706 -373 *1524:37 *2848:265 2.46739e-05 -374 *1536:21 *2848:33 4.32018e-05 -375 *1617:30 *2848:64 0.000960907 -376 *1617:30 *2848:85 6.82017e-05 -377 *1622:17 *27524:A2 0.00205292 -378 *1638:36 *2848:233 0.000997351 -379 *1668:15 *2848:51 9.78144e-05 -380 *1682:12 *2848:300 0.000362182 -381 *1698:25 *27404:A2 7.72509e-05 -382 *1722:21 *2848:51 1.24368e-05 -383 *1722:21 *2848:53 2.12087e-05 -384 *1826:117 *2848:206 0 -385 *1826:154 *2848:242 4.88232e-05 -386 *1844:26 *2848:85 0.000119552 -387 *2758:105 *2848:191 0.00173233 -388 *2760:113 *2848:242 8.32042e-05 -389 *2760:157 *2848:333 0 -390 *2773:118 *2848:282 0.000578296 -391 *2778:194 *2848:282 5.51573e-05 -392 *2780:223 *27404:A2 0.000370442 -393 *2782:127 *2848:265 4.83844e-05 -394 *2786:38 *2848:333 1.74567e-05 -395 *2786:89 *2848:233 2.06112e-05 -396 *2788:16 *2848:333 0.000111243 -397 *2832:147 *2848:384 0.000473331 -398 *2843:79 *2848:19 3.57366e-05 -399 *2843:79 *2848:178 0.000331116 -400 *2845:188 *2848:178 2.07928e-05 -401 *2845:188 *2848:191 0.000178842 -402 *2847:37 *2848:19 0.00021981 -*RES -1 *27283:X *2848:4 9.3 -2 *2848:4 *2848:19 18.4286 -3 *2848:19 *2848:33 46.9762 -4 *2848:33 *2848:44 25.3118 -5 *2848:44 *2848:51 29.75 -6 *2848:51 *2848:53 42.0179 -7 *2848:53 *2848:64 28.7055 -8 *2848:64 *27524:A2 42.5315 -9 *2848:64 *2848:85 9.18515 -10 *2848:85 *6530:DIODE 15.5679 -11 *2848:85 *2848:96 5.23214 -12 *2848:96 *2848:100 22.5714 -13 *2848:100 *27557:A2 38.9786 -14 *2848:100 *2848:123 47.4408 -15 *2848:123 *27577:B1 13.9607 -16 *2848:96 *27530:A2 15.3402 -17 *2848:53 *2848:146 39.1964 -18 *2848:146 *6564:DIODE 12.7286 -19 *2848:146 *27485:A2 9.72857 -20 *2848:19 *2848:178 46.5704 -21 *2848:178 *2848:191 37.7164 -22 *2848:191 *27372:A2 14.7464 -23 *2848:191 *2848:206 37.4996 -24 *2848:206 *2848:233 43.6419 -25 *2848:233 *27465:A2 9.3 -26 *2848:206 *2848:242 15.3041 -27 *2848:242 *27336:A2 30.9569 -28 *2848:242 *2848:265 9.31633 -29 *2848:265 *2848:282 41.1128 -30 *2848:282 *2848:300 43.2851 -31 *2848:300 *27286:A2 9.3 -32 *2848:282 *27404:A2 40.5332 -33 *2848:265 *2848:333 16.2507 -34 *2848:333 *27389:A2 29.4415 -35 *2848:333 *2848:369 41.5864 -36 *2848:369 *27426:A2 9.3 -37 *2848:4 *2848:384 47.2411 -38 *2848:384 *27443:A2 24.5854 -*END - -*D_NET *2849 0.0280906 -*CONN -*I *27300:B I *D sky130_fd_sc_hd__and3_4 -*I *27318:C I *D sky130_fd_sc_hd__and3_4 -*I *27310:C I *D sky130_fd_sc_hd__and3_4 -*I *27326:C I *D sky130_fd_sc_hd__and3_4 -*I *27301:B I *D sky130_fd_sc_hd__and3_4 -*I *27290:C I *D sky130_fd_sc_hd__and3_4 -*I *27285:C I *D sky130_fd_sc_hd__and3_4 -*I *27327:C I *D sky130_fd_sc_hd__and3_4 -*I *27284:X O *D sky130_fd_sc_hd__and2b_2 -*CAP -1 *27300:B 2.03441e-05 -2 *27318:C 0.000320206 -3 *27310:C 0 -4 *27326:C 0.000398568 -5 *27301:B 5.88947e-05 -6 *27290:C 3.61152e-05 -7 *27285:C 5.05897e-05 -8 *27327:C 1.65216e-05 -9 *27284:X 0.00189186 -10 *2849:97 0.00075574 -11 *2849:91 0.000868555 -12 *2849:79 0.000646269 -13 *2849:66 0.00174297 -14 *2849:49 0.00168916 -15 *2849:30 0.00179878 -16 *2849:15 0.00336655 -17 *27285:C *2850:37 1.24368e-05 -18 *27285:C *5720:205 9.41642e-05 -19 *27290:C *2855:12 9.41642e-05 -20 *27290:C *3197:19 4.58194e-05 -21 *27300:B *27300:A 5.7661e-06 -22 *27301:B *27301:C 2.59355e-05 -23 *27301:B *5606:44 0.000180777 -24 *27318:C *2872:160 0.000628344 -25 *27318:C *2883:15 1.98839e-05 -26 *27318:C *3559:14 4.22135e-06 -27 *27318:C *5598:17 0.000175892 -28 *27326:C *2883:15 1.59312e-05 -29 *27326:C *5643:39 0.00030924 -30 *27326:C *6306:140 5.39341e-05 -31 *27327:C *27277:B 4.78728e-05 -32 *27327:C *3573:16 1.97836e-05 -33 *2849:15 *27278:A_N 4.18895e-05 -34 *2849:15 *27278:B 2.43739e-05 -35 *2849:15 *27284:A_N 1.98839e-05 -36 *2849:15 *27284:B 0.000514201 -37 *2849:15 *28617:CLK 2.59355e-05 -38 *2849:15 *3191:35 0.000124117 -39 *2849:15 *4907:28 5.52302e-05 -40 *2849:15 *5608:28 0.000148021 -41 *2849:15 *6302:33 0.000353073 -42 *2849:30 *27138:A0 4.19624e-06 -43 *2849:30 *27138:A1 6.15709e-05 -44 *2849:30 *27293:A_N 9.41642e-05 -45 *2849:30 *27293:B 7.49387e-06 -46 *2849:30 *27300:A 0.00039899 -47 *2849:30 *28700:D 0 -48 *2849:30 *3191:35 3.58966e-05 -49 *2849:30 *3938:17 0 -50 *2849:30 *3938:25 0 -51 *2849:30 *5667:113 0 -52 *2849:30 *6305:25 0.00036395 -53 *2849:30 *6305:36 0.000192001 -54 *2849:49 *30821:A 3.41437e-05 -55 *2849:49 *2886:11 1.721e-05 -56 *2849:49 *5717:23 6.09762e-05 -57 *2849:49 *5717:47 7.55804e-05 -58 *2849:49 *5720:191 0.000239707 -59 *2849:66 *27291:A 3.92772e-05 -60 *2849:66 *27597:B 6.94764e-05 -61 *2849:66 *2872:13 0.000237189 -62 *2849:66 *2886:11 0.000162443 -63 *2849:66 *3160:15 0.000244891 -64 *2849:66 *3205:27 0.000799643 -65 *2849:66 *3559:14 0.000209422 -66 *2849:66 *5643:32 1.0267e-05 -67 *2849:66 *5717:47 6.96699e-05 -68 *2849:66 *5717:50 0.000174249 -69 *2849:66 *5717:130 6.67989e-05 -70 *2849:79 *27597:B 0.000214515 -71 *2849:79 *2864:96 7.30423e-05 -72 *2849:79 *3148:18 0.000345251 -73 *2849:79 *3152:9 7.57673e-05 -74 *2849:79 *3559:14 0.000329941 -75 *2849:91 *2861:23 0.000137983 -76 *2849:91 *2864:96 0.000368825 -77 *2849:91 *3559:14 0.000350619 -78 *2849:97 *2861:23 0.000219711 -79 *2849:97 *2875:10 0.000137983 -80 *2849:97 *5661:9 0.000634178 -81 *27138:S *2849:30 0.000110226 -82 *27246:B *2849:30 2.21807e-05 -83 *27249:A1 *2849:30 1.81774e-05 -84 *27249:S *2849:30 7.84126e-05 -85 *27288:C *27326:C 0.000206857 -86 *27310:B *2849:97 5.49489e-05 -87 *27318:B *27318:C 0.000135028 -88 *27608:A *2849:66 5.05056e-05 -89 *28776:D *2849:30 6.42242e-05 -90 *28782:D *2849:15 0.000449021 -91 *1256:54 *27301:B 4.16984e-05 -92 *1323:5 *2849:15 1.11474e-05 -93 *1326:57 *2849:91 0.000126566 -94 *1326:57 *2849:97 0.000901459 -95 *1490:26 *27318:C 0.000616151 -96 *2782:100 *27326:C 0.000272969 -97 *2787:37 *2849:15 0 -98 *2813:72 *27326:C 1.31516e-05 -99 *2825:27 *2849:15 5.65544e-05 -100 *2842:18 *2849:30 0.000177686 -101 *2842:18 *2849:49 4.35189e-05 -102 *2842:31 *2849:66 0.000912006 -103 *2843:11 *2849:15 7.83587e-05 -104 *2844:140 *2849:66 0.000169065 -105 *2848:19 *2849:97 0.000125547 -106 *2848:33 *2849:97 9.60939e-05 -*RES -1 *27284:X *2849:15 44.3536 -2 *2849:15 *2849:30 29.5179 -3 *2849:30 *27327:C 14.4205 -4 *2849:30 *2849:49 6.17857 -5 *2849:49 *27285:C 14.7464 -6 *2849:49 *2849:66 38.9286 -7 *2849:66 *27290:C 14.7464 -8 *2849:66 *2849:79 10.6071 -9 *2849:79 *27301:B 15.5679 -10 *2849:79 *2849:91 10.8393 -11 *2849:91 *2849:97 12.5893 -12 *2849:97 *27326:C 27.4964 -13 *2849:97 *27310:C 9.3 -14 *2849:91 *27318:C 28.8179 -15 *2849:15 *27300:B 9.72857 -*END - -*D_NET *2850 0.106622 -*CONN -*I *27349:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27510:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27546:A2 I *D sky130_fd_sc_hd__a221o_2 -*I *27590:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27560:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27500:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *30821:A I *D sky130_fd_sc_hd__buf_8 -*I *27285:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27349:B1 0.00155817 -2 *27510:A2 0.000261585 -3 *27546:A2 0.000422246 -4 *27590:A2 8.31424e-06 -5 *27560:A2 0.00021157 -6 *27500:A2 3.11324e-05 -7 *30821:A 0.000139525 -8 *27285:X 6.32505e-05 -9 *2850:179 0.00484283 -10 *2850:177 0.00450702 -11 *2850:164 0.00188531 -12 *2850:121 0.00139955 -13 *2850:98 0.00219375 -14 *2850:94 0.00166863 -15 *2850:88 0.00252517 -16 *2850:87 0.00207192 -17 *2850:73 0.000823544 -18 *2850:72 0.00202099 -19 *2850:56 0.00350545 -20 *2850:54 0.00294164 -21 *2850:50 0.00208742 -22 *2850:37 0.00425693 -23 *2850:19 0.00401314 -24 *2850:9 0.000254916 -25 *27349:B1 *3233:26 0.000260574 -26 *27349:B1 *3963:93 0.000103253 -27 *27349:B1 *5589:20 0.000483697 -28 *27349:B1 *5634:123 0.000104974 -29 *27500:A2 *27500:B1 7.37323e-05 -30 *27500:A2 *27500:B2 2.18792e-05 -31 *27510:A2 *27510:B1 6.11735e-05 -32 *27510:A2 *27510:B2 1.38106e-05 -33 *27510:A2 *27887:B2 0.000174051 -34 *27510:A2 *2865:67 0.000482227 -35 *27510:A2 *2892:40 1.21289e-05 -36 *27510:A2 *3793:40 0.000332764 -37 *27546:A2 *27545:B1 0.000501679 -38 *27546:A2 *27546:B1 1.60368e-05 -39 *27546:A2 *27953:B2 0.000114596 -40 *27546:A2 *3143:11 1.58163e-05 -41 *27546:A2 *3958:16 0.000662729 -42 *27546:A2 *4101:24 7.69608e-05 -43 *27546:A2 *4113:116 0.000111243 -44 *27560:A2 *27937:A2 2.63501e-05 -45 *27560:A2 *3858:22 2.06178e-05 -46 *27560:A2 *4104:23 4.29528e-05 -47 *27560:A2 *5844:17 6.20441e-06 -48 *27560:A2 *5856:19 2.78357e-05 -49 *27590:A2 *27953:B2 3.06478e-05 -50 *30821:A *2892:16 0.000179745 -51 *30821:A *5717:23 6.28203e-05 -52 *30821:A *5717:47 2.20282e-05 -53 *2850:9 *5717:26 5.33005e-05 -54 *2850:9 *5720:205 0.000104587 -55 *2850:19 *5717:47 0.000100831 -56 *2850:37 *25374:B2 0.000223441 -57 *2850:37 *27102:A1 0.000638019 -58 *2850:37 *27179:A1 5.16106e-05 -59 *2850:37 *28736:SET_B 0.000219711 -60 *2850:37 *2864:28 0.000214325 -61 *2850:37 *4015:10 7.66879e-05 -62 *2850:37 *5635:15 0.000745949 -63 *2850:37 *5687:129 3.71084e-05 -64 *2850:37 *5687:142 9.92332e-05 -65 *2850:37 *5711:186 0.000224636 -66 *2850:37 *5720:205 0.00019253 -67 *2850:37 *5873:125 0.000203196 -68 *2850:37 *5873:142 4.3023e-05 -69 *2850:50 *25161:B2 9.24402e-05 -70 *2850:50 *27962:A1 0.00039015 -71 *2850:50 *27962:B1 1.08131e-05 -72 *2850:50 *27962:B2 1.403e-05 -73 *2850:50 *27963:C1 0.000136958 -74 *2850:50 *3196:58 0.00118337 -75 *2850:54 *25161:B2 8.93791e-05 -76 *2850:54 *3196:58 1.38323e-05 -77 *2850:54 *3503:11 0.00229919 -78 *2850:54 *3557:15 0.00311317 -79 *2850:56 *3557:15 0.000100484 -80 *2850:56 *3571:5 0.000317783 -81 *2850:56 *3571:13 0.000354048 -82 *2850:56 *3801:56 9.60939e-05 -83 *2850:56 *3806:42 0.000646062 -84 *2850:72 *27514:C1 7.95355e-05 -85 *2850:72 *27531:B1 0.000123295 -86 *2850:72 *2860:106 0.00109007 -87 *2850:72 *2872:57 0.000147395 -88 *2850:72 *3070:14 0.0001737 -89 *2850:72 *3081:8 0.000134698 -90 *2850:72 *3571:13 0.000178796 -91 *2850:72 *3724:39 0.000726013 -92 *2850:72 *3906:47 7.53109e-06 -93 *2850:73 *2855:60 6.21521e-06 -94 *2850:73 *2855:72 6.62083e-05 -95 *2850:73 *2871:79 0.000777811 -96 *2850:73 *3045:10 0.000118585 -97 *2850:73 *3070:14 1.38167e-05 -98 *2850:73 *3814:51 0.00130353 -99 *2850:87 *2859:52 0.000466816 -100 *2850:87 *2871:79 0.000158711 -101 *2850:87 *2892:81 0.000464893 -102 *2850:87 *3814:51 0.000167961 -103 *2850:88 *27487:B1 0.000503405 -104 *2850:88 *2859:117 0.000678931 -105 *2850:88 *2860:128 1.74932e-05 -106 *2850:88 *3736:63 0.00317619 -107 *2850:88 *4116:51 0.000130371 -108 *2850:88 *4152:51 0.00103644 -109 *2850:88 *4185:41 0.000120724 -110 *2850:88 *5661:70 0.000898024 -111 *2850:88 *5666:124 0.000116155 -112 *2850:94 *3736:63 0.000331438 -113 *2850:94 *4152:51 0.00134017 -114 *2850:94 *5686:35 0.000170661 -115 *2850:98 *25866:A0 9.94004e-05 -116 *2850:98 *27589:A2 3.40456e-05 -117 *2850:98 *2882:143 0.00141087 -118 *2850:98 *2889:289 0.00154045 -119 *2850:98 *3115:31 1.19011e-05 -120 *2850:98 *3736:63 0.000906191 -121 *2850:98 *4152:51 5.19313e-05 -122 *2850:121 *24889:A1 0.000163894 -123 *2850:121 *27546:B1 0.000328023 -124 *2850:121 *27561:A1 4.88925e-05 -125 *2850:121 *27590:A1 7.84726e-05 -126 *2850:121 *27953:B2 9.09436e-06 -127 *2850:121 *3115:19 6.57648e-05 -128 *2850:121 *4065:53 1.54746e-05 -129 *2850:121 *4092:45 0 -130 *2850:121 *4100:22 0 -131 *2850:121 *4101:24 5.00087e-06 -132 *2850:121 *4104:23 0.00010792 -133 *2850:121 *4104:35 6.58686e-05 -134 *2850:121 *4350:48 0.000725939 -135 *2850:121 *5856:19 3.38088e-05 -136 *2850:164 *2874:20 0.000221212 -137 *2850:164 *3572:20 0.000428486 -138 *2850:164 *5530:58 0.00026656 -139 *2850:164 *5694:110 0.000202841 -140 *2850:164 *5717:47 0.00012465 -141 *2850:177 *26849:B 4.6387e-05 -142 *2850:177 *27334:A1 4.22135e-06 -143 *2850:177 *2883:15 9.41642e-05 -144 *2850:177 *3184:53 0.000548244 -145 *2850:177 *3886:47 0.00162023 -146 *2850:177 *3938:38 2.90164e-05 -147 *2850:177 *5591:16 5.78972e-05 -148 *2850:177 *5641:19 0.00152813 -149 *2850:177 *5667:111 0.000355208 -150 *2850:177 *5667:312 3.24989e-05 -151 *2850:177 *5720:225 0.000105294 -152 *2850:177 *6351:40 0.000219865 -153 *2850:179 *25277:A1 0.000241451 -154 *2850:179 *27364:D 7.6644e-05 -155 *2850:179 *27711:B2 0.000318492 -156 *2850:179 *27714:B2 0.000717663 -157 *2850:179 *28620:D 0.00019384 -158 *2850:179 *2864:164 0.000155062 -159 *2850:179 *2894:210 0.000280641 -160 *2850:179 *2920:8 0.000546263 -161 *2850:179 *2958:47 0.000258192 -162 *2850:179 *3215:44 0.000305278 -163 *2850:179 *3246:17 7.83587e-05 -164 *2850:179 *3250:17 0.000127432 -165 *2850:179 *3743:51 0.00187135 -166 *2850:179 *3835:58 0.000832985 -167 *2850:179 *3848:61 1.90936e-05 -168 *2850:179 *3899:54 0.000273729 -169 *2850:179 *3925:18 0.00012401 -170 *2850:179 *3925:27 0.000782658 -171 *2850:179 *3978:66 0.000117919 -172 *2850:179 *4068:41 0.000104785 -173 *2850:179 *4107:40 0.000443252 -174 *2850:179 *5505:64 0.000268433 -175 *2850:179 *5641:23 0.00132656 -176 *2850:179 *5700:98 4.00349e-05 -177 *2850:179 *5720:225 0.000152938 -178 *2850:179 *6351:40 0.000554529 -179 *25161:A2 *2850:50 0.000230743 -180 *25161:B1 *2850:50 1.90303e-05 -181 *25191:A2 *27560:A2 2.22043e-05 -182 *25640:A *2850:88 0.00103854 -183 *25890:S *2850:37 0.000121476 -184 *25893:S *2850:37 0.000101315 -185 *26930:S *2850:179 4.15592e-05 -186 *27285:C *2850:37 1.24368e-05 -187 *27313:A *2850:164 0.000100673 -188 *1178:65 *2850:179 2.04825e-05 -189 *1205:22 *2850:94 2.06112e-05 -190 *1218:62 *2850:37 0.000605252 -191 *1218:77 *2850:37 0.000480655 -192 *1218:109 *2850:37 0.00272689 -193 *1225:33 *2850:179 0.000123605 -194 *1250:232 *2850:179 0.000242307 -195 *1265:228 *2850:177 7.6644e-05 -196 *1273:47 *2850:50 0.000134025 -197 *1328:114 *2850:37 0.00012707 -198 *1437:35 *2850:88 0.000110341 -199 *1437:42 *27510:A2 0.000331057 -200 *1438:28 *2850:179 0.000908036 -201 *1439:16 *2850:179 2.09826e-05 -202 *1456:64 *2850:94 4.43299e-05 -203 *1470:90 *2850:88 6.57603e-05 -204 *1480:35 *2850:50 0.000138552 -205 *1485:23 *2850:37 0.00017971 -206 *1527:25 *2850:179 0.000498229 -207 *1535:39 *2850:50 6.33468e-05 -208 *1704:26 *2850:37 1.52588e-05 -209 *1708:14 *2850:72 4.29471e-05 -210 *2790:46 *2850:177 0.000114697 -211 *2792:129 *30821:A 9.31209e-05 -212 *2813:58 *2850:164 7.05375e-05 -213 *2834:40 *2850:50 9.41642e-05 -214 *2842:18 *30821:A 0.000164843 -215 *2842:18 *2850:19 4.73656e-05 -216 *2842:28 *2850:19 5.7616e-05 -217 *2842:28 *2850:164 9.1934e-05 -218 *2842:31 *2850:164 0.000272301 -219 *2844:18 *2850:164 3.97048e-05 -220 *2844:69 *2850:88 0.000140829 -221 *2844:89 *2850:94 0.000389362 -222 *2849:49 *30821:A 3.41437e-05 -*RES -1 *27285:X *2850:9 15.175 -2 *2850:9 *30821:A 17.8179 -3 *2850:9 *2850:19 1.33929 -4 *2850:19 *2850:37 46.9994 -5 *2850:37 *2850:50 26.6964 -6 *2850:50 *2850:54 33.3036 -7 *2850:54 *2850:56 23.125 -8 *2850:56 *2850:72 42.6071 -9 *2850:72 *2850:73 17.4286 -10 *2850:73 *27500:A2 14.5411 -11 *2850:73 *2850:87 15.8929 -12 *2850:87 *2850:88 60.3304 -13 *2850:88 *2850:94 19.0089 -14 *2850:94 *2850:98 34.9546 -15 *2850:98 *27560:A2 17.8941 -16 *2850:98 *2850:121 23.5546 -17 *2850:121 *27590:A2 9.60804 -18 *2850:121 *27546:A2 29.8625 -19 *2850:56 *27510:A2 27.8937 -20 *2850:19 *2850:164 25.1964 -21 *2850:164 *2850:177 45.6518 -22 *2850:177 *2850:179 101.062 -23 *2850:179 *27349:B1 41.925 -*END - -*D_NET *2851 0.0401218 -*CONN -*I *6620:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27305:A I *D sky130_fd_sc_hd__or4_1 -*I *27286:X O *D sky130_fd_sc_hd__a221o_2 -*CAP -1 *6620:DIODE 5.98502e-05 -2 *27305:A 0 -3 *27286:X 0 -4 *2851:36 0.000442787 -5 *2851:30 0.00309729 -6 *2851:24 0.00643241 -7 *2851:6 0.00596481 -8 *2851:5 0.00224676 -9 *6620:DIODE *2855:175 0.000220579 -10 *6620:DIODE *2856:157 0.000219117 -11 *2851:6 *25111:A2 4.38611e-05 -12 *2851:6 *3220:18 0.00518504 -13 *2851:6 *3220:24 3.85319e-05 -14 *2851:6 *4079:46 0.000501763 -15 *2851:6 *5590:47 0.000864791 -16 *2851:6 *5590:50 0.000440463 -17 *2851:6 *5605:116 0.000144038 -18 *2851:24 *2856:196 0.000425005 -19 *2851:24 *2866:119 1.90936e-05 -20 *2851:24 *3173:158 0.000427357 -21 *2851:24 *3389:20 0.00032746 -22 *2851:24 *3868:73 2.05803e-05 -23 *2851:24 *3868:74 0.00024721 -24 *2851:24 *3881:54 0.000125685 -25 *2851:24 *4141:49 0.000116749 -26 *2851:24 *5590:14 0.000185411 -27 *2851:24 *5590:47 0.0014104 -28 *2851:24 *5605:116 9.90819e-06 -29 *2851:24 *5641:70 0 -30 *2851:24 *5649:176 0.000216895 -31 *2851:24 *5890:68 0.000351519 -32 *2851:30 *27292:B2 0.000921987 -33 *2851:30 *27304:A1 6.05161e-06 -34 *2851:30 *2864:182 0.00109639 -35 *2851:30 *3998:50 7.71596e-05 -36 *2851:30 *4063:48 0.000216755 -37 *2851:36 *27305:C 1.02504e-05 -38 *2851:36 *2864:182 0.000837733 -39 *2851:36 *2869:5 0.000201306 -40 *2851:36 *2870:21 4.00349e-05 -41 *2851:36 *3829:8 5.18984e-05 -42 *2851:36 *5707:41 0.000141944 -43 *25123:A *2851:6 2.2628e-05 -44 *25123:A *2851:24 0.000407474 -45 *25166:A *2851:24 0.000201779 -46 *25372:B1 *2851:6 0.00023234 -47 *1239:14 *2851:24 0.000228516 -48 *1265:40 *2851:36 1.85844e-05 -49 *1288:57 *2851:6 0.00354017 -50 *1327:28 *2851:24 0.000125685 -51 *1327:78 *2851:24 1.90936e-05 -52 *1395:146 *2851:6 0.000360809 -53 *1396:168 *2851:6 0.000647072 -54 *1430:90 *2851:24 0.00024721 -55 *1472:25 *2851:24 0.000180635 -56 *1615:14 *2851:24 0 -57 *1654:27 *2851:36 0.000412308 -58 *1685:40 *2851:24 1.50181e-05 -59 *2775:174 *2851:30 7.55804e-05 -*RES -1 *27286:X *2851:5 13.8 -2 *2851:5 *2851:6 79.3571 -3 *2851:6 *2851:24 36.1426 -4 *2851:24 *2851:30 43.0179 -5 *2851:30 *2851:36 19.8929 -6 *2851:36 *27305:A 9.3 -7 *2851:36 *6620:DIODE 11.4786 -*END - -*D_NET *2852 0.182792 -*CONN -*I *27443:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *6565:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27289:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27568:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27541:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27511:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27575:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27494:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27405:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27391:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27429:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27467:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27351:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27363:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27287:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27443:B1 0.000140661 -2 *6565:DIODE 0 -3 *27289:A2 0 -4 *27568:A2 0.00244083 -5 *27541:A2 0.000536204 -6 *27511:A2 1.4866e-05 -7 *27575:A2 0.000200716 -8 *27494:A2 0 -9 *27405:A2 0.000127717 -10 *27391:A2 8.55805e-05 -11 *27429:A2 0.00330804 -12 *27467:A2 0.0025658 -13 *27351:A2 0.00130893 -14 *27363:B1 0.000407145 -15 *27287:X 0.00121005 -16 *2852:297 0.00257613 -17 *2852:231 0.000242781 -18 *2852:228 0.00132499 -19 *2852:220 0.00238739 -20 *2852:212 0.00208902 -21 *2852:199 0.00414759 -22 *2852:191 0.00312461 -23 *2852:189 0.00297726 -24 *2852:187 0.00123028 -25 *2852:181 0.0024527 -26 *2852:161 0.00174404 -27 *2852:142 0.00460934 -28 *2852:125 0.00309347 -29 *2852:108 0.00703586 -30 *2852:77 0.00826629 -31 *2852:61 0.00377165 -32 *2852:40 0.00135283 -33 *2852:28 0.00469346 -34 *2852:17 0.0119029 -35 *2852:11 0.0100792 -36 *27351:A2 *27351:B1 0.000115028 -37 *27351:A2 *27692:D 0.000136958 -38 *27351:A2 *2889:36 0.000797443 -39 *27351:A2 *3730:42 2.63501e-05 -40 *27351:A2 *3912:58 8.05173e-05 -41 *27351:A2 *3977:22 0.000693327 -42 *27351:A2 *3977:24 5.20383e-05 -43 *27351:A2 *5650:250 2.37859e-05 -44 *27351:A2 *5657:232 0.000259355 -45 *27363:B1 *27363:A1 4.65028e-05 -46 *27363:B1 *27363:B2 0.00021619 -47 *27363:B1 *3899:40 7.58841e-05 -48 *27391:A2 *27391:A1 6.06178e-05 -49 *27391:A2 *27391:B1 0.000128571 -50 *27391:A2 *27391:C1 4.32271e-06 -51 *27405:A2 *27405:A1 0.000211031 -52 *27405:A2 *27406:C1 1.5424e-05 -53 *27405:A2 *3901:63 9.41642e-05 -54 *27429:A2 *27429:A1 0.000339346 -55 *27429:A2 *27429:B1 0.000164824 -56 *27429:A2 *2872:376 0.00131983 -57 *27429:A2 *2874:359 3.67509e-05 -58 *27429:A2 *2882:303 8.32428e-05 -59 *27429:A2 *3215:286 7.63505e-06 -60 *27429:A2 *3796:118 0.000174261 -61 *27429:A2 *3850:41 2.4357e-05 -62 *27429:A2 *3850:64 6.39829e-05 -63 *27429:A2 *3969:16 6.56976e-05 -64 *27429:A2 *5683:134 4.65211e-05 -65 *27429:A2 *5809:22 0.000117847 -66 *27443:B1 *27443:B2 0.000188328 -67 *27443:B1 *4085:87 5.15925e-05 -68 *27443:B1 *5649:29 5.33072e-05 -69 *27443:B1 *5947:23 0.000175892 -70 *27467:A2 *27467:B1 0.000125443 -71 *27467:A2 *2877:234 0.000298483 -72 *27467:A2 *3852:51 3.61025e-05 -73 *27467:A2 *3965:70 4.15526e-05 -74 *27541:A2 *27540:A 0.000128784 -75 *27541:A2 *27541:B1 6.64337e-05 -76 *27541:A2 *27866:D 0.000371102 -77 *27541:A2 *2878:55 6.42095e-05 -78 *27541:A2 *3096:15 2.1768e-05 -79 *27541:A2 *3129:10 1.76649e-05 -80 *27541:A2 *3817:22 2.84026e-05 -81 *27541:A2 *4074:52 2.42516e-05 -82 *27541:A2 *5698:26 1.89939e-05 -83 *27568:A2 *25218:B2 0.00120367 -84 *27568:A2 *27568:A1 0.000840218 -85 *27568:A2 *27960:B1 0.000526227 -86 *27568:A2 *28379:RESET_B 0.000574128 -87 *27568:A2 *2859:127 0.000707525 -88 *27568:A2 *2880:197 3.81355e-05 -89 *27568:A2 *3209:102 0.000228282 -90 *27568:A2 *3646:49 0.00023034 -91 *27568:A2 *3662:34 0.00137517 -92 *27568:A2 *3780:34 0.00160864 -93 *27568:A2 *3818:32 1.33343e-05 -94 *27568:A2 *5457:22 3.37927e-05 -95 *27568:A2 *5744:114 0.000583416 -96 *27575:A2 *27511:B1 4.77348e-05 -97 *27575:A2 *3845:47 0.000420164 -98 *27575:A2 *3893:97 0.000301196 -99 *27575:A2 *4088:79 5.33005e-05 -100 *2852:11 *27245:A 0.00038724 -101 *2852:11 *27245:B 0.000342037 -102 *2852:11 *3156:41 0 -103 *2852:11 *5657:13 0.00125883 -104 *2852:11 *6318:32 0.000250204 -105 *2852:17 *27715:B1 6.47756e-05 -106 *2852:17 *2871:160 0.000413982 -107 *2852:17 *2871:194 0.00111719 -108 *2852:17 *2874:158 0 -109 *2852:17 *3170:152 9.23367e-05 -110 *2852:17 *3174:153 5.13764e-05 -111 *2852:17 *3178:133 0 -112 *2852:17 *3293:34 0.000303492 -113 *2852:17 *4186:20 0 -114 *2852:28 *27366:A2 1.90936e-05 -115 *2852:28 *27711:B2 0.000258989 -116 *2852:28 *27715:B1 8.01176e-06 -117 *2852:28 *2853:187 0 -118 *2852:28 *2875:207 0.00039137 -119 *2852:28 *2875:232 6.09762e-05 -120 *2852:28 *2889:22 4.58108e-05 -121 *2852:28 *2978:36 0.000102145 -122 *2852:28 *3170:152 6.14836e-06 -123 *2852:28 *3184:53 0.000322241 -124 *2852:28 *3184:95 0.000722507 -125 *2852:28 *4186:20 0 -126 *2852:28 *5467:14 0 -127 *2852:28 *5609:144 0.00217681 -128 *2852:28 *5641:38 0.000932491 -129 *2852:28 *5644:158 0 -130 *2852:28 *5694:80 0.00153779 -131 *2852:28 *5700:98 0.000376234 -132 *2852:40 *27694:C1 8.64958e-05 -133 *2852:40 *2917:24 2.02794e-05 -134 *2852:40 *3730:42 0.000156226 -135 *2852:40 *3796:70 1.90936e-05 -136 *2852:40 *5657:232 0.000103967 -137 *2852:40 *5667:40 5.31355e-05 -138 *2852:61 *2917:24 0.00148236 -139 *2852:61 *3796:70 0.00149391 -140 *2852:61 *5657:232 0.000367398 -141 *2852:77 *2860:242 0.000661596 -142 *2852:77 *2924:14 0 -143 *2852:77 *3730:42 4.65519e-05 -144 *2852:77 *5596:47 0.000356467 -145 *2852:108 *27732:B1 0.00185763 -146 *2852:108 *2855:219 2.35126e-05 -147 *2852:108 *2860:242 0.00403823 -148 *2852:108 *2864:292 0.00138662 -149 *2852:108 *2875:302 3.48377e-05 -150 *2852:108 *3259:25 0.0036823 -151 *2852:108 *3822:18 0.000507073 -152 *2852:108 *3978:44 0.000108504 -153 *2852:108 *4069:66 0.000179183 -154 *2852:108 *4204:12 6.79672e-06 -155 *2852:108 *5596:47 0.000219756 -156 *2852:108 *5632:53 0.000217614 -157 *2852:108 *5632:71 0.000121549 -158 *2852:125 *3822:18 3.45507e-05 -159 *2852:125 *3978:44 4.33002e-05 -160 *2852:142 *6401:DIODE 1.90303e-05 -161 *2852:142 *27369:A2 2.18792e-05 -162 *2852:142 *27369:B1 1.64271e-05 -163 *2852:142 *27369:B2 0.000604856 -164 *2852:142 *27379:A2 5.82566e-05 -165 *2852:142 *27379:B1 2.59355e-05 -166 *2852:142 *27379:B2 2.79421e-05 -167 *2852:142 *27391:B1 7.41386e-05 -168 *2852:142 *27391:B2 1.00073e-05 -169 *2852:142 *27391:C1 5.09202e-05 -170 *2852:142 *27394:C 2.59355e-05 -171 *2852:142 *3252:13 5.33005e-05 -172 *2852:142 *3306:6 0.000125724 -173 *2852:142 *3678:47 3.06878e-06 -174 *2852:142 *3770:35 1.40034e-05 -175 *2852:142 *3822:18 9.03351e-05 -176 *2852:142 *3898:32 0.00012401 -177 *2852:142 *3978:44 0.000101545 -178 *2852:142 *4120:47 0.000983463 -179 *2852:142 *5645:156 0.000190772 -180 *2852:161 *25203:B2 0.000135028 -181 *2852:161 *27406:C1 8.25843e-06 -182 *2852:161 *27756:A2 7.41177e-05 -183 *2852:161 *27756:B1 0.000125721 -184 *2852:161 *27756:B2 7.93805e-05 -185 *2852:161 *27756:C1 4.78536e-05 -186 *2852:161 *28574:D 0.000162285 -187 *2852:161 *28574:RESET_B 0.000668482 -188 *2852:161 *3719:13 5.33005e-05 -189 *2852:161 *3901:63 1.21258e-05 -190 *2852:161 *3914:26 0.000467849 -191 *2852:161 *4120:47 0.000109132 -192 *2852:161 *5627:116 0.000834941 -193 *2852:161 *5627:139 2.95642e-05 -194 *2852:161 *5650:285 2.50022e-05 -195 *2852:181 *3194:93 0.00216101 -196 *2852:181 *3205:180 0.000380099 -197 *2852:181 *3855:21 0.000257619 -198 *2852:181 *3886:51 0.000412628 -199 *2852:181 *4055:33 0.000412628 -200 *2852:181 *5653:28 0.00142524 -201 *2852:187 *27289:A1 5.33005e-05 -202 *2852:187 *27629:B1 0.000546198 -203 *2852:187 *27629:B2 1.21032e-05 -204 *2852:187 *27629:C1 4.33852e-05 -205 *2852:187 *3829:29 9.56348e-05 -206 *2852:187 *3855:21 8.43535e-06 -207 *2852:187 *4052:30 7.71018e-05 -208 *2852:191 *24916:A 0.000628348 -209 *2852:191 *24916:B 0.000142979 -210 *2852:191 *25397:A1 1.98839e-05 -211 *2852:191 *25397:B2 0.000305895 -212 *2852:191 *27289:A1 1.98839e-05 -213 *2852:191 *27289:B1 1.21258e-05 -214 *2852:191 *3816:55 0.000218409 -215 *2852:191 *3841:67 9.41642e-05 -216 *2852:191 *3945:57 0.000228384 -217 *2852:191 *5653:165 0.00110059 -218 *2852:199 *3173:94 2.06178e-05 -219 *2852:199 *3960:86 3.56987e-05 -220 *2852:199 *5467:14 0.000213111 -221 *2852:199 *5646:93 1.90936e-05 -222 *2852:212 *27851:B2 0.000161324 -223 *2852:212 *27851:C1 2.53003e-05 -224 *2852:212 *3173:81 0.000327382 -225 *2852:212 *3214:78 0.00116171 -226 *2852:212 *3662:34 0.000322306 -227 *2852:212 *3780:34 0.00107421 -228 *2852:212 *3970:40 4.65519e-05 -229 *2852:212 *5457:21 0.000178887 -230 *2852:212 *5457:22 0.000190215 -231 *2852:212 *5458:39 2.8152e-05 -232 *2852:212 *5629:89 4.89544e-06 -233 *2852:212 *5634:52 0.000106886 -234 *2852:212 *5661:64 7.2514e-05 -235 *2852:212 *5947:74 2.05803e-05 -236 *2852:220 *27494:A1 9.41642e-05 -237 *2852:220 *27900:A2 0.000142677 -238 *2852:220 *2853:61 9.60875e-05 -239 *2852:220 *3173:81 0.00107567 -240 *2852:220 *3762:64 0.000175582 -241 *2852:220 *3762:74 0.000571623 -242 *2852:220 *5629:71 4.00349e-05 -243 *2852:228 *25294:B2 7.89502e-05 -244 *2852:228 *27866:C 5.06787e-05 -245 *2852:228 *27878:C1 9.65172e-05 -246 *2852:228 *27891:A 0.00111121 -247 *2852:228 *27891:B 3.80904e-05 -248 *2852:228 *3067:12 9.97562e-05 -249 *2852:228 *3173:50 7.90803e-05 -250 *2852:228 *3173:81 0.00183942 -251 *2852:228 *3762:74 0.000257948 -252 *2852:228 *3845:47 0.00100874 -253 *2852:228 *4088:79 2.44318e-05 -254 *2852:228 *4140:47 1.76569e-05 -255 *2852:228 *5680:343 7.02188e-05 -256 *2852:231 *3845:47 0.000142004 -257 *2852:231 *3893:97 1.54142e-05 -258 *2852:231 *4140:47 9.66977e-05 -259 *2852:297 *27443:B2 0.000219711 -260 *2852:297 *27445:A1 0.00014236 -261 *2852:297 *30808:A 3.31565e-05 -262 *2852:297 *2866:34 1.18064e-05 -263 *2852:297 *3040:41 0.000171784 -264 *2852:297 *3149:23 5.04357e-05 -265 *2852:297 *3149:41 0.000432644 -266 *2852:297 *3156:41 0 -267 *2852:297 *3160:97 0.00013331 -268 *2852:297 *3178:133 4.65519e-05 -269 *2852:297 *3185:264 0 -270 *2852:297 *3347:12 0.000242913 -271 *2852:297 *3825:37 0.000366744 -272 *2852:297 *3825:39 0.000363073 -273 *2852:297 *3952:27 0.000393908 -274 *2852:297 *5658:10 0.000190248 -275 *2852:297 *5947:27 0.000107637 -276 *25203:B1 *2852:161 8.44271e-06 -277 *25279:A2 *27541:A2 3.63775e-05 -278 *25397:A2 *2852:191 0.000927717 -279 *25397:B1 *2852:191 4.13496e-05 -280 *25753:A *2852:228 1.74352e-05 -281 *26930:S *2852:28 9.3565e-05 -282 *27633:A *2852:297 0 -283 *1178:36 *2852:11 7.34491e-05 -284 *1178:48 *2852:17 2.28077e-05 -285 *1225:33 *2852:28 2.63501e-05 -286 *1225:33 *2852:40 5.3268e-05 -287 *1242:9 *2852:191 0.000544771 -288 *1242:88 *27351:A2 0.000229332 -289 *1242:206 *2852:191 3.2687e-05 -290 *1242:230 *2852:17 0.000124916 -291 *1242:230 *2852:28 2.02147e-05 -292 *1258:49 *2852:161 0.000224774 -293 *1258:56 *2852:108 7.16877e-05 -294 *1258:75 *2852:108 1.61322e-05 -295 *1261:41 *27467:A2 0.000168934 -296 *1261:199 *27405:A2 0.000126032 -297 *1261:199 *2852:161 0.000231043 -298 *1263:49 *2852:191 0.00035364 -299 *1264:163 *2852:228 0 -300 *1266:64 *27351:A2 0 -301 *1269:238 *27467:A2 5.43041e-05 -302 *1275:94 *27351:A2 2.85351e-05 -303 *1275:94 *2852:77 0.000554647 -304 *1277:161 *2852:28 0 -305 *1285:37 *2852:40 5.65515e-05 -306 *1323:17 *2852:228 0.000800247 -307 *1326:57 *27443:B1 0.000107909 -308 *1326:57 *2852:297 0.000552525 -309 *1427:59 *27541:A2 0.000301438 -310 *1449:121 *27541:A2 0.000884924 -311 *1507:20 *27351:A2 0.000242231 -312 *1510:27 *2852:108 1.708e-05 -313 *1520:19 *2852:108 1.85278e-05 -314 *1545:28 *27568:A2 0.000184187 -315 *1638:36 *27467:A2 0.00144394 -316 *1639:28 *2852:28 0.00111386 -317 *1639:35 *2852:28 0.000501957 -318 *1642:37 *2852:40 4.58615e-05 -319 *1713:13 *2852:191 0.000191587 -320 *1802:82 *2852:297 0.000162956 -321 *1826:117 *2852:17 0 -322 *1899:31 *2852:212 5.00194e-05 -323 *2760:109 *27467:A2 4.00349e-05 -324 *2760:157 *27429:A2 0.000314352 -325 *2760:157 *2852:108 0 -326 *2763:82 *27363:B1 2.29112e-05 -327 *2777:71 *2852:28 1.3879e-05 -328 *2780:158 *27363:B1 0.000178425 -329 *2786:38 *27429:A2 4.83837e-05 -330 *2786:80 *27429:A2 0.000266643 -331 *2787:62 *2852:11 1.1257e-05 -332 *2787:62 *2852:297 3.40789e-05 -333 *2787:78 *2852:11 0.00129976 -334 *2790:29 *2852:17 0 -335 *2790:29 *2852:297 0.000283597 -336 *2820:26 *2852:11 0.00012401 -337 *2820:35 *2852:11 0.000418838 -338 *2820:46 *2852:11 0.000163767 -339 *2820:58 *2852:11 0.00038167 -340 *2827:72 *2852:297 2.84241e-06 -341 *2843:56 *2852:11 0.000748385 -342 *2844:246 *27363:B1 5.04841e-06 -343 *2845:37 *27541:A2 7.69776e-06 -344 *2845:109 *27568:A2 0.000219688 -345 *2845:247 *2852:77 0.000131357 -346 *2845:247 *2852:108 0.00291594 -347 *2848:333 *27429:A2 0 -348 *2848:333 *2852:108 0.00016478 -349 *2848:384 *2852:297 8.6229e-06 -*RES -1 *27287:X *2852:11 41.4455 -2 *2852:11 *2852:17 18.6436 -3 *2852:17 *2852:28 48.1372 -4 *2852:28 *2852:40 15.0918 -5 *2852:40 *27363:B1 29.05 -6 *2852:40 *2852:61 22.8118 -7 *2852:61 *27351:A2 36.1352 -8 *2852:61 *2852:77 8.38417 -9 *2852:77 *27467:A2 48.8982 -10 *2852:77 *2852:108 37.0173 -11 *2852:108 *27429:A2 38.9961 -12 *2852:108 *2852:125 0.580357 -13 *2852:125 *27391:A2 15.5634 -14 *2852:125 *2852:142 46.1964 -15 *2852:142 *2852:161 48.5536 -16 *2852:161 *27405:A2 12.7286 -17 *2852:17 *2852:181 43.5082 -18 *2852:181 *2852:187 15.7679 -19 *2852:187 *2852:189 0.428571 -20 *2852:189 *2852:191 57.2143 -21 *2852:191 *2852:199 11.9681 -22 *2852:199 *2852:212 20.6204 -23 *2852:212 *27494:A2 9.3 -24 *2852:212 *2852:220 20.1429 -25 *2852:220 *2852:228 48.1429 -26 *2852:228 *2852:231 5.85714 -27 *2852:231 *27575:A2 15.7286 -28 *2852:231 *27511:A2 9.72857 -29 *2852:220 *27541:A2 37.0679 -30 *2852:199 *27568:A2 43.4737 -31 *2852:187 *27289:A2 9.3 -32 *2852:11 *2852:297 33.7871 -33 *2852:297 *6565:DIODE 9.3 -34 *2852:297 *27443:B1 22.175 -*END - -*D_NET *2853 0.164805 -*CONN -*I *27445:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27383:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27423:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27340:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *27412:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27472:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27356:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27289:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27494:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27554:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27580:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27519:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27543:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27288:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27445:A2 0.0013844 -2 *27383:A2 3.12546e-05 -3 *27423:A2 6.58131e-06 -4 *27340:A2 2.23854e-05 -5 *27412:A2 0.000980339 -6 *27472:A2 0.000187513 -7 *27356:A2 0.000108843 -8 *27289:B1 0.000185522 -9 *27494:B1 0 -10 *27554:A2 0 -11 *27580:A2 0.000263984 -12 *27519:A2 0.00012673 -13 *27543:A2 0 -14 *27288:X 7.98618e-05 -15 *2853:292 0.00113851 -16 *2853:262 0.00327476 -17 *2853:251 0.00369913 -18 *2853:238 0.00251425 -19 *2853:236 0.000943805 -20 *2853:226 0.000975059 -21 *2853:224 0.00377816 -22 *2853:202 0.00416402 -23 *2853:187 0.0115138 -24 *2853:164 0.0070798 -25 *2853:141 0.00467442 -26 *2853:114 0.00194223 -27 *2853:113 0.00172662 -28 *2853:107 0.00116259 -29 *2853:91 0.00146667 -30 *2853:90 0.00116785 -31 *2853:66 0.00162991 -32 *2853:61 0.00148241 -33 *2853:48 0.00334181 -34 *2853:25 0.00491862 -35 *2853:24 0.00384148 -36 *2853:7 0.00421137 -37 *27289:B1 *27289:A1 5.33005e-05 -38 *27289:B1 *27628:A1 2.20282e-05 -39 *27289:B1 *27768:A2 0.000131367 -40 *27289:B1 *3842:44 0.000107222 -41 *27356:A2 *27356:B1 5.49803e-05 -42 *27356:A2 *27714:A1 5.63765e-05 -43 *27356:A2 *3820:79 2.11419e-05 -44 *27356:A2 *4133:108 0.000116535 -45 *27356:A2 *5605:56 0.000161422 -46 *27356:A2 *5657:198 6.27272e-06 -47 *27383:A2 *2872:237 5.65955e-05 -48 *27412:A2 *25130:A1 8.66277e-05 -49 *27412:A2 *25250:A1 0.000284426 -50 *27412:A2 *27402:B2 1.90936e-05 -51 *27412:A2 *27412:A1 5.52302e-05 -52 *27412:A2 *27412:B1 1.98839e-05 -53 *27412:A2 *27413:A1 0.000250061 -54 *27412:A2 *3304:37 4.58194e-05 -55 *27412:A2 *3927:44 0.000421074 -56 *27412:A2 *3953:28 1.02504e-05 -57 *27412:A2 *5661:245 0.000471809 -58 *27412:A2 *5836:284 0.000192983 -59 *27423:A2 *27423:A1 7.13226e-06 -60 *27423:A2 *27423:B2 7.13226e-06 -61 *27445:A2 *27445:A1 1.58163e-05 -62 *27445:A2 *27445:B1 0.000619069 -63 *27445:A2 *3165:192 0.000844482 -64 *27445:A2 *3170:5 0.00238175 -65 *27445:A2 *3838:23 5.74499e-06 -66 *27445:A2 *5687:212 5.69386e-05 -67 *27472:A2 *27472:A1 5.33005e-05 -68 *27472:A2 *2855:311 0.000107898 -69 *27472:A2 *3177:61 0.000107898 -70 *27472:A2 *3891:89 0.000382744 -71 *27472:A2 *5660:105 0.000162863 -72 *27519:A2 *27519:A1 0.000200783 -73 *27580:A2 *27580:A1 1.46576e-05 -74 *27580:A2 *3156:175 0.000306758 -75 *27580:A2 *3702:39 4.34948e-06 -76 *27580:A2 *3752:22 0.00030848 -77 *2853:7 *3170:5 9.41642e-05 -78 *2853:24 *2875:46 0 -79 *2853:24 *2882:22 0.000141043 -80 *2853:24 *3174:29 0.00089516 -81 *2853:24 *3782:66 3.15517e-05 -82 *2853:24 *3855:30 0.000131429 -83 *2853:24 *3860:38 2.4175e-05 -84 *2853:24 *3992:28 0.000133144 -85 *2853:24 *5599:273 0.000346793 -86 *2853:24 *5687:212 0 -87 *2853:24 *5947:35 0.000100833 -88 *2853:24 *6318:32 2.05484e-05 -89 *2853:25 *2875:63 0.000562622 -90 *2853:25 *2888:59 0.00121328 -91 *2853:25 *3174:29 0.000714092 -92 *2853:48 *25097:A1 0.000304143 -93 *2853:48 *2875:63 0.000441889 -94 *2853:48 *2888:59 0.000187126 -95 *2853:48 *3777:51 4.5813e-05 -96 *2853:48 *3832:21 9.54798e-06 -97 *2853:48 *4022:94 0.000135028 -98 *2853:48 *5606:14 0.000448229 -99 *2853:48 *5622:48 1.94879e-05 -100 *2853:48 *5624:18 0.000631615 -101 *2853:48 *5646:34 0.00102588 -102 *2853:48 *5738:130 0.000398364 -103 *2853:48 *5947:56 0.000966579 -104 *2853:48 *5947:74 0.000672964 -105 *2853:61 *27494:A1 8.77405e-05 -106 *2853:61 *27494:B2 2.65185e-05 -107 *2853:61 *27900:A2 0.000148189 -108 *2853:61 *3051:10 1.54142e-05 -109 *2853:61 *5461:7 0.00196089 -110 *2853:61 *5909:85 0.000713183 -111 *2853:66 *27494:B2 3.97677e-05 -112 *2853:66 *27543:A1 0.000346051 -113 *2853:66 *27897:A1 6.759e-05 -114 *2853:66 *27903:B2 0.00020759 -115 *2853:66 *2888:76 0.000616003 -116 *2853:66 *3051:10 0.000138881 -117 *2853:66 *5936:79 0.000883904 -118 *2853:90 *27544:C1 0.000489825 -119 *2853:90 *27903:B2 0.000349825 -120 *2853:90 *28327:D 9.09049e-05 -121 *2853:90 *2859:38 1.11775e-05 -122 *2853:90 *2859:52 1.20465e-05 -123 *2853:90 *2867:51 9.60875e-05 -124 *2853:90 *2891:45 0.000140286 -125 *2853:90 *3570:11 5.15615e-05 -126 *2853:90 *3765:36 1.18554e-05 -127 *2853:90 *3960:86 0.000213555 -128 *2853:90 *3971:42 0.000247756 -129 *2853:90 *5458:31 4.58194e-05 -130 *2853:90 *5467:14 2.97067e-05 -131 *2853:90 *5744:48 0.000172913 -132 *2853:91 *3726:29 0.000155167 -133 *2853:91 *4087:26 0.000543401 -134 *2853:91 *5744:102 0.000242552 -135 *2853:107 *2880:197 1.03403e-05 -136 *2853:107 *3123:14 0.000537139 -137 *2853:107 *3209:102 0.00116526 -138 *2853:107 *3752:39 0.000655714 -139 *2853:107 *3870:52 8.90643e-05 -140 *2853:107 *4087:26 0.00173861 -141 *2853:107 *5694:31 4.75956e-06 -142 *2853:107 *5744:102 0.000139189 -143 *2853:107 *5744:114 0.000292833 -144 *2853:113 *2891:81 1.00733e-05 -145 *2853:113 *3766:93 9.05976e-06 -146 *2853:113 *3870:52 2.99822e-05 -147 *2853:114 *27592:B2 0.000350727 -148 *2853:114 *28329:CLK 0.00128116 -149 *2853:114 *3209:102 2.21972e-05 -150 *2853:114 *3646:49 0.000381505 -151 *2853:114 *3752:33 0.00186027 -152 *2853:114 *3775:30 3.45894e-05 -153 *2853:114 *3806:77 0.00277437 -154 *2853:114 *4087:22 0.0015265 -155 *2853:114 *4087:26 0.000378591 -156 *2853:141 *2855:139 0.000145396 -157 *2853:141 *3165:216 0.000809366 -158 *2853:141 *3666:35 8.83488e-05 -159 *2853:141 *4952:14 0.00219794 -160 *2853:141 *5583:58 0.000125972 -161 *2853:164 *27636:C 0.000207943 -162 *2853:164 *27717:A1 1.99901e-06 -163 *2853:164 *3574:111 0.000300166 -164 *2853:164 *3666:35 0.000199798 -165 *2853:164 *3847:64 3.48271e-05 -166 *2853:164 *3872:43 0.000618071 -167 *2853:164 *3912:82 0.000456097 -168 *2853:164 *5467:14 0.00139456 -169 *2853:164 *5583:58 1.81922e-05 -170 *2853:164 *5629:139 0.00166978 -171 *2853:164 *5644:158 0 -172 *2853:187 *2867:192 1.63091e-05 -173 *2853:187 *2880:129 0.000271241 -174 *2853:187 *2891:111 5.11566e-05 -175 *2853:187 *2894:210 0.000612339 -176 *2853:187 *2978:36 0.000520656 -177 *2853:187 *3822:49 0.000564427 -178 *2853:187 *3847:64 9.69507e-06 -179 *2853:187 *3848:61 0 -180 *2853:187 *3912:82 9.3655e-05 -181 *2853:187 *5583:112 0 -182 *2853:187 *5609:137 0.000132869 -183 *2853:187 *5644:158 3.69276e-05 -184 *2853:202 *25025:B2 2.83129e-05 -185 *2853:202 *27457:B1 0.00225536 -186 *2853:202 *27808:B2 4.65519e-05 -187 *2853:202 *2855:310 1.31781e-06 -188 *2853:202 *3558:213 0.000506281 -189 *2853:202 *3558:223 0.000563673 -190 *2853:202 *4137:33 0.000213669 -191 *2853:202 *5644:45 2.04825e-05 -192 *2853:202 *5658:44 4.11218e-05 -193 *2853:224 *26957:A1 7.83659e-05 -194 *2853:224 *27351:B1 0.000301263 -195 *2853:224 *2860:209 0 -196 *2853:224 *2864:257 0.000269849 -197 *2853:224 *2871:206 1.83609e-05 -198 *2853:224 *2880:118 0.000188543 -199 *2853:224 *2880:129 0.000217039 -200 *2853:224 *3259:25 0 -201 *2853:224 *3538:16 0.000143845 -202 *2853:224 *3538:22 0.000144274 -203 *2853:224 *3666:126 0.000655131 -204 *2853:224 *3666:144 0.000656629 -205 *2853:224 *3913:50 0.00155597 -206 *2853:224 *3913:52 0.000144274 -207 *2853:224 *4030:48 0.000605589 -208 *2853:224 *5596:47 0.00179862 -209 *2853:224 *5632:53 0.00166865 -210 *2853:236 *27383:A1 5.33005e-05 -211 *2853:236 *2872:237 1.51571e-05 -212 *2853:236 *3538:16 0.00255936 -213 *2853:236 *3822:30 0.00253831 -214 *2853:236 *3822:32 3.34295e-05 -215 *2853:236 *5649:128 0.000235947 -216 *2853:236 *5873:232 0.00013921 -217 *2853:251 *26904:A0 0.000109809 -218 *2853:251 *27736:B2 7.95355e-05 -219 *2853:251 *3153:204 0.000185236 -220 *2853:251 *3622:7 0.000378543 -221 *2853:251 *5649:137 0.000258953 -222 *2853:251 *5702:72 0.000136676 -223 *2853:251 *5702:84 1.14166e-05 -224 *2853:251 *5819:10 0.00109191 -225 *2853:262 *2867:260 0.000222613 -226 *2853:262 *2885:178 5.94433e-06 -227 *2853:262 *2904:11 9.71197e-05 -228 *2853:262 *3576:76 2.70899e-05 -229 *2853:262 *3597:11 0.000165123 -230 *2853:262 *3597:18 2.12005e-05 -231 *2853:262 *3783:89 0.00103698 -232 *2853:262 *3886:79 0.000469262 -233 *2853:262 *3911:7 0.000158415 -234 *2853:292 *27423:B1 1.93639e-05 -235 *2853:292 *27423:C1 1.76039e-05 -236 *2853:292 *27736:B2 0.000264608 -237 *2853:292 *28881:A 8.78763e-05 -238 *2853:292 *2883:220 0.000876063 -239 *2853:292 *3153:204 0.00021553 -240 *2853:292 *3705:61 0.000249156 -241 *2853:292 *5589:96 0.00195621 -242 *2853:292 *5595:22 5.41794e-05 -243 *2853:292 *5595:32 0.000135038 -244 *2853:292 *5632:71 8.28621e-05 -245 *2853:292 *5632:115 0.000272209 -246 *2853:292 *5814:12 0 -247 *25093:A2 *2853:251 3.40243e-05 -248 *25093:A2 *2853:262 3.82357e-05 -249 *25197:D *2853:224 0.00015304 -250 *25244:C1 *2853:91 7.08477e-05 -251 *25244:C1 *2853:107 2.35985e-05 -252 *25326:A2 *2853:61 0.000146474 -253 *25412:D *2853:48 0.000142979 -254 *27618:A *27445:A2 0.000919883 -255 *30534:A *27519:A2 2.59355e-05 -256 *1178:65 *2853:187 2.9826e-05 -257 *1178:105 *2853:224 0.000198909 -258 *1225:119 *2853:262 0.000128154 -259 *1246:62 *2853:164 5.34258e-05 -260 *1252:24 *2853:48 0.000304143 -261 *1262:66 *2853:262 0.00022093 -262 *1262:100 *2853:292 0.000134753 -263 *1265:35 *2853:164 4.8817e-05 -264 *1267:163 *2853:251 9.84797e-05 -265 *1269:110 *2853:262 7.02772e-05 -266 *1273:156 *2853:202 4.49959e-05 -267 *1275:94 *2853:224 0.000144083 -268 *1277:161 *2853:187 0 -269 *1328:140 *2853:164 9.94928e-05 -270 *1350:13 *2853:202 0.00100904 -271 *1358:19 *2853:202 0.000415752 -272 *1408:28 *2853:90 0.00118766 -273 *1408:36 *2853:114 0.00014854 -274 *1419:43 *2853:25 0.000152555 -275 *1419:43 *2853:164 0.000131364 -276 *1484:23 *2853:141 1.35412e-05 -277 *1484:23 *2853:164 7.19969e-05 -278 *1510:8 *2853:292 0.000716895 -279 *1511:10 *27580:A2 0.000175892 -280 *1520:8 *2853:224 0.000135723 -281 *1520:19 *2853:236 9.17039e-05 -282 *1547:51 *2853:61 0.000551224 -283 *1549:14 *2853:262 0.00047097 -284 *1574:21 *2853:251 2.28378e-05 -285 *1578:18 *2853:141 0.000110498 -286 *1585:19 *2853:48 0.000300524 -287 *1617:30 *2853:90 0.000196393 -288 *1622:17 *27519:A2 0.000384814 -289 *1670:20 *2853:48 4.38296e-05 -290 *1721:35 *2853:48 7.15555e-05 -291 *1826:178 *2853:292 0.000191403 -292 *2758:105 *2853:187 0.00203162 -293 *2758:105 *2853:202 2.52313e-05 -294 *2758:105 *2853:224 0.000171028 -295 *2761:168 *2853:251 0.000416516 -296 *2761:168 *2853:262 9.7659e-05 -297 *2768:89 *2853:251 8.19176e-05 -298 *2777:59 *2853:24 6.35819e-05 -299 *2777:94 *2853:187 4.11227e-05 -300 *2787:128 *2853:202 4.28365e-05 -301 *2788:22 *2853:224 0.000353612 -302 *2791:102 *2853:202 5.52634e-05 -303 *2813:72 *2853:24 8.92267e-05 -304 *2820:58 *2853:24 4.33002e-05 -305 *2845:188 *2853:187 0 -306 *2845:211 *2853:224 0 -307 *2845:247 *2853:224 0.000376741 -308 *2845:247 *2853:236 0.000128058 -309 *2848:53 *2853:61 0.000275761 -310 *2848:53 *2853:66 8.43535e-06 -311 *2848:64 *2853:90 5.94847e-05 -312 *2848:191 *2853:224 0.000105087 -313 *2848:206 *2853:224 0.000141605 -314 *2852:28 *2853:187 0 -315 *2852:191 *27289:B1 1.21258e-05 -316 *2852:220 *2853:61 9.60875e-05 -*RES -1 *27288:X *2853:7 10.675 -2 *2853:7 *2853:24 47.2719 -3 *2853:24 *2853:25 3.32603 -4 *2853:25 *2853:48 33.9464 -5 *2853:48 *2853:61 34.3571 -6 *2853:61 *2853:66 22.4464 -7 *2853:66 *27543:A2 13.8 -8 *2853:66 *2853:90 44.8449 -9 *2853:90 *2853:91 7.25893 -10 *2853:91 *27519:A2 17.6214 -11 *2853:91 *2853:107 38.7098 -12 *2853:107 *2853:113 5.48661 -13 *2853:113 *2853:114 59.0179 -14 *2853:114 *27580:A2 28.7866 -15 *2853:107 *27554:A2 9.3 -16 *2853:61 *27494:B1 9.3 -17 *2853:25 *2853:141 11.7096 -18 *2853:141 *27289:B1 20.3796 -19 *2853:141 *2853:164 38.5054 -20 *2853:164 *27356:A2 22.534 -21 *2853:164 *2853:187 16.8185 -22 *2853:187 *2853:202 48.0699 -23 *2853:202 *27472:A2 22.5225 -24 *2853:187 *2853:224 45.8789 -25 *2853:224 *2853:226 4.5 -26 *2853:226 *2853:236 46.2765 -27 *2853:236 *2853:238 4.5 -28 *2853:238 *2853:251 37.8571 -29 *2853:251 *2853:262 47.4643 -30 *2853:262 *27412:A2 42.8536 -31 *2853:251 *27340:A2 9.72857 -32 *2853:238 *2853:292 47.6071 -33 *2853:292 *27423:A2 13.9473 -34 *2853:226 *27383:A2 10.2643 -35 *2853:7 *27445:A2 42.1929 -*END - -*D_NET *2854 0.0121843 -*CONN -*I *27292:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27289:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27292:C1 0.000908643 -2 *27289:X 0.00119022 -3 *2854:16 0.00209886 -4 *27292:C1 *27292:B2 5.33005e-05 -5 *27292:C1 *2856:163 5.33005e-05 -6 *27292:C1 *3667:53 0.000935193 -7 *27292:C1 *3768:42 0.0001326 -8 *27292:C1 *5936:40 0.000266365 -9 *27292:C1 *5936:111 0.000397339 -10 *2854:16 *27628:A1 9.88255e-05 -11 *2854:16 *27768:A2 1.44566e-05 -12 *2854:16 *2877:51 0.000139124 -13 *2854:16 *3182:55 1.90936e-05 -14 *2854:16 *3547:12 0.000298028 -15 *2854:16 *3768:42 0.00101672 -16 *2854:16 *5517:30 0.000243397 -17 *2854:16 *5600:14 0.000165197 -18 *2854:16 *5694:57 0.000101545 -19 *2854:16 *5936:40 1.66595e-05 -20 *24964:B *27292:C1 0.000373816 -21 *25223:B1 *27292:C1 3.91617e-05 -22 *25223:B1 *2854:16 9.74362e-05 -23 *1256:6 *27292:C1 0.00229264 -24 *1256:31 *2854:16 0.000932387 -25 *1260:20 *27292:C1 8.6229e-06 -26 *1491:22 *2854:16 0.000256672 -27 *1491:39 *2854:16 3.47465e-05 -*RES -1 *27289:X *2854:16 44.8804 -2 *2854:16 *27292:C1 45.5768 -*END - -*D_NET *2855 0.202957 -*CONN -*I *27456:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27470:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27403:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27390:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27429:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27359:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27350:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27292:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27586:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27566:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27495:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27514:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27539:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27290:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27456:A2 0.000331293 -2 *27470:B1 0.000439263 -3 *27403:A2 0.00203723 -4 *27390:A2 0.000309452 -5 *27429:B1 0.00212673 -6 *27359:B1 2.01123e-05 -7 *27350:A2 0 -8 *27292:A2 2.01327e-05 -9 *27586:A2 8.15409e-05 -10 *27566:A2 1.04544e-05 -11 *27495:B1 6.56807e-05 -12 *27514:A2 0.000106518 -13 *27539:A2 0 -14 *27290:X 0 -15 *2855:311 0.00208244 -16 *2855:310 0.00303785 -17 *2855:302 0.00237634 -18 *2855:298 0.00154004 -19 *2855:285 0.00241105 -20 *2855:279 0.00372266 -21 *2855:219 0.00466307 -22 *2855:198 0.00404291 -23 *2855:189 0.00470438 -24 *2855:183 0.00278754 -25 *2855:176 0.00197424 -26 *2855:175 0.00341232 -27 *2855:151 0.00382161 -28 *2855:142 0.00176747 -29 *2855:139 0.00390252 -30 *2855:121 0.00225288 -31 *2855:103 0.00266269 -32 *2855:91 0.0019582 -33 *2855:90 0.00254948 -34 *2855:72 0.00175545 -35 *2855:60 0.00123086 -36 *2855:45 0.000873626 -37 *2855:39 0.0021595 -38 *2855:32 0.00372715 -39 *2855:15 0.00553153 -40 *2855:12 0.00291046 -41 *2855:4 0.00409689 -42 *27292:A2 *2856:157 1.9706e-05 -43 *27292:A2 *2856:163 4.40545e-06 -44 *27390:A2 *27394:B 1.59935e-05 -45 *27390:A2 *28695:D 1.95625e-05 -46 *27390:A2 *5631:71 3.33174e-05 -47 *27390:A2 *5829:76 0.000640786 -48 *27403:A2 *25145:B2 0.000136635 -49 *27403:A2 *27122:S 0.000325747 -50 *27403:A2 *27406:A1 2.62489e-05 -51 *27403:A2 *27406:A2 1.46576e-05 -52 *27403:A2 *27406:B1 8.29241e-05 -53 *27403:A2 *27409:C 5.49489e-05 -54 *27403:A2 *27605:A2 0.000606444 -55 *27403:A2 *27749:A2 0.000117485 -56 *27403:A2 *2879:127 9.32141e-06 -57 *27403:A2 *2891:212 0.000803385 -58 *27403:A2 *2891:270 0.00068009 -59 *27403:A2 *2895:316 0.000772072 -60 *27403:A2 *3304:22 0.000179565 -61 *27403:A2 *3771:50 0.000963407 -62 *27403:A2 *4135:45 3.45371e-05 -63 *27403:A2 *5584:145 1.92326e-05 -64 *27403:A2 *5627:139 0.00130308 -65 *27403:A2 *5628:150 0.000124272 -66 *27403:A2 *5765:129 0 -67 *27403:A2 *5879:74 0.000643267 -68 *27429:B1 *27429:A1 2.59355e-05 -69 *27429:B1 *27429:B2 9.89664e-05 -70 *27429:B1 *27430:B1 6.30931e-05 -71 *27429:B1 *27433:B1 0 -72 *27429:B1 *28631:D 0 -73 *27429:B1 *30875:A 0.000192142 -74 *27429:B1 *2997:20 0.000832682 -75 *27429:B1 *3215:286 4.65519e-05 -76 *27429:B1 *4033:16 6.30931e-05 -77 *27429:B1 *5628:121 9.05517e-05 -78 *27429:B1 *5662:111 0 -79 *27429:B1 *5683:134 0.00106114 -80 *27429:B1 *5824:286 2.83129e-05 -81 *27456:A2 *27456:A1 0.000134461 -82 *27456:A2 *27456:B2 0.000177851 -83 *27456:A2 *3179:67 7.69776e-06 -84 *27456:A2 *3708:68 0.000318812 -85 *27456:A2 *5660:64 4.75956e-06 -86 *27470:B1 *27469:A2 3.69047e-06 -87 *27470:B1 *27470:C1 0.000120604 -88 *27470:B1 *3028:21 0.00046065 -89 *27470:B1 *3386:22 0.000219857 -90 *27470:B1 *3722:23 7.34707e-05 -91 *27470:B1 *5662:52 1.07719e-05 -92 *27495:B1 *27495:A1 1.34548e-05 -93 *27495:B1 *27503:A 7.43578e-06 -94 *27495:B1 *3840:30 0.000175892 -95 *27495:B1 *3957:43 6.05161e-06 -96 *27514:A2 *27514:C1 0.000114596 -97 *27514:A2 *3070:14 0.000150442 -98 *27514:A2 *3906:47 0.000135297 -99 *27566:A2 *24879:C_N 3.28686e-05 -100 *27566:A2 *5838:31 3.28686e-05 -101 *27586:A2 *27591:B 5.4826e-05 -102 *27586:A2 *2866:217 6.05161e-06 -103 *27586:A2 *3168:153 2.04825e-05 -104 *27586:A2 *3715:32 3.28686e-05 -105 *27586:A2 *3975:22 1.53191e-05 -106 *27586:A2 *3975:31 5.09065e-05 -107 *27586:A2 *4131:56 1.21554e-05 -108 *2855:12 *27091:A0 6.22596e-05 -109 *2855:12 *27323:A 5.33005e-05 -110 *2855:12 *27323:C 9.71197e-05 -111 *2855:12 *28658:D 8.08521e-05 -112 *2855:12 *28761:D 5.71472e-05 -113 *2855:12 *30794:A 3.97677e-05 -114 *2855:12 *2888:14 9.83132e-05 -115 *2855:12 *3197:19 0.00157349 -116 *2855:12 *5629:132 0.000600122 -117 *2855:12 *5667:312 0.000513156 -118 *2855:12 *5863:195 0.00126095 -119 *2855:15 *25269:A1 0 -120 *2855:15 *3868:32 0.000196543 -121 *2855:15 *5486:20 0.00105743 -122 *2855:15 *5713:109 8.3614e-05 -123 *2855:32 *25269:A1 0 -124 *2855:32 *25389:A1 9.82564e-05 -125 *2855:32 *25391:A1 1.82463e-05 -126 *2855:32 *28343:D 3.46406e-05 -127 *2855:32 *3641:28 0.000540163 -128 *2855:32 *3868:32 0.000518224 -129 *2855:32 *3875:63 2.58035e-05 -130 *2855:32 *4074:59 0.000160519 -131 *2855:32 *5691:139 8.94556e-05 -132 *2855:32 *5861:69 1.94945e-05 -133 *2855:39 *25391:A1 9.66977e-05 -134 *2855:39 *25391:B2 0.00187619 -135 *2855:39 *2886:41 0.0010378 -136 *2855:39 *3124:15 0.000356201 -137 *2855:39 *3662:30 0.000128349 -138 *2855:39 *3663:56 0.000137561 -139 *2855:39 *3792:82 0.000130057 -140 *2855:39 *3853:72 0.000135028 -141 *2855:39 *4074:59 8.43535e-06 -142 *2855:39 *5629:71 0.000178847 -143 *2855:45 *27539:B1 0.000117552 -144 *2855:45 *27547:A 3.14163e-05 -145 *2855:45 *3817:39 0.000181743 -146 *2855:60 *27492:C1 0.00018077 -147 *2855:60 *27539:B1 2.0224e-05 -148 *2855:60 *3070:14 0.000143625 -149 *2855:60 *3713:63 0.000797804 -150 *2855:60 *3856:26 0.000165653 -151 *2855:72 *27503:A 0.000105559 -152 *2855:72 *3045:10 0.000889187 -153 *2855:72 *3055:16 1.90936e-05 -154 *2855:72 *3085:12 0.000322434 -155 *2855:72 *3840:30 0.000219711 -156 *2855:72 *3856:26 0.000406539 -157 *2855:90 *3069:8 0.00123364 -158 *2855:90 *3666:68 0.00016292 -159 *2855:90 *3830:70 7.12459e-05 -160 *2855:90 *5661:80 0.000319623 -161 *2855:91 *27522:C1 0.000677678 -162 *2855:91 *2871:108 3.32845e-05 -163 *2855:91 *2871:110 0.00174389 -164 *2855:91 *3216:141 0.000171441 -165 *2855:91 *3216:142 0.00303392 -166 *2855:91 *3724:33 4.19909e-05 -167 *2855:91 *3883:31 0.000160692 -168 *2855:91 *3909:31 0.000327172 -169 *2855:91 *3909:37 1.721e-05 -170 *2855:91 *3958:34 0.00117132 -171 *2855:103 *2865:133 0.000637513 -172 *2855:103 *2871:116 6.30931e-05 -173 *2855:103 *3156:162 0.000169233 -174 *2855:103 *3452:32 9.20911e-05 -175 *2855:103 *4126:84 8.69554e-05 -176 *2855:103 *5686:35 6.47471e-05 -177 *2855:103 *5909:61 0.000118342 -178 *2855:121 *25869:A0 1.90936e-05 -179 *2855:121 *27566:A1 8.04404e-05 -180 *2855:121 *27585:A2 0.00017985 -181 *2855:121 *28047:B 0.000160252 -182 *2855:121 *28311:D 0.00014534 -183 *2855:121 *2871:116 0.000322683 -184 *2855:121 *2875:134 9.40626e-05 -185 *2855:121 *3119:16 0.00015234 -186 *2855:121 *3216:142 0.000181286 -187 *2855:121 *3413:41 2.44419e-05 -188 *2855:121 *3541:43 0.001068 -189 *2855:121 *3698:31 5.22739e-05 -190 *2855:121 *3713:39 0.000873597 -191 *2855:121 *3713:71 0.000136694 -192 *2855:121 *3741:68 9.6728e-05 -193 *2855:121 *3883:31 0.000135432 -194 *2855:121 *3947:61 1.60961e-05 -195 *2855:121 *3947:68 0.00013629 -196 *2855:121 *4066:33 0.00034188 -197 *2855:121 *4066:45 2.2615e-05 -198 *2855:121 *4350:48 1.54746e-05 -199 *2855:121 *5656:189 0 -200 *2855:121 *5666:36 1.94945e-05 -201 *2855:121 *5686:35 0.000155679 -202 *2855:121 *5706:8 6.56256e-05 -203 *2855:121 *5706:16 1.90936e-05 -204 *2855:121 *5742:332 3.97677e-05 -205 *2855:121 *5909:44 0.00158333 -206 *2855:139 *3165:216 5.85582e-05 -207 *2855:139 *3783:121 0.000121549 -208 *2855:139 *5583:58 0.000400695 -209 *2855:139 *5609:89 0.000860094 -210 *2855:139 *5655:150 0.000256421 -211 *2855:142 *3176:62 0.000134893 -212 *2855:142 *3299:14 0.00158822 -213 *2855:142 *3829:8 0.000320207 -214 *2855:142 *3829:29 0.000362223 -215 *2855:142 *3844:63 0.000488395 -216 *2855:142 *3844:74 0.000151315 -217 *2855:151 *25378:A1 2.04825e-05 -218 *2855:151 *2856:157 2.05612e-05 -219 *2855:151 *2856:163 9.13543e-06 -220 *2855:151 *3667:53 8.00806e-05 -221 *2855:151 *4132:65 5.7809e-05 -222 *2855:175 *26894:A0 0.000563256 -223 *2855:175 *27305:D 0.000218404 -224 *2855:175 *27674:A1 0 -225 *2855:175 *2856:157 0.00015178 -226 *2855:175 *2875:243 0 -227 *2855:175 *2877:187 0.00126929 -228 *2855:175 *2877:274 2.01997e-05 -229 *2855:175 *3185:92 0.00063114 -230 *2855:175 *3574:86 0.000261692 -231 *2855:175 *5644:205 0.000936314 -232 *2855:175 *5650:250 0 -233 *2855:175 *5700:50 0 -234 *2855:176 *4044:14 0.000132702 -235 *2855:176 *4115:30 0.000132702 -236 *2855:183 *4044:14 0.00536058 -237 *2855:183 *4115:30 0.00538332 -238 *2855:189 *27122:S 0.000159527 -239 *2855:189 *27140:A0 0.000863553 -240 *2855:189 *5879:74 0.000117447 -241 *2855:198 *25238:B2 6.35515e-05 -242 *2855:198 *27012:A0 3.77315e-05 -243 *2855:198 *27359:A1 0.000187316 -244 *2855:198 *27359:B2 1.28809e-05 -245 *2855:198 *2892:292 0 -246 *2855:198 *3153:221 0.000680948 -247 *2855:198 *3179:154 0.000254852 -248 *2855:198 *4003:27 0 -249 *2855:198 *5655:217 0 -250 *2855:198 *5702:38 0.000170689 -251 *2855:198 *5879:97 0.000727927 -252 *2855:219 *27359:A1 2.59355e-05 -253 *2855:219 *27359:A2 3.45225e-05 -254 *2855:219 *28631:D 0.000366403 -255 *2855:219 *3251:11 9.60939e-05 -256 *2855:219 *3730:8 0.000241343 -257 *2855:219 *4069:41 0 -258 *2855:219 *4069:48 0 -259 *2855:219 *5593:15 8.08603e-05 -260 *2855:219 *5660:198 0.000377066 -261 *2855:219 *5809:13 0.000581341 -262 *2855:219 *5824:265 0 -263 *2855:219 *5829:76 0.000372338 -264 *2855:279 *27633:C 0.000177815 -265 *2855:279 *27641:C 0.000558028 -266 *2855:279 *27645:A 9.60875e-05 -267 *2855:279 *27645:B 0.000169672 -268 *2855:279 *30793:A 5.33005e-05 -269 *2855:279 *31017:A 0.000609206 -270 *2855:279 *2895:13 4.87854e-05 -271 *2855:279 *3165:6 0.000149911 -272 *2855:279 *3165:192 0.000668623 -273 *2855:279 *3176:8 4.31289e-05 -274 *2855:279 *3197:19 0.000552531 -275 *2855:279 *3206:179 0.000443938 -276 *2855:279 *5632:8 0.000516762 -277 *2855:279 *5658:10 0.000134044 -278 *2855:279 *5700:256 0.000306156 -279 *2855:279 *5863:195 0.000179392 -280 *2855:285 *27442:C1 4.32309e-05 -281 *2855:285 *27444:A1 0.00110844 -282 *2855:285 *3800:29 0.000741767 -283 *2855:285 *3981:53 0.000428908 -284 *2855:285 *5535:37 0.00018631 -285 *2855:285 *5639:28 0.00019197 -286 *2855:285 *5687:217 0.000394975 -287 *2855:285 *5687:221 0.000608696 -288 *2855:298 *27797:C1 2.06178e-05 -289 *2855:298 *28741:CLK 0.000136958 -290 *2855:298 *28741:D 6.05161e-06 -291 *2855:298 *3177:35 7.59402e-06 -292 *2855:298 *3682:50 0.000648564 -293 *2855:298 *4020:7 1.21258e-05 -294 *2855:298 *5423:8 2.04825e-05 -295 *2855:298 *5660:35 0.000112538 -296 *2855:298 *5663:22 7.48404e-06 -297 *2855:298 *5663:27 0.000160328 -298 *2855:298 *5675:67 1.65169e-05 -299 *2855:298 *5679:46 0.000267814 -300 *2855:302 *3574:34 0.000281099 -301 *2855:302 *3682:48 0.000118136 -302 *2855:302 *3682:50 0.000266633 -303 *2855:302 *3708:68 0.00174951 -304 *2855:302 *3826:57 3.55222e-05 -305 *2855:302 *4020:20 0.000150618 -306 *2855:302 *5645:71 0.000977749 -307 *2855:310 *28757:CLK 0.000135968 -308 *2855:310 *3164:249 0.000788919 -309 *2855:310 *3682:48 0.000131496 -310 *2855:310 *3993:14 5.53207e-05 -311 *2855:310 *5656:240 0.000437291 -312 *2855:310 *5663:35 0.00134603 -313 *2855:310 *5679:40 9.44056e-05 -314 *2855:310 *5755:192 0.000247355 -315 *2855:311 *3164:258 0.00206223 -316 *2855:311 *3164:267 0.000349223 -317 *2855:311 *3177:61 0.000660927 -318 *2855:311 *3891:66 0.00283562 -319 *2855:311 *3891:89 0.00168201 -320 *2855:311 *4073:39 0.000631168 -321 *2855:311 *5643:316 8.55222e-06 -322 *2855:311 *5652:128 0.0010087 -323 *2855:311 *5652:142 0.000192814 -324 *2855:311 *5663:35 0.000268499 -325 *6620:DIODE *2855:175 0.000220579 -326 *25190:B1 *2855:198 0 -327 *25190:B1 *2855:219 2.41653e-05 -328 *25203:B1 *27403:A2 2.36643e-05 -329 *25220:A2 *2855:175 2.11419e-05 -330 *25282:A *2855:32 2.87584e-05 -331 *25282:C *2855:32 0.000173872 -332 *25282:D *2855:32 0.000175892 -333 *25337:A2 *2855:175 0.000616361 -334 *25389:A2 *2855:32 0.000136958 -335 *25389:C1 *2855:32 1.21258e-05 -336 *25391:B1 *2855:32 5.33614e-05 -337 *25391:B1 *2855:39 2.59355e-05 -338 *25393:C1 *2855:39 0.00061128 -339 *25396:C1 *2855:39 0.000506989 -340 *25866:S *2855:121 0.000262069 -341 *25874:S *2855:103 1.09611e-05 -342 *27019:S *2855:175 0.00010282 -343 *27136:S *2855:15 0.000626953 -344 *27290:B *2855:12 2.59355e-05 -345 *27290:C *2855:12 9.41642e-05 -346 *27429:A2 *27429:B1 0.000164824 -347 *27472:A2 *2855:311 0.000107898 -348 *27495:A2 *27495:B1 3.28686e-05 -349 *27577:A2 *27586:A2 6.06291e-06 -350 *27641:B *2855:279 9.90367e-05 -351 *29437:A *2855:12 0.000135028 -352 *74:11 *2855:298 0 -353 *1228:37 *2855:175 0.000175892 -354 *1246:36 *2855:139 0.000207658 -355 *1250:11 *2855:151 5.49489e-05 -356 *1254:144 *2855:139 0.0013407 -357 *1256:31 *2855:139 0.000117635 -358 *1260:91 *2855:198 0.000300406 -359 *1260:91 *2855:219 0.000270846 -360 *1261:53 *2855:311 4.04292e-05 -361 *1262:80 *2855:189 9.16574e-05 -362 *1265:142 *2855:198 3.05874e-05 -363 *1265:142 *2855:219 0.000241744 -364 *1271:104 *2855:15 0.000247772 -365 *1271:104 *2855:32 5.00194e-05 -366 *1271:191 *2855:189 0.000131205 -367 *1275:54 *2855:175 0 -368 *1286:27 *2855:198 0 -369 *1289:44 *2855:32 2.09897e-05 -370 *1294:147 *2855:12 6.30931e-05 -371 *1304:39 *2855:302 0.000202142 -372 *1350:13 *2855:310 4.12681e-06 -373 *1355:20 *2855:302 2.83129e-05 -374 *1362:118 *2855:39 0.000134957 -375 *1408:28 *2855:45 0.000183805 -376 *1417:15 *2855:32 9.83442e-05 -377 *1421:33 *2855:39 0.000127063 -378 *1427:94 *2855:39 0.000262076 -379 *1452:114 *2855:39 0.00213808 -380 *1456:64 *2855:103 4.79453e-05 -381 *1506:18 *27390:A2 0.000582018 -382 *1506:18 *2855:219 0.000374168 -383 *1524:38 *2855:219 0.000243173 -384 *1540:14 *2855:175 0.000724857 -385 *1562:19 *27403:A2 4.73953e-05 -386 *1574:6 *2855:198 2.06178e-05 -387 *1607:8 *2855:142 0.00338242 -388 *1633:45 *2855:183 0.000105594 -389 *1654:20 *2855:151 2.70899e-05 -390 *1691:10 *2855:12 6.47471e-05 -391 *1700:65 *2855:32 5.49489e-05 -392 *1705:13 *2855:32 0.000640398 -393 *1721:35 *2855:32 0.000137983 -394 *1899:39 *27495:B1 1.73681e-05 -395 *2759:111 *2855:198 2.22866e-05 -396 *2760:69 *2855:310 0.000111243 -397 *2768:90 *2855:198 1.90936e-05 -398 *2778:152 *2855:198 6.30086e-06 -399 *2779:83 *27403:A2 2.63652e-05 -400 *2779:90 *2855:189 7.20062e-05 -401 *2780:61 *2855:139 0.00178285 -402 *2781:87 *2855:139 0.00155273 -403 *2786:80 *27429:B1 0.000167292 -404 *2788:139 *27403:A2 0.00017774 -405 *2790:77 *2855:12 9.41642e-05 -406 *2823:86 *2855:279 4.97121e-06 -407 *2844:69 *2855:90 0.00123346 -408 *2847:37 *2855:12 0.000158902 -409 *2848:282 *2855:198 0 -410 *2848:333 *2855:219 0.000628633 -411 *2850:73 *2855:60 6.21521e-06 -412 *2850:73 *2855:72 6.62083e-05 -413 *2852:108 *2855:219 2.35126e-05 -414 *2853:141 *2855:139 0.000145396 -415 *2853:202 *2855:310 1.31781e-06 -*RES -1 *27290:X *2855:4 9.3 -2 *2855:4 *2855:12 47.1339 -3 *2855:12 *2855:15 6.3757 -4 *2855:15 *2855:32 38.4835 -5 *2855:32 *2855:39 48.0877 -6 *2855:39 *2855:45 15.16 -7 *2855:45 *27539:A2 9.3 -8 *2855:45 *2855:60 15.8661 -9 *2855:60 *27514:A2 16.5946 -10 *2855:60 *2855:72 21.6786 -11 *2855:72 *27495:B1 11.0679 -12 *2855:72 *2855:90 28.977 -13 *2855:90 *2855:91 48.6964 -14 *2855:91 *2855:103 16.194 -15 *2855:103 *27566:A2 14.1304 -16 *2855:103 *2855:121 47.6726 -17 *2855:121 *27586:A2 19.0314 -18 *2855:15 *2855:139 20.5214 -19 *2855:139 *2855:142 49.4018 -20 *2855:142 *2855:151 14.8214 -21 *2855:151 *27292:A2 9.87589 -22 *2855:151 *2855:175 49.7258 -23 *2855:175 *2855:176 1.79464 -24 *2855:176 *27350:A2 13.8 -25 *2855:176 *2855:183 70.7054 -26 *2855:183 *2855:189 9.62277 -27 *2855:189 *2855:198 18.8597 -28 *2855:198 *27359:B1 9.72857 -29 *2855:198 *2855:219 31.5022 -30 *2855:219 *27429:B1 28.7764 -31 *2855:219 *27390:A2 22.9071 -32 *2855:189 *27403:A2 45.3462 -33 *2855:4 *2855:279 48.25 -34 *2855:279 *2855:285 48.0446 -35 *2855:285 *2855:298 27.1349 -36 *2855:298 *2855:302 27.824 -37 *2855:302 *2855:310 15.154 -38 *2855:310 *2855:311 64.0268 -39 *2855:311 *27470:B1 31.6571 -40 *2855:302 *27456:A2 19.8198 -*END - -*D_NET *2856 0.216977 -*CONN -*I *27431:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27393:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27370:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27408:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27468:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *27442:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27348:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27292:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *6621:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27551:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *6623:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27583:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27511:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27530:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27502:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27291:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27431:A2 0.000127261 -2 *27393:A2 1.98188e-05 -3 *27370:A2 0 -4 *27408:A2 0.00160981 -5 *27468:A2 6.89425e-05 -6 *27442:A2 1.26062e-05 -7 *27348:B1 0.00144192 -8 *27292:B1 0 -9 *6621:DIODE 0 -10 *27551:A2 0.000212835 -11 *6623:DIODE 5.06639e-05 -12 *27583:A2 7.42131e-05 -13 *27511:B1 0.000385519 -14 *27530:B1 0.000182172 -15 *27502:A2 1.04544e-05 -16 *27291:X 3.88257e-05 -17 *2856:364 0.00237655 -18 *2856:355 0.00154921 -19 *2856:349 0.00181775 -20 *2856:344 0.00243622 -21 *2856:325 0.0030432 -22 *2856:316 0.00373263 -23 *2856:314 0.00260358 -24 *2856:302 0.00115004 -25 *2856:294 0.0029573 -26 *2856:293 0.0028259 -27 *2856:279 0.00248821 -28 *2856:270 0.00470514 -29 *2856:210 0.000462392 -30 *2856:199 0.000591437 -31 *2856:196 0.00500528 -32 *2856:176 0.00574487 -33 *2856:163 0.00121785 -34 *2856:157 0.00103576 -35 *2856:146 0.00360118 -36 *2856:137 0.00227234 -37 *2856:124 0.00571113 -38 *2856:97 0.00106088 -39 *2856:76 0.00184873 -40 *2856:68 0.00195947 -41 *2856:57 0.00171735 -42 *2856:56 0.00172306 -43 *2856:48 0.00444043 -44 *2856:38 0.00409151 -45 *2856:25 0.00749954 -46 *2856:8 0.00458704 -47 *6623:DIODE *27551:B1 3.06878e-06 -48 *27348:B1 *27332:A 0.0019023 -49 *27348:B1 *27348:A1 6.05161e-06 -50 *27348:B1 *2875:243 0.000292838 -51 *27348:B1 *3185:92 0 -52 *27348:B1 *3197:52 0.000134313 -53 *27348:B1 *3783:110 0.000197283 -54 *27348:B1 *3907:45 0.000255228 -55 *27348:B1 *3992:54 0.000132054 -56 *27348:B1 *4132:58 0.00033138 -57 *27348:B1 *5655:193 0.000230437 -58 *27348:B1 *5700:50 3.73112e-05 -59 *27393:A2 *27393:B1 2.04252e-06 -60 *27408:A2 *27023:A0 0.000265602 -61 *27408:A2 *27408:C1 0.000362734 -62 *27408:A2 *30264:A 0.000424029 -63 *27408:A2 *2864:328 0.000506998 -64 *27408:A2 *3998:17 0.000136958 -65 *27408:A2 *5768:55 0.000239357 -66 *27408:A2 *5768:67 3.63775e-05 -67 *27431:A2 *27431:A1 3.76064e-05 -68 *27431:A2 *27432:C1 5.22045e-05 -69 *27431:A2 *3839:30 1.94879e-05 -70 *27442:A2 *27441:A2 1.59935e-05 -71 *27442:A2 *27442:A1 3.28686e-05 -72 *27468:A2 *27468:A1 0.000216755 -73 *27468:A2 *5708:211 0.000216755 -74 *27502:A2 *27502:A1 3.28686e-05 -75 *27502:A2 *3944:45 3.28686e-05 -76 *27511:B1 *3779:45 0.000735207 -77 *27511:B1 *3893:97 0.000139907 -78 *27511:B1 *3919:51 0.0007391 -79 *27511:B1 *4088:79 5.52302e-05 -80 *27530:B1 *28947:A 0.000148938 -81 *27530:B1 *3775:30 0.000400081 -82 *27530:B1 *5718:183 9.89622e-05 -83 *27551:A2 *27551:A1 5.21603e-05 -84 *27551:A2 *27551:B1 5.91306e-05 -85 *27583:A2 *27583:A1 0.000327775 -86 *27583:A2 *3541:23 0.000329705 -87 *2856:8 *5643:32 8.72869e-05 -88 *2856:25 *27376:B1 8.78763e-05 -89 *2856:25 *28612:D 3.2231e-05 -90 *2856:25 *30794:A 6.2694e-05 -91 *2856:25 *3160:15 0.00130724 -92 *2856:25 *3185:264 0 -93 *2856:25 *3205:27 0.0013113 -94 *2856:25 *3206:13 0.000199404 -95 *2856:25 *3206:17 0.000211683 -96 *2856:25 *3206:27 9.17702e-05 -97 *2856:25 *3572:20 0.000129778 -98 *2856:25 *5657:13 4.60645e-05 -99 *2856:25 *6225:114 8.60466e-05 -100 *2856:38 *3205:43 0.000227044 -101 *2856:38 *3206:27 4.23629e-06 -102 *2856:38 *3214:36 0.000903943 -103 *2856:38 *3782:26 9.41642e-05 -104 *2856:38 *5635:34 0.000249023 -105 *2856:48 *25396:A1 4.26759e-05 -106 *2856:48 *27963:C1 0.000278656 -107 *2856:48 *2864:40 0.00341388 -108 *2856:48 *3183:86 5.23296e-05 -109 *2856:48 *3196:42 0.000221358 -110 *2856:48 *3196:52 1.02936e-05 -111 *2856:48 *4001:27 1.94879e-05 -112 *2856:56 *27891:B 7.83659e-05 -113 *2856:56 *27963:C1 1.39726e-05 -114 *2856:56 *2864:45 0.00213404 -115 *2856:56 *3196:59 1.98839e-05 -116 *2856:56 *3216:55 3.466e-06 -117 *2856:56 *3216:59 2.42242e-05 -118 *2856:56 *3884:112 6.26774e-06 -119 *2856:57 *3196:59 0.00125903 -120 *2856:57 *3216:71 0.00124852 -121 *2856:68 *27497:B1 0 -122 *2856:68 *27510:B1 0.00142574 -123 *2856:68 *27547:A 2.11947e-05 -124 *2856:68 *27547:B 3.42605e-05 -125 *2856:68 *2878:75 0 -126 *2856:68 *2886:50 5.9098e-05 -127 *2856:68 *2886:55 4.33002e-05 -128 *2856:68 *3061:15 0.000298659 -129 *2856:68 *3196:59 0.000905165 -130 *2856:68 *3216:71 0.000907082 -131 *2856:68 *5717:104 0.000165377 -132 *2856:68 *5718:126 0.000378551 -133 *2856:68 *5718:130 0.000146009 -134 *2856:76 *27547:B 0.000335142 -135 *2856:76 *27547:D 0.000376388 -136 *2856:76 *2875:85 0.000792673 -137 *2856:76 *5717:104 0.000341483 -138 *2856:76 *5717:108 8.58003e-05 -139 *2856:97 *27502:A1 8.36572e-05 -140 *2856:97 *27519:A1 1.24368e-05 -141 *2856:97 *27519:B1 2.59355e-05 -142 *2856:97 *27520:C1 9.58181e-05 -143 *2856:97 *27880:A1 9.95444e-05 -144 *2856:97 *2875:85 0.000592267 -145 *2856:97 *2878:75 0.00132487 -146 *2856:97 *2878:92 8.16751e-05 -147 *2856:97 *3687:52 0.000325011 -148 *2856:97 *3814:63 0.000128331 -149 *2856:97 *3830:71 0.000257619 -150 *2856:97 *3867:37 9.80405e-05 -151 *2856:97 *3944:45 9.41642e-05 -152 *2856:97 *5717:108 0 -153 *2856:97 *6036:44 7.75093e-05 -154 *2856:124 *27332:A 6.04063e-05 -155 *2856:124 *2877:32 0.000321807 -156 *2856:124 *2883:29 1.09611e-05 -157 *2856:124 *3168:31 0 -158 *2856:124 *3197:46 0.000712702 -159 *2856:124 *3205:158 0.000254594 -160 *2856:124 *3794:85 0 -161 *2856:124 *3989:68 3.98524e-05 -162 *2856:124 *5713:84 3.36507e-05 -163 *2856:124 *5713:130 0 -164 *2856:137 *26879:A0 0.000321176 -165 *2856:137 *2870:43 0.000516389 -166 *2856:137 *3197:46 3.02862e-05 -167 *2856:137 *3215:44 0.000127068 -168 *2856:137 *3963:119 0.000465673 -169 *2856:137 *3965:85 0.000426985 -170 *2856:137 *3992:42 0.000459204 -171 *2856:137 *4015:31 0.000518825 -172 *2856:137 *4133:108 0.000248267 -173 *2856:146 *27332:A 0.00162247 -174 *2856:146 *3185:92 0.000170034 -175 *2856:146 *3783:110 1.19487e-05 -176 *2856:146 *3989:68 0.000681964 -177 *2856:146 *5638:104 8.92267e-05 -178 *2856:157 *27292:B2 1.23759e-05 -179 *2856:157 *27305:D 0.000216755 -180 *2856:157 *2870:21 2.13288e-05 -181 *2856:157 *2877:179 3.08606e-05 -182 *2856:157 *2877:187 1.94945e-05 -183 *2856:157 *3686:83 7.14091e-06 -184 *2856:157 *3797:68 2.09897e-05 -185 *2856:157 *5707:41 0.000549251 -186 *2856:163 *27292:B2 3.28681e-05 -187 *2856:163 *5707:29 7.48301e-06 -188 *2856:163 *5707:41 3.07998e-05 -189 *2856:176 *2864:182 0.000955965 -190 *2856:176 *2869:5 0.00229282 -191 *2856:176 *3305:13 0.000340995 -192 *2856:176 *3841:68 0.000193996 -193 *2856:176 *5707:29 4.44369e-05 -194 *2856:196 *25104:B2 0.000223801 -195 *2856:196 *2867:149 2.92112e-05 -196 *2856:196 *3173:158 0.000634748 -197 *2856:196 *3437:14 0.00251231 -198 *2856:196 *3724:88 0 -199 *2856:196 *3762:42 0.00134191 -200 *2856:196 *3766:53 0 -201 *2856:196 *3766:54 4.19624e-06 -202 *2856:196 *3868:73 4.65519e-05 -203 *2856:196 *3920:63 0 -204 *2856:196 *4035:36 0.00018778 -205 *2856:196 *4113:116 1.93729e-05 -206 *2856:196 *4350:65 4.09571e-05 -207 *2856:196 *5196:25 0.000156182 -208 *2856:196 *5457:22 0.000699442 -209 *2856:196 *5458:40 2.04825e-05 -210 *2856:196 *5640:63 0.000166585 -211 *2856:196 *5640:64 0.000263384 -212 *2856:196 *5653:70 0.000153171 -213 *2856:196 *5713:37 0.000475529 -214 *2856:199 *27583:A1 0.00112757 -215 *2856:199 *3541:13 0.000921952 -216 *2856:199 *3541:23 0.0002083 -217 *2856:210 *4077:29 0.000121573 -218 *2856:270 *28804:CLK 0.000545415 -219 *2856:270 *28804:RESET_B 6.26774e-05 -220 *2856:270 *2867:25 0.000744059 -221 *2856:270 *2867:158 0.00104289 -222 *2856:270 *2875:28 0.000204026 -223 *2856:270 *2875:196 9.32498e-05 -224 *2856:270 *2882:173 0.00021324 -225 *2856:270 *2891:11 8.60854e-05 -226 *2856:270 *2978:36 0.000150565 -227 *2856:270 *3178:139 0.000812633 -228 *2856:270 *3538:24 0.000856124 -229 *2856:270 *3559:7 5.33005e-05 -230 *2856:270 *5638:30 0.000135031 -231 *2856:270 *5651:85 0.00136408 -232 *2856:270 *5667:86 5.02755e-05 -233 *2856:270 *5757:127 6.25676e-05 -234 *2856:279 *27449:A 7.83659e-05 -235 *2856:279 *27795:A1 6.82817e-05 -236 *2856:279 *2871:175 0.000356699 -237 *2856:279 *2998:32 0.00012294 -238 *2856:279 *3943:20 3.50559e-05 -239 *2856:279 *4085:87 6.05161e-06 -240 *2856:279 *5656:34 0.000149421 -241 *2856:279 *5755:22 0.000121423 -242 *2856:293 *3735:58 0.000145239 -243 *2856:293 *3943:20 7.21871e-05 -244 *2856:293 *3943:24 0.000669873 -245 *2856:293 *5656:34 0.000806213 -246 *2856:293 *5675:67 6.13757e-06 -247 *2856:294 *27479:B2 0.000728223 -248 *2856:294 *27808:B1 6.13772e-05 -249 *2856:294 *27816:B 9.77391e-05 -250 *2856:294 *27816:C 1.28364e-05 -251 *2856:294 *3018:22 5.3222e-05 -252 *2856:294 *3193:59 0.000102847 -253 *2856:294 *3193:60 0.000560636 -254 *2856:294 *3370:18 0.000594583 -255 *2856:294 *3942:60 6.82091e-06 -256 *2856:294 *4086:68 0.00477941 -257 *2856:294 *5650:198 0.000211203 -258 *2856:302 *3039:13 0.000136951 -259 *2856:302 *3721:37 0.000163161 -260 *2856:314 *27468:A1 0.000135028 -261 *2856:314 *3029:10 0.000813888 -262 *2856:314 *3193:60 1.44954e-05 -263 *2856:314 *3370:18 0.000923498 -264 *2856:314 *4134:107 0.000147552 -265 *2856:314 *5708:211 0.000124245 -266 *2856:316 *25010:B2 0.000104491 -267 *2856:316 *2895:281 0.00023328 -268 *2856:316 *3193:60 3.93035e-05 -269 *2856:316 *3370:18 2.04825e-05 -270 *2856:316 *3839:39 0.0023467 -271 *2856:316 *4033:16 0.000118711 -272 *2856:316 *4134:96 0.000115606 -273 *2856:316 *5627:205 0.00201093 -274 *2856:316 *5637:43 0.000121549 -275 *2856:325 *2891:311 0.000259725 -276 *2856:325 *3165:85 2.57527e-05 -277 *2856:325 *3206:249 0 -278 *2856:325 *4006:51 0.0016878 -279 *2856:325 *5676:87 0 -280 *2856:325 *5696:77 4.2077e-05 -281 *2856:344 *27015:A0 0.000175892 -282 *2856:344 *27431:B1 0.000516118 -283 *2856:344 *2891:311 0.000438004 -284 *2856:344 *3692:45 0.000633593 -285 *2856:344 *3759:16 2.04825e-05 -286 *2856:344 *3939:102 0.000148506 -287 *2856:344 *3991:38 0.000155447 -288 *2856:344 *4069:33 0.000169983 -289 *2856:344 *5650:352 0.000146858 -290 *2856:344 *5654:157 3.22692e-05 -291 *2856:344 *5668:50 0.000153598 -292 *2856:349 *27728:A1 0.00059044 -293 *2856:349 *2955:15 5.71908e-05 -294 *2856:349 *3783:47 8.25843e-06 -295 *2856:349 *3783:56 5.33005e-05 -296 *2856:349 *5635:173 1.21258e-05 -297 *2856:355 *27370:B1 0.000631303 -298 *2856:355 *27393:B1 6.1604e-05 -299 *2856:355 *27393:B2 4.98274e-05 -300 *2856:355 *2864:321 0.000464277 -301 *2856:355 *2955:15 0.000100087 -302 *2856:355 *3164:333 2.05612e-05 -303 *2856:364 *25314:B2 5.49544e-05 -304 *2856:364 *27370:B1 3.97677e-05 -305 *2856:364 *27370:B2 5.52302e-05 -306 *2856:364 *27407:A2 4.10843e-05 -307 *2856:364 *28628:CLK 6.35224e-05 -308 *2856:364 *2879:156 0.00137956 -309 *2856:364 *3226:8 0.000216802 -310 *2856:364 *3822:104 8.89853e-05 -311 *2856:364 *3822:108 1.53191e-05 -312 *2856:364 *5658:160 3.98547e-05 -313 *6620:DIODE *2856:157 0.000219117 -314 *25010:C1 *2856:316 9.45597e-06 -315 *25107:C1 *2856:196 0.000778299 -316 *25194:A2 *2856:344 0.000435181 -317 *25233:A2 *2856:210 0.000520505 -318 *25315:C1 *2856:364 0.000160277 -319 *25331:A2 *2856:176 5.79582e-06 -320 *25334:C *2856:176 0.000155521 -321 *25890:S *2856:48 9.94194e-05 -322 *27023:S *27408:A2 0.000180777 -323 *27091:S *2856:25 8.51704e-05 -324 *27292:A2 *2856:157 1.9706e-05 -325 *27292:A2 *2856:163 4.40545e-06 -326 *27292:C1 *2856:163 5.33005e-05 -327 *27329:C *2856:270 0.000154951 -328 *27575:A2 *27511:B1 4.77348e-05 -329 *28804:D *2856:270 7.32272e-05 -330 *29511:A *2856:279 0.000135028 -331 *29736:A *2856:355 0.000440625 -332 *74:11 *2856:124 4.65519e-05 -333 *282:21 *2856:38 0.000615292 -334 *1178:36 *2856:8 8.72869e-05 -335 *1185:88 *27348:B1 5.33005e-05 -336 *1228:53 *2856:124 2.51744e-05 -337 *1228:73 *2856:25 0.000330846 -338 *1237:27 *2856:25 0.000389807 -339 *1237:27 *2856:38 0.000378665 -340 *1244:129 *2856:124 0 -341 *1250:232 *2856:157 5.99568e-05 -342 *1252:178 *2856:294 0.000996339 -343 *1252:184 *2856:302 0.00179658 -344 *1260:20 *2856:163 5.52302e-05 -345 *1260:20 *2856:176 5.33005e-05 -346 *1260:43 *27348:B1 0 -347 *1263:216 *2856:210 0.000208079 -348 *1264:163 *2856:56 7.6644e-05 -349 *1266:182 *2856:314 0.000100116 -350 *1266:182 *2856:316 3.57503e-05 -351 *1266:205 *2856:316 0.00165816 -352 *1273:167 *2856:344 7.69776e-06 -353 *1277:53 *2856:38 5.41797e-06 -354 *1277:131 *2856:124 0.000832464 -355 *1281:40 *2856:48 4.43299e-05 -356 *1302:6 *27431:A2 1.94879e-05 -357 *1302:6 *2856:316 0.00663153 -358 *1302:16 *2856:294 8.24457e-05 -359 *1302:16 *2856:302 0.00179506 -360 *1358:19 *2856:157 0.000899778 -361 *1358:19 *2856:196 0 -362 *1362:25 *2856:196 2.08894e-05 -363 *1364:19 *2856:325 0.000487519 -364 *1400:21 *2856:196 0 -365 *1416:89 *27348:B1 0.000306251 -366 *1416:89 *2856:146 0.00141982 -367 *1416:89 *2856:157 1.91871e-05 -368 *1448:127 *2856:196 0.000341579 -369 *1490:17 *27348:B1 1.21258e-05 -370 *1527:39 *2856:137 0.000428633 -371 *1592:20 *2856:38 0.000875125 -372 *1617:30 *2856:76 0.00126132 -373 *1617:30 *2856:97 0.00050071 -374 *1622:17 *2856:97 8.43535e-06 -375 *1629:14 *2856:48 2.09826e-05 -376 *1645:16 *2856:176 0.00018684 -377 *1647:46 *2856:196 0.00104578 -378 *1660:11 *2856:364 0.000226209 -379 *1691:24 *2856:146 0.000650008 -380 *1700:24 *2856:176 9.46395e-05 -381 *1864:17 *2856:196 0.000572435 -382 *1864:23 *2856:196 0.000167237 -383 *1899:31 *2856:76 4.29471e-05 -384 *2764:160 *2856:294 0.00177775 -385 *2764:209 *2856:294 0.000859733 -386 *2765:18 *2856:344 0.000337867 -387 *2773:84 *2856:344 0.000290724 -388 *2773:97 *2856:355 0.00047556 -389 *2773:97 *2856:364 0.0014604 -390 *2776:65 *2856:38 1.60177e-05 -391 *2784:65 *2856:124 7.35763e-05 -392 *2784:65 *2856:137 0.00136847 -393 *2787:78 *2856:25 0.000426265 -394 *2787:78 *2856:270 2.17065e-05 -395 *2813:72 *2856:270 0.000298753 -396 *2844:190 *2856:294 0.00177809 -397 *2845:30 *2856:38 0.00051224 -398 *2845:45 *2856:68 1.96299e-05 -399 *2851:24 *2856:196 0.000425005 -400 *2855:151 *2856:157 2.05612e-05 -401 *2855:151 *2856:163 9.13543e-06 -402 *2855:175 *2856:157 0.00015178 -*RES -1 *27291:X *2856:8 18.3975 -2 *2856:8 *2856:25 37.3164 -3 *2856:25 *2856:38 32.039 -4 *2856:38 *2856:48 49.7458 -5 *2856:48 *2856:56 37.6607 -6 *2856:56 *2856:57 12.4464 -7 *2856:57 *2856:68 36.5804 -8 *2856:68 *2856:76 32.1518 -9 *2856:76 *27502:A2 9.63036 -10 *2856:76 *2856:97 49.3929 -11 *2856:97 *27530:B1 19.0857 -12 *2856:57 *27511:B1 29.4964 -13 *2856:25 *2856:124 33.0341 -14 *2856:124 *2856:137 45.7541 -15 *2856:137 *2856:146 19.9157 -16 *2856:146 *2856:157 21.889 -17 *2856:157 *2856:163 3.14286 -18 *2856:163 *2856:176 46.8654 -19 *2856:176 *2856:196 47.9181 -20 *2856:196 *2856:199 15.7143 -21 *2856:199 *27583:A2 12.5054 -22 *2856:199 *2856:210 15.8036 -23 *2856:210 *6623:DIODE 9.83571 -24 *2856:210 *27551:A2 13.175 -25 *2856:163 *6621:DIODE 9.3 -26 *2856:157 *27292:B1 9.3 -27 *2856:146 *27348:B1 28.1146 -28 *2856:8 *2856:270 49.9569 -29 *2856:270 *2856:279 37.3393 -30 *2856:279 *27442:A2 14.1304 -31 *2856:279 *2856:293 23.2679 -32 *2856:293 *2856:294 85.125 -33 *2856:294 *2856:302 38.3571 -34 *2856:302 *27468:A2 11.4786 -35 *2856:302 *2856:314 18.5089 -36 *2856:314 *2856:316 86.9464 -37 *2856:316 *2856:325 14.5352 -38 *2856:325 *2856:344 43.2947 -39 *2856:344 *2856:349 14.8482 -40 *2856:349 *2856:355 18.9554 -41 *2856:355 *2856:364 32.7143 -42 *2856:364 *27408:A2 36.7732 -43 *2856:355 *27370:A2 9.3 -44 *2856:349 *27393:A2 9.72857 -45 *2856:325 *27431:A2 19.6029 -*END - -*D_NET *2857 0.000571395 -*CONN -*I *27305:B I *D sky130_fd_sc_hd__or4_1 -*I *27292:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27305:B 0.00017732 -2 *27292:X 0.00017732 -3 *27305:B *5707:41 0.000216755 -*RES -1 *27292:X *27305:B 20.7786 -*END - -*D_NET *2858 0.0140222 -*CONN -*I *27296:C I *D sky130_fd_sc_hd__and3_4 -*I *27301:C I *D sky130_fd_sc_hd__and3_4 -*I *27294:C I *D sky130_fd_sc_hd__and3_4 -*I *27323:C I *D sky130_fd_sc_hd__and3_4 -*I *27309:C I *D sky130_fd_sc_hd__and3_4 -*I *27295:C I *D sky130_fd_sc_hd__and3_4 -*I *27300:C I *D sky130_fd_sc_hd__and3_4 -*I *27320:C I *D sky130_fd_sc_hd__and3_4 -*I *27293:X O *D sky130_fd_sc_hd__and2b_2 -*CAP -1 *27296:C 8.56495e-05 -2 *27301:C 0.000155552 -3 *27294:C 0 -4 *27323:C 2.74728e-05 -5 *27309:C 0.000215908 -6 *27295:C 6.95981e-05 -7 *27300:C 0.000136582 -8 *27320:C 0 -9 *27293:X 0.000119455 -10 *2858:72 0.000464697 -11 *2858:63 0.000438732 -12 *2858:51 0.000507069 -13 *2858:36 0.00113137 -14 *2858:27 0.000894119 -15 *2858:11 0.0002385 -16 *2858:6 0.000394795 -17 *27295:C *2865:31 0.000308838 -18 *27296:C *3575:12 2.37761e-05 -19 *27300:C *3575:76 0.000117369 -20 *27300:C *5608:28 4.70078e-05 -21 *27301:C *27301:A 5.33005e-05 -22 *27301:C *2859:16 2.59355e-05 -23 *27301:C *5606:44 7.06949e-05 -24 *27309:C *2872:154 0.000345257 -25 *27309:C *3194:62 1.59782e-05 -26 *27309:C *3206:13 0.000177214 -27 *27309:C *3572:20 9.90367e-05 -28 *27309:C *6306:102 0.000147447 -29 *27323:C *3197:19 5.51805e-05 -30 *2858:6 *27300:A 9.67416e-05 -31 *2858:6 *6306:27 5.80706e-06 -32 *2858:11 *27320:A 0.000181796 -33 *2858:27 *5643:32 1.437e-05 -34 *2858:27 *6304:24 3.92812e-05 -35 *2858:27 *6306:27 6.77501e-05 -36 *2858:36 *5643:32 0.000457215 -37 *2858:36 *5655:16 6.90134e-05 -38 *2858:51 *3192:20 1.95475e-05 -39 *2858:63 *3192:31 0.000137983 -40 *2858:63 *3209:21 1.31516e-05 -41 *2858:63 *5717:152 2.28598e-05 -42 *2858:72 *2859:16 0.000328766 -43 *2858:72 *5717:152 6.86693e-05 -44 *27294:B *2858:63 0.000137983 -45 *27295:B *27295:C 0.000306304 -46 *27301:B *27301:C 2.59355e-05 -47 *27320:B *2858:11 1.04232e-05 -48 *1185:12 *27300:C 0 -49 *1252:232 *2858:27 4.00349e-05 -50 *1256:54 *27301:C 0.000391 -51 *1323:5 *27300:C 7.03295e-05 -52 *1323:5 *2858:11 0.000306317 -53 *1805:11 *2858:6 0 -54 *2813:34 *27300:C 7.50477e-05 -55 *2813:34 *2858:11 2.14757e-05 -56 *2813:41 *2858:6 5.58941e-05 -57 *2813:41 *2858:11 3.97677e-05 -58 *2813:41 *2858:27 0.000347466 -59 *2813:41 *2858:36 0.00146621 -60 *2813:41 *2858:51 0.000139942 -61 *2815:59 *2858:36 0.000354473 -62 *2815:72 *2858:36 3.34366e-05 -63 *2815:72 *2858:51 0.000511453 -64 *2815:72 *2858:63 0.000344866 -65 *2843:56 *2858:51 0.000310194 -66 *2843:65 *2858:51 1.08359e-05 -67 *2843:65 *2858:63 0.000186281 -68 *2845:6 *27309:C 6.35819e-05 -69 *2845:161 *27296:C 6.09572e-05 -70 *2845:161 *27309:C 0.000104758 -71 *2845:161 *2858:72 3.67548e-05 -72 *2847:37 *27296:C 0.000182868 -73 *2847:37 *2858:72 0.000401033 -74 *2855:12 *27323:C 9.71197e-05 -*RES -1 *27293:X *2858:6 16.3536 -2 *2858:6 *2858:11 7.92857 -3 *2858:11 *27320:C 9.3 -4 *2858:11 *27300:C 22.5143 -5 *2858:6 *2858:27 4.67857 -6 *2858:27 *27295:C 16.8 -7 *2858:27 *2858:36 19.5536 -8 *2858:36 *27309:C 29.4071 -9 *2858:36 *2858:51 7.10714 -10 *2858:51 *27323:C 14.7464 -11 *2858:51 *2858:63 11.25 -12 *2858:63 *27294:C 9.3 -13 *2858:63 *2858:72 11.1429 -14 *2858:72 *27301:C 18.05 -15 *2858:72 *27296:C 16.3536 -*END - -*D_NET *2859 0.190616 -*CONN -*I *27477:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27450:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27421:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27386:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27360:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27415:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27341:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27298:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *27580:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27568:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27521:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27492:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27543:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27294:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27477:B1 0 -2 *27450:A2 0 -3 *27421:A2 0.000972402 -4 *27386:A2 0.000186086 -5 *27360:A2 0 -6 *27415:A2 0.000443669 -7 *27341:A2 0 -8 *27298:A2 0 -9 *27580:B1 0.000140153 -10 *27568:B1 0 -11 *27521:B1 0.000223716 -12 *27492:A2 0.000141553 -13 *27543:B1 0 -14 *27294:X 0 -15 *2859:331 0.000982302 -16 *2859:316 0.00102876 -17 *2859:313 0.00200436 -18 *2859:299 0.00320051 -19 *2859:296 0.00314801 -20 *2859:283 0.0026198 -21 *2859:260 0.00264673 -22 *2859:242 0.0029819 -23 *2859:223 0.00314833 -24 *2859:214 0.00252773 -25 *2859:201 0.00129456 -26 *2859:199 0.00149309 -27 *2859:187 0.00247732 -28 *2859:172 0.00432669 -29 *2859:162 0.00237513 -30 *2859:161 0.00216644 -31 *2859:157 0.00361648 -32 *2859:128 0.002021 -33 *2859:127 0.00278161 -34 *2859:117 0.00175337 -35 *2859:95 0.00312564 -36 *2859:73 0.00148323 -37 *2859:52 0.00510183 -38 *2859:38 0.00196063 -39 *2859:35 0.00198943 -40 *2859:34 0.00269633 -41 *2859:28 0.00245148 -42 *2859:22 0.00343472 -43 *2859:16 0.00228897 -44 *2859:4 0.00172235 -45 *27386:A2 *27386:A1 3.3254e-05 -46 *27386:A2 *27395:C 1.58163e-05 -47 *27386:A2 *3692:62 3.97677e-05 -48 *27386:A2 *5643:160 0.000269492 -49 *27386:A2 *5654:86 0.000129723 -50 *27415:A2 *27415:C1 0.00017754 -51 *27415:A2 *28683:D 0.000165239 -52 *27415:A2 *2875:275 3.54964e-05 -53 *27415:A2 *2879:127 0.00101559 -54 *27415:A2 *3299:8 0.00013844 -55 *27415:A2 *5630:274 0.000123551 -56 *27421:A2 *27422:C1 0.0010899 -57 *27421:A2 *2860:315 0.00129479 -58 *27421:A2 *3580:94 5.33334e-05 -59 *27421:A2 *3915:37 0.000207559 -60 *27421:A2 *3915:49 0.000443604 -61 *27492:A2 *3906:29 0.000280584 -62 *27521:B1 *27521:A1 0.000175892 -63 *27521:B1 *27915:A 1.21258e-05 -64 *27580:B1 *27580:B2 2.82951e-05 -65 *27580:B1 *27580:C1 9.60939e-05 -66 *27580:B1 *3702:39 1.64271e-05 -67 *2859:16 *2882:22 0.000173076 -68 *2859:16 *5606:44 0.000390289 -69 *2859:16 *5717:152 5.33005e-05 -70 *2859:16 *5717:164 8.2395e-05 -71 *2859:16 *5717:168 9.25014e-06 -72 *2859:22 *27767:B 0.000241052 -73 *2859:22 *3182:16 8.40933e-05 -74 *2859:22 *5700:250 0.00050829 -75 *2859:28 *28861:A 0.000135028 -76 *2859:28 *3560:18 0.000505128 -77 *2859:28 *4051:43 9.91086e-05 -78 *2859:28 *5606:33 0.000233358 -79 *2859:28 *5713:104 9.97669e-05 -80 *2859:34 *25095:B 0.00017754 -81 *2859:34 *26828:A0 5.33005e-05 -82 *2859:34 *26828:A1 0.000669211 -83 *2859:34 *27322:B2 4.27437e-05 -84 *2859:34 *3183:77 0.000117913 -85 *2859:34 *5483:150 0.000219289 -86 *2859:34 *5646:34 0.000883492 -87 *2859:34 *5657:38 0.000477985 -88 *2859:35 *26827:B 0.000245802 -89 *2859:35 *27037:A0 0.00059732 -90 *2859:35 *27037:A1 0.000394252 -91 *2859:35 *30786:A 4.08666e-05 -92 *2859:35 *3736:51 5.75147e-05 -93 *2859:35 *5461:7 3.16198e-05 -94 *2859:35 *5651:39 0.00133696 -95 *2859:35 *5711:193 9.41642e-05 -96 *2859:35 *5909:85 0.00375092 -97 *2859:38 *27543:A1 0.000598971 -98 *2859:52 *27509:A2 0.000722366 -99 *2859:52 *27544:C1 0.000258006 -100 *2859:52 *2866:168 2.95726e-05 -101 *2859:52 *2867:51 0.000164481 -102 *2859:52 *2892:81 0.000559873 -103 *2859:52 *5458:31 9.22103e-06 -104 *2859:73 *27485:A1 0.00023615 -105 *2859:73 *27486:B2 0.000218181 -106 *2859:73 *27495:A1 1.24132e-05 -107 *2859:73 *27495:B2 5.53688e-05 -108 *2859:73 *27500:C1 7.30957e-06 -109 *2859:73 *27861:B1 2.83129e-05 -110 *2859:73 *27862:B2 2.83202e-05 -111 *2859:73 *2865:115 4.18505e-05 -112 *2859:73 *2872:90 0.00010579 -113 *2859:73 *2889:254 0.000181294 -114 *2859:73 *2892:81 0.000426978 -115 *2859:73 *3081:8 0.000525644 -116 *2859:73 *3197:152 0.000131473 -117 *2859:73 *3197:164 0.000552831 -118 *2859:73 *3216:141 2.13293e-06 -119 *2859:73 *3404:20 0.000142111 -120 *2859:73 *4130:77 0.000124173 -121 *2859:73 *5634:71 0.000523465 -122 *2859:73 *5892:145 0 -123 *2859:95 *25307:B2 0.00069794 -124 *2859:95 *27537:C 0.00115758 -125 *2859:95 *2865:133 4.00349e-05 -126 *2859:95 *3666:68 1.28958e-05 -127 *2859:95 *3736:62 0.000395393 -128 *2859:95 *3802:38 0.000774722 -129 *2859:95 *3909:37 4.1879e-05 -130 *2859:95 *3958:34 0.000699028 -131 *2859:95 *5666:124 0.00144018 -132 *2859:117 *27568:A1 3.51393e-05 -133 *2859:117 *27568:B2 4.57089e-05 -134 *2859:117 *27568:C1 0.000423003 -135 *2859:117 *2860:132 0.00020489 -136 *2859:117 *2865:133 0.000364039 -137 *2859:117 *3909:37 0.000357138 -138 *2859:117 *4152:51 0.000680585 -139 *2859:117 *5614:100 0.000262504 -140 *2859:127 *27568:A1 1.81887e-05 -141 *2859:127 *27568:B2 1.40034e-05 -142 *2859:128 *27554:C1 0.000331579 -143 *2859:128 *2877:112 0.00239566 -144 *2859:128 *3687:40 0.000659545 -145 *2859:128 *3687:42 0.000472188 -146 *2859:128 *3753:26 0.000197383 -147 *2859:128 *3775:30 0.00489813 -148 *2859:128 *5694:31 0.000160692 -149 *2859:157 *27301:A 0.000329488 -150 *2859:157 *2861:10 0.000135353 -151 *2859:157 *2867:158 0.000124383 -152 *2859:157 *2874:146 0.000432378 -153 *2859:157 *3164:34 7.55769e-05 -154 *2859:157 *3209:21 1.98839e-05 -155 *2859:157 *3575:12 0.000911926 -156 *2859:157 *3575:23 9.98368e-05 -157 *2859:157 *5653:19 1.25084e-05 -158 *2859:157 *5717:149 3.17148e-05 -159 *2859:157 *5717:152 0.000137983 -160 *2859:161 *3666:119 3.40789e-05 -161 *2859:161 *5653:19 2.72996e-05 -162 *2859:161 *5720:252 0 -163 *2859:162 *27373:C1 0.000303363 -164 *2859:162 *28468:RESET_B 9.82436e-05 -165 *2859:162 *28803:CLK 0.000176615 -166 *2859:162 *2880:129 0.000144059 -167 *2859:162 *2880:137 0.00126974 -168 *2859:162 *2978:36 0.000125685 -169 *2859:162 *3575:46 4.68629e-05 -170 *2859:162 *3666:122 0 -171 *2859:162 *3822:32 0.000190023 -172 *2859:162 *3822:49 0.000920481 -173 *2859:162 *4030:48 0.0045589 -174 *2859:162 *5630:14 0.000148182 -175 *2859:162 *5656:68 1.05524e-05 -176 *2859:172 *27372:A1 2.13687e-05 -177 *2859:172 *2866:63 0.000384814 -178 *2859:172 *2936:11 5.648e-05 -179 *2859:172 *3153:143 0.000246171 -180 *2859:172 *3900:48 0.000451861 -181 *2859:187 *27365:B1 5.63093e-05 -182 *2859:187 *2864:164 0.000287524 -183 *2859:187 *2864:176 0.000106154 -184 *2859:187 *2886:188 0.000210437 -185 *2859:187 *3686:109 0.000216755 -186 *2859:187 *3739:122 0.000636482 -187 *2859:187 *3743:51 0.000360421 -188 *2859:187 *3963:109 6.74324e-05 -189 *2859:187 *4044:14 0.000334594 -190 *2859:187 *4115:30 0.000333008 -191 *2859:187 *4132:65 0.000147714 -192 *2859:187 *5582:19 2.33557e-05 -193 *2859:187 *5754:213 0.000209448 -194 *2859:199 *25333:A1 0.000802511 -195 *2859:199 *27372:A1 9.26744e-05 -196 *2859:199 *27375:B1 9.45051e-05 -197 *2859:199 *2864:264 1.5942e-05 -198 *2859:199 *2936:11 3.58809e-05 -199 *2859:199 *3259:25 0.00013415 -200 *2859:199 *3900:48 0.00056275 -201 *2859:199 *5651:275 0.000242872 -202 *2859:199 *5663:58 0.00135088 -203 *2859:201 *25322:A1 0.000120975 -204 *2859:201 *3976:31 0.000192527 -205 *2859:201 *5651:275 0.00048186 -206 *2859:214 *2866:270 0.00138374 -207 *2859:214 *2894:303 0.00293703 -208 *2859:214 *3259:25 0.00107283 -209 *2859:214 *3976:31 3.29754e-05 -210 *2859:214 *5651:275 4.32258e-05 -211 *2859:214 *5663:69 8.69554e-05 -212 *2859:214 *5708:164 5.59827e-05 -213 *2859:214 *5781:42 5.99199e-06 -214 *2859:223 *27360:B1 0.00065394 -215 *2859:223 *27361:B1 1.92905e-05 -216 *2859:223 *4069:74 4.36671e-05 -217 *2859:223 *4107:40 4.51736e-05 -218 *2859:242 *27343:D 0.000125355 -219 *2859:242 *27360:B1 4.35597e-05 -220 *2859:242 *27360:B2 5.49489e-05 -221 *2859:242 *2879:127 7.6764e-05 -222 *2859:242 *3299:8 0.000566134 -223 *2859:242 *3712:27 6.60633e-06 -224 *2859:242 *3912:35 0.00121703 -225 *2859:242 *3992:66 0.00010862 -226 *2859:242 *5609:189 0.000289803 -227 *2859:242 *5633:164 0.000608382 -228 *2859:242 *5661:232 0.000128441 -229 *2859:242 *5764:230 0.000165664 -230 *2859:260 *2860:242 0.000242374 -231 *2859:260 *2875:302 0.000385938 -232 *2859:260 *2894:303 0.000128555 -233 *2859:260 *3259:25 5.87752e-05 -234 *2859:260 *4095:67 4.5365e-05 -235 *2859:283 *27427:A2 0.000115251 -236 *2859:283 *27736:C1 0.000108748 -237 *2859:283 *27738:C1 4.15183e-05 -238 *2859:283 *3179:128 0.00108743 -239 *2859:283 *3292:11 0.000312671 -240 *2859:283 *3705:51 0.000150625 -241 *2859:283 *3705:61 0.000529221 -242 *2859:283 *3718:89 0.000142286 -243 *2859:283 *3926:44 0.000115624 -244 *2859:283 *4095:67 5.14448e-05 -245 *2859:283 *4108:123 0.000628511 -246 *2859:283 *5630:246 0.000209657 -247 *2859:283 *5647:82 0.00150626 -248 *2859:283 *5781:12 0.000110498 -249 *2859:283 *5781:42 0.000241253 -250 *2859:296 *2874:158 2.52234e-05 -251 *2859:296 *2885:58 0 -252 *2859:296 *3174:153 1.21649e-05 -253 *2859:296 *5653:19 0.000244793 -254 *2859:296 *5720:252 0 -255 *2859:299 *27811:B2 0.00157978 -256 *2859:299 *2885:58 0.000142152 -257 *2859:299 *3670:8 0.000218726 -258 *2859:299 *3670:12 2.04825e-05 -259 *2859:299 *3670:14 0.000219558 -260 *2859:299 *3670:47 0.00246794 -261 *2859:299 *5589:166 0.000151615 -262 *2859:313 *27454:C1 6.29573e-05 -263 *2859:313 *27809:C1 0.000339346 -264 *2859:313 *2860:363 0.000123295 -265 *2859:313 *3215:178 5.52302e-05 -266 *2859:313 *3826:60 0.000210683 -267 *2859:313 *3865:44 0.000212404 -268 *2859:313 *4150:33 0.00168946 -269 *2859:313 *5678:47 0.000198839 -270 *2859:316 *27450:B1 5.33005e-05 -271 *2859:316 *27450:B2 0.000137561 -272 *2859:316 *3695:26 5.33005e-05 -273 *2859:331 *27450:B2 9.66977e-05 -274 *2859:331 *27478:C1 6.23292e-06 -275 *2859:331 *28489:CLK 0.000150625 -276 *2859:331 *2864:132 2.16719e-05 -277 *2859:331 *3177:61 0.00021781 -278 *2859:331 *3206:219 0.00126818 -279 *2859:331 *3695:26 8.61842e-05 -280 *2859:331 *3787:48 0.00144182 -281 *2859:331 *5647:41 0.000412005 -282 *2859:331 *5652:109 0.000427156 -283 *2859:331 *5656:240 0.000123605 -284 *25017:B1 *2859:299 0.000175505 -285 *25088:B1 *2859:128 4.11218e-05 -286 *25834:A *2859:95 0.000131975 -287 *27037:S *2859:35 4.35597e-05 -288 *27301:C *2859:16 2.59355e-05 -289 *27428:A2 *2859:283 0.00025191 -290 *27521:A2 *27521:B1 4.1896e-06 -291 *27568:A2 *2859:127 0.000707525 -292 *29339:A *2859:35 9.83388e-05 -293 *30091:A *2859:128 0.000144553 -294 *74:11 *2859:296 0 -295 *1178:105 *2859:187 0.000309283 -296 *1185:54 *2859:299 0.000803385 -297 *1185:175 *2859:283 3.42107e-06 -298 *1237:27 *2859:22 0.000227309 -299 *1246:90 *2859:299 0.000247832 -300 *1256:40 *2859:22 0.00150459 -301 *1256:54 *2859:16 0.000111463 -302 *1261:41 *2859:214 6.18371e-05 -303 *1262:220 *2859:331 0.000148903 -304 *1267:65 *2859:242 3.97483e-05 -305 *1275:234 *2859:242 0.000299674 -306 *1276:102 *2859:242 0.0026325 -307 *1281:145 *2859:199 0.000124875 -308 *1287:210 *2859:223 0.000140025 -309 *1287:210 *2859:260 0.00117114 -310 *1287:210 *2859:283 0.000187621 -311 *1328:179 *2859:313 0.000257941 -312 *1358:19 *2859:187 0.000496838 -313 *1408:28 *2859:38 0.000623866 -314 *1408:28 *2859:52 0.000272153 -315 *1438:28 *2859:187 3.97677e-05 -316 *1470:27 *2859:35 0.00270977 -317 *1471:95 *2859:73 5.28498e-05 -318 *1501:30 *2859:199 2.90068e-05 -319 *1510:27 *2859:260 2.40503e-05 -320 *1547:26 *2859:28 0.000141654 -321 *1547:29 *2859:34 0.00303837 -322 *1574:21 *2859:242 0 -323 *1601:6 *2859:34 0.000116395 -324 *1651:9 *2859:199 0.00017309 -325 *1826:154 *2859:283 3.6173e-05 -326 *2754:20 *2859:199 0.00244798 -327 *2754:20 *2859:201 8.12929e-05 -328 *2754:20 *2859:214 0.000271864 -329 *2760:51 *2859:313 4.85033e-05 -330 *2768:89 *2859:242 0.00121537 -331 *2774:76 *27415:A2 8.72997e-05 -332 *2774:92 *27415:A2 0.000488398 -333 *2784:67 *2859:187 6.53397e-05 -334 *2845:161 *2859:16 0.000327051 -335 *2845:172 *2859:157 0.00167497 -336 *2845:362 *2859:331 2.83284e-06 -337 *2848:33 *2859:22 8.43535e-06 -338 *2848:146 *2859:73 0.000471461 -339 *2848:178 *2859:161 9.22109e-05 -340 *2848:191 *2859:162 1.69234e-05 -341 *2850:87 *2859:52 0.000466816 -342 *2850:88 *2859:117 0.000678931 -343 *2853:90 *2859:38 1.11775e-05 -344 *2853:90 *2859:52 1.20465e-05 -345 *2858:72 *2859:16 0.000328766 -*RES -1 *27294:X *2859:4 9.3 -2 *2859:4 *2859:16 28.9821 -3 *2859:16 *2859:22 35.0893 -4 *2859:22 *2859:28 27.3036 -5 *2859:28 *2859:34 40.75 -6 *2859:34 *2859:35 53.5179 -7 *2859:35 *2859:38 12.8214 -8 *2859:38 *27543:B1 13.8 -9 *2859:38 *2859:52 29.8929 -10 *2859:52 *2859:73 48.4642 -11 *2859:73 *27492:A2 16.5946 -12 *2859:52 *2859:95 34.1485 -13 *2859:95 *27521:B1 16.7107 -14 *2859:95 *2859:117 36.1964 -15 *2859:117 *27568:B1 9.3 -16 *2859:117 *2859:127 15.7321 -17 *2859:127 *2859:128 64.4821 -18 *2859:128 *27580:B1 15.9786 -19 *2859:4 *2859:157 44.3519 -20 *2859:157 *2859:161 4.14163 -21 *2859:161 *2859:162 60.0804 -22 *2859:162 *2859:172 20.4375 -23 *2859:172 *2859:187 40.9723 -24 *2859:187 *27298:A2 9.3 -25 *2859:172 *2859:199 45.6429 -26 *2859:199 *2859:201 6.34821 -27 *2859:201 *27341:A2 13.8 -28 *2859:201 *2859:214 13.96 -29 *2859:214 *2859:223 14.6377 -30 *2859:223 *2859:242 49.0073 -31 *2859:242 *27415:A2 29.5143 -32 *2859:223 *27360:A2 9.3 -33 *2859:214 *2859:260 5.80543 -34 *2859:260 *27386:A2 21.9779 -35 *2859:260 *2859:283 37.5823 -36 *2859:283 *27421:A2 32.05 -37 *2859:157 *2859:296 7.31257 -38 *2859:296 *2859:299 47.5804 -39 *2859:299 *2859:313 45.6786 -40 *2859:313 *2859:316 5.85714 -41 *2859:316 *27450:A2 9.3 -42 *2859:316 *2859:331 42.6071 -43 *2859:331 *27477:B1 9.3 -*END - -*D_NET *2860 0.180293 -*CONN -*I *27448:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27385:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27421:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27358:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27339:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27414:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27476:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27297:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27567:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *6624:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27486:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27538:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27507:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27575:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27295:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27448:B1 0 -2 *27385:B1 0.000301183 -3 *27421:B1 0.000529436 -4 *27358:A2 0.000162281 -5 *27339:A2 3.76454e-05 -6 *27414:B1 0 -7 *27476:A2 0.001267 -8 *27297:A2 0 -9 *27567:A2 4.64226e-05 -10 *6624:DIODE 0.000316712 -11 *27486:A2 0.000428026 -12 *27538:A2 0 -13 *27507:A2 0.000765005 -14 *27575:B1 0 -15 *27295:X 0.0015358 -16 *2860:366 0.0010662 -17 *2860:363 0.00182741 -18 *2860:351 0.00263053 -19 *2860:315 0.00212102 -20 *2860:287 0.00173888 -21 *2860:271 0.00300962 -22 *2860:261 0.00176712 -23 *2860:259 0.00319428 -24 *2860:245 0.00155277 -25 *2860:242 0.00327236 -26 *2860:209 0.00677131 -27 *2860:184 0.0062684 -28 *2860:164 0.00376732 -29 *2860:158 0.00265327 -30 *2860:154 0.00268051 -31 *2860:150 0.00217991 -32 *2860:132 0.00218392 -33 *2860:128 0.00314398 -34 *2860:106 0.00297397 -35 *2860:92 0.00176513 -36 *2860:71 0.00158657 -37 *2860:64 0.00259782 -38 *2860:56 0.00430849 -39 *2860:31 0.00312433 -40 *2860:26 0.00213842 -41 *6624:DIODE *27567:A1 1.58163e-05 -42 *6624:DIODE *27567:B1 5.74499e-06 -43 *6624:DIODE *27567:B2 1.58163e-05 -44 *6624:DIODE *27568:B2 1.13412e-05 -45 *27339:A2 *27339:A1 1.00733e-05 -46 *27358:A2 *3678:60 0.000113132 -47 *27358:A2 *3691:34 5.23496e-05 -48 *27358:A2 *3912:11 3.22325e-05 -49 *27385:B1 *27085:A1 1.32056e-05 -50 *27385:B1 *27385:A1 0.000175864 -51 *27385:B1 *3796:79 2.71921e-05 -52 *27421:B1 *27422:B2 5.4664e-05 -53 *27421:B1 *27775:A2 4.15592e-05 -54 *27421:B1 *3928:20 2.04825e-05 -55 *27421:B1 *4121:62 4.8817e-05 -56 *27421:B1 *5595:57 0 -57 *27421:B1 *5702:147 0.000366317 -58 *27476:A2 *27476:A1 5.76114e-05 -59 *27476:A2 *27476:B1 0.000323712 -60 *27476:A2 *2882:189 0.00230002 -61 *27476:A2 *4138:62 0.000135028 -62 *27476:A2 *5644:62 0.000834024 -63 *27486:A2 *27486:A1 5.70399e-06 -64 *27486:A2 *27486:B2 1.05034e-05 -65 *27486:A2 *27493:A 2.42516e-05 -66 *27486:A2 *27493:C 0.000257932 -67 *27486:A2 *27493:D 4.82947e-05 -68 *27486:A2 *3666:77 0.000235468 -69 *27486:A2 *3909:19 0.000233746 -70 *27507:A2 *27886:A2 1.24368e-05 -71 *27507:A2 *27887:C1 5.48376e-05 -72 *27507:A2 *3158:47 0.00110273 -73 *27507:A2 *3158:49 5.19775e-05 -74 *27507:A2 *3724:39 0.000309874 -75 *27507:A2 *3893:97 0.000102812 -76 *27507:A2 *3908:66 0.000730323 -77 *27507:A2 *3918:41 3.2061e-05 -78 *27507:A2 *3919:46 9.26904e-05 -79 *27507:A2 *3960:43 0.000431857 -80 *27507:A2 *5063:15 0.000321724 -81 *27507:A2 *5489:45 0.000372958 -82 *27567:A2 *27567:A1 1.21436e-05 -83 *27567:A2 *27567:B1 5.52302e-05 -84 *27567:A2 *27568:C1 5.96031e-05 -85 *2860:26 *27138:A0 0.000419741 -86 *2860:26 *28660:CLK 2.09897e-05 -87 *2860:26 *3158:13 3.35771e-05 -88 *2860:26 *3178:29 0.000203178 -89 *2860:26 *3184:43 0.000357453 -90 *2860:26 *3572:34 9.25014e-06 -91 *2860:26 *3572:36 0.000148911 -92 *2860:26 *3873:67 1.0562e-05 -93 *2860:26 *4018:7 6.4225e-05 -94 *2860:26 *5694:119 8.23597e-06 -95 *2860:26 *6318:32 0.000155201 -96 *2860:31 *5608:19 0.00127378 -97 *2860:31 *5608:28 6.22596e-05 -98 *2860:31 *6067:17 0.000138606 -99 *2860:56 *25954:B 0.000178425 -100 *2860:56 *25956:A1 0.00011261 -101 *2860:56 *29031:A 0.00148915 -102 *2860:56 *3790:32 0.000214685 -103 *2860:56 *3790:53 0.000175892 -104 *2860:56 *3844:40 0.000267503 -105 *2860:56 *3986:40 0.000109416 -106 *2860:56 *5041:8 2.07158e-05 -107 *2860:56 *5608:15 0.000178847 -108 *2860:56 *5625:52 0.000361501 -109 *2860:56 *5706:242 0.00109457 -110 *2860:64 *25160:A 0.000311668 -111 *2860:64 *25272:B2 0.000175936 -112 *2860:64 *25339:A1 0 -113 *2860:64 *27927:A1 0 -114 *2860:64 *3145:32 2.06996e-05 -115 *2860:64 *3568:17 0.000368872 -116 *2860:64 *3571:53 6.77303e-05 -117 *2860:64 *3661:31 0.000145187 -118 *2860:64 *3792:75 7.42481e-05 -119 *2860:64 *3845:31 0.000307967 -120 *2860:64 *3845:47 0.00256132 -121 *2860:64 *3880:50 0 -122 *2860:64 *3884:88 3.13644e-05 -123 *2860:64 *4088:79 0.000680894 -124 *2860:64 *4140:47 0.000293295 -125 *2860:64 *5706:242 5.24988e-05 -126 *2860:71 *3158:47 0.000904701 -127 *2860:71 *3845:47 5.96006e-05 -128 *2860:71 *3893:97 0.000266653 -129 *2860:92 *27538:B1 5.80706e-06 -130 *2860:92 *3801:56 0.000846302 -131 *2860:92 *3801:63 0.00021559 -132 *2860:92 *4101:32 6.40128e-05 -133 *2860:92 *4131:36 0.000256988 -134 *2860:92 *5510:98 4.37641e-05 -135 *2860:106 *27531:B1 0.000204817 -136 *2860:106 *27538:B2 0.000275878 -137 *2860:106 *2888:81 0.00039823 -138 *2860:106 *3081:8 0.00146096 -139 *2860:106 *3192:60 8.84874e-05 -140 *2860:106 *3882:70 0.000161868 -141 *2860:106 *3908:67 6.59074e-05 -142 *2860:106 *3908:85 2.84026e-05 -143 *2860:128 *27486:B2 0.000372933 -144 *2860:128 *27487:B1 0.000142725 -145 *2860:128 *29370:A 0.000212728 -146 *2860:128 *2888:81 0.000330711 -147 *2860:128 *3081:8 0.000257929 -148 *2860:128 *3724:34 0.00018557 -149 *2860:128 *3957:43 0.000140597 -150 *2860:128 *3960:86 0.000229332 -151 *2860:128 *3970:8 0.000681496 -152 *2860:128 *3971:23 0.00010891 -153 *2860:128 *5661:70 0.00102695 -154 *2860:128 *5661:80 0.000172196 -155 *2860:132 *27568:B2 2.87555e-06 -156 *2860:132 *27568:C1 2.42516e-05 -157 *2860:132 *2889:272 0.000116645 -158 *2860:132 *2891:60 0.000967476 -159 *2860:132 *2892:94 0 -160 *2860:132 *3666:68 1.13072e-05 -161 *2860:132 *3814:63 0.000282721 -162 *2860:132 *3857:49 1.0562e-05 -163 *2860:132 *3960:86 0.000179822 -164 *2860:132 *4100:22 0.00273829 -165 *2860:132 *4100:26 0.000104877 -166 *2860:150 *3178:43 1.94945e-05 -167 *2860:154 *28650:D 0.000142538 -168 *2860:154 *2886:26 0 -169 *2860:154 *3160:26 4.51594e-05 -170 *2860:154 *3160:33 8.50257e-05 -171 *2860:154 *3174:35 0.000101566 -172 *2860:154 *3782:16 7.18192e-05 -173 *2860:154 *4051:43 0.00027537 -174 *2860:154 *5634:26 9.10431e-06 -175 *2860:154 *5635:50 9.22222e-06 -176 *2860:154 *5655:113 0.00188991 -177 *2860:154 *5713:104 0.000194624 -178 *2860:154 *5713:109 0.000461869 -179 *2860:154 *5750:130 0.00036114 -180 *2860:158 *27617:A1 8.10003e-05 -181 *2860:158 *27629:A2 6.09762e-05 -182 *2860:158 *27636:A 0.000582635 -183 *2860:158 *27636:C 0.000110151 -184 *2860:158 *2881:14 0.000205072 -185 *2860:158 *5634:95 0.000661963 -186 *2860:158 *5635:50 0.000336219 -187 *2860:158 *5653:28 5.68451e-05 -188 *2860:158 *5655:113 7.88237e-05 -189 *2860:158 *5661:188 0.000121136 -190 *2860:164 *2881:14 5.21519e-05 -191 *2860:164 *3574:86 0.000523308 -192 *2860:164 *3830:95 0.00135411 -193 *2860:164 *4051:54 0.000603193 -194 *2860:164 *5597:20 2.05413e-05 -195 *2860:164 *5661:188 0.00249434 -196 *2860:164 *5661:196 0.000844796 -197 *2860:184 *27365:B2 2.06178e-05 -198 *2860:184 *3184:118 0.00114647 -199 *2860:184 *3820:87 1.90936e-05 -200 *2860:184 *3874:26 0.000216755 -201 *2860:184 *3964:11 0.000245182 -202 *2860:184 *3964:16 0.000507258 -203 *2860:184 *5604:57 0.00189116 -204 *2860:184 *5604:63 0.00100232 -205 *2860:184 *5700:353 4.88232e-05 -206 *2860:184 *5707:91 4.58762e-05 -207 *2860:209 *2866:248 4.11218e-05 -208 *2860:209 *2867:193 0.000216293 -209 *2860:209 *3293:14 0.000180433 -210 *2860:209 *3558:213 2.01997e-05 -211 *2860:209 *3627:33 0.000141163 -212 *2860:209 *3913:50 0.000173552 -213 *2860:209 *3913:52 0.000293438 -214 *2860:209 *5632:53 0.00102698 -215 *2860:209 *5649:120 0.00043895 -216 *2860:209 *5667:60 0.000262028 -217 *2860:242 *2867:199 0 -218 *2860:242 *2867:222 0 -219 *2860:242 *2875:302 9.26138e-05 -220 *2860:242 *3835:38 2.29073e-05 -221 *2860:242 *3835:45 4.45982e-06 -222 *2860:242 *3874:16 0.000132455 -223 *2860:242 *3913:43 0.000379615 -224 *2860:242 *3913:50 0.000346407 -225 *2860:242 *4030:36 0.000329334 -226 *2860:242 *4030:47 6.29737e-05 -227 *2860:242 *4069:74 0.000142327 -228 *2860:242 *4095:67 6.14836e-06 -229 *2860:242 *5596:47 8.03331e-05 -230 *2860:242 *5630:161 0.000433294 -231 *2860:242 *5630:246 0.000312306 -232 *2860:242 *5632:53 0.000353006 -233 *2860:242 *5781:42 0.000581627 -234 *2860:245 *27385:A1 0 -235 *2860:245 *27385:B2 0 -236 *2860:259 *27085:A1 0.000425254 -237 *2860:259 *27371:C1 0.000464087 -238 *2860:259 *27388:A2 6.25786e-05 -239 *2860:259 *2880:58 0.000110686 -240 *2860:259 *2886:335 5.06496e-05 -241 *2860:259 *3796:79 8.5729e-05 -242 *2860:259 *3848:40 8.47076e-05 -243 *2860:259 *3900:40 8.3e-05 -244 *2860:259 *5905:110 4.70253e-05 -245 *2860:259 *6225:135 0.000169708 -246 *2860:261 *27388:A2 0.000384219 -247 *2860:261 *2877:349 5.86935e-05 -248 *2860:261 *5661:267 8.69554e-05 -249 *2860:261 *5905:110 0.000287091 -250 *2860:271 *25238:B1 0.000717212 -251 *2860:271 *2877:349 0.000194005 -252 *2860:271 *2883:231 1.40034e-05 -253 *2860:271 *2885:175 7.80759e-05 -254 *2860:271 *2888:232 5.00194e-05 -255 *2860:271 *3691:34 2.96436e-05 -256 *2860:271 *3899:38 0.000108511 -257 *2860:271 *5905:110 5.82101e-05 -258 *2860:287 *25308:B2 2.59355e-05 -259 *2860:287 *27339:A1 0.000204655 -260 *2860:287 *27339:B2 0.000125355 -261 *2860:287 *27415:C1 8.25843e-06 -262 *2860:287 *28699:CLK 6.13757e-06 -263 *2860:287 *2883:231 8.25843e-06 -264 *2860:287 *3306:6 0.000371718 -265 *2860:287 *3781:30 0.000373432 -266 *2860:287 *3797:101 9.73652e-05 -267 *2860:287 *4044:14 0.000261042 -268 *2860:287 *4115:30 0.000259327 -269 *2860:287 *5605:151 1.65169e-05 -270 *2860:287 *5605:170 2.51343e-06 -271 *2860:287 *5765:58 6.05161e-06 -272 *2860:315 *3730:8 0.000525166 -273 *2860:315 *3915:37 0.000422997 -274 *2860:315 *5702:135 0.00258094 -275 *2860:351 *27713:A2 0.000136951 -276 *2860:351 *2874:169 9.91086e-05 -277 *2860:351 *3939:51 0.000100823 -278 *2860:351 *3964:9 0.000205972 -279 *2860:351 *3964:11 9.41642e-05 -280 *2860:351 *5604:63 0.00045306 -281 *2860:351 *5652:95 0.000998293 -282 *2860:363 *27453:B1 9.25014e-06 -283 *2860:363 *27454:A1 0.000195555 -284 *2860:363 *27454:B1 9.96242e-05 -285 *2860:363 *27454:C1 0.000123295 -286 *2860:363 *27814:B2 0.000177821 -287 *2860:363 *2866:48 0.000801065 -288 *2860:363 *2892:140 0.000440575 -289 *2860:363 *2894:361 0.000136951 -290 *2860:363 *5649:91 6.28248e-05 -291 *2860:363 *5656:68 0.000175892 -292 *2860:363 *5658:12 0.000770054 -293 *2860:363 *5936:15 6.05161e-06 -294 *2860:366 *27448:B2 0.00165841 -295 *2860:366 *27454:A1 2.35985e-05 -296 *2860:366 *27810:A1 0.000340519 -297 *2860:366 *2861:29 0.00204126 -298 *2860:366 *2895:256 0.000208252 -299 *2860:366 *3170:167 6.38409e-05 -300 *2860:366 *3215:178 0.000527751 -301 *2860:366 *3387:14 4.40513e-06 -302 *25183:B1 *2860:164 2.26327e-05 -303 *25197:A *2860:242 0.000418465 -304 *25197:C *2860:242 0.000125162 -305 *25222:B1 *2860:164 7.69776e-06 -306 *25224:A *2860:164 4.28249e-05 -307 *25224:C *2860:164 0.000180079 -308 *25225:D *2860:164 3.99939e-05 -309 *25266:C *2860:154 0.000630002 -310 *25267:A2 *27507:A2 4.25523e-05 -311 *25274:B1 *2860:31 5.33005e-05 -312 *25324:B1 *2860:164 0.000111348 -313 *25375:C1 *2860:154 5.57227e-05 -314 *25891:S *2860:154 0.000148376 -315 *25956:S *2860:56 0.000177821 -316 *27136:S *2860:154 5.20232e-05 -317 *27138:S *2860:26 0.000204643 -318 *27336:A2 *2860:259 0.000111156 -319 *27421:A2 *2860:315 0.00129479 -320 *29765:A *2860:31 0.00024223 -321 *30071:A *2860:56 4.99764e-05 -322 *1218:188 *2860:363 3.63775e-05 -323 *1225:33 *2860:209 0 -324 *1243:69 *2860:56 4.11218e-05 -325 *1245:68 *2860:26 0.000110117 -326 *1246:111 *27421:B1 4.15592e-05 -327 *1250:20 *2860:164 2.85219e-05 -328 *1250:43 *2860:158 6.71947e-05 -329 *1250:46 *2860:158 0.00128033 -330 *1250:74 *2860:150 0 -331 *1250:74 *2860:154 0 -332 *1250:163 *2860:56 0.000184202 -333 *1250:232 *2860:184 0.000328379 -334 *1252:232 *2860:26 0.0001167 -335 *1260:47 *2860:209 7.07155e-06 -336 *1261:168 *27358:A2 9.99778e-05 -337 *1269:117 *2860:271 1.90269e-05 -338 *1271:70 *2860:56 8.73679e-05 -339 *1271:88 *2860:154 2.07441e-05 -340 *1273:96 *2860:158 7.83659e-05 -341 *1275:94 *2860:242 0 -342 *1277:188 *2860:209 0 -343 *1282:37 *2860:56 5.75919e-05 -344 *1282:68 *2860:56 0.000108053 -345 *1292:223 *2860:363 5.08866e-05 -346 *1292:223 *2860:366 0.000768394 -347 *1293:220 *2860:184 0.00197137 -348 *1293:220 *2860:351 8.46325e-05 -349 *1294:128 *2860:56 8.73679e-05 -350 *1294:128 *2860:150 3.17148e-05 -351 *1294:147 *2860:150 0.000402596 -352 *1323:5 *2860:31 0.00089118 -353 *1328:175 *2860:366 0.000360747 -354 *1328:179 *2860:363 0.000413975 -355 *1363:98 *2860:158 2.74947e-05 -356 *1401:49 *2860:64 0.000174296 -357 *1408:28 *2860:64 6.95627e-05 -358 *1423:86 *2860:132 0.000344209 -359 *1452:27 *2860:64 0.000845198 -360 *1470:20 *27486:A2 0.000260574 -361 *1471:121 *2860:56 0.000129681 -362 *1485:23 *2860:150 0.000160905 -363 *1485:23 *2860:154 0.00027085 -364 *1490:26 *2860:209 0.000165807 -365 *1510:27 *2860:242 1.84474e-05 -366 *1524:37 *2860:259 0.000831559 -367 *1524:38 *2860:315 0.000524444 -368 *1540:14 *2860:164 2.04825e-05 -369 *1545:28 *2860:132 6.91215e-06 -370 *1587:16 *2860:64 0 -371 *1593:12 *2860:150 9.41871e-05 -372 *1617:17 *2860:92 0.000113079 -373 *1826:178 *27421:B1 7.69776e-06 -374 *1901:9 *2860:56 0.000458427 -375 *1901:21 *2860:56 0.00066129 -376 *1901:35 *2860:56 0.000187325 -377 *2768:90 *2860:271 0.000110341 -378 *2780:6 *2860:209 0.000156845 -379 *2781:162 *2860:259 6.05161e-06 -380 *2791:102 *2860:209 0.000499374 -381 *2821:8 *2860:26 0.000153677 -382 *2845:211 *2860:209 0.00112344 -383 *2845:286 *2860:287 3.03145e-05 -384 *2848:206 *2860:209 0 -385 *2848:242 *2860:259 0.000217694 -386 *2848:265 *2860:259 0.00170905 -387 *2850:72 *2860:106 0.00109007 -388 *2850:88 *2860:128 1.74932e-05 -389 *2852:77 *2860:242 0.000661596 -390 *2852:108 *2860:242 0.00403823 -391 *2853:224 *2860:209 0 -392 *2859:117 *2860:132 0.00020489 -393 *2859:260 *2860:242 0.000242374 -394 *2859:313 *2860:363 0.000123295 -*RES -1 *27295:X *2860:26 47.146 -2 *2860:26 *2860:31 28.0179 -3 *2860:31 *2860:56 48.4021 -4 *2860:56 *2860:64 19.6738 -5 *2860:64 *27575:B1 9.3 -6 *2860:64 *2860:71 9.48214 -7 *2860:71 *27507:A2 43.2821 -8 *2860:71 *2860:92 23.0893 -9 *2860:92 *27538:A2 9.3 -10 *2860:92 *2860:106 36.9286 -11 *2860:106 *27486:A2 31.5455 -12 *2860:106 *2860:128 41.3929 -13 *2860:128 *2860:132 48.9464 -14 *2860:132 *6624:DIODE 12.7107 -15 *2860:132 *27567:A2 10.8714 -16 *2860:31 *2860:150 10.9375 -17 *2860:150 *2860:154 41.7946 -18 *2860:154 *2860:158 29.3482 -19 *2860:158 *2860:164 49.5089 -20 *2860:164 *27297:A2 13.8 -21 *2860:164 *2860:184 43.177 -22 *2860:184 *2860:209 47.9886 -23 *2860:209 *27476:A2 41.0902 -24 *2860:209 *2860:242 45.6674 -25 *2860:242 *2860:245 4.92857 -26 *2860:245 *2860:259 24.4893 -27 *2860:259 *2860:261 1.05593 -28 *2860:261 *2860:271 20.571 -29 *2860:271 *2860:287 43.0536 -30 *2860:287 *27414:B1 9.3 -31 *2860:271 *27339:A2 10.0321 -32 *2860:261 *27358:A2 20.2725 -33 *2860:259 *2860:315 47.0261 -34 *2860:315 *27421:B1 26.8889 -35 *2860:245 *27385:B1 13.6929 -36 *2860:184 *2860:351 40.1429 -37 *2860:351 *2860:363 44.1429 -38 *2860:363 *2860:366 45.3036 -39 *2860:366 *27448:B1 9.3 -*END - -*D_NET *2861 0.0633225 -*CONN -*I *27450:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27425:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27464:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *30820:A I *D sky130_fd_sc_hd__buf_12 -*I *27296:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27450:B1 0.000406447 -2 *27425:A2 0.000808265 -3 *27464:A2 1.38783e-05 -4 *30820:A 0 -5 *27296:X 9.42246e-05 -6 *2861:51 0.00265979 -7 *2861:42 0.00451553 -8 *2861:41 0.00265013 -9 *2861:39 0.00165036 -10 *2861:29 0.00233555 -11 *2861:23 0.00214849 -12 *2861:10 0.00115109 -13 *27425:A2 *27425:A1 2.62395e-05 -14 *27425:A2 *27425:B1 0.000878168 -15 *27425:A2 *27791:A 2.13481e-06 -16 *27450:B1 *27450:B2 1.98839e-05 -17 *27450:B1 *28488:CLK 6.26276e-05 -18 *27450:B1 *5756:60 0.000136676 -19 *27464:A2 *27464:A1 3.28686e-05 -20 *27464:A2 *5836:45 9.75337e-06 -21 *2861:10 *3575:12 0.000138585 -22 *2861:23 *2883:129 0.00155605 -23 *2861:23 *2895:23 0.000136951 -24 *2861:23 *3164:34 0.00155797 -25 *2861:23 *3952:27 0.000535136 -26 *2861:23 *5459:39 0.000533421 -27 *2861:23 *5640:13 0.000508706 -28 *2861:23 *5661:9 0.000136958 -29 *2861:23 *5947:27 0.000507117 -30 *2861:29 *27446:A2 0.000100735 -31 *2861:29 *27448:B2 9.22222e-06 -32 *2861:29 *27810:B1 5.84987e-05 -33 *2861:29 *2895:256 0.00022534 -34 *2861:29 *3170:167 5.49544e-05 -35 *2861:29 *3387:14 7.64091e-05 -36 *2861:29 *3838:22 0.00040584 -37 *2861:29 *5644:14 0.00226244 -38 *2861:29 *5644:158 0.000193564 -39 *2861:39 *28488:CLK 5.33005e-05 -40 *2861:39 *2883:168 0.000395155 -41 *2861:39 *3387:14 0.000369673 -42 *2861:39 *5531:18 0.00224076 -43 *2861:39 *5649:66 0.000135846 -44 *2861:39 *5679:19 0.000385699 -45 *2861:39 *5756:60 0.00118306 -46 *2861:42 *27458:A2 0.00115698 -47 *2861:42 *27458:B2 2.06178e-05 -48 *2861:42 *27458:C1 0.000150618 -49 *2861:42 *27478:A2 0.000566638 -50 *2861:42 *28488:CLK 5.58941e-05 -51 *2861:42 *2872:224 0.000121492 -52 *2861:42 *2883:163 0.000101545 -53 *2861:42 *2883:187 0.000644819 -54 *2861:42 *3165:27 0.000190517 -55 *2861:42 *3721:14 2.61748e-05 -56 *2861:42 *3774:33 0.000107807 -57 *2861:42 *3774:36 0.00327831 -58 *2861:42 *3878:43 0.000362752 -59 *2861:42 *4086:64 0.00013668 -60 *2861:51 *27435:B1 9.11727e-05 -61 *2861:51 *2866:311 1.60865e-05 -62 *2861:51 *2895:281 0.000198002 -63 *2861:51 *4086:28 7.63677e-05 -64 *2861:51 *4149:72 0.000356462 -65 *2861:51 *5676:87 0.000106316 -66 *2861:51 *5862:26 0.00179093 -67 *27479:A2 *2861:42 0.000747869 -68 *1237:39 *2861:10 5.52238e-05 -69 *1274:161 *2861:51 0.000569529 -70 *1294:170 *2861:10 5.33005e-05 -71 *1326:57 *2861:23 0.000217239 -72 *1328:175 *2861:39 5.15774e-05 -73 *1328:179 *2861:39 0.00163513 -74 *1350:13 *2861:42 1.90936e-05 -75 *1372:10 *2861:51 2.94501e-05 -76 *1372:12 *2861:51 0.00289027 -77 *1385:34 *2861:42 0.00658892 -78 *1385:34 *2861:51 0.00150717 -79 *1391:11 *2861:42 0.00107939 -80 *1391:11 *2861:51 0.00225517 -81 *2764:152 *2861:42 3.1573e-05 -82 *2844:179 *2861:29 3.69047e-06 -83 *2844:201 *2861:42 8.05948e-05 -84 *2849:91 *2861:23 0.000137983 -85 *2849:97 *2861:23 0.000219711 -86 *2859:157 *2861:10 0.000135353 -87 *2859:316 *27450:B1 5.33005e-05 -88 *2860:366 *2861:29 0.00204126 -*RES -1 *27296:X *2861:10 20.7821 -2 *2861:10 *30820:A 9.3 -3 *2861:10 *2861:23 48.7857 -4 *2861:23 *2861:29 47.5179 -5 *2861:29 *2861:39 47.6071 -6 *2861:39 *2861:41 4.5 -7 *2861:41 *2861:42 102.429 -8 *2861:42 *27464:A2 14.1304 -9 *2861:42 *2861:51 67.5179 -10 *2861:51 *27425:A2 24.1036 -11 *2861:39 *27450:B1 15.175 -*END - -*D_NET *2862 0.000982007 -*CONN -*I *27298:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *27297:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27298:B1 0.000149395 -2 *27297:X 0.000149395 -3 *27298:B1 *27297:B2 0.000223463 -4 *27298:B1 *2866:70 0.000221815 -5 *27298:B1 *3686:89 4.50149e-05 -6 *27298:B1 *3797:68 0.000163061 -7 *1540:14 *27298:B1 2.98626e-05 -*RES -1 *27297:X *27298:B1 32.0286 -*END - -*D_NET *2863 0.000940301 -*CONN -*I *27305:C I *D sky130_fd_sc_hd__or4_1 -*I *27298:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *27305:C 0.000246481 -2 *27298:X 0.000246481 -3 *27305:C *3829:8 0.00015234 -4 *27305:C *4132:65 3.88665e-05 -5 *1265:36 *27305:C 3.34366e-05 -6 *1265:40 *27305:C 3.65544e-05 -7 *1438:19 *27305:C 0.000175892 -8 *2851:36 *27305:C 1.02504e-05 -*RES -1 *27298:X *27305:C 32.5464 -*END - -*D_NET *2864 0.212887 -*CONN -*I *27431:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27393:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27408:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27342:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27365:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27304:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27551:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27586:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27473:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27447:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27508:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27542:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27491:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27299:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27431:B1 0.00157053 -2 *27393:B1 0.000101757 -3 *27408:B1 0 -4 *27342:A2 0.000121712 -5 *27365:A2 0 -6 *27304:A2 4.12836e-05 -7 *27551:B1 0.0012918 -8 *27586:B1 0.000236635 -9 *27473:A2 3.64491e-05 -10 *27447:A2 0 -11 *27508:A2 0.00127265 -12 *27542:A2 0.000690234 -13 *27491:A2 0.000103661 -14 *27299:X 0.000481299 -15 *2864:328 0.0027709 -16 *2864:321 0.00421335 -17 *2864:301 0.00165062 -18 *2864:295 0.00167694 -19 *2864:293 0.00167912 -20 *2864:292 0.00335545 -21 *2864:266 0.00203699 -22 *2864:264 0.00148529 -23 *2864:257 0.00281195 -24 *2864:230 0.00387914 -25 *2864:203 0.00372119 -26 *2864:196 0.00395456 -27 *2864:182 0.00472164 -28 *2864:176 0.00292693 -29 *2864:164 0.00228263 -30 *2864:156 0.00628009 -31 *2864:132 0.00491226 -32 *2864:125 0.0021932 -33 *2864:119 0.00141552 -34 *2864:107 0.00189505 -35 *2864:103 0.003032 -36 *2864:96 0.00293435 -37 *2864:58 0.00160304 -38 *2864:45 0.00441104 -39 *2864:40 0.00391407 -40 *2864:28 0.00556047 -41 *2864:12 0.00589196 -42 *27304:A2 *27304:A1 1.02064e-05 -43 *27304:A2 *27304:B2 0 -44 *27342:A2 *27343:D 3.58774e-05 -45 *27342:A2 *2866:270 0.000114596 -46 *27342:A2 *5836:45 5.38242e-05 -47 *27393:B1 *27393:B2 3.55928e-05 -48 *27431:B1 *27431:A1 1.00733e-05 -49 *27431:B1 *27431:B2 5.46597e-05 -50 *27431:B1 *2891:311 0.000161441 -51 *27431:B1 *3164:319 0 -52 *27431:B1 *3165:99 0.000162047 -53 *27431:B1 *3320:18 7.69776e-06 -54 *27431:B1 *3692:45 5.7534e-05 -55 *27431:B1 *3837:27 9.60875e-05 -56 *27431:B1 *3969:14 2.06178e-05 -57 *27431:B1 *4069:33 1.54746e-05 -58 *27431:B1 *5654:131 9.23367e-05 -59 *27431:B1 *5654:157 0.00227462 -60 *27473:A2 *27473:A1 0.000114596 -61 *27473:A2 *5643:303 0.000114596 -62 *27491:A2 *3713:63 0.000225609 -63 *27508:A2 *27508:C1 0.000287384 -64 *27508:A2 *27526:C1 0.000606479 -65 *27508:A2 *3880:23 5.81579e-05 -66 *27508:A2 *3880:50 0.000438359 -67 *27508:A2 *4139:33 0.00198939 -68 *27508:A2 *5510:98 0.000608201 -69 *27542:A2 *27542:A1 0.000253091 -70 *27542:A2 *3060:9 0.000506918 -71 *27542:A2 *3713:63 9.41642e-05 -72 *27542:A2 *3856:43 9.26707e-06 -73 *27542:A2 *4101:28 0.00051125 -74 *27551:B1 *4091:64 1.20767e-05 -75 *27551:B1 *5669:81 5.33005e-05 -76 *27586:B1 *27586:B2 8.86559e-05 -77 *27586:B1 *3741:38 7.68942e-05 -78 *27586:B1 *3958:16 2.21972e-05 -79 *27586:B1 *4066:45 1.90936e-05 -80 *27586:B1 *5909:44 7.68942e-05 -81 *2864:12 *3575:76 0 -82 *2864:12 *5442:63 5.41609e-05 -83 *2864:12 *5530:44 3.40014e-05 -84 *2864:12 *5662:15 0.000140933 -85 *2864:12 *5981:38 0 -86 *2864:28 *25099:A1 9.42367e-05 -87 *2864:28 *27102:A0 8.01249e-05 -88 *2864:28 *27882:B2 7.35949e-05 -89 *2864:28 *2886:11 0.000912174 -90 *2864:28 *2886:26 0.000444962 -91 *2864:28 *3156:41 0 -92 *2864:28 *3173:35 7.56304e-05 -93 *2864:28 *3194:59 0 -94 *2864:28 *3216:46 0.00119769 -95 *2864:28 *3872:39 6.90381e-06 -96 *2864:28 *4062:12 0.00020546 -97 *2864:28 *5442:51 0.000298794 -98 *2864:28 *5486:20 0.000409594 -99 *2864:28 *5530:44 0 -100 *2864:28 *5535:25 0 -101 *2864:28 *5635:15 3.2209e-06 -102 *2864:28 *5687:129 0 -103 *2864:28 *5700:137 4.56253e-05 -104 *2864:28 *5750:63 0.000189615 -105 *2864:28 *5981:45 0.00153692 -106 *2864:28 *6306:74 0.000251754 -107 *2864:40 *27963:C1 0.000176966 -108 *2864:40 *3183:86 0.00047971 -109 *2864:40 *3196:52 0.0014378 -110 *2864:40 *3196:58 6.57603e-05 -111 *2864:40 *3216:53 1.10868e-05 -112 *2864:40 *4088:65 6.7848e-05 -113 *2864:40 *5691:139 9.41642e-05 -114 *2864:45 *3196:59 0.00230593 -115 *2864:45 *3789:32 0.00157081 -116 *2864:45 *5680:348 0.000290544 -117 *2864:58 *27497:B1 0.000165637 -118 *2864:58 *27510:C1 0.000570164 -119 *2864:58 *3065:10 0.000296167 -120 *2864:58 *3806:39 0.000305901 -121 *2864:58 *4101:28 0.000452617 -122 *2864:58 *4101:32 0.000686123 -123 *2864:58 *5680:348 0.000434391 -124 *2864:96 *27597:B 0.000743401 -125 *2864:96 *2877:359 1.51489e-05 -126 *2864:96 *2894:181 0.000183021 -127 *2864:96 *2895:23 0.000697726 -128 *2864:96 *3148:18 0.000352152 -129 *2864:96 *3559:14 1.50904e-05 -130 *2864:96 *5981:38 0 -131 *2864:103 *2877:359 0.0030533 -132 *2864:103 *5129:38 0.000128154 -133 *2864:103 *5644:11 0.000386121 -134 *2864:107 *27803:B2 0.000501831 -135 *2864:107 *2883:129 0.000100697 -136 *2864:107 *3826:52 0.000501831 -137 *2864:119 *27441:B2 0.000221359 -138 *2864:119 *27803:B2 0.00026434 -139 *2864:119 *3177:35 0.000196954 -140 *2864:119 *3826:52 0.000651792 -141 *2864:125 *27809:A2 1.32056e-05 -142 *2864:125 *27809:B1 0.000175892 -143 *2864:125 *3799:36 0.00026305 -144 *2864:125 *3865:38 0.00296736 -145 *2864:125 *5639:90 0.00017754 -146 *2864:125 *5647:39 0.00269463 -147 *2864:132 *27458:A2 4.32957e-05 -148 *2864:132 *3017:10 0.00158878 -149 *2864:132 *3177:61 0.000439385 -150 *2864:132 *3206:207 0.00150725 -151 *2864:132 *3558:223 0.000424029 -152 *2864:132 *3721:68 5.96065e-05 -153 *2864:132 *3787:48 0.000392026 -154 *2864:132 *3800:29 6.96705e-05 -155 *2864:132 *3865:38 1.77672e-05 -156 *2864:132 *3955:6 5.66854e-05 -157 *2864:132 *3955:16 4.00349e-05 -158 *2864:156 *26896:A0 0.000151393 -159 *2864:156 *28485:RESET_B 9.25014e-06 -160 *2864:156 *2871:206 5.47406e-05 -161 *2864:156 *2880:118 0.000440627 -162 *2864:156 *2880:178 1.90269e-05 -163 *2864:156 *3177:61 1.90936e-05 -164 *2864:156 *3340:19 2.09897e-05 -165 *2864:156 *3787:48 1.04707e-05 -166 *2864:156 *3943:30 1.94945e-05 -167 *2864:156 *5643:303 4.22431e-05 -168 *2864:156 *5660:123 0.00166367 -169 *2864:156 *5667:60 4.65519e-05 -170 *2864:156 *5814:21 0.000158586 -171 *2864:164 *27366:C1 0.00012783 -172 *2864:164 *27372:A1 0.001066 -173 *2864:164 *2880:178 1.42701e-05 -174 *2864:164 *2920:8 0.000157192 -175 *2864:164 *2958:47 2.79421e-05 -176 *2864:164 *3743:51 0.000107157 -177 *2864:176 *27365:A1 1.63015e-05 -178 *2864:176 *3206:157 1.90303e-05 -179 *2864:176 *5707:41 2.52304e-05 -180 *2864:176 *5707:80 0.000461937 -181 *2864:176 *5748:28 0.00123225 -182 *2864:176 *5754:213 0.000424029 -183 *2864:182 *27292:B2 0.00108709 -184 *2864:182 *27304:A1 5.46402e-05 -185 *2864:182 *2869:5 0.000257895 -186 *2864:182 *3305:13 0.000339346 -187 *2864:182 *4063:48 0.000218679 -188 *2864:182 *5707:41 0.000135028 -189 *2864:196 *27304:A1 3.06878e-06 -190 *2864:196 *27304:B2 6.11843e-05 -191 *2864:196 *2865:144 0.000292156 -192 *2864:196 *2865:152 0.00205717 -193 *2864:196 *2866:119 0.000261472 -194 *2864:196 *3699:38 9.58181e-05 -195 *2864:196 *5646:157 0.000259549 -196 *2864:196 *5878:35 0.00100752 -197 *2864:203 *3101:17 0.000514733 -198 *2864:203 *3450:29 0.00068362 -199 *2864:203 *3936:51 8.69554e-05 -200 *2864:203 *4131:36 0.000687164 -201 *2864:230 *25128:A 4.44192e-05 -202 *2864:230 *27545:A2 0.000514733 -203 *2864:230 *27579:A1 0.000251371 -204 *2864:230 *27583:B2 0.000132096 -205 *2864:230 *3101:17 0.00204577 -206 *2864:230 *3687:40 0 -207 *2864:230 *4066:46 0.00146745 -208 *2864:230 *5669:81 3.17148e-05 -209 *2864:230 *5808:36 0.000133527 -210 *2864:257 *2866:248 8.84819e-05 -211 *2864:257 *2880:129 7.1588e-05 -212 *2864:257 *3259:25 0.00247413 -213 *2864:257 *3665:38 0.000176806 -214 *2864:257 *3874:18 0.000272545 -215 *2864:264 *25333:A1 0.000345403 -216 *2864:264 *2872:243 0.000128154 -217 *2864:264 *3236:13 0.000302115 -218 *2864:264 *3259:25 0.000119924 -219 *2864:264 *3976:55 0.00101691 -220 *2864:264 *4145:30 0.00200865 -221 *2864:264 *5651:275 0.00180841 -222 *2864:266 *27396:C1 0.000236178 -223 *2864:266 *3976:55 0.000430998 -224 *2864:266 *3976:73 0.000250915 -225 *2864:292 *27360:B1 5.58679e-05 -226 *2864:292 *27427:A2 0.000111867 -227 *2864:292 *27732:B1 2.71935e-05 -228 *2864:292 *27736:B2 0.000144684 -229 *2864:292 *2885:156 0.000217526 -230 *2864:292 *3259:25 0.00016901 -231 *2864:292 *3796:108 0.000165782 -232 *2864:292 *3976:73 8.34917e-05 -233 *2864:292 *5632:115 0.000219966 -234 *2864:292 *5649:137 1.01804e-05 -235 *2864:293 *27727:B1 0.00202688 -236 *2864:293 *27737:B2 0.000517474 -237 *2864:293 *3538:16 0.00427653 -238 *2864:293 *4095:57 0.000260567 -239 *2864:293 *4107:26 0.000192269 -240 *2864:293 *5595:32 0.000138229 -241 *2864:293 *5642:141 0.000536777 -242 *2864:293 *5873:289 8.9828e-05 -243 *2864:301 *25205:A1 1.6364e-05 -244 *2864:301 *27393:C1 5.31101e-05 -245 *2864:301 *3165:99 0.000161433 -246 *2864:301 *4030:28 8.55156e-05 -247 *2864:321 *27393:B2 9.41642e-05 -248 *2864:321 *27703:A2 9.60875e-05 -249 *2864:321 *27708:B 0.000761729 -250 *2864:321 *2879:156 0.000509098 -251 *2864:321 *2888:269 0.000216755 -252 *2864:321 *3581:145 5.69386e-05 -253 *2864:321 *3900:26 4.18827e-05 -254 *2864:321 *3900:28 0.00014499 -255 *2864:321 *3963:42 4.00349e-05 -256 *2864:321 *4120:20 4.15526e-05 -257 *2864:321 *5582:156 0.000133706 -258 *2864:321 *5631:88 0.000155959 -259 *2864:321 *5647:125 0.000185037 -260 *2864:321 *5702:29 0.000185641 -261 *2864:321 *5810:7 9.41642e-05 -262 *2864:321 *5903:35 0.000188452 -263 *2864:328 *27023:A0 0.000814501 -264 *2864:328 *27023:A1 0.000136958 -265 *2864:328 *27198:A1 2.47753e-05 -266 *2864:328 *27408:A1 0.000481176 -267 *2864:328 *27408:C1 2.37742e-05 -268 *2864:328 *28753:D 3.14206e-05 -269 *2864:328 *28753:RESET_B 0.000422247 -270 *2864:328 *29195:A 7.64454e-05 -271 *2864:328 *3998:17 6.57032e-05 -272 *2864:328 *4042:5 1.58163e-05 -273 *2864:328 *5768:55 0.00105386 -274 *2864:328 *5768:67 0.000135028 -275 *6623:DIODE *27551:B1 3.06878e-06 -276 *25047:A2 *2864:266 4.38243e-05 -277 *25047:A2 *2864:292 0 -278 *25194:A2 *27431:B1 0.000469485 -279 *25198:A2 *2864:230 8.2891e-05 -280 *25207:A *2864:264 0.000125724 -281 *25367:A2 *2864:230 0.000219747 -282 *25890:S *2864:28 3.34295e-05 -283 *27182:S *2864:28 4.58764e-05 -284 *27393:A2 *27393:B1 2.04252e-06 -285 *27408:A2 *2864:328 0.000506998 -286 *27551:A2 *27551:B1 5.91306e-05 -287 *27577:A2 *27586:B1 0.000108213 -288 *27618:A *2864:96 0.00086151 -289 *29867:A *2864:176 2.59355e-05 -290 *1178:105 *2864:156 7.46526e-05 -291 *1178:105 *2864:164 3.19942e-05 -292 *1185:52 *2864:12 1.05524e-05 -293 *1185:52 *2864:28 0 -294 *1218:109 *2864:28 0.00245548 -295 *1250:234 *2864:156 0.000872284 -296 *1258:75 *2864:292 0.00191936 -297 *1287:109 *2864:230 2.01997e-05 -298 *1308:19 *2864:125 0.000477186 -299 *1308:19 *2864:132 0.000238296 -300 *1322:12 *2864:103 0.000126439 -301 *1328:50 *2864:40 4.11173e-05 -302 *1358:19 *2864:156 0.000202476 -303 *1410:47 *2864:230 0.000279155 -304 *1420:22 *2864:40 0 -305 *1423:54 *2864:203 4.4316e-05 -306 *1449:9 *2864:230 6.45571e-05 -307 *1473:33 *2864:230 7.83659e-05 -308 *1483:25 *2864:230 1.3409e-05 -309 *1501:22 *2864:264 0.000101307 -310 *1501:22 *2864:266 0.000131247 -311 *1501:22 *2864:292 4.60451e-05 -312 *1514:13 *27431:B1 9.28687e-05 -313 *1526:8 *2864:264 0.000510981 -314 *1526:8 *2864:266 6.71103e-05 -315 *1527:6 *2864:257 0.000309022 -316 *1645:16 *2864:182 0.000635941 -317 *1659:31 *2864:40 4.28249e-05 -318 *1665:28 *2864:230 2.01997e-05 -319 *1683:10 *2864:230 0.000758019 -320 *2760:157 *2864:293 0.000115624 -321 *2765:18 *27431:B1 0.0020561 -322 *2765:18 *2864:301 0.000158552 -323 *2779:132 *2864:176 0.000709653 -324 *2779:132 *2864:182 0.000353391 -325 *2786:89 *2864:156 4.78297e-05 -326 *2787:112 *2864:96 0.000561422 -327 *2794:183 *2864:156 7.86041e-05 -328 *2825:27 *2864:12 0.000284961 -329 *2825:27 *2864:96 0.000112667 -330 *2825:78 *2864:96 0.00132924 -331 *2834:27 *2864:12 9.54536e-05 -332 *2844:18 *2864:28 0.000120909 -333 *2844:201 *2864:156 0.000439649 -334 *2845:286 *2864:292 0.000270481 -335 *2847:22 *2864:96 0 -336 *2847:33 *2864:96 0 -337 *2848:191 *2864:156 4.32993e-06 -338 *2849:79 *2864:96 7.30423e-05 -339 *2849:91 *2864:96 0.000368825 -340 *2850:37 *2864:28 0.000214325 -341 *2850:179 *2864:164 0.000155062 -342 *2851:30 *2864:182 0.00109639 -343 *2851:36 *2864:182 0.000837733 -344 *2852:108 *2864:292 0.00138662 -345 *2853:224 *2864:257 0.000269849 -346 *2856:48 *2864:40 0.00341388 -347 *2856:56 *2864:45 0.00213404 -348 *2856:176 *2864:182 0.000955965 -349 *2856:344 *27431:B1 0.000516118 -350 *2856:355 *27393:B1 6.1604e-05 -351 *2856:355 *2864:321 0.000464277 -352 *2859:187 *2864:164 0.000287524 -353 *2859:187 *2864:176 0.000106154 -354 *2859:199 *2864:264 1.5942e-05 -355 *2859:331 *2864:132 2.16719e-05 -*RES -1 *27299:X *2864:12 23.8982 -2 *2864:12 *2864:28 36.9846 -3 *2864:28 *2864:40 49.3409 -4 *2864:40 *2864:45 44.9286 -5 *2864:45 *2864:58 33.2679 -6 *2864:58 *27491:A2 11.4786 -7 *2864:58 *27542:A2 31.8759 -8 *2864:45 *27508:A2 49.6571 -9 *2864:12 *2864:96 45.3304 -10 *2864:96 *2864:103 40.75 -11 *2864:103 *2864:107 25.0893 -12 *2864:107 *27447:A2 13.8 -13 *2864:107 *2864:119 19.5 -14 *2864:119 *2864:125 49.4464 -15 *2864:125 *2864:132 42.3214 -16 *2864:132 *27473:A2 14.9518 -17 *2864:132 *2864:156 46.2332 -18 *2864:156 *2864:164 18.1786 -19 *2864:164 *2864:176 27.4286 -20 *2864:176 *2864:182 45.3393 -21 *2864:182 *2864:196 49.8929 -22 *2864:196 *2864:203 17.1678 -23 *2864:203 *27586:B1 23.4103 -24 *2864:203 *2864:230 45.5411 -25 *2864:230 *27551:B1 22.9964 -26 *2864:182 *27304:A2 10.1795 -27 *2864:164 *27365:A2 9.3 -28 *2864:156 *2864:257 15.6522 -29 *2864:257 *2864:264 45.6429 -30 *2864:264 *2864:266 8.77679 -31 *2864:266 *27342:A2 16.1839 -32 *2864:266 *2864:292 27.6854 -33 *2864:292 *2864:293 56.5893 -34 *2864:293 *2864:295 3.41 -35 *2864:295 *2864:301 9.3607 -36 *2864:301 *2864:321 48.6903 -37 *2864:321 *2864:328 44.6071 -38 *2864:328 *27408:B1 9.3 -39 *2864:301 *27393:B1 11.1036 -40 *2864:295 *27431:B1 29.7035 -*END - -*D_NET *2865 0.0880878 -*CONN -*I *27576:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27492:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27560:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27304:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27536:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27507:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *30819:A I *D sky130_fd_sc_hd__buf_12 -*I *27300:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27576:A2 3.74654e-05 -2 *27492:B1 1.53883e-05 -3 *27560:B1 0.00211305 -4 *27304:B1 0 -5 *27536:A2 2.23367e-05 -6 *27507:B1 0.000466181 -7 *30819:A 0 -8 *27300:X 0.000400964 -9 *2865:152 0.0021415 -10 *2865:144 0.00293564 -11 *2865:133 0.00532228 -12 *2865:126 0.00354502 -13 *2865:115 0.00273779 -14 *2865:98 0.00243942 -15 *2865:77 0.0011456 -16 *2865:67 0.00238516 -17 *2865:62 0.00381865 -18 *2865:57 0.00396515 -19 *2865:44 0.00431651 -20 *2865:31 0.00478303 -21 *2865:9 0.00266335 -22 *27492:B1 *27492:A1 3.49332e-05 -23 *27492:B1 *3906:29 5.33005e-05 -24 *27507:B1 *27507:B2 0.000199764 -25 *27507:B1 *3212:51 8.93985e-05 -26 *27507:B1 *3882:34 0.000118291 -27 *27507:B1 *3893:52 6.25422e-05 -28 *27507:B1 *3919:23 3.51442e-05 -29 *27507:B1 *3960:43 0 -30 *27507:B1 *4131:35 0.000291511 -31 *27507:B1 *5493:18 0.000139014 -32 *27536:A2 *27536:A1 7.41177e-05 -33 *27536:A2 *27536:C1 7.41177e-05 -34 *27560:B1 *24889:A0 6.57032e-05 -35 *27560:B1 *25198:B2 0.000389364 -36 *27560:B1 *27560:A1 0 -37 *27560:B1 *27560:B2 5.33005e-05 -38 *27560:B1 *3156:162 4.89354e-06 -39 *27560:B1 *3216:148 5.31844e-05 -40 *27560:B1 *3452:6 2.17671e-05 -41 *27560:B1 *3713:39 0 -42 *27560:B1 *3883:31 0 -43 *27560:B1 *3947:61 0.000131428 -44 *27560:B1 *3947:68 0.000137276 -45 *27560:B1 *3984:73 0.000425474 -46 *27560:B1 *5656:189 2.01997e-05 -47 *27560:B1 *5661:133 0.0004614 -48 *27560:B1 *5866:17 0.000349827 -49 *27560:B1 *5909:61 0.000105717 -50 *27576:A2 *27576:A1 7.13226e-06 -51 *27576:A2 *27576:C1 7.69776e-06 -52 *27576:A2 *2892:26 1.46576e-05 -53 *27576:A2 *3571:53 4.46843e-05 -54 *27576:A2 *5698:36 8.51637e-06 -55 *2865:9 *3212:21 1.98839e-05 -56 *2865:9 *5530:44 0.000590838 -57 *2865:9 *5643:9 2.59355e-05 -58 *2865:31 *27295:A 5.52302e-05 -59 *2865:31 *28700:D 0.000480116 -60 *2865:31 *28723:RESET_B 9.00951e-05 -61 *2865:31 *3212:21 0.00151374 -62 *2865:31 *3794:33 0.000432883 -63 *2865:31 *3992:11 9.80173e-05 -64 *2865:31 *3997:19 6.05161e-06 -65 *2865:31 *5448:21 0.000183713 -66 *2865:31 *5592:61 0.000304364 -67 *2865:31 *5643:9 0.000126691 -68 *2865:31 *5750:47 0.000427179 -69 *2865:31 *6067:17 0.000175892 -70 *2865:44 *28344:D 7.7807e-05 -71 *2865:44 *28723:D 4.38056e-05 -72 *2865:44 *3794:33 8.69435e-05 -73 *2865:44 *3987:21 0.000258568 -74 *2865:44 *5713:130 0.000256989 -75 *2865:57 *25957:A1 9.58181e-05 -76 *2865:57 *27902:C1 0.00018077 -77 *2865:57 *28397:D 0.000457138 -78 *2865:57 *3843:17 5.05027e-05 -79 *2865:57 *4129:61 0.0010568 -80 *2865:57 *5442:27 0.000272164 -81 *2865:57 *5620:30 0.000114854 -82 *2865:57 *5666:216 0.000301016 -83 *2865:62 *27576:C1 3.99243e-05 -84 *2865:62 *3571:53 9.60227e-05 -85 *2865:62 *4129:38 0.00247847 -86 *2865:62 *4129:42 7.43854e-05 -87 *2865:62 *4129:61 2.01e-05 -88 *2865:67 *27510:B1 0.000157471 -89 *2865:67 *27515:B 0.000311793 -90 *2865:67 *27576:B2 7.45939e-06 -91 *2865:67 *27887:B1 6.46678e-05 -92 *2865:67 *27887:B2 0.000653258 -93 *2865:67 *2892:26 9.58126e-05 -94 *2865:67 *2892:40 0.000288424 -95 *2865:77 *2871:46 6.8646e-06 -96 *2865:77 *2892:40 0.000472283 -97 *2865:77 *2892:65 5.41794e-05 -98 *2865:77 *3882:34 0.00010115 -99 *2865:77 *3882:55 0.000138024 -100 *2865:77 *3960:43 0 -101 *2865:77 *5493:18 2.59795e-05 -102 *2865:98 *27492:A1 8.16866e-06 -103 *2865:98 *27492:B2 1.28809e-05 -104 *2865:98 *27492:C1 9.60939e-05 -105 *2865:98 *27532:B1 5.58941e-05 -106 *2865:98 *27536:A1 4.08637e-05 -107 *2865:98 *27536:C1 0.000446392 -108 *2865:98 *27537:D 0.00015289 -109 *2865:98 *2871:46 0.00040794 -110 *2865:98 *2871:62 0.000592115 -111 *2865:98 *2874:68 0.000580622 -112 *2865:98 *2892:65 0.000212668 -113 *2865:98 *3165:257 1.21289e-05 -114 *2865:98 *3882:70 9.71197e-05 -115 *2865:98 *3892:57 0.000134717 -116 *2865:98 *3895:88 9.41642e-05 -117 *2865:98 *3906:29 0.000549576 -118 *2865:115 *27486:A1 9.67203e-05 -119 *2865:115 *27486:B2 0.000118986 -120 *2865:115 *27486:C1 9.6732e-05 -121 *2865:115 *27499:B1 2.97041e-05 -122 *2865:115 *27516:A2 1.94879e-05 -123 *2865:115 *27862:C1 5.15925e-05 -124 *2865:115 *2871:79 4.32591e-05 -125 *2865:115 *2872:90 0.00011091 -126 *2865:115 *2889:254 0.000681584 -127 *2865:115 *3158:66 0.000165614 -128 *2865:115 *3192:67 0.000263524 -129 *2865:115 *3197:164 0.000195774 -130 *2865:115 *3918:45 0 -131 *2865:115 *4130:77 0.000469233 -132 *2865:115 *5892:151 0.00065147 -133 *2865:115 *5909:76 1.27625e-05 -134 *2865:126 *27516:A2 2.95495e-05 -135 *2865:126 *27522:B1 3.32631e-05 -136 *2865:126 *27522:B2 1.38323e-05 -137 *2865:126 *2882:114 0.000767356 -138 *2865:126 *3197:138 0.000278208 -139 *2865:126 *3713:45 0.00122704 -140 *2865:126 *3958:29 5.74499e-06 -141 *2865:126 *3958:33 1.32853e-05 -142 *2865:126 *5493:40 4.61476e-05 -143 *2865:126 *5661:117 3.75078e-05 -144 *2865:126 *5666:138 0.000137561 -145 *2865:126 *5740:55 6.16665e-05 -146 *2865:126 *6036:41 2.45503e-05 -147 *2865:133 *25198:B2 0.000459772 -148 *2865:133 *27525:C 9.25014e-06 -149 *2865:133 *27567:A1 2.11419e-05 -150 *2865:133 *27871:A1 0.000241594 -151 *2865:133 *27922:A1 0.00011367 -152 *2865:133 *3089:13 0.000118652 -153 *2865:133 *3156:162 3.52743e-06 -154 *2865:133 *3452:32 4.45573e-05 -155 *2865:133 *3724:20 0.000178207 -156 *2865:133 *3749:105 0.000183754 -157 *2865:133 *3793:52 6.53009e-06 -158 *2865:133 *3857:36 0.000101129 -159 *2865:133 *3909:37 0.000846907 -160 *2865:133 *3974:47 1.17968e-05 -161 *2865:133 *3984:33 0.00167924 -162 *2865:133 *5253:44 4.87206e-05 -163 *2865:133 *5909:61 0.000496952 -164 *2865:144 *27563:B1 5.74499e-06 -165 *2865:144 *27563:B2 0.000669211 -166 *2865:144 *27564:C1 0.000283297 -167 *2865:144 *27959:A2 0.000426129 -168 *2865:144 *2889:281 0.000364132 -169 *2865:144 *4100:22 1.20379e-05 -170 *2865:144 *4113:104 8.49829e-05 -171 *2865:152 *27304:A1 3.45371e-05 -172 *2865:152 *27304:B2 4.31477e-05 -173 *2865:152 *2866:119 5.2064e-05 -174 *2865:152 *3699:38 4.82947e-05 -175 *2865:152 *3724:70 0.00249105 -176 *2865:152 *5646:157 0.000125355 -177 *25275:A *2865:44 3.92096e-05 -178 *25275:B *2865:44 9.30603e-06 -179 *25275:C *2865:44 3.19247e-05 -180 *25275:D *2865:44 6.54938e-06 -181 *25834:A *2865:115 9.87983e-06 -182 *27246:B *2865:31 0.000111655 -183 *27295:B *2865:31 0.000130001 -184 *27295:C *2865:31 0.000308838 -185 *27510:A2 *2865:67 0.000482227 -186 *29252:A *2865:57 5.49489e-05 -187 *29371:A *2865:115 0.000283913 -188 *30353:A *2865:44 0.000558008 -189 *30532:A *2865:115 8.44516e-05 -190 *1218:109 *2865:31 9.33304e-05 -191 *1358:25 *2865:144 0.000250768 -192 *1417:10 *2865:44 2.81596e-05 -193 *1417:15 *2865:44 8.84472e-05 -194 *1455:28 *2865:57 0.000219289 -195 *1471:95 *2865:115 0 -196 *1581:11 *2865:57 0.000759383 -197 *1587:36 *2865:57 7.48301e-06 -198 *1588:18 *2865:44 5.56856e-05 -199 *1591:11 *2865:57 0.000284568 -200 *1594:23 *2865:44 0.000421074 -201 *1748:20 *2865:133 0.000239498 -202 *2778:66 *2865:44 7.50658e-05 -203 *2821:8 *2865:31 3.97493e-05 -204 *2825:27 *2865:9 0 -205 *2855:103 *2865:133 0.000637513 -206 *2859:73 *2865:115 4.18505e-05 -207 *2859:95 *2865:133 4.00349e-05 -208 *2859:117 *2865:133 0.000364039 -209 *2864:196 *2865:144 0.000292156 -210 *2864:196 *2865:152 0.00205717 -*RES -1 *27300:X *2865:9 26.55 -2 *2865:9 *30819:A 9.3 -3 *2865:9 *2865:31 49.9286 -4 *2865:31 *2865:44 47 -5 *2865:44 *2865:57 44.317 -6 *2865:57 *2865:62 33.0759 -7 *2865:62 *2865:67 38.2857 -8 *2865:67 *27507:B1 26.0679 -9 *2865:67 *2865:77 12.2143 -10 *2865:77 *27536:A2 10.0411 -11 *2865:77 *2865:98 35.2857 -12 *2865:98 *2865:115 49.6429 -13 *2865:115 *2865:126 42.25 -14 *2865:126 *2865:133 40.9217 -15 *2865:133 *2865:144 21.7991 -16 *2865:144 *2865:152 41.25 -17 *2865:152 *27304:B1 9.3 -18 *2865:133 *27560:B1 32.5221 -19 *2865:98 *27492:B1 9.83571 -20 *2865:62 *27576:A2 14.6795 -*END - -*D_NET *2866 0.193549 -*CONN -*I *27435:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27381:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27400:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27480:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27342:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27371:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27574:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27563:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27529:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27489:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27517:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *6574:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27303:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27453:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27301:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27435:A2 0 -2 *27381:A2 0.000316716 -3 *27400:A2 0.000360382 -4 *27480:A2 8.65673e-05 -5 *27342:B1 0 -6 *27371:B1 0.000459751 -7 *27574:A2 0.000432021 -8 *27563:A2 4.5402e-05 -9 *27529:A2 4.62974e-05 -10 *27489:A2 0.000763116 -11 *27517:A2 1.04544e-05 -12 *6574:DIODE 0 -13 *27303:A2 0.000123632 -14 *27453:A2 3.34417e-05 -15 *27301:X 0.00175055 -16 *2866:323 0.00324236 -17 *2866:321 0.00447214 -18 *2866:317 0.00208543 -19 *2866:311 0.0032665 -20 *2866:307 0.00413418 -21 *2866:296 0.00263229 -22 *2866:294 0.00243799 -23 *2866:282 0.00159073 -24 *2866:270 0.00246241 -25 *2866:248 0.00457952 -26 *2866:241 0.00471982 -27 *2866:217 0.00139288 -28 *2866:168 0.00156259 -29 *2866:167 0.00461543 -30 *2866:149 0.0059293 -31 *2866:133 0.00250365 -32 *2866:124 0.00442488 -33 *2866:119 0.00425844 -34 *2866:88 0.00018587 -35 *2866:85 0.00266748 -36 *2866:70 0.00458881 -37 *2866:63 0.00391742 -38 *2866:59 0.00203288 -39 *2866:48 0.00410577 -40 *2866:39 0.000620644 -41 *2866:36 0.0014568 -42 *2866:34 0.00241222 -43 *2866:17 0.00289273 -44 *27303:A2 *27303:A1 0 -45 *27303:A2 *27303:B1 0.000135028 -46 *27303:A2 *3962:61 6.7491e-05 -47 *27303:A2 *4141:49 9.41642e-05 -48 *27371:B1 *27371:A1 2.21972e-05 -49 *27371:B1 *2924:14 0 -50 *27371:B1 *4068:31 2.06112e-05 -51 *27371:B1 *5630:43 5.52634e-05 -52 *27371:B1 *5630:144 0.000227806 -53 *27381:A2 *27381:A1 3.92352e-05 -54 *27381:A2 *27381:B1 1.21258e-05 -55 *27381:A2 *27381:B2 1.28809e-05 -56 *27381:A2 *27381:C1 0.000277882 -57 *27381:A2 *27731:A1 4.35597e-05 -58 *27381:A2 *3692:58 9.58181e-05 -59 *27381:A2 *3705:51 1.01241e-05 -60 *27381:A2 *4107:26 1.39137e-05 -61 *27381:A2 *5809:22 0.000258188 -62 *27400:A2 *25145:A2 0.000136388 -63 *27400:A2 *25147:A1 6.7e-06 -64 *27400:A2 *25147:A2 0.00011625 -65 *27400:A2 *25147:B2 0.000118736 -66 *27400:A2 *27400:B1 0.000151181 -67 *27400:A2 *27410:C1 4.82865e-05 -68 *27453:A2 *4150:33 9.60939e-05 -69 *27453:A2 *5649:91 4.58194e-05 -70 *27480:A2 *27480:A1 3.33174e-05 -71 *27480:A2 *2872:187 5.81381e-05 -72 *27489:A2 *27485:B2 0.000135028 -73 *27489:A2 *27489:A1 0.000243386 -74 *27489:A2 *27859:A1 0 -75 *27489:A2 *27859:B1 2.21972e-05 -76 *27489:A2 *27860:A2 0.000135242 -77 *27489:A2 *27860:C1 4.70981e-05 -78 *27489:A2 *3196:111 0.000808724 -79 *27489:A2 *3454:18 0.000148903 -80 *27489:A2 *4152:12 0.0001107 -81 *27489:A2 *5458:24 0.000347187 -82 *27517:A2 *27517:B1 3.28686e-05 -83 *27517:A2 *4153:48 3.28686e-05 -84 *27529:A2 *27529:A1 5.04841e-06 -85 *27529:A2 *27530:C1 5.77724e-05 -86 *27529:A2 *3085:11 2.59355e-05 -87 *27563:A2 *27563:B1 6.86693e-05 -88 *27563:A2 *27563:B2 0.000136951 -89 *27574:A2 *27574:C1 0.000201806 -90 *27574:A2 *3765:30 0.000104489 -91 *27574:A2 *3910:73 0.000436246 -92 *27574:A2 *5700:28 0.000283688 -93 *2866:17 *27622:B 2.89114e-05 -94 *2866:17 *2871:160 0 -95 *2866:17 *5606:44 9.55111e-05 -96 *2866:17 *5700:250 0.00184758 -97 *2866:17 *5718:261 0.000121573 -98 *2866:34 *27442:C1 2.21972e-05 -99 *2866:34 *27443:B2 0.000377348 -100 *2866:34 *27449:B 8.00806e-05 -101 *2866:34 *27449:C 0.000689217 -102 *2866:34 *27449:D 0.000139265 -103 *2866:34 *3185:22 0.000119786 -104 *2866:34 *3347:12 0.000494948 -105 *2866:34 *3850:24 0.000424526 -106 *2866:34 *5535:46 0.000559309 -107 *2866:34 *5633:40 0.000447389 -108 *2866:34 *5644:11 0.000351638 -109 *2866:34 *5658:10 0.000170646 -110 *2866:36 *2895:256 0.000172528 -111 *2866:36 *3206:199 0.00150447 -112 *2866:36 *3215:179 0.000352672 -113 *2866:36 *3387:6 4.31097e-05 -114 *2866:36 *3850:24 0.000213136 -115 *2866:36 *5535:46 0.0035446 -116 *2866:36 *5644:20 9.82592e-05 -117 *2866:36 *5644:37 0.000169482 -118 *2866:36 *5650:14 0.00021772 -119 *2866:36 *5755:51 0.000121573 -120 *2866:39 *4150:33 0.000371755 -121 *2866:39 *5649:91 4.87953e-05 -122 *2866:48 *3558:213 4.1879e-05 -123 *2866:48 *5604:72 7.45934e-05 -124 *2866:59 *2998:16 0.000123295 -125 *2866:59 *3174:209 0.00138034 -126 *2866:59 *3558:213 0.00138034 -127 *2866:59 *5602:80 0.000808082 -128 *2866:59 *5635:101 0.000121573 -129 *2866:70 *27297:B2 0.000276749 -130 *2866:70 *3184:118 0.000175892 -131 *2866:70 *3829:8 7.40536e-05 -132 *2866:70 *3907:51 2.47753e-05 -133 *2866:70 *3907:63 2.13481e-06 -134 *2866:70 *5604:57 7.49387e-06 -135 *2866:70 *5652:11 0.00178941 -136 *2866:85 *3739:101 0.00197686 -137 *2866:85 *3907:63 0.00083118 -138 *2866:85 *5626:29 0.000921557 -139 *2866:88 *3739:101 3.10819e-05 -140 *2866:88 *4088:56 2.80052e-05 -141 *2866:88 *5600:47 9.54301e-05 -142 *2866:119 *27304:A1 4.43081e-05 -143 *2866:119 *2867:149 0.000405817 -144 *2866:119 *2880:180 0.000113018 -145 *2866:119 *3220:28 0.000169323 -146 *2866:119 *3739:101 9.8958e-05 -147 *2866:119 *4088:56 0.000109848 -148 *2866:119 *5600:47 6.60268e-05 -149 *2866:119 *5848:27 0.000164949 -150 *2866:124 *5713:25 0.00114994 -151 *2866:133 *27585:A1 0.000118364 -152 *2866:133 *4101:24 0.000110639 -153 *2866:133 *5713:25 0.000135258 -154 *2866:149 *27585:A1 8.51213e-05 -155 *2866:149 *27925:B2 0.000115084 -156 *2866:149 *27959:A2 0.000134453 -157 *2866:149 *2895:122 9.5919e-05 -158 *2866:149 *3101:17 0.00184737 -159 *2866:149 *3101:29 1.90936e-05 -160 *2866:149 *3184:169 0.00114305 -161 *2866:149 *3450:32 0.000243114 -162 *2866:149 *3776:90 7.49739e-05 -163 *2866:149 *3802:58 7.27952e-05 -164 *2866:149 *3806:62 0.000279007 -165 *2866:149 *3897:48 0.00139251 -166 *2866:149 *3948:41 0 -167 *2866:149 *3958:16 0.000232046 -168 *2866:149 *4101:24 8.48485e-05 -169 *2866:149 *4101:28 0.000125685 -170 *2866:149 *4131:36 0.000484921 -171 *2866:167 *27509:A2 0.000429121 -172 *2866:167 *27520:A1 0.000121787 -173 *2866:167 *27529:A1 2.19907e-05 -174 *2866:167 *27529:B1 2.59355e-05 -175 *2866:167 *27529:B2 6.05161e-06 -176 *2866:167 *2883:58 0.000108872 -177 *2866:167 *2883:89 1.90936e-05 -178 *2866:167 *2886:89 0 -179 *2866:167 *3085:11 5.74499e-06 -180 *2866:167 *3101:17 7.53529e-06 -181 *2866:167 *3666:68 0 -182 *2866:167 *3736:62 0 -183 *2866:167 *3971:42 4.65519e-05 -184 *2866:167 *4101:28 0.000605504 -185 *2866:167 *4131:36 0.000137276 -186 *2866:168 *27517:B1 0.00058053 -187 *2866:168 *2892:81 0.00174306 -188 *2866:168 *4153:48 0.00059489 -189 *2866:168 *5458:31 0.00128512 -190 *2866:168 *5909:82 8.86413e-05 -191 *2866:217 *27577:A1 9.90007e-05 -192 *2866:217 *27577:B2 5.74499e-06 -193 *2866:217 *27578:B1 5.33005e-05 -194 *2866:217 *27591:B 0.000102545 -195 *2866:217 *3156:175 8.85532e-05 -196 *2866:217 *3168:153 0.000934932 -197 *2866:217 *3715:16 2.17819e-05 -198 *2866:217 *3715:32 5.69386e-05 -199 *2866:217 *3741:38 0.0003732 -200 *2866:241 *25025:B2 0.000181403 -201 *2866:241 *27474:A 6.07135e-05 -202 *2866:241 *2892:140 0.000159462 -203 *2866:241 *2892:161 0.000400014 -204 *2866:241 *3029:20 4.38243e-05 -205 *2866:241 *3174:209 1.90936e-05 -206 *2866:241 *3176:230 0 -207 *2866:241 *3185:164 0.00105605 -208 *2866:241 *3387:6 0.000294137 -209 *2866:241 *3850:24 0.000318272 -210 *2866:241 *3916:20 4.38243e-05 -211 *2866:241 *4085:62 1.14338e-05 -212 *2866:241 *5531:18 0.000554469 -213 *2866:241 *5589:166 0 -214 *2866:241 *5604:72 0.000148792 -215 *2866:241 *5604:109 0.000108716 -216 *2866:241 *5633:60 0.000141146 -217 *2866:248 *3761:18 3.69047e-06 -218 *2866:248 *3913:50 4.28365e-05 -219 *2866:248 *5589:156 0.00222125 -220 *2866:248 *5630:144 0.00031534 -221 *2866:248 *5639:117 0.00321084 -222 *2866:270 *27342:A1 2.59355e-05 -223 *2866:270 *27343:D 1.44983e-05 -224 *2866:270 *2894:237 0 -225 *2866:270 *2924:14 0.000205374 -226 *2866:270 *3259:25 0.00275234 -227 *2866:270 *5708:164 0.000187218 -228 *2866:282 *27839:B2 0.00022266 -229 *2866:282 *3761:18 0.000725347 -230 *2866:282 *5639:117 0.000553425 -231 *2866:294 *2872:187 0.00020785 -232 *2866:294 *3165:33 0.000238139 -233 *2866:294 *3165:55 0.000154703 -234 *2866:294 *3956:37 0.00119681 -235 *2866:296 *27436:B1 2.04913e-05 -236 *2866:296 *28576:CLK 3.98897e-05 -237 *2866:296 *28576:D 0.000188251 -238 *2866:296 *2872:224 0.000193513 -239 *2866:296 *2877:253 0.000170704 -240 *2866:296 *3774:17 0.000526207 -241 *2866:296 *3956:37 6.45024e-05 -242 *2866:296 *4112:21 1.77971e-05 -243 *2866:296 *5651:289 0.000101545 -244 *2866:296 *5651:311 0.000587729 -245 *2866:296 *5656:281 0.00176799 -246 *2866:296 *5676:95 0.000169731 -247 *2866:307 *25062:A1 0 -248 *2866:307 *2895:281 0.000485079 -249 *2866:307 *5676:95 0.000329518 -250 *2866:307 *5702:147 1.09611e-05 -251 *2866:311 *27775:A1 0.000342302 -252 *2866:311 *27775:B1 2.20187e-05 -253 *2866:311 *27780:B 2.99891e-05 -254 *2866:311 *3326:11 0.000472902 -255 *2866:311 *4086:28 0.000647533 -256 *2866:311 *5656:310 0.00136981 -257 *2866:311 *5862:26 0.0006114 -258 *2866:317 *3718:89 0.000125731 -259 *2866:317 *3887:42 0.00012401 -260 *2866:317 *5662:116 0.000175892 -261 *2866:321 *5662:116 0.00197713 -262 *2866:323 *27012:A1 0.000584528 -263 *2866:323 *27749:A2 0.000162863 -264 *2866:323 *27749:B2 0.000220333 -265 *2866:323 *27751:C1 0.00034188 -266 *2866:323 *28710:D 0.000257619 -267 *2866:323 *3598:7 5.33005e-05 -268 *2866:323 *5646:213 0.000175694 -269 *2866:323 *5646:232 1.59373e-05 -270 *2866:323 *5650:280 0.000302633 -271 *2866:323 *5660:217 0.00050609 -272 *2866:323 *5662:116 0.00049332 -273 *2866:323 *5662:124 0.00236657 -274 *6616:DIODE *2866:63 0.000135028 -275 *25014:A2 *2866:294 0.000223592 -276 *25148:C *2866:323 0.000178425 -277 *25148:D *27400:A2 2.59355e-05 -278 *25875:S *2866:149 0.000135968 -279 *27065:S *2866:323 0.000259549 -280 *27298:B1 *2866:70 0.000221815 -281 *27342:A2 *2866:270 0.000114596 -282 *27577:A2 *2866:217 0.000776874 -283 *27577:B1 *2866:217 0.000424029 -284 *27586:A2 *2866:217 6.05161e-06 -285 *29754:A *2866:70 0.000553758 -286 *29837:A *2866:296 5.81724e-05 -287 *1215:9 *27574:A2 6.73924e-05 -288 *1217:24 *2866:119 0.000166597 -289 *1250:245 *27480:A2 0.00016834 -290 *1250:245 *2866:294 0.00239227 -291 *1250:245 *2866:296 0.000243599 -292 *1252:166 *2866:241 0.000160239 -293 *1256:54 *2866:17 0.0003482 -294 *1256:61 *2866:34 0.000203846 -295 *1265:36 *2866:70 7.25293e-05 -296 *1267:221 *2866:294 0.000318383 -297 *1268:74 *2866:241 0.0002147 -298 *1274:137 *2866:296 7.90803e-05 -299 *1292:223 *2866:48 0.00109782 -300 *1292:223 *2866:241 3.32605e-05 -301 *1293:220 *2866:59 0.000139018 -302 *1293:220 *2866:63 0.00147407 -303 *1293:220 *2866:70 0.000124785 -304 *1327:78 *2866:119 0.000243892 -305 *1328:179 *2866:48 3.46786e-05 -306 *1328:183 *2866:241 0.00049567 -307 *1358:19 *2866:241 2.22731e-06 -308 *1358:25 *2866:149 3.91936e-05 -309 *1368:37 *2866:241 3.16831e-06 -310 *1368:46 *2866:294 2.23987e-05 -311 *1368:59 *2866:296 0.00120938 -312 *1371:51 *2866:307 0.000227139 -313 *1395:126 *2866:119 0.000167799 -314 *1409:6 *27574:A2 0.000947659 -315 *1423:54 *27574:A2 9.25014e-06 -316 *1423:86 *2866:167 1.09611e-05 -317 *1438:19 *2866:85 0.00261885 -318 *1460:13 *2866:85 8.43535e-06 -319 *1460:25 *2866:85 0.00020166 -320 *1467:27 *27400:A2 0.000129906 -321 *1490:61 *2866:17 6.26186e-05 -322 *1490:146 *27480:A2 1.89695e-05 -323 *1501:30 *2866:248 0.000107157 -324 *1526:8 *2866:270 0.00158815 -325 *1844:26 *2866:167 4.65519e-05 -326 *1887:70 *2866:149 0.000247594 -327 *2754:20 *2866:270 0.00176544 -328 *2760:135 *2866:307 2.01997e-05 -329 *2764:151 *2866:296 1.69265e-05 -330 *2779:140 *2866:70 0.000404221 -331 *2780:223 *27400:A2 0.000137912 -332 *2781:39 *2866:34 2.14757e-05 -333 *2784:88 *2866:323 9.41642e-05 -334 *2789:27 *27489:A2 0.000150625 -335 *2791:73 *2866:241 0.000104041 -336 *2792:96 *2866:296 0.000211825 -337 *2792:102 *2866:296 0 -338 *2834:97 *27489:A2 2.26424e-05 -339 *2844:179 *2866:36 9.93482e-05 -340 *2844:399 *2866:296 0.00180197 -341 *2851:24 *2866:119 1.90936e-05 -342 *2852:297 *2866:34 1.18064e-05 -343 *2859:52 *2866:168 2.95726e-05 -344 *2859:172 *2866:63 0.000384814 -345 *2859:214 *2866:270 0.00138374 -346 *2860:209 *2866:248 4.11218e-05 -347 *2860:363 *2866:48 0.000801065 -348 *2861:51 *2866:311 1.60865e-05 -349 *2864:196 *2866:119 0.000261472 -350 *2864:257 *2866:248 8.84819e-05 -351 *2865:152 *2866:119 5.2064e-05 -*RES -1 *27301:X *2866:17 48.2464 -2 *2866:17 *2866:34 46.5 -3 *2866:34 *2866:36 46.2679 -4 *2866:36 *2866:39 8.32143 -5 *2866:39 *27453:A2 10.2464 -6 *2866:39 *2866:48 18.8929 -7 *2866:48 *2866:59 40.0536 -8 *2866:59 *2866:63 15.1339 -9 *2866:63 *2866:70 49.3839 -10 *2866:70 *2866:85 46.9464 -11 *2866:85 *2866:88 5.83929 -12 *2866:88 *27303:A2 12.2107 -13 *2866:88 *6574:DIODE 9.3 -14 *2866:85 *2866:119 45.25 -15 *2866:119 *2866:124 35.5893 -16 *2866:124 *2866:133 10.5179 -17 *2866:133 *2866:149 48.9057 -18 *2866:149 *2866:167 36.1631 -19 *2866:167 *2866:168 23.9464 -20 *2866:168 *27517:A2 9.63036 -21 *2866:168 *27489:A2 45.425 -22 *2866:149 *27529:A2 10.5679 -23 *2866:133 *27563:A2 15.1571 -24 *2866:124 *2866:217 37.8036 -25 *2866:217 *27574:A2 28.3446 -26 *2866:48 *2866:241 26.3037 -27 *2866:241 *2866:248 41.5485 -28 *2866:248 *27371:B1 18.4346 -29 *2866:248 *2866:270 43.4075 -30 *2866:270 *27342:B1 9.3 -31 *2866:241 *2866:282 19.9643 -32 *2866:282 *27480:A2 16.3804 -33 *2866:282 *2866:294 31.625 -34 *2866:294 *2866:296 55.8304 -35 *2866:296 *2866:307 16.3127 -36 *2866:307 *2866:311 46.3661 -37 *2866:311 *2866:317 12.4107 -38 *2866:317 *2866:321 20.2679 -39 *2866:321 *2866:323 54.3393 -40 *2866:323 *27400:A2 27.0857 -41 *2866:317 *27381:A2 25.108 -42 *2866:307 *27435:A2 13.8 -*END - -*D_NET *2867 0.167301 -*CONN -*I *27454:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27384:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27422:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27413:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27463:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27351:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27357:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27573:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27303:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27552:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27500:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27544:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27509:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27302:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27454:A2 0.00177016 -2 *27384:A2 5.37106e-05 -3 *27422:A2 0.000816161 -4 *27413:A2 0.00113215 -5 *27463:A2 0.000924392 -6 *27351:B1 0.00270308 -7 *27357:A2 0.00113284 -8 *27573:A2 0.000436133 -9 *27303:B1 8.97023e-05 -10 *27552:B1 0.00157691 -11 *27500:B1 0.0012731 -12 *27544:A2 0.00052656 -13 *27509:A2 0.000511472 -14 *27302:X 2.56815e-05 -15 *2867:260 0.00490204 -16 *2867:249 0.00676307 -17 *2867:226 0.00354508 -18 *2867:222 0.00228615 -19 *2867:199 0.00534294 -20 *2867:193 0.00243423 -21 *2867:192 0.00223902 -22 *2867:184 0.00311697 -23 *2867:158 0.00304657 -24 *2867:149 0.00331567 -25 *2867:120 0.00378237 -26 *2867:99 0.00473092 -27 *2867:51 0.00138122 -28 *2867:49 0.00338233 -29 *2867:39 0.00720251 -30 *2867:25 0.00591094 -31 *2867:6 0.00406386 -32 *27303:B1 *25357:B2 2.59355e-05 -33 *27303:B1 *27304:C1 0.000175892 -34 *27303:B1 *4141:49 1.98839e-05 -35 *27351:B1 *27197:A0 0.000141 -36 *27351:B1 *27351:A1 2.59355e-05 -37 *27351:B1 *27351:B2 1.55083e-05 -38 *27351:B1 *27352:D 3.34295e-05 -39 *27351:B1 *28752:CLK 6.80387e-06 -40 *27351:B1 *3912:58 3.38201e-05 -41 *27351:B1 *3963:93 0.000506591 -42 *27351:B1 *4054:44 0.000415148 -43 *27351:B1 *5650:250 0.000842518 -44 *27351:B1 *5708:179 0.000643175 -45 *27351:B1 *5892:74 0.0014312 -46 *27357:A2 *27357:A1 0.000405709 -47 *27357:A2 *27716:B2 0.000241696 -48 *27357:A2 *29775:A 9.59332e-05 -49 *27357:A2 *3990:11 0.000216755 -50 *27384:A2 *2871:278 1.55638e-05 -51 *27384:A2 *3965:61 7.13226e-06 -52 *27384:A2 *5647:82 1.90936e-05 -53 *27413:A2 *3162:20 0.000325975 -54 *27413:A2 *3597:7 1.90303e-05 -55 *27413:A2 *5589:57 0.000758669 -56 *27413:A2 *5708:58 0.00013585 -57 *27413:A2 *5708:80 0.000190656 -58 *27422:A2 *25062:B2 8.51331e-05 -59 *27422:A2 *27422:A1 6.26182e-05 -60 *27422:A2 *27422:B2 2.70764e-05 -61 *27422:A2 *27423:C1 2.84109e-05 -62 *27422:A2 *3565:24 1.94879e-05 -63 *27422:A2 *4121:62 5.16732e-06 -64 *27422:A2 *5595:57 4.14491e-05 -65 *27422:A2 *5660:189 0.000302341 -66 *27422:A2 *5777:240 0.000120211 -67 *27422:A2 *5905:110 1.8995e-06 -68 *27454:A2 *27454:C1 0.000101545 -69 *27454:A2 *2874:158 0 -70 *27454:A2 *2892:132 0.0013812 -71 *27454:A2 *2894:210 0.000127359 -72 *27454:A2 *2998:30 0.000133968 -73 *27454:A2 *3215:178 0.000148495 -74 *27454:A2 *3939:11 0 -75 *27454:A2 *4186:34 1.5357e-05 -76 *27454:A2 *5757:134 0.000273942 -77 *27463:A2 *27463:A1 1.58163e-05 -78 *27463:A2 *27463:B1 0.000126594 -79 *27463:A2 *27463:B2 2.59355e-05 -80 *27463:A2 *4138:31 8.55871e-05 -81 *27463:A2 *5836:45 0.00190821 -82 *27500:B1 *27500:A1 4.58652e-05 -83 *27500:B1 *27500:B2 0.000366507 -84 *27500:B1 *27503:C 0.000175892 -85 *27500:B1 *3919:63 0.000188679 -86 *27509:A2 *27509:A1 5.49489e-05 -87 *27509:A2 *3775:55 0.00057558 -88 *27509:A2 *3944:29 5.49489e-05 -89 *27509:A2 *4101:28 6.90528e-05 -90 *27509:A2 *5458:31 0.000229619 -91 *27544:A2 *27544:A1 4.41061e-05 -92 *27544:A2 *27544:B2 2.8636e-05 -93 *27544:A2 *27897:A1 7.05143e-06 -94 *27544:A2 *2878:75 3.29607e-05 -95 *27544:A2 *2880:215 0.000162426 -96 *27544:A2 *2891:33 5.74499e-06 -97 *27544:A2 *2891:45 8.4025e-06 -98 *27544:A2 *3099:17 4.26825e-05 -99 *27544:A2 *5666:179 0.000104778 -100 *27544:A2 *5718:174 5.26224e-05 -101 *27552:B1 *27552:A1 5.01425e-05 -102 *27552:B1 *3170:83 1.9036e-05 -103 *27552:B1 *3205:98 8.44271e-06 -104 *27552:B1 *3473:19 0.00142601 -105 *27552:B1 *3662:43 1.20192e-05 -106 *27552:B1 *5210:25 6.05161e-06 -107 *2867:6 *3575:12 4.15526e-05 -108 *2867:25 *28719:CLK 0.000154793 -109 *2867:25 *28719:D 0.000619292 -110 *2867:25 *2886:164 1.14338e-05 -111 *2867:25 *2891:11 0.000434441 -112 *2867:25 *2894:21 0.000207808 -113 *2867:25 *2894:26 3.19023e-05 -114 *2867:25 *3165:192 0.00109803 -115 *2867:25 *3165:201 0.000725912 -116 *2867:25 *3782:66 6.8194e-05 -117 *2867:25 *3847:35 7.02772e-05 -118 *2867:25 *3933:24 9.39236e-05 -119 *2867:25 *3985:19 0.000198638 -120 *2867:25 *4051:43 1.98839e-05 -121 *2867:25 *5638:30 2.33059e-05 -122 *2867:25 *5687:212 0.00057008 -123 *2867:39 *25264:B2 0.000339346 -124 *2867:39 *25360:B2 8.25843e-06 -125 *2867:39 *27619:B2 9.71197e-05 -126 *2867:39 *2894:26 0.000753472 -127 *2867:39 *3174:61 0.000186147 -128 *2867:39 *3834:33 6.81786e-05 -129 *2867:39 *3933:24 0.000702008 -130 *2867:39 *5634:95 0.000136958 -131 *2867:39 *5869:27 4.00349e-05 -132 *2867:39 *5892:145 0.000357928 -133 *2867:49 *2891:30 0.0017068 -134 *2867:49 *3778:77 0.000678072 -135 *2867:49 *5666:190 0.00101029 -136 *2867:49 *5698:9 2.05612e-05 -137 *2867:49 *5698:26 7.65812e-05 -138 *2867:51 *5458:31 9.51744e-05 -139 *2867:51 *5698:26 8.55871e-05 -140 *2867:99 *2895:79 3.1881e-05 -141 *2867:99 *3220:44 0.00146821 -142 *2867:99 *3389:20 0.000254876 -143 *2867:99 *5386:11 8.92414e-05 -144 *2867:99 *5936:70 0.000310087 -145 *2867:120 *25122:A1 6.09973e-05 -146 *2867:120 *3220:44 0.00100852 -147 *2867:120 *3389:20 0.000972349 -148 *2867:120 *4141:49 9.29429e-05 -149 *2867:120 *5600:47 6.57648e-05 -150 *2867:149 *25104:A1 0.000361848 -151 *2867:149 *25104:B2 1.82913e-05 -152 *2867:149 *27946:A2 5.12542e-05 -153 *2867:149 *3176:202 4.65519e-05 -154 *2867:149 *3389:20 0.000156554 -155 *2867:149 *3413:41 5.99199e-06 -156 *2867:149 *3480:23 4.25213e-05 -157 *2867:149 *3857:80 0.00017309 -158 *2867:149 *4064:56 0.00052744 -159 *2867:149 *5600:47 0.00018645 -160 *2867:149 *5600:50 0.00197518 -161 *2867:149 *5653:97 4.13617e-05 -162 *2867:158 *27162:A0 0.00015208 -163 *2867:158 *2938:6 8.27631e-05 -164 *2867:158 *3538:24 0.000751599 -165 *2867:158 *3575:12 0.000590533 -166 *2867:158 *3575:23 0.000188463 -167 *2867:184 *27162:A0 0.0001213 -168 *2867:184 *2894:210 4.65519e-05 -169 *2867:184 *2938:6 0.00122648 -170 *2867:184 *3215:25 0.000345896 -171 *2867:184 *3538:24 2.54046e-05 -172 *2867:184 *5700:308 0.000498968 -173 *2867:192 *3293:34 2.29559e-05 -174 *2867:192 *3946:18 0.000164928 -175 *2867:192 *5656:68 0 -176 *2867:192 *5700:329 0.000171028 -177 *2867:193 *27147:A1 0.000146508 -178 *2867:193 *28649:CLK 0.00108913 -179 *2867:193 *28708:D 0.000142718 -180 *2867:193 *3627:33 0.00072296 -181 *2867:193 *3964:48 0.00108489 -182 *2867:193 *3965:70 0.000121388 -183 *2867:193 *5632:52 0.00045243 -184 *2867:193 *5718:354 0.000157298 -185 *2867:199 *3293:14 0.00130954 -186 *2867:199 *3965:70 0.000274242 -187 *2867:199 *5596:47 0 -188 *2867:199 *5630:144 0.000269468 -189 *2867:199 *5647:70 0.000143076 -190 *2867:222 *25174:A1 0.000111243 -191 *2867:222 *2871:277 0.000345585 -192 *2867:222 *3293:14 0.00260852 -193 *2867:222 *5647:70 0.000123605 -194 *2867:222 *5781:42 0.000482967 -195 *2867:226 *25174:A1 1.32511e-05 -196 *2867:226 *27395:C 0.000227729 -197 *2867:226 *2871:277 0 -198 *2867:226 *3926:48 0.000187613 -199 *2867:226 *3965:70 2.06178e-05 -200 *2867:226 *5630:161 6.20441e-06 -201 *2867:226 *5630:176 2.11419e-05 -202 *2867:226 *5654:86 0.000820274 -203 *2867:226 *5759:18 0 -204 *2867:249 *27395:C 0.000462608 -205 *2867:249 *2871:393 0.00016564 -206 *2867:249 *2943:11 0.000120151 -207 *2867:249 *3293:14 1.09611e-05 -208 *2867:249 *3537:50 0.000261057 -209 *2867:249 *3926:48 3.89006e-05 -210 *2867:249 *4095:67 9.36866e-05 -211 *2867:249 *4108:98 7.27952e-05 -212 *2867:249 *4108:123 0.000197804 -213 *2867:249 *4121:62 0.000106768 -214 *2867:249 *4138:31 0.000282477 -215 *2867:249 *5644:71 0.000227976 -216 *2867:249 *5654:86 0.000536548 -217 *2867:249 *5660:189 0.000520294 -218 *2867:249 *5781:42 6.14836e-06 -219 *2867:249 *5814:12 0.000305586 -220 *2867:260 *27387:A2 1.09611e-05 -221 *2867:260 *27387:B1 2.75158e-05 -222 *2867:260 *2885:156 0.00265919 -223 *2867:260 *2885:175 0.000926265 -224 *2867:260 *2885:178 0.000298199 -225 *2867:260 *3783:89 0.00146778 -226 *2867:260 *3911:7 0.000158415 -227 *2867:260 *3912:27 1.94945e-05 -228 *2867:260 *5589:44 3.51249e-05 -229 *2867:260 *5589:95 0.000134706 -230 *2867:260 *5595:32 0 -231 *2867:260 *5633:152 1.37469e-05 -232 *2867:260 *5661:267 0.00132974 -233 *2867:260 *5764:239 1.0562e-05 -234 *2867:260 *5765:95 0.000446288 -235 *2867:260 *5905:110 0 -236 *25238:A2 *2867:260 6.80387e-06 -237 *25334:B *2867:149 5.97623e-05 -238 *25667:S *2867:49 0.000887843 -239 *26836:B *2867:39 0.000161619 -240 *27141:S *2867:260 0 -241 *27303:A2 *27303:B1 0.000135028 -242 *27329:C *2867:158 4.22135e-06 -243 *27351:A2 *27351:B1 0.000115028 -244 *27500:A2 *27500:B1 7.37323e-05 -245 *27552:A2 *27552:B1 2.08514e-05 -246 *29776:A *27357:A2 0.000181036 -247 *1178:123 *2867:193 0.000271389 -248 *1178:158 *2867:249 0.000536746 -249 *1185:106 *27573:A2 0.000793992 -250 *1233:21 *2867:149 0.000136571 -251 *1239:14 *2867:49 2.31704e-05 -252 *1239:14 *2867:99 0.0001455 -253 *1242:37 *2867:99 1.06297e-05 -254 *1248:81 *2867:39 0 -255 *1252:26 *2867:49 6.57603e-05 -256 *1252:26 *2867:99 0.0004633 -257 *1257:13 *2867:149 0.000151862 -258 *1257:14 *2867:120 0.000132048 -259 *1257:14 *2867:149 7.35862e-05 -260 *1257:26 *2867:99 0.00128396 -261 *1257:26 *2867:120 0.000117447 -262 *1257:46 *2867:39 9.53406e-06 -263 *1258:75 *2867:226 0.000165008 -264 *1260:47 *2867:193 0.00011069 -265 *1262:172 *2867:193 6.35864e-05 -266 *1263:28 *2867:149 0.000261308 -267 *1268:74 *2867:199 8.16452e-05 -268 *1269:117 *2867:260 0.000130242 -269 *1273:203 *2867:99 7.0932e-05 -270 *1275:94 *27351:B1 0.000685222 -271 *1277:16 *2867:39 0.000127691 -272 *1277:44 *2867:39 0.000821915 -273 *1287:210 *2867:249 0 -274 *1292:223 *27454:A2 2.03079e-05 -275 *1327:78 *2867:120 0.000829868 -276 *1327:78 *2867:149 0.000362675 -277 *1360:27 *2867:49 4.48128e-05 -278 *1360:72 *2867:149 6.18373e-05 -279 *1395:126 *2867:120 1.47871e-05 -280 *1401:77 *2867:39 0.000212762 -281 *1420:22 *2867:99 0.000797099 -282 *1427:94 *2867:99 0.000512084 -283 *1427:105 *2867:120 0.000117161 -284 *1427:119 *2867:120 0.000121549 -285 *1439:49 *2867:149 7.34491e-05 -286 *1448:127 *2867:149 9.83539e-06 -287 *1453:17 *2867:39 2.23359e-05 -288 *1456:38 *2867:149 0.000156554 -289 *1470:64 *2867:99 1.07167e-05 -290 *1479:17 *2867:39 0.000422094 -291 *1490:24 *2867:193 3.21796e-05 -292 *1490:26 *2867:193 0.000549148 -293 *1490:102 *2867:193 4.30584e-06 -294 *1507:20 *27351:B1 1.42347e-05 -295 *1545:28 *27552:B1 0.00115031 -296 *1594:23 *2867:39 2.35126e-05 -297 *1644:36 *2867:99 0.000619969 -298 *1676:14 *2867:39 0.00108597 -299 *1685:40 *2867:149 3.47669e-05 -300 *1700:65 *2867:39 3.77315e-05 -301 *1826:117 *27351:B1 0.00010302 -302 *1826:178 *27422:A2 4.64488e-06 -303 *1832:5 *2867:49 4.17433e-05 -304 *1844:138 *27357:A2 0.000466609 -305 *1864:141 *27454:A2 0.000332997 -306 *1897:19 *27500:B1 0.000191108 -307 *2758:90 *2867:184 2.7814e-05 -308 *2758:105 *2867:192 0.000139071 -309 *2760:113 *2867:249 0.000530741 -310 *2774:92 *27413:A2 6.81786e-05 -311 *2777:94 *27454:A2 0.000286034 -312 *2778:83 *2867:39 4.15526e-05 -313 *2780:6 *2867:193 0.00022539 -314 *2784:67 *27351:B1 2.90164e-05 -315 *2786:80 *27422:A2 0.000426144 -316 *2786:80 *2867:260 6.14836e-06 -317 *2786:83 *2867:249 0.000265217 -318 *2791:102 *2867:193 0.00120851 -319 *2794:141 *27351:B1 0.000185051 -320 *2844:275 *27384:A2 2.06178e-05 -321 *2845:172 *2867:158 0.00076988 -322 *2845:188 *2867:192 0.000765979 -323 *2847:50 *2867:6 1.8038e-05 -324 *2847:50 *2867:158 1.26641e-05 -325 *2848:191 *2867:192 2.92625e-05 -326 *2853:90 *2867:51 9.60875e-05 -327 *2853:187 *2867:192 1.63091e-05 -328 *2853:224 *27351:B1 0.000301263 -329 *2853:262 *2867:260 0.000222613 -330 *2856:196 *2867:149 2.92112e-05 -331 *2856:270 *2867:25 0.000744059 -332 *2856:270 *2867:158 0.00104289 -333 *2859:52 *27509:A2 0.000722366 -334 *2859:52 *2867:51 0.000164481 -335 *2859:157 *2867:158 0.000124383 -336 *2860:209 *2867:193 0.000216293 -337 *2860:242 *2867:199 0 -338 *2860:242 *2867:222 0 -339 *2866:119 *2867:149 0.000405817 -340 *2866:167 *27509:A2 0.000429121 -*RES -1 *27302:X *2867:6 14.3804 -2 *2867:6 *2867:25 41.677 -3 *2867:25 *2867:39 36.83 -4 *2867:39 *2867:49 38.1161 -5 *2867:49 *2867:51 5.875 -6 *2867:51 *27509:A2 33.6571 -7 *2867:51 *27544:A2 34.8357 -8 *2867:49 *27500:B1 38.6571 -9 *2867:39 *2867:99 20.8889 -10 *2867:99 *27552:B1 38.8893 -11 *2867:99 *2867:120 11.9919 -12 *2867:120 *27303:B1 15.9786 -13 *2867:120 *2867:149 47.1222 -14 *2867:149 *27573:A2 21.7286 -15 *2867:6 *2867:158 34.5804 -16 *2867:158 *27357:A2 34.9518 -17 *2867:158 *2867:184 22.5734 -18 *2867:184 *2867:192 12.4625 -19 *2867:192 *2867:193 50.5179 -20 *2867:193 *2867:199 9.90163 -21 *2867:199 *27351:B1 37.3619 -22 *2867:199 *2867:222 8.8902 -23 *2867:222 *2867:226 14.3074 -24 *2867:226 *27463:A2 33.55 -25 *2867:226 *2867:249 27.1922 -26 *2867:249 *2867:260 47.8661 -27 *2867:260 *27413:A2 34.6661 -28 *2867:249 *27422:A2 26.7524 -29 *2867:222 *27384:A2 17.7171 -30 *2867:184 *27454:A2 49.3307 -*END - -*D_NET *2868 0.00256134 -*CONN -*I *27304:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27303:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27304:C1 0.000506562 -2 *27303:X 0.000506562 -3 *27304:C1 *25122:A1 7.54313e-05 -4 *27304:C1 *25357:B2 9.76435e-06 -5 *27304:C1 *3699:40 0.000643437 -6 *27304:C1 *3881:35 6.8646e-06 -7 *27304:C1 *3881:54 8.10363e-06 -8 *27303:B1 *27304:C1 0.000175892 -9 *1239:14 *27304:C1 2.79832e-05 -10 *1430:88 *27304:C1 0.00060074 -*RES -1 *27303:X *27304:C1 39.4214 -*END - -*D_NET *2869 0.0103659 -*CONN -*I *27305:D I *D sky130_fd_sc_hd__or4_1 -*I *27304:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27305:D 0.000131583 -2 *27304:X 0.00312068 -3 *2869:5 0.00325226 -4 *27305:D *6247:36 0.00014101 -5 *1606:14 *27305:D 0.000144903 -6 *1645:16 *2869:5 0.000294099 -7 *1654:27 *2869:5 9.41642e-05 -8 *2851:36 *2869:5 0.000201306 -9 *2855:175 *27305:D 0.000218404 -10 *2856:157 *27305:D 0.000216755 -11 *2856:176 *2869:5 0.00229282 -12 *2864:182 *2869:5 0.000257895 -*RES -1 *27304:X *2869:5 48.0321 -2 *2869:5 *27305:D 22.425 -*END - -*D_NET *2870 0.0218219 -*CONN -*I *27333:B2 I *D sky130_fd_sc_hd__o221a_1 -*I *27305:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27333:B2 0 -2 *27305:X 0.00128968 -3 *2870:65 0.00144528 -4 *2870:43 0.00398195 -5 *2870:21 0.00382635 -6 *2870:21 *3184:118 8.9337e-05 -7 *2870:21 *3413:51 0.000102782 -8 *2870:21 *3686:83 5.92246e-05 -9 *2870:21 *3829:8 4.34648e-05 -10 *2870:21 *3874:37 7.07155e-06 -11 *2870:21 *3946:45 0.000100831 -12 *2870:21 *5505:57 0.000415906 -13 *2870:21 *5707:41 9.41642e-05 -14 *2870:43 *27030:A0 6.52967e-05 -15 *2870:43 *3184:71 4.3143e-05 -16 *2870:43 *3299:29 7.88347e-05 -17 *2870:43 *3751:44 0.000178815 -18 *2870:43 *3822:56 0.000162607 -19 *2870:43 *3900:60 1.68854e-05 -20 *2870:43 *3946:45 0.00018064 -21 *2870:43 *4015:31 0.000279244 -22 *2870:43 *5597:20 0.00049515 -23 *2870:43 *5609:107 0 -24 *2870:43 *5609:137 0 -25 *2870:43 *5638:62 0.000134759 -26 *2870:43 *5700:55 4.65519e-05 -27 *2870:43 *5700:77 6.92539e-05 -28 *2870:43 *5707:100 0.000431389 -29 *2870:43 *5713:305 0.000353462 -30 *2870:65 *27333:A2 7.95355e-05 -31 *2870:65 *27334:B1 0.000136958 -32 *2870:65 *28466:CLK 0.000328346 -33 *2870:65 *3205:180 3.79197e-05 -34 *2870:65 *3206:95 0.000447275 -35 *2870:65 *3315:11 0.000650867 -36 *2870:65 *3794:86 0.00034077 -37 *2870:65 *4186:20 3.97058e-05 -38 *2870:65 *5634:113 0.000563665 -39 *2870:65 *5687:114 0 -40 *2870:65 *5707:100 6.48511e-05 -41 *25258:B1 *2870:43 0.000131048 -42 *282:21 *2870:21 0 -43 *1182:26 *2870:65 8.25843e-06 -44 *1218:187 *2870:43 0.000707994 -45 *1244:198 *2870:43 0.000137345 -46 *1265:36 *2870:21 0.00146154 -47 *1275:26 *2870:21 0.000120211 -48 *1277:138 *2870:43 0.00104299 -49 *1277:161 *2870:43 7.75724e-05 -50 *1328:140 *2870:65 0.000378194 -51 *1358:19 *2870:21 9.54008e-05 -52 *1438:19 *2870:21 0.00018299 -53 *1496:11 *2870:43 0.000218685 -54 *1536:27 *2870:65 0 -55 *2779:161 *2870:43 0 -56 *2851:36 *2870:21 4.00349e-05 -57 *2856:137 *2870:43 0.000516389 -58 *2856:157 *2870:21 2.13288e-05 -*RES -1 *27305:X *2870:21 47.8134 -2 *2870:21 *2870:43 47.9496 -3 *2870:43 *2870:65 43.3354 -4 *2870:65 *27333:B2 9.3 -*END - -*D_NET *2871 0.174374 -*CONN -*I *27423:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27384:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27338:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27413:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27308:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27467:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27372:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27442:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27486:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27566:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27587:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *6625:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27509:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27532:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27306:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27423:B1 0.000690182 -2 *27384:B1 0 -3 *27338:A2 8.32898e-05 -4 *27413:B1 0.000408668 -5 *27308:A2 0.000209248 -6 *27467:B1 0.000214769 -7 *27372:B1 0 -8 *27442:B1 0 -9 *27486:B1 6.20801e-05 -10 *27566:B1 0.000174584 -11 *27587:B1 0.000226082 -12 *6625:DIODE 0 -13 *27509:B1 9.3429e-05 -14 *27532:A2 4.66209e-06 -15 *27306:X 0.000186054 -16 *2871:393 0.00359532 -17 *2871:354 0.00158572 -18 *2871:318 0.00350123 -19 *2871:305 0.00278984 -20 *2871:298 0.00300291 -21 *2871:278 0.00252225 -22 *2871:277 0.0038394 -23 *2871:259 0.00219437 -24 *2871:232 0.00184587 -25 *2871:231 0.00155659 -26 *2871:224 0.00408379 -27 *2871:206 0.0037173 -28 *2871:194 0.00374747 -29 *2871:175 0.00168235 -30 *2871:160 0.00651784 -31 *2871:116 0.000457234 -32 *2871:110 0.00144036 -33 *2871:108 0.00183639 -34 *2871:105 0.00142835 -35 *2871:81 0.000794929 -36 *2871:79 0.00176382 -37 *2871:62 0.00239338 -38 *2871:46 0.00104973 -39 *2871:45 0.00194353 -40 *2871:39 0.00303917 -41 *2871:36 0.00295227 -42 *2871:24 0.00260774 -43 *2871:20 0.00283057 -44 *2871:8 0.00388091 -45 *27308:A2 *28603:CLK 0.000182806 -46 *27308:A2 *3305:8 0.000194268 -47 *27308:A2 *3742:48 0.000422227 -48 *27308:A2 *5836:257 0.000140577 -49 *27338:A2 *27338:A1 7.37323e-05 -50 *27338:A2 *3742:48 9.94194e-06 -51 *27338:A2 *3742:50 5.33005e-05 -52 *27338:A2 *4054:26 0.000170772 -53 *27413:B1 *27413:A1 0 -54 *27413:B1 *27413:B2 7.45939e-06 -55 *27413:B1 *27413:C1 0 -56 *27413:B1 *3185:118 0.000902742 -57 *27413:B1 *4133:32 0.00090069 -58 *27423:B1 *27423:A1 3.42144e-05 -59 *27423:B1 *27423:B2 2.12005e-05 -60 *27423:B1 *27423:C1 9.38078e-05 -61 *27423:B1 *28881:A 8.83919e-05 -62 *27423:B1 *2885:156 9.04754e-05 -63 *27423:B1 *3215:286 0 -64 *27423:B1 *3537:50 2.13802e-05 -65 *27423:B1 *3798:40 2.95801e-05 -66 *27423:B1 *4121:62 0.000136625 -67 *27423:B1 *4138:31 1.81393e-05 -68 *27423:B1 *5604:121 0.00102122 -69 *27423:B1 *5814:12 5.58875e-06 -70 *27467:B1 *27467:B2 5.33005e-05 -71 *27467:B1 *3852:51 0.000168864 -72 *27486:B1 *27486:A1 0 -73 *27486:B1 *27486:B2 7.62278e-05 -74 *27486:B1 *27493:C 2.65563e-07 -75 *27509:B1 *27509:B2 9.41642e-05 -76 *27509:B1 *3065:10 5.33005e-05 -77 *27509:B1 *3944:29 4.43256e-05 -78 *27532:A2 *2874:57 1.46576e-05 -79 *27532:A2 *3960:43 1.46576e-05 -80 *27566:B1 *27566:A1 9.54798e-06 -81 *27566:B1 *27566:B2 6.35819e-05 -82 *27566:B1 *3961:25 2.79421e-05 -83 *27566:B1 *4127:84 1.00073e-05 -84 *27566:B1 *5661:123 9.65182e-05 -85 *27566:B1 *5686:35 9.96717e-06 -86 *27587:B1 *27587:B2 1.58163e-05 -87 *27587:B1 *3962:35 1.21258e-05 -88 *27587:B1 *5623:23 2.47753e-05 -89 *2871:8 *5634:15 0.000181796 -90 *2871:8 *5718:256 8.54883e-05 -91 *2871:20 *28876:A 0.000153222 -92 *2871:20 *30815:A 4.11486e-05 -93 *2871:20 *2895:13 0.000394936 -94 *2871:20 *3184:43 0.00016778 -95 *2871:20 *3575:8 0.000921883 -96 *2871:20 *5592:25 5.29232e-05 -97 *2871:20 *5592:45 0.000199279 -98 *2871:20 *5655:16 2.77289e-05 -99 *2871:20 *5655:29 7.80759e-05 -100 *2871:20 *5694:119 0.000166194 -101 *2871:24 *3557:30 1.32056e-05 -102 *2871:24 *3569:5 0.00271626 -103 *2871:24 *3569:51 0.00102671 -104 *2871:24 *3782:26 0.00011973 -105 *2871:24 *3972:38 0.00017112 -106 *2871:24 *5517:20 0.000171659 -107 *2871:36 *25374:B2 0 -108 *2871:36 *3160:33 0.000442349 -109 *2871:36 *3183:86 0.00021882 -110 *2871:36 *3425:20 3.99253e-05 -111 *2871:36 *5591:53 0.000344359 -112 *2871:36 *5620:30 0.000220381 -113 *2871:36 *5655:36 2.0612e-05 -114 *2871:39 *25241:A1 1.32056e-05 -115 *2871:39 *28377:CLK 0.000388655 -116 *2871:39 *3425:27 0.000224256 -117 *2871:39 *3817:11 0.00020078 -118 *2871:39 *3843:22 0.000102128 -119 *2871:39 *5629:44 0.00111304 -120 *2871:39 *5655:65 0.000128896 -121 *2871:45 *3557:15 0.000642093 -122 *2871:45 *3571:5 0.000137515 -123 *2871:45 *3571:13 6.47602e-05 -124 *2871:45 *3662:19 0.000196262 -125 *2871:45 *3687:73 0.00269645 -126 *2871:45 *3792:80 0.000197984 -127 *2871:45 *3882:70 0.00156874 -128 *2871:45 *5738:82 0.000216755 -129 *2871:46 *27536:C1 0.000311537 -130 *2871:46 *27537:B 0.000296527 -131 *2871:46 *2892:65 0 -132 *2871:46 *3882:55 6.54347e-05 -133 *2871:46 *3960:43 9.68207e-05 -134 *2871:62 *27486:C1 0.00011865 -135 *2871:62 *27491:A1 4.15592e-05 -136 *2871:62 *27493:C 0.000120154 -137 *2871:62 *2872:90 0 -138 *2871:62 *2874:68 5.74562e-05 -139 *2871:62 *3165:254 7.80714e-06 -140 *2871:62 *3892:57 4.93881e-05 -141 *2871:62 *3895:88 6.54117e-05 -142 *2871:62 *4139:82 0.000222666 -143 *2871:79 *27486:B2 0.00030114 -144 *2871:79 *3045:10 0.00129329 -145 *2871:79 *3197:164 0.000341583 -146 *2871:79 *3814:51 0.000165697 -147 *2871:79 *3918:45 0 -148 *2871:81 *3045:10 7.80388e-05 -149 *2871:81 *3814:51 0.000201539 -150 *2871:81 *3971:42 4.38058e-05 -151 *2871:105 *27487:A1 6.07649e-05 -152 *2871:105 *27488:C1 0.000182705 -153 *2871:105 *2892:94 0.000106403 -154 *2871:105 *3045:10 4.48032e-05 -155 *2871:105 *3814:51 0.000220565 -156 *2871:105 *3814:63 0.00023455 -157 *2871:105 *3945:34 1.14982e-05 -158 *2871:105 *3957:27 0.000257619 -159 *2871:105 *3957:43 3.97677e-05 -160 *2871:105 *3971:42 2.15339e-05 -161 *2871:105 *4100:26 8.41284e-06 -162 *2871:105 *5493:31 9.58126e-05 -163 *2871:105 *5666:138 0.000305204 -164 *2871:105 *5666:179 0.000704416 -165 *2871:108 *25307:B2 0.000317536 -166 *2871:108 *27522:C1 0.000679208 -167 *2871:108 *2877:69 0.000121805 -168 *2871:108 *3724:20 9.1407e-06 -169 *2871:108 *3724:27 0.00139896 -170 *2871:108 *3958:34 0.0012033 -171 *2871:108 *5909:62 9.33876e-05 -172 *2871:110 *27534:A1 9.4202e-05 -173 *2871:110 *28139:D 0.000141345 -174 *2871:110 *3216:142 0.000143979 -175 *2871:110 *3724:20 0.00161727 -176 *2871:110 *3724:27 1.24101e-05 -177 *2871:110 *3962:13 0.000206292 -178 *2871:110 *5686:35 0.000144024 -179 *2871:110 *5909:62 1.88154e-05 -180 *2871:116 *3216:142 0.000419659 -181 *2871:116 *5686:35 1.53191e-05 -182 *2871:160 *27447:B1 0.000177749 -183 *2871:160 *27622:B 0.000100258 -184 *2871:160 *2894:181 0.000312172 -185 *2871:160 *2895:13 0.000382703 -186 *2871:160 *3149:23 0.000250555 -187 *2871:160 *3159:8 5.39368e-05 -188 *2871:160 *3214:149 0.000102056 -189 *2871:160 *5386:11 0.000171876 -190 *2871:160 *5535:37 0.0012832 -191 *2871:160 *5640:16 3.68418e-05 -192 *2871:160 *5718:256 0.000110683 -193 *2871:160 *5718:261 0 -194 *2871:175 *27441:A2 2.59355e-05 -195 *2871:175 *27795:A0 9.41642e-05 -196 *2871:175 *27795:A1 0.000515426 -197 *2871:175 *28805:D 0.000387803 -198 *2871:175 *2892:126 4.15592e-05 -199 *2871:175 *2895:227 0.000444955 -200 *2871:175 *3185:22 0.000243729 -201 *2871:175 *5650:8 0.000242144 -202 *2871:175 *5757:134 4.00349e-05 -203 *2871:194 *29155:A 0.000139907 -204 *2871:194 *3174:153 0 -205 *2871:194 *3176:24 9.1377e-05 -206 *2871:194 *3185:48 4.17099e-05 -207 *2871:194 *3559:21 1.97827e-05 -208 *2871:194 *3666:119 0 -209 *2871:194 *3964:48 0.00142202 -210 *2871:206 *5718:315 0.000529557 -211 *2871:224 *2880:118 4.38243e-05 -212 *2871:224 *2892:140 4.05458e-05 -213 *2871:224 *3538:22 9.36607e-05 -214 *2871:224 *3822:32 0.00024266 -215 *2871:224 *4121:87 0.00080258 -216 *2871:224 *5604:72 0.000108621 -217 *2871:224 *5748:36 0.00013588 -218 *2871:224 *5872:10 9.96264e-05 -219 *2871:231 *2892:140 0.00103335 -220 *2871:231 *2892:161 0.000143652 -221 *2871:231 *3371:13 1.65025e-05 -222 *2871:231 *5535:54 0.00099329 -223 *2871:231 *5604:72 6.82924e-05 -224 *2871:231 *5604:109 0.000504669 -225 *2871:231 *5604:117 1.27625e-05 -226 *2871:231 *5633:60 7.91281e-05 -227 *2871:232 *3176:234 0.000667184 -228 *2871:232 *3215:196 0.000675479 -229 *2871:259 *2894:269 0.000546379 -230 *2871:259 *3176:234 0.000188967 -231 *2871:259 *3215:196 0.000180431 -232 *2871:259 *3690:63 0.00201295 -233 *2871:259 *3887:60 0.000511291 -234 *2871:259 *5649:120 0.000329663 -235 *2871:277 *3887:60 8.76591e-06 -236 *2871:277 *3965:70 0.000199719 -237 *2871:277 *5630:161 3.32539e-05 -238 *2871:277 *5645:104 7.59402e-06 -239 *2871:277 *5647:82 0.000328004 -240 *2871:277 *5654:86 8.84757e-05 -241 *2871:277 *5718:360 0.000328004 -242 *2871:277 *5781:42 0.000640397 -243 *2871:278 *27384:A1 2.59355e-05 -244 *2871:278 *3965:61 3.44871e-05 -245 *2871:298 *26966:A1 0.000354178 -246 *2871:298 *27344:A1 0.000595905 -247 *2871:298 *27384:A1 6.05161e-06 -248 *2871:298 *27384:B2 2.85377e-05 -249 *2871:298 *27384:C1 0.000386121 -250 *2871:298 *2872:243 0.00054662 -251 *2871:298 *2872:260 0.000239615 -252 *2871:298 *3677:11 0.000147846 -253 *2871:298 *3912:35 0.000112777 -254 *2871:298 *3965:61 8.43535e-06 -255 *2871:298 *4030:47 9.41642e-05 -256 *2871:298 *5702:84 0.000303304 -257 *2871:305 *28475:CLK 9.60337e-06 -258 *2871:305 *3624:8 2.81881e-05 -259 *2871:305 *3886:78 4.58194e-05 -260 *2871:305 *4054:26 0.000103974 -261 *2871:305 *5645:108 0.000527846 -262 *2871:305 *5836:45 1.74787e-05 -263 *2871:305 *5836:55 5.33005e-05 -264 *2871:318 *28603:CLK 0.000302791 -265 *2871:318 *28630:RESET_B 2.56723e-05 -266 *2871:318 *2872:279 0.00201437 -267 *2871:318 *2893:26 0.000125102 -268 *2871:318 *3742:48 0.000189643 -269 *2871:318 *4043:70 0.000123388 -270 *2871:318 *5836:55 4.30858e-05 -271 *2871:354 *27059:A1 4.65519e-05 -272 *2871:354 *28630:D 0.000136779 -273 *2871:354 *29059:A 8.65987e-06 -274 *2871:354 *2877:299 0.000205317 -275 *2871:354 *3185:118 0.000107313 -276 *2871:354 *3758:41 0.000198626 -277 *2871:354 *4133:32 0.000741127 -278 *2871:354 *5644:237 1.50809e-05 -279 *2871:354 *5688:97 0.000113877 -280 *2871:393 *2874:321 0 -281 *2871:393 *2874:336 0 -282 *2871:393 *3176:254 0 -283 *2871:393 *3537:50 0.000882092 -284 *2871:393 *3798:15 0.000106544 -285 *2871:393 *3965:61 4.35421e-05 -286 *2871:393 *4108:49 0 -287 *2871:393 *4121:62 0.0011307 -288 *2871:393 *4121:69 0.000120151 -289 *2871:393 *4138:31 0.000150804 -290 *2871:393 *5604:121 0.000118715 -291 *2871:393 *5660:189 0 -292 *2871:393 *5814:12 0.000829288 -293 *2871:393 *5873:220 0.000123465 -294 *25251:D *27308:A2 0.000195167 -295 *25416:B2 *2871:110 2.06178e-05 -296 *26896:S *2871:224 6.42095e-05 -297 *26984:S *2871:231 0.000157984 -298 *27330:C *2871:20 4.11486e-05 -299 *27373:A2 *2871:206 6.58722e-05 -300 *27384:A2 *2871:278 1.55638e-05 -301 *27467:A2 *27467:B1 0.000125443 -302 *27633:A *2871:160 0.000134264 -303 *28806:D *2871:160 6.28948e-05 -304 *29661:A *2871:224 0.000587484 -305 *30508:A *2871:298 0.000300406 -306 *74:11 *2871:175 0.000867981 -307 *1185:175 *2871:393 0 -308 *1225:33 *2871:206 0 -309 *1225:100 *2871:354 0.000275152 -310 *1235:52 *2871:36 0.000145284 -311 *1237:17 *2871:36 0.00100363 -312 *1246:76 *2871:194 0.000107058 -313 *1246:230 *2871:194 0.000213742 -314 *1248:22 *2871:36 4.8817e-05 -315 *1258:106 *2871:224 5.01065e-05 -316 *1262:172 *2871:206 0.000221679 -317 *1266:104 *2871:298 4.10702e-05 -318 *1266:104 *2871:305 6.98971e-05 -319 *1267:65 *2871:354 1.04894e-05 -320 *1270:41 *2871:305 0.000372951 -321 *1271:174 *2871:354 0 -322 *1272:47 *2871:298 2.79339e-05 -323 *1277:53 *2871:36 0.000347693 -324 *1281:145 *2871:259 0.000256319 -325 *1287:184 *2871:354 0.00012045 -326 *1287:210 *2871:393 0 -327 *1292:223 *2871:224 0.000210516 -328 *1358:19 *2871:206 2.01904e-05 -329 *1411:97 *2871:105 4.15161e-05 -330 *1455:55 *2871:39 0.000136708 -331 *1507:29 *2871:277 0.000219289 -332 *1626:27 *2871:354 8.83449e-05 -333 *1638:36 *27467:B1 0.000301438 -334 *1826:144 *2871:259 9.60875e-05 -335 *1826:154 *2871:259 0.000585377 -336 *1826:170 *27423:B1 0.000554723 -337 *1826:178 *27423:B1 3.4323e-06 -338 *1887:22 *2871:108 4.04292e-05 -339 *2761:114 *2871:318 0.000175892 -340 *2768:69 *2871:298 0 -341 *2775:160 *2871:354 4.86178e-05 -342 *2778:132 *2871:354 0.00017441 -343 *2780:6 *2871:194 0.00177648 -344 *2784:67 *27338:A2 0.000161522 -345 *2784:67 *2871:305 0.000103974 -346 *2787:62 *2871:8 0.000262753 -347 *2787:62 *2871:160 0.000472383 -348 *2787:112 *2871:160 9.2676e-05 -349 *2791:73 *2871:231 0.00196899 -350 *2791:117 *2871:206 0.000527449 -351 *2791:209 *2871:354 0 -352 *2843:11 *2871:8 5.03772e-05 -353 *2844:19 *2871:24 0.00410102 -354 *2844:27 *2871:39 0.00413116 -355 *2844:153 *2871:160 0.000966479 -356 *2845:188 *2871:206 6.73831e-05 -357 *2845:211 *2871:206 0.00065514 -358 *2845:392 *2871:194 8.89061e-05 -359 *2848:146 *2871:79 0.0010884 -360 *2848:191 *2871:206 0.00139461 -361 *2848:233 *27467:B1 0.000135028 -362 *2850:73 *2871:79 0.000777811 -363 *2850:87 *2871:79 0.000158711 -364 *2852:17 *2871:160 0.000413982 -365 *2852:17 *2871:194 0.00111719 -366 *2853:224 *2871:206 1.83609e-05 -367 *2853:292 *27423:B1 1.93639e-05 -368 *2855:91 *2871:108 3.32845e-05 -369 *2855:91 *2871:110 0.00174389 -370 *2855:103 *2871:116 6.30931e-05 -371 *2855:121 *2871:116 0.000322683 -372 *2856:279 *2871:175 0.000356699 -373 *2864:156 *2871:206 5.47406e-05 -374 *2865:77 *2871:46 6.8646e-06 -375 *2865:98 *2871:46 0.00040794 -376 *2865:98 *2871:62 0.000592115 -377 *2865:115 *2871:79 4.32591e-05 -378 *2866:17 *2871:160 0 -379 *2867:222 *2871:277 0.000345585 -380 *2867:226 *2871:277 0 -381 *2867:249 *2871:393 0.00016564 -*RES -1 *27306:X *2871:8 19.0321 -2 *2871:8 *2871:20 38.5 -3 *2871:20 *2871:24 47.2768 -4 *2871:24 *2871:36 18.0357 -5 *2871:36 *2871:39 48.5714 -6 *2871:39 *2871:45 47.8214 -7 *2871:45 *2871:46 11.6607 -8 *2871:46 *27532:A2 13.9473 -9 *2871:46 *2871:62 18.4107 -10 *2871:62 *2871:79 48.3482 -11 *2871:79 *2871:81 2.65179 -12 *2871:81 *27509:B1 15.5679 -13 *2871:81 *2871:105 35.6071 -14 *2871:105 *2871:108 26.6161 -15 *2871:108 *2871:110 30.4821 -16 *2871:110 *2871:116 10.7768 -17 *2871:116 *6625:DIODE 9.3 -18 *2871:116 *27587:B1 11.9071 -19 *2871:110 *27566:B1 25.4964 -20 *2871:62 *27486:B1 10.675 -21 *2871:8 *2871:160 48.4203 -22 *2871:160 *2871:175 46.2991 -23 *2871:175 *27442:B1 9.3 -24 *2871:160 *2871:194 46.8626 -25 *2871:194 *2871:206 24.0828 -26 *2871:206 *27372:B1 13.8 -27 *2871:206 *2871:224 46.3125 -28 *2871:224 *2871:231 46.8125 -29 *2871:231 *2871:232 8.92857 -30 *2871:232 *27467:B1 19.6929 -31 *2871:232 *2871:259 49.6964 -32 *2871:259 *2871:277 32.1532 -33 *2871:277 *2871:278 1.76786 -34 *2871:278 *2871:298 44.7254 -35 *2871:298 *2871:305 21.1161 -36 *2871:305 *2871:318 47.1607 -37 *2871:318 *27308:A2 25.4964 -38 *2871:318 *2871:354 45.8697 -39 *2871:354 *27413:B1 26.9875 -40 *2871:305 *27338:A2 16.7911 -41 *2871:278 *27384:B1 9.3 -42 *2871:277 *2871:393 42.9873 -43 *2871:393 *27423:B1 31.0946 -*END - -*D_NET *2872 0.204037 -*CONN -*I *27430:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27391:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27361:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *27338:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27308:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27405:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27469:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27457:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27498:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27555:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27583:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27533:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27508:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27307:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27430:A2 0 -2 *27391:B1 0.00084137 -3 *27361:A2 0 -4 *27338:B1 6.60876e-05 -5 *27308:B1 0 -6 *27405:B1 0 -7 *27469:A2 0.000807624 -8 *27457:A2 0 -9 *27498:A2 0 -10 *27555:A2 0 -11 *27583:B1 7.56227e-05 -12 *27533:A2 0 -13 *27508:B1 0.000886652 -14 *27307:X 0 -15 *2872:376 0.00314644 -16 *2872:355 0.00337314 -17 *2872:336 0.00301658 -18 *2872:299 0.00110719 -19 *2872:295 0.00280903 -20 *2872:279 0.00323242 -21 *2872:260 0.00396248 -22 *2872:243 0.00270993 -23 *2872:237 0.00392577 -24 *2872:224 0.00702612 -25 *2872:187 0.00345554 -26 *2872:186 0.0022901 -27 *2872:168 0.00377045 -28 *2872:163 0.00460184 -29 *2872:162 0.00196953 -30 *2872:160 0.00164738 -31 *2872:154 0.0018684 -32 *2872:135 0.00192781 -33 *2872:134 0.00405965 -34 *2872:112 0.00327401 -35 *2872:94 0.00115299 -36 *2872:93 0.00147889 -37 *2872:90 0.00311217 -38 *2872:57 0.00345094 -39 *2872:47 0.000884569 -40 *2872:41 0.0025012 -41 *2872:29 0.0053223 -42 *2872:21 0.00624139 -43 *2872:13 0.0038484 -44 *2872:4 0.000689124 -45 *27338:B1 *3846:34 0.000185339 -46 *27338:B1 *3992:54 2.04825e-05 -47 *27338:B1 *3992:66 1.17921e-05 -48 *27391:B1 *27391:A1 1.27848e-05 -49 *27391:B1 *27391:C1 3.45371e-05 -50 *27391:B1 *27394:C 0.000241011 -51 *27391:B1 *2891:288 0.000589138 -52 *27391:B1 *2955:15 0.000161604 -53 *27391:B1 *2956:7 5.33005e-05 -54 *27469:A2 *27470:C1 1.24368e-05 -55 *27469:A2 *3028:21 0.000312796 -56 *27469:A2 *3891:66 0.000120724 -57 *27469:A2 *4073:26 2.03064e-05 -58 *27469:A2 *4073:39 0.000122446 -59 *27508:B1 *27532:B2 0.000215049 -60 *27508:B1 *2892:40 0.000355183 -61 *27508:B1 *3804:58 0.000159444 -62 *27508:B1 *3960:43 0.00101414 -63 *27508:B1 *4129:35 0.000224592 -64 *27508:B1 *4139:82 0.00101242 -65 *27583:B1 *27583:B2 7.70904e-05 -66 *27583:B1 *3136:10 2.41198e-05 -67 *27583:B1 *3541:23 0.000219711 -68 *2872:13 *3195:25 0.000463444 -69 *2872:13 *3214:21 0.000339346 -70 *2872:13 *5717:50 0.000165433 -71 *2872:13 *5717:53 1.58163e-05 -72 *2872:13 *5717:60 3.69047e-06 -73 *2872:13 *5717:130 7.00418e-05 -74 *2872:21 *25374:A1 9.89422e-05 -75 *2872:21 *28612:CLK 5.28305e-05 -76 *2872:21 *2878:20 0.00051036 -77 *2872:21 *3195:25 0.000558944 -78 *2872:21 *3195:34 0.000348177 -79 *2872:21 *3642:46 0.000129039 -80 *2872:21 *3797:35 0.000466248 -81 *2872:21 *5591:42 5.74499e-06 -82 *2872:21 *5717:61 2.88045e-05 -83 *2872:21 *5750:130 8.63014e-05 -84 *2872:29 *25374:A1 0.000521817 -85 *2872:29 *27857:A1 0.00108368 -86 *2872:29 *27857:A2 9.76443e-05 -87 *2872:29 *27858:C1 0.000932517 -88 *2872:29 *27905:B1 2.12005e-05 -89 *2872:29 *3183:86 0.000124642 -90 *2872:29 *4061:29 0.000135028 -91 *2872:29 *5608:8 0.000219289 -92 *2872:29 *5620:30 0.000124642 -93 *2872:41 *27858:A1 0.000146063 -94 *2872:41 *27858:B2 0.00286618 -95 *2872:41 *27866:B 6.12335e-05 -96 *2872:41 *27906:B2 0.00108193 -97 *2872:41 *27916:B 9.70065e-05 -98 *2872:41 *3156:73 0.00317343 -99 *2872:41 *3503:19 5.03803e-05 -100 *2872:41 *5442:23 0 -101 *2872:41 *5591:63 0.000927483 -102 *2872:41 *5706:196 0.000177033 -103 *2872:47 *27498:A1 6.30002e-05 -104 *2872:47 *27498:C1 7.37323e-05 -105 *2872:47 *3793:40 4.09607e-05 -106 *2872:47 *5657:174 4.25213e-05 -107 *2872:57 *27498:A1 7.54314e-05 -108 *2872:57 *27498:B1 2.12733e-05 -109 *2872:57 *27498:C1 0.000158071 -110 *2872:57 *3156:74 5.87854e-05 -111 *2872:57 *3724:39 0.000145872 -112 *2872:57 *3791:98 0.000549154 -113 *2872:90 *27486:C1 0.000329143 -114 *2872:90 *27491:A1 8.79856e-06 -115 *2872:90 *27495:A1 0.000460916 -116 *2872:90 *27861:A2 0.00010793 -117 *2872:90 *2889:254 0.000110186 -118 *2872:90 *2892:65 3.47248e-05 -119 *2872:90 *3165:254 0.00015283 -120 *2872:90 *3192:67 0.0001281 -121 *2872:90 *3454:18 6.83286e-06 -122 *2872:90 *3892:57 4.12855e-05 -123 *2872:90 *3960:28 0.000302573 -124 *2872:90 *3960:43 0.000210205 -125 *2872:90 *4130:58 1.32023e-05 -126 *2872:90 *4130:77 3.10613e-05 -127 *2872:90 *4139:82 0.000925697 -128 *2872:90 *5493:25 8.47076e-05 -129 *2872:90 *5892:151 0.000306927 -130 *2872:90 *5947:85 0.000308568 -131 *2872:93 *2889:254 0.0013431 -132 *2872:93 *3452:32 0.000186819 -133 *2872:93 *3723:31 9.94995e-05 -134 *2872:93 *3723:34 2.32858e-05 -135 *2872:93 *3960:28 0.00075595 -136 *2872:93 *3960:62 5.31122e-05 -137 *2872:94 *3452:32 5.33005e-05 -138 *2872:112 *25675:A0 5.00802e-05 -139 *2872:112 *27533:A1 4.85049e-06 -140 *2872:112 *27555:B1 0.000125031 -141 *2872:112 *27556:B1 3.25477e-05 -142 *2872:112 *2889:281 0.00018077 -143 *2872:112 *3184:178 7.5779e-05 -144 *2872:112 *3698:40 0.000329007 -145 *2872:112 *3857:36 0.000877557 -146 *2872:112 *3857:37 0.000176941 -147 *2872:112 *4064:81 4.60714e-05 -148 *2872:112 *5657:88 2.07809e-05 -149 *2872:112 *5685:333 0.00010085 -150 *2872:134 *27555:B1 3.08382e-06 -151 *2872:134 *27556:A1 7.56214e-05 -152 *2872:134 *27556:A2 9.59041e-05 -153 *2872:134 *27556:B1 0.000303531 -154 *2872:134 *27559:C 0.000229378 -155 *2872:134 *2877:105 0.000103244 -156 *2872:134 *2886:89 1.02849e-05 -157 *2872:134 *2891:81 1.94945e-05 -158 *2872:134 *3184:169 0 -159 *2872:134 *3857:49 5.00194e-05 -160 *2872:134 *5686:185 0.000165754 -161 *2872:134 *5694:19 1.09611e-05 -162 *2872:134 *5694:31 0.00256347 -163 *2872:134 *5707:28 4.88232e-05 -164 *2872:134 *6036:44 2.09897e-05 -165 *2872:134 *6036:46 0.000165754 -166 *2872:135 *3687:40 0.000414158 -167 *2872:135 *3687:42 0.00184606 -168 *2872:135 *3753:26 0.00259402 -169 *2872:135 *6036:46 0.00485813 -170 *2872:154 *3206:13 0.000114577 -171 *2872:154 *3214:21 0.000809523 -172 *2872:160 *2874:8 7.6644e-05 -173 *2872:160 *2874:146 0.00124579 -174 *2872:160 *3388:17 0.000106759 -175 *2872:160 *3559:14 0.00120656 -176 *2872:163 *26896:A0 0.00131713 -177 *2872:163 *27770:S 0.000349902 -178 *2872:163 *28722:D 5.95766e-05 -179 *2872:163 *29493:A 0.000117913 -180 *2872:163 *2894:181 0.000480413 -181 *2872:163 *3939:21 0.000182915 -182 *2872:163 *3939:50 2.29047e-05 -183 *2872:163 *5667:67 0.000231098 -184 *2872:163 *5694:251 4.43299e-05 -185 *2872:163 *5694:283 0.000904177 -186 *2872:168 *27457:B1 0.000118112 -187 *2872:168 *27457:B2 4.00679e-05 -188 *2872:168 *28708:D 0.000139187 -189 *2872:168 *3558:213 0.00208937 -190 *2872:168 *4072:50 8.25843e-06 -191 *2872:186 *27458:C1 0.000123116 -192 *2872:186 *27473:C1 0.000147835 -193 *2872:186 *27479:B2 5.66018e-05 -194 *2872:186 *27481:C 2.06112e-05 -195 *2872:186 *2888:183 0.00034188 -196 *2872:186 *3031:10 0.000880993 -197 *2872:186 *3206:207 0.000151882 -198 *2872:186 *3787:44 0.000177821 -199 *2872:186 *3800:29 0.000118585 -200 *2872:186 *3865:44 8.50725e-05 -201 *2872:186 *3956:17 2.42387e-05 -202 *2872:186 *4072:50 0.001045 -203 *2872:186 *5654:52 7.7488e-05 -204 *2872:187 *27478:B2 0.00079804 -205 *2872:187 *27480:B1 0.000168939 -206 *2872:187 *27823:A1 0.00111716 -207 *2872:187 *2885:91 0.000460407 -208 *2872:187 *3165:55 0.000135449 -209 *2872:187 *3878:49 1.20719e-05 -210 *2872:187 *3904:50 0.000241198 -211 *2872:187 *4112:21 0.00103734 -212 *2872:187 *5654:52 1.90936e-05 -213 *2872:224 *3022:11 0.000173055 -214 *2872:224 *3165:55 0.000112911 -215 *2872:224 *3774:33 0.00012308 -216 *2872:224 *3993:14 0 -217 *2872:224 *4112:21 3.52836e-05 -218 *2872:224 *4134:96 0 -219 *2872:224 *4134:107 0 -220 *2872:224 *5603:24 4.18413e-05 -221 *2872:224 *5651:311 0.000120829 -222 *2872:237 *27383:A1 2.6269e-05 -223 *2872:237 *27383:B1 0.000490266 -224 *2872:237 *3176:254 4.32957e-05 -225 *2872:237 *3619:9 4.58194e-05 -226 *2872:237 *3619:14 0.000362299 -227 *2872:237 *3668:34 4.53834e-05 -228 *2872:237 *3774:33 0 -229 *2872:237 *5873:220 0.00110879 -230 *2872:237 *5873:232 0.000463971 -231 *2872:243 *3976:55 0.000126439 -232 *2872:260 *26966:A0 0.000467004 -233 *2872:260 *26966:A1 3.97677e-05 -234 *2872:260 *28547:D 0.000124534 -235 *2872:260 *2907:13 0.000558537 -236 *2872:260 *2924:7 5.33005e-05 -237 *2872:260 *3677:11 2.06178e-05 -238 *2872:260 *3846:34 0.00026537 -239 *2872:260 *3912:35 2.53379e-05 -240 *2872:260 *3992:54 0.000263663 -241 *2872:260 *5652:27 6.595e-05 -242 *2872:260 *5702:84 9.09249e-05 -243 *2872:279 *2873:17 9.58181e-05 -244 *2872:279 *3783:95 9.41642e-05 -245 *2872:279 *3797:91 0.000216755 -246 *2872:279 *3959:63 2.59355e-05 -247 *2872:279 *4076:59 9.58126e-05 -248 *2872:279 *5764:65 9.41642e-05 -249 *2872:279 *5764:76 0.000298483 -250 *2872:279 *5836:55 0.00051354 -251 *2872:295 *25157:B2 0.000473737 -252 *2872:295 *27308:B2 0.000137983 -253 *2872:295 *2882:246 0.000321402 -254 *2872:295 *3875:118 2.71873e-05 -255 *2872:295 *3959:63 0.000227072 -256 *2872:295 *4070:48 0.000129032 -257 *2872:295 *4070:50 2.26973e-05 -258 *2872:295 *4128:52 0.000712145 -259 *2872:295 *4135:70 7.12386e-05 -260 *2872:295 *5652:45 0.000380969 -261 *2872:295 *5688:115 1.64148e-05 -262 *2872:299 *27400:B2 2.09826e-05 -263 *2872:299 *27765:B2 0.000198706 -264 *2872:299 *2882:246 0.00048125 -265 *2872:299 *3680:34 0.000494905 -266 *2872:299 *4070:44 3.25669e-05 -267 *2872:299 *4122:28 0.000965541 -268 *2872:299 *5630:274 0.000299912 -269 *2872:299 *5643:237 0.00159813 -270 *2872:336 *3721:14 0.00058667 -271 *2872:336 *3774:33 0.00020259 -272 *2872:336 *3798:68 4.53834e-05 -273 *2872:336 *3902:32 0.00019863 -274 *2872:336 *3993:14 0 -275 *2872:336 *4085:34 0.00112073 -276 *2872:336 *4134:96 0 -277 *2872:336 *5630:182 2.41653e-05 -278 *2872:336 *5767:178 9.39125e-05 -279 *2872:355 *26945:A0 4.65519e-05 -280 *2872:355 *27430:A1 4.47387e-05 -281 *2872:355 *27436:B2 7.90746e-05 -282 *2872:355 *2882:303 0.000138342 -283 *2872:355 *2892:242 4.97121e-06 -284 *2872:355 *3902:32 3.98079e-05 -285 *2872:355 *4149:57 0.000979129 -286 *2872:376 *25042:B2 0 -287 *2872:376 *27132:A1 0.000424029 -288 *2872:376 *27430:A1 2.58139e-05 -289 *2872:376 *27430:B2 3.37161e-05 -290 *2872:376 *27430:C1 6.57032e-05 -291 *2872:376 *2874:359 0.000220639 -292 *2872:376 *2891:288 1.21258e-05 -293 *2872:376 *3291:17 8.50351e-05 -294 *2872:376 *3565:24 4.11218e-05 -295 *2872:376 *3915:37 4.83837e-05 -296 *2872:376 *3928:8 4.28365e-05 -297 *2872:376 *3939:94 6.78889e-05 -298 *2872:376 *5635:147 8.85631e-05 -299 *2872:376 *5649:310 0.000233124 -300 *2872:376 *5656:313 2.04825e-05 -301 *2872:376 *5683:134 0.000120885 -302 *2872:376 *5809:22 7.61417e-05 -303 *25070:B *2872:336 7.57673e-05 -304 *25070:C *2872:336 0.000597991 -305 *25249:C1 *2872:260 0.000165123 -306 *25257:A *2872:279 0.000841104 -307 *25257:C *2872:279 1.04232e-05 -308 *25257:D *2872:279 9.41642e-05 -309 *26966:S *2872:260 8.43535e-06 -310 *27307:B *2872:13 7.95355e-05 -311 *27307:B *2872:154 6.42095e-05 -312 *27307:C *2872:13 9.41642e-05 -313 *27309:C *2872:154 0.000345257 -314 *27318:C *2872:160 0.000628344 -315 *27383:A2 *2872:237 5.65955e-05 -316 *27391:A2 *27391:B1 0.000128571 -317 *27429:A2 *2872:376 0.00131983 -318 *27470:B1 *27469:A2 3.69047e-06 -319 *27480:A2 *2872:187 5.81381e-05 -320 *27597:A *2872:160 4.8476e-05 -321 *408:20 *2872:112 0 -322 *1250:243 *2872:186 0.00085224 -323 *1250:243 *2872:187 2.14378e-05 -324 *1250:245 *2872:187 0 -325 *1252:195 *2872:224 0 -326 *1258:100 *2872:186 0.000248873 -327 *1261:199 *2872:299 5.48896e-05 -328 *1267:221 *2872:224 3.97221e-05 -329 *1270:75 *2872:336 0 -330 *1276:76 *2872:295 0.00055552 -331 *1282:205 *2872:260 9.0145e-05 -332 *1287:132 *2872:295 0.000154296 -333 *1287:149 *2872:295 5.24358e-05 -334 *1308:19 *2872:186 0.000112577 -335 *1308:19 *2872:224 7.87981e-05 -336 *1365:16 *2872:355 0.00077136 -337 *1368:46 *2872:187 0.00144183 -338 *1368:46 *2872:224 4.38265e-05 -339 *1372:10 *2872:336 0.000352013 -340 *1372:12 *2872:336 1.17921e-05 -341 *1372:12 *2872:355 2.09826e-05 -342 *1385:34 *2872:224 0.000121492 -343 *1391:11 *2872:336 0.000578967 -344 *1396:83 *2872:93 0.00151631 -345 *1440:56 *2872:93 0.000113575 -346 *1476:42 *2872:134 0 -347 *1476:57 *2872:134 0.000400376 -348 *1490:26 *2872:160 0.000426824 -349 *1524:22 *2872:279 0.000112069 -350 *1545:28 *2872:134 1.09611e-05 -351 *1568:15 *2872:260 0.000178425 -352 *1574:27 *2872:260 9.33369e-05 -353 *1603:15 *2872:112 0.000838142 -354 *1603:15 *2872:134 7.49716e-05 -355 *1619:25 *2872:90 8.69554e-05 -356 *1629:14 *2872:41 0.000144348 -357 *1698:8 *2872:299 0.00160032 -358 *1828:12 *2872:93 0.000524355 -359 *1828:42 *2872:93 0.00136847 -360 *2760:26 *2872:163 0.000246828 -361 *2761:114 *27338:B1 0.00014966 -362 *2768:69 *2872:260 2.84494e-05 -363 *2775:160 *2872:295 4.73891e-05 -364 *2780:6 *2872:163 0.00412888 -365 *2780:25 *2872:163 0.000215669 -366 *2786:66 *27391:B1 0.000461937 -367 *2787:112 *2872:160 2.84109e-05 -368 *2792:95 *2872:355 0.00101964 -369 *2792:96 *2872:336 0.000561371 -370 *2792:96 *2872:355 0.000753089 -371 *2792:104 *2872:187 1.34261e-05 -372 *2834:68 *2872:41 9.84904e-05 -373 *2834:68 *2872:57 0.00018077 -374 *2845:172 *2872:160 0.000756135 -375 *2847:33 *2872:160 7.15591e-05 -376 *2848:123 *2872:134 0.00013805 -377 *2849:66 *2872:13 0.000237189 -378 *2850:72 *2872:57 0.000147395 -379 *2852:142 *27391:B1 7.41386e-05 -380 *2853:236 *2872:237 1.51571e-05 -381 *2859:73 *2872:90 0.00010579 -382 *2861:42 *2872:224 0.000121492 -383 *2864:264 *2872:243 0.000128154 -384 *2865:115 *2872:90 0.00011091 -385 *2866:294 *2872:187 0.00020785 -386 *2866:296 *2872:224 0.000193513 -387 *2871:62 *2872:90 0 -388 *2871:298 *2872:243 0.00054662 -389 *2871:298 *2872:260 0.000239615 -390 *2871:318 *2872:279 0.00201437 -*RES -1 *27307:X *2872:4 9.3 -2 *2872:4 *2872:13 20.5357 -3 *2872:13 *2872:21 41.9732 -4 *2872:21 *2872:29 46.0089 -5 *2872:29 *2872:41 48.0699 -6 *2872:41 *2872:47 9.23143 -7 *2872:47 *2872:57 26.1696 -8 *2872:57 *27508:B1 46.6214 -9 *2872:57 *2872:90 45.0181 -10 *2872:90 *2872:93 49.0982 -11 *2872:93 *2872:94 1.35714 -12 *2872:94 *27533:A2 9.3 -13 *2872:94 *2872:112 34.3036 -14 *2872:112 *2872:134 33.0797 -15 *2872:134 *2872:135 64.3304 -16 *2872:135 *27583:B1 15.9786 -17 *2872:112 *27555:A2 9.3 -18 *2872:47 *27498:A2 9.3 -19 *2872:4 *2872:154 12.4286 -20 *2872:154 *2872:160 48.7321 -21 *2872:160 *2872:162 4.5 -22 *2872:162 *2872:163 64.7857 -23 *2872:163 *2872:168 36.875 -24 *2872:168 *27457:A2 9.3 -25 *2872:168 *2872:186 43.0934 -26 *2872:186 *2872:187 42.1696 -27 *2872:187 *27469:A2 35.6304 -28 *2872:187 *2872:224 10.3723 -29 *2872:224 *2872:237 46.2474 -30 *2872:237 *2872:243 16.1071 -31 *2872:243 *2872:260 49.4286 -32 *2872:260 *2872:279 41.75 -33 *2872:279 *2872:295 33.6313 -34 *2872:295 *2872:299 43.2589 -35 *2872:299 *27405:B1 9.3 -36 *2872:279 *27308:B1 9.3 -37 *2872:260 *27338:B1 16.3536 -38 *2872:243 *27361:A2 9.3 -39 *2872:224 *2872:336 43.7076 -40 *2872:336 *2872:355 38.1626 -41 *2872:355 *2872:376 44.0513 -42 *2872:376 *27391:B1 26.1571 -43 *2872:355 *27430:A2 9.3 -*END - -*D_NET *2873 0.00585304 -*CONN -*I *27311:C1 I *D sky130_fd_sc_hd__a221o_2 -*I *27308:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27311:C1 0 -2 *27308:X 0.000864246 -3 *2873:17 0.000864246 -4 *2873:17 *27311:A1 0.00020551 -5 *2873:17 *3305:8 0.0018334 -6 *2873:17 *3541:13 0.000381858 -7 *2873:17 *3862:42 9.5171e-05 -8 *25251:B *2873:17 0.000228255 -9 *25251:D *2873:17 0.000705096 -10 *1293:75 *2873:17 0.000387162 -11 *2780:195 *2873:17 0.000192276 -12 *2872:279 *2873:17 9.58181e-05 -*RES -1 *27308:X *2873:17 47.675 -2 *2873:17 *27311:C1 9.3 -*END - -*D_NET *2874 0.194716 -*CONN -*I *27390:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27426:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27360:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27403:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27311:A2 I *D sky130_fd_sc_hd__a221o_2 -*I *27345:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27475:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27457:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27490:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *27558:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *6626:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27588:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27523:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27532:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27309:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27390:B1 0.000175301 -2 *27426:B1 0 -3 *27360:B1 0.00203646 -4 *27403:B1 0 -5 *27311:A2 0 -6 *27345:A2 5.6217e-06 -7 *27475:A2 0.000340742 -8 *27457:B1 0.000970153 -9 *27490:A2 0 -10 *27558:A2 0.000277366 -11 *6626:DIODE 3.59042e-05 -12 *27588:A2 7.82648e-05 -13 *27523:A2 3.81307e-05 -14 *27532:B1 2.98695e-05 -15 *27309:X 9.46942e-05 -16 *2874:359 0.00134759 -17 *2874:336 0.00476885 -18 *2874:321 0.00685295 -19 *2874:305 0.00166267 -20 *2874:290 0.00267294 -21 *2874:278 0.00238216 -22 *2874:249 0.00313882 -23 *2874:227 0.00319967 -24 *2874:212 0.00533418 -25 *2874:209 0.00550892 -26 *2874:192 0.00103229 -27 *2874:190 0.00147851 -28 *2874:169 0.00340815 -29 *2874:158 0.00396236 -30 *2874:146 0.0030529 -31 *2874:102 0.000796206 -32 *2874:101 0.00224625 -33 *2874:85 0.0029876 -34 *2874:74 0.00250691 -35 *2874:68 0.00165912 -36 *2874:57 0.000810591 -37 *2874:54 0.00251499 -38 *2874:35 0.00743984 -39 *2874:34 0.0053692 -40 *2874:32 0.00220883 -41 *2874:20 0.00443098 -42 *2874:8 0.00302711 -43 *6626:DIODE *27588:A1 2.42516e-05 -44 *6626:DIODE *3450:23 8.17274e-05 -45 *27345:A2 *27345:A1 1.46576e-05 -46 *27345:A2 *27345:B2 7.13226e-06 -47 *27360:B1 *27361:B1 5.9547e-05 -48 *27360:B1 *3250:39 1.94879e-05 -49 *27360:B1 *5600:173 2.06725e-05 -50 *27360:B1 *5630:176 0 -51 *27390:B1 *28695:D 9.95103e-05 -52 *27390:B1 *3291:17 0.000113877 -53 *27390:B1 *4134:45 1.10602e-05 -54 *27390:B1 *4147:46 0.000135136 -55 *27390:B1 *5809:22 0.0001354 -56 *27457:B1 *27457:B2 0.000135028 -57 *27457:B1 *28708:D 2.89114e-05 -58 *27457:B1 *3174:209 0.00196932 -59 *27457:B1 *3558:213 1.02821e-05 -60 *27475:A2 *27475:A1 5.33005e-05 -61 *27475:A2 *27475:B1 4.0012e-05 -62 *27523:A2 *27525:A 1.24368e-05 -63 *27523:A2 *4114:28 3.97677e-05 -64 *27532:B1 *2892:65 1.08359e-05 -65 *27532:B1 *3960:28 3.22325e-05 -66 *27558:A2 *28717:D 8.37343e-05 -67 *27558:A2 *3698:40 0.000217756 -68 *27558:A2 *3870:52 0.000135028 -69 *27558:A2 *3935:32 6.64096e-05 -70 *27558:A2 *4130:80 3.69047e-06 -71 *27558:A2 *4130:103 1.55676e-05 -72 *27558:A2 *5657:90 5.83233e-05 -73 *27558:A2 *5657:114 0.000167961 -74 *27588:A2 *27588:A1 0.000135763 -75 *27588:A2 *3936:51 9.19433e-05 -76 *2874:8 *3572:20 0.0001399 -77 *2874:20 *27376:B1 7.57673e-05 -78 *2874:20 *3572:20 0.000263146 -79 *2874:20 *3820:77 9.56491e-05 -80 *2874:20 *5627:13 0.000721878 -81 *2874:20 *6225:114 7.40526e-05 -82 *2874:32 *27759:A1 1.52739e-05 -83 *2874:32 *27759:A2 0.000176204 -84 *2874:32 *27759:B2 1.65498e-05 -85 *2874:32 *27759:C1 0.000239832 -86 *2874:32 *28342:CLK 7.55769e-05 -87 *2874:32 *28658:CLK 4.53889e-05 -88 *2874:32 *29267:A 0.000136951 -89 *2874:32 *3560:18 9.73566e-05 -90 *2874:32 *3572:20 0.000136682 -91 *2874:32 *3642:46 0.000185684 -92 *2874:32 *3992:25 8.27238e-06 -93 *2874:32 *5700:132 6.07797e-05 -94 *2874:32 *5873:153 0.000165123 -95 *2874:35 *27905:A1 2.42516e-05 -96 *2874:35 *27906:C1 0.000180951 -97 *2874:35 *2878:25 8.04806e-06 -98 *2874:35 *3482:37 0.000135028 -99 *2874:54 *3081:8 5.41794e-05 -100 *2874:54 *3096:15 5.31101e-05 -101 *2874:54 *3869:34 0.00218674 -102 *2874:54 *5634:71 5.59013e-05 -103 *2874:54 *5718:121 0.000319551 -104 *2874:54 *5718:126 0.00014285 -105 *2874:54 *5718:174 1.27126e-05 -106 *2874:57 *3869:34 0.00101341 -107 *2874:57 *3960:29 5.33005e-05 -108 *2874:57 *3960:43 8.15091e-05 -109 *2874:68 *27493:C 0.000135028 -110 *2874:68 *2892:65 0.000683779 -111 *2874:68 *3892:57 0 -112 *2874:68 *3960:28 1.10632e-05 -113 *2874:68 *4139:82 6.81704e-05 -114 *2874:74 *27525:A 0.000122591 -115 *2874:74 *27865:C 0.00105895 -116 *2874:74 *28055:A 0.000188369 -117 *2874:74 *28137:SET_B 0.000580136 -118 *2874:74 *2882:96 0.00134832 -119 *2874:74 *3457:10 3.99528e-05 -120 *2874:74 *4152:50 5.19462e-05 -121 *2874:74 *5493:25 0.00116766 -122 *2874:74 *5657:88 0.000838908 -123 *2874:74 *6036:38 0 -124 *2874:85 *27523:A1 3.99614e-06 -125 *2874:85 *27523:B1 0 -126 *2874:85 *27557:A1 6.5714e-05 -127 *2874:85 *3212:99 0.00253345 -128 *2874:85 *3457:10 0.00122473 -129 *2874:85 *3685:20 6.19639e-06 -130 *2874:85 *4130:77 0.000535391 -131 *2874:85 *5727:281 0.000143161 -132 *2874:101 *27557:A1 6.09476e-05 -133 *2874:101 *27564:B2 0.000231214 -134 *2874:101 *27959:A2 2.35215e-05 -135 *2874:101 *28314:CLK 3.34366e-05 -136 *2874:101 *3637:8 0.000324736 -137 *2874:101 *3637:10 0.000335208 -138 *2874:101 *3637:17 0.000154317 -139 *2874:101 *3684:21 0.00042 -140 *2874:101 *3685:20 6.07037e-07 -141 *2874:101 *3727:20 3.32424e-05 -142 *2874:101 *3805:59 4.66249e-05 -143 *2874:101 *3882:47 0.000916911 -144 *2874:101 *3896:56 0.000273035 -145 *2874:101 *4142:26 0.000821826 -146 *2874:101 *4159:56 1.09611e-05 -147 *2874:101 *5706:38 2.05803e-05 -148 *2874:101 *5728:205 9.74518e-05 -149 *2874:102 *3450:23 0.000298483 -150 *2874:102 *3637:7 9.58181e-05 -151 *2874:102 *3685:66 0.00154042 -152 *2874:102 *3936:35 0.00187327 -153 *2874:102 *3936:51 0.000244738 -154 *2874:146 *3182:16 0.000767621 -155 *2874:146 *5717:142 1.31516e-05 -156 *2874:146 *5717:149 0.000198735 -157 *2874:158 *27820:B2 9.69712e-05 -158 *2874:158 *3174:12 6.35819e-05 -159 *2874:158 *3174:153 0.00330572 -160 *2874:158 *3174:188 0.000654257 -161 *2874:158 *3183:29 0.00104555 -162 *2874:158 *3183:40 0.000315476 -163 *2874:158 *3939:11 9.02928e-05 -164 *2874:158 *5653:19 4.83837e-05 -165 *2874:169 *25118:A1 0.000809087 -166 *2874:169 *26893:A1 4.88511e-05 -167 *2874:169 *3174:209 9.8735e-05 -168 *2874:169 *3293:14 0.000294558 -169 *2874:169 *3558:213 4.11218e-05 -170 *2874:169 *3627:50 0.000324053 -171 *2874:169 *3939:50 2.35215e-05 -172 *2874:169 *3939:51 0.000282886 -173 *2874:169 *3946:18 2.71841e-05 -174 *2874:169 *3952:18 9.84204e-06 -175 *2874:169 *4082:80 0.00116375 -176 *2874:169 *5640:18 0.000104702 -177 *2874:169 *5656:68 0.000138441 -178 *2874:169 *5926:78 5.25192e-06 -179 *2874:190 *27176:A0 1.39726e-05 -180 *2874:190 *27176:A1 0.000278342 -181 *2874:190 *28485:D 1.18064e-05 -182 *2874:190 *3153:165 0.00038294 -183 *2874:190 *3939:51 6.48314e-06 -184 *2874:190 *5643:298 9.8958e-05 -185 *2874:190 *5756:29 0.000796533 -186 *2874:190 *5926:78 0.00129134 -187 *2874:192 *25200:A1 0.000433075 -188 *2874:192 *26984:A1 9.25014e-06 -189 *2874:192 *28649:D 0.000100823 -190 *2874:192 *3153:165 3.90535e-05 -191 *2874:192 *4082:76 0.00150022 -192 *2874:192 *4082:80 0.000136935 -193 *2874:192 *5660:134 0.000310692 -194 *2874:192 *5660:157 0.000128772 -195 *2874:192 *5829:96 0.000307021 -196 *2874:192 *5926:78 4.46689e-05 -197 *2874:209 *26984:A1 6.73939e-05 -198 *2874:209 *4082:76 7.6644e-05 -199 *2874:212 *28563:CLK 3.04394e-05 -200 *2874:212 *3703:10 1.69464e-05 -201 *2874:212 *3703:35 0.000120884 -202 *2874:212 *5643:66 6.86693e-05 -203 *2874:212 *5657:232 0.000432729 -204 *2874:227 *27311:B1 8.92205e-05 -205 *2874:227 *2875:243 0.000634682 -206 *2874:227 *2877:274 0 -207 *2874:227 *3306:6 0.000107313 -208 *2874:227 *3907:32 0.000107807 -209 *2874:227 *3992:54 0.000247203 -210 *2874:227 *4132:51 0.000682365 -211 *2874:227 *5638:110 0.000102859 -212 *2874:227 *5650:250 0 -213 *2874:227 *5748:16 0.000598275 -214 *2874:249 *25340:A1 4.73891e-05 -215 *2874:249 *27311:B1 0.000612185 -216 *2874:249 *27311:B2 0.000118067 -217 *2874:249 *27345:A1 2.01997e-05 -218 *2874:249 *2876:19 6.68169e-05 -219 *2874:249 *3185:107 0.000165718 -220 *2874:249 *3306:6 8.14112e-05 -221 *2874:249 *3998:46 6.74324e-05 -222 *2874:249 *4069:112 0.000197699 -223 *2874:249 *5627:75 0.000260629 -224 *2874:249 *5638:110 8.95905e-05 -225 *2874:249 *5644:205 2.90006e-05 -226 *2874:249 *5644:218 4.00518e-05 -227 *2874:278 *25196:B2 5.94205e-05 -228 *2874:278 *27311:A1 0.00154255 -229 *2874:278 *27311:B1 1.09669e-05 -230 *2874:278 *2891:329 2.12087e-05 -231 *2874:278 *3305:8 5.41794e-05 -232 *2874:278 *3541:13 0.000406528 -233 *2874:278 *4128:52 9.91224e-05 -234 *2874:278 *5614:37 0.000265038 -235 *2874:290 *25076:A1 0.000274848 -236 *2874:290 *25196:B2 0.00014836 -237 *2874:290 *3927:20 0.00219752 -238 *2874:290 *4022:47 0.000364352 -239 *2874:290 *4109:56 0.000352718 -240 *2874:305 *27399:A1 0.00017121 -241 *2874:305 *27763:A2 0.000166643 -242 *2874:305 *29059:A 7.27952e-05 -243 *2874:305 *3177:136 6.14836e-06 -244 *2874:305 *3552:30 0.000339419 -245 *2874:305 *3771:50 0.000512017 -246 *2874:305 *3784:36 0.000117743 -247 *2874:305 *3784:79 0.000864569 -248 *2874:305 *3959:45 0.000919266 -249 *2874:305 *3959:63 0.00125892 -250 *2874:305 *4088:26 3.81593e-05 -251 *2874:305 *4096:48 0.000672115 -252 *2874:305 *4109:46 5.19216e-05 -253 *2874:305 *4109:53 0.000150148 -254 *2874:305 *4135:45 8.33498e-05 -255 *2874:305 *4135:70 9.66977e-05 -256 *2874:305 *5832:8 0.000460891 -257 *2874:321 *26984:A1 0.000191163 -258 *2874:321 *3153:171 0.00159187 -259 *2874:321 *3887:60 5.69617e-05 -260 *2874:321 *4082:76 0.000277079 -261 *2874:321 *4108:58 4.37712e-06 -262 *2874:321 *5660:157 0.00161388 -263 *2874:336 *27380:A1 0.000262992 -264 *2874:336 *27381:B1 0.000165754 -265 *2874:336 *27743:D 0.00014833 -266 *2874:336 *3291:17 6.72288e-05 -267 *2874:336 *4134:45 0.000327163 -268 *2874:336 *4147:58 0.000457048 -269 *2874:336 *5660:189 0.00035638 -270 *2874:336 *5829:76 0.000568595 -271 *2874:336 *5926:72 0.000299418 -272 *2874:359 *27426:A1 3.57366e-05 -273 *2874:359 *27426:B2 0.000116187 -274 *2874:359 *27426:C1 2.54074e-05 -275 *2874:359 *30234:A 4.00679e-05 -276 *2874:359 *2891:311 9.17643e-05 -277 *2874:359 *2986:11 0.000178425 -278 *2874:359 *3291:17 0.000108001 -279 *2874:359 *3367:43 8.96761e-05 -280 *2874:359 *3537:47 1.60861e-05 -281 *2874:359 *3565:24 8.74295e-05 -282 *2874:359 *3915:37 8.94491e-05 -283 *2874:359 *3916:20 4.28365e-05 -284 *2874:359 *3928:8 1.90936e-05 -285 *2874:359 *5627:216 4.11218e-05 -286 *2874:359 *5683:134 0 -287 *2874:359 *5692:31 3.23658e-05 -288 *2874:359 *5809:22 0.00131392 -289 *2874:359 *5905:79 9.41642e-05 -290 *25196:A2 *2874:290 7.48301e-06 -291 *25196:B1 *2874:278 7.6997e-05 -292 *25196:C1 *2874:278 0.00014239 -293 *25461:A1 *2874:85 0.00024346 -294 *25461:A3 *2874:85 0.00011089 -295 *26984:S *27475:A2 0.000235425 -296 *27281:A2 *2874:305 9.5384e-05 -297 *27429:A2 *2874:359 3.67509e-05 -298 *27454:A2 *2874:158 0 -299 *27532:A2 *2874:57 1.46576e-05 -300 *30038:A *2874:190 4.43046e-05 -301 *30289:A *2874:101 4.15592e-05 -302 *30448:A *2874:74 7.83587e-05 -303 *408:20 *2874:85 1.10423e-05 -304 *1178:158 *2874:321 6.90381e-06 -305 *1185:125 *2874:192 0.000190873 -306 *1185:175 *27360:B1 1.4477e-06 -307 *1185:175 *2874:321 0.0017725 -308 *1185:175 *2874:336 0.000143652 -309 *1228:73 *2874:20 9.71733e-05 -310 *1237:39 *2874:146 0.000765691 -311 *1252:21 *2874:35 0.000306505 -312 *1260:73 *2874:249 0.000184972 -313 *1262:53 *2874:305 0.00154844 -314 *1265:95 *2874:249 1.32704e-05 -315 *1275:94 *2874:227 0.000101829 -316 *1282:174 *2874:290 0.000187235 -317 *1287:132 *2874:305 0 -318 *1287:210 *27360:B1 0.00250941 -319 *1287:210 *2874:336 0.000373404 -320 *1288:48 *2874:278 5.49489e-05 -321 *1288:229 *2874:190 9.41642e-05 -322 *1293:65 *2874:278 5.59013e-05 -323 *1358:25 *2874:101 2.48858e-05 -324 *1361:29 *2874:249 0.000171674 -325 *1396:64 *27558:A2 6.05161e-06 -326 *1451:6 *2874:290 3.25355e-05 -327 *1451:6 *2874:305 0.000169437 -328 *1476:238 *2874:290 2.79421e-05 -329 *1480:35 *2874:35 0.000224341 -330 *1494:22 *2874:227 0 -331 *1506:18 *27390:B1 1.76352e-05 -332 *1559:10 *2874:290 0.000205401 -333 *1607:8 *2874:32 7.14469e-05 -334 *1634:16 *2874:278 1.08936e-05 -335 *1657:40 *2874:35 0.000135028 -336 *1667:8 *2874:290 4.58194e-05 -337 *1693:14 *2874:278 1.96817e-05 -338 *1693:14 *2874:290 0.000500545 -339 *1693:18 *2874:278 3.17299e-05 -340 *1719:12 *2874:305 0.000927965 -341 *1826:117 *2874:158 0.00136548 -342 *1826:117 *2874:190 0.000124184 -343 *1826:154 *27360:B1 8.77988e-05 -344 *1864:51 *27523:A2 4.82947e-05 -345 *1864:51 *2874:74 6.81704e-05 -346 *1890:35 *2874:32 8.25843e-06 -347 *2760:113 *2874:321 0.00191594 -348 *2760:113 *2874:336 0.00336121 -349 *2776:65 *2874:32 0.000546198 -350 *2784:59 *2874:32 9.56491e-05 -351 *2786:80 *2874:336 0 -352 *2787:78 *2874:20 0.000625148 -353 *2787:78 *2874:32 3.69047e-06 -354 *2787:128 *2874:169 0.0011297 -355 *2794:163 *2874:212 0.00154194 -356 *2794:172 *2874:212 8.90461e-05 -357 *2845:31 *2874:35 0.00277211 -358 *2845:31 *2874:54 0.000426563 -359 *2845:172 *2874:146 7.6644e-05 -360 *2847:33 *2874:8 7.6644e-05 -361 *2847:33 *2874:146 0.000267117 -362 *2848:369 *27390:B1 0.000125884 -363 *2848:369 *2874:359 0.000387381 -364 *2850:164 *2874:20 0.000221212 -365 *2852:17 *2874:158 0 -366 *2853:202 *27457:B1 0.00225536 -367 *2859:157 *2874:146 0.000432378 -368 *2859:223 *27360:B1 0.00065394 -369 *2859:242 *27360:B1 4.35597e-05 -370 *2859:296 *2874:158 2.52234e-05 -371 *2860:351 *2874:169 9.91086e-05 -372 *2864:292 *27360:B1 5.58679e-05 -373 *2865:98 *27532:B1 5.58941e-05 -374 *2865:98 *2874:68 0.000580622 -375 *2871:62 *2874:68 5.74562e-05 -376 *2871:393 *2874:321 0 -377 *2871:393 *2874:336 0 -378 *2872:160 *2874:8 7.6644e-05 -379 *2872:160 *2874:146 0.00124579 -380 *2872:168 *27457:B1 0.000118112 -381 *2872:376 *2874:359 0.000220639 -*RES -1 *27309:X *2874:8 16.1929 -2 *2874:8 *2874:20 46.9107 -3 *2874:20 *2874:32 48.1429 -4 *2874:32 *2874:34 4.5 -5 *2874:34 *2874:35 65.8393 -6 *2874:35 *2874:54 49.0357 -7 *2874:54 *2874:57 14.4821 -8 *2874:57 *27532:B1 14.5321 -9 *2874:57 *2874:68 15.3929 -10 *2874:68 *2874:74 49.3393 -11 *2874:74 *27523:A2 10.2464 -12 *2874:74 *2874:85 39.0536 -13 *2874:85 *2874:101 36.9032 -14 *2874:101 *2874:102 21.4821 -15 *2874:102 *27588:A2 11.367 -16 *2874:102 *6626:DIODE 10.2464 -17 *2874:85 *27558:A2 29.8937 -18 *2874:68 *27490:A2 9.3 -19 *2874:8 *2874:146 33.0357 -20 *2874:146 *2874:158 43.5988 -21 *2874:158 *2874:169 37.1518 -22 *2874:169 *27457:B1 41.0321 -23 *2874:169 *2874:190 32.7054 -24 *2874:190 *2874:192 23.6518 -25 *2874:192 *27475:A2 19.1393 -26 *2874:192 *2874:209 1.03571 -27 *2874:209 *2874:212 49.8036 -28 *2874:212 *2874:227 30.2764 -29 *2874:227 *27345:A2 13.9473 -30 *2874:227 *2874:249 23.0992 -31 *2874:249 *27311:A2 9.3 -32 *2874:249 *2874:278 47.8214 -33 *2874:278 *2874:290 49.7589 -34 *2874:290 *2874:305 42.5602 -35 *2874:305 *27403:B1 9.3 -36 *2874:209 *2874:321 36.851 -37 *2874:321 *27360:B1 30.2853 -38 *2874:321 *2874:336 10.0611 -39 *2874:336 *2874:359 42.2107 -40 *2874:359 *27426:B1 9.3 -41 *2874:336 *27390:B1 22.9019 -*END - -*D_NET *2875 0.168839 -*CONN -*I *27422:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27463:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27386:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27347:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27415:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27311:B1 I *D sky130_fd_sc_hd__a221o_2 -*I *27366:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27501:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27573:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27545:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27553:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27520:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27445:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27310:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27422:B1 0.000345813 -2 *27463:B1 0.00055042 -3 *27386:B1 0.000176868 -4 *27347:A2 0.00018996 -5 *27415:B1 0 -6 *27311:B1 0.00177249 -7 *27366:A2 0.000196694 -8 *27501:A2 0.000241699 -9 *27573:B1 0.00095288 -10 *27545:A2 0.000907193 -11 *27553:A2 0.000149081 -12 *27520:A2 4.5932e-05 -13 *27445:B1 0.00207711 -14 *27310:X 0.000109861 -15 *2875:344 0.00134718 -16 *2875:322 0.00220907 -17 *2875:302 0.00426607 -18 *2875:275 0.00332042 -19 *2875:260 0.00351038 -20 *2875:258 0.0040855 -21 *2875:243 0.00660457 -22 *2875:232 0.00573929 -23 *2875:207 0.00310587 -24 *2875:196 0.00486908 -25 *2875:134 0.00268578 -26 *2875:119 0.00213622 -27 *2875:100 0.0021456 -28 *2875:99 0.00123983 -29 *2875:85 0.00184382 -30 *2875:69 0.00323884 -31 *2875:63 0.00652209 -32 *2875:46 0.00592474 -33 *2875:28 0.00484472 -34 *2875:10 0.00235526 -35 *27311:B1 *25340:A1 9.68222e-06 -36 *27311:B1 *27311:A1 1.83136e-05 -37 *27311:B1 *27311:B2 0.000157629 -38 *27311:B1 *27345:A1 0.000280831 -39 *27311:B1 *2891:177 1.09611e-05 -40 *27311:B1 *3162:34 3.77315e-05 -41 *27311:B1 *4043:70 4.53834e-05 -42 *27311:B1 *4133:133 0 -43 *27311:B1 *5627:75 0.000134241 -44 *27311:B1 *5643:221 0.000169492 -45 *27311:B1 *5644:205 9.08144e-05 -46 *27347:A2 *25343:A1 9.10327e-05 -47 *27347:A2 *26885:A1 7.86118e-06 -48 *27347:A2 *27344:A1 2.97782e-05 -49 *27347:A2 *27347:B2 1.46576e-05 -50 *27347:A2 *2877:313 0 -51 *27347:A2 *2882:206 6.14836e-06 -52 *27347:A2 *3846:34 4.5539e-05 -53 *27347:A2 *3992:54 4.21517e-05 -54 *27366:A2 *27366:B1 2.63504e-05 -55 *27366:A2 *27366:B2 2.57166e-05 -56 *27366:A2 *5748:36 4.08484e-05 -57 *27386:B1 *27386:A1 5.22359e-06 -58 *27386:B1 *27386:B2 1.70819e-05 -59 *27386:B1 *3913:43 7.67241e-05 -60 *27422:B1 *27422:A1 6.05161e-06 -61 *27422:B1 *27422:B2 7.45939e-06 -62 *27422:B1 *27422:C1 1.98839e-05 -63 *27422:B1 *5862:14 0.000219257 -64 *27445:B1 *25007:B2 2.95726e-05 -65 *27445:B1 *27445:B2 5.7661e-06 -66 *27445:B1 *2882:22 0.000158552 -67 *27445:B1 *3040:41 5.37466e-05 -68 *27445:B1 *3165:192 0 -69 *27445:B1 *3537:74 0.000110632 -70 *27445:B1 *3838:23 2.60984e-05 -71 *27445:B1 *5631:20 0.00010891 -72 *27445:B1 *5638:16 3.05074e-05 -73 *27445:B1 *5687:212 0.000216982 -74 *27463:B1 *25066:A1 0.000453197 -75 *27463:B1 *27463:B2 5.52302e-05 -76 *27463:B1 *4138:31 0.000177815 -77 *27463:B1 *5644:71 0.000451489 -78 *27501:A2 *27502:C1 4.87854e-05 -79 *27501:A2 *2878:75 3.15196e-05 -80 *27501:A2 *2880:215 6.56976e-05 -81 *27501:A2 *3099:17 5.52302e-05 -82 *27501:A2 *3840:46 0.000233948 -83 *27520:A2 *27520:A1 9.19433e-05 -84 *27520:A2 *27520:C1 0.000135763 -85 *27545:A2 *27545:B1 0.000180764 -86 *27545:A2 *3101:17 0.000271935 -87 *27545:A2 *3143:11 0.0012913 -88 *27545:A2 *3741:68 0.000416951 -89 *27545:A2 *4066:46 0.00135207 -90 *27545:A2 *4142:56 0.000388038 -91 *27553:A2 *27553:A1 1.04232e-05 -92 *27553:A2 *2877:87 3.97677e-05 -93 *27553:A2 *3749:108 0.000149031 -94 *27553:A2 *3831:92 1.21258e-05 -95 *27553:A2 *3948:41 0.000135028 -96 *27573:B1 *27573:B2 9.41642e-05 -97 *27573:B1 *27584:A 0.000671478 -98 *2875:10 *6318:32 0.000102859 -99 *2875:28 *2978:36 0.000204702 -100 *2875:46 *25407:A1 1.7845e-05 -101 *2875:46 *25407:B2 0.00117727 -102 *2875:46 *2882:22 0 -103 *2875:46 *3164:35 7.93583e-05 -104 *2875:46 *3170:152 2.83129e-05 -105 *2875:46 *3538:39 0.00100161 -106 *2875:46 *3886:51 2.04825e-05 -107 *2875:46 *5196:78 1.11958e-05 -108 *2875:46 *5599:273 3.59681e-05 -109 *2875:46 *5687:129 9.48557e-05 -110 *2875:46 *5687:212 0.000646385 -111 *2875:46 *6225:116 1.90936e-05 -112 *2875:63 *25265:A1 0.000167762 -113 *2875:63 *25330:A1 0 -114 *2875:63 *25942:B 0.00034188 -115 *2875:63 *25947:A0 5.49166e-05 -116 *2875:63 *30791:A 6.28948e-05 -117 *2875:63 *3174:29 0.00106341 -118 *2875:63 *3314:41 0 -119 *2875:63 *3816:51 0.000109745 -120 *2875:63 *3921:77 0.00159273 -121 *2875:63 *4088:56 0.00020822 -122 *2875:63 *5634:52 0.000227979 -123 *2875:63 *5869:27 0.000190229 -124 *2875:63 *5947:56 0.000165671 -125 *2875:63 *5947:74 0.00114687 -126 *2875:69 *25390:B2 0.000925752 -127 *2875:69 *3176:110 0.000126599 -128 *2875:69 *3892:75 5.46346e-05 -129 *2875:69 *3970:40 0.000838534 -130 *2875:69 *5457:21 4.65519e-05 -131 *2875:69 *5629:89 1.13786e-05 -132 *2875:69 *5634:52 0.00167199 -133 *2875:69 *5661:70 0.000803061 -134 *2875:69 *5685:39 6.13772e-05 -135 *2875:85 *27520:C1 0.000259549 -136 *2875:85 *3970:40 0.000146616 -137 *2875:85 *5661:70 0.00014313 -138 *2875:85 *5717:108 0.00129084 -139 *2875:85 *5744:37 2.86824e-05 -140 *2875:85 *5744:102 0.000290557 -141 *2875:99 *2883:71 0.000460616 -142 *2875:99 *2883:89 0.000117677 -143 *2875:99 *2895:122 9.41642e-05 -144 *2875:99 *4131:36 0.00105875 -145 *2875:100 *2883:89 0.00123083 -146 *2875:100 *3101:17 0.00010856 -147 *2875:100 *3450:32 2.07371e-05 -148 *2875:100 *3958:16 0.000647349 -149 *2875:100 *4101:28 0.00192232 -150 *2875:119 *27564:A1 0.000236288 -151 *2875:119 *27564:B1 1.41029e-05 -152 *2875:119 *27569:D 1.24368e-05 -153 *2875:119 *27588:B1 0.000722929 -154 *2875:119 *27929:A1 5.96516e-05 -155 *2875:119 *2883:89 0.000166904 -156 *2875:119 *2895:171 0 -157 *2875:119 *3958:16 0.000378014 -158 *2875:119 *4101:24 0.00138468 -159 *2875:119 *4101:28 0.000743044 -160 *2875:119 *4350:48 0.000866691 -161 *2875:119 *5623:23 0.00023962 -162 *2875:134 *25198:B2 0 -163 *2875:134 *3101:17 3.2234e-05 -164 *2875:134 *3115:19 3.47641e-06 -165 *2875:134 *3480:11 0.000153193 -166 *2875:134 *3480:23 5.74499e-06 -167 *2875:134 *4101:24 6.13706e-05 -168 *2875:134 *4113:104 1.39246e-05 -169 *2875:134 *4350:48 1.30375e-05 -170 *2875:134 *5651:176 9.51694e-05 -171 *2875:134 *5656:189 9.76235e-05 -172 *2875:196 *27717:A1 5.00194e-05 -173 *2875:196 *2886:175 4.24122e-05 -174 *2875:196 *2917:26 0.000732072 -175 *2875:196 *2978:36 0.000930865 -176 *2875:196 *3153:140 2.63501e-05 -177 *2875:196 *3184:71 2.02794e-05 -178 *2875:196 *3782:76 0.00124316 -179 *2875:196 *3796:62 0.000463189 -180 *2875:196 *3796:68 0.0014559 -181 *2875:196 *4186:20 0.00150205 -182 *2875:196 *5467:14 0.000821525 -183 *2875:196 *5583:112 1.95291e-05 -184 *2875:196 *5644:158 0.000447539 -185 *2875:196 *5651:85 0.000163019 -186 *2875:207 *27366:B2 0.000362878 -187 *2875:207 *27710:B2 0 -188 *2875:207 *27711:B2 0.00169884 -189 *2875:207 *2880:178 4.65519e-05 -190 *2875:207 *3743:51 1.09611e-05 -191 *2875:207 *3796:68 0.000258066 -192 *2875:232 *3197:52 0.000215583 -193 *2875:232 *3704:45 0.00017754 -194 *2875:232 *3835:73 8.55871e-05 -195 *2875:232 *3963:109 0.000218726 -196 *2875:243 *25343:A1 0.000775006 -197 *2875:243 *27332:A 0.000420178 -198 *2875:243 *3185:92 0.00020771 -199 *2875:243 *3783:108 0.000120169 -200 *2875:243 *3783:110 0.000997743 -201 *2875:243 *4132:51 1.49122e-05 -202 *2875:243 *5655:193 0.000111243 -203 *2875:243 *5700:50 4.54205e-05 -204 *2875:243 *5748:16 0.000136737 -205 *2875:243 *5748:28 0.000205072 -206 *2875:258 *25343:A1 0.000668766 -207 *2875:258 *2882:206 1.66624e-05 -208 *2875:258 *2886:258 0.00114497 -209 *2875:258 *3185:107 4.66249e-05 -210 *2875:258 *3924:82 0.000224096 -211 *2875:258 *4132:38 6.89694e-05 -212 *2875:258 *4132:51 1.49122e-05 -213 *2875:275 *25340:A1 5.46547e-06 -214 *2875:275 *27344:A2 0.000109407 -215 *2875:275 *27415:A1 2.58896e-05 -216 *2875:275 *27415:C1 7.2394e-05 -217 *2875:275 *27416:C 9.60337e-06 -218 *2875:275 *2877:313 0 -219 *2875:275 *2879:118 0 -220 *2875:275 *2882:246 4.65519e-05 -221 *2875:275 *3299:8 0.00127803 -222 *2875:275 *3712:22 0.000574133 -223 *2875:275 *3940:11 1.71589e-05 -224 *2875:275 *5630:274 1.25387e-05 -225 *2875:275 *5644:218 0.000469506 -226 *2875:275 *5644:237 1.14338e-05 -227 *2875:275 *5661:232 0.000303376 -228 *2875:275 *5708:80 0 -229 *2875:275 *5765:37 9.63491e-05 -230 *2875:275 *6247:18 0 -231 *2875:302 *25240:B2 0.000415006 -232 *2875:302 *28851:A 0.000434003 -233 *2875:302 *2882:206 2.60138e-05 -234 *2875:302 *2882:260 4.50271e-05 -235 *2875:302 *2886:258 0.000986548 -236 *2875:302 *2889:48 5.21859e-05 -237 *2875:302 *3678:76 0.000137142 -238 *2875:302 *3833:16 0.000126716 -239 *2875:302 *3874:16 1.30977e-05 -240 *2875:302 *3924:73 0.000103262 -241 *2875:302 *3963:72 0.000150769 -242 *2875:302 *4030:47 6.34874e-05 -243 *2875:302 *5655:203 0.0001326 -244 *2875:302 *5764:120 8.49888e-05 -245 *2875:322 *5660:189 0.000177596 -246 *2875:344 *25066:A1 0.00077778 -247 *2875:344 *27427:A2 0.000137298 -248 *2875:344 *31010:A 6.05161e-06 -249 *2875:344 *3824:12 0.000302205 -250 *2875:344 *3965:39 0.000305635 -251 *2875:344 *5594:27 5.96516e-05 -252 *2875:344 *5595:57 0.000135028 -253 *2875:344 *5644:71 0.00127666 -254 *2875:344 *5644:94 0.0003956 -255 *2875:344 *5650:329 0.00141053 -256 *2875:344 *5660:189 0.000114779 -257 *6499:DIODE *27573:B1 6.57032e-05 -258 *6499:DIODE *2875:134 6.05161e-06 -259 *25198:A2 *27545:A2 0.000137653 -260 *25239:A2 *2875:302 0.000128752 -261 *25265:A2 *2875:63 8.00403e-05 -262 *25321:A2 *2875:63 0.00129473 -263 *25340:B1 *27311:B1 0.00090633 -264 *25407:A2 *2875:46 0.00051335 -265 *25407:B1 *2875:46 7.80417e-05 -266 *27348:B1 *2875:243 0.000292838 -267 *27415:A2 *2875:275 3.54964e-05 -268 *27445:A2 *27445:B1 0.000619069 -269 *27463:A2 *27463:B1 0.000126594 -270 *1185:106 *27573:B1 0.00111783 -271 *1225:33 *2875:207 1.66624e-05 -272 *1252:110 *2875:196 0.00102181 -273 *1257:46 *2875:63 4.73891e-05 -274 *1261:163 *2875:302 1.19751e-05 -275 *1263:50 *2875:63 1.03816e-05 -276 *1265:228 *2875:46 0.000339346 -277 *1266:104 *27347:A2 0.00012502 -278 *1266:104 *2875:275 0.000200736 -279 *1271:162 *27311:B1 4.33002e-05 -280 *1272:47 *2875:275 4.70519e-05 -281 *1275:225 *2875:275 0.0003076 -282 *1275:234 *2875:275 4.8817e-05 -283 *1277:161 *2875:196 0.00010283 -284 *1277:161 *2875:207 0.00111952 -285 *1287:184 *2875:302 0.000261661 -286 *1287:210 *2875:302 0.000379295 -287 *1326:13 *2875:63 7.61169e-05 -288 *1326:57 *2875:10 0.000137983 -289 *1442:14 *2875:69 6.13772e-05 -290 *1490:35 *27445:B1 0.000454426 -291 *1490:61 *27445:B1 0.000737242 -292 *1494:22 *27311:B1 0 -293 *1501:20 *2875:302 4.37712e-06 -294 *1501:40 *2875:232 7.10751e-05 -295 *1536:21 *2875:63 1.88878e-05 -296 *1536:27 *2875:46 0.000227035 -297 *1617:30 *2875:85 0.000319669 -298 *1629:14 *2875:63 8.96276e-05 -299 *1639:25 *2875:207 2.63501e-05 -300 *1639:28 *2875:207 0.000260812 -301 *1639:35 *27366:A2 2.06112e-05 -302 *1639:35 *2875:232 5.23533e-05 -303 *1642:37 *2875:243 0.000521512 -304 *1644:19 *2875:63 0.000385089 -305 *1651:9 *2875:232 0.00137529 -306 *1659:34 *2875:275 0.00271702 -307 *1721:35 *2875:63 0.000583181 -308 *1723:27 *2875:46 7.60915e-05 -309 *1864:51 *2875:85 0.000129225 -310 *2774:92 *2875:275 0.00051522 -311 *2775:173 *27311:B1 0.000131357 -312 *2779:96 *2875:275 2.50034e-05 -313 *2781:65 *2875:46 4.2487e-05 -314 *2781:162 *27386:B1 7.67241e-05 -315 *2781:162 *2875:322 0.000878944 -316 *2782:127 *2875:302 2.01997e-05 -317 *2820:58 *2875:10 4.43712e-05 -318 *2844:285 *2875:302 0.000319454 -319 *2844:285 *2875:322 0.000226492 -320 *2844:386 *2875:322 0.00051471 -321 *2848:33 *2875:63 0 -322 *2849:97 *2875:10 0.000137983 -323 *2852:28 *27366:A2 1.90936e-05 -324 *2852:28 *2875:207 0.00039137 -325 *2852:28 *2875:232 6.09762e-05 -326 *2852:108 *2875:302 3.48377e-05 -327 *2853:24 *2875:46 0 -328 *2853:25 *2875:63 0.000562622 -329 *2853:48 *2875:63 0.000441889 -330 *2855:121 *2875:134 9.40626e-05 -331 *2855:175 *2875:243 0 -332 *2856:76 *2875:85 0.000792673 -333 *2856:97 *2875:85 0.000592267 -334 *2856:270 *2875:28 0.000204026 -335 *2856:270 *2875:196 9.32498e-05 -336 *2859:260 *2875:302 0.000385938 -337 *2860:242 *2875:302 9.26138e-05 -338 *2864:230 *27545:A2 0.000514733 -339 *2874:227 *27311:B1 8.92205e-05 -340 *2874:227 *2875:243 0.000634682 -341 *2874:249 *27311:B1 0.000612185 -342 *2874:278 *27311:B1 1.09669e-05 -*RES -1 *27310:X *2875:10 20.0582 -2 *2875:10 *27445:B1 29.4477 -3 *2875:10 *2875:28 4.20273 -4 *2875:28 *2875:46 42.6434 -5 *2875:46 *2875:63 49.7265 -6 *2875:63 *2875:69 14.4621 -7 *2875:69 *2875:85 39.934 -8 *2875:85 *27520:A2 10.6348 -9 *2875:85 *2875:99 28.5357 -10 *2875:99 *2875:100 25.3214 -11 *2875:100 *27553:A2 17.2286 -12 *2875:100 *2875:119 49.1339 -13 *2875:119 *2875:134 23.431 -14 *2875:134 *27545:A2 47.8 -15 *2875:134 *27573:B1 27.675 -16 *2875:69 *27501:A2 22.2368 -17 *2875:28 *2875:196 48.3093 -18 *2875:196 *2875:207 18.9322 -19 *2875:207 *27366:A2 17.0277 -20 *2875:207 *2875:232 40.4732 -21 *2875:232 *2875:243 27.4624 -22 *2875:243 *27311:B1 29.0685 -23 *2875:243 *2875:258 3.13647 -24 *2875:258 *2875:260 3.41 -25 *2875:260 *2875:275 42.7058 -26 *2875:275 *27415:B1 9.3 -27 *2875:260 *27347:A2 18.381 -28 *2875:258 *2875:302 26.5157 -29 *2875:302 *27386:B1 21.7643 -30 *2875:302 *2875:322 19.4107 -31 *2875:322 *27463:B1 25.9786 -32 *2875:322 *2875:344 48.5536 -33 *2875:344 *27422:B1 13.55 -*END - -*D_NET *2876 0.0384733 -*CONN -*I *27332:A I *D sky130_fd_sc_hd__or4_1 -*I *27311:X O *D sky130_fd_sc_hd__a221o_2 -*CAP -1 *27332:A 0.00639409 -2 *27311:X 0.000676179 -3 *2876:29 0.00927085 -4 *2876:19 0.00355294 -5 *27332:A *2883:29 5.40377e-05 -6 *27332:A *3168:31 0 -7 *27332:A *3197:52 0.000182823 -8 *27332:A *3299:29 2.55752e-05 -9 *27332:A *3963:109 0.000186557 -10 *27332:A *3989:66 9.59532e-06 -11 *27332:A *3992:28 0.000105594 -12 *27332:A *5638:104 0.00199477 -13 *27332:A *5656:89 0.00190134 -14 *27332:A *5700:50 0 -15 *27332:A *5713:62 0.000743911 -16 *27332:A *5713:84 0.0026725 -17 *27332:A *5748:16 0.000168146 -18 *2876:19 *27311:B2 4.88232e-05 -19 *2876:19 *4043:70 2.04825e-05 -20 *2876:19 *4133:46 0.000129522 -21 *2876:19 *4133:133 4.65519e-05 -22 *2876:19 *4952:14 4.27653e-05 -23 *2876:19 *5585:47 0 -24 *2876:29 *28483:SET_B 1.74806e-05 -25 *2876:29 *2879:83 0.00019122 -26 *2876:29 *4043:70 0.00209085 -27 *2876:29 *5585:47 8.83534e-05 -28 *2876:29 *5589:14 0.000717839 -29 *27348:B1 *27332:A 0.0019023 -30 *1244:174 *27332:A 0 -31 *1260:43 *2876:29 0.00010937 -32 *1266:64 *2876:29 0 -33 *1271:162 *2876:19 1.90936e-05 -34 *1271:162 *2876:29 0.00209094 -35 *1328:140 *27332:A 0 -36 *1416:89 *27332:A 1.65282e-05 -37 *1494:22 *2876:19 1.60961e-05 -38 *1578:10 *27332:A 0.000114258 -39 *1642:37 *27332:A 0.00012384 -40 *1691:14 *27332:A 0.000137803 -41 *2775:173 *2876:19 3.93677e-05 -42 *2779:121 *2876:29 0.000251243 -43 *2784:67 *27332:A 0.000149758 -44 *2856:124 *27332:A 6.04063e-05 -45 *2856:146 *27332:A 0.00162247 -46 *2874:249 *2876:19 6.68169e-05 -47 *2875:243 *27332:A 0.000420178 -*RES -1 *27311:X *2876:19 31.1738 -2 *2876:19 *2876:29 38.8709 -3 *2876:29 *27332:A 39.031 -*END - -*D_NET *2877 0.18629 -*CONN -*I *27444:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27358:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27383:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27412:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27436:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27466:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27350:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27522:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27487:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27553:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27574:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27534:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *27316:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27312:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27444:A2 0 -2 *27358:B1 0 -3 *27383:B1 0.00103799 -4 *27412:B1 0.000422012 -5 *27436:B1 0.000976559 -6 *27466:A2 9.86187e-05 -7 *27350:B1 7.05546e-05 -8 *27522:B1 8.50079e-05 -9 *27487:B1 0.000648999 -10 *27553:B1 0 -11 *27574:B1 0.000338917 -12 *27534:A2 1.69531e-05 -13 *27316:A2 0.000193143 -14 *27312:X 0 -15 *2877:359 0.00199429 -16 *2877:349 0.00251286 -17 *2877:313 0.00553417 -18 *2877:299 0.00438839 -19 *2877:274 0.00763648 -20 *2877:253 0.00233354 -21 *2877:237 0.00227038 -22 *2877:234 0.00184329 -23 *2877:221 0.0044209 -24 *2877:197 0.0035091 -25 *2877:194 0.00307491 -26 *2877:187 0.00216781 -27 *2877:179 0.00278091 -28 *2877:128 0.000807833 -29 *2877:112 0.0015572 -30 *2877:105 0.00179207 -31 *2877:87 0.00167728 -32 *2877:69 0.00217603 -33 *2877:58 0.0035767 -34 *2877:52 0.00559441 -35 *2877:51 0.00371187 -36 *2877:43 0.00259959 -37 *2877:32 0.00169667 -38 *2877:25 0.00376416 -39 *2877:4 0.00433471 -40 *27316:A2 *27316:C1 3.45806e-05 -41 *27316:A2 *3667:41 0.000624477 -42 *27316:A2 *3946:50 2.95866e-05 -43 *27316:A2 *3963:119 0.000548724 -44 *27383:B1 *27383:A1 5.33005e-05 -45 *27383:B1 *27383:B2 0.000218409 -46 *27383:B1 *3730:28 9.60337e-06 -47 *27383:B1 *3899:40 2.22043e-05 -48 *27383:B1 *5663:125 0.000149031 -49 *27383:B1 *5873:232 3.4016e-05 -50 *27412:B1 *27412:A1 0.000950813 -51 *27412:B1 *27413:C1 0 -52 *27412:B1 *5601:175 0.000896973 -53 *27436:B1 *25043:A1 8.21992e-05 -54 *27436:B1 *25064:A1 0.00018642 -55 *27436:B1 *27436:B2 5.41797e-06 -56 *27436:B1 *27436:C1 1.11065e-05 -57 *27436:B1 *28551:D 0.000172607 -58 *27436:B1 *2892:242 0.000113851 -59 *27436:B1 *3165:74 0.00147259 -60 *27436:B1 *3786:25 0.000225314 -61 *27436:B1 *3798:98 0.000124005 -62 *27436:B1 *4110:23 6.14479e-05 -63 *27436:B1 *4110:37 1.04232e-05 -64 *27436:B1 *4123:33 5.93875e-05 -65 *27436:B1 *5656:281 0.00200642 -66 *27436:B1 *5879:112 8.25843e-06 -67 *27466:A2 *27466:B1 5.82367e-05 -68 *27466:A2 *27471:B 2.06112e-05 -69 *27466:A2 *3956:25 4.26825e-05 -70 *27466:A2 *5647:49 9.30833e-05 -71 *27487:B1 *27522:A1 1.09232e-05 -72 *27487:B1 *27525:C 2.7505e-05 -73 *27487:B1 *3081:8 0.000199999 -74 *27487:B1 *3089:13 0.000471219 -75 *27487:B1 *3168:66 3.64479e-05 -76 *27487:B1 *4152:51 0.00102515 -77 *27487:B1 *5666:124 1.4867e-05 -78 *27487:B1 *5699:128 4.58194e-05 -79 *27522:B1 *27522:B2 3.51393e-05 -80 *27522:B1 *3958:29 0.000219711 -81 *27534:A2 *4185:41 5.33005e-05 -82 *27534:A2 *5614:100 5.33005e-05 -83 *27574:B1 *27574:B2 2.84269e-05 -84 *27574:B1 *27574:C1 3.69577e-05 -85 *27574:B1 *3753:37 4.00679e-05 -86 *2877:25 *25176:B2 7.35637e-05 -87 *2877:25 *26849:B 0.000210429 -88 *2877:25 *26875:A1 1.57523e-05 -89 *2877:25 *27314:A 6.64096e-05 -90 *2877:25 *28787:CLK 1.39726e-05 -91 *2877:25 *28787:RESET_B 8.34471e-05 -92 *2877:25 *29035:A 2.07113e-05 -93 *2877:25 *5713:271 9.79577e-05 -94 *2877:25 *5717:186 0.000312357 -95 *2877:32 *27332:C 0.000510257 -96 *2877:32 *2879:35 1.55051e-05 -97 *2877:32 *3546:36 0.000291184 -98 *2877:32 *3987:29 0.00125222 -99 *2877:32 *3989:66 1.36991e-05 -100 *2877:32 *3989:68 0.000416507 -101 *2877:32 *5634:96 0.000356396 -102 *2877:32 *5634:113 0.00021816 -103 *2877:43 *3987:29 0.000162227 -104 *2877:51 *25258:B2 0.000262679 -105 *2877:51 *3844:59 0.000341 -106 *2877:52 *6637:DIODE 0.00038021 -107 *2877:52 *27634:A1 0.00051377 -108 *2877:52 *27634:B1 0.000710075 -109 *2877:52 *3184:72 0.000101895 -110 *2877:52 *5583:22 0.00333407 -111 *2877:52 *5623:83 0.000152133 -112 *2877:52 *5626:105 6.09764e-05 -113 *2877:58 *3185:320 1.90936e-05 -114 *2877:58 *3666:68 4.28315e-05 -115 *2877:58 *3776:90 0.000193677 -116 *2877:58 *4186:20 0.000356206 -117 *2877:58 *5458:39 1.28958e-05 -118 *2877:58 *5744:114 1.61124e-05 -119 *2877:69 *3724:27 0.000117177 -120 *2877:69 *3883:31 0.000925817 -121 *2877:69 *4186:20 5.48029e-05 -122 *2877:69 *5661:117 4.29471e-05 -123 *2877:69 *5909:62 0.000174551 -124 *2877:87 *27564:B1 8.30066e-06 -125 *2877:87 *27567:B1 0.000342302 -126 *2877:87 *2892:94 0.000117391 -127 *2877:87 *2895:171 0.000602983 -128 *2877:87 *3749:108 2.58748e-05 -129 *2877:87 *3831:92 0.000494292 -130 *2877:87 *3948:41 0.000300131 -131 *2877:87 *4130:103 0.00104585 -132 *2877:87 *5661:117 0.000877617 -133 *2877:87 *5909:62 0.000885213 -134 *2877:105 *27564:A1 5.21937e-05 -135 *2877:105 *27929:A1 0.000428908 -136 *2877:105 *2886:102 0.000253328 -137 *2877:105 *3793:64 8.55871e-05 -138 *2877:105 *3806:62 0.000299226 -139 *2877:105 *3897:48 0.00022007 -140 *2877:105 *6036:46 0.000409536 -141 *2877:112 *3687:42 0.00239566 -142 *2877:112 *3753:37 3.69047e-06 -143 *2877:112 *4131:62 0.00056629 -144 *2877:112 *6036:46 0.000564576 -145 *2877:128 *3089:13 0.000220628 -146 *2877:128 *4152:51 0.00023168 -147 *2877:179 *3176:49 0.000166461 -148 *2877:179 *3299:29 0.000383595 -149 *2877:179 *3306:6 1.34436e-05 -150 *2877:179 *3686:83 1.7754e-05 -151 *2877:179 *3686:135 0.00128367 -152 *2877:179 *3987:29 0.000328077 -153 *2877:179 *3987:35 0.000646986 -154 *2877:179 *4051:49 1.48252e-05 -155 *2877:179 *5597:20 0.000190728 -156 *2877:179 *5655:174 0.000143656 -157 *2877:187 *2879:83 8.85344e-05 -158 *2877:187 *3574:86 2.09607e-05 -159 *2877:187 *3797:68 8.62263e-05 -160 *2877:187 *3797:79 0.00175468 -161 *2877:187 *5627:75 2.6502e-05 -162 *2877:194 *27350:B2 0.000643415 -163 *2877:194 *27687:B2 0.000128775 -164 *2877:194 *3540:8 0.0011951 -165 *2877:194 *3540:23 6.81083e-05 -166 *2877:194 *3829:8 0 -167 *2877:194 *3950:25 0.000134636 -168 *2877:194 *5713:336 3.20731e-05 -169 *2877:197 *25317:A1 9.41642e-05 -170 *2877:197 *2879:83 6.05161e-06 -171 *2877:221 *25317:A1 0.00065973 -172 *2877:221 *25322:B2 2.36643e-05 -173 *2877:221 *27350:A1 9.0145e-05 -174 *2877:221 *27683:A1 6.57032e-05 -175 *2877:221 *3976:9 0.000501655 -176 *2877:221 *4041:19 2.28598e-05 -177 *2877:234 *3939:51 0.000168856 -178 *2877:234 *3976:5 0.000183887 -179 *2877:234 *3976:9 0.000135028 -180 *2877:234 *5633:63 9.03127e-05 -181 *2877:234 *5645:91 0.00220733 -182 *2877:234 *5660:157 0.000167277 -183 *2877:234 *5892:63 0.000482248 -184 *2877:234 *5892:74 0.00126915 -185 *2877:237 *27474:A 0 -186 *2877:237 *2885:123 0.00023209 -187 *2877:237 *3690:46 0.00155441 -188 *2877:237 *3956:25 0.00014918 -189 *2877:253 *27471:B 0.00110745 -190 *2877:253 *5647:49 0.0012355 -191 *2877:274 *25340:A1 0.000926917 -192 *2877:274 *2891:177 0 -193 *2877:274 *3162:34 1.65282e-05 -194 *2877:274 *4132:51 0 -195 *2877:274 *5627:90 0.00034378 -196 *2877:274 *5644:205 0.00102551 -197 *2877:274 *5650:250 0 -198 *2877:299 *28654:D 0 -199 *2877:299 *28667:D 0.000540285 -200 *2877:299 *2879:118 9.04253e-06 -201 *2877:299 *2882:246 0 -202 *2877:299 *2886:295 0.000209009 -203 *2877:299 *3823:17 0.000487076 -204 *2877:299 *3862:42 0.000240423 -205 *2877:299 *4133:46 4.27611e-05 -206 *2877:299 *5585:23 0 -207 *2877:299 *5585:28 0 -208 *2877:299 *5601:166 0.000170067 -209 *2877:299 *5601:175 0.000239917 -210 *2877:299 *5644:237 4.09496e-05 -211 *2877:299 *5688:97 5.69098e-05 -212 *2877:299 *5688:349 8.89316e-05 -213 *2877:299 *5795:96 0.00067121 -214 *2877:299 *6258:12 0.00148998 -215 *2877:313 *25343:A1 0 -216 *2877:313 *27344:A1 0 -217 *2877:313 *28667:D 3.43244e-05 -218 *2877:313 *2879:118 2.07552e-05 -219 *2877:313 *2889:48 5.00194e-05 -220 *2877:313 *4146:79 0.000202456 -221 *2877:313 *5708:141 0.000161433 -222 *2877:313 *5873:232 0.000304164 -223 *2877:349 *27388:A2 6.6715e-05 -224 *2877:349 *2882:276 0.000269582 -225 *2877:349 *2888:213 0.000271478 -226 *2877:349 *2888:232 0.000908643 -227 *2877:349 *2889:92 0.000357701 -228 *2877:349 *2922:20 7.84995e-06 -229 *2877:349 *3743:40 0.000289718 -230 *2877:349 *3796:79 0.000136501 -231 *2877:349 *3912:11 4.58194e-05 -232 *2877:349 *3912:27 7.35479e-05 -233 *2877:349 *3951:28 0.00030267 -234 *2877:349 *5589:44 0.00031984 -235 *2877:349 *5605:171 9.0036e-05 -236 *2877:349 *5661:267 9.09604e-05 -237 *2877:349 *5663:126 0.00111844 -238 *2877:349 *5708:141 1.27971e-05 -239 *2877:349 *5759:18 0.00218013 -240 *2877:349 *5764:127 5.24867e-05 -241 *2877:349 *5764:230 6.30941e-05 -242 *2877:349 *5873:232 4.98422e-05 -243 *2877:359 *27444:A1 5.74447e-05 -244 *2877:359 *27444:B1 2.59355e-05 -245 *2877:359 *27444:B2 2.6299e-05 -246 *2877:359 *2894:21 1.68707e-05 -247 *2877:359 *2894:181 0.000680605 -248 *2877:359 *3538:39 9.60939e-05 -249 *2877:359 *5598:7 9.46929e-05 -250 *2877:359 *5717:186 0.000204318 -251 *25056:B1 *2877:234 8.84757e-05 -252 *25064:A2 *27436:B1 0.000249457 -253 *25064:A2 *2877:253 0.000830784 -254 *25088:B1 *2877:105 6.05161e-06 -255 *25151:B1 *2877:69 0.0001696 -256 *25209:A2 *2877:32 5.41794e-05 -257 *25209:A2 *2877:43 0.000152976 -258 *25209:A2 *2877:51 0.000572948 -259 *25209:A2 *2877:179 4.44838e-05 -260 *25238:A2 *2877:349 8.76591e-06 -261 *25249:C1 *2877:313 6.9692e-05 -262 *25293:A *2877:194 0.000779576 -263 *25325:A *2877:221 9.00271e-06 -264 *26849:A *2877:25 5.69459e-05 -265 *27019:S *2877:187 0.00021846 -266 *27160:S *2877:25 0.000136951 -267 *27312:C *2877:25 9.41642e-05 -268 *27312:C *2877:359 0.000382744 -269 *27329:B *2877:359 3.97677e-05 -270 *27329:C *2877:359 0.000175892 -271 *27347:A2 *2877:313 0 -272 *27412:A2 *27412:B1 1.98839e-05 -273 *27436:A2 *27436:B1 1.90936e-05 -274 *27467:A2 *2877:234 0.000298483 -275 *27522:A2 *27522:B1 0.000114596 -276 *27553:A2 *2877:87 3.97677e-05 -277 *28787:D *2877:25 8.55871e-05 -278 *29186:A *2877:25 0.000341276 -279 *29837:A *2877:253 0.00030375 -280 *29872:A *2877:187 0.000398254 -281 *1178:36 *2877:25 7.5088e-05 -282 *1185:88 *2877:187 1.98839e-05 -283 *1215:9 *27574:B1 0.000284426 -284 *1218:187 *2877:179 0.000204699 -285 *1225:100 *2877:299 0.000295753 -286 *1244:174 *2877:32 0.000111243 -287 *1244:174 *2877:179 2.07552e-05 -288 *1246:62 *2877:179 1.74604e-05 -289 *1250:20 *2877:179 0.00158014 -290 *1250:232 *2877:179 1.2101e-05 -291 *1250:245 *27466:A2 1.21637e-05 -292 *1250:245 *2877:253 0.00172469 -293 *1258:90 *2877:253 1.721e-05 -294 *1261:53 *2877:237 1.92903e-05 -295 *1261:139 *2877:349 0.00137538 -296 *1261:163 *2877:349 0.000271371 -297 *1262:135 *2877:179 0.000107151 -298 *1265:69 *2877:194 0.000157951 -299 *1266:64 *2877:313 0.000378092 -300 *1266:104 *27383:B1 3.51368e-05 -301 *1266:119 *27383:B1 0.000701302 -302 *1267:202 *2877:253 0.000110666 -303 *1267:221 *2877:253 0.00056686 -304 *1269:98 *2877:299 0.000125449 -305 *1269:117 *2877:349 8.00576e-05 -306 *1269:231 *2877:221 6.5429e-05 -307 *1269:238 *2877:221 3.87634e-05 -308 *1269:238 *2877:234 4.54213e-05 -309 *1272:72 *2877:349 0.000289718 -310 *1274:221 *2877:299 0.000111243 -311 *1277:131 *2877:32 0.000606803 -312 *1286:26 *2877:313 0 -313 *1286:26 *2877:349 5.34509e-05 -314 *1294:179 *2877:359 0.00157187 -315 *1308:13 *2877:253 0.000862669 -316 *1308:19 *2877:253 0.000104534 -317 *1363:99 *2877:32 0.000586705 -318 *1395:108 *2877:52 0.000177821 -319 *1419:24 *2877:179 0.00178271 -320 *1456:41 *2877:105 9.31011e-05 -321 *1458:10 *2877:105 4.85033e-05 -322 *1490:17 *2877:187 0.000133676 -323 *1491:22 *2877:51 0.000126999 -324 *1491:39 *2877:51 1.04707e-05 -325 *1494:22 *2877:274 0 -326 *1496:11 *2877:52 0.000218404 -327 *1511:22 *2877:194 0.0002406 -328 *1527:39 *2877:179 7.95959e-05 -329 *1540:14 *2877:187 3.8333e-05 -330 *1566:20 *2877:299 0.000261447 -331 *1603:36 *2877:69 2.0044e-05 -332 *1617:30 *2877:58 0.000998295 -333 *1626:27 *2877:299 0.000213669 -334 *1635:14 *2877:221 0.000383167 -335 *1643:14 *2877:221 0.00112203 -336 *1748:20 *2877:69 4.7707e-05 -337 *1832:41 *2877:58 2.06178e-05 -338 *1844:26 *2877:58 0.000306289 -339 *1844:104 *2877:51 0.00030754 -340 *1844:120 *2877:51 0.000836728 -341 *1887:22 *2877:69 0.00038793 -342 *1887:70 *2877:69 9.15296e-05 -343 *2754:14 *2877:25 2.61099e-05 -344 *2758:23 *2877:25 0.000222077 -345 *2758:37 *2877:25 0.000216755 -346 *2780:196 *2877:299 0.000849695 -347 *2790:58 *2877:25 4.66596e-05 -348 *2845:127 *2877:105 0.000168224 -349 *2848:85 *2877:58 0.000133835 -350 *2848:233 *2877:237 0.00122624 -351 *2850:88 *27487:B1 0.000503405 -352 *2854:16 *2877:51 0.000139124 -353 *2855:175 *2877:187 0.00126929 -354 *2855:175 *2877:274 2.01997e-05 -355 *2856:124 *2877:32 0.000321807 -356 *2856:157 *2877:179 3.08606e-05 -357 *2856:157 *2877:187 1.94945e-05 -358 *2859:128 *2877:112 0.00239566 -359 *2860:128 *27487:B1 0.000142725 -360 *2860:261 *2877:349 5.86935e-05 -361 *2860:271 *2877:349 0.000194005 -362 *2864:96 *2877:359 1.51489e-05 -363 *2864:103 *2877:359 0.0030533 -364 *2865:126 *27522:B1 3.32631e-05 -365 *2866:296 *27436:B1 2.04913e-05 -366 *2866:296 *2877:253 0.000170704 -367 *2871:108 *2877:69 0.000121805 -368 *2871:354 *2877:299 0.000205317 -369 *2872:134 *2877:105 0.000103244 -370 *2872:237 *27383:B1 0.000490266 -371 *2874:227 *2877:274 0 -372 *2875:275 *2877:313 0 -*RES -1 *27312:X *2877:4 9.3 -2 *2877:4 *2877:25 46.9286 -3 *2877:25 *2877:32 43.9643 -4 *2877:32 *27316:A2 20.4071 -5 *2877:32 *2877:43 2.25 -6 *2877:43 *2877:51 29.9464 -7 *2877:51 *2877:52 55.5714 -8 *2877:52 *2877:58 14.2153 -9 *2877:58 *2877:69 13.8403 -10 *2877:69 *27534:A2 14.3357 -11 *2877:69 *2877:87 45.5893 -12 *2877:87 *2877:105 39.7321 -13 *2877:105 *2877:112 49.875 -14 *2877:112 *27574:B1 20.1036 -15 *2877:87 *27553:B1 9.3 -16 *2877:58 *2877:128 6.41893 -17 *2877:128 *27487:B1 40.9964 -18 *2877:128 *27522:B1 16.4071 -19 *2877:43 *2877:179 48.2461 -20 *2877:179 *2877:187 37.4911 -21 *2877:187 *2877:194 40.1964 -22 *2877:194 *2877:197 5.44643 -23 *2877:197 *27350:B1 10.5679 -24 *2877:197 *2877:221 48.5179 -25 *2877:221 *2877:234 47.7046 -26 *2877:234 *2877:237 6.55587 -27 *2877:237 *27466:A2 16.1393 -28 *2877:237 *2877:253 49.2857 -29 *2877:253 *27436:B1 48.2375 -30 *2877:194 *2877:274 14.659 -31 *2877:274 *2877:299 46.7558 -32 *2877:299 *27412:B1 26.9875 -33 *2877:274 *2877:313 4.4885 -34 *2877:313 *27383:B1 37.8975 -35 *2877:313 *2877:349 49.0259 -36 *2877:349 *27358:B1 9.3 -37 *2877:4 *2877:359 47.5223 -38 *2877:359 *27444:A2 9.3 -*END - -*D_NET *2878 0.0764366 -*CONN -*I *30818:A I *D sky130_fd_sc_hd__buf_12 -*I *27316:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27585:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27563:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27519:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27497:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27541:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27313:X O *D sky130_fd_sc_hd__and2_4 -*CAP -1 *30818:A 0.000564618 -2 *27316:B1 0 -3 *27585:B2 0 -4 *27563:B1 0.000711007 -5 *27519:B1 2.04426e-05 -6 *27497:B1 0.000655066 -7 *27541:B1 0.000305851 -8 *27313:X 0 -9 *2878:147 0.00139185 -10 *2878:138 0.00236174 -11 *2878:120 0.000772846 -12 *2878:96 0.00182669 -13 *2878:92 0.00190955 -14 *2878:75 0.00331384 -15 *2878:55 0.00279166 -16 *2878:27 0.00170827 -17 *2878:25 0.00466881 -18 *2878:20 0.00515577 -19 *2878:16 0.0028994 -20 *2878:4 0.00295443 -21 *27497:B1 *27497:A1 2.24718e-05 -22 *27497:B1 *27498:B1 1.35553e-05 -23 *27497:B1 *27498:C1 0.00014154 -24 *27497:B1 *27548:B2 0.000342653 -25 *27497:B1 *3156:74 9.41642e-05 -26 *27497:B1 *3778:25 0.000177364 -27 *27497:B1 *3791:98 0.000752869 -28 *27497:B1 *3806:61 0.000118144 -29 *27497:B1 *4101:28 0.000163916 -30 *27541:B1 *3096:15 5.47861e-05 -31 *27541:B1 *3762:74 0.000263108 -32 *27541:B1 *3817:22 5.47861e-05 -33 *27541:B1 *3843:51 3.84707e-05 -34 *27541:B1 *5698:26 0.000117234 -35 *27541:B1 *5718:121 0.000263108 -36 *27563:B1 *27562:B 0.000178847 -37 *27563:B1 *27563:A1 0.000147465 -38 *27563:B1 *27563:B2 0.000134582 -39 *27563:B1 *3724:70 9.41642e-05 -40 *27563:B1 *4100:22 2.86905e-05 -41 *27563:B1 *4113:104 5.31113e-05 -42 *30818:A *29497:A 1.90936e-05 -43 *30818:A *3156:41 0.000200863 -44 *30818:A *3195:25 0.000165159 -45 *30818:A *5592:45 6.61884e-05 -46 *30818:A *5643:32 1.59604e-05 -47 *30818:A *5694:110 2.02794e-05 -48 *30818:A *5717:50 2.11419e-05 -49 *30818:A *5981:45 7.01934e-05 -50 *2878:16 *25891:A0 9.02017e-05 -51 *2878:16 *25891:A1 7.49387e-06 -52 *2878:16 *29716:A 2.59355e-05 -53 *2878:16 *2886:26 0.000139786 -54 *2878:16 *2958:56 0.000147225 -55 *2878:16 *3156:41 0.00072168 -56 *2878:16 *3195:25 9.58126e-05 -57 *2878:16 *3214:21 0.000393451 -58 *2878:16 *5720:215 0.000145565 -59 *2878:20 *25374:A1 0.00026202 -60 *2878:20 *5591:42 0.00034245 -61 *2878:20 *5750:130 0.000177591 -62 *2878:25 *27905:A1 0.00198271 -63 *2878:25 *27905:B1 3.00936e-05 -64 *2878:25 *27905:B2 0.000135472 -65 *2878:25 *3214:48 0.000266066 -66 *2878:25 *5619:17 7.02611e-05 -67 *2878:27 *3762:74 0.000744413 -68 *2878:27 *5581:55 0.000386121 -69 *2878:27 *5718:121 0.000216755 -70 *2878:55 *27866:D 0.00101341 -71 *2878:55 *3129:10 8.33454e-05 -72 *2878:55 *3792:82 0.000184463 -73 *2878:55 *4074:52 0.00113602 -74 *2878:75 *27547:A 6.49142e-05 -75 *2878:75 *27547:B 7.30749e-05 -76 *2878:75 *27547:C 0.00109255 -77 *2878:75 *27548:B2 0.000350648 -78 *2878:75 *27854:B2 4.75152e-06 -79 *2878:75 *2880:211 4.97226e-05 -80 *2878:75 *2880:215 8.59661e-05 -81 *2878:75 *2886:50 2.00157e-05 -82 *2878:75 *2886:55 1.26686e-05 -83 *2878:75 *3457:22 6.58704e-05 -84 *2878:75 *3687:52 6.81425e-06 -85 *2878:75 *3775:39 0.000911925 -86 *2878:75 *5666:179 0.00071151 -87 *2878:92 *25934:A1 0.000141864 -88 *2878:92 *27519:B2 0.000114847 -89 *2878:92 *27556:A1 0.000199816 -90 *2878:92 *27556:A2 1.61405e-05 -91 *2878:92 *27559:C 0.00014499 -92 *2878:92 *27880:A1 0.000101192 -93 *2878:92 *2886:102 0.000850438 -94 *2878:92 *3763:20 0.000126213 -95 *2878:92 *4130:103 1.94879e-05 -96 *2878:92 *5706:170 1.48369e-05 -97 *2878:92 *6036:44 0.00230534 -98 *2878:92 *6036:46 8.17498e-05 -99 *2878:96 *2886:102 1.20729e-05 -100 *2878:96 *3763:20 0.000807601 -101 *2878:120 *27585:A2 7.02611e-05 -102 *2878:120 *27951:A2 0.00017781 -103 *2878:120 *3136:12 1.30241e-05 -104 *2878:120 *3676:17 0.000556982 -105 *2878:120 *3676:34 0.000167436 -106 *2878:120 *3763:20 0.00124485 -107 *2878:120 *4101:24 1.12197e-05 -108 *2878:120 *4113:104 0.000196065 -109 *2878:120 *4350:48 0.000158214 -110 *2878:120 *5832:27 0.000720155 -111 *2878:138 *27905:A1 0.000129305 -112 *2878:138 *28342:CLK 4.6392e-05 -113 *2878:138 *3413:62 0.0002589 -114 *2878:138 *5505:42 0.00130341 -115 *2878:138 *5629:20 0.000274812 -116 *2878:147 *27316:C1 5.33005e-05 -117 *2878:147 *27636:B 0.000249066 -118 *2878:147 *27636:C 1.90936e-05 -119 *2878:147 *3413:62 0.00140465 -120 *2878:147 *3946:50 2.59355e-05 -121 *2878:147 *4052:30 0.000925242 -122 *2878:147 *5505:42 0.000168922 -123 *25099:B1 *2878:20 0.000208056 -124 *27313:B *30818:A 8.55871e-05 -125 *27313:B *2878:16 4.58194e-05 -126 *27497:A2 *27497:B1 5.33005e-05 -127 *27501:A2 *2878:75 3.15196e-05 -128 *27541:A2 *27541:B1 6.64337e-05 -129 *27541:A2 *2878:55 6.42095e-05 -130 *27544:A2 *2878:75 3.29607e-05 -131 *27563:A2 *27563:B1 6.86693e-05 -132 *29834:A *2878:16 8.55871e-05 -133 *1228:47 *2878:147 0.000111243 -134 *1250:74 *2878:16 0.000138262 -135 *1265:36 *2878:147 0.000664683 -136 *1271:104 *2878:20 3.61778e-05 -137 *1271:104 *2878:138 0 -138 *1363:70 *2878:27 0.000178425 -139 *1419:43 *2878:147 2.01024e-05 -140 *1419:46 *2878:138 0.000770306 -141 *1456:42 *2878:92 0.000340781 -142 *1456:64 *2878:92 5.37664e-05 -143 *1476:42 *2878:92 8.81673e-05 -144 *1476:57 *2878:92 0.00102216 -145 *1480:35 *2878:25 0.000177545 -146 *1592:19 *2878:147 0.00156009 -147 *1607:8 *2878:20 4.66521e-05 -148 *1607:8 *2878:138 9.3972e-05 -149 *1617:30 *2878:75 0.000362887 -150 *1622:17 *27519:B1 5.33005e-05 -151 *2844:140 *30818:A 2.11419e-05 -152 *2845:31 *2878:25 0.000233633 -153 *2845:31 *2878:27 0.001051 -154 *2845:45 *27497:B1 1.95625e-05 -155 *2845:127 *2878:92 0.000619591 -156 *2848:64 *2878:75 0.000878725 -157 *2848:123 *2878:96 0.00077333 -158 *2848:123 *2878:120 0.00105056 -159 *2856:68 *27497:B1 0 -160 *2856:68 *2878:75 0 -161 *2856:97 *27519:B1 2.59355e-05 -162 *2856:97 *2878:75 0.00132487 -163 *2856:97 *2878:92 8.16751e-05 -164 *2864:58 *27497:B1 0.000165637 -165 *2865:144 *27563:B1 5.74499e-06 -166 *2872:21 *2878:20 0.00051036 -167 *2874:35 *2878:25 8.04806e-06 -*RES -1 *27313:X *2878:4 9.3 -2 *2878:4 *2878:16 49.25 -3 *2878:16 *2878:20 18.0357 -4 *2878:20 *2878:25 49.6161 -5 *2878:25 *2878:27 22.5089 -6 *2878:27 *27541:B1 26.2018 -7 *2878:27 *2878:55 27.2679 -8 *2878:55 *27497:B1 39.0321 -9 *2878:55 *2878:75 49.8393 -10 *2878:75 *27519:B1 14.3357 -11 *2878:75 *2878:92 49.7143 -12 *2878:92 *2878:96 11.2857 -13 *2878:96 *27563:B1 31.9964 -14 *2878:96 *2878:120 41.0357 -15 *2878:120 *27585:B2 9.3 -16 *2878:20 *2878:138 27.444 -17 *2878:138 *2878:147 45.1339 -18 *2878:147 *27316:B1 9.3 -19 *2878:4 *30818:A 28.6415 -*END - -*D_NET *2879 0.0792639 -*CONN -*I *27392:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27407:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27346:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27316:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27314:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27392:C1 0 -2 *27407:C1 8.68284e-05 -3 *27346:C1 7.37508e-05 -4 *27316:C1 0.000290937 -5 *27314:X 0.00115685 -6 *2879:156 0.00220227 -7 *2879:127 0.00485686 -8 *2879:118 0.00465061 -9 *2879:88 0.00289831 -10 *2879:83 0.00222277 -11 *2879:67 0.0028914 -12 *2879:61 0.00286499 -13 *2879:35 0.00306382 -14 *2879:27 0.0024751 -15 *27316:C1 *27316:B2 7.45939e-06 -16 *27316:C1 *27634:B2 3.57179e-05 -17 *27316:C1 *2881:14 5.48563e-05 -18 *27316:C1 *3667:41 1.83125e-05 -19 *27316:C1 *3946:50 1.4487e-05 -20 *27316:C1 *3963:119 5.33005e-05 -21 *27346:C1 *27346:A1 0.000260574 -22 *27346:C1 *27346:B2 0.000260574 -23 *27407:C1 *25314:B2 3.08664e-05 -24 *27407:C1 *28598:RESET_B 7.14719e-05 -25 *27407:C1 *3758:25 9.4263e-06 -26 *27407:C1 *3901:35 1.88175e-05 -27 *27407:C1 *4031:28 4.5894e-05 -28 *2879:27 *28469:D 0.000319709 -29 *2879:27 *28860:A 0.001095 -30 *2879:27 *2882:22 4.33002e-05 -31 *2879:27 *3178:139 0.000479891 -32 *2879:27 *3178:144 0.000164543 -33 *2879:27 *3182:16 2.22066e-05 -34 *2879:27 *3246:17 0.000177815 -35 *2879:27 *3782:75 0.000301438 -36 *2879:27 *5599:273 8.25843e-06 -37 *2879:27 *5641:19 9.41642e-05 -38 *2879:27 *5643:40 4.11218e-05 -39 *2879:27 *5694:80 4.28066e-05 -40 *2879:27 *5694:94 3.44914e-05 -41 *2879:27 *5754:34 0.000210523 -42 *2879:35 *28860:A 0.000473211 -43 *2879:35 *2881:14 8.25754e-05 -44 *2879:35 *3178:144 0.00198675 -45 *2879:35 *3546:36 0.00104501 -46 *2879:35 *3963:119 0.000382662 -47 *2879:35 *4051:49 0.00127839 -48 *2879:35 *5634:96 0.0002157 -49 *2879:35 *5634:113 0.000108087 -50 *2879:61 *3162:40 0.00018544 -51 *2879:61 *3299:29 0.00013344 -52 *2879:61 *3797:68 0.00160688 -53 *2879:61 *3830:95 4.89071e-05 -54 *2879:61 *3834:24 0.000131496 -55 *2879:61 *3963:122 3.17148e-05 -56 *2879:61 *5635:63 3.76291e-05 -57 *2879:61 *5687:87 0.000369763 -58 *2879:67 *26894:A0 2.19298e-05 -59 *2879:67 *28483:SET_B 5.31158e-05 -60 *2879:67 *28926:A 7.69776e-06 -61 *2879:67 *3574:86 0.000194407 -62 *2879:67 *3686:109 0.000521547 -63 *2879:67 *4051:54 0.00202687 -64 *2879:67 *5627:69 6.40293e-05 -65 *2879:67 *5661:210 0.00215874 -66 *2879:67 *5700:50 5.99199e-06 -67 *2879:67 *5754:213 0.000516512 -68 *2879:67 *5754:219 0.000216986 -69 *2879:83 *25317:A1 8.8707e-05 -70 *2879:83 *28483:CLK 0.000155518 -71 *2879:83 *3162:34 0.000139722 -72 *2879:83 *3540:8 9.29969e-05 -73 *2879:83 *3540:23 0.000267473 -74 *2879:83 *3703:35 1.90936e-05 -75 *2879:83 *3797:79 6.08435e-05 -76 *2879:83 *3797:85 0.00120411 -77 *2879:83 *5627:75 0.000506117 -78 *2879:83 *5644:205 0.000269212 -79 *2879:88 *27086:A1 7.5779e-05 -80 *2879:88 *3185:92 5.74562e-05 -81 *2879:88 *3797:91 0.00087344 -82 *2879:88 *4080:44 0.000244754 -83 *2879:88 *4080:53 6.98394e-05 -84 *2879:118 *28667:D 0.000187143 -85 *2879:118 *2882:246 0 -86 *2879:118 *2978:22 0.00236157 -87 *2879:118 *3162:34 8.92205e-05 -88 *2879:118 *3215:218 0.00034579 -89 *2879:118 *3576:76 0.000160762 -90 *2879:118 *3712:28 0.000160692 -91 *2879:118 *4080:44 1.4363e-05 -92 *2879:118 *5590:98 5.59013e-05 -93 *2879:118 *5650:255 0.000263592 -94 *2879:118 *5765:25 6.30257e-05 -95 *2879:118 *5795:96 0.000731503 -96 *2879:118 *6247:34 9.63536e-05 -97 *2879:118 *6269:12 8.87669e-05 -98 *2879:127 *2891:200 0.000160526 -99 *2879:127 *2895:316 0.000488664 -100 *2879:127 *5584:145 2.71873e-05 -101 *2879:127 *5584:250 0.000511823 -102 *2879:127 *5627:103 0.00244937 -103 *2879:127 *5642:151 0.000180153 -104 *2879:127 *6269:12 0.00243454 -105 *2879:156 *27748:A2 2.76189e-05 -106 *2879:156 *27750:A2 0.000121553 -107 *2879:156 *2888:269 0.000216755 -108 *2879:156 *3164:333 0.000330526 -109 *2879:156 *3581:138 4.19107e-05 -110 *2879:156 *3581:145 0.000552525 -111 *2879:156 *3717:30 0.000168523 -112 *2879:156 *3758:25 6.56019e-05 -113 *2879:156 *3899:26 0.000170245 -114 *2879:156 *4054:13 1.46617e-05 -115 *2879:156 *4080:22 2.78265e-05 -116 *2879:156 *5590:131 8.23597e-06 -117 *2879:156 *5658:160 0.000747724 -118 *2879:156 *5701:169 0.000259542 -119 *25224:C *2879:61 0.000610329 -120 *25225:D *2879:61 9.96717e-06 -121 *25283:C *2879:61 6.30931e-05 -122 *25290:A2 *2879:83 8.49829e-05 -123 *25293:A *2879:88 0.000933422 -124 *25293:C *2879:83 0.000514015 -125 *25314:A2 *2879:156 2.11419e-05 -126 *25337:A2 *2879:67 0.0001289 -127 *25340:A2 *2879:88 0.000164001 -128 *26874:A *2879:27 2.06178e-05 -129 *27019:S *2879:83 0.000216052 -130 *27314:B *2879:27 5.49489e-05 -131 *27314:C *2879:27 6.42095e-05 -132 *27316:A2 *27316:C1 3.45806e-05 -133 *27403:A2 *2879:127 9.32141e-06 -134 *27415:A2 *2879:127 0.00101559 -135 *1250:20 *2879:61 0.000314912 -136 *1250:43 *2879:61 0.000507119 -137 *1256:154 *2879:156 4.84514e-05 -138 *1260:43 *2879:83 6.09015e-05 -139 *1267:65 *2879:118 9.3166e-05 -140 *1275:234 *2879:127 0.00025303 -141 *1276:102 *2879:118 1.68822e-05 -142 *1292:81 *27407:C1 9.0138e-05 -143 *1292:81 *2879:156 0.000839251 -144 *1363:99 *2879:35 0.000286164 -145 *1605:13 *2879:88 0.000250826 -146 *1605:13 *2879:118 6.95883e-05 -147 *1657:76 *2879:83 0.000136682 -148 *1659:34 *2879:118 0.0026154 -149 *1659:34 *2879:127 0.000799946 -150 *1660:11 *2879:156 0.000276158 -151 *2774:74 *2879:156 9.14379e-05 -152 *2774:76 *2879:127 0.000395793 -153 *2775:187 *2879:61 5.15728e-05 -154 *2779:96 *2879:118 1.69824e-05 -155 *2782:100 *2879:27 1.92661e-05 -156 *2782:106 *2879:27 0.000112409 -157 *2791:199 *2879:156 0.000242269 -158 *2841:17 *2879:27 7.42485e-05 -159 *2856:364 *2879:156 0.00137956 -160 *2859:242 *2879:127 7.6764e-05 -161 *2864:321 *2879:156 0.000509098 -162 *2875:275 *2879:118 0 -163 *2876:29 *2879:83 0.00019122 -164 *2877:32 *2879:35 1.55051e-05 -165 *2877:187 *2879:83 8.85344e-05 -166 *2877:197 *2879:83 6.05161e-06 -167 *2877:299 *2879:118 9.04253e-06 -168 *2877:313 *2879:118 2.07552e-05 -169 *2878:147 *27316:C1 5.33005e-05 -*RES -1 *27314:X *2879:27 46.0232 -2 *2879:27 *2879:35 49.2768 -3 *2879:35 *27316:C1 14.1214 -4 *2879:35 *2879:61 40.4904 -5 *2879:61 *2879:67 49.1731 -6 *2879:67 *2879:83 46.1843 -7 *2879:83 *2879:88 22.4464 -8 *2879:88 *27346:C1 16.3893 -9 *2879:88 *2879:118 39.7072 -10 *2879:118 *2879:127 48.8283 -11 *2879:127 *27407:C1 18.4812 -12 *2879:127 *2879:156 48.7497 -13 *2879:156 *27392:C1 9.3 -*END - -*D_NET *2880 0.141526 -*CONN -*I *27482:A2 I *D sky130_fd_sc_hd__o221a_2 -*I *27375:A2 I *D sky130_fd_sc_hd__o221a_1 -*I *27592:A2 I *D sky130_fd_sc_hd__o221a_2 -*I *27570:A2 I *D sky130_fd_sc_hd__o221a_1 -*I *27548:A2 I *D sky130_fd_sc_hd__o221a_1 -*I *27504:A2 I *D sky130_fd_sc_hd__o221a_1 -*I *27526:A2 I *D sky130_fd_sc_hd__o221a_1 -*I *27460:A2 I *D sky130_fd_sc_hd__o221a_1 -*I *27333:A2 I *D sky130_fd_sc_hd__o221a_1 -*I *27438:A2 I *D sky130_fd_sc_hd__o221a_2 -*I *27417:A2 I *D sky130_fd_sc_hd__o221a_4 -*I *27396:A2 I *D sky130_fd_sc_hd__o221a_2 -*I *27353:A2 I *D sky130_fd_sc_hd__o221a_1 -*I *27315:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *27482:A2 0.000389543 -2 *27375:A2 3.44905e-05 -3 *27592:A2 0.000368656 -4 *27570:A2 0 -5 *27548:A2 0 -6 *27504:A2 0.000119652 -7 *27526:A2 0.000783805 -8 *27460:A2 0.00114171 -9 *27333:A2 0.00194793 -10 *27438:A2 0.00185527 -11 *27417:A2 0.000211819 -12 *27396:A2 0.000140249 -13 *27353:A2 0.0010568 -14 *27315:Y 0 -15 *2880:224 0.00121248 -16 *2880:217 0.000538723 -17 *2880:215 0.00177285 -18 *2880:211 0.00283734 -19 *2880:197 0.00438599 -20 *2880:182 0.00346046 -21 *2880:180 0.00454249 -22 *2880:178 0.0059801 -23 *2880:137 0.00437754 -24 *2880:129 0.00308179 -25 *2880:118 0.00383203 -26 *2880:108 0.00158683 -27 *2880:93 0.00262236 -28 *2880:58 0.00432046 -29 *2880:45 0.00669649 -30 *2880:30 0.00202699 -31 *2880:11 0.00363697 -32 *2880:4 0.00270701 -33 *27333:A2 *27333:A1 0.000345257 -34 *27333:A2 *27334:B1 0.000426985 -35 *27333:A2 *27354:B1 4.73891e-05 -36 *27333:A2 *27671:A3 0.000136958 -37 *27333:A2 *2882:22 6.83428e-05 -38 *27333:A2 *2895:28 0.00083038 -39 *27333:A2 *3206:84 0.000151393 -40 *27333:A2 *3388:17 5.15873e-05 -41 *27333:A2 *3860:38 0.00026052 -42 *27333:A2 *5386:11 0.00130681 -43 *27333:A2 *5629:137 0.000149376 -44 *27333:A2 *5754:18 0.000139542 -45 *27353:A2 *26958:A0 0.00040143 -46 *27353:A2 *26958:A1 0.000725983 -47 *27353:A2 *2907:13 2.59355e-05 -48 *27353:A2 *3976:55 0.000179449 -49 *27353:A2 *4146:11 0.000124245 -50 *27353:A2 *4146:16 0.000216755 -51 *27353:A2 *5603:28 0.000301016 -52 *27353:A2 *5645:104 9.1703e-05 -53 *27353:A2 *5781:42 0 -54 *27375:A2 *27375:A1 1.58163e-05 -55 *27396:A2 *3822:30 6.25005e-05 -56 *27396:A2 *5759:18 0.000128752 -57 *27417:A2 *25093:A1 5.33005e-05 -58 *27417:A2 *27680:B1 9.41642e-05 -59 *27417:A2 *27754:A2 0.000266074 -60 *27417:A2 *2978:22 0.000135028 -61 *27438:A2 *27438:B1 5.28563e-05 -62 *27438:A2 *27438:B2 5.33005e-05 -63 *27438:A2 *27438:C1 5.33005e-05 -64 *27438:A2 *2998:7 0.000418885 -65 *27438:A2 *3538:16 8.41655e-05 -66 *27438:A2 *3965:56 5.56679e-05 -67 *27438:A2 *5600:195 3.69047e-06 -68 *27438:A2 *5632:71 0.000161013 -69 *27438:A2 *5649:137 0 -70 *27460:A2 *27460:A1 5.04841e-06 -71 *27460:A2 *27460:B1 3.59135e-05 -72 *27460:A2 *27460:B2 4.19624e-06 -73 *27460:A2 *2895:28 0.000144922 -74 *27460:A2 *2895:227 0.000352134 -75 *27460:A2 *3952:27 0.000159568 -76 *27460:A2 *5386:11 0.00235705 -77 *27460:A2 *5680:241 9.0175e-05 -78 *27482:A2 *27482:A1 1.3701e-05 -79 *27482:A2 *27482:B1 1.38106e-05 -80 *27482:A2 *27482:C1 0.00050173 -81 *27482:A2 *28649:D 4.48647e-05 -82 *27482:A2 *3029:20 7.48301e-06 -83 *27482:A2 *3039:13 5.52302e-05 -84 *27504:A2 *27510:B1 0.000238246 -85 *27504:A2 *27526:C1 7.03874e-05 -86 *27504:A2 *3050:10 4.6025e-06 -87 *27504:A2 *5510:98 0 -88 *27526:A2 *27510:B1 0.000651872 -89 *27526:A2 *27526:A1 1.41706e-05 -90 *27526:A2 *27526:B2 0.000123288 -91 *27526:A2 *27526:C1 0.000827461 -92 *27526:A2 *3061:15 0 -93 *27526:A2 *3568:17 0 -94 *27526:A2 *3789:32 7.6644e-05 -95 *27592:A2 *27592:A1 7.95355e-05 -96 *27592:A2 *27592:B2 1.73088e-05 -97 *27592:A2 *3145:7 5.33005e-05 -98 *27592:A2 *3214:112 0.00028245 -99 *27592:A2 *4036:40 0.000290993 -100 *27592:A2 *5795:113 6.17358e-05 -101 *2880:11 *5601:40 4.11173e-05 -102 *2880:11 *5645:104 0.000190636 -103 *2880:11 *5658:69 4.28249e-05 -104 *2880:11 *5781:42 0 -105 *2880:30 *27736:B2 0.000511588 -106 *2880:30 *3259:25 0.000213912 -107 *2880:30 *3874:18 0.000128905 -108 *2880:30 *3952:57 0.000947862 -109 *2880:30 *3952:67 0.000135432 -110 *2880:30 *4030:48 0.000187857 -111 *2880:30 *5596:51 0.000187857 -112 *2880:45 *27736:B2 0.00167562 -113 *2880:45 *3259:25 1.2321e-05 -114 *2880:45 *5596:51 0.000110498 -115 *2880:58 *27336:A1 0.000870574 -116 *2880:58 *27680:A2 2.13481e-06 -117 *2880:58 *27680:B1 3.96757e-05 -118 *2880:58 *27680:B2 5.4684e-05 -119 *2880:58 *27754:A2 1.33343e-05 -120 *2880:58 *2886:335 4.65519e-05 -121 *2880:58 *3233:13 0.000118144 -122 *2880:58 *3898:61 0.000300673 -123 *2880:58 *3976:73 0.000505335 -124 *2880:58 *4107:40 0.000235317 -125 *2880:58 *5589:37 0 -126 *2880:58 *5600:173 0.000233265 -127 *2880:58 *5649:137 0 -128 *2880:93 *2998:8 0.00142798 -129 *2880:93 *5485:147 0.0014304 -130 *2880:93 *5601:40 0.000715418 -131 *2880:93 *5658:44 9.10435e-05 -132 *2880:93 *5829:85 0.000107427 -133 *2880:108 *26892:B 0.000148182 -134 *2880:108 *27375:A1 8.25843e-06 -135 *2880:108 *27482:C1 0.000297437 -136 *2880:108 *28649:D 0.00024153 -137 *2880:108 *2891:147 0.000216348 -138 *2880:108 *3939:51 0.000179731 -139 *2880:108 *5649:116 0.00058715 -140 *2880:108 *5667:60 9.60875e-05 -141 *2880:118 *27375:A1 1.15172e-05 -142 *2880:118 *3538:22 0.000873154 -143 *2880:118 *3822:32 0.000438139 -144 *2880:118 *5649:116 0 -145 *2880:129 *26893:A0 4.88511e-06 -146 *2880:129 *28468:RESET_B 9.65217e-05 -147 *2880:129 *2978:36 0.000940179 -148 *2880:129 *3259:25 0.0010732 -149 *2880:129 *3293:34 0.000273939 -150 *2880:129 *3665:38 0.000160692 -151 *2880:129 *3822:49 0.000953729 -152 *2880:129 *5627:38 0.000222921 -153 *2880:137 *25278:B2 9.88371e-05 -154 *2880:137 *27721:A1 0.000124861 -155 *2880:137 *28803:CLK 4.59185e-05 -156 *2880:137 *29775:A 6.66813e-05 -157 *2880:137 *3538:24 0.00128979 -158 *2880:137 *3666:122 4.29535e-05 -159 *2880:137 *3990:10 0.00012247 -160 *2880:137 *5638:30 0.00102715 -161 *2880:178 *27366:B2 9.01594e-05 -162 *2880:178 *27372:A1 0.000614285 -163 *2880:178 *27711:B2 4.57582e-05 -164 *2880:178 *3743:51 1.31563e-05 -165 *2880:178 *3820:91 3.38578e-05 -166 *2880:178 *3912:66 6.52967e-05 -167 *2880:178 *5641:38 0 -168 *2880:180 *24942:A 2.59355e-05 -169 *2880:180 *24942:B 0.000145239 -170 *2880:180 *27366:B2 1.24368e-05 -171 *2880:180 *5582:19 0.00315289 -172 *2880:180 *5713:25 0.00202278 -173 *2880:180 *5713:37 0.00270022 -174 *2880:180 *5748:36 3.1521e-05 -175 *2880:180 *5861:34 0.00195222 -176 *2880:197 *27581:B 0 -177 *2880:197 *27929:B2 0.00093056 -178 *2880:197 *27930:A1 0.000142359 -179 *2880:197 *3123:14 1.90936e-05 -180 *2880:197 *3156:162 1.36734e-05 -181 *2880:197 *3214:112 0.000252721 -182 *2880:197 *4036:40 0.000251 -183 *2880:197 *4087:26 4.34627e-05 -184 *2880:197 *5614:88 0.000209559 -185 *2880:197 *5691:51 0 -186 *2880:197 *5694:31 0 -187 *2880:211 *27559:A 8.43535e-06 -188 *2880:211 *27570:B1 0.000221804 -189 *2880:211 *27570:B2 2.89114e-05 -190 *2880:211 *28327:CLK 1.94879e-05 -191 *2880:211 *3646:20 9.23689e-05 -192 *2880:211 *3646:22 0.00129374 -193 *2880:211 *3687:42 0.000228857 -194 *2880:211 *3687:52 0.000505063 -195 *2880:211 *3687:54 0.000119913 -196 *2880:211 *3775:39 0.000445697 -197 *2880:211 *5467:14 0 -198 *2880:215 *27547:C 0.000281955 -199 *2880:215 *27548:B2 0.000224984 -200 *2880:215 *27854:B2 4.26759e-05 -201 *2880:215 *3687:54 0.00157137 -202 *2880:215 *3687:58 0.00163692 -203 *2880:215 *3775:39 4.74526e-05 -204 *2880:215 *3856:43 0.000261817 -205 *2880:215 *3944:45 1.94945e-05 -206 *2880:215 *5666:179 0.00053427 -207 *2880:215 *5744:37 4.28249e-05 -208 *2880:215 *5744:48 0.000104625 -209 *2880:217 *27548:B2 0.000561232 -210 *2880:217 *3687:58 5.81538e-05 -211 *2880:217 *3778:25 3.83628e-06 -212 *2880:217 *3806:61 0.000449039 -213 *2880:224 *3778:25 1.97381e-05 -214 *2880:224 *3806:61 5.59013e-05 -215 *25334:B *2880:180 0.000792149 -216 *26892:A *2880:108 4.66299e-05 -217 *26958:S *27353:A2 0.000215528 -218 *27336:A2 *2880:58 0.000129918 -219 *27353:C1 *27353:A2 0.000824236 -220 *27417:C1 *27417:A2 0.0001284 -221 *27501:A2 *2880:215 6.56976e-05 -222 *27524:A2 *2880:211 6.14836e-06 -223 *27544:A2 *2880:215 0.000162426 -224 *27568:A2 *2880:197 3.81355e-05 -225 *29776:A *2880:137 8.99652e-05 -226 *74:11 *27333:A2 3.46774e-05 -227 *1178:123 *2880:108 9.83442e-05 -228 *1178:158 *2880:11 0 -229 *1182:26 *27333:A2 5.51918e-05 -230 *1185:125 *2880:93 0.000179914 -231 *1251:29 *2880:211 5.44532e-05 -232 *1258:75 *2880:45 0.00154678 -233 *1268:11 *2880:180 1.57414e-05 -234 *1277:161 *2880:178 0.000236304 -235 *1277:188 *2880:108 5.59298e-05 -236 *1281:145 *2880:11 0 -237 *1291:31 *27333:A2 0.000321783 -238 *1293:217 *2880:180 2.5868e-05 -239 *1408:28 *2880:211 0.00186363 -240 *1408:36 *2880:197 6.4278e-05 -241 *1476:42 *2880:197 0.00173399 -242 *1520:8 *2880:30 0.000210142 -243 *1545:28 *2880:197 0.000142265 -244 *1651:15 *2880:180 0.000759484 -245 *1652:18 *2880:180 5.33005e-05 -246 *1826:117 *2880:93 0.000161366 -247 *2754:20 *27353:A2 0.000181532 -248 *2760:109 *2880:11 0 -249 *2760:109 *2880:108 0.000156214 -250 *2774:146 *2880:11 9.41642e-05 -251 *2774:146 *2880:93 0.000219711 -252 *2780:150 *2880:108 0.000536164 -253 *2780:308 *2880:108 7.80059e-05 -254 *2787:128 *2880:93 2.17146e-05 -255 *2788:22 *27396:A2 6.25005e-05 -256 *2788:22 *2880:30 0.000195979 -257 *2788:22 *2880:45 0.000123605 -258 *2844:37 *2880:224 0.000205109 -259 *2844:265 *2880:93 0.000706022 -260 *2845:109 *2880:197 1.37742e-05 -261 *2845:247 *2880:30 0.000127111 -262 *2848:146 *2880:215 0.000193833 -263 *2848:191 *2880:129 0.00132446 -264 *2848:191 *2880:137 2.62184e-05 -265 *2848:242 *27438:A2 8.63126e-05 -266 *2848:242 *2880:58 0.000149546 -267 *2853:107 *2880:197 1.03403e-05 -268 *2853:187 *2880:129 0.000271241 -269 *2853:224 *2880:118 0.000188543 -270 *2853:224 *2880:129 0.000217039 -271 *2859:162 *2880:129 0.000144059 -272 *2859:162 *2880:137 0.00126974 -273 *2860:259 *2880:58 0.000110686 -274 *2864:156 *2880:118 0.000440627 -275 *2864:156 *2880:178 1.90269e-05 -276 *2864:164 *2880:178 1.42701e-05 -277 *2864:257 *2880:129 7.1588e-05 -278 *2866:119 *2880:180 0.000113018 -279 *2870:65 *27333:A2 7.95355e-05 -280 *2871:224 *2880:118 4.38243e-05 -281 *2875:207 *2880:178 4.65519e-05 -282 *2878:75 *2880:211 4.97226e-05 -283 *2878:75 *2880:215 8.59661e-05 -*RES -1 *27315:Y *2880:4 9.3 -2 *2880:4 *2880:11 12.1142 -3 *2880:11 *27353:A2 38.6476 -4 *2880:11 *2880:30 7.18567 -5 *2880:30 *27396:A2 21.7311 -6 *2880:30 *2880:45 7.07913 -7 *2880:45 *2880:58 47.9497 -8 *2880:58 *27417:A2 14.7643 -9 *2880:45 *27438:A2 42.61 -10 *2880:4 *2880:93 49.3577 -11 *2880:93 *2880:108 34.2321 -12 *2880:108 *2880:118 21.222 -13 *2880:118 *2880:129 33.462 -14 *2880:129 *2880:137 38.6957 -15 *2880:137 *27333:A2 30.1339 -16 *2880:137 *27460:A2 23.0682 -17 *2880:118 *2880:178 12.6645 -18 *2880:178 *2880:180 96.2321 -19 *2880:180 *2880:182 4.5 -20 *2880:182 *2880:197 29.2583 -21 *2880:197 *2880:211 47.6004 -22 *2880:211 *2880:215 43.4018 -23 *2880:215 *2880:217 7.77679 -24 *2880:217 *2880:224 13.1429 -25 *2880:224 *27526:A2 32.7464 -26 *2880:224 *27504:A2 16.9607 -27 *2880:217 *27548:A2 13.8 -28 *2880:197 *27570:A2 9.3 -29 *2880:182 *27592:A2 21.425 -30 *2880:108 *27375:A2 10.2643 -31 *2880:93 *27482:A2 17.1036 -*END - -*D_NET *2881 0.00527766 -*CONN -*I *27319:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27316:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27319:C1 0 -2 *27316:X 0.000788451 -3 *2881:14 0.000788451 -4 *2881:14 *3182:33 0.000219289 -5 *2881:14 *3830:95 9.45051e-05 -6 *2881:14 *4051:49 0.00106461 -7 *2881:14 *5635:50 0.00106461 -8 *25324:B1 *2881:14 0.000113063 -9 *27316:C1 *2881:14 5.48563e-05 -10 *1250:43 *2881:14 0.000529099 -11 *1363:98 *2881:14 0.000220937 -12 *2860:158 *2881:14 0.000205072 -13 *2860:164 *2881:14 5.21519e-05 -14 *2879:35 *2881:14 8.25754e-05 -*RES -1 *27316:X *2881:14 47.5321 -2 *2881:14 *27319:C1 13.8 -*END - -*D_NET *2882 0.1904 -*CONN -*I *27441:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27476:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27369:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27434:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27378:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27410:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27344:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27589:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27561:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27533:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27485:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27516:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27319:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27317:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27441:A2 0.00156479 -2 *27476:B1 0.000113271 -3 *27369:B1 0.000301542 -4 *27434:A2 5.70765e-06 -5 *27378:A2 0 -6 *27410:A2 0 -7 *27344:B1 0.000550742 -8 *27589:A2 0.000375806 -9 *27561:B1 0.000184491 -10 *27533:B1 0.000368541 -11 *27485:B1 0 -12 *27516:B1 0.000490014 -13 *27319:A2 2.04877e-05 -14 *27317:X 0.00169941 -15 *2882:303 0.00267217 -16 *2882:282 0.00453244 -17 *2882:277 0.00271273 -18 *2882:276 0.00181807 -19 *2882:260 0.00221878 -20 *2882:246 0.0043755 -21 *2882:210 0.00566204 -22 *2882:206 0.00416086 -23 *2882:189 0.00573713 -24 *2882:188 0.00426347 -25 *2882:185 0.00236006 -26 *2882:178 0.00239712 -27 *2882:173 0.00473831 -28 *2882:143 0.00108884 -29 *2882:142 0.00162797 -30 *2882:135 0.0019986 -31 *2882:114 0.00228692 -32 *2882:96 0.00103376 -33 *2882:67 0.00188721 -34 *2882:65 0.00224934 -35 *2882:64 0.00349753 -36 *2882:53 0.00384273 -37 *2882:46 0.00411352 -38 *2882:31 0.00522255 -39 *2882:22 0.0062441 -40 *27319:A2 *27319:B1 4.72938e-06 -41 *27319:A2 *27332:D 0 -42 *27344:B1 *25287:A1 6.96543e-05 -43 *27344:B1 *27344:A1 5.90008e-05 -44 *27344:B1 *27344:B2 3.29905e-05 -45 *27369:B1 *27369:B2 5.24614e-05 -46 *27369:B1 *2888:232 0.000121878 -47 *27369:B1 *2891:270 0.000136951 -48 *27369:B1 *2895:316 1.24368e-05 -49 *27369:B1 *3678:47 0.000260152 -50 *27369:B1 *3691:20 0.000113909 -51 *27369:B1 *3717:30 9.65217e-05 -52 *27369:B1 *3899:38 9.97612e-05 -53 *27434:A2 *27434:A1 1.46576e-05 -54 *27434:A2 *27437:C 6.59012e-07 -55 *27434:A2 *2993:27 6.05161e-06 -56 *27441:A2 *27441:A1 0.000129301 -57 *27441:A2 *27441:B2 6.7881e-05 -58 *27441:A2 *27442:A1 7.20129e-05 -59 *27441:A2 *27442:B2 0.000218771 -60 *27441:A2 *27442:C1 2.89016e-05 -61 *27476:B1 *27476:B2 6.17099e-05 -62 *27476:B1 *27476:C1 0.000175892 -63 *27516:B1 *27516:A1 0.000216755 -64 *27516:B1 *27516:A2 0.000150177 -65 *27516:B1 *27516:B2 5.04841e-06 -66 *27516:B1 *27517:C1 4.45768e-06 -67 *27533:B1 *27521:B2 0.000129147 -68 *27533:B1 *27533:B2 4.22135e-06 -69 *27533:B1 *27871:B2 5.20669e-05 -70 *27533:B1 *3698:40 5.44225e-05 -71 *27533:B1 *4064:81 5.42764e-05 -72 *27533:B1 *4116:36 2.86754e-05 -73 *27561:B1 *24889:A0 2.16416e-05 -74 *27561:B1 *27561:B2 1.38323e-05 -75 *27561:B1 *27561:C1 2.59355e-05 -76 *27589:A2 *27937:B2 0.000100688 -77 *27589:A2 *2889:289 8.42484e-06 -78 *27589:A2 *3957:22 0.000324674 -79 *27589:A2 *4091:20 0.000687383 -80 *2882:22 *2889:22 0 -81 *2882:22 *2895:28 6.5887e-05 -82 *2882:22 *3170:152 0.000340782 -83 *2882:22 *3174:29 5.66213e-05 -84 *2882:22 *5504:67 5.56564e-05 -85 *2882:22 *5627:16 0.000581999 -86 *2882:22 *5646:17 0.000179745 -87 *2882:22 *5651:85 0.000636903 -88 *2882:22 *5717:164 4.90437e-05 -89 *2882:22 *5717:168 4.071e-05 -90 *2882:31 *26878:A0 0.000148205 -91 *2882:31 *27319:B1 0.00011599 -92 *2882:31 *27332:C 9.46929e-05 -93 *2882:31 *27332:D 1.21258e-05 -94 *2882:31 *27333:B1 2.22618e-05 -95 *2882:31 *27670:A 5.52238e-05 -96 *2882:31 *27670:B 7.95355e-05 -97 *2882:31 *3855:21 9.58126e-05 -98 *2882:46 *6630:DIODE 5.33005e-05 -99 *2882:46 *27319:B1 3.73691e-05 -100 *2882:46 *27319:B2 1.38323e-05 -101 *2882:46 *27331:A1 0.00046935 -102 *2882:46 *27331:A2 5.99855e-05 -103 *2882:46 *27332:D 6.5458e-06 -104 *2882:46 *27617:A1 0.000260152 -105 *2882:46 *27617:B1 0.000377202 -106 *2882:46 *2895:43 1.70893e-05 -107 *2882:46 *3686:135 3.2687e-05 -108 *2882:46 *5600:14 1.21258e-05 -109 *2882:46 *5606:14 0.000763593 -110 *2882:46 *5621:42 0.00030355 -111 *2882:46 *5873:175 2.66851e-05 -112 *2882:53 *28666:D 0.000219711 -113 *2882:53 *3699:58 0.00173319 -114 *2882:53 *3790:62 0.000107946 -115 *2882:53 *3841:55 0.00156336 -116 *2882:53 *3971:59 0.000418148 -117 *2882:64 *27874:A2 0.000155278 -118 *2882:64 *27874:B1 2.15613e-05 -119 *2882:64 *27874:B2 0.000142472 -120 *2882:64 *28155:D 8.55871e-05 -121 *2882:64 *28155:RESET_B 1.06421e-05 -122 *2882:64 *28156:RESET_B 7.19048e-06 -123 *2882:64 *3931:10 0.000175892 -124 *2882:64 *3945:34 0.000200406 -125 *2882:64 *3971:59 9.35794e-06 -126 *2882:64 *4101:28 0.000198691 -127 *2882:64 *5666:179 0.000841533 -128 *2882:64 *5744:48 6.32655e-05 -129 *2882:65 *25643:A1 0.000289452 -130 *2882:65 *3216:141 9.58181e-05 -131 *2882:65 *3960:86 0.000340324 -132 *2882:67 *25643:A1 0.000343534 -133 *2882:67 *3960:62 8.25843e-06 -134 *2882:96 *27490:B1 8.03121e-05 -135 *2882:96 *27860:A1 0.000354651 -136 *2882:96 *27860:C1 8.3e-05 -137 *2882:96 *27865:C 0.00072223 -138 *2882:96 *28136:CLK 4.58194e-05 -139 *2882:96 *3457:10 0.000659925 -140 *2882:96 *4152:50 0.00032343 -141 *2882:96 *5651:56 0.000160834 -142 *2882:96 *5651:76 4.07433e-05 -143 *2882:114 *3192:107 0.000225994 -144 *2882:114 *3713:45 0.000735631 -145 *2882:114 *3723:31 0.000734965 -146 *2882:114 *4186:20 2.71688e-05 -147 *2882:114 *5493:40 6.6386e-05 -148 *2882:114 *5661:117 0.000602952 -149 *2882:114 *5699:106 2.83129e-05 -150 *2882:135 *25675:A0 3.22831e-05 -151 *2882:135 *3192:107 0.000738303 -152 *2882:135 *3713:45 0.00124899 -153 *2882:135 *3723:31 0.000328566 -154 *2882:135 *3793:40 0.000158048 -155 *2882:135 *3802:58 4.02426e-05 -156 *2882:135 *3870:52 0.00104796 -157 *2882:135 *3957:22 0.000248423 -158 *2882:135 *4130:103 0.00104736 -159 *2882:142 *25869:A0 0 -160 *2882:142 *27569:C 0.000302732 -161 *2882:142 *27588:C1 0.00105477 -162 *2882:142 *2889:281 0.000251657 -163 *2882:142 *2895:172 0.00136145 -164 *2882:142 *3115:19 1.97731e-05 -165 *2882:142 *3115:31 0.00077209 -166 *2882:142 *3685:66 9.11102e-06 -167 *2882:142 *3749:105 0.000142875 -168 *2882:142 *3802:58 0.000151476 -169 *2882:142 *3957:22 9.07728e-05 -170 *2882:142 *4126:84 0.000136956 -171 *2882:143 *27937:B2 7.6644e-05 -172 *2882:143 *3115:31 0.000239115 -173 *2882:143 *3957:22 0.000440508 -174 *2882:143 *5667:24 0.000233738 -175 *2882:173 *26878:A0 5.96516e-05 -176 *2882:173 *27442:B2 0.000122369 -177 *2882:173 *28804:RESET_B 8.25843e-06 -178 *2882:173 *29709:A 0.000514892 -179 *2882:173 *3178:136 0.00010811 -180 *2882:173 *3666:15 2.26973e-05 -181 *2882:173 *5598:49 1.08359e-05 -182 *2882:173 *5653:19 0.00159912 -183 *2882:173 *5755:32 5.78624e-05 -184 *2882:178 *27811:C1 0.000144808 -185 *2882:178 *3176:8 0.00173351 -186 *2882:178 *3215:13 0.00127469 -187 *2882:178 *3574:28 0.000265378 -188 *2882:178 *3916:39 0.00122434 -189 *2882:178 *5589:174 5.18042e-05 -190 *2882:178 *5632:8 0.000231271 -191 *2882:185 *25025:B2 0.000150854 -192 *2882:185 *27453:B2 0.000148189 -193 *2882:185 *27454:B2 4.38265e-05 -194 *2882:185 *27826:A1 0.000162186 -195 *2882:185 *3176:230 0.000344673 -196 *2882:185 *3760:12 0.00057873 -197 *2882:185 *3916:34 0.000533413 -198 *2882:185 *3916:39 0.000367507 -199 *2882:185 *5632:8 0.00154807 -200 *2882:185 *5632:18 0.000123402 -201 *2882:185 *5632:44 9.66219e-05 -202 *2882:185 *5643:303 0.000301438 -203 *2882:185 *5667:348 0.000205418 -204 *2882:188 *27482:A1 0.00012401 -205 *2882:188 *3040:19 0.000265778 -206 *2882:188 *3176:234 0.00266537 -207 *2882:188 *3215:196 0.000898499 -208 *2882:188 *3670:54 0.00142632 -209 *2882:188 *5589:166 7.04511e-05 -210 *2882:189 *27476:C1 0.000879435 -211 *2882:189 *5663:58 7.9908e-05 -212 *2882:206 *25343:A1 0.00302928 -213 *2882:206 *27344:A1 3.91734e-05 -214 *2882:206 *27352:B 0.000127968 -215 *2882:206 *27352:D 0.000167422 -216 *2882:206 *2889:48 0 -217 *2882:206 *3886:67 0 -218 *2882:206 *3992:54 0.00104075 -219 *2882:206 *5652:20 0 -220 *2882:210 *3299:13 6.05161e-06 -221 *2882:210 *3299:14 1.81709e-05 -222 *2882:210 *5764:65 3.22325e-05 -223 *2882:246 *25094:A1 0.000168164 -224 *2882:246 *27400:B1 0 -225 *2882:246 *27400:B2 6.05161e-06 -226 *2882:246 *27402:B1 0.00014854 -227 *2882:246 *27410:C1 0.000139514 -228 *2882:246 *27765:B2 0.000902699 -229 *2882:246 *2886:295 8.81348e-05 -230 *2882:246 *3215:242 7.27952e-05 -231 *2882:246 *3680:34 0.000296666 -232 *2882:246 *3706:35 0.000348495 -233 *2882:246 *3849:48 0.000678237 -234 *2882:246 *3862:42 0.00214088 -235 *2882:246 *4122:28 0.00015546 -236 *2882:246 *4135:70 0.00049884 -237 *2882:246 *5585:23 0.000524803 -238 *2882:246 *5585:28 0.000384092 -239 *2882:246 *5587:44 0.000702538 -240 *2882:246 *5643:237 0.000197779 -241 *2882:246 *5652:45 0.000366657 -242 *2882:246 *5688:97 1.48639e-05 -243 *2882:246 *5688:115 0.000239748 -244 *2882:246 *5688:320 0.000778532 -245 *2882:246 *5688:349 9.22109e-05 -246 *2882:246 *5836:78 9.09469e-06 -247 *2882:260 *27360:A1 6.69038e-05 -248 *2882:260 *27360:B2 0.000569448 -249 *2882:260 *28925:A 0.000573448 -250 *2882:260 *2888:213 1.93534e-05 -251 *2882:276 *27335:B1 0.000859309 -252 *2882:276 *2888:213 0.00106735 -253 *2882:276 *2888:232 0.000236582 -254 *2882:276 *2922:20 2.89643e-06 -255 *2882:276 *3179:154 0.000128752 -256 *2882:276 *3912:27 0.000468526 -257 *2882:276 *5663:126 0.00130308 -258 *2882:276 *5759:18 0.00141446 -259 *2882:276 *5764:230 0.000182244 -260 *2882:277 *25237:A1 0.000140383 -261 *2882:277 *27359:C1 1.41885e-05 -262 *2882:277 *2888:232 0.000523445 -263 *2882:277 *3691:20 0.000558666 -264 *2882:277 *3691:34 0.000685033 -265 *2882:277 *3717:30 8.64232e-05 -266 *2882:277 *5663:126 0.000398849 -267 *2882:277 *5663:138 1.17921e-05 -268 *2882:282 *27378:A1 8.25843e-06 -269 *2882:282 *27378:B2 3.63775e-05 -270 *2882:282 *2891:288 4.46231e-06 -271 *2882:282 *5642:144 0.0019478 -272 *2882:303 *26945:A0 0.000186339 -273 *2882:303 *27378:A1 0.00105352 -274 *2882:303 *27381:C1 0.000112823 -275 *2882:303 *27772:A 0.000181803 -276 *2882:303 *27775:C1 0.000137983 -277 *2882:303 *30875:A 0.00167549 -278 *2882:303 *3580:94 9.51744e-05 -279 *2882:303 *3621:7 0.00059044 -280 *2882:303 *3800:69 1.90936e-05 -281 *2882:303 *3837:33 7.07773e-05 -282 *2882:303 *3850:41 0.000384673 -283 *2882:303 *3916:20 6.87203e-05 -284 *2882:303 *3930:70 0.000208166 -285 *2882:303 *5651:326 2.06112e-05 -286 *2882:303 *5658:130 0.000262498 -287 *2882:303 *5683:134 8.56856e-05 -288 *2882:303 *5824:286 1.75792e-05 -289 *2882:303 *6005:32 6.14836e-06 -290 *25025:B1 *2882:185 2.78763e-05 -291 *25239:A2 *2882:260 0.000111694 -292 *25251:D *2882:246 0.000135968 -293 *25302:A2 *2882:46 1.85621e-05 -294 *25307:C1 *2882:114 2.21972e-05 -295 *25640:A *2882:65 0.000178425 -296 *25666:S *2882:64 6.94952e-05 -297 *27333:A2 *2882:22 6.83428e-05 -298 *27347:A2 *2882:206 6.14836e-06 -299 *27429:A2 *2882:303 8.32428e-05 -300 *27442:A2 *27441:A2 1.59935e-05 -301 *27445:B1 *2882:22 0.000158552 -302 *27476:A2 *27476:B1 0.000323712 -303 *27476:A2 *2882:189 0.00230002 -304 *29710:A *2882:31 5.33005e-05 -305 *74:11 *2882:173 0.00160017 -306 *1185:78 *2882:188 1.90936e-05 -307 *1225:15 *2882:189 0.00117289 -308 *1235:35 *2882:46 0.000100823 -309 *1245:51 *2882:46 1.40034e-05 -310 *1248:137 *27344:B1 0.00034127 -311 *1248:150 *2882:210 0.000595592 -312 *1248:150 *2882:260 4.13595e-05 -313 *1251:29 *2882:114 9.09539e-05 -314 *1266:64 *2882:206 0 -315 *1266:104 *27344:B1 0.000177545 -316 *1266:104 *2882:206 8.94556e-05 -317 *1267:65 *2882:246 4.65519e-05 -318 *1270:22 *2882:206 0.000197779 -319 *1272:47 *2882:246 7.14091e-05 -320 *1272:63 *2882:260 0.000237103 -321 *1275:94 *2882:206 6.60633e-06 -322 *1281:125 *2882:206 8.94556e-05 -323 *1282:210 *2882:260 0.00162156 -324 *1291:31 *2882:31 0.000129692 -325 *1291:39 *2882:31 0.000192892 -326 *1291:39 *2882:173 1.39726e-05 -327 *1293:75 *2882:246 0.000111243 -328 *1411:68 *2882:53 0.000481177 -329 *1423:54 *2882:142 0.000201965 -330 *1430:59 *2882:114 8.69554e-05 -331 *1490:35 *2882:22 0.000370621 -332 *1549:38 *2882:210 0.00109352 -333 *1549:38 *2882:260 0.000534184 -334 *1698:8 *2882:246 0.000326666 -335 *1700:65 *2882:46 2.42516e-05 -336 *1705:17 *2882:46 9.91086e-05 -337 *1713:13 *2882:53 1.24368e-05 -338 *1713:13 *2882:64 8.43535e-06 -339 *1748:20 *2882:114 0 -340 *1828:42 *2882:135 0 -341 *1887:22 *2882:114 0.00042002 -342 *2758:37 *2882:31 0.000216755 -343 *2758:64 *2882:173 0.000247224 -344 *2761:121 *27344:B1 6.87203e-05 -345 *2761:121 *2882:210 0.000104099 -346 *2774:110 *27344:B1 6.58704e-05 -347 *2774:110 *2882:210 0.000182402 -348 *2780:25 *2882:31 0.000347322 -349 *2782:106 *2882:22 4.33002e-05 -350 *2784:67 *2882:206 0.00103377 -351 *2786:55 *2882:276 0.00012963 -352 *2790:92 *2882:173 0.000105469 -353 *2794:141 *2882:206 0.000182531 -354 *2813:72 *2882:22 0.000160465 -355 *2848:33 *2882:22 0.000157818 -356 *2848:369 *2882:303 0 -357 *2850:98 *27589:A2 3.40456e-05 -358 *2850:98 *2882:143 0.00141087 -359 *2852:142 *27369:B1 1.64271e-05 -360 *2853:24 *2882:22 0.000141043 -361 *2856:270 *2882:173 0.00021324 -362 *2859:16 *2882:22 0.000173076 -363 *2865:126 *2882:114 0.000767356 -364 *2871:175 *27441:A2 2.59355e-05 -365 *2872:295 *2882:246 0.000321402 -366 *2872:299 *2882:246 0.00048125 -367 *2872:355 *2882:303 0.000138342 -368 *2874:74 *2882:96 0.00134832 -369 *2875:46 *2882:22 0 -370 *2875:258 *2882:206 1.66624e-05 -371 *2875:275 *2882:246 4.65519e-05 -372 *2875:302 *2882:206 2.60138e-05 -373 *2875:302 *2882:260 4.50271e-05 -374 *2877:299 *2882:246 0 -375 *2877:349 *2882:276 0.000269582 -376 *2879:27 *2882:22 4.33002e-05 -377 *2879:118 *2882:246 0 -*RES -1 *27317:X *2882:22 46.1462 -2 *2882:22 *2882:31 34.3839 -3 *2882:31 *27319:A2 9.72857 -4 *2882:31 *2882:46 45.1875 -5 *2882:46 *2882:53 32.1071 -6 *2882:53 *2882:64 44.2679 -7 *2882:64 *2882:65 13.6786 -8 *2882:65 *2882:67 5.46429 -9 *2882:67 *27516:B1 16.1571 -10 *2882:67 *2882:96 47.6071 -11 *2882:96 *27485:B1 9.3 -12 *2882:65 *2882:114 39.3316 -13 *2882:114 *27533:B1 27.8536 -14 *2882:114 *2882:135 39.7589 -15 *2882:135 *2882:142 38.2446 -16 *2882:142 *2882:143 18.4911 -17 *2882:143 *27561:B1 15.9964 -18 *2882:143 *27589:A2 24.2464 -19 *2882:22 *2882:173 42.8185 -20 *2882:173 *2882:178 38.7768 -21 *2882:178 *2882:185 46.5089 -22 *2882:185 *2882:188 39.2321 -23 *2882:188 *2882:189 57.625 -24 *2882:189 *2882:206 39.1564 -25 *2882:206 *2882:210 22.2232 -26 *2882:210 *27344:B1 23.7554 -27 *2882:210 *2882:246 45.8447 -28 *2882:246 *27410:A2 9.3 -29 *2882:206 *2882:260 36.7892 -30 *2882:260 *2882:276 34.5563 -31 *2882:276 *2882:277 16.6696 -32 *2882:277 *2882:282 27.8393 -33 *2882:282 *27378:A2 9.3 -34 *2882:282 *2882:303 49.8587 -35 *2882:303 *27434:A2 9.44732 -36 *2882:277 *27369:B1 29.7286 -37 *2882:188 *27476:B1 12.7286 -38 *2882:173 *27441:A2 31.7821 -*END - -*D_NET *2883 0.151098 -*CONN -*I *27435:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27381:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27400:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27339:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27464:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27373:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27444:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27319:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27502:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27588:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27564:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27529:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27520:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27318:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27435:B1 0.000454447 -2 *27381:B1 0.000503769 -3 *27400:B1 0.00190296 -4 *27339:B1 0 -5 *27464:B1 0.000101681 -6 *27373:B1 0 -7 *27444:B1 2.04425e-05 -8 *27319:B1 0.000148504 -9 *27502:B1 4.1242e-05 -10 *27588:B1 0.000945266 -11 *27564:A2 0 -12 *27529:B1 1.78492e-05 -13 *27520:B1 0.000131144 -14 *27318:X 0 -15 *2883:250 0.00299845 -16 *2883:231 0.00211331 -17 *2883:226 0.00289934 -18 *2883:220 0.00406641 -19 *2883:209 0.00306761 -20 *2883:192 0.00109315 -21 *2883:188 0.00240822 -22 *2883:187 0.0027885 -23 *2883:168 0.00388293 -24 *2883:163 0.00452668 -25 *2883:158 0.00159889 -26 *2883:143 0.0020464 -27 *2883:129 0.00223456 -28 *2883:89 0.00207902 -29 *2883:71 0.00162977 -30 *2883:58 0.00125001 -31 *2883:53 0.00279487 -32 *2883:39 0.00594311 -33 *2883:35 0.00491721 -34 *2883:29 0.00217453 -35 *2883:15 0.00241336 -36 *2883:4 0.00303692 -37 *27319:B1 *27332:D 0.000283979 -38 *27319:B1 *3686:135 3.08382e-06 -39 *27319:B1 *3992:28 1.90936e-05 -40 *27381:B1 *27381:A1 0.000174028 -41 *27381:B1 *27731:A1 1.73088e-05 -42 *27381:B1 *4107:26 0.000344835 -43 *27381:B1 *4147:57 0.000164481 -44 *27381:B1 *5829:76 0.00107166 -45 *27400:B1 *25147:A1 1.46205e-05 -46 *27400:B1 *25147:A2 0.000346135 -47 *27400:B1 *25147:B2 7.45939e-06 -48 *27400:B1 *27149:A0 9.77423e-05 -49 *27400:B1 *27149:A1 2.11956e-05 -50 *27400:B1 *27410:C1 2.26132e-05 -51 *27400:B1 *27749:A1 6.81786e-05 -52 *27400:B1 *5662:124 0.000720624 -53 *27435:B1 *27435:A1 5.33005e-05 -54 *27435:B1 *3679:29 0.000178425 -55 *27435:B1 *4033:16 0.000238528 -56 *27435:B1 *4086:28 0.00051637 -57 *27435:B1 *4149:57 2.47631e-06 -58 *27435:B1 *4149:72 0.000332637 -59 *27435:B1 *5643:192 0.000263108 -60 *27435:B1 *5862:23 1.55885e-05 -61 *27444:B1 *27444:B2 5.33005e-05 -62 *27464:B1 *27464:B2 2.07394e-05 -63 *27464:B1 *27464:C1 6.05161e-06 -64 *27464:B1 *5836:45 0.000135028 -65 *27502:B1 *2888:117 8.65622e-05 -66 *27502:B1 *5717:108 8.65622e-05 -67 *27520:B1 *27520:A1 9.41642e-05 -68 *27520:B1 *27520:C1 1.55885e-05 -69 *27529:B1 *27529:A1 5.49995e-05 -70 *27588:B1 *27564:C1 1.18451e-05 -71 *27588:B1 *2895:171 6.292e-05 -72 *27588:B1 *3741:27 6.64121e-05 -73 *27588:B1 *3793:64 9.91086e-05 -74 *27588:B1 *3961:45 3.03145e-05 -75 *27588:B1 *4113:104 0.00146073 -76 *27588:B1 *4350:48 0.000342108 -77 *2883:15 *27314:A 9.41642e-05 -78 *2883:15 *27318:A 0.000555487 -79 *2883:15 *28466:D 0.000181976 -80 *2883:15 *31009:A 2.42516e-05 -81 *2883:15 *3164:34 0.000171191 -82 *2883:15 *5598:17 8.38584e-05 -83 *2883:15 *5599:273 0.000135028 -84 *2883:15 *5643:39 5.90443e-06 -85 *2883:15 *6306:145 0.000678729 -86 *2883:29 *29035:A 8.30468e-05 -87 *2883:29 *3660:22 6.57603e-05 -88 *2883:29 *5713:84 0.000109894 -89 *2883:35 *27073:A1 0.000109268 -90 *2883:35 *27319:B2 2.79421e-05 -91 *2883:35 *29350:A 0.000426978 -92 *2883:35 *3197:45 0.000136682 -93 *2883:35 *3992:28 0.000125917 -94 *2883:35 *5196:78 9.89571e-05 -95 *2883:39 *25330:A1 2.79201e-05 -96 *2883:39 *28666:CLK 0.000135392 -97 *2883:39 *28666:D 4.82865e-05 -98 *2883:39 *2894:44 0.000420761 -99 *2883:39 *2895:61 0.000975074 -100 *2883:39 *3875:71 2.54304e-06 -101 *2883:39 *3881:5 5.33005e-05 -102 *2883:39 *3881:10 9.41642e-05 -103 *2883:39 *3971:59 0.000199696 -104 *2883:53 *25302:B2 8.34819e-06 -105 *2883:53 *27881:C 2.28499e-05 -106 *2883:53 *28666:CLK 6.22404e-05 -107 *2883:53 *3762:61 4.19624e-06 -108 *2883:53 *3841:25 0.000682458 -109 *2883:53 *3944:45 0.00211499 -110 *2883:53 *4035:60 5.7836e-06 -111 *2883:53 *5386:11 0.000155756 -112 *2883:53 *5500:65 0.000136958 -113 *2883:58 *27520:A1 0.000822521 -114 *2883:58 *3775:55 7.85696e-05 -115 *2883:58 *3841:25 3.70515e-05 -116 *2883:58 *4101:28 0.000994164 -117 *2883:58 *4131:36 4.98055e-06 -118 *2883:58 *5386:11 0.000242685 -119 *2883:71 *27520:A1 3.17148e-05 -120 *2883:71 *4101:28 0.000980279 -121 *2883:71 *4131:36 4.038e-06 -122 *2883:89 *27564:B1 1.50181e-05 -123 *2883:89 *27569:D 0.000960794 -124 *2883:89 *2892:94 1.44868e-05 -125 *2883:89 *3450:32 0.000984823 -126 *2883:89 *3958:29 6.56976e-05 -127 *2883:89 *3961:45 3.28686e-05 -128 *2883:89 *4065:107 6.05161e-06 -129 *2883:89 *4101:28 0.00129107 -130 *2883:89 *4131:36 0.0001086 -131 *2883:129 *25625:B 0.000344225 -132 *2883:129 *3164:34 0.000737921 -133 *2883:129 *3193:51 0.00017309 -134 *2883:129 *3904:38 0.000171368 -135 *2883:129 *5598:17 0.000115512 -136 *2883:143 *3193:51 0.00185694 -137 *2883:143 *3904:38 0.0019572 -138 *2883:158 *27809:C1 0.00010798 -139 *2883:158 *28488:CLK 0.000139847 -140 *2883:158 *3345:6 0.00238149 -141 *2883:158 *3878:43 9.90115e-06 -142 *2883:158 *3904:38 0.00238391 -143 *2883:158 *3942:60 0.000144876 -144 *2883:158 *5650:198 3.43378e-05 -145 *2883:158 *5679:19 0.000256969 -146 *2883:163 *28488:CLK 0.000113604 -147 *2883:163 *3878:43 0.000269791 -148 *2883:168 *28488:CLK 6.05161e-06 -149 *2883:168 *28623:D 0.000178786 -150 *2883:168 *28623:RESET_B 0.000194631 -151 *2883:168 *28930:A 1.50072e-05 -152 *2883:168 *3538:22 0.000148031 -153 *2883:168 *3666:122 0.000146142 -154 *2883:168 *3798:7 2.59355e-05 -155 *2883:168 *5679:19 0.00097214 -156 *2883:187 *27458:B1 0.000188328 -157 *2883:187 *3165:27 0.000644819 -158 *2883:187 *3864:63 0.000158874 -159 *2883:187 *5602:80 2.89114e-05 -160 *2883:188 *27471:C 0.000722796 -161 *2883:188 *27479:A1 0.00137729 -162 *2883:188 *2895:259 5.49132e-05 -163 *2883:188 *2895:266 0.000143773 -164 *2883:188 *3345:6 0.00707981 -165 *2883:188 *3904:38 0.00247663 -166 *2883:188 *3943:41 0.00166825 -167 *2883:188 *4086:64 0.00064714 -168 *2883:188 *4134:107 0.000106304 -169 *2883:192 *2895:266 5.54204e-05 -170 *2883:192 *3345:6 1.20729e-05 -171 *2883:209 *27799:C1 9.60875e-05 -172 *2883:209 *2895:266 0.000974486 -173 *2883:209 *2895:281 0.000199477 -174 *2883:209 *4033:16 0.0015978 -175 *2883:209 *4085:27 9.63744e-05 -176 *2883:220 *25062:B2 0.000343526 -177 *2883:220 *28881:A 0.000548122 -178 *2883:220 *3580:8 3.34366e-05 -179 *2883:220 *3580:87 9.0573e-05 -180 *2883:220 *3705:61 0.000502801 -181 *2883:220 *3850:38 0.000129147 -182 *2883:220 *3969:16 6.42338e-05 -183 *2883:220 *5589:96 0.000221782 -184 *2883:220 *5594:27 6.44445e-05 -185 *2883:226 *27387:B2 6.06291e-06 -186 *2883:226 *27737:B2 6.53029e-05 -187 *2883:226 *3679:55 4.82947e-05 -188 *2883:226 *3783:71 0.00027505 -189 *2883:226 *3874:54 4.09641e-05 -190 *2883:226 *5589:96 0.00134395 -191 *2883:226 *5829:76 7.6644e-05 -192 *2883:231 *25238:A1 6.06291e-05 -193 *2883:231 *27339:A1 5.33005e-05 -194 *2883:231 *27340:B1 0.000175892 -195 *2883:231 *27387:B1 1.46148e-05 -196 *2883:231 *27387:B2 1.59935e-05 -197 *2883:231 *2885:175 0.00137993 -198 *2883:231 *3241:14 7.78851e-05 -199 *2883:231 *3874:76 7.79196e-05 -200 *2883:250 *30425:A 0.000175892 -201 *2883:250 *3241:14 0.00014699 -202 *2883:250 *3797:101 0.000514796 -203 *2883:250 *5589:57 0.000610425 -204 *2883:250 *5708:56 0.000346266 -205 *2883:250 *5708:58 0.000726738 -206 *2883:250 *5905:124 0.00106683 -207 *25007:A2 *2883:129 0.000543225 -208 *25302:A2 *2883:53 7.13226e-06 -209 *25330:B1 *2883:39 1.96616e-05 -210 *27302:B *2883:15 0.000346765 -211 *27314:B *2883:15 2.41649e-05 -212 *27314:C *2883:15 0.000311773 -213 *27318:B *2883:15 0.000511668 -214 *27318:C *2883:15 1.98839e-05 -215 *27319:A2 *27319:B1 4.72938e-06 -216 *27326:C *2883:15 1.59312e-05 -217 *27332:A *2883:29 5.40377e-05 -218 *27381:A2 *27381:B1 1.21258e-05 -219 *27400:A2 *27400:B1 0.000151181 -220 *27557:A2 *2883:89 3.63775e-05 -221 *27671:A1 *2883:29 0.000393347 -222 *29186:A *2883:15 0.000135028 -223 *29186:A *2883:29 1.46617e-05 -224 *29352:A *2883:35 1.45092e-05 -225 *29352:A *2883:39 0.000522686 -226 *74:11 *2883:29 0.000113378 -227 *1182:15 *2883:15 2.28499e-05 -228 *1182:42 *2883:39 2.64262e-06 -229 *1237:43 *2883:129 0.000221641 -230 *1252:83 *2883:15 0.000260152 -231 *1252:195 *2883:192 1.94879e-05 -232 *1252:195 *2883:209 0.00142395 -233 *1252:206 *2883:209 2.49484e-05 -234 *1262:100 *2883:220 0.000301382 -235 *1265:128 *27400:B1 0.00096834 -236 *1291:31 *2883:29 0.000821791 -237 *1392:10 *27435:B1 0.000246057 -238 *1392:10 *2883:209 0.000379152 -239 *1411:97 *2883:53 0.000148999 -240 *1446:12 *27319:B1 1.12323e-05 -241 *1446:12 *2883:35 0.000127766 -242 *1467:27 *27400:B1 0.000139208 -243 *1506:18 *27381:B1 0.00105983 -244 *1506:18 *2883:226 7.83659e-05 -245 *1536:27 *2883:29 6.57603e-05 -246 *1557:16 *2883:231 0.0010884 -247 *1619:25 *2883:53 0.000499821 -248 *1620:10 *2883:53 9.55638e-05 -249 *1633:29 *2883:250 0.00011389 -250 *1648:17 *2883:39 7.62571e-05 -251 *1864:150 *2883:158 1.60961e-05 -252 *1887:70 *2883:89 0.000251371 -253 *2758:23 *2883:15 0.00129524 -254 *2764:209 *2883:143 5.38267e-05 -255 *2764:209 *2883:158 0.000399698 -256 *2782:100 *2883:15 0.000353988 -257 *2790:46 *2883:15 0.000147886 -258 *2794:122 *2883:231 7.95998e-05 -259 *2794:122 *2883:250 0.000137442 -260 *2820:58 *2883:15 8.80543e-05 -261 *2820:61 *2883:15 0.000144068 -262 *2845:300 *2883:250 0.000300453 -263 *2850:177 *2883:15 9.41642e-05 -264 *2853:292 *2883:220 0.000876063 -265 *2856:124 *2883:29 1.09611e-05 -266 *2860:271 *2883:231 1.40034e-05 -267 *2860:287 *2883:231 8.25843e-06 -268 *2861:23 *2883:129 0.00155605 -269 *2861:39 *2883:168 0.000395155 -270 *2861:42 *2883:163 0.000101545 -271 *2861:42 *2883:187 0.000644819 -272 *2861:51 *27435:B1 9.11727e-05 -273 *2864:107 *2883:129 0.000100697 -274 *2866:167 *27529:B1 2.59355e-05 -275 *2866:167 *2883:58 0.000108872 -276 *2866:167 *2883:89 1.90936e-05 -277 *2874:336 *27381:B1 0.000165754 -278 *2875:99 *2883:71 0.000460616 -279 *2875:99 *2883:89 0.000117677 -280 *2875:100 *2883:89 0.00123083 -281 *2875:119 *27588:B1 0.000722929 -282 *2875:119 *2883:89 0.000166904 -283 *2877:359 *27444:B1 2.59355e-05 -284 *2882:31 *27319:B1 0.00011599 -285 *2882:46 *27319:B1 3.73691e-05 -286 *2882:246 *27400:B1 0 -*RES -1 *27318:X *2883:4 9.3 -2 *2883:4 *2883:15 48.875 -3 *2883:15 *2883:29 21.7499 -4 *2883:29 *2883:35 21.6116 -5 *2883:35 *2883:39 45.7545 -6 *2883:39 *2883:53 23.8237 -7 *2883:53 *2883:58 17.2045 -8 *2883:58 *27520:B1 15.5857 -9 *2883:58 *2883:71 13.7143 -10 *2883:71 *27529:B1 14.3357 -11 *2883:71 *2883:89 49.4375 -12 *2883:89 *27564:A2 9.3 -13 *2883:89 *27588:B1 43.9875 -14 *2883:53 *27502:B1 18.3975 -15 *2883:29 *27319:B1 17.9161 -16 *2883:4 *2883:129 40.1429 -17 *2883:129 *27444:B1 14.3357 -18 *2883:129 *2883:143 32.1808 -19 *2883:143 *2883:158 48.3175 -20 *2883:158 *2883:163 9.0625 -21 *2883:163 *2883:168 49.6964 -22 *2883:168 *27373:B1 13.8 -23 *2883:163 *2883:187 25.6964 -24 *2883:187 *2883:188 92.1071 -25 *2883:188 *2883:192 1.26786 -26 *2883:192 *27464:B1 15.8893 -27 *2883:192 *2883:209 42.9821 -28 *2883:209 *2883:220 47.4107 -29 *2883:220 *2883:226 28.4732 -30 *2883:226 *2883:231 27.2232 -31 *2883:231 *27339:B1 13.8 -32 *2883:231 *2883:250 44.1786 -33 *2883:250 *27400:B1 38.3357 -34 *2883:220 *27381:B1 32.0321 -35 *2883:209 *27435:B1 36.7286 -*END - -*D_NET *2884 0.000571395 -*CONN -*I *27332:B I *D sky130_fd_sc_hd__or4_1 -*I *27319:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27332:B 0.00017732 -2 *27319:X 0.00017732 -3 *27332:B *27332:D 0.000216755 -*RES -1 *27319:X *27332:B 20.7786 -*END - -*D_NET *2885 0.10215 -*CONN -*I *27451:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27387:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27401:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27424:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27466:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *30817:A I *D sky130_fd_sc_hd__buf_12 -*I *27320:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27451:B1 0.000141883 -2 *27387:A2 0.000185034 -3 *27401:A2 0.000223904 -4 *27424:A2 5.77452e-05 -5 *27466:B1 0.00030829 -6 *30817:A 0 -7 *27320:X 0.000364972 -8 *2885:178 0.00415027 -9 *2885:175 0.00511728 -10 *2885:156 0.00404038 -11 *2885:138 0.0035903 -12 *2885:129 0.00168786 -13 *2885:123 0.00213832 -14 *2885:97 0.00229991 -15 *2885:91 0.00209192 -16 *2885:82 0.0027319 -17 *2885:66 0.00291321 -18 *2885:58 0.00309209 -19 *2885:35 0.00299472 -20 *2885:33 0.00169044 -21 *2885:27 0.00233638 -22 *2885:8 0.00237185 -23 *27387:A2 *27387:B1 0.000117739 -24 *27387:A2 *27388:B1 4.53834e-05 -25 *27387:A2 *3783:71 3.83528e-05 -26 *27387:A2 *3874:54 7.37323e-05 -27 *27387:A2 *5589:95 2.01997e-05 -28 *27387:A2 *5633:152 0.000216687 -29 *27401:A2 *27401:A1 5.49489e-05 -30 *27401:A2 *27402:C1 0.000192666 -31 *27401:A2 *4122:39 0.000450383 -32 *27401:A2 *5652:61 0.000457127 -33 *27424:A2 *27424:A1 0.00020139 -34 *27424:A2 *3705:62 0.000103113 -35 *27451:B1 *27451:A1 2.66572e-05 -36 *27451:B1 *27451:B2 3.11501e-05 -37 *27451:B1 *27451:C1 2.38501e-05 -38 *27451:B1 *27459:A 5.49489e-05 -39 *2885:8 *3575:76 0.000510939 -40 *2885:8 *5608:28 1.40306e-05 -41 *2885:27 *27299:A 0.000173639 -42 *2885:27 *2998:32 0.000197984 -43 *2885:27 *3194:62 2.30116e-06 -44 *2885:27 *3206:13 0.00156572 -45 *2885:27 *3575:76 0.000181779 -46 *2885:27 *5485:133 0.000196262 -47 *2885:27 *5535:25 0 -48 *2885:27 *5717:23 0.000105924 -49 *2885:27 *6306:74 8.51213e-05 -50 *2885:27 *6306:89 7.55769e-05 -51 *2885:27 *6306:102 0.00037478 -52 *2885:33 *3154:65 9.25841e-05 -53 *2885:33 *3214:149 0.000300844 -54 *2885:33 *3214:173 4.08637e-05 -55 *2885:33 *3537:74 3.81861e-05 -56 *2885:35 *27795:A1 0.000337111 -57 *2885:35 *3040:41 0.0014846 -58 *2885:35 *3147:57 4.98496e-06 -59 *2885:35 *3154:65 0.000290089 -60 *2885:35 *3160:84 8.44271e-06 -61 *2885:35 *3537:74 0.00355144 -62 *2885:35 *5638:16 0.000983202 -63 *2885:35 *5653:19 1.14166e-05 -64 *2885:35 *5755:32 0.000168495 -65 *2885:58 *28696:D 0.000301094 -66 *2885:58 *2889:151 0.00104519 -67 *2885:58 *3040:31 0.000258677 -68 *2885:58 *3176:24 4.73891e-05 -69 *2885:58 *3537:73 0.000872668 -70 *2885:58 *3669:20 3.07572e-06 -71 *2885:58 *3929:46 8.36743e-05 -72 *2885:58 *3968:20 0.000181472 -73 *2885:58 *3968:22 0.000113806 -74 *2885:58 *5644:158 0.000246133 -75 *2885:58 *5680:241 0.000116857 -76 *2885:58 *5714:29 0.000704732 -77 *2885:66 *27451:C1 7.25873e-06 -78 *2885:66 *2888:177 0.000170595 -79 *2885:66 *2889:151 0.000239294 -80 *2885:66 *3787:29 9.61591e-05 -81 *2885:66 *3787:37 3.2923e-05 -82 *2885:66 *3800:29 1.84366e-05 -83 *2885:66 *5644:37 0.000135028 -84 *2885:82 *27451:C1 0.000103437 -85 *2885:82 *27816:A 0.000697115 -86 *2885:82 *3800:29 0.000863475 -87 *2885:82 *3864:63 0 -88 *2885:82 *3955:16 3.10667e-05 -89 *2885:82 *5639:61 0.000228698 -90 *2885:82 *5679:19 0.000179156 -91 *2885:91 *27478:B2 1.09026e-05 -92 *2885:91 *27826:B2 0.000120547 -93 *2885:91 *3787:44 0.00127939 -94 *2885:91 *3800:29 0.00274609 -95 *2885:91 *3864:86 0.000149668 -96 *2885:91 *5654:52 0.000339029 -97 *2885:97 *27480:B1 6.05161e-06 -98 *2885:97 *3039:13 9.02017e-05 -99 *2885:97 *3386:22 0.00115659 -100 *2885:97 *3956:25 1.77971e-05 -101 *2885:97 *5647:49 0.000281685 -102 *2885:123 *27474:A 6.90381e-06 -103 *2885:123 *3690:46 0.000941041 -104 *2885:123 *3850:24 0.000719175 -105 *2885:123 *3956:25 1.12406e-05 -106 *2885:123 *4085:62 0.000717123 -107 *2885:123 *4086:64 9.10435e-05 -108 *2885:123 *5645:91 0.0011439 -109 *2885:129 *27464:C1 4.87854e-05 -110 *2885:129 *3785:11 9.85571e-05 -111 *2885:129 *3850:24 0.000295545 -112 *2885:129 *3915:55 0.000731454 -113 *2885:129 *5633:63 0.00229597 -114 *2885:129 *5836:45 2.79421e-05 -115 *2885:138 *27427:C1 1.32452e-05 -116 *2885:138 *2986:18 0.000204806 -117 *2885:138 *3153:284 2.04825e-05 -118 *2885:138 *3170:232 0.000128228 -119 *2885:138 *3580:8 0.000920596 -120 *2885:138 *3785:44 0.000380852 -121 *2885:138 *3850:24 1.721e-05 -122 *2885:138 *3915:55 0.000312553 -123 *2885:138 *5633:63 0.00167256 -124 *2885:156 *27117:A 1.42071e-05 -125 *2885:156 *27427:A2 0 -126 *2885:156 *27735:B2 0.000170537 -127 *2885:156 *2986:18 7.90803e-05 -128 *2885:156 *3215:286 0 -129 *2885:156 *3705:62 0.00100828 -130 *2885:156 *3785:44 6.98302e-05 -131 *2885:156 *4134:78 1.88878e-05 -132 *2885:156 *5632:115 0.000328094 -133 *2885:175 *25238:A1 6.12335e-05 -134 *2885:175 *25238:B1 0.000308689 -135 *2885:175 *25238:B2 0.000305191 -136 *2885:175 *2932:6 0.000199942 -137 *2885:175 *3241:14 0.000173278 -138 *2885:175 *3678:60 0.00019985 -139 *2885:175 *3886:79 6.35864e-05 -140 *2885:175 *5632:115 0.000112908 -141 *2885:175 *5765:95 0.000690128 -142 *2885:178 *3597:11 0.000100481 -143 *2885:178 *3597:18 6.81459e-05 -144 *25056:B1 *2885:129 0.000566422 -145 *25238:A2 *2885:175 5.52302e-05 -146 *25370:A2 *2885:178 0.00110862 -147 *27321:C *2885:27 0.000126439 -148 *27423:B1 *2885:156 9.04754e-05 -149 *27466:A2 *27466:B1 5.82367e-05 -150 *1185:12 *2885:8 0 -151 *1185:35 *2885:8 0 -152 *1185:35 *2885:27 0 -153 *1246:76 *2885:58 9.41642e-05 -154 *1250:243 *2885:91 0.00089795 -155 *1258:100 *2885:91 0.00102656 -156 *1262:66 *2885:178 4.19235e-05 -157 *1262:80 *2885:175 0.000104587 -158 *1267:221 *2885:123 0.000131364 -159 *1269:117 *2885:178 0.000504724 -160 *1287:216 *2885:138 7.14469e-05 -161 *1308:19 *2885:82 0.00119271 -162 *1308:19 *2885:97 0.000173055 -163 *1323:5 *2885:8 5.33005e-05 -164 *1355:20 *2885:82 4.18305e-05 -165 *1367:46 *2885:97 0.00148577 -166 *1368:23 *2885:35 0.000445621 -167 *1368:23 *2885:58 2.1194e-05 -168 *1433:29 *27401:A2 0.000248333 -169 *1549:14 *2885:175 6.74867e-05 -170 *1557:16 *2885:175 0.000104587 -171 *1826:170 *2885:156 2.83129e-05 -172 *1844:146 *2885:66 6.20788e-05 -173 *1864:150 *2885:82 0 -174 *2754:28 *27387:A2 4.33002e-05 -175 *2767:66 *2885:129 0.000332771 -176 *2774:162 *2885:123 2.72887e-05 -177 *2786:80 *2885:156 8.66107e-05 -178 *2791:73 *2885:138 0.000383501 -179 *2792:108 *2885:91 1.64618e-05 -180 *2794:122 *2885:175 0.000175214 -181 *2845:6 *2885:27 0.000505358 -182 *2847:19 *2885:27 0.000186006 -183 *2853:262 *2885:178 5.94433e-06 -184 *2859:296 *2885:58 0 -185 *2859:299 *2885:58 0.000142152 -186 *2860:271 *2885:175 7.80759e-05 -187 *2864:292 *2885:156 0.000217526 -188 *2867:260 *27387:A2 1.09611e-05 -189 *2867:260 *2885:156 0.00265919 -190 *2867:260 *2885:175 0.000926265 -191 *2867:260 *2885:178 0.000298199 -192 *2872:187 *2885:91 0.000460407 -193 *2877:237 *2885:123 0.00023209 -194 *2883:231 *2885:175 0.00137993 -*RES -1 *27320:X *2885:8 21.1393 -2 *2885:8 *30817:A 13.8 -3 *2885:8 *2885:27 49.375 -4 *2885:27 *2885:33 9.54464 -5 *2885:33 *2885:35 46.9643 -6 *2885:35 *2885:58 44.7403 -7 *2885:58 *2885:66 26.6964 -8 *2885:66 *2885:82 32.5125 -9 *2885:82 *2885:91 49.2589 -10 *2885:91 *2885:97 31.25 -11 *2885:97 *27466:B1 17.2286 -12 *2885:97 *2885:123 29.8354 -13 *2885:123 *2885:129 39.8214 -14 *2885:129 *2885:138 30.5893 -15 *2885:138 *27424:A2 15.7732 -16 *2885:138 *2885:156 29.5989 -17 *2885:156 *2885:175 45.3313 -18 *2885:175 *2885:178 49.8036 -19 *2885:178 *27401:A2 22.8357 -20 *2885:156 *27387:A2 25.9076 -21 *2885:66 *27451:B1 12.1036 -*END - -*D_NET *2886 0.184812 -*CONN -*I *27362:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27387:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27427:A2 I *D sky130_fd_sc_hd__a211o_1 -*I *27337:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *6627:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27401:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27478:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27458:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27322:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27556:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *27578:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *27539:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27510:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27491:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27321:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27362:A2 0 -2 *27387:B1 0.000502325 -3 *27427:A2 0.00258241 -4 *27337:A2 3.46071e-05 -5 *6627:DIODE 0 -6 *27401:B1 0.000713402 -7 *27478:A2 0.000472198 -8 *27458:A2 0.00073614 -9 *27322:B1 0.000197843 -10 *27556:A2 9.92412e-05 -11 *27578:A2 0.00102258 -12 *27539:B1 0.000114855 -13 *27510:B1 0.000809783 -14 *27491:B1 0.000452159 -15 *27321:X 0.00130025 -16 *2886:336 0.00328905 -17 *2886:335 0.00273008 -18 *2886:295 0.00416625 -19 *2886:261 0.00366644 -20 *2886:259 0.000429033 -21 *2886:258 0.00364092 -22 *2886:244 0.00214507 -23 *2886:241 0.00294844 -24 *2886:225 0.00186734 -25 *2886:193 0.00120834 -26 *2886:191 0.00552136 -27 *2886:190 0.00552136 -28 *2886:188 0.0021771 -29 *2886:175 0.00326301 -30 *2886:164 0.00373258 -31 *2886:102 0.00204381 -32 *2886:89 0.0068308 -33 *2886:55 0.00131973 -34 *2886:50 0.000453538 -35 *2886:43 0.00599123 -36 *2886:41 0.00467735 -37 *2886:34 0.00578769 -38 *2886:26 0.00380719 -39 *2886:11 0.00631629 -40 *27322:B1 *27322:A2 2.47631e-06 -41 *27322:B1 *27322:B2 9.35228e-06 -42 *27322:B1 *28719:CLK 0.000184126 -43 *27322:B1 *3642:23 7.11841e-05 -44 *27322:B1 *5657:38 1.58163e-05 -45 *27322:B1 *5750:196 5.52238e-05 -46 *27337:A2 *3781:33 5.46383e-06 -47 *27387:B1 *27387:B2 5.33005e-05 -48 *27387:B1 *3730:8 2.26973e-05 -49 *27387:B1 *3783:71 5.33005e-05 -50 *27387:B1 *3874:76 0.000137561 -51 *27387:B1 *5589:95 0.000167762 -52 *27387:B1 *5633:152 0.000129029 -53 *27387:B1 *6351:26 1.94879e-05 -54 *27401:B1 *27401:A1 3.47641e-06 -55 *27401:B1 *27753:B2 0.000215029 -56 *27401:B1 *3729:38 3.37161e-05 -57 *27401:B1 *3875:122 0.000154703 -58 *27401:B1 *4122:39 0.000179867 -59 *27401:B1 *5656:153 0.00081802 -60 *27427:A2 *27427:A1 3.63587e-05 -61 *27427:A2 *27428:C1 0.00034188 -62 *27427:A2 *27732:B1 4.73953e-05 -63 *27427:A2 *27735:B2 0.000145421 -64 *27427:A2 *2889:92 1.98428e-06 -65 *27427:A2 *3153:284 0.00112925 -66 *27427:A2 *3679:55 0.000341579 -67 *27427:A2 *3796:108 0.000170263 -68 *27427:A2 *3798:40 1.98839e-05 -69 *27427:A2 *3798:68 6.53966e-05 -70 *27427:A2 *4134:78 1.97254e-05 -71 *27427:A2 *5632:115 0 -72 *27427:A2 *5644:71 0.000135583 -73 *27427:A2 *5649:137 0 -74 *27427:A2 *5649:273 0.000116076 -75 *27458:A2 *27458:A1 0.000198253 -76 *27458:A2 *3558:223 0.000111987 -77 *27458:A2 *3800:29 4.32957e-05 -78 *27458:A2 *3864:63 2.63501e-05 -79 *27458:A2 *3864:86 0.000112667 -80 *27458:A2 *3878:43 0.000476245 -81 *27458:A2 *5602:76 0.000112404 -82 *27458:A2 *5654:52 0.000105594 -83 *27478:A2 *27478:A1 9.35228e-06 -84 *27478:A2 *2891:147 0.000216755 -85 *27478:A2 *3787:48 3.06878e-06 -86 *27478:A2 *3787:56 3.06878e-06 -87 *27478:A2 *3800:36 7.13226e-06 -88 *27491:B1 *25391:B2 9.29324e-05 -89 *27491:B1 *27491:B2 0.000177646 -90 *27491:B1 *27854:B2 6.57132e-05 -91 *27491:B1 *3070:14 6.46173e-05 -92 *27491:B1 *3085:12 2.49484e-05 -93 *27510:B1 *2892:40 0.000558014 -94 *27510:B1 *3050:10 7.95917e-06 -95 *27510:B1 *3061:15 3.52655e-05 -96 *27510:B1 *5717:104 0.000621818 -97 *27539:B1 *27539:B2 5.33005e-05 -98 *27539:B1 *3713:63 2.89114e-05 -99 *27556:A2 *27556:A1 0.000108112 -100 *27556:A2 *3763:20 1.45812e-05 -101 *27578:A2 *27578:A1 2.7587e-05 -102 *27578:A2 *27578:B1 5.33005e-05 -103 *27578:A2 *27581:C 2.42516e-05 -104 *27578:A2 *27592:B2 0.000124949 -105 *27578:A2 *28997:A 8.73679e-05 -106 *27578:A2 *3136:12 3.34366e-05 -107 *27578:A2 *3685:66 1.94879e-05 -108 *27578:A2 *3753:40 0.00110692 -109 *27578:A2 *5839:47 0.000316055 -110 *27578:A2 *5878:35 0.000169375 -111 *27578:A2 *6036:46 0.000190598 -112 *2886:11 *2892:111 0.00080512 -113 *2886:11 *3184:43 2.57955e-05 -114 *2886:11 *3873:67 4.65519e-05 -115 *2886:11 *5535:25 8.95604e-05 -116 *2886:11 *5635:15 0 -117 *2886:11 *5720:191 3.39451e-05 -118 *2886:26 *27102:A0 0.000197137 -119 *2886:26 *27179:A1 8.83488e-05 -120 *2886:26 *28658:D 0.000188722 -121 *2886:26 *3642:23 0.000122682 -122 *2886:26 *3642:29 4.51549e-05 -123 *2886:26 *4051:43 6.07037e-07 -124 *2886:26 *5634:26 0.000914977 -125 *2886:26 *5635:15 0.00239797 -126 *2886:26 *5655:29 0 -127 *2886:26 *5700:137 0.000247079 -128 *2886:26 *5707:113 0.000517095 -129 *2886:34 *3185:268 0.00032658 -130 *2886:34 *3192:33 5.74499e-06 -131 *2886:34 *3568:178 0.000136682 -132 *2886:34 *3642:22 1.58163e-05 -133 *2886:34 *3972:44 7.6644e-05 -134 *2886:34 *5691:109 7.83659e-05 -135 *2886:41 *25269:A1 0.00139128 -136 *2886:41 *25269:B2 1.10868e-05 -137 *2886:41 *25295:A1 0.000594648 -138 *2886:41 *25391:B2 0.00030971 -139 *2886:41 *27854:B2 0.000229559 -140 *2886:41 *27906:A1 4.38058e-05 -141 *2886:41 *28343:D 2.59355e-05 -142 *2886:41 *3124:15 4.96609e-05 -143 *2886:41 *3165:254 0.000423367 -144 *2886:41 *3192:60 0.000448829 -145 *2886:41 *3209:52 6.8445e-06 -146 *2886:41 *3209:59 0.000967456 -147 *2886:41 *3214:51 3.35295e-05 -148 *2886:41 *3895:88 0.00188762 -149 *2886:41 *3989:20 0.000428054 -150 *2886:50 *25391:B2 4.73953e-05 -151 *2886:50 *27571:B1 3.92667e-05 -152 *2886:50 *3124:15 0 -153 *2886:55 *25391:B2 2.79405e-05 -154 *2886:89 *3101:17 0 -155 *2886:89 *3101:29 0.000569271 -156 *2886:89 *3124:15 0 -157 *2886:89 *3209:85 0 -158 *2886:89 *3857:49 9.20762e-05 -159 *2886:102 *27559:C 7.1664e-05 -160 *2886:102 *3763:20 0.00128174 -161 *2886:102 *5686:185 3.41046e-05 -162 *2886:102 *5691:61 3.97677e-05 -163 *2886:102 *6036:46 0.000138807 -164 *2886:164 *2891:11 8.92267e-05 -165 *2886:164 *2891:24 6.90381e-06 -166 *2886:164 *3170:19 7.6231e-05 -167 *2886:164 *3174:29 0 -168 *2886:164 *3782:45 9.42462e-06 -169 *2886:164 *3782:66 0.000545514 -170 *2886:164 *3847:35 0.00134973 -171 *2886:164 *3860:38 0.000110559 -172 *2886:164 *3873:67 0.00203772 -173 *2886:164 *5599:247 0.0007324 -174 *2886:164 *5629:132 0.000288111 -175 *2886:164 *5687:114 1.8995e-06 -176 *2886:164 *5687:129 0 -177 *2886:164 *5700:118 0.00018827 -178 *2886:164 *5700:237 0.000109274 -179 *2886:175 *27357:B2 8.4442e-05 -180 *2886:175 *27357:C1 0.000659578 -181 *2886:175 *27695:B1 0.00155691 -182 *2886:175 *28620:CLK 0.000103065 -183 *2886:175 *2891:104 0.000674824 -184 *2886:175 *2891:111 0.000127574 -185 *2886:175 *2895:210 0.000153841 -186 *2886:175 *3782:66 2.00756e-05 -187 *2886:175 *3847:35 0.000711921 -188 *2886:175 *5583:112 2.28156e-05 -189 *2886:175 *5657:198 0.000252977 -190 *2886:175 *5754:18 0.000151024 -191 *2886:175 *5754:24 0.00011238 -192 *2886:175 *5754:82 0.00036532 -193 *2886:175 *5754:102 0.000162671 -194 *2886:188 *6628:DIODE 0.000128752 -195 *2886:188 *27357:C1 4.15161e-05 -196 *2886:188 *27365:B1 0.000107157 -197 *2886:188 *27710:B2 4.65519e-05 -198 *2886:188 *2891:111 0.00197104 -199 *2886:188 *2891:138 4.66486e-05 -200 *2886:188 *2917:24 0.000631793 -201 *2886:188 *3205:210 0.000370998 -202 *2886:188 *3245:14 0.000633447 -203 *2886:188 *3664:48 8.99852e-05 -204 *2886:188 *3782:98 0.000282479 -205 *2886:188 *3912:82 0.000668222 -206 *2886:188 *5644:158 0.000468084 -207 *2886:188 *5644:172 0.000136517 -208 *2886:188 *5657:198 0.00139968 -209 *2886:191 *25333:A1 3.97677e-05 -210 *2886:191 *25333:A2 8.62097e-05 -211 *2886:191 *3721:44 0.000105471 -212 *2886:191 *3835:73 0.000209448 -213 *2886:191 *3900:48 9.41642e-05 -214 *2886:191 *5601:23 0.000500362 -215 *2886:191 *5714:47 4.26961e-06 -216 *2886:191 *5872:19 0.000254042 -217 *2886:225 *27362:B1 0.00017754 -218 *2886:225 *27363:C1 4.58194e-05 -219 *2886:225 *2917:24 0.000143887 -220 *2886:225 *3245:14 0.000135857 -221 *2886:225 *3782:98 2.59355e-05 -222 *2886:241 *27362:B1 1.93475e-05 -223 *2886:241 *27362:B2 2.59355e-05 -224 *2886:241 *27683:A2 8.80543e-05 -225 *2886:241 *3704:31 8.55871e-05 -226 *2886:241 *3782:98 5.66157e-05 -227 *2886:241 *3820:91 0 -228 *2886:241 *3912:58 1.51467e-05 -229 *2886:241 *5640:35 0.000154545 -230 *2886:241 *5643:66 0.000727085 -231 *2886:241 *5644:186 0.000727549 -232 *2886:241 *5656:89 0.000135028 -233 *2886:241 *5700:50 0.000130975 -234 *2886:244 *27346:B1 0.000404735 -235 *2886:244 *3678:82 0.000361553 -236 *2886:244 *3907:32 0.00335285 -237 *2886:244 *5655:193 0.00169272 -238 *2886:244 *5655:201 0.000409522 -239 *2886:258 *25240:B2 4.65519e-05 -240 *2886:258 *25287:A1 0.0001326 -241 *2886:258 *28851:A 2.01997e-05 -242 *2886:258 *3185:107 0.00217843 -243 *2886:258 *3783:105 5.00194e-05 -244 *2886:258 *3907:32 2.06725e-05 -245 *2886:258 *4119:26 0.000167818 -246 *2886:259 *25287:A1 5.46828e-05 -247 *2886:259 *27337:A1 2.67489e-05 -248 *2886:261 *27337:A1 1.58163e-05 -249 *2886:261 *27337:B1 2.42516e-05 -250 *2886:261 *27337:B2 5.96516e-05 -251 *2886:295 *25111:A1 0.0011471 -252 *2886:295 *25240:B2 8.25843e-06 -253 *2886:295 *27020:A0 0.00027982 -254 *2886:295 *27020:A1 0.000194533 -255 *2886:295 *27029:A0 1.26859e-05 -256 *2886:295 *27029:A1 0.000133384 -257 *2886:295 *27337:B2 7.14482e-05 -258 *2886:295 *27681:A1 5.22045e-05 -259 *2886:295 *28603:CLK 4.25594e-05 -260 *2886:295 *3314:26 0.000103363 -261 *2886:295 *3758:41 4.09646e-05 -262 *2886:295 *5652:45 0.00078377 -263 *2886:295 *5688:115 0.000150305 -264 *2886:295 *5688:325 9.41642e-05 -265 *2886:295 *5688:349 0.000260152 -266 *2886:295 *5708:100 0.000286925 -267 *2886:295 *5709:187 0.000100302 -268 *2886:295 *5836:284 8.77926e-05 -269 *2886:335 *25239:A1 2.84109e-05 -270 *2886:335 *25239:B2 1.58163e-05 -271 *2886:335 *27338:C1 3.30337e-05 -272 *2886:335 *27360:A1 7.61041e-05 -273 *2886:335 *28653:RESET_B 2.11419e-05 -274 *2886:335 *3550:11 0.000175892 -275 *2886:335 *3679:59 0.000332932 -276 *2886:335 *3848:40 0.00067318 -277 *24988:A2 *2886:191 0.00149897 -278 *24996:A *2886:191 3.06878e-06 -279 *25269:B1 *2886:41 0.000137561 -280 *25333:C1 *2886:191 0.000198816 -281 *25410:A2 *2886:41 0.000403233 -282 *26921:S *2886:241 0.000299655 -283 *27020:S *2886:295 5.582e-05 -284 *27029:S *2886:295 4.58194e-05 -285 *27287:C *2886:11 0.000201771 -286 *27387:A2 *27387:B1 0.000117739 -287 *27428:A2 *27427:A2 0.000594896 -288 *27504:A2 *27510:B1 0.000238246 -289 *27510:A2 *27510:B1 6.11735e-05 -290 *27526:A2 *27510:B1 0.000651872 -291 *27671:A1 *2886:164 6.69937e-05 -292 *29437:A *2886:26 0.000107581 -293 *29789:A *27427:A2 1.53565e-05 -294 *30038:A *2886:191 4.58194e-05 -295 *30344:A *2886:102 9.91086e-05 -296 *1178:36 *2886:11 0 -297 *1185:175 *27427:A2 0.000268083 -298 *1250:72 *27322:B1 3.13796e-05 -299 *1250:74 *27322:B1 0.000209286 -300 *1250:74 *2886:26 0.00099371 -301 *1252:61 *2886:26 0.000146615 -302 *1252:61 *2886:164 0.000177012 -303 *1252:83 *2886:164 0.000134135 -304 *1269:213 *2886:244 7.83587e-05 -305 *1270:41 *2886:335 0.000801038 -306 *1270:66 *2886:335 9.76872e-05 -307 *1274:221 *2886:295 4.96679e-06 -308 *1276:76 *2886:295 0.000173607 -309 *1287:149 *2886:295 0.000581142 -310 *1287:184 *2886:295 9.41642e-05 -311 *1363:85 *2886:41 4.65519e-05 -312 *1415:18 *27401:B1 5.49489e-05 -313 *1421:53 *27491:B1 0.000901917 -314 *1421:53 *2886:50 9.05749e-06 -315 *1421:53 *2886:55 8.97147e-05 -316 *1435:143 *27401:B1 0.000103101 -317 *1470:40 *2886:41 0.000447727 -318 *1470:102 *2886:41 0.000905678 -319 *1476:57 *2886:89 0.000522574 -320 *1545:28 *2886:89 2.15327e-05 -321 *1550:34 *2886:26 0.000268789 -322 *1550:34 *2886:164 0 -323 *1558:14 *2886:335 0.000118997 -324 *1573:22 *2886:295 0 -325 *1617:17 *2886:50 0 -326 *1617:17 *2886:89 0 -327 *1711:8 *2886:41 4.04292e-05 -328 *1730:19 *2886:102 0.000136958 -329 *2775:160 *2886:295 2.76952e-05 -330 *2778:132 *2886:295 1.58163e-05 -331 *2778:152 *2886:295 1.46231e-05 -332 *2782:127 *27387:B1 0.000122061 -333 *2782:127 *27427:A2 0.00019128 -334 *2782:127 *2886:335 0.000143188 -335 *2782:127 *2886:336 0.000775662 -336 *2782:146 *27427:A2 0.000131348 -337 *2792:104 *27458:A2 1.08524e-05 -338 *2844:201 *27458:A2 0.000654848 -339 *2844:201 *27478:A2 0.000574166 -340 *2844:285 *2886:335 0.000107444 -341 *2845:22 *2886:26 2.06112e-05 -342 *2845:127 *2886:102 0.000924402 -343 *2848:64 *2886:89 0.00101609 -344 *2848:85 *2886:89 0.0003868 -345 *2848:123 *27578:A2 0.000593654 -346 *2848:123 *2886:102 0.000145264 -347 *2848:265 *27387:B1 0.000689123 -348 *2848:265 *2886:336 0.00078961 -349 *2849:49 *2886:11 1.721e-05 -350 *2849:66 *2886:11 0.000162443 -351 *2855:39 *2886:41 0.0010378 -352 *2855:45 *27539:B1 0.000117552 -353 *2855:60 *27539:B1 2.0224e-05 -354 *2856:68 *27510:B1 0.00142574 -355 *2856:68 *2886:50 5.9098e-05 -356 *2856:68 *2886:55 4.33002e-05 -357 *2859:187 *2886:188 0.000210437 -358 *2859:283 *27427:A2 0.000115251 -359 *2860:154 *2886:26 0 -360 *2860:259 *2886:335 5.06496e-05 -361 *2861:42 *27458:A2 0.00115698 -362 *2861:42 *27478:A2 0.000566638 -363 *2864:28 *2886:11 0.000912174 -364 *2864:28 *2886:26 0.000444962 -365 *2864:132 *27458:A2 4.32957e-05 -366 *2864:292 *27427:A2 0.000111867 -367 *2865:67 *27510:B1 0.000157471 -368 *2866:167 *2886:89 0 -369 *2867:25 *2886:164 1.14338e-05 -370 *2867:260 *27387:B1 2.75158e-05 -371 *2872:134 *27556:A2 9.59041e-05 -372 *2872:134 *2886:89 1.02849e-05 -373 *2875:196 *2886:175 4.24122e-05 -374 *2875:258 *2886:258 0.00114497 -375 *2875:302 *2886:258 0.000986548 -376 *2875:344 *27427:A2 0.000137298 -377 *2877:105 *2886:102 0.000253328 -378 *2877:299 *2886:295 0.000209009 -379 *2878:16 *2886:26 0.000139786 -380 *2878:75 *2886:50 2.00157e-05 -381 *2878:75 *2886:55 1.26686e-05 -382 *2878:92 *27556:A2 1.61405e-05 -383 *2878:92 *2886:102 0.000850438 -384 *2878:96 *2886:102 1.20729e-05 -385 *2880:58 *2886:335 4.65519e-05 -386 *2882:246 *2886:295 8.81348e-05 -387 *2883:231 *27387:B1 1.46148e-05 -388 *2885:156 *27427:A2 0 -*RES -1 *27321:X *2886:11 30.4933 -2 *2886:11 *2886:26 38.3466 -3 *2886:26 *2886:34 26.9821 -4 *2886:34 *2886:41 48.0345 -5 *2886:41 *2886:43 3.41 -6 *2886:43 *2886:50 8.2601 -7 *2886:50 *2886:55 4.18149 -8 *2886:55 *27491:B1 19.5823 -9 *2886:55 *27510:B1 43.2904 -10 *2886:50 *27539:B1 15.9786 -11 *2886:43 *2886:89 18.5277 -12 *2886:89 *2886:102 40.3393 -13 *2886:102 *27578:A2 41.2375 -14 *2886:89 *27556:A2 16.2911 -15 *2886:26 *27322:B1 18.7643 -16 *2886:11 *2886:164 43.3187 -17 *2886:164 *2886:175 42.5854 -18 *2886:175 *2886:188 42.9676 -19 *2886:188 *2886:190 4.5 -20 *2886:190 *2886:191 63.375 -21 *2886:191 *2886:193 4.5 -22 *2886:193 *27458:A2 38.9489 -23 *2886:193 *27478:A2 25.0902 -24 *2886:188 *2886:225 8.21429 -25 *2886:225 *2886:241 45.5 -26 *2886:241 *2886:244 48.4911 -27 *2886:244 *2886:258 23.2108 -28 *2886:258 *2886:259 2.58929 -29 *2886:259 *2886:261 3 -30 *2886:261 *2886:295 46.8171 -31 *2886:295 *27401:B1 43.1832 -32 *2886:261 *6627:DIODE 9.3 -33 *2886:259 *27337:A2 10.0321 -34 *2886:258 *2886:335 49.6084 -35 *2886:335 *2886:336 1.645 -36 *2886:336 *27427:A2 38.8813 -37 *2886:336 *27387:B1 24.0916 -38 *2886:225 *27362:A2 9.3 -*END - -*D_NET *2887 0.00161804 -*CONN -*I *27325:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27322:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27325:C1 0.000413347 -2 *27322:X 0.000413347 -3 *27325:C1 *27322:A1 0.000300272 -4 *27325:C1 *3855:30 9.54798e-06 -5 *27325:C1 *3992:28 0.000325235 -6 *27325:C1 *5504:66 9.25014e-06 -7 *1691:10 *27325:C1 0.00014704 -*RES -1 *27322:X *27325:C1 34.975 -*END - -*D_NET *2888 0.190956 -*CONN -*I *27480:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27368:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27432:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27392:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27407:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27335:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27441:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27557:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27585:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27501:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27514:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27531:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27325:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27323:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27480:B1 0.00031872 -2 *27368:A2 0 -3 *27432:A2 0.000110267 -4 *27392:B1 2.37855e-05 -5 *27407:B1 0 -6 *27335:A2 0 -7 *27441:B1 0 -8 *27557:B1 0.000276442 -9 *27585:A2 0.00343828 -10 *27501:B1 0 -11 *27514:B1 0 -12 *27531:B1 0.000613308 -13 *27325:A2 4.1562e-06 -14 *27323:X 0 -15 *2888:291 0.00342621 -16 *2888:269 0.00442796 -17 *2888:258 0.00261202 -18 *2888:252 0.00473902 -19 *2888:235 0.00108548 -20 *2888:232 0.00171438 -21 *2888:213 0.00326056 -22 *2888:212 0.00302914 -23 *2888:198 0.0041446 -24 *2888:194 0.00481312 -25 *2888:183 0.00335177 -26 *2888:177 0.00253921 -27 *2888:170 0.00251675 -28 *2888:167 0.00413855 -29 *2888:125 0.0047844 -30 *2888:121 0.00171404 -31 *2888:117 0.00175823 -32 *2888:106 0.00129723 -33 *2888:81 0.00135078 -34 *2888:76 0.00158349 -35 *2888:71 0.00338495 -36 *2888:59 0.00619434 -37 *2888:22 0.00425559 -38 *2888:14 0.00231723 -39 *2888:4 0.00477056 -40 *27325:A2 *27325:B1 1.57155e-05 -41 *27325:A2 *27332:C 7.13226e-06 -42 *27432:A2 *27432:A1 1.46576e-05 -43 *27432:A2 *3367:31 1.57155e-05 -44 *27432:A2 *4085:18 0.000230869 -45 *27432:A2 *4086:27 0.000232583 -46 *27480:B1 *27480:A1 6.05161e-06 -47 *27480:B1 *3039:13 0.000426181 -48 *27480:B1 *3345:6 0.000128945 -49 *27480:B1 *3904:50 0.000169738 -50 *27531:B1 *27491:A1 0.000129439 -51 *27531:B1 *27531:A1 5.52238e-05 -52 *27531:B1 *27531:A2 0.00015042 -53 *27531:B1 *27865:C 4.60232e-06 -54 *27531:B1 *3212:94 5.33072e-05 -55 *27531:B1 *3713:63 0.000761313 -56 *27531:B1 *3724:39 2.78998e-05 -57 *27531:B1 *3856:26 0.00143598 -58 *27531:B1 *5657:155 1.36251e-05 -59 *27531:B1 *5657:168 0.000311013 -60 *27557:B1 *27557:A1 2.08721e-05 -61 *27557:B1 *30359:A 2.95693e-05 -62 *27557:B1 *3698:40 6.35864e-05 -63 *27557:B1 *3974:22 1.98839e-05 -64 *27557:B1 *4065:107 0.000137561 -65 *27557:B1 *5657:90 5.61616e-05 -66 *27585:A2 *25869:A0 0.000195375 -67 *27585:A2 *27585:A1 5.03772e-05 -68 *27585:A2 *27585:B1 9.32182e-05 -69 *27585:A2 *27586:C1 9.66977e-05 -70 *27585:A2 *3168:129 0.000576105 -71 *27585:A2 *3192:118 0.000154703 -72 *27585:A2 *3698:31 0.00188135 -73 *27585:A2 *3713:39 9.08889e-06 -74 *27585:A2 *3882:47 0.00125307 -75 *27585:A2 *3883:31 0.000230483 -76 *27585:A2 *3984:73 0.00042142 -77 *27585:A2 *4100:22 5.31552e-05 -78 *27585:A2 *4113:104 0.000316794 -79 *27585:A2 *4142:17 0.00155552 -80 *27585:A2 *5694:19 0.000154703 -81 *27585:A2 *5909:44 0.00093522 -82 *2888:14 *27767:B 0.000651107 -83 *2888:14 *30794:A 0.000137561 -84 *2888:14 *3197:19 0.00147555 -85 *2888:14 *5629:132 0.000177457 -86 *2888:14 *5863:195 0.000386121 -87 *2888:14 *6306:111 0.000156061 -88 *2888:14 *6318:32 9.91086e-05 -89 *2888:22 *27325:B1 0.000133458 -90 *2888:22 *2889:211 0.000176473 -91 *2888:22 *3560:18 1.68854e-05 -92 *2888:22 *3989:66 0.000207038 -93 *2888:22 *5646:17 0.000144373 -94 *2888:59 *30549:A 0.000171948 -95 *2888:59 *3174:29 6.98512e-05 -96 *2888:59 *3314:41 0.0011135 -97 *2888:59 *3816:51 9.7146e-05 -98 *2888:59 *3875:63 0 -99 *2888:59 *3989:20 0.000187433 -100 *2888:59 *3989:66 2.06112e-05 -101 *2888:59 *5606:14 0.000655535 -102 *2888:59 *5619:17 0.000339271 -103 *2888:59 *5691:108 9.90561e-05 -104 *2888:71 *27900:A2 6.95935e-05 -105 *2888:71 *27900:B1 0.000356436 -106 *2888:71 *27900:B2 1.38106e-05 -107 *2888:71 *27900:C1 0.000303135 -108 *2888:71 *3185:271 0.000174584 -109 *2888:71 *3762:61 0.000377575 -110 *2888:71 *5619:17 0.000989963 -111 *2888:71 *5651:47 8.86091e-05 -112 *2888:71 *5665:13 4.04292e-05 -113 *2888:71 *5665:14 9.66219e-05 -114 *2888:76 *3404:26 0.000151596 -115 *2888:76 *5936:79 0.000207978 -116 *2888:81 *3404:26 0.000348534 -117 *2888:81 *3724:34 0.000729557 -118 *2888:81 *3724:39 1.02504e-05 -119 *2888:81 *5936:79 0.000550379 -120 *2888:106 *2889:231 0.00016853 -121 *2888:106 *3806:62 0.000370631 -122 *2888:106 *5717:104 7.71916e-05 -123 *2888:106 *5717:108 9.99444e-06 -124 *2888:117 *27502:C1 0.000805394 -125 *2888:117 *27520:A1 4.11218e-05 -126 *2888:117 *27520:C1 0.00012401 -127 *2888:117 *28327:CLK 0.00085306 -128 *2888:117 *3168:66 9.80405e-05 -129 *2888:117 *3806:62 0.00071416 -130 *2888:117 *3841:18 0.000533138 -131 *2888:117 *5653:165 7.40526e-05 -132 *2888:117 *5717:108 0.00029937 -133 *2888:121 *27518:B 4.7091e-05 -134 *2888:121 *28327:CLK 1.95062e-05 -135 *2888:121 *3806:62 0.00188335 -136 *2888:121 *5717:108 8.85664e-07 -137 *2888:121 *5717:117 0.00178925 -138 *2888:125 *3698:40 1.90936e-05 -139 *2888:125 *3974:22 0.000836701 -140 *2888:125 *5657:90 2.21972e-05 -141 *2888:167 *27638:C 1.57523e-05 -142 *2888:167 *3190:15 5.71472e-05 -143 *2888:167 *5863:191 0.000645043 -144 *2888:167 *5863:195 0.00344656 -145 *2888:170 *27441:A1 5.7616e-05 -146 *2888:170 *27803:B1 0.00132722 -147 *2888:170 *27807:A2 0.000507057 -148 *2888:170 *27807:B1 1.90936e-05 -149 *2888:170 *27817:C 0.00120098 -150 *2888:170 *3347:12 0.000384995 -151 *2888:170 *3735:46 0.000787442 -152 *2888:170 *3787:29 0.000330368 -153 *2888:177 *25031:B2 0.00014491 -154 *2888:177 *27809:A1 4.5534e-05 -155 *2888:177 *28488:D 0.000384032 -156 *2888:177 *3735:46 0.000115107 -157 *2888:177 *3787:29 0.000436871 -158 *2888:177 *3787:37 0.000192385 -159 *2888:177 *3787:44 0.00132894 -160 *2888:177 *5639:45 0.00070851 -161 *2888:177 *5639:61 0.000851225 -162 *2888:177 *5654:52 0.000198909 -163 *2888:183 *27458:C1 4.87854e-05 -164 *2888:183 *3165:27 0.00228336 -165 *2888:183 *3345:6 0.00232804 -166 *2888:183 *3904:50 1.90936e-05 -167 *2888:194 *25192:B2 0.00195582 -168 *2888:194 *27474:A 0 -169 *2888:194 *27830:B2 0.000174476 -170 *2888:194 *27831:D1 0.000505335 -171 *2888:194 *3029:20 9.91086e-05 -172 *2888:194 *3850:24 9.17621e-05 -173 *2888:194 *3916:20 0.000275893 -174 *2888:194 *4086:64 0 -175 *2888:198 *25192:B2 0.000420474 -176 *2888:198 *4104:50 0.000880251 -177 *2888:212 *27197:A0 8.63902e-05 -178 *2888:212 *27197:A1 0.000106325 -179 *2888:212 *27686:A2 4.71964e-05 -180 *2888:212 *27692:A 0.000533509 -181 *2888:212 *2891:165 0.000706753 -182 *2888:212 *3820:91 0 -183 *2888:212 *3899:40 0.00121449 -184 *2888:212 *3912:58 2.25652e-05 -185 *2888:212 *5657:232 1.81714e-05 -186 *2888:212 *5892:74 2.01997e-05 -187 *2888:213 *27343:D 0.000194887 -188 *2888:213 *27360:B2 0.00076265 -189 *2888:213 *2958:34 0 -190 *2888:213 *3678:76 2.95746e-06 -191 *2888:213 *3691:39 0.000928237 -192 *2888:213 *3796:70 0.00245084 -193 *2888:213 *4133:133 0.000445008 -194 *2888:213 *5639:124 0.00031779 -195 *2888:213 *5663:125 3.25078e-05 -196 *2888:213 *5663:126 0.000159886 -197 *2888:232 *25230:B2 4.58919e-05 -198 *2888:232 *27335:B1 0.00114702 -199 *2888:232 *27369:C1 0.000334344 -200 *2888:232 *3691:20 6.92797e-05 -201 *2888:232 *3691:34 6.16256e-05 -202 *2888:232 *3691:39 0.000172375 -203 *2888:232 *5633:103 0.000560835 -204 *2888:232 *5663:126 3.29495e-05 -205 *2888:232 *5663:138 0.000511142 -206 *2888:235 *27368:B2 0.000178847 -207 *2888:235 *5692:41 0.000137983 -208 *2888:235 *5692:47 2.28499e-05 -209 *2888:252 *3717:30 0.000272361 -210 *2888:252 *3899:26 0.000278064 -211 *2888:252 *5663:179 2.16715e-05 -212 *2888:252 *5759:18 0.00140332 -213 *2888:258 *25315:A1 9.35114e-06 -214 *2888:258 *27678:A1 0.000149797 -215 *2888:258 *3781:22 0.000129913 -216 *2888:258 *3979:19 0.000136875 -217 *2888:258 *4080:29 0.000272599 -218 *2888:258 *4080:41 1.31802e-07 -219 *2888:258 *5582:113 5.74499e-06 -220 *2888:258 *5635:187 0.00146588 -221 *2888:258 *5649:223 5.74499e-06 -222 *2888:258 *6247:18 0.000137073 -223 *2888:269 *27392:B2 1.28809e-05 -224 *2888:269 *3730:8 0.000154288 -225 *2888:269 *5635:187 0.000970774 -226 *2888:269 *5658:160 3.25552e-05 -227 *2888:291 *27392:A1 0.000264168 -228 *2888:291 *27392:A2 1.46576e-05 -229 *2888:291 *27779:C1 1.90936e-05 -230 *2888:291 *3320:18 0.000150236 -231 *2888:291 *3538:16 2.75113e-05 -232 *2888:291 *3692:45 7.49387e-06 -233 *2888:291 *3744:31 3.95114e-05 -234 *2888:291 *3744:36 0.000396454 -235 *2888:291 *3757:15 0.000662713 -236 *2888:291 *3757:20 0.000260868 -237 *2888:291 *3969:14 6.14378e-05 -238 *2888:291 *4030:28 0.000140521 -239 *2888:291 *4069:32 0.000924313 -240 *2888:291 *5631:88 0.000504724 -241 *2888:291 *5658:160 8.43535e-06 -242 *2888:291 *5695:124 3.65038e-05 -243 *2888:291 *5795:206 0.000512839 -244 *2888:291 *5829:149 0.00010919 -245 *2888:291 *5873:281 9.11021e-05 -246 *25097:A2 *2888:59 0.000140088 -247 *25097:B1 *2888:59 0.000163285 -248 *25182:B1 *2888:213 0.000432361 -249 *25190:B1 *2888:232 4.37712e-06 -250 *25192:C1 *2888:198 0.000396174 -251 *25205:C1 *2888:269 1.64583e-05 -252 *25239:A2 *2888:213 6.25005e-05 -253 *25315:C1 *2888:258 2.84109e-05 -254 *25408:B1 *2888:71 2.23987e-05 -255 *26906:S *2888:258 0.000720852 -256 *27037:S *2888:59 2.97489e-05 -257 *27058:S *2888:252 0 -258 *27369:B1 *2888:232 0.000121878 -259 *27479:A2 *27480:B1 0.000178847 -260 *27502:B1 *2888:117 8.65622e-05 -261 *27557:A2 *27557:B1 6.09764e-05 -262 *27557:A2 *2888:125 0.00219467 -263 *27561:A2 *27585:A2 0.000195555 -264 *27612:A *2888:167 4.28106e-05 -265 *27612:B *2888:167 8.43535e-06 -266 *27638:A *2888:167 0.000511655 -267 *27638:B *2888:167 0.000551486 -268 *29821:A *2888:22 6.40293e-05 -269 *1221:21 *27585:A2 0.000173055 -270 *1237:27 *2888:14 0.000652822 -271 *1248:56 *2888:59 0.000336456 -272 *1250:243 *27480:B1 0.000157707 -273 *1250:245 *27480:B1 3.4323e-06 -274 *1252:24 *2888:71 1.26641e-05 -275 *1261:168 *2888:232 0.00110512 -276 *1265:142 *2888:232 1.09611e-05 -277 *1268:74 *2888:194 0 -278 *1269:128 *2888:232 0.00113968 -279 *1269:128 *2888:252 0.000213219 -280 *1269:231 *2888:212 0.00035908 -281 *1276:21 *2888:59 0.000668554 -282 *1276:21 *2888:71 2.03622e-05 -283 *1289:44 *2888:59 0 -284 *1294:163 *2888:22 0.000229492 -285 *1326:35 *2888:59 0 -286 *1326:56 *2888:59 0.000956496 -287 *1328:50 *2888:59 9.72789e-05 -288 *1385:34 *27480:B1 2.26327e-05 -289 *1385:34 *2888:183 1.10978e-05 -290 *1396:83 *27585:A2 1.09611e-05 -291 *1419:43 *2888:59 0.000152555 -292 *1470:102 *2888:71 3.79552e-05 -293 *1490:102 *2888:198 1.25099e-05 -294 *1490:128 *27480:B1 2.59355e-05 -295 *1490:128 *2888:194 5.96516e-05 -296 *1511:39 *2888:198 0.000104087 -297 *1547:36 *2888:71 0.00137445 -298 *1657:40 *2888:71 8.69554e-05 -299 *1670:20 *2888:59 0.00090597 -300 *2770:118 *2888:291 6.58722e-05 -301 *2773:84 *2888:291 0.000259542 -302 *2779:67 *2888:269 0.000323241 -303 *2782:92 *2888:14 0.00105724 -304 *2784:59 *2888:22 9.11365e-06 -305 *2788:76 *2888:291 0.000199619 -306 *2791:163 *2888:291 0 -307 *2792:108 *2888:177 0.00428795 -308 *2792:112 *2888:177 0.000424714 -309 *2792:114 *2888:170 0.000368333 -310 *2792:114 *2888:177 1.02033e-05 -311 *2794:163 *2888:212 0.000169686 -312 *2848:53 *2888:76 0.00146545 -313 *2848:64 *2888:76 0.000135028 -314 *2850:72 *27531:B1 0.000123295 -315 *2853:25 *2888:59 0.00121328 -316 *2853:48 *2888:59 0.000187126 -317 *2853:66 *2888:76 0.000616003 -318 *2855:12 *2888:14 9.83132e-05 -319 *2855:121 *27585:A2 0.00017985 -320 *2860:106 *27531:B1 0.000204817 -321 *2860:106 *2888:81 0.00039823 -322 *2860:128 *2888:81 0.000330711 -323 *2860:271 *2888:232 5.00194e-05 -324 *2864:321 *2888:269 0.000216755 -325 *2872:186 *2888:183 0.00034188 -326 *2872:187 *27480:B1 0.000168939 -327 *2877:349 *2888:213 0.000271478 -328 *2877:349 *2888:232 0.000908643 -329 *2878:120 *27585:A2 7.02611e-05 -330 *2879:156 *2888:269 0.000216755 -331 *2882:260 *2888:213 1.93534e-05 -332 *2882:276 *2888:213 0.00106735 -333 *2882:276 *2888:232 0.000236582 -334 *2882:277 *2888:232 0.000523445 -335 *2885:66 *2888:177 0.000170595 -336 *2885:97 *27480:B1 6.05161e-06 -*RES -1 *27323:X *2888:4 9.3 -2 *2888:4 *2888:14 49.3304 -3 *2888:14 *2888:22 12.9952 -4 *2888:22 *27325:A2 13.9473 -5 *2888:22 *2888:59 49.1141 -6 *2888:59 *2888:71 46.4435 -7 *2888:71 *2888:76 23.5357 -8 *2888:76 *2888:81 25.1429 -9 *2888:81 *27531:B1 42.0679 -10 *2888:81 *27514:B1 13.8 -11 *2888:76 *2888:106 9.48214 -12 *2888:106 *27501:B1 13.8 -13 *2888:106 *2888:117 31.8571 -14 *2888:117 *2888:121 29.2143 -15 *2888:121 *2888:125 26.6696 -16 *2888:125 *27585:A2 48.8619 -17 *2888:125 *27557:B1 18.9518 -18 *2888:4 *2888:167 47.5 -19 *2888:167 *2888:170 40.75 -20 *2888:170 *27441:B1 13.8 -21 *2888:170 *2888:177 62.9643 -22 *2888:177 *2888:183 42.7411 -23 *2888:183 *2888:194 37.802 -24 *2888:194 *2888:198 37.0714 -25 *2888:198 *2888:212 26.4178 -26 *2888:212 *2888:213 52.7946 -27 *2888:213 *27335:A2 13.8 -28 *2888:213 *2888:232 48.4283 -29 *2888:232 *2888:235 6.26786 -30 *2888:235 *2888:252 29.4253 -31 *2888:252 *2888:258 42.6964 -32 *2888:258 *27407:B1 9.3 -33 *2888:252 *2888:269 26.7679 -34 *2888:269 *27392:B1 9.72857 -35 *2888:269 *2888:291 48.4142 -36 *2888:291 *27432:A2 17.108 -37 *2888:235 *27368:A2 9.3 -38 *2888:183 *27480:B1 32.0411 -*END - -*D_NET *2889 0.180633 -*CONN -*I *27325:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27555:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27589:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27524:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27499:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27542:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27458:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27473:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27357:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27336:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27388:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27424:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27402:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27324:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27325:B1 0.000203373 -2 *27555:B1 8.10708e-05 -3 *27589:B1 0 -4 *27524:B1 0.000102221 -5 *27499:A2 0.00010849 -6 *27542:B1 0 -7 *27458:B1 0.00018219 -8 *27473:B1 0.000663235 -9 *27357:B1 0.000297939 -10 *27336:B1 0.000308928 -11 *27388:B1 0.000307472 -12 *27424:B1 0.00164472 -13 *27402:B1 0.000774171 -14 *27324:X 0.000629467 -15 *2889:289 0.00129419 -16 *2889:281 0.00248389 -17 *2889:272 0.00248774 -18 *2889:256 0.00131919 -19 *2889:254 0.00150578 -20 *2889:231 0.00230358 -21 *2889:221 0.00256942 -22 *2889:217 0.00478496 -23 *2889:211 0.0048337 -24 *2889:198 0.00302859 -25 *2889:166 0.00132715 -26 *2889:162 0.00160169 -27 *2889:151 0.00396997 -28 *2889:92 0.00376885 -29 *2889:65 0.00433744 -30 *2889:50 0.00537994 -31 *2889:48 0.0015108 -32 *2889:36 0.00409876 -33 *2889:34 0.00390992 -34 *2889:28 0.0017664 -35 *2889:22 0.00606896 -36 *2889:11 0.00428935 -37 *27325:B1 *25358:A1 1.86845e-05 -38 *27325:B1 *27325:A1 2.59355e-05 -39 *27325:B1 *27332:C 2.51508e-05 -40 *27336:B1 *27336:A1 5.94775e-05 -41 *27336:B1 *27336:C1 9.516e-06 -42 *27336:B1 *27343:A 0.000536744 -43 *27336:B1 *3821:19 0.000415558 -44 *27357:B1 *27357:B2 1.86094e-05 -45 *27388:B1 *27388:B2 1.28809e-05 -46 *27388:B1 *27388:C1 0.000272863 -47 *27388:B1 *3679:55 2.59355e-05 -48 *27388:B1 *3900:38 0.000266641 -49 *27402:B1 *25094:A1 0.000763937 -50 *27402:B1 *3706:35 0.00126976 -51 *27402:B1 *3849:48 0.00038277 -52 *27402:B1 *3849:50 0.00015234 -53 *27424:B1 *27424:B2 1.28809e-05 -54 *27424:B1 *3177:100 0.000361654 -55 *27424:B1 *3705:62 0.001046 -56 *27424:B1 *3718:89 0.000301016 -57 *27424:B1 *3863:37 7.15575e-05 -58 *27424:B1 *5819:13 0.00221265 -59 *27458:B1 *27458:B2 3.00808e-05 -60 *27458:B1 *3345:6 0.00012401 -61 *27458:B1 *3904:38 0.000117189 -62 *27458:B1 *5602:80 8.5427e-05 -63 *27473:B1 *27472:A1 9.23883e-05 -64 *27473:B1 *28489:CLK 0.000502801 -65 *27473:B1 *3826:60 0.000402255 -66 *27473:B1 *3956:17 3.91348e-05 -67 *27473:B1 *5647:41 0.000716831 -68 *27473:B1 *5652:95 0.000833898 -69 *27499:A2 *27851:A1 0.000221212 -70 *27524:B1 *27524:B2 2.62604e-05 -71 *27524:B1 *3867:37 0.000302933 -72 *27555:B1 *27555:B2 2.99572e-05 -73 *2889:11 *29820:A 5.00194e-05 -74 *2889:11 *3192:31 0.000168187 -75 *2889:11 *3938:40 6.09762e-05 -76 *2889:11 *5641:19 6.43424e-05 -77 *2889:11 *6306:111 0.000219711 -78 *2889:11 *6306:116 0.000178847 -79 *2889:22 *27354:B1 0 -80 *2889:22 *2891:104 0 -81 *2889:22 *3170:152 0.00114777 -82 *2889:22 *3184:53 0 -83 *2889:22 *3938:40 0.000132237 -84 *2889:22 *3938:50 0.000226349 -85 *2889:22 *5641:23 0.000640419 -86 *2889:22 *5667:108 0.00146921 -87 *2889:22 *5687:114 0 -88 *2889:22 *5694:80 0.000433526 -89 *2889:22 *5757:109 1.66624e-05 -90 *2889:28 *28721:RESET_B 0.00030205 -91 *2889:28 *2895:210 0.000241076 -92 *2889:28 *3820:79 0.000242783 -93 *2889:28 *5638:62 0.000344225 -94 *2889:34 *25258:A1 0.000221008 -95 *2889:34 *25276:A1 0.000464988 -96 *2889:34 *3185:65 0.0016183 -97 *2889:34 *3206:99 3.41907e-05 -98 *2889:34 *3769:20 4.66511e-05 -99 *2889:34 *3847:64 0.000106109 -100 *2889:34 *5754:127 0.000975178 -101 *2889:36 *27683:A1 0.000231726 -102 *2889:36 *27689:A1 0.000463415 -103 *2889:36 *27693:C 0.00108847 -104 *2889:36 *27693:D 0.000635624 -105 *2889:36 *27710:B2 4.34543e-05 -106 *2889:36 *3185:65 8.22991e-05 -107 *2889:36 *3206:99 0.000296652 -108 *2889:36 *3206:110 0.000146474 -109 *2889:36 *3206:157 0.001847 -110 *2889:36 *3704:14 0.00395153 -111 *2889:36 *3807:16 0.000969671 -112 *2889:36 *3847:87 1.3409e-05 -113 *2889:36 *3977:22 0.000116984 -114 *2889:36 *3977:24 6.22921e-05 -115 *2889:36 *5582:20 0.000106304 -116 *2889:36 *5596:15 0.00174439 -117 *2889:36 *5629:139 0.000118512 -118 *2889:36 *5629:143 0.000581168 -119 *2889:36 *5629:164 0.00100179 -120 *2889:48 *27343:A 0.000480162 -121 *2889:48 *3704:14 0.000165754 -122 *2889:48 *3756:14 0.000147837 -123 *2889:48 *3820:105 0.000159968 -124 *2889:48 *3821:19 0.000513027 -125 *2889:48 *3847:87 0.000185417 -126 *2889:48 *5652:20 0.000552515 -127 *2889:48 *5702:84 0.000458962 -128 *2889:48 *5873:232 4.65519e-05 -129 *2889:65 *25240:B2 0.000116504 -130 *2889:65 *25352:A1 0.00025621 -131 *2889:65 *27173:A0 0.000382744 -132 *2889:65 *28630:D 3.14163e-05 -133 *2889:65 *29059:A 0.000527096 -134 *2889:65 *2893:26 4.00349e-05 -135 *2889:65 *3314:26 0.000464782 -136 *2889:65 *3823:40 1.9036e-05 -137 *2889:65 *5627:103 0 -138 *2889:65 *5708:80 9.69115e-05 -139 *2889:65 *5709:182 0.00013233 -140 *2889:65 *5764:106 0.000223465 -141 *2889:65 *5764:120 0.000725645 -142 *2889:92 *27085:A0 9.52037e-06 -143 *2889:92 *2894:303 0.000159153 -144 *2889:92 *2922:20 0.0015163 -145 *2889:92 *3153:204 0.000572405 -146 *2889:92 *3678:76 0.000216639 -147 *2889:92 *3691:39 0.000113079 -148 *2889:92 *3900:38 1.11775e-05 -149 *2889:92 *4107:39 2.89182e-05 -150 *2889:92 *5632:115 0.000402382 -151 *2889:92 *5764:120 0.000102677 -152 *2889:92 *5764:230 0.000582228 -153 *2889:92 *5824:219 9.93862e-05 -154 *2889:151 *28696:D 0.000195277 -155 *2889:151 *28721:RESET_B 0.000235352 -156 *2889:151 *3176:24 0.000175352 -157 *2889:151 *3205:189 0.000120792 -158 *2889:151 *3215:25 0.000951285 -159 *2889:151 *3968:20 1.94945e-05 -160 *2889:151 *5643:40 0.000201444 -161 *2889:151 *5644:37 0.000136733 -162 *2889:151 *5644:158 0.000199682 -163 *2889:151 *6351:32 4.24637e-05 -164 *2889:162 *27809:B2 1.90936e-05 -165 *2889:162 *27809:C1 0.00035238 -166 *2889:162 *27815:A1 1.59373e-05 -167 *2889:162 *27816:A 0.000218685 -168 *2889:162 *27816:B 0.000175892 -169 *2889:162 *27816:C 8.25843e-06 -170 *2889:162 *27816:D 2.23592e-05 -171 *2889:162 *28488:CLK 0.000190995 -172 *2889:162 *2895:256 0.00108567 -173 *2889:162 *3018:22 0.000665203 -174 *2889:162 *3165:27 7.87677e-05 -175 *2889:162 *3878:43 0.000188616 -176 *2889:166 *3345:6 0.00114571 -177 *2889:166 *3904:38 0.00115158 -178 *2889:166 *5678:47 0.000216755 -179 *2889:198 *25321:A1 0.000539507 -180 *2889:198 *29820:A 6.47441e-05 -181 *2889:198 *2918:10 0.000241098 -182 *2889:198 *5461:7 0.00171781 -183 *2889:198 *5606:33 0.00172033 -184 *2889:211 *26828:A0 9.40358e-05 -185 *2889:211 *3164:56 0.000792174 -186 *2889:211 *3165:216 0.000301564 -187 *2889:211 *3547:25 2.74858e-05 -188 *2889:211 *3560:18 4.11218e-05 -189 *2889:211 *5646:17 0.00219609 -190 *2889:217 *3164:69 3.2687e-05 -191 *2889:217 *3192:33 0.00363532 -192 *2889:217 *3790:57 0.000113119 -193 *2889:217 *3868:56 6.05161e-06 -194 *2889:217 *5491:44 0.000114833 -195 *2889:221 *27854:A2 0.000583811 -196 *2889:221 *27897:A2 0.00044087 -197 *2889:221 *3101:29 0.00011802 -198 *2889:221 *3185:271 0.000289137 -199 *2889:221 *3192:60 0.00223158 -200 *2889:221 *3205:72 0.000286494 -201 *2889:221 *3209:85 0.000136845 -202 *2889:221 *3806:62 2.16391e-05 -203 *2889:221 *5657:49 0.00115528 -204 *2889:221 *5717:104 4.19535e-05 -205 *2889:231 *27851:A1 0.00150633 -206 *2889:231 *3051:23 0.00129948 -207 *2889:231 *3806:62 0.000176987 -208 *2889:231 *5717:104 0.000535345 -209 *2889:254 *25643:A0 0.000115096 -210 *2889:254 *27516:A2 0.000234585 -211 *2889:254 *27861:A2 2.63362e-05 -212 *2889:254 *27862:C1 2.89108e-05 -213 *2889:254 *3404:20 8.28571e-05 -214 *2889:254 *3666:77 6.8194e-05 -215 *2889:254 *3713:45 6.19074e-05 -216 *2889:254 *3723:34 4.06087e-07 -217 *2889:254 *3892:75 0.000263781 -218 *2889:254 *3958:34 6.8194e-05 -219 *2889:254 *3960:28 1.88026e-05 -220 *2889:254 *3960:62 5.1588e-05 -221 *2889:254 *4130:77 0.000195209 -222 *2889:254 *5457:21 0.000275759 -223 *2889:254 *5699:106 0.000366845 -224 *2889:272 *2891:60 0.000217797 -225 *2889:272 *2892:94 0.00167522 -226 *2889:272 *3666:68 0.000238954 -227 *2889:272 *3814:63 0.00034882 -228 *2889:272 *3857:49 1.94945e-05 -229 *2889:272 *3867:37 0.00140016 -230 *2889:281 *27555:B2 6.05161e-06 -231 *2889:281 *27569:C 0.000304003 -232 *2889:281 *2895:172 0.000648683 -233 *2889:281 *3802:58 0.00113519 -234 *2889:281 *3857:37 9.3111e-05 -235 *2889:281 *4100:22 0.00259843 -236 *2889:289 *24882:S 0.000159802 -237 *2889:289 *3702:26 1.92905e-05 -238 *2889:289 *4091:20 0.000283839 -239 *2889:289 *4118:29 5.52302e-05 -240 *2889:289 *4152:51 0.000895468 -241 *2889:289 *4152:60 0.00198394 -242 *25266:B *2889:211 9.64113e-06 -243 *27325:A2 *27325:B1 1.57155e-05 -244 *27336:A2 *27336:B1 0.000216377 -245 *27351:A2 *2889:36 0.000797443 -246 *27387:A2 *27388:B1 4.53834e-05 -247 *27427:A2 *2889:92 1.98428e-06 -248 *27524:A2 *27524:B1 0.000196323 -249 *27524:A2 *2889:272 0.00141067 -250 *27589:A2 *2889:289 8.42484e-06 -251 *29789:A *2889:92 0.000200709 -252 *29861:A *2889:65 0.000103932 -253 *30203:A *2889:65 1.92905e-05 -254 *30408:A *2889:151 0.000587716 -255 *1242:230 *2889:22 2.85524e-05 -256 *1261:163 *2889:92 5.85763e-05 -257 *1262:97 *27388:B1 0.000339346 -258 *1265:112 *2889:65 9.15948e-05 -259 *1266:64 *2889:36 0.000394745 -260 *1272:63 *2889:92 0.000243774 -261 *1276:102 *2889:65 0.000136676 -262 *1286:26 *2889:48 8.87786e-05 -263 *1294:163 *2889:211 7.30777e-05 -264 *1302:16 *2889:162 0.000419319 -265 *1322:12 *2889:162 0.000421034 -266 *1326:35 *2889:211 5.52841e-06 -267 *1355:20 *2889:162 6.95627e-05 -268 *1358:25 *2889:281 0.000364132 -269 *1396:83 *2889:254 0.000560743 -270 *1418:15 *2889:211 6.09762e-05 -271 *1423:86 *2889:272 0.000119042 -272 *1496:11 *2889:28 0.000475522 -273 *1496:11 *2889:151 0.000238428 -274 *1556:26 *27336:B1 1.08359e-05 -275 *1556:26 *2889:48 1.74503e-05 -276 *1617:17 *2889:221 0.000242875 -277 *1622:17 *27524:B1 5.64578e-05 -278 *1698:8 *27402:B1 5.90553e-05 -279 *1844:26 *2889:272 1.90936e-05 -280 *1844:120 *27357:B1 2.43153e-05 -281 *1844:120 *2889:34 3.42184e-05 -282 *1844:138 *27357:B1 1.64271e-05 -283 *1844:138 *2889:151 0.000788356 -284 *1844:146 *2889:151 0.000726038 -285 *2754:28 *27388:B1 0.000150528 -286 *2754:28 *2889:92 0.000222243 -287 *2760:51 *2889:166 0.000218404 -288 *2761:114 *2889:65 0 -289 *2764:209 *2889:162 0.000127805 -290 *2764:209 *2889:166 0.000183617 -291 *2775:160 *2889:65 7.93001e-05 -292 *2777:71 *2889:22 0 -293 *2779:161 *2889:36 1.94945e-05 -294 *2781:51 *2889:11 8.76591e-06 -295 *2781:51 *2889:198 0.000469343 -296 *2784:59 *27325:B1 6.42007e-05 -297 *2784:59 *2889:211 2.84349e-05 -298 *2787:161 *27388:B1 2.88647e-05 -299 *2794:183 *27473:B1 0.000138786 -300 *2813:72 *2889:22 0 -301 *2844:304 *2889:65 0.00114655 -302 *2848:33 *2889:211 5.05508e-05 -303 *2850:98 *2889:289 0.00154045 -304 *2852:28 *2889:22 4.58108e-05 -305 *2859:73 *2889:254 0.000181294 -306 *2860:132 *2889:272 0.000116645 -307 *2865:115 *2889:254 0.000681584 -308 *2865:144 *2889:281 0.000364132 -309 *2872:90 *2889:254 0.000110186 -310 *2872:93 *2889:254 0.0013431 -311 *2872:112 *27555:B1 0.000125031 -312 *2872:112 *2889:281 0.00018077 -313 *2872:134 *27555:B1 3.08382e-06 -314 *2875:302 *2889:48 5.21859e-05 -315 *2877:313 *2889:48 5.00194e-05 -316 *2877:349 *2889:92 0.000357701 -317 *2882:22 *2889:22 0 -318 *2882:142 *2889:281 0.000251657 -319 *2882:206 *2889:48 0 -320 *2882:246 *27402:B1 0.00014854 -321 *2883:187 *27458:B1 0.000188328 -322 *2885:58 *2889:151 0.00104519 -323 *2885:66 *2889:151 0.000239294 -324 *2888:22 *27325:B1 0.000133458 -325 *2888:22 *2889:211 0.000176473 -326 *2888:106 *2889:231 0.00016853 -*RES -1 *27324:X *2889:11 25.2841 -2 *2889:11 *2889:22 30.2234 -3 *2889:22 *2889:28 21.7321 -4 *2889:28 *2889:34 30.3839 -5 *2889:34 *2889:36 99.0893 -6 *2889:36 *2889:48 23.6458 -7 *2889:48 *2889:50 3.41 -8 *2889:50 *2889:65 47.4353 -9 *2889:65 *27402:B1 38.2961 -10 *2889:50 *2889:92 19.28 -11 *2889:92 *27424:B1 49.675 -12 *2889:92 *27388:B1 22.2464 -13 *2889:48 *27336:B1 23.2196 -14 *2889:28 *27357:B1 12.7286 -15 *2889:22 *2889:151 46.1279 -16 *2889:151 *2889:162 48 -17 *2889:162 *2889:166 21.6786 -18 *2889:166 *27473:B1 40.675 -19 *2889:166 *27458:B1 18.4429 -20 *2889:11 *2889:198 33.644 -21 *2889:198 *2889:211 18.3699 -22 *2889:211 *2889:217 46.4904 -23 *2889:217 *2889:221 10.6675 -24 *2889:221 *27542:B1 13.8 -25 *2889:221 *2889:231 26.5179 -26 *2889:231 *27499:A2 11.4786 -27 *2889:231 *2889:254 46.8925 -28 *2889:254 *2889:256 4.5 -29 *2889:256 *27524:B1 12.7286 -30 *2889:256 *2889:272 45.9107 -31 *2889:272 *2889:281 47.9882 -32 *2889:281 *2889:289 43.9196 -33 *2889:289 *27589:B1 9.3 -34 *2889:272 *27555:B1 11.2107 -35 *2889:198 *27325:B1 17.9429 -*END - -*D_NET *2890 0.00427121 -*CONN -*I *27332:C I *D sky130_fd_sc_hd__or4_1 -*I *27325:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27332:C 0.000826773 -2 *27325:X 0.000826773 -3 *27332:C *27333:B1 2.59355e-05 -4 *27332:C *28466:SET_B 6.82091e-06 -5 *27332:C *3985:19 2.82812e-05 -6 *27332:C *3989:66 0.00123046 -7 *27332:C *5661:21 0.000371175 -8 *27332:C *5750:217 4.28365e-05 -9 *27325:A2 *27332:C 7.13226e-06 -10 *27325:B1 *27332:C 2.51508e-05 -11 *29264:A *27332:C 0.000170491 -12 *1182:26 *27332:C 9.80405e-05 -13 *1277:131 *27332:C 6.38844e-06 -14 *2784:59 *27332:C 0 -15 *2877:32 *27332:C 0.000510257 -16 *2882:31 *27332:C 9.46929e-05 -*RES -1 *27325:X *27332:C 48.9571 -*END - -*D_NET *2891 0.198276 -*CONN -*I *27447:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27582:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27432:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27379:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27406:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27328:A2 I *D sky130_fd_sc_hd__a22o_2 -*I *27337:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27478:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *6628:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27365:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27544:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27554:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27513:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27488:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27326:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27447:B1 0.00369123 -2 *27582:A2 6.55105e-05 -3 *27432:B1 0 -4 *27379:A2 0.000159974 -5 *27406:A2 4.63124e-05 -6 *27328:A2 0.000447885 -7 *27337:B1 0.000118767 -8 *27478:B1 0 -9 *6628:DIODE 7.24155e-05 -10 *27365:B1 0.000135836 -11 *27544:B1 3.19022e-05 -12 *27554:B1 0 -13 *27513:A2 1.69531e-05 -14 *27488:A2 9.53817e-05 -15 *27326:X 3.84208e-05 -16 *2891:329 0.00454622 -17 *2891:328 0.00448071 -18 *2891:326 0.00201953 -19 *2891:311 0.00175492 -20 *2891:288 0.00492399 -21 *2891:270 0.00617783 -22 *2891:236 0.00240323 -23 *2891:212 0.00281697 -24 *2891:202 0.0036641 -25 *2891:200 0.00218535 -26 *2891:177 0.00366947 -27 *2891:165 0.00753329 -28 *2891:147 0.00289955 -29 *2891:146 0.00395361 -30 *2891:138 0.0059225 -31 *2891:113 0.000208252 -32 *2891:111 0.00238267 -33 *2891:104 0.00312393 -34 *2891:81 0.0011247 -35 *2891:62 0.00114166 -36 *2891:60 0.00119086 -37 *2891:45 0.00193267 -38 *2891:33 0.00134993 -39 *2891:30 0.00348453 -40 *2891:24 0.00670878 -41 *2891:11 0.00638604 -42 *2891:6 0.00475854 -43 *6628:DIODE *2917:24 2.06178e-05 -44 *6628:DIODE *3245:14 2.06178e-05 -45 *27328:A2 *3803:45 0.00094608 -46 *27328:A2 *4088:23 0.000135028 -47 *27337:B1 *27337:B2 9.41642e-05 -48 *27365:B1 *3820:91 9.32596e-05 -49 *27365:B1 *3912:66 4.57379e-05 -50 *27379:A2 *27391:C1 7.02611e-05 -51 *27379:A2 *3259:13 1.94879e-05 -52 *27379:A2 *3730:8 5.13657e-05 -53 *27406:A2 *25146:B2 2.03618e-05 -54 *27406:A2 *25147:A1 3.37132e-05 -55 *27406:A2 *27406:B1 1.46576e-05 -56 *27406:A2 *3979:32 1.89507e-06 -57 *27447:B1 *25176:B2 0.000316924 -58 *27447:B1 *26874:B 6.81895e-05 -59 *27447:B1 *27447:B2 2.90193e-05 -60 *27447:B1 *27820:B1 0.000763254 -61 *27447:B1 *2894:21 0.000187282 -62 *27447:B1 *3006:10 8.61912e-05 -63 *27447:B1 *3164:214 7.59402e-06 -64 *27447:B1 *4086:68 6.57026e-05 -65 *27447:B1 *5386:11 0.00209565 -66 *27447:B1 *5485:138 0.000118028 -67 *27447:B1 *5627:16 4.31148e-05 -68 *27447:B1 *5631:20 0.000350675 -69 *27447:B1 *5714:29 0.000140341 -70 *27488:A2 *27488:A1 0.000202235 -71 *27488:A2 *3775:39 0.000163455 -72 *27488:A2 *5712:117 0.000367619 -73 *27513:A2 *2895:122 5.33005e-05 -74 *27513:A2 *3776:90 5.33005e-05 -75 *27544:B1 *27544:A1 3.51368e-05 -76 *27582:A2 *3687:26 3.43988e-06 -77 *27582:A2 *5719:38 0.000132228 -78 *27582:A2 *6036:46 0.000142305 -79 *2891:6 *25176:B2 8.93923e-05 -80 *2891:6 *31009:A 3.39451e-05 -81 *2891:6 *5627:16 2.57126e-05 -82 *2891:11 *26849:B 4.79414e-05 -83 *2891:11 *27354:B1 7.59402e-06 -84 *2891:11 *5667:86 0.000912138 -85 *2891:11 *5687:114 1.61706e-05 -86 *2891:11 *5757:109 2.16755e-05 -87 *2891:11 *5757:127 5.17254e-05 -88 *2891:24 *29035:A 0 -89 *2891:24 *3164:56 9.23367e-05 -90 *2891:24 *3165:201 0.000214566 -91 *2891:24 *3174:56 1.46617e-05 -92 *2891:24 *3569:26 0 -93 *2891:24 *3777:51 0.000136958 -94 *2891:24 *3829:36 9.87983e-06 -95 *2891:24 *4052:20 1.90936e-05 -96 *2891:24 *5618:6 7.57673e-05 -97 *2891:24 *5657:197 0.000151129 -98 *2891:24 *5661:21 9.77021e-06 -99 *2891:24 *5661:42 0.00219627 -100 *2891:24 *5687:114 0.000248994 -101 *2891:24 *5687:129 6.86284e-05 -102 *2891:30 *25264:B2 0.000143516 -103 *2891:30 *3503:19 0.000281306 -104 *2891:30 *3778:77 0.00030242 -105 *2891:33 *27544:A1 0.000432849 -106 *2891:33 *3457:28 0.000717676 -107 *2891:33 *3765:36 0.000560934 -108 *2891:33 *3921:11 9.60875e-05 -109 *2891:33 *3921:13 0.000469356 -110 *2891:33 *3931:26 0.000165123 -111 *2891:45 *27544:B2 2.8636e-05 -112 *2891:45 *27897:A1 6.85493e-05 -113 *2891:45 *3570:11 0.000371392 -114 *2891:45 *3765:36 8.56663e-05 -115 *2891:45 *3775:39 0.00046908 -116 *2891:45 *3971:42 0.000247756 -117 *2891:45 *5712:117 0.000667483 -118 *2891:60 *2895:122 0.00105275 -119 *2891:60 *3666:68 0.000108085 -120 *2891:60 *3806:62 0.00105275 -121 *2891:60 *3814:63 0.000392913 -122 *2891:60 *3867:37 0.000552531 -123 *2891:81 *2895:122 0.00053645 -124 *2891:81 *3687:42 0.000763416 -125 *2891:81 *3687:52 0.000692724 -126 *2891:81 *3766:93 8.13729e-05 -127 *2891:81 *3776:90 0.000696238 -128 *2891:81 *3870:52 2.41198e-05 -129 *2891:81 *3896:86 0.000270227 -130 *2891:81 *6036:44 0.00108862 -131 *2891:104 *27354:B1 0.000164043 -132 *2891:104 *27671:A2 0.000137113 -133 *2891:104 *2895:210 0.000126716 -134 *2891:104 *3388:17 7.976e-05 -135 *2891:104 *3860:38 0.000976424 -136 *2891:104 *5467:14 2.35126e-05 -137 *2891:104 *5657:197 0.000228582 -138 *2891:104 *5657:198 0.000681851 -139 *2891:104 *5687:114 0.00039099 -140 *2891:104 *5754:102 0.000147899 -141 *2891:111 *27357:C1 1.93629e-05 -142 *2891:111 *27695:B1 0.000589515 -143 *2891:111 *2895:210 0.000238055 -144 *2891:111 *2917:26 0.000263808 -145 *2891:111 *3205:210 5.16732e-06 -146 *2891:111 *3245:14 0.0015032 -147 *2891:111 *3899:40 0.000276252 -148 *2891:111 *3912:82 0.000135968 -149 *2891:111 *5638:62 0.000123288 -150 *2891:111 *5644:158 0.000208259 -151 *2891:111 *5657:198 0.000119121 -152 *2891:138 *3664:48 0.000588809 -153 *2891:138 *3912:58 0.000486114 -154 *2891:138 *5657:232 6.49449e-05 -155 *2891:146 *27694:C1 0.00194788 -156 *2891:146 *5649:116 2.11419e-05 -157 *2891:146 *5667:40 0.00245386 -158 *2891:146 *5718:345 1.94879e-05 -159 *2891:147 *27176:A1 0.000680182 -160 *2891:147 *27478:B2 2.84269e-05 -161 *2891:147 *27478:C1 3.19871e-05 -162 *2891:147 *3787:56 0.000232329 -163 *2891:147 *3852:24 0.000265025 -164 *2891:147 *5649:116 2.75484e-05 -165 *2891:147 *5667:60 9.41642e-05 -166 *2891:147 *5829:113 0.000121596 -167 *2891:165 *28752:CLK 0.000462315 -168 *2891:165 *2917:16 0.000102658 -169 *2891:165 *3306:6 4.15183e-05 -170 *2891:165 *3664:48 0.000189149 -171 *2891:165 *3678:76 4.65519e-05 -172 *2891:165 *3716:12 4.51691e-05 -173 *2891:165 *3756:18 0.000108516 -174 *2891:165 *3847:87 0.000110341 -175 *2891:165 *3899:40 0.000111082 -176 *2891:165 *3912:58 0.00223868 -177 *2891:165 *5582:20 0.000252732 -178 *2891:165 *5582:31 4.15781e-05 -179 *2891:165 *5645:104 0 -180 *2891:165 *5764:156 0 -181 *2891:177 *3185:107 2.20394e-05 -182 *2891:177 *3797:91 0.00153927 -183 *2891:177 *4080:44 1.11048e-05 -184 *2891:177 *4132:38 0.000347208 -185 *2891:177 *4132:51 0.000220896 -186 *2891:177 *4133:133 1.60961e-05 -187 *2891:177 *4146:79 0 -188 *2891:177 *5627:90 0.00041694 -189 *2891:177 *5661:220 0.000162171 -190 *2891:200 *27673:A 0.000398807 -191 *2891:200 *3162:20 0.00039414 -192 *2891:200 *3215:218 0.000384673 -193 *2891:200 *3859:42 0.000101545 -194 *2891:200 *4080:44 9.11826e-05 -195 *2891:200 *5584:139 0.00226724 -196 *2891:200 *5627:90 0.000605641 -197 *2891:200 *5649:151 0.000124459 -198 *2891:200 *5661:245 0.000441774 -199 *2891:200 *5708:80 0.000227157 -200 *2891:200 *5765:37 8.69554e-05 -201 *2891:200 *6269:12 1.43819e-05 -202 *2891:212 *25145:B2 0.000277574 -203 *2891:212 *25146:B2 4.22135e-06 -204 *2891:212 *25147:A1 0.000116964 -205 *2891:212 *26996:A1 1.86496e-05 -206 *2891:212 *3719:18 6.09762e-05 -207 *2891:212 *3719:40 0.000808213 -208 *2891:212 *4025:75 4.22349e-05 -209 *2891:212 *4076:48 0.000104976 -210 *2891:212 *5589:73 5.00194e-05 -211 *2891:212 *5645:156 5.44445e-05 -212 *2891:212 *5688:85 6.28248e-05 -213 *2891:236 *27406:B1 9.58126e-05 -214 *2891:236 *27406:B2 3.00808e-05 -215 *2891:236 *27763:A1 6.81431e-05 -216 *2891:236 *2964:15 9.56978e-05 -217 *2891:236 *3552:30 0.000414711 -218 *2891:236 *3771:50 0.000448698 -219 *2891:236 *4128:20 0.000250253 -220 *2891:236 *4128:24 0.0001661 -221 *2891:236 *4135:45 5.02606e-05 -222 *2891:236 *5628:161 5.90843e-05 -223 *2891:236 *5652:61 0.000127706 -224 *2891:236 *5832:8 5.21676e-05 -225 *2891:270 *28574:RESET_B 0.000146128 -226 *2891:270 *28675:SET_B 6.09627e-05 -227 *2891:270 *2895:316 0.00105039 -228 *2891:270 *3598:18 0 -229 *2891:270 *3846:33 7.21314e-05 -230 *2891:270 *3978:49 0.000232298 -231 *2891:270 *5584:250 0.000207971 -232 *2891:270 *5593:15 0.00073252 -233 *2891:270 *5633:97 4.66596e-05 -234 *2891:270 *5645:156 4.35333e-05 -235 *2891:270 *5765:129 4.96609e-05 -236 *2891:270 *5873:304 0.000650899 -237 *2891:288 *25190:A1 7.55769e-05 -238 *2891:288 *27132:A0 0.000633233 -239 *2891:288 *27378:B2 0.00030431 -240 *2891:288 *3978:49 0.000269178 -241 *2891:288 *5642:144 7.48301e-06 -242 *2891:288 *5683:134 0.000453482 -243 *2891:311 *2980:10 0.000562486 -244 *2891:311 *3367:43 0.000733189 -245 *2891:311 *3692:45 0.000208341 -246 *2891:311 *3772:26 0.000125587 -247 *2891:311 *3967:18 1.41706e-05 -248 *2891:311 *3967:29 2.72476e-05 -249 *2891:311 *4006:51 2.21972e-05 -250 *2891:311 *4069:33 4.53853e-05 -251 *2891:311 *4086:27 2.11419e-05 -252 *2891:311 *5652:200 2.05938e-05 -253 *2891:311 *5654:157 2.35042e-06 -254 *2891:311 *5683:134 3.06511e-05 -255 *2891:311 *5696:77 1.8995e-06 -256 *2891:326 *3306:6 4.33002e-05 -257 *2891:326 *3706:35 0.000278234 -258 *2891:326 *3716:12 3.5626e-05 -259 *2891:326 *3849:50 0.000279949 -260 *2891:326 *4069:117 0.00010033 -261 *2891:326 *5585:158 2.36643e-05 -262 *2891:326 *5603:52 0.00137744 -263 *2891:329 *27311:A1 0.000421074 -264 *2891:329 *27583:A1 3.98559e-05 -265 *2891:329 *3136:10 0.000463586 -266 *24988:A2 *2891:147 1.38323e-05 -267 *25058:A2 *2891:311 0.000465884 -268 *25194:A2 *2891:311 0.000235689 -269 *25318:A2 *2891:165 0 -270 *27064:S *2891:326 5.33005e-05 -271 *27132:S *2891:288 5.36e-05 -272 *27311:B1 *2891:177 1.09611e-05 -273 *27369:B1 *2891:270 0.000136951 -274 *27391:B1 *2891:288 0.000589138 -275 *27403:A2 *27406:A2 1.46576e-05 -276 *27403:A2 *2891:212 0.000803385 -277 *27403:A2 *2891:270 0.00068009 -278 *27431:B1 *2891:311 0.000161441 -279 *27478:A2 *2891:147 0.000216755 -280 *27544:A2 *2891:33 5.74499e-06 -281 *27544:A2 *2891:45 8.4025e-06 -282 *27619:A2 *2891:24 1.18321e-05 -283 *28787:D *2891:11 0 -284 *29748:A *2891:111 0.000330193 -285 *1225:33 *2891:138 0 -286 *1245:51 *2891:24 9.28516e-05 -287 *1246:126 *2891:311 0.000163475 -288 *1252:61 *2891:24 1.25092e-05 -289 *1252:83 *2891:11 0 -290 *1265:228 *2891:24 4.96794e-05 -291 *1271:104 *2891:24 2.35215e-05 -292 *1275:234 *2891:200 0.000132642 -293 *1277:161 *2891:111 0.00251278 -294 *1277:161 *2891:138 0.000614639 -295 *1277:188 *2891:147 0.000135028 -296 *1288:48 *2891:329 0.000294951 -297 *1291:46 *27447:B1 6.39808e-05 -298 *1293:173 *2891:311 0.000732575 -299 *1358:19 *6628:DIODE 0.000101009 -300 *1358:19 *27365:B1 0.00022003 -301 *1361:29 *2891:177 7.448e-05 -302 *1361:30 *2891:177 0.00187947 -303 *1361:30 *2891:200 0.00132581 -304 *1405:102 *2891:212 0.00089082 -305 *1406:45 *2891:326 0.000839809 -306 *1416:53 *2891:30 6.09764e-05 -307 *1434:76 *2891:236 0.000252449 -308 *1437:13 *2891:30 0.000431164 -309 *1437:29 *2891:33 0.000126535 -310 *1473:20 *2891:329 0.00373237 -311 *1514:18 *2891:288 3.28967e-05 -312 *1557:16 *2891:200 2.3608e-05 -313 *1619:25 *2891:30 0.000281313 -314 *1633:29 *2891:200 2.11419e-05 -315 *1633:45 *2891:200 0.000110498 -316 *1659:34 *2891:200 0.000538831 -317 *1660:19 *2891:270 8.34787e-05 -318 *1676:14 *2891:30 0.000136676 -319 *1705:17 *2891:24 7.40526e-05 -320 *1723:27 *2891:24 0.00214329 -321 *1844:26 *2891:60 2.02794e-05 -322 *2759:111 *2891:270 4.26759e-05 -323 *2760:157 *27379:A2 0.00012116 -324 *2765:18 *2891:311 0.000452055 -325 *2773:84 *2891:311 0.000654542 -326 *2774:74 *2891:270 9.69276e-05 -327 *2774:140 *2891:165 0.00149999 -328 *2774:140 *2891:326 0.000367753 -329 *2775:99 *2891:311 1.29487e-05 -330 *2777:71 *2891:104 2.73672e-05 -331 *2778:152 *2891:200 0.00142967 -332 *2779:96 *2891:200 8.66324e-05 -333 *2780:195 *2891:326 0.00034188 -334 *2781:87 *2891:24 0.000798088 -335 *2786:66 *2891:288 0.000347692 -336 *2790:46 *27447:B1 0.000265873 -337 *2791:87 *2891:147 0.000263654 -338 *2794:122 *2891:270 4.43299e-05 -339 *2844:153 *27447:B1 0.000300974 -340 *2848:369 *2891:311 6.54117e-05 -341 *2852:142 *27379:A2 5.82566e-05 -342 *2853:90 *2891:45 0.000140286 -343 *2853:113 *2891:81 1.00733e-05 -344 *2853:187 *2891:111 5.11566e-05 -345 *2856:270 *2891:11 8.60854e-05 -346 *2856:325 *2891:311 0.000259725 -347 *2856:344 *2891:311 0.000438004 -348 *2859:187 *27365:B1 5.63093e-05 -349 *2860:132 *2891:60 0.000967476 -350 *2867:25 *2891:11 0.000434441 -351 *2867:49 *2891:30 0.0017068 -352 *2871:160 *27447:B1 0.000177749 -353 *2872:134 *2891:81 1.94945e-05 -354 *2872:376 *2891:288 1.21258e-05 -355 *2874:278 *2891:329 2.12087e-05 -356 *2874:359 *2891:311 9.17643e-05 -357 *2877:274 *2891:177 0 -358 *2879:127 *2891:200 0.000160526 -359 *2880:108 *2891:147 0.000216348 -360 *2882:282 *2891:288 4.46231e-06 -361 *2886:164 *2891:11 8.92267e-05 -362 *2886:164 *2891:24 6.90381e-06 -363 *2886:175 *2891:104 0.000674824 -364 *2886:175 *2891:111 0.000127574 -365 *2886:188 *6628:DIODE 0.000128752 -366 *2886:188 *27365:B1 0.000107157 -367 *2886:188 *2891:111 0.00197104 -368 *2886:188 *2891:138 4.66486e-05 -369 *2886:261 *27337:B1 2.42516e-05 -370 *2888:212 *2891:165 0.000706753 -371 *2889:22 *2891:104 0 -372 *2889:272 *2891:60 0.000217797 -*RES -1 *27326:X *2891:6 14.9875 -2 *2891:6 *2891:11 9.71363 -3 *2891:11 *2891:24 46.1811 -4 *2891:24 *2891:30 46.5714 -5 *2891:30 *2891:33 21.875 -6 *2891:33 *2891:45 25.4286 -7 *2891:45 *27488:A2 12.9161 -8 *2891:45 *2891:60 45.625 -9 *2891:60 *2891:62 4.5 -10 *2891:62 *27513:A2 9.83571 -11 *2891:62 *2891:81 41.5 -12 *2891:81 *27554:B1 9.3 -13 *2891:33 *27544:B1 10.0321 -14 *2891:11 *2891:104 29.493 -15 *2891:104 *2891:111 34.6077 -16 *2891:111 *2891:113 3.41 -17 *2891:113 *27365:B1 18.7688 -18 *2891:113 *6628:DIODE 17.714 -19 *2891:111 *2891:138 3.055 -20 *2891:138 *2891:146 15.7156 -21 *2891:146 *2891:147 44.0714 -22 *2891:147 *27478:B1 9.3 -23 *2891:138 *2891:165 40.825 -24 *2891:165 *2891:177 40.2513 -25 *2891:177 *27337:B1 15.5679 -26 *2891:177 *2891:200 48.9195 -27 *2891:200 *2891:202 3.41 -28 *2891:202 *2891:212 25.067 -29 *2891:212 *2891:236 42.0637 -30 *2891:236 *27328:A2 18.6393 -31 *2891:212 *27406:A2 14.6795 -32 *2891:202 *2891:270 34.8945 -33 *2891:270 *27379:A2 21.9161 -34 *2891:270 *2891:288 47.6607 -35 *2891:288 *2891:311 48.2618 -36 *2891:311 *27432:B1 9.3 -37 *2891:165 *2891:326 48.0089 -38 *2891:326 *2891:328 4.5 -39 *2891:328 *2891:329 55.9821 -40 *2891:329 *27582:A2 20.2464 -41 *2891:6 *27447:B1 45.352 -*END - -*D_NET *2892 0.20649 -*CONN -*I *27469:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27434:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27378:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27328:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *27410:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27370:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27347:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27454:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27564:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27488:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27517:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27536:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27576:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27327:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27469:B1 0.000134499 -2 *27434:B1 0.000211624 -3 *27378:B1 7.5877e-05 -4 *27328:B1 0 -5 *27410:B1 8.04147e-05 -6 *27370:B1 0.000413203 -7 *27347:B1 0 -8 *27454:B1 4.15855e-05 -9 *27564:B1 0.000308845 -10 *27488:B1 6.61429e-05 -11 *27517:B1 0.000390742 -12 *27536:B1 0.000128994 -13 *27576:B1 0 -14 *27327:X 9.4565e-05 -15 *2892:305 0.00203965 -16 *2892:292 0.00462942 -17 *2892:280 0.00519009 -18 *2892:266 0.00147918 -19 *2892:259 0.00417912 -20 *2892:255 0.000508291 -21 *2892:253 0.00186447 -22 *2892:242 0.0037839 -23 *2892:220 0.00298536 -24 *2892:219 0.00157204 -25 *2892:209 0.00190467 -26 *2892:197 0.00166388 -27 *2892:186 0.00390538 -28 *2892:177 0.00345262 -29 *2892:166 0.00308088 -30 *2892:161 0.00192462 -31 *2892:140 0.00293365 -32 *2892:132 0.0034076 -33 *2892:126 0.00306195 -34 *2892:115 0.00260192 -35 *2892:111 0.00257951 -36 *2892:94 0.0020446 -37 *2892:81 0.00283677 -38 *2892:65 0.00285715 -39 *2892:40 0.00385027 -40 *2892:26 0.00256686 -41 *2892:17 0.00870342 -42 *2892:16 0.00871524 -43 *2892:8 0.00146012 -44 *27370:B1 *2933:12 5.52238e-05 -45 *27378:B1 *25190:A1 9.41918e-05 -46 *27410:B1 *27762:A2 0 -47 *27410:B1 *3810:26 0 -48 *27410:B1 *4122:39 5.37741e-06 -49 *27410:B1 *4135:70 1.91807e-05 -50 *27410:B1 *5652:61 1.55293e-05 -51 *27434:B1 *27429:B2 6.94952e-05 -52 *27434:B1 *27434:B2 2.48736e-05 -53 *27434:B1 *2993:27 7.46226e-05 -54 *27434:B1 *3798:98 0.000138853 -55 *27434:B1 *4149:57 9.70394e-05 -56 *27434:B1 *5587:169 0.000187282 -57 *27469:B1 *27469:B2 5.44209e-05 -58 *27469:B1 *27470:C1 0 -59 *27488:B1 *27488:A1 2.286e-05 -60 *27488:B1 *5712:117 1.21258e-05 -61 *27517:B1 *27517:A1 1.04232e-05 -62 *27517:B1 *27517:B2 1.97695e-05 -63 *27517:B1 *4153:32 1.55885e-05 -64 *27517:B1 *4153:48 2.98258e-05 -65 *27536:B1 *27536:A1 9.66977e-05 -66 *27536:B1 *27536:B2 5.7661e-06 -67 *27536:B1 *27536:C1 1.21258e-05 -68 *27564:B1 *27564:A1 0.00014444 -69 *27564:B1 *27564:B2 0.000128347 -70 *27564:B1 *27569:D 0.000179573 -71 *27564:B1 *27929:A1 4.28365e-05 -72 *27564:B1 *2895:171 5.44095e-05 -73 *27564:B1 *3831:92 0.000318592 -74 *27564:B1 *3948:41 2.06178e-05 -75 *2892:8 *27277:B 9.80173e-05 -76 *2892:8 *3573:16 9.60875e-05 -77 *2892:16 *3873:67 3.0305e-05 -78 *2892:16 *5694:119 9.91086e-05 -79 *2892:17 *25263:A1 0.000198573 -80 *2892:17 *25263:B2 1.38323e-05 -81 *2892:17 *28341:CLK 1.98839e-05 -82 *2892:17 *28341:RESET_B 0.000128809 -83 *2892:17 *3573:16 0.000685899 -84 *2892:17 *3884:112 7.63056e-05 -85 *2892:17 *5442:27 0.00722321 -86 *2892:17 *5442:51 6.42095e-05 -87 *2892:17 *5750:110 0.000464893 -88 *2892:26 *27576:A1 0.00011762 -89 *2892:26 *3129:10 0.000108535 -90 *2892:26 *3571:53 5.72597e-05 -91 *2892:26 *3884:112 0.000130142 -92 *2892:40 *27576:A1 3.57366e-05 -93 *2892:40 *27576:B2 6.26774e-06 -94 *2892:40 *27887:B1 0.00026353 -95 *2892:40 *27887:B2 0.000716006 -96 *2892:40 *5493:18 0.000246384 -97 *2892:40 *5634:71 8.0203e-05 -98 *2892:65 *27495:A1 8.23597e-06 -99 *2892:65 *27536:C1 5.25862e-06 -100 *2892:65 *27537:D 0.000154485 -101 *2892:65 *27860:A2 4.41457e-05 -102 *2892:65 *27861:A2 5.25192e-06 -103 *2892:65 *27861:B1 0.000141231 -104 *2892:65 *27861:B2 0.000144233 -105 *2892:65 *3723:34 0.000162867 -106 *2892:65 *3866:35 0.000295211 -107 *2892:65 *3892:57 0.000745147 -108 *2892:65 *3960:28 4.32957e-05 -109 *2892:65 *4152:50 6.4359e-05 -110 *2892:65 *5442:23 1.90936e-05 -111 *2892:65 *5493:18 0.000458956 -112 *2892:65 *5493:25 0.000728421 -113 *2892:81 *27503:D 3.86415e-05 -114 *2892:81 *3065:10 0.000509421 -115 *2892:81 *3814:51 0.000141141 -116 *2892:81 *3814:63 2.72545e-05 -117 *2892:81 *3945:34 8.88746e-05 -118 *2892:81 *4101:28 0.000656325 -119 *2892:94 *27520:B2 0.0002406 -120 *2892:94 *27569:D 0.000533991 -121 *2892:94 *3814:63 0.000334372 -122 *2892:94 *3830:70 0.00037929 -123 *2892:94 *3945:34 0.000632607 -124 *2892:94 *3958:29 0.00178788 -125 *2892:94 *4100:22 0 -126 *2892:111 *27245:A 2.59355e-05 -127 *2892:111 *27245:B 2.59355e-05 -128 *2892:111 *27321:A 4.58194e-05 -129 *2892:111 *30798:A 0.000225314 -130 *2892:111 *3178:133 0.000160358 -131 *2892:111 *3196:30 0.000475677 -132 *2892:111 *5981:38 0.000344508 -133 *2892:115 *27631:B 0.000166983 -134 *2892:115 *27820:B1 2.11419e-05 -135 *2892:115 *3155:12 0.000194933 -136 *2892:115 *3168:25 0.000751768 -137 *2892:115 *3178:133 8.41284e-06 -138 *2892:115 *3182:9 0.000376837 -139 *2892:115 *3952:27 0.000173231 -140 *2892:115 *5459:32 3.89747e-05 -141 *2892:115 *5638:16 0.000730222 -142 *2892:115 *5640:13 0.000716414 -143 *2892:115 *5718:256 0.000789377 -144 *2892:115 *5757:127 0.000359419 -145 *2892:126 *27819:A2 5.91011e-05 -146 *2892:126 *28929:A 0.000192776 -147 *2892:126 *3952:27 6.30931e-05 -148 *2892:126 *5459:51 0.00226595 -149 *2892:126 *5757:127 1.11813e-05 -150 *2892:126 *5757:132 5.54856e-05 -151 *2892:126 *5757:134 0.00188665 -152 *2892:132 *28472:CLK 0.000345768 -153 *2892:132 *28875:A 5.33005e-05 -154 *2892:132 *28929:A 5.52639e-05 -155 *2892:132 *3174:188 0.00067723 -156 *2892:132 *3991:29 0.0013749 -157 *2892:132 *4082:80 5.93322e-05 -158 *2892:140 *27453:B1 5.62805e-05 -159 *2892:140 *27826:A1 1.89351e-05 -160 *2892:140 *27826:A2 1.94879e-05 -161 *2892:140 *3176:230 0.000129086 -162 *2892:140 *3371:13 0.00169431 -163 *2892:140 *5632:8 0.000100702 -164 *2892:140 *5632:18 0.000885334 -165 *2892:140 *5926:103 7.6644e-05 -166 *2892:161 *24986:A1 0.000136958 -167 *2892:161 *27474:A 0.000181159 -168 *2892:161 *3040:19 0 -169 *2892:161 *3850:24 0.00117855 -170 *2892:161 *4085:62 0.000262806 -171 *2892:161 *4125:53 9.41642e-05 -172 *2892:161 *5604:109 0.000195259 -173 *2892:166 *3174:225 0.00110442 -174 *2892:166 *3916:20 0.00110856 -175 *2892:177 *27025:A0 0.000177815 -176 *2892:177 *28471:RESET_B 8.79458e-05 -177 *2892:177 *3176:234 6.90565e-05 -178 *2892:177 *3668:11 2.89016e-05 -179 *2892:177 *3930:94 0.000143263 -180 *2892:177 *5603:28 0.000221292 -181 *2892:177 *5614:30 0.000261466 -182 *2892:186 *2907:13 0.000262941 -183 *2892:186 *3739:131 0.000261227 -184 *2892:186 *5603:28 6.20412e-05 -185 *2892:186 *5614:30 0.00240106 -186 *2892:186 *5630:144 0.000175892 -187 *2892:186 *5651:284 0.00091279 -188 *2892:197 *27344:A1 0.000216755 -189 *2892:197 *27344:B2 6.05161e-06 -190 *2892:197 *27346:B1 0.000382424 -191 *2892:197 *27347:A1 3.34565e-05 -192 *2892:197 *27347:B2 4.45974e-05 -193 *2892:197 *3907:32 0.000988437 -194 *2892:197 *3952:68 0.00015379 -195 *2892:197 *5655:201 0.000568377 -196 *2892:209 *27469:B2 6.05161e-06 -197 *2892:209 *3028:21 0.000341 -198 *2892:209 *5647:49 0.000167946 -199 *2892:219 *3165:55 1.90295e-05 -200 *2892:219 *3206:232 0.000160643 -201 *2892:219 *3800:58 0.000158707 -202 *2892:219 *4125:42 6.30472e-05 -203 *2892:219 *5662:76 8.01783e-06 -204 *2892:220 *27464:A1 8.00806e-05 -205 *2892:220 *27835:B1 1.90936e-05 -206 *2892:220 *27835:C1 0.00114044 -207 *2892:220 *28663:D 0.000102545 -208 *2892:220 *3164:295 0.00245819 -209 *2892:220 *3165:55 0.00113093 -210 *2892:220 *3177:61 6.59118e-05 -211 *2892:220 *3800:58 0.00148269 -212 *2892:220 *5594:38 0.000115122 -213 *2892:220 *5630:217 0.000576409 -214 *2892:220 *5630:230 0.000489959 -215 *2892:220 *5651:321 2.8046e-05 -216 *2892:220 *5662:76 0.000370288 -217 *2892:220 *5662:97 0.000243593 -218 *2892:242 *25062:A1 0.000405017 -219 *2892:242 *27436:B2 9.82006e-05 -220 *2892:242 *27785:B2 3.02754e-05 -221 *2892:242 *3165:74 0.000110143 -222 *2892:242 *3679:19 1.98839e-05 -223 *2892:242 *3679:29 2.12005e-05 -224 *2892:242 *3798:98 0.00040664 -225 *2892:242 *4110:37 2.21972e-05 -226 *2892:242 *4149:57 9.05855e-05 -227 *2892:242 *5589:123 0.000506989 -228 *2892:242 *5702:147 6.3426e-05 -229 *2892:253 *27437:C 0.000193917 -230 *2892:253 *27437:D 8.25843e-06 -231 *2892:253 *2895:281 3.03032e-05 -232 *2892:253 *2895:283 0.00189155 -233 *2892:253 *2992:11 5.52238e-05 -234 *2892:253 *2997:20 0.000135028 -235 *2892:253 *5434:5 0.00315139 -236 *2892:253 *5824:266 0.000306343 -237 *2892:259 *25190:A1 8.47107e-05 -238 *2892:259 *5609:236 0.00121142 -239 *2892:259 *5660:198 7.40633e-05 -240 *2892:259 *5662:116 0.0012443 -241 *2892:266 *3251:12 0.000111781 -242 *2892:266 *3730:8 0.0026167 -243 *2892:266 *5660:198 8.55871e-05 -244 *2892:266 *5662:116 0.000177815 -245 *2892:280 *27676:B2 1.31802e-07 -246 *2892:280 *27751:A2 2.95726e-05 -247 *2892:280 *27751:B1 1.39137e-05 -248 *2892:280 *3170:251 7.09112e-05 -249 *2892:280 *3174:283 0.00206918 -250 *2892:280 *3833:13 0.000103977 -251 *2892:280 *4044:13 1.90936e-05 -252 *2892:280 *4115:28 2.06112e-05 -253 *2892:280 *5609:236 0.000118986 -254 *2892:280 *5638:119 0.000175892 -255 *2892:280 *5649:217 9.41642e-05 -256 *2892:280 *5662:124 3.14048e-05 -257 *2892:280 *6351:24 0.000118986 -258 *2892:292 *25147:A2 5.65746e-05 -259 *2892:292 *27400:B2 5.85849e-05 -260 *2892:292 *28710:D 0.000581218 -261 *2892:292 *28710:RESET_B 8.08786e-05 -262 *2892:292 *3153:221 0 -263 *2892:292 *3810:26 0 -264 *2892:292 *3901:79 2.28661e-05 -265 *2892:292 *4135:70 2.50824e-05 -266 *2892:292 *5587:65 4.19624e-06 -267 *2892:305 *25147:B2 0.00068648 -268 *2892:305 *27328:A1 3.51442e-05 -269 *2892:305 *3215:254 3.22738e-05 -270 *2892:305 *3220:18 0.00026532 -271 *2892:305 *4089:12 0.000521512 -272 *2892:305 *5642:172 0.000901961 -273 *25146:A2 *2892:292 6.0756e-05 -274 *25148:B *2892:292 0.000376767 -275 *25205:C1 *2892:266 5.41794e-05 -276 *25263:B1 *2892:17 0.000221628 -277 *25263:C1 *2892:17 0.000151329 -278 *27287:C *2892:8 0.000116395 -279 *27287:C *2892:111 0.000174696 -280 *27321:B *2892:111 8.82248e-05 -281 *27321:C *2892:111 4.08733e-05 -282 *27327:B *2892:8 4.00349e-05 -283 *27327:B *2892:111 0.000198121 -284 *27353:C1 *2892:186 0.00172316 -285 *27436:A2 *2892:242 6.58691e-05 -286 *27436:B1 *2892:242 0.000113851 -287 *27454:A2 *2892:132 0.0013812 -288 *27508:B1 *2892:40 0.000355183 -289 *27510:A2 *2892:40 1.21289e-05 -290 *27510:B1 *2892:40 0.000558014 -291 *27517:A2 *27517:B1 3.28686e-05 -292 *27532:B1 *2892:65 1.08359e-05 -293 *27576:A2 *2892:26 1.46576e-05 -294 *27633:A *2892:115 1.66761e-05 -295 *30821:A *2892:16 0.000179745 -296 *1178:36 *2892:8 3.43622e-05 -297 *1237:43 *2892:115 0.000286864 -298 *1258:90 *2892:219 1.09611e-05 -299 *1260:135 *2892:242 0.0006111 -300 *1265:128 *2892:280 9.01312e-06 -301 *1266:132 *2892:177 0.000284514 -302 *1268:74 *2892:161 0.000129836 -303 *1286:10 *2892:197 0.000152272 -304 *1288:66 *2892:305 0.000858754 -305 *1292:81 *2892:292 0.000496531 -306 *1292:223 *27454:B1 9.96242e-05 -307 *1292:223 *2892:140 0.00253371 -308 *1328:98 *2892:17 0.000137561 -309 *1328:183 *2892:161 0.000915735 -310 *1367:46 *2892:209 0.000166232 -311 *1368:59 *2892:219 0 -312 *1408:28 *2892:26 5.88389e-05 -313 *1465:14 *2892:305 0.000654792 -314 *1514:18 *27378:B1 0.000195547 -315 *1514:18 *2892:259 0.000218734 -316 *1524:38 *2892:266 0.00234922 -317 *1545:28 *2892:94 1.94879e-05 -318 *1581:11 *2892:17 0.000232844 -319 *1582:25 *2892:17 1.13272e-05 -320 *1826:15 *2892:115 0.000789329 -321 *1826:26 *2892:111 1.27529e-05 -322 *1826:26 *2892:115 4.29471e-05 -323 *1826:117 *2892:126 0.000137276 -324 *1864:141 *2892:132 5.20359e-06 -325 *1887:70 *2892:94 0.000116202 -326 *2758:90 *2892:126 0.000427867 -327 *2768:69 *2892:197 0.000666256 -328 *2790:32 *2892:115 6.33225e-05 -329 *2791:73 *2892:161 0.000143652 -330 *2792:96 *2892:242 0.000597721 -331 *2792:129 *2892:16 0.000175809 -332 *2820:5 *2892:111 5.74499e-06 -333 *2834:31 *2892:16 0.000718942 -334 *2844:399 *2892:242 0.000655518 -335 *2848:282 *2892:292 0.00301067 -336 *2848:300 *2892:292 7.34737e-05 -337 *2850:87 *2892:81 0.000464893 -338 *2855:198 *2892:292 0 -339 *2856:355 *27370:B1 0.000631303 -340 *2856:364 *27370:B1 3.97677e-05 -341 *2859:52 *2892:81 0.000559873 -342 *2859:73 *2892:81 0.000426978 -343 *2860:132 *2892:94 0 -344 *2860:363 *27454:B1 9.96242e-05 -345 *2860:363 *2892:140 0.000440575 -346 *2865:67 *2892:26 9.58126e-05 -347 *2865:67 *2892:40 0.000288424 -348 *2865:77 *2892:40 0.000472283 -349 *2865:77 *2892:65 5.41794e-05 -350 *2865:98 *2892:65 0.000212668 -351 *2866:168 *27517:B1 0.00058053 -352 *2866:168 *2892:81 0.00174306 -353 *2866:241 *2892:140 0.000159462 -354 *2866:241 *2892:161 0.000400014 -355 *2871:46 *2892:65 0 -356 *2871:105 *2892:94 0.000106403 -357 *2871:175 *2892:126 4.15592e-05 -358 *2871:224 *2892:140 4.05458e-05 -359 *2871:231 *2892:140 0.00103335 -360 *2871:231 *2892:161 0.000143652 -361 *2872:90 *2892:65 3.47248e-05 -362 *2872:355 *2892:242 4.97121e-06 -363 *2874:68 *2892:65 0.000683779 -364 *2875:119 *27564:B1 1.41029e-05 -365 *2877:87 *27564:B1 8.30066e-06 -366 *2877:87 *2892:94 0.000117391 -367 *2883:89 *27564:B1 1.50181e-05 -368 *2883:89 *2892:94 1.44868e-05 -369 *2886:11 *2892:111 0.00080512 -370 *2889:272 *2892:94 0.00167522 -*RES -1 *27327:X *2892:8 16.3893 -2 *2892:8 *2892:16 21.9464 -3 *2892:16 *2892:17 108.192 -4 *2892:17 *2892:26 15.7723 -5 *2892:26 *27576:B1 9.3 -6 *2892:26 *2892:40 40.5536 -7 *2892:40 *27536:B1 15.5857 -8 *2892:40 *2892:65 44.0536 -9 *2892:65 *27517:B1 16.8357 -10 *2892:65 *2892:81 33.6964 -11 *2892:81 *27488:B1 14.7643 -12 *2892:81 *2892:94 49.9375 -13 *2892:94 *27564:B1 22.3268 -14 *2892:8 *2892:111 34.8304 -15 *2892:111 *2892:115 44.6339 -16 *2892:115 *2892:126 45.2857 -17 *2892:126 *2892:132 44.125 -18 *2892:132 *27454:B1 15.1393 -19 *2892:132 *2892:140 47.9375 -20 *2892:140 *2892:161 46.9638 -21 *2892:161 *2892:166 23.3929 -22 *2892:166 *2892:177 28.0714 -23 *2892:177 *2892:186 48.8929 -24 *2892:186 *2892:197 43.4821 -25 *2892:197 *27347:B1 9.3 -26 *2892:166 *2892:209 29.1607 -27 *2892:209 *2892:219 13.8694 -28 *2892:219 *2892:220 51.8839 -29 *2892:220 *2892:242 44.8712 -30 *2892:242 *2892:253 49.2679 -31 *2892:253 *2892:255 4.5 -32 *2892:255 *2892:259 19.8036 -33 *2892:259 *2892:266 45.5 -34 *2892:266 *27370:B1 16.4071 -35 *2892:259 *2892:280 47.1696 -36 *2892:280 *2892:292 30.4212 -37 *2892:292 *27410:B1 15.1393 -38 *2892:292 *2892:305 46.6607 -39 *2892:305 *27328:B1 13.8 -40 *2892:255 *27378:B1 16.3536 -41 *2892:242 *27434:B1 19.425 -42 *2892:209 *27469:B1 11.5143 -*END - -*D_NET *2893 0.0590153 -*CONN -*I *27331:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *6629:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27328:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *27331:C1 1.74275e-05 -2 *6629:DIODE 5.00829e-05 -3 *27328:X 0.00109933 -4 *2893:74 6.75103e-05 -5 *2893:72 0.00121549 -6 *2893:64 0.00239998 -7 *2893:51 0.00188711 -8 *2893:43 0.002622 -9 *2893:26 0.00382386 -10 *2893:24 0.00323471 -11 *2893:7 0.00242956 -12 *6629:DIODE *27331:A1 9.41642e-05 -13 *6629:DIODE *27331:B2 3.69047e-06 -14 *27331:C1 *27331:A1 5.33005e-05 -15 *27331:C1 *27331:B2 2.43082e-05 -16 *2893:7 *3803:45 0.00105976 -17 *2893:7 *3810:26 0.000265212 -18 *2893:7 *3901:63 0.000265212 -19 *2893:7 *4133:28 4.58194e-05 -20 *2893:24 *28574:CLK 2.11419e-05 -21 *2893:24 *3304:22 9.90819e-06 -22 *2893:24 *3304:37 0.000743124 -23 *2893:24 *4025:75 9.20825e-05 -24 *2893:24 *4043:58 0.00103362 -25 *2893:24 *4043:69 2.63501e-05 -26 *2893:24 *4043:70 0.00162362 -27 *2893:24 *5660:217 5.06547e-05 -28 *2893:24 *5688:85 1.14232e-05 -29 *2893:24 *5704:18 0.000341013 -30 *2893:24 *5792:28 0.000133986 -31 *2893:24 *5792:40 0.00133695 -32 *2893:24 *6280:17 0.000178285 -33 *2893:26 *25253:A2 4.38058e-05 -34 *2893:26 *25253:B2 4.05174e-06 -35 *2893:26 *27059:A1 2.11063e-05 -36 *2893:26 *27757:A1 0.000979536 -37 *2893:26 *28630:RESET_B 0.000326348 -38 *2893:26 *2970:25 2.5738e-05 -39 *2893:26 *3304:37 0.00116349 -40 *2893:26 *3823:40 0.000110603 -41 *2893:26 *4043:70 0.000498542 -42 *2893:26 *4133:46 0.000126716 -43 *2893:26 *5590:79 0.000304654 -44 *2893:26 *5603:52 6.05538e-05 -45 *2893:26 *5616:19 0.00140416 -46 *2893:26 *5650:268 1.37292e-05 -47 *2893:26 *5688:349 8.94846e-05 -48 *2893:26 *5709:182 9.45827e-05 -49 *2893:26 *5709:187 0.000397448 -50 *2893:26 *6280:18 0 -51 *2893:43 *25253:B2 0.000451462 -52 *2893:43 *4043:70 4.04691e-06 -53 *2893:43 *4043:81 0.000205102 -54 *2893:43 *4129:90 0.000825461 -55 *2893:43 *4129:96 0.000480631 -56 *2893:43 *5589:14 0.000420285 -57 *2893:43 *5601:10 0.000353402 -58 *2893:43 *5601:162 0.000379302 -59 *2893:43 *5612:42 4.53337e-05 -60 *2893:43 *5616:20 0.000268693 -61 *2893:43 *5795:96 9.37899e-06 -62 *2893:43 *6280:18 0.000240212 -63 *2893:51 *30760:A 6.74324e-05 -64 *2893:51 *4129:90 2.07339e-05 -65 *2893:51 *5584:20 0.00145383 -66 *2893:51 *5585:54 0.00156196 -67 *2893:64 *25357:A1 1.94945e-05 -68 *2893:64 *3544:9 0.00202848 -69 *2893:64 *3841:68 0.00219576 -70 *2893:64 *3939:33 0.000504522 -71 *2893:64 *4043:81 0.000183414 -72 *2893:64 *4129:74 1.08359e-05 -73 *2893:64 *5604:34 2.12005e-05 -74 *2893:64 *5687:73 0.00033139 -75 *2893:64 *5817:16 2.31408e-05 -76 *2893:64 *5869:74 0.000174716 -77 *2893:72 *3183:167 0.000883664 -78 *2893:72 *3547:12 1.0945e-05 -79 *2893:72 *3699:58 0.000752165 -80 *2893:72 *3841:55 3.97677e-05 -81 *2893:72 *5583:58 0.000190547 -82 *2893:72 *5817:16 7.87026e-07 -83 *2893:72 *5869:8 0.000167586 -84 *2893:72 *5869:74 0.00164319 -85 *6411:DIODE *2893:26 0.000100823 -86 *6411:DIODE *2893:43 1.31653e-05 -87 *24940:A *2893:43 0.000150262 -88 *25170:B *2893:43 0.000155052 -89 *25256:B *2893:26 0.0002678 -90 *1225:89 *2893:43 0.000428203 -91 *1243:8 *2893:43 1.90936e-05 -92 *1243:58 *2893:64 0.000197779 -93 *1268:37 *2893:43 6.07037e-07 -94 *1269:186 *2893:26 0.000629432 -95 *1271:131 *2893:64 0.00014272 -96 *1279:102 *2893:43 0.000408975 -97 *1393:25 *2893:7 0.0035348 -98 *1406:38 *2893:26 0.00146679 -99 *1416:67 *2893:72 0.00100119 -100 *1421:106 *2893:43 0.000135271 -101 *1449:101 *2893:72 0.000294459 -102 *1519:13 *2893:7 0.000314004 -103 *1555:20 *2893:43 0.000872801 -104 *1578:18 *2893:72 0.000221819 -105 *1594:35 *2893:72 0.000301113 -106 *1661:19 *2893:43 0.000100626 -107 *1663:16 *2893:43 0.000168939 -108 *2788:139 *2893:24 3.03561e-05 -109 *2871:318 *2893:26 0.000125102 -110 *2889:65 *2893:26 4.00349e-05 -*RES -1 *27328:X *2893:7 48.425 -2 *2893:7 *2893:24 44.7378 -3 *2893:24 *2893:26 62.5089 -4 *2893:26 *2893:43 49.2468 -5 *2893:43 *2893:51 34.7939 -6 *2893:51 *2893:64 47.8929 -7 *2893:64 *2893:72 45.6429 -8 *2893:72 *2893:74 4.5 -9 *2893:74 *6629:DIODE 10.2464 -10 *2893:74 *27331:C1 9.83571 -*END - -*D_NET *2894 0.198331 -*CONN -*I *27456:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27389:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27425:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27404:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27465:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27341:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27362:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27331:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27523:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27545:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27579:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27565:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27499:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27329:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27456:B1 0.000130723 -2 *27389:B1 8.21141e-05 -3 *27425:B1 0.000945622 -4 *27404:B1 0 -5 *27465:B1 0 -6 *27341:B1 1.59067e-05 -7 *27362:B1 0.000481684 -8 *27331:A2 0.000127289 -9 *27523:B1 8.91668e-05 -10 *27545:B1 0.000448303 -11 *27579:B1 0.000198016 -12 *27565:B1 0.000824123 -13 *27499:B1 0.000698012 -14 *27329:X 0 -15 *2894:361 0.00325148 -16 *2894:341 0.00351463 -17 *2894:331 0.00359615 -18 *2894:319 0.00320254 -19 *2894:310 0.00414756 -20 *2894:308 0.00175841 -21 *2894:303 0.00450577 -22 *2894:280 0.00260676 -23 *2894:269 0.00391403 -24 *2894:244 0.00147815 -25 *2894:237 0.0052639 -26 *2894:212 0.00316911 -27 *2894:210 0.00257122 -28 *2894:188 0.00610777 -29 *2894:181 0.00211568 -30 *2894:138 0.00212676 -31 *2894:107 0.0030483 -32 *2894:106 0.00168774 -33 *2894:99 0.00235908 -34 *2894:87 0.0028841 -35 *2894:63 0.0021887 -36 *2894:55 0.0054502 -37 *2894:54 0.00578421 -38 *2894:44 0.00168813 -39 *2894:26 0.00360567 -40 *2894:21 0.00433843 -41 *2894:4 0.00347736 -42 *27331:A2 *27617:B1 7.04964e-05 -43 *27331:A2 *2895:43 3.53633e-05 -44 *27331:A2 *3829:36 7.96587e-06 -45 *27331:A2 *3842:44 9.8963e-05 -46 *27331:A2 *4052:20 0.000171952 -47 *27341:B1 *6351:31 0 -48 *27362:B1 *3782:98 1.59373e-05 -49 *27362:B1 *5640:27 0.000218723 -50 *27362:B1 *5640:35 0.000490797 -51 *27389:B1 *27389:A1 7.20217e-06 -52 *27425:B1 *27425:B2 5.49544e-05 -53 *27425:B1 *27791:A 6.43187e-05 -54 *27425:B1 *3811:44 4.63768e-05 -55 *27425:B1 *5587:139 0.000741019 -56 *27425:B1 *5703:45 0.000201831 -57 *27456:B1 *27456:B2 6.92906e-05 -58 *27456:B1 *27456:C1 3.19871e-05 -59 *27456:B1 *27459:C 0.000175892 -60 *27456:B1 *3682:48 1.4363e-05 -61 *27456:B1 *3826:57 0.000120253 -62 *27499:B1 *27495:A1 8.94556e-05 -63 *27499:B1 *3197:164 0.000129246 -64 *27499:B1 *3713:63 0.000823054 -65 *27499:B1 *3866:44 2.89016e-05 -66 *27499:B1 *3883:31 7.51188e-05 -67 *27499:B1 *5892:151 6.09762e-05 -68 *27499:B1 *5947:85 4.20552e-05 -69 *27523:B1 *27523:A1 0.000119686 -70 *27545:B1 *27545:A1 5.33005e-05 -71 *27545:B1 *3958:16 1.43864e-05 -72 *27545:B1 *4101:24 0.0007258 -73 *27545:B1 *4113:116 0.00020411 -74 *27545:B1 *4142:56 1.5424e-05 -75 *27565:B1 *27560:B2 5.92249e-05 -76 *27565:B1 *27565:A1 5.49544e-05 -77 *27565:B1 *27565:B2 9.54798e-06 -78 *27565:B1 *27939:A 0.000149346 -79 *27565:B1 *27939:C 0.000237122 -80 *27565:B1 *27939:D 0.000265453 -81 *27565:B1 *3541:38 1.0945e-05 -82 *27565:B1 *3541:43 0.000322493 -83 *27565:B1 *3688:31 0 -84 *27565:B1 *4104:23 1.94945e-05 -85 *27565:B1 *5657:125 0 -86 *27579:B1 *27579:A1 9.41642e-05 -87 *27579:B1 *3702:26 0.000116297 -88 *27579:B1 *3702:39 1.82549e-05 -89 *27579:B1 *4118:50 0.000339346 -90 *2894:21 *27354:A1 6.24758e-05 -91 *2894:21 *27354:A2 6.86792e-05 -92 *2894:21 *28719:D 8.64489e-05 -93 *2894:21 *2918:10 0.000342302 -94 *2894:21 *3165:201 0.000102688 -95 *2894:21 *3886:51 0.00033888 -96 *2894:21 *5386:11 1.9145e-05 -97 *2894:21 *5627:16 0.000169917 -98 *2894:21 *5717:186 3.97677e-05 -99 *2894:21 *5720:225 5.7639e-05 -100 *2894:21 *6225:116 0.000336925 -101 *2894:26 *25360:B2 0.000369064 -102 *2894:26 *28719:CLK 0.000101001 -103 *2894:26 *28719:D 0.00021903 -104 *2894:26 *3829:36 1.90129e-05 -105 *2894:26 *3842:23 0.000162511 -106 *2894:26 *3842:44 1.90936e-05 -107 *2894:26 *4051:43 0.000136958 -108 *2894:26 *4052:20 0.000622364 -109 *2894:44 *27073:A0 1.98839e-05 -110 *2894:44 *27073:A1 0.000177821 -111 *2894:44 *30787:A 5.33005e-05 -112 *2894:44 *2895:61 0.00096461 -113 *2894:44 *5386:11 0.000329747 -114 *2894:44 *5517:30 4.00349e-05 -115 *2894:44 *5583:58 4.18834e-05 -116 *2894:44 *5621:45 0.000929143 -117 *2894:44 *5869:27 0.000169986 -118 *2894:54 *4022:94 5.52302e-05 -119 *2894:54 *5622:26 0.000173083 -120 *2894:54 *5873:175 1.98839e-05 -121 *2894:55 *25184:B2 0.000262282 -122 *2894:55 *2895:79 0.000967882 -123 *2894:55 *3645:7 0.000374598 -124 *2894:55 *3831:53 0.00254227 -125 *2894:55 *3970:7 0.00038021 -126 *2894:55 *4153:48 0.000490886 -127 *2894:55 *4153:62 0.0001501 -128 *2894:63 *3197:152 2.06178e-05 -129 *2894:63 *3736:25 8.68039e-05 -130 *2894:63 *5892:145 5.07917e-05 -131 *2894:87 *25642:A0 2.46668e-05 -132 *2894:87 *25643:A0 0.00027717 -133 *2894:87 *27516:A2 4.18305e-05 -134 *2894:87 *3156:124 0.000375283 -135 *2894:87 *3192:107 0.000152346 -136 *2894:87 *3197:138 2.32455e-05 -137 *2894:87 *3713:63 0.000795583 -138 *2894:87 *3830:70 3.22763e-05 -139 *2894:87 *3883:31 1.53766e-05 -140 *2894:87 *4103:18 0.000356745 -141 *2894:87 *4152:50 0.000102299 -142 *2894:87 *5467:14 7.22377e-05 -143 *2894:87 *5661:80 0.000207175 -144 *2894:87 *5661:117 9.85535e-05 -145 *2894:87 *5744:30 7.68915e-05 -146 *2894:87 *5909:76 0.000520979 -147 *2894:99 *27938:B1 0.00026204 -148 *2894:99 *28164:D 0.000285591 -149 *2894:99 *28259:CLK 0 -150 *2894:99 *28717:D 0.000298622 -151 *2894:99 *3156:133 0.000777988 -152 *2894:99 *3454:18 0.00120712 -153 *2894:99 *3685:20 5.70494e-05 -154 *2894:99 *3685:22 0.00058953 -155 *2894:99 *5728:172 0.000103554 -156 *2894:99 *5728:205 0.000131412 -157 *2894:106 *27938:A1 4.15526e-05 -158 *2894:106 *27938:B1 3.41899e-05 -159 *2894:106 *28260:D 1.08612e-05 -160 *2894:106 *3156:133 2.30124e-05 -161 *2894:106 *3479:8 0.000328357 -162 *2894:106 *3685:20 9.01724e-06 -163 *2894:106 *3896:56 7.48679e-06 -164 *2894:106 *5007:34 0.0016309 -165 *2894:106 *5712:17 0.000343652 -166 *2894:107 *27924:A1 0.00138551 -167 *2894:107 *28297:RESET_B 0.000103981 -168 *2894:107 *3479:8 0.000215685 -169 *2894:107 *3684:21 0.000287952 -170 *2894:107 *4103:40 0.00079995 -171 *2894:107 *5741:131 0.000216595 -172 *2894:107 *5741:144 0.000141631 -173 *2894:107 *5741:158 1.94945e-05 -174 *2894:138 *27579:A2 0.000101001 -175 *2894:138 *28297:D 2.51343e-06 -176 *2894:138 *28297:RESET_B 0.000234058 -177 *2894:138 *30250:A 0.000140368 -178 *2894:138 *3688:17 0.000322976 -179 *2894:138 *4101:24 0.00019009 -180 *2894:138 *4103:40 0.000335281 -181 *2894:138 *4104:6 0.000227014 -182 *2894:138 *4105:20 0.000222515 -183 *2894:138 *4113:116 0.000188376 -184 *2894:138 *5630:71 0.00188994 -185 *2894:138 *5661:149 0.000433266 -186 *2894:181 *25176:A1 0.000393943 -187 *2894:181 *27820:A1 0.00016638 -188 *2894:181 *27843:A0 3.48023e-05 -189 *2894:181 *29493:A 0.000117913 -190 *2894:181 *29512:A 0.000127145 -191 *2894:181 *5640:16 7.49166e-06 -192 *2894:181 *5640:18 9.50579e-05 -193 *2894:181 *5667:67 0.000479956 -194 *2894:181 *5718:290 0.000565226 -195 *2894:188 *5718:313 0.00111629 -196 *2894:210 *27710:B2 0.000109422 -197 *2894:210 *30812:A 2.06178e-05 -198 *2894:210 *3184:95 0.000670632 -199 *2894:210 *3822:49 4.43053e-05 -200 *2894:210 *3848:61 0.000671091 -201 *2894:210 *3899:54 9.91574e-05 -202 *2894:210 *3938:50 0.000579794 -203 *2894:210 *3965:85 2.79421e-05 -204 *2894:210 *3978:66 0.000498033 -205 *2894:210 *4146:54 1.91408e-05 -206 *2894:210 *4146:56 0.000550966 -207 *2894:210 *5505:64 0.000266847 -208 *2894:210 *5600:132 2.39779e-05 -209 *2894:210 *5641:23 2.63501e-05 -210 *2894:210 *5718:315 0.000182262 -211 *2894:212 *3250:17 0.000889042 -212 *2894:212 *3978:66 1.81805e-05 -213 *2894:212 *5600:132 0.000933972 -214 *2894:237 *27371:C1 0.00153712 -215 *2894:237 *27672:B2 9.05964e-05 -216 *2894:237 *2922:36 0.000117529 -217 *2894:237 *2924:14 5.25135e-05 -218 *2894:237 *3250:17 0.000593039 -219 *2894:237 *3978:66 2.19517e-05 -220 *2894:237 *4068:31 0 -221 *2894:237 *4146:54 0.00112386 -222 *2894:237 *5589:31 7.21178e-05 -223 *2894:237 *5600:132 0.000584354 -224 *2894:237 *5630:36 0.000924605 -225 *2894:237 *5667:40 1.46553e-05 -226 *2894:237 *5708:164 0.000173013 -227 *2894:237 *5781:42 2.61232e-05 -228 *2894:237 *6351:26 1.02504e-05 -229 *2894:237 *6351:32 4.5539e-05 -230 *2894:244 *27341:B2 8.55871e-05 -231 *2894:244 *27672:B2 1.40034e-05 -232 *2894:244 *2905:14 0.000175892 -233 *2894:244 *6351:31 3.57366e-05 -234 *2894:269 *27672:B2 1.21258e-05 -235 *2894:269 *2905:14 7.17774e-05 -236 *2894:269 *3666:144 0.000257911 -237 *2894:269 *3690:63 0.000633414 -238 *2894:280 *27465:A1 1.73088e-05 -239 *2894:280 *27465:B2 2.89584e-05 -240 *2894:280 *3174:225 0.000188911 -241 *2894:280 *3185:180 8.46343e-05 -242 *2894:280 *5645:91 0.000627098 -243 *2894:303 *27342:B2 0.000123605 -244 *2894:303 *27342:C1 0.000114258 -245 *2894:303 *27371:C1 0 -246 *2894:303 *27672:B2 6.56976e-05 -247 *2894:303 *3153:204 4.12176e-05 -248 *2894:303 *3259:25 0.000125579 -249 *2894:303 *3679:59 0.000105594 -250 *2894:303 *3848:40 0.000232046 -251 *2894:303 *3900:40 0.000597701 -252 *2894:303 *5600:151 6.39111e-05 -253 *2894:303 *5663:69 9.09604e-05 -254 *2894:303 *5708:164 0.00134521 -255 *2894:303 *5781:42 7.68942e-05 -256 *2894:303 *6351:26 5.73833e-05 -257 *2894:308 *27732:C1 9.34751e-05 -258 *2894:308 *3848:30 4.00629e-05 -259 *2894:308 *3848:38 0.00142983 -260 *2894:308 *3861:38 0.000469014 -261 *2894:308 *3861:40 0.000188537 -262 *2894:308 *3900:28 0.0024554 -263 *2894:308 *3900:38 0.000641652 -264 *2894:308 *4069:48 8.17749e-05 -265 *2894:319 *25140:B2 2.59355e-05 -266 *2894:319 *27404:A1 0.000199511 -267 *2894:319 *28558:D 8.62529e-06 -268 *2894:319 *3162:8 0.000336561 -269 *2894:319 *3179:167 0.000169713 -270 *2894:319 *3732:13 5.37796e-05 -271 *2894:319 *4048:32 0.002635 -272 *2894:319 *5607:71 0.0021957 -273 *2894:319 *5803:27 0.000417582 -274 *2894:319 *5879:44 0.000338137 -275 *2894:331 *2955:15 0.000113089 -276 *2894:331 *3281:8 5.86214e-05 -277 *2894:341 *3283:8 0.000571048 -278 *2894:341 *3783:56 0.000575361 -279 *2894:341 *5587:139 0.000564687 -280 *2894:361 *25031:B2 0.000587062 -281 *2894:361 *28640:RESET_B 9.09013e-05 -282 *2894:361 *3838:8 0.000507258 -283 *2894:361 *3965:85 0.000140549 -284 *2894:361 *5936:15 0.000509233 -285 *25031:C1 *2894:361 0.00169545 -286 *25140:B1 *2894:319 0.00183914 -287 *25186:A2 *2894:319 0.000581476 -288 *25186:A2 *2894:331 0.000200688 -289 *25201:B1 *2894:341 0.00096521 -290 *25206:B *2894:308 3.48139e-05 -291 *25302:A2 *2894:54 0.000754986 -292 *25874:S *2894:106 0.000398568 -293 *26930:S *2894:210 4.00349e-05 -294 *27058:S *2894:319 0.000177915 -295 *27073:S *2894:44 9.41642e-05 -296 *27158:S *2894:106 0.000160456 -297 *27158:S *2894:107 8.6229e-06 -298 *27200:S *2894:319 0.000590398 -299 *27329:B *2894:21 0.000178425 -300 *27329:C *2894:21 8.55871e-05 -301 *27404:A2 *2894:319 6.07716e-05 -302 *27425:A2 *27425:B1 0.000878168 -303 *27447:B1 *2894:21 0.000187282 -304 *27454:A2 *2894:210 0.000127359 -305 *27545:A2 *27545:B1 0.000180764 -306 *27546:A2 *27545:B1 0.000501679 -307 *28806:D *2894:181 0.000110966 -308 *29264:A *2894:21 2.79509e-06 -309 *29264:A *2894:26 0.000512887 -310 *29352:A *2894:44 0.000143745 -311 *29712:A *2894:181 0.00018077 -312 *29789:A *2894:303 0.000115556 -313 *30478:A *2894:269 5.33005e-05 -314 *400:14 *2894:106 0.00013558 -315 *1178:65 *2894:210 0.000211793 -316 *1178:105 *2894:210 1.12406e-05 -317 *1182:42 *2894:44 9.35114e-05 -318 *1218:188 *2894:361 0.000228542 -319 *1228:61 *2894:21 9.41642e-05 -320 *1246:151 *2894:319 0 -321 *1252:83 *2894:21 9.72368e-05 -322 *1252:110 *2894:21 2.66642e-05 -323 *1261:41 *2894:237 0.000219695 -324 *1261:41 *2894:280 0.000929159 -325 *1261:41 *2894:303 9.62755e-06 -326 *1263:50 *2894:44 5.07851e-05 -327 *1271:122 *2894:26 8.44271e-06 -328 *1272:101 *2894:308 4.99283e-06 -329 *1272:101 *2894:319 0.000102492 -330 *1277:176 *2894:237 5.21882e-05 -331 *1291:8 *2894:44 9.83442e-05 -332 *1291:31 *2894:44 0.00019131 -333 *1293:173 *2894:341 0.000801567 -334 *1355:20 *27456:B1 8.6051e-05 -335 *1439:16 *2894:210 2.24839e-05 -336 *1471:95 *27499:B1 5.94755e-05 -337 *1471:95 *2894:63 1.90936e-05 -338 *1490:26 *2894:188 0.00110718 -339 *1525:14 *2894:308 0.000590483 -340 *1526:8 *2894:303 0.00126596 -341 *1527:25 *2894:210 9.49752e-06 -342 *1597:10 *2894:188 0.000299191 -343 *1603:36 *2894:99 9.48994e-05 -344 *1619:25 *27499:B1 9.34324e-05 -345 *1648:17 *2894:44 0.000189208 -346 *1648:17 *2894:54 0.000310222 -347 *1729:10 *2894:54 0.000429857 -348 *1826:144 *2894:269 4.13595e-05 -349 *1864:51 *27523:B1 0.000178425 -350 *1864:51 *2894:99 9.58181e-05 -351 *1864:59 *2894:87 0.000254045 -352 *2754:28 *2894:303 0.00126596 -353 *2758:105 *2894:210 0.000135744 -354 *2761:53 *2894:319 3.2437e-05 -355 *2761:53 *2894:331 0.000334708 -356 *2777:94 *2894:210 0.000242039 -357 *2782:116 *2894:303 0.000563555 -358 *2782:127 *2894:303 0.000275083 -359 *2786:112 *2894:181 0.000110117 -360 *2787:112 *2894:181 5.77031e-05 -361 *2787:128 *2894:269 0.00034971 -362 *2789:27 *2894:87 2.07441e-05 -363 *2789:27 *2894:99 0.00138734 -364 *2790:46 *2894:21 0.000668283 -365 *2791:117 *2894:188 0.000671967 -366 *2791:117 *2894:210 0.000180554 -367 *2794:107 *2894:319 0.000113497 -368 *2834:109 *2894:87 0.000127753 -369 *2844:275 *2894:269 0.000347995 -370 *2848:233 *2894:280 7.48927e-06 -371 *2850:179 *2894:210 0.000280641 -372 *2853:187 *2894:210 0.000612339 -373 *2859:214 *2894:303 0.00293703 -374 *2859:260 *2894:303 0.000128555 -375 *2860:363 *2894:361 0.000136951 -376 *2864:96 *2894:181 0.000183021 -377 *2865:115 *27499:B1 2.97041e-05 -378 *2866:270 *2894:237 0 -379 *2867:25 *2894:21 0.000207808 -380 *2867:25 *2894:26 3.19023e-05 -381 *2867:39 *2894:26 0.000753472 -382 *2867:184 *2894:210 4.65519e-05 -383 *2871:160 *2894:181 0.000312172 -384 *2871:259 *2894:269 0.000546379 -385 *2872:163 *2894:181 0.000480413 -386 *2874:85 *27523:B1 0 -387 *2877:359 *2894:21 1.68707e-05 -388 *2877:359 *2894:181 0.000680605 -389 *2882:46 *27331:A2 5.99855e-05 -390 *2883:39 *2894:44 0.000420761 -391 *2886:225 *27362:B1 0.00017754 -392 *2886:241 *27362:B1 1.93475e-05 -393 *2889:92 *2894:303 0.000159153 -*RES -1 *27329:X *2894:4 9.3 -2 *2894:4 *2894:21 47.6712 -3 *2894:21 *2894:26 36.3036 -4 *2894:26 *2894:44 48.0947 -5 *2894:44 *2894:54 22.25 -6 *2894:54 *2894:55 65.8393 -7 *2894:55 *2894:63 11.896 -8 *2894:63 *27499:B1 24.857 -9 *2894:63 *2894:87 20.3537 -10 *2894:87 *2894:99 44.4911 -11 *2894:99 *2894:106 34.1161 -12 *2894:106 *2894:107 25.0179 -13 *2894:107 *27565:B1 38.3714 -14 *2894:107 *2894:138 45.0893 -15 *2894:138 *27579:B1 18.4429 -16 *2894:138 *27545:B1 26.2286 -17 *2894:87 *27523:B1 11.9071 -18 *2894:26 *27331:A2 17.3625 -19 *2894:4 *2894:181 48.4286 -20 *2894:181 *2894:188 28.9643 -21 *2894:188 *2894:210 47.2814 -22 *2894:210 *2894:212 12.2679 -23 *2894:212 *27362:B1 23.7196 -24 *2894:212 *2894:237 29.771 -25 *2894:237 *2894:244 7.94643 -26 *2894:244 *27341:B1 9.72857 -27 *2894:244 *2894:269 34.7679 -28 *2894:269 *2894:280 41 -29 *2894:280 *27465:B1 9.3 -30 *2894:237 *2894:303 46.4126 -31 *2894:303 *2894:308 49.1607 -32 *2894:308 *2894:310 3.41 -33 *2894:310 *2894:319 47.1503 -34 *2894:319 *27404:B1 9.3 -35 *2894:310 *2894:331 16.8869 -36 *2894:331 *2894:341 46.2411 -37 *2894:341 *27425:B1 28.1125 -38 *2894:331 *27389:B1 10.675 -39 *2894:188 *2894:361 48.7321 -40 *2894:361 *27456:B1 21.7107 -*END - -*D_NET *2895 0.196921 -*CONN -*I *27430:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27379:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27335:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27406:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27479:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27446:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *6631:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27356:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *6630:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27331:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27582:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27567:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *6632:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27513:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27538:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27498:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27330:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27430:B1 0.000297385 -2 *27379:B1 5.81155e-05 -3 *27335:B1 0.00196134 -4 *27406:B1 0.00133459 -5 *27479:B1 0 -6 *27446:A2 0.000596425 -7 *6631:DIODE 0 -8 *27356:B1 0.000166605 -9 *6630:DIODE 1.69531e-05 -10 *27331:B1 0 -11 *27582:B1 0.000535412 -12 *27567:B1 0.000350452 -13 *6632:DIODE 6.89702e-05 -14 *27513:B1 0 -15 *27538:B1 0.000134819 -16 *27498:B1 0.000558037 -17 *27330:X 0.000485465 -18 *2895:316 0.00366095 -19 *2895:291 0.00486065 -20 *2895:289 0.00119164 -21 *2895:283 0.00260138 -22 *2895:281 0.00503442 -23 *2895:266 0.00449762 -24 *2895:259 0.00319041 -25 *2895:258 0.00138902 -26 *2895:256 0.00297409 -27 *2895:227 0.00520492 -28 *2895:210 0.00121092 -29 *2895:180 0.00137147 -30 *2895:172 0.00241324 -31 *2895:171 0.00243252 -32 *2895:146 0.00167641 -33 *2895:134 0.000741126 -34 *2895:122 0.00151389 -35 *2895:97 0.00215732 -36 *2895:79 0.00664981 -37 *2895:61 0.00529447 -38 *2895:43 0.00162244 -39 *2895:34 0.00264449 -40 *2895:28 0.0048898 -41 *2895:23 0.00411023 -42 *2895:13 0.00154235 -43 *6630:DIODE *27617:B1 5.33005e-05 -44 *6632:DIODE *3793:40 9.8126e-05 -45 *6632:DIODE *3896:86 9.58689e-05 -46 *6632:DIODE *3957:22 9.96553e-05 -47 *27335:B1 *27335:B2 5.7661e-06 -48 *27335:B1 *2922:20 0.000101814 -49 *27335:B1 *3898:61 9.06855e-05 -50 *27335:B1 *3899:38 0.000323612 -51 *27335:B1 *3899:40 0.000104259 -52 *27335:B1 *3912:27 8.69554e-05 -53 *27335:B1 *3976:73 2.59355e-05 -54 *27335:B1 *5663:126 0.000856553 -55 *27335:B1 *5759:18 0.000894098 -56 *27335:B1 *5765:95 8.69554e-05 -57 *27356:B1 *27356:A1 1.92905e-05 -58 *27356:B1 *27714:A1 7.95355e-05 -59 *27356:B1 *5605:60 0.000178358 -60 *27379:B1 *3251:12 1.94945e-05 -61 *27379:B1 *3770:35 2.59355e-05 -62 *27406:B1 *25242:A2 9.41642e-05 -63 *27406:B1 *27406:A1 5.49489e-05 -64 *27406:B1 *5584:162 0.000181374 -65 *27406:B1 *5628:150 8.6229e-06 -66 *27406:B1 *5650:285 2.53281e-05 -67 *27430:B1 *27429:B2 6.77937e-05 -68 *27430:B1 *4033:16 6.83097e-05 -69 *27430:B1 *4086:28 0.000145351 -70 *27430:B1 *5587:151 0.000105471 -71 *27430:B1 *5824:286 1.90936e-05 -72 *27430:B1 *5862:26 0.000145351 -73 *27446:A2 *25007:A1 0.000156047 -74 *27446:A2 *27445:A1 5.83233e-05 -75 *27446:A2 *27446:B1 3.36025e-05 -76 *27446:A2 *3838:22 0 -77 *27446:A2 *3981:53 4.08637e-05 -78 *27446:A2 *5531:18 0.000199133 -79 *27446:A2 *5535:45 0.000663718 -80 *27446:A2 *5644:14 0.000625878 -81 *27498:B1 *27497:A1 0.000136727 -82 *27498:B1 *27498:A1 0.000304498 -83 *27498:B1 *27498:B2 5.50992e-06 -84 *27498:B1 *27498:C1 3.7742e-05 -85 *27538:B1 *3801:63 0.000151452 -86 *27538:B1 *4101:32 0.000335091 -87 *27567:B1 *27567:A1 5.52238e-05 -88 *27567:B1 *27568:B2 0.000136951 -89 *27567:B1 *3984:33 0.000100831 -90 *27582:B1 *25128:A 0.00014724 -91 *27582:B1 *27582:A1 1.97695e-05 -92 *27582:B1 *4091:64 0.000107196 -93 *27582:B1 *4350:14 9.41642e-05 -94 *27582:B1 *5669:81 0.000383166 -95 *2895:13 *30815:A 9.84729e-05 -96 *2895:13 *5655:16 0.000160345 -97 *2895:13 *5657:13 0.000271442 -98 *2895:13 *5863:195 9.41642e-05 -99 *2895:23 *27820:B2 0.000148094 -100 *2895:23 *3559:14 0.00126109 -101 *2895:23 *5947:27 0.000135028 -102 *2895:28 *27671:A2 0.00010798 -103 *2895:28 *3388:17 0.00270251 -104 *2895:28 *3860:38 0.000258098 -105 *2895:34 *28466:CLK 0.000136581 -106 *2895:34 *28469:CLK 5.51417e-05 -107 *2895:34 *3666:18 6.62861e-05 -108 *2895:34 *3844:41 8.87913e-05 -109 *2895:34 *5505:42 4.37327e-05 -110 *2895:43 *27331:A1 5.52302e-05 -111 *2895:43 *27331:B2 8.45501e-06 -112 *2895:43 *27332:D 0.000218685 -113 *2895:43 *27617:B1 0.000176444 -114 *2895:43 *3842:44 9.70968e-05 -115 *2895:43 *3844:41 7.62355e-05 -116 *2895:43 *5505:42 2.73697e-05 -117 *2895:61 *3570:27 0.000716359 -118 *2895:61 *3829:36 5.7054e-05 -119 *2895:61 *5386:11 0.000419833 -120 *2895:61 *5517:30 0.000131027 -121 *2895:61 *5620:18 9.70394e-05 -122 *2895:61 *5892:145 2.60996e-05 -123 *2895:79 *25184:B2 0 -124 *2895:79 *25326:B2 0.000121613 -125 *2895:79 *25330:A1 4.32309e-05 -126 *2895:79 *27509:A1 0.00102543 -127 *2895:79 *27897:A1 1.0562e-05 -128 *2895:79 *3205:72 0.000432216 -129 *2895:79 *3389:28 0.000136845 -130 *2895:79 *3482:19 5.65427e-05 -131 *2895:79 *3503:19 9.1157e-05 -132 *2895:79 *3780:34 1.39702e-05 -133 *2895:79 *3831:53 0.0007012 -134 *2895:79 *4153:62 0.000588485 -135 *2895:79 *5386:11 0.000474182 -136 *2895:79 *5458:31 5.31355e-05 -137 *2895:79 *5581:64 9.9497e-05 -138 *2895:79 *5650:133 8.94491e-05 -139 *2895:79 *5666:262 8.77926e-05 -140 *2895:79 *5718:174 1.94945e-05 -141 *2895:79 *5892:145 0.000500658 -142 *2895:97 *27497:A1 9.66977e-05 -143 *2895:97 *27501:B2 0.000678315 -144 *2895:97 *27858:B2 1.94945e-05 -145 *2895:97 *3060:9 0.00126272 -146 *2895:97 *3801:63 3.04901e-05 -147 *2895:97 *3806:62 0.000231195 -148 *2895:97 *3831:40 0.000136607 -149 *2895:97 *4101:28 6.91635e-06 -150 *2895:97 *4131:36 0.002432 -151 *2895:97 *5657:49 7.09747e-05 -152 *2895:122 *27509:A1 0.000133244 -153 *2895:122 *3069:7 0.000177591 -154 *2895:122 *3101:29 0.00226809 -155 *2895:122 *3776:90 0.000114048 -156 *2895:122 *3806:62 0.000647676 -157 *2895:122 *3896:86 1.4487e-05 -158 *2895:122 *4131:36 1.24437e-06 -159 *2895:134 *3793:40 8.21621e-05 -160 *2895:134 *3897:32 9.58689e-05 -161 *2895:134 *4100:22 0.000166973 -162 *2895:134 *4116:51 2.12647e-05 -163 *2895:146 *3793:40 0.00129649 -164 *2895:146 *3957:22 0.00128793 -165 *2895:171 *27564:A1 1.00375e-05 -166 *2895:171 *27564:B2 7.02112e-05 -167 *2895:171 *27564:C1 0.000303669 -168 *2895:171 *27569:B 2.06178e-05 -169 *2895:171 *3461:26 2.5577e-05 -170 *2895:171 *3741:27 0.000167002 -171 *2895:171 *3793:64 6.52967e-05 -172 *2895:171 *3831:92 0.000143527 -173 *2895:171 *4100:22 0.00097454 -174 *2895:172 *25187:B2 0.00034798 -175 *2895:172 *27588:C1 6.08336e-05 -176 *2895:172 *3115:19 0.000760392 -177 *2895:172 *3685:66 4.11173e-05 -178 *2895:172 *3957:22 2.76617e-05 -179 *2895:172 *4100:22 0.000503917 -180 *2895:172 *4117:32 8.21623e-05 -181 *2895:172 *5215:12 0.00068888 -182 *2895:172 *5651:176 0.000160631 -183 *2895:172 *5856:19 0.000443507 -184 *2895:180 *25851:A1 0.00026182 -185 *2895:180 *30186:A 2.26327e-05 -186 *2895:180 *3871:94 6.12434e-05 -187 *2895:180 *3957:22 0.0018068 -188 *2895:180 *4091:20 3.17148e-05 -189 *2895:180 *4092:35 0.000161118 -190 *2895:180 *4100:14 0.000222742 -191 *2895:180 *4100:21 0.000961187 -192 *2895:210 *27357:C1 6.09547e-05 -193 *2895:210 *27671:A2 0.000735142 -194 *2895:210 *28620:CLK 0.000824176 -195 *2895:210 *3215:44 0.000218409 -196 *2895:210 *3221:15 0.000487419 -197 *2895:210 *3643:13 0.000292708 -198 *2895:210 *3643:49 0.000378626 -199 *2895:210 *3820:79 0.000325848 -200 *2895:210 *5584:76 0.00022044 -201 *2895:210 *5657:198 9.90819e-06 -202 *2895:227 *3040:31 2.59024e-05 -203 *2895:227 *3952:27 4.73587e-05 -204 *2895:227 *5386:11 0.00015474 -205 *2895:256 *27448:B2 0.000149746 -206 *2895:256 *27809:B2 6.05161e-06 -207 *2895:256 *27815:B2 5.74569e-05 -208 *2895:256 *3018:22 0.000134615 -209 *2895:256 *3165:27 0.00116431 -210 *2895:256 *3215:14 0.000484206 -211 *2895:256 *3215:178 0.000199569 -212 *2895:256 *3340:19 0 -213 *2895:256 *3387:14 0.000205913 -214 *2895:256 *3850:24 0.000141146 -215 *2895:256 *3864:63 0.000116697 -216 *2895:256 *4186:34 0.000128321 -217 *2895:256 *5467:18 0.00130611 -218 *2895:256 *5531:18 0.000280861 -219 *2895:256 *5535:46 0.000172528 -220 *2895:256 *5644:14 0.000839863 -221 *2895:256 *5649:55 0.000277701 -222 *2895:256 *5714:29 0 -223 *2895:259 *27479:A1 1.02504e-05 -224 *2895:259 *3193:60 0.00427926 -225 *2895:259 *3904:38 0.00415765 -226 *2895:266 *27471:C 0.000724511 -227 *2895:266 *27479:A1 0.00137729 -228 *2895:266 *3193:60 0.00544547 -229 *2895:266 *3943:41 0.00167067 -230 *2895:266 *4033:16 1.58619e-05 -231 *2895:266 *4086:64 0.000641736 -232 *2895:266 *4134:96 0.000191057 -233 *2895:266 *4134:107 0.000135432 -234 *2895:281 *27799:A2 9.34324e-05 -235 *2895:281 *3205:270 0 -236 *2895:281 *3339:22 0 -237 *2895:281 *3798:98 0 -238 *2895:281 *3839:39 0.00023328 -239 *2895:281 *3993:14 0 -240 *2895:281 *4033:16 0.000324422 -241 *2895:281 *4085:18 0.000686116 -242 *2895:281 *4085:27 0.000265233 -243 *2895:281 *4086:28 0.000328187 -244 *2895:281 *5637:43 0.000157231 -245 *2895:281 *5676:95 0 -246 *2895:281 *5702:147 6.90381e-06 -247 *2895:283 *25562:A0 0.000303092 -248 *2895:283 *3837:33 0.000385699 -249 *2895:283 *5434:5 0.000196973 -250 *2895:283 *5628:121 0.00190357 -251 *2895:283 *5628:137 0.000837123 -252 *2895:289 *3259:13 0.000425407 -253 *2895:291 *27369:A2 0.000129624 -254 *2895:291 *5593:15 0.00011497 -255 *2895:291 *5824:213 0 -256 *2895:291 *5824:265 0 -257 *2895:316 *27369:B2 0.000205853 -258 *2895:316 *27702:A1 0.000382744 -259 *2895:316 *27702:A2 3.97677e-05 -260 *2895:316 *3164:346 0.000123672 -261 *2895:316 *3678:47 0.000139187 -262 *2895:316 *3717:30 2.06112e-05 -263 *2895:316 *3846:33 2.83129e-05 -264 *2895:316 *3898:41 1.34436e-05 -265 *2895:316 *3899:38 2.21972e-05 -266 *2895:316 *5584:250 0.000613294 -267 *2895:316 *5590:98 8.61908e-05 -268 *2895:316 *5593:15 3.81355e-05 -269 *2895:316 *5628:150 8.6281e-05 -270 *2895:316 *5645:156 0.000191035 -271 *2895:316 *5646:232 0 -272 *2895:316 *5655:217 0.000153962 -273 *6624:DIODE *27567:B1 5.74499e-06 -274 *25071:D *27430:B1 0.000232949 -275 *25071:D *2895:281 0.000686116 -276 *25085:A2 *27406:B1 7.13226e-06 -277 *25128:B *27582:B1 0.00071496 -278 *25242:B1 *27406:B1 4.02321e-05 -279 *25295:A2 *2895:79 4.75671e-06 -280 *27331:A2 *2895:43 3.53633e-05 -281 *27333:A2 *2895:28 0.00083038 -282 *27356:A2 *27356:B1 5.49803e-05 -283 *27369:B1 *2895:316 1.24368e-05 -284 *27403:A2 *27406:B1 8.29241e-05 -285 *27403:A2 *2895:316 0.000772072 -286 *27406:A2 *27406:B1 1.46576e-05 -287 *27429:B1 *27430:B1 6.30931e-05 -288 *27460:A2 *2895:28 0.000144922 -289 *27460:A2 *2895:227 0.000352134 -290 *27497:B1 *27498:B1 1.35553e-05 -291 *27513:A2 *2895:122 5.33005e-05 -292 *27524:A2 *2895:122 4.43081e-05 -293 *27561:A2 *2895:172 0.000202876 -294 *27564:B1 *2895:171 5.44095e-05 -295 *27567:A2 *27567:B1 5.52302e-05 -296 *27588:B1 *2895:171 6.292e-05 -297 *27618:A *2895:23 0.000758314 -298 *74:11 *2895:227 0.000559006 -299 *1224:36 *2895:61 0.00016198 -300 *1228:61 *2895:28 0.000109992 -301 *1228:61 *2895:210 0.00067156 -302 *1245:51 *2895:43 1.94945e-05 -303 *1246:62 *2895:210 0.000195175 -304 *1252:195 *2895:266 4.22135e-06 -305 *1252:195 *2895:281 0.000184623 -306 *1258:56 *27379:B1 1.94945e-05 -307 *1261:163 *27335:B1 0.000240314 -308 *1269:128 *27335:B1 0.000571025 -309 *1274:161 *2895:281 0.0003033 -310 *1282:12 *2895:61 4.11173e-05 -311 *1291:8 *2895:61 0.000139645 -312 *1291:31 *2895:61 0.000966866 -313 *1385:25 *2895:256 0.000107157 -314 *1390:15 *2895:281 0 -315 *1405:102 *27406:B1 0.000989013 -316 *1416:67 *2895:34 2.0307e-05 -317 *1423:71 *27567:B1 9.91086e-05 -318 *1437:69 *2895:79 0.000249149 -319 *1440:40 *6632:DIODE 9.41642e-05 -320 *1455:93 *2895:79 2.63501e-05 -321 *1473:33 *27582:B1 0.000329591 -322 *1526:8 *2895:289 0.000425453 -323 *1578:18 *2895:34 0.000651948 -324 *1617:17 *2895:97 0.000101872 -325 *1826:178 *2895:283 0.000424029 -326 *2754:28 *2895:289 0.000427882 -327 *2760:135 *2895:281 4.65519e-05 -328 *2761:168 *27335:B1 0 -329 *2764:209 *2895:256 0.000114127 -330 *2768:89 *27335:B1 1.14658e-05 -331 *2780:25 *2895:28 0.00012191 -332 *2780:25 *2895:227 4.49768e-05 -333 *2780:223 *27406:B1 7.29646e-05 -334 *2787:62 *2895:13 0.000744786 -335 *2787:112 *2895:13 0.000133417 -336 *2787:112 *2895:23 0.00129948 -337 *2825:78 *2895:23 0.000353066 -338 *2844:98 *2895:172 0.00101595 -339 *2844:179 *27446:A2 1.94945e-05 -340 *2844:179 *2895:256 0.000863046 -341 *2844:201 *2895:259 0.00020996 -342 *2844:399 *2895:281 0 -343 *2845:392 *2895:256 0 -344 *2852:142 *27379:B1 2.59355e-05 -345 *2855:279 *2895:13 4.87854e-05 -346 *2856:316 *2895:281 0.00023328 -347 *2860:92 *27538:B1 5.80706e-06 -348 *2860:366 *2895:256 0.000208252 -349 *2861:23 *2895:23 0.000136951 -350 *2861:29 *27446:A2 0.000100735 -351 *2861:29 *2895:256 0.00022534 -352 *2861:51 *2895:281 0.000198002 -353 *2864:96 *2895:23 0.000697726 -354 *2866:36 *2895:256 0.000172528 -355 *2866:149 *2895:122 9.5919e-05 -356 *2866:307 *2895:281 0.000485079 -357 *2867:99 *2895:79 3.1881e-05 -358 *2871:20 *2895:13 0.000394936 -359 *2871:160 *2895:13 0.000382703 -360 *2871:175 *2895:227 0.000444955 -361 *2872:57 *27498:B1 2.12733e-05 -362 *2875:99 *2895:122 9.41642e-05 -363 *2875:119 *2895:171 0 -364 *2877:87 *27567:B1 0.000342302 -365 *2877:87 *2895:171 0.000602983 -366 *2879:127 *2895:316 0.000488664 -367 *2882:22 *2895:28 6.5887e-05 -368 *2882:46 *6630:DIODE 5.33005e-05 -369 *2882:46 *2895:43 1.70893e-05 -370 *2882:142 *2895:172 0.00136145 -371 *2882:276 *27335:B1 0.000859309 -372 *2883:39 *2895:61 0.000975074 -373 *2883:188 *2895:259 5.49132e-05 -374 *2883:188 *2895:266 0.000143773 -375 *2883:192 *2895:266 5.54204e-05 -376 *2883:209 *2895:266 0.000974486 -377 *2883:209 *2895:281 0.000199477 -378 *2886:175 *2895:210 0.000153841 -379 *2888:232 *27335:B1 0.00114702 -380 *2889:28 *2895:210 0.000241076 -381 *2889:162 *2895:256 0.00108567 -382 *2889:281 *2895:172 0.000648683 -383 *2891:60 *2895:122 0.00105275 -384 *2891:81 *2895:122 0.00053645 -385 *2891:104 *2895:210 0.000126716 -386 *2891:111 *2895:210 0.000238055 -387 *2891:236 *27406:B1 9.58126e-05 -388 *2891:270 *2895:316 0.00105039 -389 *2892:253 *2895:281 3.03032e-05 -390 *2892:253 *2895:283 0.00189155 -391 *2894:44 *2895:61 0.00096461 -392 *2894:55 *2895:79 0.000967882 -*RES -1 *27330:X *2895:13 39.5321 -2 *2895:13 *2895:23 45.2867 -3 *2895:23 *2895:28 9.77654 -4 *2895:28 *2895:34 37.5714 -5 *2895:34 *2895:43 10.2143 -6 *2895:43 *2895:61 47.756 -7 *2895:61 *2895:79 38.9955 -8 *2895:79 *2895:97 49.6039 -9 *2895:97 *27498:B1 16.425 -10 *2895:97 *27538:B1 22.675 -11 *2895:79 *2895:122 43.5536 -12 *2895:122 *27513:B1 9.3 -13 *2895:122 *2895:134 17.1071 -14 *2895:134 *6632:DIODE 16.0857 -15 *2895:134 *2895:146 21.625 -16 *2895:146 *27567:B1 24.4071 -17 *2895:146 *2895:171 31.4678 -18 *2895:171 *2895:172 49.4554 -19 *2895:172 *2895:180 39.375 -20 *2895:180 *27582:B1 22.1929 -21 *2895:43 *27331:B1 9.3 -22 *2895:34 *6630:DIODE 14.3357 -23 *2895:28 *2895:210 48.4107 -24 *2895:210 *27356:B1 13.1571 -25 *2895:210 *6631:DIODE 9.3 -26 *2895:23 *2895:227 7.92513 -27 *2895:227 *27446:A2 30.8625 -28 *2895:227 *2895:256 49.8961 -29 *2895:256 *2895:258 4.5 -30 *2895:258 *2895:259 55.6786 -31 *2895:259 *27479:B1 13.8 -32 *2895:259 *2895:266 71.6161 -33 *2895:266 *2895:281 33.8851 -34 *2895:281 *2895:283 47.3571 -35 *2895:283 *2895:289 14.707 -36 *2895:289 *2895:291 1.16403 -37 *2895:291 *2895:316 47.2006 -38 *2895:316 *27406:B1 36.5679 -39 *2895:291 *27335:B1 42.032 -40 *2895:289 *27379:B1 18.4511 -41 *2895:281 *27430:B1 34.5857 -*END - -*D_NET *2896 0.00351735 -*CONN -*I *27332:D I *D sky130_fd_sc_hd__or4_1 -*I *27331:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27332:D 0.00117808 -2 *27331:X 0.00117808 -3 *27332:D *27319:B2 8.43535e-06 -4 *27332:D *27617:B1 5.66187e-05 -5 *27332:D *27617:C1 0.000216755 -6 *27332:D *3686:135 0.000141297 -7 *27319:A2 *27332:D 0 -8 *27319:B1 *27332:D 0.000283979 -9 *27332:B *27332:D 0.000216755 -10 *2882:31 *27332:D 1.21258e-05 -11 *2882:46 *27332:D 6.5458e-06 -12 *2895:43 *27332:D 0.000218685 -*RES -1 *27331:X *27332:D 34.3857 -*END - -*D_NET *2897 0.0012193 -*CONN -*I *27333:B1 I *D sky130_fd_sc_hd__o221a_1 -*I *27332:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27333:B1 0.000353601 -2 *27332:X 0.000353601 -3 *27333:B1 *3205:158 0.000142528 -4 *27333:B1 *5448:30 0.000142528 -5 *27332:C *27333:B1 2.59355e-05 -6 *2780:25 *27333:B1 0.000178847 -7 *2882:31 *27333:B1 2.22618e-05 -*RES -1 *27332:X *27333:B1 32.9571 -*END - -*D_NET *2898 0.00273811 -*CONN -*I *27334:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *27333:X O *D sky130_fd_sc_hd__o221a_1 -*CAP -1 *27334:B1 0.000679485 -2 *27333:X 0.000679485 -3 *27334:B1 *27671:A2 2.14658e-05 -4 *27334:B1 *27671:A3 0.000228639 -5 *27334:B1 *27671:B2 5.9396e-05 -6 *27333:A2 *27334:B1 0.000426985 -7 *27671:B1 *27334:B1 0.000367364 -8 *28800:D *27334:B1 0.00013833 -9 *2870:65 *27334:B1 0.000136958 -*RES -1 *27333:X *27334:B1 32.5643 -*END - -*D_NET *2899 0.00270811 -*CONN -*I *27336:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27335:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27336:C1 0.000427922 -2 *27335:X 0.000427922 -3 *27336:C1 *27336:A1 0.000115106 -4 *27336:C1 *27336:B2 3.64749e-05 -5 *27336:C1 *3678:76 0.000125731 -6 *27336:C1 *3691:39 0.000125731 -7 *27336:C1 *3756:13 0.000180348 -8 *27336:A2 *27336:C1 0.000836423 -9 *27336:B1 *27336:C1 9.516e-06 -10 *1178:174 *27336:C1 0.000422935 -*RES -1 *27335:X *27336:C1 40.0643 -*END - -*D_NET *2900 0.0027537 -*CONN -*I *27343:A I *D sky130_fd_sc_hd__or4_1 -*I *27336:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27343:A 0.000421825 -2 *27336:X 0.000421825 -3 *27336:A2 *27343:A 1.98839e-05 -4 *27336:B1 *27343:A 0.000536744 -5 *1556:26 *27343:A 0.000873256 -6 *2889:48 *27343:A 0.000480162 -*RES -1 *27336:X *27343:A 40.725 -*END - -*D_NET *2901 0.00114554 -*CONN -*I *27338:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27337:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27338:C1 0.000345903 -2 *27337:X 0.000345903 -3 *27338:C1 *25287:A1 0.000164334 -4 *27338:C1 *27337:A1 1.32056e-05 -5 *27338:C1 *3833:16 0.000121582 -6 *27338:C1 *3924:73 0.000121582 -7 *2886:335 *27338:C1 3.30337e-05 -*RES -1 *27337:X *27338:C1 32.6536 -*END - -*D_NET *2902 0.00190043 -*CONN -*I *27343:B I *D sky130_fd_sc_hd__or4_1 -*I *27338:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27343:B 0.000564765 -2 *27338:X 0.000564765 -3 *27343:B *27342:A1 4.04195e-05 -4 *27343:B *27691:A1 3.97677e-05 -5 *27343:B *27691:A2 3.11506e-05 -6 *27343:B *2904:11 0.000387003 -7 *27343:B *3742:50 0.000258063 -8 *27343:B *5645:119 1.44983e-05 -*RES -1 *27338:X *27343:B 27.7786 -*END - -*D_NET *2903 0.0018075 -*CONN -*I *27340:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *27339:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27340:B1 0.000349945 -2 *27339:X 0.000349945 -3 *27340:B1 *28492:CLK 0.000305314 -4 *27340:B1 *4003:30 9.56706e-05 -5 *27340:B1 *5629:166 0.000511639 -6 *2761:168 *27340:B1 1.90936e-05 -7 *2883:231 *27340:B1 0.000175892 -*RES -1 *27339:X *27340:B1 36.1714 -*END - -*D_NET *2904 0.0050689 -*CONN -*I *27343:C I *D sky130_fd_sc_hd__or4_1 -*I *27340:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *27343:C 0 -2 *27340:X 0.000872437 -3 *2904:11 0.000872437 -4 *2904:11 *27343:D 2.59355e-05 -5 *2904:11 *27691:A2 9.41642e-05 -6 *2904:11 *3233:13 0.000239542 -7 *2904:11 *3886:79 1.4219e-05 -8 *2904:11 *4003:30 0.000549689 -9 *2904:11 *5629:166 0.00163764 -10 *2904:11 *5645:119 4.22431e-05 -11 *27343:B *2904:11 0.000387003 -12 *1267:65 *2904:11 6.35864e-05 -13 *1270:41 *2904:11 3.00053e-05 -14 *1549:24 *2904:11 0.000142879 -15 *2853:262 *2904:11 9.71197e-05 -*RES -1 *27340:X *2904:11 44.8536 -2 *2904:11 *27343:C 9.3 -*END - -*D_NET *2905 0.0079188 -*CONN -*I *27342:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27341:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27342:C1 0.000624427 -2 *27341:X 0.000866873 -3 *2905:14 0.0014913 -4 *27342:C1 *27342:A1 1.95067e-05 -5 *27342:C1 *27342:B2 0.0010303 -6 *27342:C1 *28539:SET_B 4.28365e-05 -7 *27342:C1 *3679:59 0.001458 -8 *27342:C1 *3848:40 0.000106445 -9 *27342:C1 *5708:164 1.90936e-05 -10 *27342:C1 *6351:26 1.721e-05 -11 *2905:14 *27672:B2 0.000146264 -12 *2905:14 *3848:40 0.00068684 -13 *2905:14 *5603:28 2.86824e-05 -14 *2905:14 *5708:164 0.000110498 -15 *2905:14 *6351:26 0.000485115 -16 *26958:S *27342:C1 0.000218019 -17 *26958:S *2905:14 0.000205467 -18 *2894:244 *2905:14 0.000175892 -19 *2894:269 *2905:14 7.17774e-05 -20 *2894:303 *27342:C1 0.000114258 -*RES -1 *27341:X *2905:14 31.9964 -2 *2905:14 *27342:C1 35.0321 -*END - -*D_NET *2906 0.00449673 -*CONN -*I *27343:D I *D sky130_fd_sc_hd__or4_1 -*I *27342:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27343:D 0.000825667 -2 *27342:X 0.000825667 -3 *27343:D *27342:A1 0.0010496 -4 *27343:D *2907:13 3.04335e-05 -5 *27343:D *5663:126 0.000196602 -6 *27343:D *5836:45 0.0011722 -7 *27342:A2 *27343:D 3.58774e-05 -8 *2859:242 *27343:D 0.000125355 -9 *2866:270 *27343:D 1.44983e-05 -10 *2888:213 *27343:D 0.000194887 -11 *2904:11 *27343:D 2.59355e-05 -*RES -1 *27342:X *27343:D 47.6536 -*END - -*D_NET *2907 0.00581518 -*CONN -*I *27353:B1 I *D sky130_fd_sc_hd__o221a_1 -*I *27343:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27353:B1 0 -2 *27343:X 0.00102579 -3 *2907:13 0.00102579 -4 *2907:13 *25249:A1 0.0002361 -5 *2907:13 *26958:A0 0.000136958 -6 *2907:13 *3664:57 3.10885e-05 -7 *2907:13 *3739:131 0.00023453 -8 *2907:13 *3821:19 0.00200171 -9 *2907:13 *5702:84 1.40849e-05 -10 *27343:D *2907:13 3.04335e-05 -11 *27353:A2 *2907:13 2.59355e-05 -12 *27353:C1 *2907:13 3.00177e-05 -13 *1556:26 *2907:13 0.000172519 -14 *2768:69 *2907:13 2.8761e-05 -15 *2872:260 *2907:13 0.000558537 -16 *2892:186 *2907:13 0.000262941 -*RES -1 *27343:X *2907:13 47.9786 -2 *2907:13 *27353:B1 9.3 -*END - -*D_NET *2908 0.00388871 -*CONN -*I *27345:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27344:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27345:C1 0.000596401 -2 *27344:X 0.000596401 -3 *27345:C1 *25340:B2 5.46436e-05 -4 *27345:C1 *27344:A1 9.41642e-05 -5 *27345:C1 *27345:B2 7.09445e-05 -6 *27345:C1 *3306:6 0.00123722 -7 *27345:C1 *3716:12 0.00123894 -*RES -1 *27344:X *27345:C1 46.5464 -*END - -*D_NET *2909 0.00244356 -*CONN -*I *27352:A I *D sky130_fd_sc_hd__or4_1 -*I *27345:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27352:A 0.000684128 -2 *27345:X 0.000684128 -3 *27352:A *27345:B2 0.00079599 -4 *27352:A *2913:8 0.000125731 -5 *27352:A *3886:78 0.000137568 -6 *27352:A *3963:87 1.17968e-05 -7 *2768:56 *27352:A 4.22135e-06 -*RES -1 *27345:X *27352:A 37.475 -*END - -*D_NET *2910 0.00196023 -*CONN -*I *27347:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27346:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27347:C1 0.000351894 -2 *27346:X 0.000351894 -3 *27347:C1 *3924:73 0.000518579 -4 *27347:C1 *5655:201 0.000518579 -5 *1456:134 *27347:C1 0.000219289 -*RES -1 *27346:X *27347:C1 36.5821 -*END - -*D_NET *2911 0.00369836 -*CONN -*I *27352:B I *D sky130_fd_sc_hd__or4_1 -*I *27347:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27352:B 0.000551342 -2 *27347:X 0.000551342 -3 *27352:B *3992:54 0.00112481 -4 *27352:B *5614:37 0.000216755 -5 *2784:67 *27352:B 0.00112615 -6 *2882:206 *27352:B 0.000127968 -*RES -1 *27347:X *27352:B 44.475 -*END - -*D_NET *2912 0.000546572 -*CONN -*I *27349:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27348:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27349:C1 9.96371e-05 -2 *27348:X 9.96371e-05 -3 *27349:C1 *3992:54 0.00014691 -4 *1185:88 *27349:C1 5.49995e-05 -5 *2784:67 *27349:C1 0.000145388 -*RES -1 *27348:X *27349:C1 30.0821 -*END - -*D_NET *2913 0.00761806 -*CONN -*I *27352:C I *D sky130_fd_sc_hd__or4_1 -*I *27349:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27352:C 0 -2 *27349:X 0 -3 *2913:8 0.00101366 -4 *2913:5 0.00101366 -5 *2913:8 *27345:B2 8.5662e-05 -6 *2913:8 *28752:CLK 1.94945e-05 -7 *2913:8 *3233:26 0.000249272 -8 *2913:8 *3872:86 0.000380611 -9 *2913:8 *3886:67 0.000828785 -10 *2913:8 *3963:87 0.00070088 -11 *2913:8 *5643:66 0.00125353 -12 *2913:8 *5657:241 0.00136992 -13 *27352:A *2913:8 0.000125731 -14 *1242:88 *2913:8 0.000258797 -15 *1281:125 *2913:8 4.11218e-05 -16 *1507:20 *2913:8 0.00015134 -17 *2768:56 *2913:8 4.038e-06 -18 *2794:141 *2913:8 0.000121549 -*RES -1 *27349:X *2913:5 13.8 -2 *2913:5 *2913:8 42.5714 -3 *2913:8 *27352:C 9.3 -*END - -*D_NET *2914 0.00136184 -*CONN -*I *27351:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27350:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27351:C1 0.000233113 -2 *27350:X 0.000233113 -3 *27351:C1 *27351:B2 1.24368e-05 -4 *27351:C1 *3716:12 0.000125724 -5 *27351:C1 *4115:30 0.000127439 -6 *1269:213 *27351:C1 0.000165123 -7 *1507:20 *27351:C1 0.000464893 -*RES -1 *27350:X *27351:C1 33.8857 -*END - -*D_NET *2915 0.00327183 -*CONN -*I *27352:D I *D sky130_fd_sc_hd__or4_1 -*I *27351:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27352:D 0.00040039 -2 *27351:X 0.00040039 -3 *27352:D *3963:93 2.07371e-05 -4 *27352:D *4054:26 0.000947629 -5 *27352:D *4069:112 0.000135028 -6 *27351:B1 *27352:D 3.34295e-05 -7 *1274:99 *27352:D 0.000135028 -8 *2784:67 *27352:D 0.00103177 -9 *2882:206 *27352:D 0.000167422 -*RES -1 *27351:X *27352:D 42.4393 -*END - -*D_NET *2916 0.00177699 -*CONN -*I *27353:B2 I *D sky130_fd_sc_hd__o221a_1 -*I *27352:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27353:B2 0.000493727 -2 *27352:X 0.000493727 -3 *27353:B2 *3756:18 0.000122933 -4 *27353:B2 *3821:19 0.000122933 -5 *27353:B2 *5614:37 0.000543665 -*RES -1 *27352:X *27353:B2 34.7071 -*END - -*D_NET *2917 0.029891 -*CONN -*I *27354:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *27353:X O *D sky130_fd_sc_hd__o221a_1 -*CAP -1 *27354:B1 0.0004333 -2 *27353:X 0.000776197 -3 *2917:26 0.00263068 -4 *2917:24 0.00359149 -5 *2917:16 0.00217031 -6 *27354:B1 *3782:66 0.000114625 -7 *27354:B1 *5386:11 2.48858e-05 -8 *2917:16 *26958:A0 0.000178847 -9 *2917:16 *3623:12 0.000123288 -10 *2917:16 *3730:28 0.000507182 -11 *2917:16 *3899:40 0.00135724 -12 *2917:16 *4146:79 9.41642e-05 -13 *2917:16 *5603:43 9.60875e-05 -14 *2917:24 *27363:C1 0.000711622 -15 *2917:24 *3245:14 4.60593e-05 -16 *2917:24 *3730:28 0.000102144 -17 *2917:24 *3796:70 0.00169398 -18 *2917:24 *3899:40 0.000851765 -19 *2917:24 *5657:232 0.00035515 -20 *2917:26 *27366:B2 3.73407e-05 -21 *2917:26 *27671:B2 0.000239282 -22 *2917:26 *27695:B1 2.15809e-05 -23 *2917:26 *28692:D 0.000544986 -24 *2917:26 *3245:14 0.00323786 -25 *2917:26 *3782:66 0.000165094 -26 *2917:26 *3782:76 0.00166459 -27 *2917:26 *3782:98 0.000246304 -28 *2917:26 *3796:62 0.000460242 -29 *2917:26 *3796:68 0.000180096 -30 *2917:26 *3796:70 1.79038e-05 -31 *2917:26 *3873:90 0.000754029 -32 *2917:26 *5638:62 0.000121573 -33 *2917:26 *5653:28 0.000459485 -34 *2917:26 *5754:82 0.000441538 -35 *2917:26 *5754:102 2.11419e-05 -36 *6628:DIODE *2917:24 2.06178e-05 -37 *26849:A *27354:B1 0.000123126 -38 *27333:A2 *27354:B1 4.73891e-05 -39 *30476:A *2917:16 0.000213004 -40 *1252:83 *27354:B1 2.1479e-05 -41 *1275:94 *2917:24 2.04825e-05 -42 *1277:161 *2917:26 0.000390682 -43 *2777:59 *27354:B1 0.000346699 -44 *2777:71 *27354:B1 0.000262291 -45 *2777:71 *2917:26 0.000296133 -46 *2780:25 *2917:26 0.000128565 -47 *2852:40 *2917:24 2.02794e-05 -48 *2852:61 *2917:24 0.00148236 -49 *2875:196 *2917:26 0.000732072 -50 *2886:188 *2917:24 0.000631793 -51 *2886:225 *2917:24 0.000143887 -52 *2889:22 *27354:B1 0 -53 *2891:11 *27354:B1 7.59402e-06 -54 *2891:104 *27354:B1 0.000164043 -55 *2891:111 *2917:26 0.000263808 -56 *2891:165 *2917:16 0.000102658 -*RES -1 *27353:X *2917:16 44.0589 -2 *2917:16 *2917:24 45.0357 -3 *2917:24 *2917:26 72.9821 -4 *2917:26 *27354:B1 32.8191 -*END - -*D_NET *2918 0.00590078 -*CONN -*I *27355:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27354:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *27355:A1 0 -2 *27354:X 0.00127122 -3 *2918:10 0.00127122 -4 *2918:10 *25321:A1 3.05946e-05 -5 *2918:10 *2958:54 2.87037e-05 -6 *2918:10 *2958:56 0.00151027 -7 *2918:10 *3796:62 0 -8 *2918:10 *3860:8 9.59532e-06 -9 *2918:10 *3873:73 0.000790397 -10 *2918:10 *5667:312 5.24684e-05 -11 *2918:10 *5700:111 0.000352923 -12 *2889:198 *2918:10 0.000241098 -13 *2894:21 *2918:10 0.000342302 -*RES -1 *27354:X *2918:10 47.6393 -2 *2918:10 *27355:A1 9.3 -*END - -*D_NET *2919 0.00258434 -*CONN -*I *27357:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27356:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27357:C1 0.000429872 -2 *27356:X 0.000429872 -3 *27357:C1 *27714:A1 9.41642e-05 -4 *27357:C1 *27717:A1 0.000120829 -5 *27357:C1 *5657:198 0.000728187 -6 *2886:175 *27357:C1 0.000659578 -7 *2886:188 *27357:C1 4.15161e-05 -8 *2891:111 *27357:C1 1.93629e-05 -9 *2895:210 *27357:C1 6.09547e-05 -*RES -1 *27356:X *27357:C1 39.6536 -*END - -*D_NET *2920 0.0159836 -*CONN -*I *27364:A I *D sky130_fd_sc_hd__or4_1 -*I *27357:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27364:A 0.0004775 -2 *27357:X 0.000235716 -3 *2920:8 0.00228391 -4 *2920:7 0.00204212 -5 *27364:A *27364:C 0.000300412 -6 *27364:A *27375:B1 1.98839e-05 -7 *27364:A *3848:40 0.000326956 -8 *27364:A *5601:11 0.000709049 -9 *27364:A *5651:248 9.90819e-06 -10 *2920:7 *27357:A1 0.00030267 -11 *2920:8 *27366:A1 0.000452705 -12 *2920:8 *27711:B2 0.000318492 -13 *2920:8 *27713:A1 7.6644e-05 -14 *2920:8 *27713:B1 2.06112e-05 -15 *2920:8 *27714:B2 0.000694953 -16 *2920:8 *28620:D 0.000196269 -17 *2920:8 *2958:38 0.00100845 -18 *2920:8 *2958:47 0.000258192 -19 *2920:8 *2958:48 0.000495996 -20 *2920:8 *3835:58 0.000382872 -21 *2920:8 *3848:61 2.04825e-05 -22 *2920:8 *3873:79 0.000533179 -23 *2920:8 *5635:79 0.000234873 -24 *2920:8 *5650:42 0.00113931 -25 *2920:8 *5694:71 1.90936e-05 -26 *2920:8 *5700:98 4.00349e-05 -27 *1277:161 *27364:A 0.000292742 -28 *1288:229 *27364:A 0.00070712 -29 *1438:28 *2920:8 0.000913053 -30 *1527:25 *2920:8 0.000500059 -31 *2760:91 *27364:A 0.000266869 -32 *2850:179 *2920:8 0.000546263 -33 *2864:164 *2920:8 0.000157192 -*RES -1 *27357:X *2920:7 16.8 -2 *2920:7 *2920:8 60.2321 -3 *2920:8 *27364:A 37.7107 -*END - -*D_NET *2921 0.00309378 -*CONN -*I *27359:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27358:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27359:C1 0.000619344 -2 *27358:X 0.000619344 -3 *27359:C1 *25237:A1 0.000143486 -4 *27359:C1 *3899:38 0.000788875 -5 *27359:C1 *5605:171 0.000301016 -6 *27359:C1 *5663:126 0.000607528 -7 *2882:277 *27359:C1 1.41885e-05 -*RES -1 *27358:X *27359:C1 41.35 -*END - -*D_NET *2922 0.0257946 -*CONN -*I *27364:B I *D sky130_fd_sc_hd__or4_1 -*I *27359:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27364:B 0 -2 *27359:X 0.00341795 -3 *2922:36 0.00104625 -4 *2922:27 0.00180192 -5 *2922:20 0.00417362 -6 *2922:20 *25238:B2 1.09611e-05 -7 *2922:20 *26966:A0 3.17148e-05 -8 *2922:20 *26966:A1 9.06541e-05 -9 *2922:20 *27359:A2 4.28365e-05 -10 *2922:20 *27369:A2 0.000170924 -11 *2922:20 *27371:C1 0 -12 *2922:20 *28475:SET_B 6.58294e-06 -13 *2922:20 *2932:6 0.000321403 -14 *2922:20 *2958:34 2.07371e-05 -15 *2922:20 *3250:39 8.85602e-05 -16 *2922:20 *3678:60 4.28365e-05 -17 *2922:20 *3678:76 0.000605497 -18 *2922:20 *3730:21 0.000258066 -19 *2922:20 *3912:27 2.98245e-05 -20 *2922:20 *3978:65 0.00151086 -21 *2922:20 *4107:40 2.04866e-05 -22 *2922:20 *5589:40 3.39461e-05 -23 *2922:20 *5764:127 9.11825e-07 -24 *2922:20 *5764:131 5.4869e-05 -25 *2922:20 *5764:144 2.71873e-05 -26 *2922:20 *5873:232 1.8995e-06 -27 *2922:27 *25318:A1 0.000125355 -28 *2922:27 *26966:A0 2.8046e-05 -29 *2922:27 *2958:34 3.64479e-05 -30 *2922:27 *4107:40 0.0018137 -31 *2922:27 *5663:125 0.000942882 -32 *2922:27 *5764:146 0.000114697 -33 *2922:27 *5764:156 0.00014833 -34 *2922:36 *27371:B2 2.06178e-05 -35 *2922:36 *27371:C1 8.9591e-05 -36 *2922:36 *2932:34 0.00151661 -37 *2922:36 *3250:17 1.14338e-05 -38 *2922:36 *3886:51 0 -39 *2922:36 *3886:55 0 -40 *2922:36 *3978:66 0.00248907 -41 *2922:36 *4068:41 0.000377272 -42 *2922:36 *5600:132 3.594e-05 -43 *2922:36 *5630:36 6.28948e-05 -44 *27335:B1 *2922:20 0.000101814 -45 *1261:41 *2922:27 0.0006874 -46 *1261:163 *2922:20 4.95962e-05 -47 *1265:142 *2922:20 2.23047e-05 -48 *1269:128 *2922:20 0.00118713 -49 *1269:231 *2922:27 0.000259267 -50 *1277:176 *2922:36 2.996e-06 -51 *2778:155 *2922:20 0.000216092 -52 *2877:349 *2922:20 7.84995e-06 -53 *2882:276 *2922:20 2.89643e-06 -54 *2889:92 *2922:20 0.0015163 -55 *2894:237 *2922:36 0.000117529 -*RES -1 *27359:X *2922:20 40.2583 -2 *2922:20 *2922:27 35.3929 -3 *2922:27 *2922:36 44.9225 -4 *2922:36 *27364:B 9.3 -*END - -*D_NET *2923 0.00320637 -*CONN -*I *27361:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *27360:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27361:B1 0.000602677 -2 *27360:X 0.000602677 -3 *27361:B1 *27371:C1 0.00011994 -4 *27361:B1 *3250:39 0.000896495 -5 *27361:B1 *5600:151 0.000219249 -6 *27361:B1 *5600:173 0.000686496 -7 *27360:B1 *27361:B1 5.9547e-05 -8 *2859:223 *27361:B1 1.92905e-05 -*RES -1 *27360:X *27361:B1 42.6714 -*END - -*D_NET *2924 0.0137505 -*CONN -*I *27364:C I *D sky130_fd_sc_hd__or4_1 -*I *27361:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *27364:C 0.000372333 -2 *27361:X 4.36033e-05 -3 *2924:14 0.00302463 -4 *2924:7 0.0026959 -5 *27364:C *27374:B 2.26424e-05 -6 *27364:C *27374:C 9.22313e-05 -7 *27364:C *3848:40 0.000247315 -8 *27364:C *5596:47 1.42701e-05 -9 *27364:C *5651:248 9.59948e-05 -10 *27364:C *6351:32 8.85664e-07 -11 *2924:14 *27371:C1 0.000118592 -12 *2924:14 *28924:A 0.00142776 -13 *2924:14 *2932:34 0.00153111 -14 *2924:14 *3250:26 0.00254957 -15 *2924:14 *3259:25 0.000302866 -16 *2924:14 *4068:31 0.000153789 -17 *2924:14 *5630:36 0.000257075 -18 *2924:14 *5651:248 6.35158e-05 -19 *27364:A *27364:C 0.000300412 -20 *27371:B1 *2924:14 0 -21 *2760:91 *27364:C 0.000124783 -22 *2852:77 *2924:14 0 -23 *2866:270 *2924:14 0.000205374 -24 *2872:260 *2924:7 5.33005e-05 -25 *2894:237 *2924:14 5.25135e-05 -*RES -1 *27361:X *2924:7 14.3357 -2 *2924:7 *2924:14 49.468 -3 *2924:14 *27364:C 27.909 -*END - -*D_NET *2925 0.00230149 -*CONN -*I *27363:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27362:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27363:C1 0.00040617 -2 *27362:X 0.00040617 -3 *27363:C1 *27363:B2 5.49489e-05 -4 *27363:C1 *3245:13 7.20217e-06 -5 *27363:C1 *3245:14 0.000634223 -6 *27363:C1 *3899:40 3.53382e-05 -7 *2886:225 *27363:C1 4.58194e-05 -8 *2917:24 *27363:C1 0.000711622 -*RES -1 *27362:X *27363:C1 38.85 -*END - -*D_NET *2926 0.00115291 -*CONN -*I *27364:D I *D sky130_fd_sc_hd__or4_1 -*I *27363:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27364:D 0.000452887 -2 *27363:X 0.000452887 -3 *27364:D *3835:58 7.83587e-05 -4 *2760:91 *27364:D 5.2064e-05 -5 *2844:220 *27364:D 4.00679e-05 -6 *2850:179 *27364:D 7.6644e-05 -*RES -1 *27363:X *27364:D 33.6179 -*END - -*D_NET *2927 0.00275119 -*CONN -*I *27375:B1 I *D sky130_fd_sc_hd__o221a_1 -*I *27364:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27375:B1 0.000758211 -2 *27364:X 0.000758211 -3 *27375:B1 *27375:A1 0.000424908 -4 *27375:B1 *3205:230 0.000382133 -5 *27364:A *27375:B1 1.98839e-05 -6 *2754:20 *27375:B1 9.61525e-05 -7 *2760:91 *27375:B1 0.000217184 -8 *2859:199 *27375:B1 9.45051e-05 -*RES -1 *27364:X *27375:B1 40.1893 -*END - -*D_NET *2928 0.000932813 -*CONN -*I *27366:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27365:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27366:C1 0.000283628 -2 *27365:X 0.000283628 -3 *27366:C1 *3796:70 0.00011746 -4 *1639:28 *27366:C1 0.000120267 -5 *2864:164 *27366:C1 0.00012783 -*RES -1 *27365:X *27366:C1 31.8321 -*END - -*D_NET *2929 0.00530699 -*CONN -*I *27374:A I *D sky130_fd_sc_hd__or4_1 -*I *27366:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27374:A 0 -2 *27366:X 0.00114769 -3 *2929:13 0.00114769 -4 *2929:13 *27374:C 0.000216755 -5 *2929:13 *2936:11 0.000135028 -6 *2929:13 *3886:51 0.00123745 -7 *2929:13 *5748:36 3.60841e-05 -8 *2929:13 *6225:116 0.0012383 -9 *2844:220 *2929:13 0.000147993 -*RES -1 *27366:X *2929:13 44.2286 -2 *2929:13 *27374:A 9.3 -*END - -*D_NET *2930 0.000660191 -*CONN -*I *27368:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27367:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *27368:B1 0.000109297 -2 *27367:X 0.000109297 -3 *27368:B1 *27368:B2 4.58194e-05 -4 *27368:B1 *3678:47 0.000102545 -5 *27368:B1 *4081:34 0.000100823 -6 *27368:B1 *5692:47 0.000175892 -7 *2779:80 *27368:B1 1.65169e-05 -*RES -1 *27367:X *27368:B1 30.7071 -*END - -*D_NET *2931 0.00103629 -*CONN -*I *27369:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27368:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27369:C1 0.00015715 -2 *27368:X 0.00015715 -3 *27369:C1 *3691:20 0.000334344 -4 *27369:C1 *5692:41 5.33005e-05 -5 *2888:232 *27369:C1 0.000334344 -*RES -1 *27368:X *27369:C1 32.5107 -*END - -*D_NET *2932 0.027911 -*CONN -*I *27374:B I *D sky130_fd_sc_hd__or4_1 -*I *27369:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27374:B 0.000584417 -2 *27369:X 0 -3 *2932:34 0.00192627 -4 *2932:20 0.0022501 -5 *2932:6 0.00257367 -6 *2932:5 0.00166542 -7 *27374:B *27374:C 0.000538996 -8 *27374:B *5589:20 2.84109e-05 -9 *27374:B *5651:248 6.05161e-06 -10 *27374:B *6351:32 0.00058879 -11 *2932:6 *26904:A1 0.000328158 -12 *2932:6 *2933:12 0.000153245 -13 *2932:6 *3678:60 0.000986387 -14 *2932:6 *3730:21 0.00169195 -15 *2932:6 *3874:76 0.000475735 -16 *2932:6 *3951:20 0.000980473 -17 *2932:6 *3951:28 0.000549138 -18 *2932:6 *4107:40 0.00182276 -19 *2932:6 *5661:267 0.000338492 -20 *2932:6 *5873:304 0.000122697 -21 *2932:6 *6225:139 0.000167635 -22 *2932:20 *25182:B2 7.90803e-05 -23 *2932:20 *27342:A1 9.41642e-05 -24 *2932:20 *3743:42 0.000180903 -25 *2932:20 *3835:51 0.000687755 -26 *2932:20 *4068:24 0.000112656 -27 *2932:20 *4068:31 2.09897e-05 -28 *2932:20 *4069:74 0.000223592 -29 *2932:20 *4107:40 0.00127283 -30 *2932:20 *5600:151 0.000107625 -31 *2932:20 *6225:124 0.000403316 -32 *2932:34 *25200:B2 2.09897e-05 -33 *2932:34 *27371:A1 8.5117e-05 -34 *2932:34 *27371:B2 2.06178e-05 -35 *2932:34 *27371:C1 8.77613e-05 -36 *2932:34 *28924:A 0.000553784 -37 *2932:34 *3886:55 0.000119604 -38 *2932:34 *3978:66 0.000671909 -39 *2932:34 *4068:31 9.12602e-05 -40 *2932:34 *4146:11 5.33005e-05 -41 *2932:34 *5589:20 1.90936e-05 -42 *2932:34 *5614:35 6.41033e-05 -43 *2932:34 *5781:42 0.000185916 -44 *27364:C *27374:B 2.26424e-05 -45 *1268:53 *27374:B 7.03934e-05 -46 *1272:63 *2932:6 0.000480757 -47 *1274:99 *2932:20 7.6644e-05 -48 *1274:99 *2932:34 0.00011146 -49 *1286:168 *2932:20 0.000425531 -50 *2844:220 *27374:B 0.000219289 -51 *2885:175 *2932:6 0.000199942 -52 *2922:20 *2932:6 0.000321403 -53 *2922:36 *2932:34 0.00151661 -54 *2924:14 *2932:34 0.00153111 -*RES -1 *27369:X *2932:5 13.8 -2 *2932:5 *2932:6 57.8036 -3 *2932:6 *2932:20 49.4821 -4 *2932:20 *2932:34 45.6964 -5 *2932:34 *27374:B 35.6929 -*END - -*D_NET *2933 0.0323473 -*CONN -*I *27371:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27370:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27371:C1 0.00587962 -2 *27370:X 0.00115751 -3 *2933:12 0.00703714 -4 *27371:C1 *28924:A 0.000111243 -5 *27371:C1 *3250:26 0.000106109 -6 *27371:C1 *3250:39 0.00105077 -7 *27371:C1 *3259:25 0 -8 *27371:C1 *3580:12 0.000239229 -9 *27371:C1 *3678:76 0 -10 *27371:C1 *3978:66 0.00044227 -11 *27371:C1 *4068:16 0.000262798 -12 *27371:C1 *4146:27 6.20441e-06 -13 *27371:C1 *4146:54 2.04745e-05 -14 *27371:C1 *5589:31 0.00256108 -15 *27371:C1 *5589:37 0.000449654 -16 *27371:C1 *5589:40 0.000173398 -17 *27371:C1 *5593:15 0 -18 *27371:C1 *5600:151 0.00129467 -19 *27371:C1 *5600:173 0.00011994 -20 *27371:C1 *5708:164 8.63692e-05 -21 *27371:C1 *5764:144 0 -22 *27371:C1 *5764:146 0 -23 *27371:C1 *5764:156 0 -24 *27371:C1 *5824:213 0.000100257 -25 *27371:C1 *5824:219 0.00218468 -26 *27371:C1 *5824:265 3.50637e-05 -27 *27371:C1 *6225:135 0.000232309 -28 *2933:12 *27699:A2 0.000194684 -29 *2933:12 *27709:A 1.31516e-05 -30 *2933:12 *3678:60 0.000455743 -31 *2933:12 *3951:18 5.15925e-05 -32 *2933:12 *3951:20 0.000243871 -33 *2933:12 *5873:304 0.00085684 -34 *25186:A2 *2933:12 0.00014341 -35 *25190:B1 *27371:C1 0.000880774 -36 *27361:B1 *27371:C1 0.00011994 -37 *27370:B1 *2933:12 5.52238e-05 -38 *1261:168 *2933:12 0.00011537 -39 *1269:128 *2933:12 0.000168164 -40 *1293:149 *2933:12 9.21426e-05 -41 *1524:37 *27371:C1 0.000935696 -42 *2760:163 *2933:12 0.00180234 -43 *2782:127 *27371:C1 0 -44 *2848:265 *27371:C1 0.00021716 -45 *2860:259 *27371:C1 0.000464087 -46 *2894:237 *27371:C1 0.00153712 -47 *2894:303 *27371:C1 0 -48 *2922:20 *27371:C1 0 -49 *2922:36 *27371:C1 8.9591e-05 -50 *2924:14 *27371:C1 0.000118592 -51 *2932:6 *2933:12 0.000153245 -52 *2932:34 *27371:C1 8.77613e-05 -*RES -1 *27370:X *2933:12 48.9696 -2 *2933:12 *27371:C1 47.957 -*END - -*D_NET *2934 0.00307972 -*CONN -*I *27374:C I *D sky130_fd_sc_hd__or4_1 -*I *27371:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27374:C 0.000515643 -2 *27371:X 0.000515643 -3 *27374:C *27371:A2 4.58194e-05 -4 *27374:C *3848:40 0.000151904 -5 *27374:C *5651:248 0.000763739 -6 *27374:C *6351:32 2.0587e-05 -7 *27364:C *27374:C 9.22313e-05 -8 *27374:B *27374:C 0.000538996 -9 *2844:220 *27374:C 0.000218404 -10 *2929:13 *27374:C 0.000216755 -*RES -1 *27371:X *27374:C 42.3857 -*END - -*D_NET *2935 0.0032007 -*CONN -*I *27373:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27372:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27373:C1 0.000660326 -2 *27372:X 0.000660326 -3 *27373:C1 *27372:A1 2.23592e-05 -4 *27373:C1 *3558:213 1.90936e-05 -5 *27373:C1 *3666:125 9.41642e-05 -6 *27373:C1 *3822:32 0.00104256 -7 *27373:C1 *4030:48 2.9353e-05 -8 *27372:A2 *27373:C1 3.04394e-05 -9 *1250:234 *27373:C1 2.54304e-06 -10 *2788:22 *27373:C1 0.000336175 -11 *2859:162 *27373:C1 0.000303363 -*RES -1 *27372:X *27373:C1 43.975 -*END - -*D_NET *2936 0.00931848 -*CONN -*I *27374:D I *D sky130_fd_sc_hd__or4_1 -*I *27373:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27374:D 0 -2 *27373:X 0.000516412 -3 *2936:11 0.00108479 -4 *2936:7 0.0016012 -5 *2936:7 *3574:75 0.000678306 -6 *2936:11 *27372:A1 0.000228785 -7 *2936:11 *3153:143 0.000862674 -8 *2936:11 *3259:25 0.000358471 -9 *2936:11 *3665:38 0.00224223 -10 *2936:11 *5654:65 0.000267814 -11 *1501:30 *2936:11 0.00110543 -12 *1527:6 *2936:11 3.54492e-05 -13 *1651:9 *2936:11 4.98872e-05 -14 *2844:220 *2936:11 5.96516e-05 -15 *2859:172 *2936:11 5.648e-05 -16 *2859:199 *2936:11 3.58809e-05 -17 *2929:13 *2936:11 0.000135028 -*RES -1 *27373:X *2936:7 20.4964 -2 *2936:7 *2936:11 40.9107 -3 *2936:11 *27374:D 9.3 -*END - -*D_NET *2937 0.00227045 -*CONN -*I *27375:B2 I *D sky130_fd_sc_hd__o221a_1 -*I *27374:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27375:B2 0.000653787 -2 *27374:X 0.000653787 -3 *27375:B2 *3205:230 0.000301016 -4 *27375:B2 *3538:22 0.000319562 -5 *27375:B2 *3822:32 7.89601e-05 -6 *2844:220 *27375:B2 0.000263341 -*RES -1 *27374:X *27375:B2 37.4393 -*END - -*D_NET *2938 0.0293791 -*CONN -*I *27376:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *27375:X O *D sky130_fd_sc_hd__o221a_1 -*CAP -1 *27376:B1 0.000826434 -2 *27375:X 0 -3 *2938:17 0.00182027 -4 *2938:11 0.00223665 -5 *2938:6 0.00375726 -6 *2938:5 0.00251444 -7 *27376:B1 *3938:38 0.00115006 -8 *27376:B1 *3938:40 0.000197054 -9 *27376:B1 *4055:10 0.000146983 -10 *27376:B1 *4055:19 0.000179118 -11 *27376:B1 *5592:45 4.32258e-05 -12 *27376:B1 *5655:16 9.70328e-05 -13 *27376:B1 *6225:114 0.000472015 -14 *2938:6 *25278:B1 6.0038e-05 -15 *2938:6 *27147:A0 9.15621e-06 -16 *2938:6 *27162:A0 0.000438591 -17 *2938:6 *27769:A1 3.06406e-05 -18 *2938:6 *28803:RESET_B 0.000319495 -19 *2938:6 *3913:52 0.000149254 -20 *2938:6 *5605:60 0.000108935 -21 *2938:6 *5649:116 5.31122e-05 -22 *2938:6 *5700:308 4.22416e-05 -23 *2938:6 *5700:329 0.000634122 -24 *2938:6 *5718:313 0.000408378 -25 *2938:6 *5718:315 0.00379561 -26 *2938:6 *5718:345 1.1594e-05 -27 *2938:6 *5754:46 0.000108118 -28 *2938:11 *28801:CLK 0.000234727 -29 *2938:11 *31016:A 1.98839e-05 -30 *2938:11 *3316:17 1.44094e-05 -31 *2938:17 *29820:A 9.41642e-05 -32 *2938:17 *3938:40 0.00232001 -33 *2938:17 *5641:19 0.00218518 -34 *2938:17 *5646:17 9.56313e-05 -35 *2938:17 *5720:225 6.66441e-05 -36 *27373:A2 *2938:6 1.90936e-05 -37 *28801:D *2938:11 5.52238e-05 -38 *29841:A *27376:B1 0.00019205 -39 *2758:64 *2938:11 0.000513284 -40 *2758:105 *2938:6 4.32957e-05 -41 *2788:28 *2938:6 0.000120975 -42 *2788:39 *2938:6 0.000258797 -43 *2845:172 *2938:6 0.000509264 -44 *2845:180 *2938:6 0.000749449 -45 *2845:211 *2938:6 0.000234866 -46 *2848:191 *2938:6 1.94054e-05 -47 *2848:206 *2938:6 0.000550064 -48 *2856:25 *27376:B1 8.78763e-05 -49 *2867:158 *2938:6 8.27631e-05 -50 *2867:184 *2938:6 0.00122648 -51 *2874:20 *27376:B1 7.57673e-05 -*RES -1 *27375:X *2938:5 13.8 -2 *2938:5 *2938:6 80.875 -3 *2938:6 *2938:11 23.9107 -4 *2938:11 *2938:17 41.0357 -5 *2938:17 *27376:B1 35.7821 -*END - -*D_NET *2939 0.00584798 -*CONN -*I *27377:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27376:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *27377:A1 0 -2 *27376:X 0.00107078 -3 *2939:10 0.00107078 -4 *2939:10 *27376:A1 0.000207274 -5 *2939:10 *28660:RESET_B 0.000375995 -6 *2939:10 *28668:RESET_B 0.000303175 -7 *2939:10 *2958:56 0.00176956 -8 *2939:10 *3642:84 0.000312335 -9 *2939:10 *3796:60 1.02366e-05 -10 *2939:10 *5634:15 6.42095e-05 -11 *2939:10 *5720:215 9.41642e-05 -12 *2939:10 *5750:83 0.000194145 -13 *2939:10 *5757:43 0.00037532 -*RES -1 *27376:X *2939:10 46.0321 -2 *2939:10 *27377:A1 9.3 -*END - -*D_NET *2940 0.00264191 -*CONN -*I *27381:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27378:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27381:C1 0.000623682 -2 *27378:X 0.000623682 -3 *27381:C1 *3705:51 7.62864e-06 -4 *27381:C1 *3939:63 9.54798e-06 -5 *27381:C1 *3939:94 0.000659844 -6 *27381:C1 *5656:313 0.000283698 -7 *27381:C1 *5809:22 4.31193e-05 -8 *27381:A2 *27381:C1 0.000277882 -9 *2882:303 *27381:C1 0.000112823 -*RES -1 *27378:X *27381:C1 40.35 -*END - -*D_NET *2941 0.00119541 -*CONN -*I *27391:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27379:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27391:C1 0.000381646 -2 *27379:X 0.000381646 -3 *27391:C1 *6401:DIODE 9.41642e-05 -4 *27391:C1 *27391:A1 1.85392e-05 -5 *27391:C1 *27391:B2 0.000159378 -6 *27379:A2 *27391:C1 7.02611e-05 -7 *27391:A2 *27391:C1 4.32271e-06 -8 *27391:B1 *27391:C1 3.45371e-05 -9 *2852:142 *27391:C1 5.09202e-05 -*RES -1 *27379:X *27391:C1 24.8321 -*END - -*D_NET *2942 0.00129307 -*CONN -*I *27382:B1 I *D sky130_fd_sc_hd__a211o_1 -*I *27380:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27382:B1 0.000543489 -2 *27380:X 0.000543489 -3 *27382:B1 *27380:A2 3.58774e-05 -4 *27382:B1 *27380:B1 1.84865e-05 -5 *27382:B1 *27380:B2 6.77834e-05 -6 *27382:B1 *2943:11 2.59355e-05 -7 *27382:B1 *4108:98 1.00733e-05 -8 *27382:B1 *4108:123 3.45371e-05 -9 *27087:S *27382:B1 1.34013e-05 -*RES -1 *27380:X *27382:B1 25.3321 -*END - -*D_NET *2943 0.00814075 -*CONN -*I *27382:C1 I *D sky130_fd_sc_hd__a211o_1 -*I *27381:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27382:C1 0 -2 *27381:X 5.24289e-05 -3 *2943:11 0.00128074 -4 *2943:7 0.00133317 -5 *2943:7 *27381:A1 3.69047e-06 -6 *2943:7 *4147:57 1.58163e-05 -7 *2943:11 *27380:B2 5.49544e-05 -8 *2943:11 *27743:C 0.00011765 -9 *2943:11 *27743:D 0.000944465 -10 *2943:11 *3285:16 0.000377225 -11 *2943:11 *3718:92 0.00166659 -12 *2943:11 *3965:56 3.2976e-05 -13 *2943:11 *4082:70 6.59932e-05 -14 *2943:11 *4082:74 0.000134142 -15 *2943:11 *4134:78 1.90936e-05 -16 *2943:11 *4147:46 7.58103e-05 -17 *2943:11 *5595:32 1.94879e-05 -18 *2943:11 *5643:181 0.000246064 -19 *27382:B1 *2943:11 2.59355e-05 -20 *27428:A2 *2943:11 1.90936e-05 -21 *1506:18 *2943:11 0.000184636 -22 *2760:113 *2943:11 0.000246202 -23 *2760:135 *2943:11 4.26759e-05 -24 *2787:139 *2943:11 0.00106177 -25 *2867:249 *2943:11 0.000120151 -*RES -1 *27381:X *2943:7 14.3357 -2 *2943:7 *2943:11 44.625 -3 *2943:11 *27382:C1 9.3 -*END - -*D_NET *2944 0.00236339 -*CONN -*I *27395:A I *D sky130_fd_sc_hd__or3_1 -*I *27382:X O *D sky130_fd_sc_hd__a211o_1 -*CAP -1 *27395:A 0.000409095 -2 *27382:X 0.000409095 -3 *27395:A *3692:62 3.06878e-06 -4 *27395:A *3926:48 0.000682616 -5 *27382:A2 *27395:A 0.000308883 -6 *2844:277 *27395:A 0.000550631 -*RES -1 *27382:X *27395:A 38.7071 -*END - -*D_NET *2945 0.00110279 -*CONN -*I *27384:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27383:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27384:C1 0.00023175 -2 *27383:X 0.00023175 -3 *27384:C1 *3666:148 0.000127446 -4 *27384:C1 *5632:53 0.000125724 -5 *2871:298 *27384:C1 0.000386121 -*RES -1 *27383:X *27384:C1 33.0643 -*END - -*D_NET *2946 0.00157856 -*CONN -*I *27395:B I *D sky130_fd_sc_hd__or3_1 -*I *27384:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27395:B 0.000278133 -2 *27384:X 0.000278133 -3 *27395:B *3926:48 0.000445343 -4 *27395:B *3965:61 0.000136682 -5 *2844:277 *27395:B 0.000440269 -*RES -1 *27384:X *27395:B 34.85 -*END - -*D_NET *2947 0.000894147 -*CONN -*I *27386:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27385:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27386:C1 0.000171295 -2 *27385:X 0.000171295 -3 *27386:C1 *27385:B2 2.59355e-05 -4 *27386:C1 *3538:16 2.56233e-05 -5 *27386:C1 *5595:22 7.39931e-05 -6 *27386:C1 *5632:71 0.000313065 -7 *27385:A2 *27386:C1 0.00011294 -*RES -1 *27385:X *27386:C1 32.2071 -*END - -*D_NET *2948 0.00227575 -*CONN -*I *27395:C I *D sky130_fd_sc_hd__or3_1 -*I *27386:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27395:C 0.000437532 -2 *27386:X 0.000437532 -3 *27395:C *3692:62 1.34495e-05 -4 *27395:C *3926:48 0.000681086 -5 *27386:A2 *27395:C 1.58163e-05 -6 *2867:226 *27395:C 0.000227729 -7 *2867:249 *27395:C 0.000462608 -*RES -1 *27386:X *27395:C 38.7071 -*END - -*D_NET *2949 0.000742718 -*CONN -*I *27388:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27387:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27388:C1 0.000127589 -2 *27387:X 0.000127589 -3 *27388:C1 *3900:38 1.11775e-05 -4 *27388:B1 *27388:C1 0.000272863 -5 *2754:28 *27388:C1 0.000117913 -6 *2787:161 *27388:C1 8.55871e-05 -*RES -1 *27387:X *27388:C1 31.0107 -*END - -*D_NET *2950 0.00515164 -*CONN -*I *27394:A I *D sky130_fd_sc_hd__or4_1 -*I *27388:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27394:A 0 -2 *27388:X 0.000869931 -3 *2950:10 0.000869931 -4 *2950:10 *2956:8 0.00171039 -5 *2950:10 *3177:100 0.00069071 -6 *2950:10 *3281:8 0.000660139 -7 *2950:10 *3796:118 1.39737e-05 -8 *2950:10 *4030:28 3.43988e-06 -9 *1520:20 *2950:10 0.000198102 -10 *2787:161 *2950:10 0.000135028 -*RES -1 *27388:X *2950:10 43.1571 -2 *2950:10 *27394:A 9.3 -*END - -*D_NET *2951 0.00294628 -*CONN -*I *27390:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27389:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27390:C1 0.000641687 -2 *27389:X 0.000641687 -3 *27390:C1 *25172:B2 1.58163e-05 -4 *27390:C1 *27144:B 9.142e-05 -5 *27390:C1 *27390:A1 9.58126e-05 -6 *27390:C1 *27390:B2 5.33005e-05 -7 *27390:C1 *3692:58 1.0946e-05 -8 *27390:C1 *3887:41 0.000171683 -9 *27390:C1 *4134:22 0.000374789 -10 *27390:C1 *5819:20 4.936e-05 -11 *27390:C1 *5819:24 0.000783966 -12 *27389:A2 *27390:C1 1.58163e-05 -*RES -1 *27389:X *27390:C1 42.3857 -*END - -*D_NET *2952 0.00410024 -*CONN -*I *27394:B I *D sky130_fd_sc_hd__or4_1 -*I *27390:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27394:B 0.00139993 -2 *27390:X 0.00139993 -3 *27394:B *27390:A1 0.00024662 -4 *27394:B *2955:15 0.000138244 -5 *27394:B *3281:8 0.000269169 -6 *27394:B *3718:83 0.000180125 -7 *27394:B *5631:71 2.66241e-05 -8 *27394:B *5692:41 0.000423607 -9 *27390:A2 *27394:B 1.59935e-05 -*RES -1 *27390:X *27394:B 45.975 -*END - -*D_NET *2953 0.000684629 -*CONN -*I *27394:C I *D sky130_fd_sc_hd__or4_1 -*I *27391:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27394:C 0.000182191 -2 *27391:X 0.000182191 -3 *27394:C *2955:15 5.33005e-05 -4 *27391:B1 *27394:C 0.000241011 -5 *2852:142 *27394:C 2.59355e-05 -*RES -1 *27391:X *27394:C 22.0286 -*END - -*D_NET *2954 0.00133797 -*CONN -*I *27393:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27392:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27393:C1 0.000371509 -2 *27392:X 0.000371509 -3 *27393:C1 *25205:A1 3.10819e-05 -4 *27393:C1 *27392:A1 0.00038021 -5 *27393:C1 *3744:36 9.8045e-05 -6 *27393:C1 *4030:28 3.25078e-05 -7 *2864:301 *27393:C1 5.31101e-05 -*RES -1 *27392:X *27393:C1 33.0643 -*END - -*D_NET *2955 0.00558454 -*CONN -*I *27394:D I *D sky130_fd_sc_hd__or4_1 -*I *27393:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27394:D 0 -2 *27393:X 0.00122577 -3 *2955:15 0.00122577 -4 *2955:15 *25205:A1 4.72684e-05 -5 *2955:15 *3281:8 0.000473665 -6 *2955:15 *4030:28 0.00196793 -7 *27391:B1 *2955:15 0.000161604 -8 *27394:B *2955:15 0.000138244 -9 *27394:C *2955:15 5.33005e-05 -10 *2765:18 *2955:15 2.06178e-05 -11 *2856:349 *2955:15 5.71908e-05 -12 *2856:355 *2955:15 0.000100087 -13 *2894:331 *2955:15 0.000113089 -*RES -1 *27393:X *2955:15 49.55 -2 *2955:15 *27394:D 9.3 -*END - -*D_NET *2956 0.0135419 -*CONN -*I *27396:B1 I *D sky130_fd_sc_hd__o221a_2 -*I *27394:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27396:B1 0.000101846 -2 *27394:X 4.36033e-05 -3 *2956:8 0.00186858 -4 *2956:7 0.00181034 -5 *27396:B1 *27396:B2 5.49544e-05 -6 *2956:8 *27736:B2 0.000232046 -7 *2956:8 *3177:100 9.90819e-06 -8 *2956:8 *3538:16 4.04691e-06 -9 *2956:8 *3796:118 0.00252447 -10 *2956:8 *3822:24 5.58875e-06 -11 *2956:8 *3822:30 0.00215096 -12 *27391:B1 *2956:7 5.33005e-05 -13 *1282:210 *27396:B1 1.22576e-05 -14 *2788:22 *2956:8 0.00295962 -15 *2950:10 *2956:8 0.00171039 -*RES -1 *27394:X *2956:7 14.3357 -2 *2956:7 *2956:8 62.0536 -3 *2956:8 *27396:B1 15.483 -*END - -*D_NET *2957 0.00247502 -*CONN -*I *27396:B2 I *D sky130_fd_sc_hd__o221a_2 -*I *27395:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *27396:B2 0.000391486 -2 *27395:X 0.000391486 -3 *27396:B2 *27396:A1 0.000137561 -4 *27396:B2 *27744:A1 0.00080167 -5 *27396:B1 *27396:B2 5.49544e-05 -6 *1258:75 *27396:B2 0.000512894 -7 *1282:210 *27396:B2 5.96516e-05 -8 *2844:277 *27396:B2 0.000125316 -*RES -1 *27395:X *27396:B2 38.4036 -*END - -*D_NET *2958 0.0516271 -*CONN -*I *27397:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *27396:X O *D sky130_fd_sc_hd__o221a_2 -*CAP -1 *27397:B1 0 -2 *27396:X 0.00146803 -3 *2958:56 0.00199613 -4 *2958:54 0.00266803 -5 *2958:48 0.00268366 -6 *2958:47 0.00231172 -7 *2958:38 0.00150862 -8 *2958:34 0.0025863 -9 *2958:13 0.00284568 -10 *2958:13 *27360:B2 1.5381e-05 -11 *2958:13 *28547:SET_B 5.33005e-05 -12 *2958:13 *28925:A 0.000342302 -13 *2958:13 *30507:A 3.45225e-05 -14 *2958:13 *3677:11 1.81887e-05 -15 *2958:13 *4107:40 2.49484e-05 -16 *2958:13 *5764:127 4.11173e-05 -17 *2958:13 *5764:131 3.17148e-05 -18 *2958:34 *26966:A0 0.000261161 -19 *2958:34 *27360:B2 0.00120729 -20 *2958:34 *28540:RESET_B 2.66051e-05 -21 *2958:34 *4107:40 1.6904e-05 -22 *2958:34 *5603:43 8.84829e-05 -23 *2958:34 *5639:124 7.51161e-05 -24 *2958:34 *5645:104 9.34919e-05 -25 *2958:34 *5663:125 0.000367373 -26 *2958:34 *5708:147 0.000281817 -27 *2958:34 *5764:131 0.000185383 -28 *2958:34 *5764:156 0.0001326 -29 *2958:38 *27366:A1 0.000218264 -30 *2958:38 *3730:42 0.00126207 -31 *2958:38 *3835:58 0.00216403 -32 *2958:38 *4107:40 3.08281e-05 -33 *2958:38 *5609:144 2.29088e-05 -34 *2958:47 *4068:41 0.000136682 -35 *2958:48 *27366:A1 0.000296906 -36 *2958:48 *27713:A1 7.6644e-05 -37 *2958:48 *27713:B1 2.06112e-05 -38 *2958:48 *3184:53 0.000147837 -39 *2958:48 *3873:79 0.00212286 -40 *2958:48 *3873:90 0.00160823 -41 *2958:48 *5609:144 0.00116411 -42 *2958:48 *5635:79 0.000234402 -43 *2958:48 *5650:42 0.00114125 -44 *2958:48 *5694:71 2.04825e-05 -45 *2958:48 *5700:98 0.000951423 -46 *2958:48 *5700:100 0.000148903 -47 *2958:48 *5700:111 0.00108404 -48 *2958:48 *6351:40 4.21244e-05 -49 *2958:54 *29508:A 0.000114847 -50 *2958:54 *5599:273 0.000108316 -51 *2958:54 *5700:111 0.000884109 -52 *2958:54 *5720:215 0.000122402 -53 *2958:54 *6351:40 0.00108294 -54 *2958:56 *25263:A1 0.000189359 -55 *2958:56 *3642:84 8.80556e-05 -56 *2958:56 *3796:62 0 -57 *2958:56 *3873:67 0.000254347 -58 *2958:56 *3886:47 7.48409e-06 -59 *2958:56 *5599:247 8.8998e-05 -60 *2958:56 *5599:273 0.00147923 -61 *2958:56 *5720:191 1.03403e-05 -62 *2958:56 *5720:215 0.000204424 -63 *2958:56 *5757:43 0.000286453 -64 *2958:56 *5757:76 6.51414e-05 -65 *2958:56 *5757:94 0.000408052 -66 *2958:56 *6225:102 0.00148459 -67 *25318:A2 *2958:34 7.69776e-06 -68 *25318:A2 *2958:38 0.000123605 -69 *25325:A *2958:34 5.44577e-05 -70 *25325:C *2958:34 0.000309238 -71 *25325:D *2958:34 0.000380546 -72 *25325:D *2958:38 7.47995e-05 -73 *27313:A *2958:56 1.54199e-05 -74 *29498:A *2958:56 6.2589e-06 -75 *1182:15 *2958:54 0.000164547 -76 *1225:33 *2958:38 0.000247229 -77 *1261:41 *2958:34 0.000744284 -78 *1269:231 *2958:34 4.11218e-05 -79 *1272:47 *2958:13 1.98839e-05 -80 *1272:63 *2958:13 7.6644e-05 -81 *1277:176 *2958:38 0.00171575 -82 *1282:210 *2958:13 0.000795582 -83 *1285:37 *2958:34 4.66368e-05 -84 *1291:31 *2958:54 7.85273e-05 -85 *2777:71 *2958:48 2.35985e-05 -86 *2850:179 *2958:47 0.000258192 -87 *2864:164 *2958:47 2.79421e-05 -88 *2878:16 *2958:56 0.000147225 -89 *2888:213 *2958:34 0 -90 *2918:10 *2958:54 2.87037e-05 -91 *2918:10 *2958:56 0.00151027 -92 *2920:8 *2958:38 0.00100845 -93 *2920:8 *2958:47 0.000258192 -94 *2920:8 *2958:48 0.000495996 -95 *2922:20 *2958:34 2.07371e-05 -96 *2922:27 *2958:34 3.64479e-05 -97 *2939:10 *2958:56 0.00176956 -*RES -1 *27396:X *2958:13 41.5321 -2 *2958:13 *2958:34 41.4343 -3 *2958:34 *2958:38 49.25 -4 *2958:38 *2958:47 19.2679 -5 *2958:47 *2958:48 66.1518 -6 *2958:48 *2958:54 20.0179 -7 *2958:54 *2958:56 59.4732 -8 *2958:56 *27397:B1 13.8 -*END - -*D_NET *2959 0.00422792 -*CONN -*I *27398:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27397:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *27398:A1 0.000881272 -2 *27397:X 0.000881272 -3 *27398:A1 *26838:B 2.91578e-05 -4 *27398:A1 *28790:RESET_B 0.000395214 -5 *27398:A1 *29501:A 0.000266479 -6 *27398:A1 *4574:47 0.000574632 -7 *27398:A1 *4685:23 0.000177557 -8 *27398:A1 *5667:113 4.19607e-05 -9 *27398:A1 *6314:37 0.000299717 -10 *28774:D *27398:A1 0.000553597 -11 *1250:121 *27398:A1 0.000127061 -*RES -1 *27397:X *27398:A1 46.2786 -*END - -*D_NET *2960 0.000758584 -*CONN -*I *27411:B1 I *D sky130_fd_sc_hd__a211o_1 -*I *27399:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27411:B1 0.000260664 -2 *27399:X 0.000260664 -3 *27411:B1 *27286:B1 1.25377e-05 -4 *27411:B1 *2972:10 7.16691e-05 -5 *27411:B1 *5662:144 0.00014072 -6 *27411:A2 *27411:B1 1.23284e-05 -*RES -1 *27399:X *27411:B1 23.9929 -*END - -*D_NET *2961 0.00164906 -*CONN -*I *27410:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27400:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27410:C1 0.000287828 -2 *27400:X 0.000287828 -3 *27410:C1 *25147:B2 0.000713363 -4 *27410:C1 *27400:B2 2.59355e-05 -5 *27410:C1 *27410:B2 4.12498e-05 -6 *27410:C1 *27411:C1 3.97272e-05 -7 *27410:C1 *3810:29 1.04232e-05 -8 *27410:C1 *4122:28 3.2293e-05 -9 *27400:A2 *27410:C1 4.82865e-05 -10 *27400:B1 *27410:C1 2.26132e-05 -11 *2882:246 *27410:C1 0.000139514 -*RES -1 *27400:X *27410:C1 27.1 -*END - -*D_NET *2962 0.00064568 -*CONN -*I *27402:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27401:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27402:C1 0.000196682 -2 *27401:X 0.000196682 -3 *27401:A2 *27402:C1 0.000192666 -4 *1433:29 *27402:C1 5.96516e-05 -*RES -1 *27401:X *27402:C1 22.3321 -*END - -*D_NET *2963 0.00866722 -*CONN -*I *27409:A I *D sky130_fd_sc_hd__or4_1 -*I *27402:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27409:A 0.00127061 -2 *27402:X 0.000503418 -3 *2963:12 0.00177403 -4 *27409:A *27400:A1 2.60408e-05 -5 *27409:A *27409:C 0.000180072 -6 *27409:A *27409:D 9.83388e-05 -7 *27409:A *3312:19 2.06112e-05 -8 *27409:A *3680:32 9.53605e-05 -9 *27409:A *3849:48 0.00029484 -10 *27409:A *3901:63 0.000598338 -11 *27409:A *3901:79 9.34289e-05 -12 *27409:A *3953:12 0.000349973 -13 *27409:A *3953:28 0.000590572 -14 *27409:A *5643:269 0.000128518 -15 *2963:12 *27400:A1 0.000134599 -16 *2963:12 *3314:26 0.00097003 -17 *2963:12 *3706:35 2.58652e-05 -18 *2963:12 *3849:48 0.00016252 -19 *2963:12 *3901:79 0.000438269 -20 *1834:49 *27409:A 0.000210439 -21 *2844:318 *2963:12 0.000701356 -*RES -1 *27402:X *2963:12 31.3179 -2 *2963:12 *27409:A 43.0321 -*END - -*D_NET *2964 0.00450838 -*CONN -*I *27404:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27403:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27404:C1 0 -2 *27403:X 0.00109343 -3 *2964:15 0.00109343 -4 *2964:15 *26888:A0 0 -5 *2964:15 *27748:B1 0.000337281 -6 *2964:15 *3810:26 2.93456e-05 -7 *2964:15 *4039:39 0.000135028 -8 *2964:15 *4048:32 7.64454e-05 -9 *2964:15 *4070:39 0.000300458 -10 *2964:15 *4096:32 0 -11 *2964:15 *5630:292 0.000294649 -12 *2964:15 *5643:250 0.000820296 -13 *2964:15 *5652:61 0.000232325 -14 *2891:236 *2964:15 9.56978e-05 -*RES -1 *27403:X *2964:15 42.6571 -2 *2964:15 *27404:C1 9.3 -*END - -*D_NET *2965 0.00210944 -*CONN -*I *27409:B I *D sky130_fd_sc_hd__or4_1 -*I *27404:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27409:B 0.000558039 -2 *27404:X 0.000558039 -3 *27409:B *27404:A1 0.000554636 -4 *27409:B *27409:D 0.000133742 -5 *27409:B *3732:13 4.08637e-05 -6 *27409:B *3732:43 0.000264119 -*RES -1 *27404:X *27409:B 36.9393 -*END - -*D_NET *2966 0.00117985 -*CONN -*I *27406:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27405:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27406:C1 0.000326737 -2 *27405:X 0.000326737 -3 *27406:C1 *27405:A1 9.41642e-05 -4 *27406:C1 *3901:63 0.000136951 -5 *27406:C1 *3901:79 0.000135789 -6 *27406:C1 *5836:298 0.000135789 -7 *27405:A2 *27406:C1 1.5424e-05 -8 *2852:161 *27406:C1 8.25843e-06 -*RES -1 *27405:X *27406:C1 32.5464 -*END - -*D_NET *2967 0.00348263 -*CONN -*I *27409:C I *D sky130_fd_sc_hd__or4_1 -*I *27406:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27409:C 0.000598558 -2 *27406:X 0.000598558 -3 *27409:C *25146:B2 0.000128078 -4 *27409:C *27755:B2 0.000193665 -5 *27409:C *27756:B2 6.33782e-05 -6 *27409:C *3849:30 0.000141676 -7 *27409:C *3979:32 0.00073589 -8 *27409:C *5627:139 5.33005e-05 -9 *27403:A2 *27409:C 5.49489e-05 -10 *27409:A *27409:C 0.000180072 -11 *2780:228 *27409:C 0.0007345 -*RES -1 *27406:X *27409:C 44.9571 -*END - -*D_NET *2968 0.00490601 -*CONN -*I *27408:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27407:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27408:C1 0.00113371 -2 *27407:X 0.00113371 -3 *27408:C1 *25314:B2 0.000922409 -4 *27408:C1 *27408:A1 9.41642e-05 -5 *27408:C1 *27408:B2 3.71364e-05 -6 *27408:C1 *27409:D 0.000298483 -7 *27408:C1 *3745:26 6.05161e-06 -8 *27408:C1 *4083:31 0.000429036 -9 *27408:C1 *5704:18 3.97677e-05 -10 *27408:A2 *27408:C1 0.000362734 -11 *1700:6 *27408:C1 0.000425035 -12 *2864:328 *27408:C1 2.37742e-05 -*RES -1 *27407:X *27408:C1 48.6536 -*END - -*D_NET *2969 0.00403556 -*CONN -*I *27409:D I *D sky130_fd_sc_hd__or4_1 -*I *27408:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27409:D 0.000843342 -2 *27408:X 0.000843342 -3 *27409:D *25140:B2 0.000900352 -4 *27409:D *27077:A0 1.90936e-05 -5 *27409:D *3732:43 3.69536e-05 -6 *27409:D *3745:26 1.21258e-05 -7 *27409:D *3849:30 0.000202834 -8 *27409:D *5704:18 5.33005e-05 -9 *27408:C1 *27409:D 0.000298483 -10 *27409:A *27409:D 9.83388e-05 -11 *27409:B *27409:D 0.000133742 -12 *1463:50 *27409:D 2.88424e-05 -13 *2780:228 *27409:D 5.13513e-05 -14 *2780:259 *27409:D 0.000513458 -*RES -1 *27408:X *27409:D 49.0821 -*END - -*D_NET *2970 0.0135578 -*CONN -*I *27417:B1 I *D sky130_fd_sc_hd__o221a_4 -*I *27409:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27417:B1 0.000257297 -2 *27409:X 0.000901443 -3 *2970:25 0.0015421 -4 *2970:19 0.00218624 -5 *27417:B1 *25093:A1 0.000568536 -6 *27417:B1 *27754:A2 4.58194e-05 -7 *27417:B1 *5590:87 0.000378872 -8 *27417:B1 *5777:46 1.81709e-05 -9 *2970:19 *4025:75 3.5724e-05 -10 *2970:19 *4133:12 0.000161215 -11 *2970:19 *5587:87 7.76075e-06 -12 *2970:19 *5660:217 4.58514e-05 -13 *2970:19 *6280:17 0.00141419 -14 *2970:25 *25093:A1 1.34631e-05 -15 *2970:25 *25093:B2 2.59355e-05 -16 *2970:25 *27149:A0 1.90936e-05 -17 *2970:25 *27413:A1 0.000123295 -18 *2970:25 *27415:A1 0.000418373 -19 *2970:25 *27416:B 0.000391583 -20 *2970:25 *27757:A1 0.000398461 -21 *2970:25 *3304:22 0.000915842 -22 *2970:25 *3304:37 0.000252422 -23 *2970:25 *3753:93 0.000545319 -24 *2970:25 *4133:28 1.98626e-05 -25 *2970:25 *5650:268 9.77884e-05 -26 *2970:25 *5650:280 0.000136225 -27 *2970:25 *5792:40 0.000242577 -28 *2970:25 *5873:321 9.70394e-05 -29 *2970:25 *6280:18 0.000174082 -30 *25093:A2 *2970:25 0.000220937 -31 *25093:B1 *2970:25 3.77065e-05 -32 *25146:A2 *2970:19 0.000217952 -33 *27417:C1 *27417:B1 2.09136e-05 -34 *1246:151 *2970:19 0.000136649 -35 *1260:86 *27417:B1 3.21293e-05 -36 *1293:149 *2970:19 0.00102828 -37 *1406:8 *27417:B1 0.00017039 -38 *1414:10 *2970:25 6.68128e-05 -39 *1469:21 *2970:19 6.58294e-06 -40 *2788:124 *2970:19 0.000159126 -41 *2893:26 *2970:25 2.5738e-05 -*RES -1 *27409:X *2970:19 33.4861 -2 *2970:19 *2970:25 45.0268 -3 *2970:25 *27417:B1 22.4607 -*END - -*D_NET *2971 0.00111436 -*CONN -*I *27411:C1 I *D sky130_fd_sc_hd__a211o_1 -*I *27410:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27411:C1 0.000357357 -2 *27410:X 0.000357357 -3 *27411:C1 *27410:B2 8.60264e-05 -4 *27411:C1 *27762:A2 0.000118618 -5 *27411:C1 *3810:47 1.24368e-05 -6 *27411:C1 *4122:28 0.000136562 -7 *27410:C1 *27411:C1 3.97272e-05 -8 *2844:328 *27411:C1 6.27272e-06 -*RES -1 *27410:X *27411:C1 32.6536 -*END - -*D_NET *2972 0.0065891 -*CONN -*I *27416:A I *D sky130_fd_sc_hd__or3_1 -*I *27411:X O *D sky130_fd_sc_hd__a211o_1 -*CAP -1 *27416:A 0.00154293 -2 *27411:X 0.000286842 -3 *2972:10 0.00182978 -4 *27416:A *27131:A1 0.00043284 -5 *2972:10 *3562:16 0.000495527 -6 *2972:10 *3959:45 2.06178e-05 -7 *27411:B1 *2972:10 7.16691e-05 -8 *1672:32 *2972:10 0.000545371 -9 *2786:59 *27416:A 0.00136352 -*RES -1 *27411:X *2972:10 26.7643 -2 *2972:10 *27416:A 36.1393 -*END - -*D_NET *2973 0.000488161 -*CONN -*I *27413:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27412:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27413:C1 0.000216606 -2 *27412:X 0.000216606 -3 *27413:C1 *27413:B2 5.49489e-05 -4 *27412:B1 *27413:C1 0 -5 *27413:B1 *27413:C1 0 -*RES -1 *27412:X *27413:C1 21.9214 -*END - -*D_NET *2974 0.00192786 -*CONN -*I *27416:B I *D sky130_fd_sc_hd__or3_1 -*I *27413:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27416:B 0.00046848 -2 *27413:X 0.00046848 -3 *27416:B *3304:37 0.000389868 -4 *2786:59 *27416:B 0.000209448 -5 *2970:25 *27416:B 0.000391583 -*RES -1 *27413:X *27416:B 36.8143 -*END - -*D_NET *2975 0.00231032 -*CONN -*I *27415:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27414:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27415:C1 0.000574547 -2 *27414:X 0.000574547 -3 *27415:C1 *27414:B2 5.33005e-05 -4 *27415:C1 *27415:B2 2.11419e-05 -5 *27415:C1 *28699:CLK 0 -6 *27415:C1 *3753:93 0.000317158 -7 *27415:C1 *3797:101 2.12087e-05 -8 *27415:C1 *5630:274 4.48128e-05 -9 *27415:C1 *6247:18 6.27272e-06 -10 *25190:C1 *27415:C1 0.000322278 -11 *27415:A2 *27415:C1 0.00017754 -12 *1225:119 *27415:C1 2.26973e-05 -13 *2845:286 *27415:C1 9.41642e-05 -14 *2860:287 *27415:C1 8.25843e-06 -15 *2875:275 *27415:C1 7.2394e-05 -*RES -1 *27414:X *27415:C1 41.8286 -*END - -*D_NET *2976 0.00237376 -*CONN -*I *27416:C I *D sky130_fd_sc_hd__or3_1 -*I *27415:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27416:C 0.000673834 -2 *27415:X 0.000673834 -3 *27416:C *3940:28 0.000137464 -4 *27416:C *6247:18 9.60337e-06 -5 *25190:C1 *27416:C 0.000733894 -6 *1256:113 *27416:C 0 -7 *2771:111 *27416:C 0.000135528 -8 *2875:275 *27416:C 9.60337e-06 -*RES -1 *27415:X *27416:C 38.2993 -*END - -*D_NET *2977 0.00435945 -*CONN -*I *27417:B2 I *D sky130_fd_sc_hd__o221a_4 -*I *27416:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *27417:B2 0.000573189 -2 *27416:X 0.000573189 -3 *27417:B2 *5585:23 0.00136175 -4 *1260:86 *27417:B2 0.00160439 -5 *1265:119 *27417:B2 0.000123605 -6 *1406:24 *27417:B2 0.000123328 -*RES -1 *27416:X *27417:B2 48.6714 -*END - -*D_NET *2978 0.0724948 -*CONN -*I *27418:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *27417:X O *D sky130_fd_sc_hd__o221a_4 -*CAP -1 *27418:B1 0 -2 *27417:X 0.00439515 -3 *2978:36 0.0157393 -4 *2978:22 0.0201344 -5 *2978:22 *3712:28 1.90936e-05 -6 *2978:22 *4067:32 0.00011994 -7 *2978:22 *5584:115 0.000202142 -8 *2978:22 *5700:50 0.000269608 -9 *2978:22 *5713:336 0.000269608 -10 *2978:22 *5795:96 0.00458256 -11 *2978:22 *6247:34 0.000425196 -12 *2978:22 *6247:36 0.000313307 -13 *2978:22 *6269:12 0.00249925 -14 *2978:36 *25357:A1 0 -15 *2978:36 *27711:B2 0 -16 *2978:36 *3153:140 0.000811859 -17 *2978:36 *3184:118 1.37323e-05 -18 *2978:36 *3205:210 1.70853e-05 -19 *2978:36 *3259:25 3.13366e-05 -20 *2978:36 *3293:34 0.00160743 -21 *2978:36 *3413:57 0.000221682 -22 *2978:36 *3664:16 0.00212369 -23 *2978:36 *3666:119 1.77895e-05 -24 *2978:36 *3822:49 0.000689535 -25 *2978:36 *3848:61 0.000140421 -26 *2978:36 *4030:48 0.000284297 -27 *2978:36 *5196:94 0 -28 *2978:36 *5467:14 0.00157811 -29 *2978:36 *5599:72 4.15526e-05 -30 *2978:36 *5651:129 0.000347647 -31 *2978:36 *5656:77 8.96293e-05 -32 *2978:36 *5700:174 1.63164e-05 -33 *2978:36 *5713:49 0 -34 *2978:36 *5713:62 0 -35 *26930:S *2978:36 6.40797e-05 -36 *27244:A2 *2978:36 0.000173416 -37 *27244:A3 *2978:36 0.000100509 -38 *27417:A2 *2978:22 0.000135028 -39 *27417:C1 *2978:22 0.000136682 -40 *1225:33 *2978:36 0.000372963 -41 *1250:121 *2978:36 0.000733659 -42 *1252:134 *2978:36 0.000119584 -43 *1262:172 *2978:36 3.93105e-05 -44 *1275:16 *2978:22 0.000420391 -45 *1275:26 *2978:22 0.000603753 -46 *1275:26 *2978:36 0.000372916 -47 *1275:54 *2978:22 0.000156542 -48 *1276:102 *2978:22 0.00012963 -49 *1361:29 *2978:22 0.00142172 -50 *1654:27 *2978:22 0.000101738 -51 *1659:34 *2978:22 0.0022083 -52 *1659:34 *2978:36 7.52837e-05 -53 *2777:105 *2978:36 0.0014529 -54 *2779:121 *2978:22 0.000859005 -55 *2781:88 *2978:22 0.00014833 -56 *2782:53 *2978:36 0 -57 *2813:72 *2978:36 0 -58 *2845:188 *2978:36 0 -59 *2848:178 *2978:36 0.000331023 -60 *2852:28 *2978:36 0.000102145 -61 *2853:187 *2978:36 0.000520656 -62 *2856:270 *2978:36 0.000150565 -63 *2859:162 *2978:36 0.000125685 -64 *2875:28 *2978:36 0.000204702 -65 *2875:196 *2978:36 0.000930865 -66 *2879:118 *2978:22 0.00236157 -67 *2880:129 *2978:36 0.000940179 -*RES -1 *27417:X *2978:22 49.4547 -2 *2978:22 *2978:36 49.9263 -3 *2978:36 *27418:B1 13.8 -*END - -*D_NET *2979 0.00212571 -*CONN -*I *27419:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27418:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *27419:A1 0.000237967 -2 *27418:X 0.000237967 -3 *27419:A1 *27418:A1 1.98839e-05 -4 *27419:A1 *27418:A2 0.000682259 -5 *27419:A1 *5667:129 6.05161e-06 -6 *1250:121 *27419:A1 5.01338e-05 -7 *1271:23 *27419:A1 0.00089145 -*RES -1 *27418:X *27419:A1 27.35 -*END - -*D_NET *2980 0.00885821 -*CONN -*I *27424:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27420:X O *D sky130_fd_sc_hd__o21a_1 -*CAP -1 *27424:C1 0 -2 *27420:X 0.000150194 -3 *2980:10 0.00105465 -4 *2980:7 0.00120484 -5 *2980:7 *27420:A1 0.000301438 -6 *2980:7 *27420:B1 4.27935e-05 -7 *2980:7 *5658:133 5.33005e-05 -8 *2980:10 *27775:A1 0.00171333 -9 *2980:10 *27775:A2 0.00168135 -10 *2980:10 *3580:94 0.000110536 -11 *2980:10 *3967:29 0.00104888 -12 *2980:10 *5631:58 0.000141146 -13 *2980:10 *5683:134 0.000229374 -14 *1246:126 *2980:7 5.51819e-05 -15 *2769:31 *2980:7 0.000508712 -16 *2891:311 *2980:10 0.000562486 -*RES -1 *27420:X *2980:7 18.8536 -2 *2980:7 *2980:10 41.0536 -3 *2980:10 *27424:C1 9.3 -*END - -*D_NET *2981 0.00335642 -*CONN -*I *27422:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27421:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27422:C1 0.000994977 -2 *27421:X 0.000994977 -3 *27422:C1 *27422:A1 0 -4 *27422:C1 *5644:94 7.83587e-05 -5 *27422:C1 *5650:329 8.00806e-05 -6 *27422:C1 *5862:14 9.82344e-05 -7 *27421:A2 *27422:C1 0.0010899 -8 *27422:B1 *27422:C1 1.98839e-05 -*RES -1 *27421:X *27422:C1 41.8321 -*END - -*D_NET *2982 0.00134243 -*CONN -*I *27423:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27422:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27423:C1 0.000374029 -2 *27422:X 0.000374029 -3 *27423:C1 *27423:B2 5.97265e-05 -4 *27423:C1 *28881:A 0.00010825 -5 *27423:C1 *3537:47 2.18863e-05 -6 *27423:C1 *3565:24 0.000183532 -7 *27423:C1 *4138:18 1.37568e-05 -8 *27423:C1 *5594:27 1.21843e-05 -9 *27423:C1 *5814:12 0 -10 *27422:A2 *27423:C1 2.84109e-05 -11 *27423:B1 *27423:C1 9.38078e-05 -12 *1826:178 *27423:C1 5.52176e-05 -13 *2853:292 *27423:C1 1.76039e-05 -*RES -1 *27422:X *27423:C1 34.725 -*END - -*D_NET *2983 0.00302228 -*CONN -*I *27428:B1 I *D sky130_fd_sc_hd__a211o_1 -*I *27423:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27428:B1 0.000371896 -2 *27423:X 0.000371896 -3 *27428:B1 *27125:S 0.000154943 -4 *27428:B1 *27423:B2 0.000170917 -5 *27428:B1 *27438:B2 0.000973375 -6 *27428:B1 *3746:22 0.000149647 -7 *27428:B1 *5594:27 0.000180066 -8 *27428:B1 *5632:90 0.000649539 -*RES -1 *27423:X *27428:B1 41.9393 -*END - -*D_NET *2984 0.00168332 -*CONN -*I *27427:B1 I *D sky130_fd_sc_hd__a211o_1 -*I *27424:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27427:B1 0.00023765 -2 *27424:X 0.00023765 -3 *27427:B1 *27424:A1 5.33005e-05 -4 *27427:B1 *3153:284 2.59355e-05 -5 *27427:B1 *3580:8 0.000495611 -6 *27427:B1 *3798:68 1.58163e-05 -7 *27427:B1 *5635:147 0.000118315 -8 *2791:71 *27427:B1 0.00049904 -*RES -1 *27424:X *27427:B1 35.1714 -*END - -*D_NET *2985 0.00548097 -*CONN -*I *27426:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27425:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27426:C1 0.000454907 -2 *27425:X 0.000843993 -3 *2985:14 0.0012989 -4 *27426:C1 *27426:A1 0.000236172 -5 *27426:C1 *27426:B2 5.87609e-05 -6 *27426:C1 *2986:11 5.74499e-06 -7 *2985:14 *27784:B2 0.000201683 -8 *2985:14 *3995:58 0.000326 -9 *2985:14 *4033:16 0.000150632 -10 *2985:14 *5587:151 0.000148911 -11 *2985:14 *5652:184 0.000104568 -12 *2985:14 *5692:17 0.00137385 -13 *2985:14 *5928:20 0.000178425 -14 *534:25 *2985:14 3.97677e-05 -15 *2848:369 *27426:C1 3.3254e-05 -16 *2874:359 *27426:C1 2.54074e-05 -*RES -1 *27425:X *2985:14 47.3 -2 *2985:14 *27426:C1 16.425 -*END - -*D_NET *2986 0.00954163 -*CONN -*I *27427:C1 I *D sky130_fd_sc_hd__a211o_1 -*I *27426:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27427:C1 0.00031488 -2 *27426:X 0.000454893 -3 *2986:18 0.00160194 -4 *2986:11 0.00174195 -5 *27427:C1 *3153:284 7.59614e-05 -6 *27427:C1 *3798:68 3.14163e-05 -7 *27427:C1 *3915:55 1.94945e-05 -8 *27427:C1 *5633:69 4.73953e-05 -9 *2986:11 *27426:A1 7.32756e-05 -10 *2986:11 *27426:B2 2.56289e-05 -11 *2986:11 *27777:A2 6.17371e-05 -12 *2986:18 *27774:B1 0.000144073 -13 *2986:18 *27774:B2 0.000360174 -14 *2986:18 *27780:C 0.000665338 -15 *2986:18 *3327:15 0.000280219 -16 *2986:18 *3580:8 0.000367079 -17 *2986:18 *3785:44 0.000867113 -18 *2986:18 *3837:33 9.21418e-06 -19 *2986:18 *3850:24 0.000494769 -20 *2986:18 *5635:147 0.000719281 -21 *25062:C1 *2986:18 1.94945e-05 -22 *27426:C1 *2986:11 5.74499e-06 -23 *1271:221 *2986:18 0.000548047 -24 *2782:147 *2986:18 0.000136958 -25 *2874:359 *2986:11 0.000178425 -26 *2885:138 *27427:C1 1.32452e-05 -27 *2885:138 *2986:18 0.000204806 -28 *2885:156 *2986:18 7.90803e-05 -*RES -1 *27426:X *2986:11 19.8179 -2 *2986:11 *2986:18 48.0982 -3 *2986:18 *27427:C1 22.3069 -*END - -*D_NET *2987 0.00088976 -*CONN -*I *27428:C1 I *D sky130_fd_sc_hd__a211o_1 -*I *27427:X O *D sky130_fd_sc_hd__a211o_1 -*CAP -1 *27428:C1 0.000104267 -2 *27427:X 0.000104267 -3 *27428:C1 *3798:68 0.000339346 -4 *27427:A2 *27428:C1 0.00034188 -*RES -1 *27427:X *27428:C1 22.0107 -*END - -*D_NET *2988 0.00100287 -*CONN -*I *27438:B1 I *D sky130_fd_sc_hd__o221a_2 -*I *27428:X O *D sky130_fd_sc_hd__a211o_1 -*CAP -1 *27438:B1 0.000203309 -2 *27428:X 0.000203309 -3 *27438:B1 *3215:199 0.000313458 -4 *27438:B1 *3798:40 0.000227108 -5 *27438:A2 *27438:B1 5.28563e-05 -6 *1826:170 *27438:B1 2.8266e-06 -*RES -1 *27428:X *27438:B1 32.7384 -*END - -*D_NET *2989 0.000565179 -*CONN -*I *27430:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27429:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27430:C1 0.000123156 -2 *27429:X 0.000123156 -3 *27430:C1 *4033:16 0.000127439 -4 *27430:C1 *5587:151 0.000125724 -5 *2872:376 *27430:C1 6.57032e-05 -*RES -1 *27429:X *27430:C1 30.6 -*END - -*D_NET *2990 0.00122168 -*CONN -*I *27437:A I *D sky130_fd_sc_hd__or4_1 -*I *27430:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27437:A 0.000184008 -2 *27430:X 0.000184008 -3 *27437:A *27437:C 0.000135028 -4 *27437:A *27437:D 6.86792e-05 -5 *27437:A *3902:32 0.000324546 -6 *1372:12 *27437:A 0.000325407 -*RES -1 *27430:X *27437:A 33.3321 -*END - -*D_NET *2991 0.000533617 -*CONN -*I *27432:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27431:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27432:C1 0.000154273 -2 *27431:X 0.000154273 -3 *27432:C1 *27431:A1 3.45371e-05 -4 *27431:A2 *27432:C1 5.22045e-05 -5 *2773:68 *27432:C1 0.00013833 -*RES -1 *27431:X *27432:C1 21.6357 -*END - -*D_NET *2992 0.00653591 -*CONN -*I *27437:B I *D sky130_fd_sc_hd__or4_1 -*I *27432:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27437:B 0 -2 *27432:X 0.000930923 -3 *2992:11 0.000930923 -4 *2992:11 *3367:31 4.58194e-05 -5 *2992:11 *4085:18 0.00229286 -6 *2992:11 *4086:27 0.000874843 -7 *25071:D *2992:11 0.00140532 -8 *2892:253 *2992:11 5.52238e-05 -*RES -1 *27432:X *2992:11 49.9607 -2 *2992:11 *27437:B 9.3 -*END - -*D_NET *2993 0.00382437 -*CONN -*I *27434:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27433:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27434:C1 0 -2 *27433:X 0.000728939 -3 *2993:27 0.000728939 -4 *2993:27 *27433:A2 4.96113e-05 -5 *2993:27 *27433:B1 0.000205661 -6 *2993:27 *27434:A1 1.21258e-05 -7 *2993:27 *27434:B2 1.48716e-05 -8 *2993:27 *27437:C 0.000178847 -9 *2993:27 *27786:C1 0.000217329 -10 *2993:27 *28511:D 0.000136512 -11 *2993:27 *28516:D 1.71482e-05 -12 *2993:27 *3378:24 4.11218e-05 -13 *2993:27 *3378:26 5.74823e-05 -14 *2993:27 *3800:69 0.000160658 -15 *2993:27 *5432:36 0.000390329 -16 *2993:27 *5587:169 5.74499e-06 -17 *2993:27 *5651:326 0.000162366 -18 *27434:A2 *2993:27 6.05161e-06 -19 *27434:B1 *2993:27 7.46226e-05 -20 *29700:A *2993:27 9.41642e-05 -21 *794:23 *2993:27 1.02936e-05 -22 *844:19 *2993:27 0.000221006 -23 *1304:15 *2993:27 0.00016164 -24 *1304:16 *2993:27 0.000148903 -*RES -1 *27433:X *2993:27 46.8179 -2 *2993:27 *27434:C1 9.3 -*END - -*D_NET *2994 0.00227672 -*CONN -*I *27437:C I *D sky130_fd_sc_hd__or4_1 -*I *27434:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27437:C 0.000577538 -2 *27434:X 0.000577538 -3 *27437:C *27434:A1 1.6068e-05 -4 *27437:C *27437:D 8.18002e-05 -5 *27437:C *2997:20 0.000135028 -6 *27437:C *3165:74 0.000102196 -7 *27437:C *4123:20 5.41797e-06 -8 *27437:C *4123:33 3.1796e-05 -9 *27437:C *6005:32 2.21972e-05 -10 *27434:A2 *27437:C 6.59012e-07 -11 *27437:A *27437:C 0.000135028 -12 *29700:A *27437:C 0.000218685 -13 *2892:253 *27437:C 0.000193917 -14 *2993:27 *27437:C 0.000178847 -*RES -1 *27434:X *27437:C 38.3679 -*END - -*D_NET *2995 0.0005785 -*CONN -*I *27436:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27435:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27436:C1 0.000229804 -2 *27435:X 0.000229804 -3 *27436:C1 *4110:37 0 -4 *27436:C1 *4149:57 0.000107786 -5 *27436:B1 *27436:C1 1.11065e-05 -*RES -1 *27435:X *27436:C1 21.5107 -*END - -*D_NET *2996 0.00312689 -*CONN -*I *27437:D I *D sky130_fd_sc_hd__or4_1 -*I *27436:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27437:D 0.000900262 -2 *27436:X 0.000900262 -3 *27437:D *27433:B1 6.69937e-05 -4 *27437:D *3165:74 0.000624687 -5 *27437:D *4123:33 0.00028939 -6 *27437:D *5656:310 4.21517e-05 -7 *27437:D *6243:9 8.00806e-05 -8 *27437:A *27437:D 6.86792e-05 -9 *27437:C *27437:D 8.18002e-05 -10 *29700:A *27437:D 6.43196e-05 -11 *2892:253 *27437:D 8.25843e-06 -*RES -1 *27436:X *27437:D 43.0464 -*END - -*D_NET *2997 0.0129735 -*CONN -*I *27438:B2 I *D sky130_fd_sc_hd__o221a_2 -*I *27437:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27438:B2 0.000542471 -2 *27437:X 0.00176696 -3 *2997:20 0.00230943 -4 *27438:B2 *25065:A1 0.000348734 -5 *27438:B2 *27423:B2 1.17921e-05 -6 *27438:B2 *2998:7 1.56e-05 -7 *27438:B2 *3668:49 9.25014e-06 -8 *27438:B2 *3746:22 8.41284e-06 -9 *27438:B2 *5658:124 0.000194628 -10 *27438:B2 *5683:166 0.000994729 -11 *2997:20 *27060:A1 0.000136861 -12 *2997:20 *27423:B2 0.000879571 -13 *2997:20 *27775:B1 0.000108627 -14 *2997:20 *3746:19 9.22222e-06 -15 *2997:20 *3746:22 0.00012309 -16 *2997:20 *4086:28 6.6289e-05 -17 *2997:20 *5628:121 0.00201914 -18 *2997:20 *5656:310 1.94879e-05 -19 *2997:20 *5658:124 0.000119155 -20 *2997:20 *5683:166 1.53191e-05 -21 *2997:20 *5824:286 0.000153466 -22 *2997:20 *5862:26 6.6289e-05 -23 *27141:S *2997:20 0.000243478 -24 *27428:B1 *27438:B2 0.000973375 -25 *27429:B1 *2997:20 0.000832682 -26 *27437:C *2997:20 0.000135028 -27 *27438:A2 *27438:B2 5.33005e-05 -28 *1246:119 *2997:20 0.000329172 -29 *1260:118 *2997:20 0.000251416 -30 *2785:10 *2997:20 0.000101512 -31 *2892:253 *2997:20 0.000135028 -*RES -1 *27437:X *2997:20 45.8309 -2 *2997:20 *27438:B2 33.2911 -*END - -*D_NET *2998 0.0509427 -*CONN -*I *27439:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *27438:X O *D sky130_fd_sc_hd__o221a_2 -*CAP -1 *27439:B1 0 -2 *27438:X 0.000808291 -3 *2998:32 0.00336804 -4 *2998:30 0.00507865 -5 *2998:16 0.00322528 -6 *2998:14 0.00169576 -7 *2998:8 0.00176408 -8 *2998:7 0.00239129 -9 *2998:7 *25050:A1 1.58163e-05 -10 *2998:8 *25065:B2 0.00165067 -11 *2998:8 *25066:B2 3.39964e-05 -12 *2998:8 *3991:34 1.53472e-05 -13 *2998:8 *3991:38 0.00466898 -14 *2998:8 *5485:147 0.000255146 -15 *2998:8 *5601:67 0.000240491 -16 *2998:8 *5650:329 1.54689e-05 -17 *2998:8 *5658:44 8.51213e-05 -18 *2998:8 *5658:69 7.83659e-05 -19 *2998:8 *5873:220 0.000272679 -20 *2998:14 *27476:C1 0.000320038 -21 *2998:14 *3991:34 8.41284e-06 -22 *2998:14 *5485:147 0.000388146 -23 *2998:14 *5658:44 9.95912e-06 -24 *2998:16 *30037:A 0.000238762 -25 *2998:16 *3991:34 0.00065303 -26 *2998:16 *4008:8 7.6644e-05 -27 *2998:16 *4138:62 0.00180673 -28 *2998:16 *5485:145 0.000181117 -29 *2998:16 *5485:147 1.27529e-05 -30 *2998:16 *5601:37 0.000354771 -31 *2998:16 *5627:162 0.000103253 -32 *2998:16 *5635:101 0.000528316 -33 *2998:16 *5658:31 0.000790038 -34 *2998:16 *5658:44 0.000246514 -35 *2998:16 *5748:36 0.000351604 -36 *2998:30 *25017:A1 3.48818e-05 -37 *2998:30 *26893:A0 8.46586e-05 -38 *2998:30 *26893:A1 1.90936e-05 -39 *2998:30 *27133:A0 4.33733e-05 -40 *2998:30 *28473:D 0.000209275 -41 *2998:30 *28640:CLK 0.00104409 -42 *2998:30 *3293:34 1.19021e-05 -43 *2998:30 *3929:34 0.000166286 -44 *2998:30 *5485:139 0.000434441 -45 *2998:30 *5485:145 0.000131117 -46 *2998:30 *5631:20 0.000119882 -47 *2998:30 *5658:31 8.34602e-05 -48 *2998:30 *5757:134 6.22193e-05 -49 *2998:30 *5926:90 4.56437e-05 -50 *2998:30 *5926:103 7.97314e-05 -51 *2998:32 *27599:A 0.000142732 -52 *2998:32 *27620:B 0.000166555 -53 *2998:32 *27745:A2 0.000172233 -54 *2998:32 *27795:A0 0.000123594 -55 *2998:32 *27818:A2 9.35199e-05 -56 *2998:32 *27819:A2 6.6403e-05 -57 *2998:32 *27843:A1 0.000447496 -58 *2998:32 *28805:RESET_B 0.000218328 -59 *2998:32 *3153:124 9.41404e-05 -60 *2998:32 *3172:20 0.000189483 -61 *2998:32 *3194:19 2.05484e-05 -62 *2998:32 *3194:59 5.08855e-05 -63 *2998:32 *3195:25 2.50593e-05 -64 *2998:32 *3293:34 0.000208367 -65 *2998:32 *5459:29 0.000100823 -66 *2998:32 *5459:32 0.000372012 -67 *2998:32 *5459:39 0.00265043 -68 *2998:32 *5485:131 0.00205424 -69 *2998:32 *5485:133 0.0012445 -70 *2998:32 *5598:17 0.000199698 -71 *2998:32 *5755:22 0.000254382 -72 *2998:32 *5757:134 8.40318e-05 -73 *2998:32 *6307:35 0.000129015 -74 *2998:32 *6311:62 0 -75 *2998:32 *6311:74 0 -76 *2998:32 *6311:83 0.000375993 -77 *2998:32 *6342:8 0.000121982 -78 *25066:C1 *2998:8 0.000326549 -79 *27213:A2 *2998:32 1.89507e-06 -80 *27260:A2 *2998:32 0 -81 *27315:A *2998:8 2.06112e-05 -82 *27438:A2 *2998:7 0.000418885 -83 *27438:B2 *2998:7 1.56e-05 -84 *27454:A2 *2998:30 0.000133968 -85 *27618:B *2998:32 0.000226718 -86 *28780:D *2998:32 0.000571518 -87 *29156:A *2998:30 5.34213e-05 -88 *29653:A *2998:30 0.000123133 -89 *30142:A *2998:30 0.000112563 -90 *30142:A *2998:32 7.05143e-06 -91 *30394:A *2998:30 0.000316887 -92 *30408:A *2998:30 0.000109296 -93 *1258:106 *2998:16 0.000331215 -94 *1801:38 *2998:32 6.74279e-05 -95 *1801:79 *2998:32 9.1144e-05 -96 *2758:74 *2998:30 0.000914488 -97 *2827:77 *2998:32 0.000330247 -98 *2844:265 *2998:16 0.000987828 -99 *2856:279 *2998:32 0.00012294 -100 *2866:59 *2998:16 0.000123295 -101 *2880:93 *2998:8 0.00142798 -102 *2885:27 *2998:32 0.000197984 -*RES -1 *27438:X *2998:7 23.3714 -2 *2998:7 *2998:8 62.8125 -3 *2998:8 *2998:14 6.05357 -4 *2998:14 *2998:16 51.7321 -5 *2998:16 *2998:30 45.0179 -6 *2998:30 *2998:32 97.7232 -7 *2998:32 *27439:B1 13.8 -*END - -*D_NET *2999 0.0021039 -*CONN -*I *27440:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27439:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *27440:A1 0.000504527 -2 *27439:X 0.000504527 -3 *27440:A1 *5485:131 0.000494927 -4 *27213:A2 *27440:A1 0.00017091 -5 *28779:D *27440:A1 5.62788e-05 -6 *1244:53 *27440:A1 0.000311749 -7 *1278:29 *27440:A1 6.09804e-05 -*RES -1 *27439:X *27440:A1 39.7607 -*END - -*D_NET *3000 0.00270556 -*CONN -*I *27442:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27441:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27442:C1 0.00111571 -2 *27441:X 0.00111571 -3 *27442:C1 *27442:B2 5.33005e-05 -4 *27442:C1 *3800:29 4.32309e-05 -5 *27442:C1 *5535:46 1.90936e-05 -6 *27442:C1 *5649:48 2.01997e-05 -7 *27441:A2 *27442:C1 2.89016e-05 -8 *74:11 *27442:C1 0.000243975 -9 *2855:285 *27442:C1 4.32309e-05 -10 *2866:34 *27442:C1 2.21972e-05 -*RES -1 *27441:X *27442:C1 38.3822 -*END - -*D_NET *3001 0.00230739 -*CONN -*I *27449:A I *D sky130_fd_sc_hd__or4_1 -*I *27442:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27449:A 0.000371217 -2 *27442:X 0.000371217 -3 *27449:A *27460:B1 4.87854e-05 -4 *27449:A *27818:B1 0.000152763 -5 *27449:A *3943:20 0.000691257 -6 *27449:A *4085:87 0.000572346 -7 *27449:A *5656:34 2.14378e-05 -8 *2856:279 *27449:A 7.83659e-05 -*RES -1 *27442:X *27449:A 39.5286 -*END - -*D_NET *3002 0.00204233 -*CONN -*I *27444:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27443:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27444:C1 0.000286463 -2 *27443:X 0.000286463 -3 *27444:C1 *3164:20 0.000150625 -4 *27444:C1 *3193:51 0.000696498 -5 *27444:C1 *3363:10 0.00050705 -6 *27444:C1 *4086:68 1.91414e-05 -7 *27444:C1 *5947:23 9.60939e-05 -*RES -1 *27443:X *27444:C1 37.475 -*END - -*D_NET *3003 0.00268769 -*CONN -*I *27449:B I *D sky130_fd_sc_hd__or4_1 -*I *27444:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27449:B 0.000783078 -2 *27444:X 0.000783078 -3 *27449:B *3185:22 7.83659e-05 -4 *1294:179 *27449:B 0.000963092 -5 *2866:34 *27449:B 8.00806e-05 -*RES -1 *27444:X *27449:B 38.2071 -*END - -*D_NET *3004 0.000586976 -*CONN -*I *27446:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *27445:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27446:B1 0.000195419 -2 *27445:X 0.000195419 -3 *27446:B1 *27445:A1 9.77061e-05 -4 *27446:B1 *27449:C 1.15281e-05 -5 *27446:B1 *3165:192 5.33005e-05 -6 *27446:A2 *27446:B1 3.36025e-05 -*RES -1 *27445:X *27446:B1 22.3321 -*END - -*D_NET *3005 0.00228232 -*CONN -*I *27449:C I *D sky130_fd_sc_hd__or4_1 -*I *27446:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *27449:C 0.000489023 -2 *27446:X 0.000489023 -3 *27449:C *25007:A1 5.33005e-05 -4 *27449:C *27445:A1 5.52238e-05 -5 *27449:C *3850:24 0.000357023 -6 *27449:C *3981:53 0.000137983 -7 *27446:B1 *27449:C 1.15281e-05 -8 *2866:34 *27449:C 0.000689217 -*RES -1 *27446:X *27449:C 39.5286 -*END - -*D_NET *3006 0.00530841 -*CONN -*I *27448:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27447:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27448:C1 0 -2 *27447:X 0.00245547 -3 *3006:10 0.00245547 -4 *3006:10 *27447:A1 2.72887e-05 -5 *3006:10 *27447:B2 1.83053e-05 -6 *3006:10 *3838:22 9.55031e-05 -7 *3006:10 *5535:45 2.1481e-05 -8 *3006:10 *5658:12 0.000148707 -9 *27447:B1 *3006:10 8.61912e-05 -*RES -1 *27447:X *3006:10 44.6036 -2 *3006:10 *27448:C1 9.3 -*END - -*D_NET *3007 0.00102647 -*CONN -*I *27449:D I *D sky130_fd_sc_hd__or4_1 -*I *27448:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27449:D 0.000233217 -2 *27448:X 0.000233217 -3 *27449:D *3850:24 0.000283823 -4 *1291:46 *27449:D 0.000136951 -5 *2866:34 *27449:D 0.000139265 -*RES -1 *27448:X *27449:D 32.725 -*END - -*D_NET *3008 0.00301808 -*CONN -*I *27460:B1 I *D sky130_fd_sc_hd__o221a_1 -*I *27449:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27460:B1 0.00123295 -2 *27449:X 0.00123295 -3 *27460:B1 *27460:B2 1.52392e-05 -4 *27460:B1 *27460:C1 2.88731e-05 -5 *27460:B1 *27818:A1 4.22135e-06 -6 *27460:B1 *27818:B1 0.000292811 -7 *27460:B1 *5631:20 6.28948e-05 -8 *27460:B1 *5680:241 6.057e-07 -9 *27460:B1 *5714:29 6.28248e-05 -10 *27449:A *27460:B1 4.87854e-05 -11 *27460:A2 *27460:B1 3.59135e-05 -*RES -1 *27449:X *27460:B1 42.9214 -*END - -*D_NET *3009 0.00671385 -*CONN -*I *27451:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27450:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27451:C1 0.000124592 -2 *27450:X 0.00100858 -3 *3009:9 0.00113317 -4 *27451:C1 *27451:B2 6.00298e-05 -5 *27451:C1 *3787:37 1.80261e-05 -6 *27451:C1 *3800:29 2.37944e-05 -7 *27451:C1 *3955:16 0.000148376 -8 *3009:9 *27816:A 3.69047e-06 -9 *3009:9 *28933:A 4.00679e-05 -10 *3009:9 *3177:36 0.00122135 -11 *3009:9 *3177:59 0.000136347 -12 *3009:9 *3826:57 0.00169219 -13 *3009:9 *5647:41 6.01314e-05 -14 *3009:9 *5678:71 1.94879e-05 -15 *3009:9 *5755:192 0.000179786 -16 *27451:B1 *27451:C1 2.38501e-05 -17 *30434:A *3009:9 0.000169442 -18 *1262:220 *3009:9 0.000438644 -19 *1262:224 *3009:9 0.00010161 -20 *2885:66 *27451:C1 7.25873e-06 -21 *2885:82 *27451:C1 0.000103437 -*RES -1 *27450:X *3009:9 48.2464 -2 *3009:9 *27451:C1 21.7464 -*END - -*D_NET *3010 0.00296473 -*CONN -*I *27459:A I *D sky130_fd_sc_hd__or4_1 -*I *27451:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27459:A 0.000481764 -2 *27451:X 0.000481764 -3 *27459:A *27451:A1 3.06878e-06 -4 *27459:A *3865:38 0.000899212 -5 *27459:A *3955:16 0.000897442 -6 *27451:B1 *27459:A 5.49489e-05 -7 *1308:19 *27459:A 0.000124653 -8 *2845:392 *27459:A 2.18792e-05 -*RES -1 *27451:X *27459:A 41.4393 -*END - -*D_NET *3011 0.000518235 -*CONN -*I *27453:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27452:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *27453:B2 7.04497e-05 -2 *27452:X 7.04497e-05 -3 *27453:B2 *27811:B2 5.33005e-05 -4 *27453:B2 *3760:12 0.000149911 -5 *27453:B2 *5649:91 2.59355e-05 -6 *2882:185 *27453:B2 0.000148189 -*RES -1 *27452:X *27453:B2 30.0821 -*END - -*D_NET *3012 0.00232055 -*CONN -*I *27454:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27453:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27454:C1 0.000513373 -2 *27453:X 0.000513373 -3 *27454:C1 *27454:A1 2.89016e-05 -4 *27454:C1 *27454:B2 7.26553e-05 -5 *27454:C1 *3215:178 0.00024361 -6 *27454:C1 *5936:25 2.21721e-05 -7 *27454:A2 *27454:C1 0.000101545 -8 *1292:223 *27454:C1 0.0006337 -9 *1328:179 *27454:C1 4.97121e-06 -10 *2859:313 *27454:C1 6.29573e-05 -11 *2860:363 *27454:C1 0.000123295 -*RES -1 *27453:X *27454:C1 39.7696 -*END - -*D_NET *3013 0.00545242 -*CONN -*I *27459:B I *D sky130_fd_sc_hd__or4_1 -*I *27454:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27459:B 0 -2 *27454:X 0.00155267 -3 *3013:11 0.00155267 -4 *3013:11 *3018:22 0.000339346 -5 *3013:11 *3193:60 0.000385003 -6 *3013:11 *3904:38 0.000383295 -7 *3013:11 *4186:34 0.00108038 -8 *1355:20 *3013:11 0.000159071 -*RES -1 *27454:X *3013:11 40.7821 -2 *3013:11 *27459:B 9.3 -*END - -*D_NET *3014 0.00352888 -*CONN -*I *27456:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27455:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27456:C1 0.000924678 -2 *27455:X 0.000924678 -3 *27456:C1 *27456:B2 4.59895e-05 -4 *27456:C1 *27459:C 1.97695e-05 -5 *27456:C1 *28757:CLK 0.00034454 -6 *27456:C1 *3682:48 0.000348379 -7 *27456:C1 *4186:34 0.000888863 -8 *27456:B1 *27456:C1 3.19871e-05 -*RES -1 *27455:X *27456:C1 42.8321 -*END - -*D_NET *3015 0.00162193 -*CONN -*I *27459:C I *D sky130_fd_sc_hd__or4_1 -*I *27456:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27459:C 0.000608553 -2 *27456:X 0.000608553 -3 *27459:C *27456:A1 0.000135028 -4 *27459:C *27456:B2 8.43535e-06 -5 *27459:C *3018:22 6.57032e-05 -6 *27456:B1 *27459:C 0.000175892 -7 *27456:C1 *27459:C 1.97695e-05 -*RES -1 *27456:X *27459:C 26.8679 -*END - -*D_NET *3016 0.00146855 -*CONN -*I *27458:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27457:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27458:C1 0.000497705 -2 *27457:X 0.000497705 -3 *27458:C1 *3165:27 0.000150618 -4 *2861:42 *27458:C1 0.000150618 -5 *2872:186 *27458:C1 0.000123116 -6 *2888:183 *27458:C1 4.87854e-05 -*RES -1 *27457:X *27458:C1 34.6 -*END - -*D_NET *3017 0.00578107 -*CONN -*I *27459:D I *D sky130_fd_sc_hd__or4_1 -*I *27458:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27459:D 0 -2 *27458:X 0.000793834 -3 *3017:10 0.000793834 -4 *3017:10 *27458:A1 0.000135028 -5 *3017:10 *27816:A 0.000286278 -6 *3017:10 *3800:29 0.0019589 -7 *3017:10 *3955:16 2.58124e-05 -8 *3017:10 *5639:61 2.06178e-05 -9 *1308:19 *3017:10 0.000177983 -10 *2864:132 *3017:10 0.00158878 -*RES -1 *27458:X *3017:10 45.2821 -2 *3017:10 *27459:D 9.3 -*END - -*D_NET *3018 0.0138361 -*CONN -*I *27460:B2 I *D sky130_fd_sc_hd__o221a_1 -*I *27459:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27460:B2 0.00068299 -2 *27459:X 0.00113503 -3 *3018:30 0.00184589 -4 *3018:22 0.00229792 -5 *27460:B2 *27442:B2 0.000280913 -6 *27460:B2 *27460:A1 9.61857e-05 -7 *27460:B2 *27818:A1 3.10819e-05 -8 *27460:B2 *3669:20 0.00158159 -9 *27460:B2 *3968:20 2.35889e-05 -10 *27460:B2 *3968:22 0.00022123 -11 *27460:B2 *5680:241 0 -12 *27460:B2 *6343:22 9.4337e-05 -13 *3018:22 *25031:B2 6.06291e-05 -14 *3018:22 *27815:B2 1.87916e-05 -15 *3018:22 *27816:C 0.000653663 -16 *3018:22 *3165:27 1.55559e-05 -17 *3018:22 *3193:59 0.000103347 -18 *3018:22 *3362:11 6.32065e-05 -19 *3018:22 *3864:63 0.000125466 -20 *3018:22 *3878:43 0.000759862 -21 *3018:22 *5467:18 1.13469e-05 -22 *3018:30 *29711:A 9.25014e-06 -23 *3018:30 *3669:20 2.59045e-05 -24 *3018:30 *3968:20 6.7848e-05 -25 *3018:30 *5755:51 0.000793456 -26 *25017:C1 *27460:B2 0.000122947 -27 *27459:C *3018:22 6.57032e-05 -28 *27460:A2 *27460:B2 4.19624e-06 -29 *27460:B1 *27460:B2 1.52392e-05 -30 *1328:156 *3018:30 0.000377635 -31 *1328:198 *3018:30 0.000198839 -32 *1355:20 *3018:22 1.24368e-05 -33 *1385:16 *3018:22 5.05056e-05 -34 *1385:25 *3018:22 0.000107157 -35 *2764:209 *3018:22 0.000689941 -36 *2856:294 *3018:22 5.3222e-05 -37 *2889:162 *3018:22 0.000665203 -38 *2895:256 *3018:22 0.000134615 -39 *3013:11 *3018:22 0.000339346 -*RES -1 *27459:X *3018:22 47.2971 -2 *3018:22 *3018:30 29.8482 -3 *3018:30 *27460:B2 35.6393 -*END - -*D_NET *3019 0.0221725 -*CONN -*I *27461:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *27460:X O *D sky130_fd_sc_hd__o221a_1 -*CAP -1 *27461:B1 0 -2 *27460:X 5.54804e-05 -3 *3019:21 0.0017855 -4 *3019:8 0.00459524 -5 *3019:7 0.00286522 -6 *3019:8 *28617:CLK 0.00163215 -7 *3019:8 *30816:A 7.17932e-05 -8 *3019:8 *3191:10 0.000117228 -9 *3019:8 *3216:26 9.73932e-05 -10 *3019:8 *3670:14 0.00019322 -11 *3019:8 *3670:24 0.00150592 -12 *3019:8 *5680:257 9.818e-05 -13 *3019:8 *5687:212 0.000123295 -14 *3019:8 *5970:43 0.000298932 -15 *3019:8 *6385:141 0 -16 *3019:21 *27461:A2 5.33005e-05 -17 *3019:21 *28632:RESET_B 0.000323965 -18 *3019:21 *3572:72 5.33005e-05 -19 *3019:21 *5680:257 0 -20 *3019:21 *5713:208 0.00164796 -21 *3019:21 *6385:139 6.87528e-05 -22 *24991:B1 *3019:21 0.000142352 -23 *27258:A *3019:8 0.000164788 -24 *27268:B1 *3019:8 6.59765e-05 -25 *27601:A *3019:8 0.00146436 -26 *27613:A *3019:8 0.00012026 -27 *27654:B *3019:8 7.83659e-05 -28 *27657:A *3019:8 0.00014576 -29 *28782:D *3019:8 0.000220448 -30 *30523:A *3019:21 0.000223904 -31 *1185:52 *3019:8 0.000926211 -32 *1185:54 *3019:8 0.00077135 -33 *1218:154 *3019:21 0.000142352 -34 *1282:77 *3019:8 0.000120504 -35 *1331:15 *3019:8 0.000686882 -36 *2778:14 *3019:8 0.000214481 -37 *2778:14 *3019:21 8.58829e-05 -38 *2778:35 *3019:21 1.34009e-05 -39 *2823:29 *3019:8 0.000164645 -40 *2824:14 *3019:8 1.20719e-05 -41 *2832:15 *3019:8 7.34634e-05 -42 *2832:95 *3019:8 0.00074817 -*RES -1 *27460:X *3019:7 14.3357 -2 *3019:7 *3019:8 83.4554 -3 *3019:8 *3019:21 41.0446 -4 *3019:21 *27461:B1 9.3 -*END - -*D_NET *3020 0.00453904 -*CONN -*I *27462:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27461:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *27462:A1 0 -2 *27461:X 0.00131553 -3 *3020:15 0.00131553 -4 *3020:15 *25018:A1 2.05938e-05 -5 *3020:15 *28705:CLK 0.000348347 -6 *3020:15 *3943:17 0.000147474 -7 *3020:15 *5667:230 0.00037316 -8 *3020:15 *5720:13 0.000267551 -9 *24991:B1 *3020:15 6.5752e-05 -10 *25015:A2 *3020:15 0.00012359 -11 *1273:265 *3020:15 5.33978e-05 -12 *1278:58 *3020:15 0.000449967 -13 *1799:19 *3020:15 2.84494e-05 -14 *1799:51 *3020:15 2.96948e-05 -*RES -1 *27461:X *3020:15 48.2286 -2 *3020:15 *27462:A1 9.3 -*END - -*D_NET *3021 0.00338422 -*CONN -*I *27464:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27463:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27464:C1 0.00115279 -2 *27463:X 0.00115279 -3 *27464:C1 *27464:B2 1.33343e-05 -4 *27464:C1 *27825:A1 0.000714116 -5 *27464:C1 *5836:45 0.000296351 -6 *27464:B1 *27464:C1 6.05161e-06 -7 *2885:129 *27464:C1 4.87854e-05 -*RES -1 *27463:X *27464:C1 35.6 -*END - -*D_NET *3022 0.00541439 -*CONN -*I *27471:A I *D sky130_fd_sc_hd__or4_1 -*I *27464:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27471:A 0 -2 *27464:X 0.000769557 -3 *3022:11 0.000769557 -4 *3022:11 *24982:B1 0.000146474 -5 *3022:11 *27464:A1 9.41642e-05 -6 *3022:11 *3028:21 2.0954e-05 -7 *3022:11 *3029:10 9.50968e-06 -8 *3022:11 *3721:14 0.00148403 -9 *3022:11 *3774:36 1.17921e-05 -10 *3022:11 *4085:34 0.000265115 -11 *1391:11 *3022:11 1.43864e-05 -12 *2764:152 *3022:11 0.000324575 -13 *2792:96 *3022:11 3.51235e-05 -14 *2792:102 *3022:11 0.0012961 -15 *2872:224 *3022:11 0.000173055 -*RES -1 *27464:X *3022:11 43.2464 -2 *3022:11 *27471:A 9.3 -*END - -*D_NET *3023 0.00113667 -*CONN -*I *27466:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27465:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27466:C1 0.000335826 -2 *27465:X 0.000335826 -3 *27466:C1 *27465:B2 0.000175574 -4 *27466:C1 *4112:21 0.000144722 -5 *2792:102 *27466:C1 0 -6 *2792:104 *27466:C1 0.000144722 -*RES -1 *27465:X *27466:C1 32.7205 -*END - -*D_NET *3024 0.00389653 -*CONN -*I *27471:B I *D sky130_fd_sc_hd__or4_1 -*I *27466:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27471:B 0.000691102 -2 *27466:X 0.000691102 -3 *27471:B *3028:21 8.25843e-06 -4 *27471:B *3029:10 9.41642e-05 -5 *27466:A2 *27471:B 2.06112e-05 -6 *1250:245 *27471:B 0.00112914 -7 *1267:221 *27471:B 0.000154703 -8 *2877:253 *27471:B 0.00110745 -*RES -1 *27466:X *27471:B 45.7071 -*END - -*D_NET *3025 0.00140833 -*CONN -*I *27468:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *27467:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27468:B1 0.000316921 -2 *27467:X 0.000316921 -3 *27468:B1 *27471:C 1.58163e-05 -4 *27468:B1 *3174:225 2.13969e-05 -5 *27468:B1 *3185:180 6.57648e-05 -6 *27468:B1 *3205:270 6.89882e-06 -7 *27468:B1 *3690:61 6.85631e-05 -8 *27468:B1 *5633:63 6.43424e-05 -9 *27468:B1 *5708:211 5.33005e-05 -10 *25056:B1 *27468:B1 6.24939e-05 -11 *1269:238 *27468:B1 0.000415914 -*RES -1 *27467:X *27468:B1 37.4192 -*END - -*D_NET *3026 0.00426408 -*CONN -*I *27471:C I *D sky130_fd_sc_hd__or4_1 -*I *27468:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *27471:C 0.00095159 -2 *27468:X 0.00095159 -3 *27471:C *27468:A1 0.000120895 -4 *27471:C *3028:21 2.59355e-05 -5 *27471:C *3029:10 0.000298483 -6 *27471:C *3690:61 6.05161e-06 -7 *27471:C *4134:107 0.000125162 -8 *27471:C *5708:211 2.08411e-05 -9 *27468:B1 *27471:C 1.58163e-05 -10 *1266:148 *27471:C 0.000300412 -11 *2883:188 *27471:C 0.000722796 -12 *2895:266 *27471:C 0.000724511 -*RES -1 *27468:X *27471:C 46.9393 -*END - -*D_NET *3027 0.000701066 -*CONN -*I *27470:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27469:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27470:C1 0.000248135 -2 *27469:X 0.000248135 -3 *27470:C1 *3722:23 0 -4 *27470:C1 *4073:26 6.57032e-05 -5 *27470:C1 *5662:52 6.05161e-06 -6 *27469:A2 *27470:C1 1.24368e-05 -7 *27469:B1 *27470:C1 0 -8 *27470:B1 *27470:C1 0.000120604 -*RES -1 *27469:X *27470:C1 23.2786 -*END - -*D_NET *3028 0.00419718 -*CONN -*I *27471:D I *D sky130_fd_sc_hd__or4_1 -*I *27470:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27471:D 0 -2 *27470:X 0.00126958 -3 *3028:21 0.00126958 -4 *3028:21 *27470:A1 0.000140714 -5 *3028:21 *3029:10 4.54856e-05 -6 *3028:21 *3722:23 1.5872e-05 -7 *3028:21 *4112:21 0.000144035 -8 *27469:A2 *3028:21 0.000312796 -9 *27470:B1 *3028:21 0.00046065 -10 *27471:B *3028:21 8.25843e-06 -11 *27471:C *3028:21 2.59355e-05 -12 *1368:46 *3028:21 0.00014232 -13 *2892:209 *3028:21 0.000341 -14 *3022:11 *3028:21 2.0954e-05 -*RES -1 *27470:X *3028:21 48.3536 -2 *3028:21 *27471:D 9.3 -*END - -*D_NET *3029 0.0135366 -*CONN -*I *27482:B1 I *D sky130_fd_sc_hd__o221a_2 -*I *27471:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27482:B1 2.57034e-06 -2 *27471:X 0.00102917 -3 *3029:20 0.00215153 -4 *3029:10 0.00317813 -5 *3029:10 *3193:60 0.00165441 -6 *3029:10 *3370:18 0.000238706 -7 *3029:10 *4134:107 0.000154703 -8 *3029:10 *5892:63 0.000367035 -9 *3029:20 *27474:A 0.000132129 -10 *3029:20 *27830:A1 4.50033e-05 -11 *3029:20 *3039:13 6.99935e-05 -12 *3029:20 *3761:18 5.38776e-05 -13 *3029:20 *3850:24 0.000943363 -14 *3029:20 *3916:20 6.96886e-05 -15 *3029:20 *4085:62 0.00056444 -16 *3029:20 *5535:51 0.000191774 -17 *3029:20 *5814:12 7.81028e-05 -18 *26984:S *3029:20 4.61308e-05 -19 *27471:B *3029:10 9.41642e-05 -20 *27471:C *3029:10 0.000298483 -21 *27482:A2 *27482:B1 1.38106e-05 -22 *27482:A2 *3029:20 7.48301e-06 -23 *1268:74 *3029:20 0.000753466 -24 *1368:46 *3029:20 0.000295239 -25 *2758:132 *3029:20 8.15251e-05 -26 *2770:53 *3029:20 9.87421e-06 -27 *2856:314 *3029:10 0.000813888 -28 *2866:241 *3029:20 4.38243e-05 -29 *2888:194 *3029:20 9.91086e-05 -30 *3022:11 *3029:10 9.50968e-06 -31 *3028:21 *3029:10 4.54856e-05 -*RES -1 *27471:X *3029:10 45.8536 -2 *3029:10 *3029:20 48.4107 -3 *3029:20 *27482:B1 13.9295 -*END - -*D_NET *3030 0.0013274 -*CONN -*I *27473:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27472:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27473:C1 0.00031423 -2 *27472:X 0.00031423 -3 *27473:C1 *27473:B2 1.32056e-05 -4 *27473:C1 *27826:A1 6.42095e-05 -5 *27473:C1 *3800:29 0.000151136 -6 *27473:C1 *3891:89 0.000182927 -7 *27473:C1 *5643:303 0.000139631 -8 *2872:186 *27473:C1 0.000147835 -*RES -1 *27472:X *27473:C1 33.9214 -*END - -*D_NET *3031 0.00581107 -*CONN -*I *27481:A I *D sky130_fd_sc_hd__or4_1 -*I *27473:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27481:A 0 -2 *27473:X 0.000900258 -3 *3031:10 0.000900258 -4 *3031:10 *27481:C 0.000110176 -5 *3031:10 *3386:22 0.000578355 -6 *3031:10 *3956:17 0.00138633 -7 *3031:10 *3956:25 0.000622678 -8 *3031:10 *5643:303 0.000302665 -9 *1308:19 *3031:10 0.000108872 -10 *2794:183 *3031:10 2.04866e-05 -11 *2872:186 *3031:10 0.000880993 -*RES -1 *27473:X *3031:10 47.2286 -2 *3031:10 *27481:A 9.3 -*END - -*D_NET *3032 0.00106437 -*CONN -*I *27475:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27474:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *27475:B2 0.000167866 -2 *27474:X 0.000167866 -3 *27475:B2 *27474:A 9.41642e-05 -4 *27475:B2 *5601:40 0.000317594 -5 *2844:265 *27475:B2 0.00031688 -*RES -1 *27474:X *27475:B2 32.6179 -*END - -*D_NET *3033 0.00288045 -*CONN -*I *27476:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27475:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27476:C1 0.000662859 -2 *27475:X 0.000662859 -3 *27476:C1 *3991:34 0.000158752 -4 *27476:C1 *5658:44 2.06178e-05 -5 *27476:B1 *27476:C1 0.000175892 -6 *2882:189 *27476:C1 0.000879435 -7 *2998:14 *27476:C1 0.000320038 -*RES -1 *27475:X *27476:C1 42.0643 -*END - -*D_NET *3034 0.00562707 -*CONN -*I *27481:B I *D sky130_fd_sc_hd__or4_1 -*I *27476:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27481:B 0.000369833 -2 *27476:X 0.00109796 -3 *3034:16 0.00146779 -4 *27481:B *3039:13 0.000466012 -5 *3034:16 *27480:C1 7.55747e-05 -6 *3034:16 *27829:B1 1.10868e-05 -7 *3034:16 *27830:C1 0.000219289 -8 *3034:16 *3193:60 0.000210086 -9 *3034:16 *3370:18 0.000409298 -10 *3034:16 *5644:62 0.000589138 -11 *3034:16 *5650:214 0.000198839 -12 *3034:16 *5650:231 9.58126e-05 -13 *1385:34 *3034:16 7.40571e-05 -14 *1490:128 *3034:16 0.000342302 -*RES -1 *27476:X *3034:16 48.2464 -2 *3034:16 *27481:B 13.9429 -*END - -*D_NET *3035 0.00218152 -*CONN -*I *27478:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27477:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27478:C1 0.000597661 -2 *27477:X 0.000597661 -3 *27478:C1 *27477:B2 5.33005e-05 -4 *27478:C1 *27478:A1 1.73088e-05 -5 *27478:C1 *27478:B2 3.00808e-05 -6 *27478:C1 *3177:61 1.90936e-05 -7 *27478:C1 *3206:219 0.000155083 -8 *27478:C1 *3787:56 4.82947e-05 -9 *27478:C1 *3878:49 2.21972e-05 -10 *27478:C1 *5604:91 0 -11 *27478:C1 *5654:52 1.03072e-05 -12 *27478:C1 *5656:240 1.41203e-05 -13 *1258:100 *27478:C1 0.000578192 -14 *2859:331 *27478:C1 6.23292e-06 -15 *2891:147 *27478:C1 3.19871e-05 -*RES -1 *27477:X *27478:C1 38.4409 -*END - -*D_NET *3036 0.00236022 -*CONN -*I *27481:C I *D sky130_fd_sc_hd__or4_1 -*I *27478:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27481:C 0.000299392 -2 *27478:X 0.000299392 -3 *27481:C *27481:D 3.51442e-05 -4 *27481:C *3956:25 0.000649279 -5 *1250:243 *27481:C 0.00083936 -6 *1308:19 *27481:C 0.000106864 -7 *2872:186 *27481:C 2.06112e-05 -8 *3031:10 *27481:C 0.000110176 -*RES -1 *27478:X *27481:C 39.2607 -*END - -*D_NET *3037 0.000799664 -*CONN -*I *27480:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27479:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27480:C1 0.000221153 -2 *27479:X 0.000221153 -3 *27480:C1 *3345:6 0.00014712 -4 *1385:34 *27480:C1 3.57844e-05 -5 *1490:128 *27480:C1 9.88805e-05 -6 *3034:16 *27480:C1 7.55747e-05 -*RES -1 *27479:X *27480:C1 32.1357 -*END - -*D_NET *3038 0.00044173 -*CONN -*I *27481:D I *D sky130_fd_sc_hd__or4_1 -*I *27480:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27481:D 8.07095e-05 -2 *27480:X 8.07095e-05 -3 *27481:D *3956:25 5.74562e-05 -4 *27481:C *27481:D 3.51442e-05 -5 *1250:243 *27481:D 0.00018771 -*RES -1 *27480:X *27481:D 30.0821 -*END - -*D_NET *3039 0.00597336 -*CONN -*I *27482:B2 I *D sky130_fd_sc_hd__o221a_2 -*I *27481:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27482:B2 0 -2 *27481:X 0.00181077 -3 *3039:13 0.00181077 -4 *3039:13 *27482:A1 0.000124471 -5 *3039:13 *27482:C1 0 -6 *3039:13 *5535:51 0.000510213 -7 *27479:A2 *3039:13 2.98258e-05 -8 *27480:B1 *3039:13 0.000426181 -9 *27481:B *3039:13 0.000466012 -10 *27482:A2 *3039:13 5.52302e-05 -11 *1268:74 *3039:13 0.000442743 -12 *2856:302 *3039:13 0.000136951 -13 *2885:97 *3039:13 9.02017e-05 -14 *3029:20 *3039:13 6.99935e-05 -*RES -1 *27481:X *3039:13 41.2286 -2 *3039:13 *27482:B2 9.3 -*END - -*D_NET *3040 0.052144 -*CONN -*I *27483:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *27482:X O *D sky130_fd_sc_hd__o221a_2 -*CAP -1 *27483:B1 1.89143e-05 -2 *27482:X 0.00368273 -3 *3040:41 0.0101207 -4 *3040:31 0.0122032 -5 *3040:19 0.00578408 -6 *27483:B1 *5129:18 4.28249e-05 -7 *27483:B1 *6191:10 4.28249e-05 -8 *3040:19 *25026:A1 0.000118823 -9 *3040:19 *27482:A1 0.000229727 -10 *3040:19 *28485:RESET_B 0.000123067 -11 *3040:19 *3185:48 4.65519e-05 -12 *3040:19 *3537:50 0.000819568 -13 *3040:19 *3670:47 0.00039561 -14 *3040:19 *3670:54 0.000230499 -15 *3040:19 *3798:15 0.000667677 -16 *3040:19 *4121:77 0.000207612 -17 *3040:19 *4121:81 0.000320875 -18 *3040:19 *5589:166 0.00025073 -19 *3040:19 *5601:37 0 -20 *3040:19 *5631:26 0.000408397 -21 *3040:19 *5633:47 0.000158357 -22 *3040:19 *5658:44 0 -23 *3040:19 *5667:348 1.1257e-05 -24 *3040:19 *5680:215 0.000489219 -25 *3040:19 *5714:36 0.000304677 -26 *3040:19 *5814:21 0.000312013 -27 *3040:31 *3537:73 0.00100389 -28 *3040:31 *3669:20 4.19624e-06 -29 *3040:31 *3968:20 0.0013363 -30 *3040:31 *4927:15 0.000184966 -31 *3040:31 *5467:18 0.000223132 -32 *3040:31 *5631:20 1.8599e-05 -33 *3040:31 *5631:26 0.00150881 -34 *3040:31 *5714:29 8.99561e-05 -35 *3040:41 *27443:B2 0.000114468 -36 *3040:41 *3147:27 0.000193808 -37 *3040:41 *3165:192 0.000372655 -38 *3040:41 *3825:39 0.000197252 -39 *3040:41 *3850:24 0.000116701 -40 *3040:41 *3851:31 0 -41 *3040:41 *5531:18 0.000672901 -42 *3040:41 *5633:26 7.27141e-05 -43 *3040:41 *5638:16 2.83129e-05 -44 *3040:41 *5653:19 0.000191948 -45 *3040:41 *5675:213 0.000431379 -46 *3040:41 *5680:257 0 -47 *27443:A2 *3040:41 9.10327e-05 -48 *27445:B1 *3040:41 5.37466e-05 -49 *27615:A_N *3040:41 0.000108889 -50 *30372:A *3040:31 2.11068e-05 -51 *30613:A *3040:41 0.000555898 -52 *74:11 *3040:31 4.65519e-05 -53 *1245:142 *3040:41 3.64034e-05 -54 *1264:116 *3040:41 0.000244392 -55 *1339:10 *3040:41 0.00023996 -56 *1363:126 *3040:19 0.000165782 -57 *1363:127 *3040:19 0.000750085 -58 *1368:23 *3040:31 0.00241129 -59 *1368:23 *3040:41 0.000234866 -60 *1798:19 *3040:41 0.000424362 -61 *1826:117 *3040:19 0 -62 *2827:65 *3040:41 0.00011926 -63 *2838:31 *3040:41 0.000178134 -64 *2844:153 *3040:41 0.000428824 -65 *2848:384 *3040:41 7.47638e-05 -66 *2852:297 *3040:41 0.000171784 -67 *2882:188 *3040:19 0.000265778 -68 *2885:35 *3040:41 0.0014846 -69 *2885:58 *3040:31 0.000258677 -70 *2892:161 *3040:19 0 -71 *2895:227 *3040:31 2.59024e-05 -*RES -1 *27482:X *3040:19 38.112 -2 *3040:19 *3040:31 40.3103 -3 *3040:31 *3040:41 48.5836 -4 *3040:41 *27483:B1 17.7904 -*END - -*D_NET *3041 0.0182047 -*CONN -*I *27484:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *6531:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27483:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *27484:A1 0 -2 *6531:DIODE 0.00018612 -3 *27483:X 0.000256689 -4 *3041:25 0.00211443 -5 *3041:15 0.00457655 -6 *3041:8 0.00290492 -7 *3041:8 *5129:18 0.000529853 -8 *3041:8 *5667:244 1.17968e-05 -9 *3041:8 *6191:17 3.25078e-05 -10 *3041:15 *27143:A0 5.33005e-05 -11 *3041:15 *28792:D 0.000390987 -12 *3041:15 *28793:D 4.70078e-05 -13 *3041:15 *29009:A 5.33005e-05 -14 *3041:15 *5399:17 3.61629e-06 -15 *3041:15 *5675:191 9.41642e-05 -16 *3041:15 *6100:17 0.00097785 -17 *3041:15 *6320:71 0.000235838 -18 *3041:15 *6385:139 0.000487467 -19 *3041:15 *6386:8 0.000105471 -20 *3041:25 *5581:8 2.86754e-05 -21 *3041:25 *5694:133 6.33321e-05 -22 *3041:25 *6100:17 0.00026993 -23 *3041:25 *6319:42 0.000252061 -24 *3041:25 *6320:17 0.000465801 -25 *3041:25 *6350:35 0.000178847 -26 *25018:A2 *3041:15 0.000109108 -27 *25018:C1 *3041:8 0.000571302 -28 *25018:C1 *3041:15 5.33005e-05 -29 *371:15 *3041:15 0.000139907 -30 *1273:265 *3041:15 7.20293e-05 -31 *1799:51 *3041:15 0.00104744 -32 *1826:46 *3041:25 6.52967e-05 -33 *1826:61 *6531:DIODE 0.000366458 -34 *1826:61 *3041:25 0.00128623 -35 *2800:16 *3041:15 5.19805e-05 -36 *2831:17 *3041:25 0.000121085 -*RES -1 *27483:X *3041:8 26.3179 -2 *3041:8 *3041:15 49.5357 -3 *3041:15 *3041:25 35.3377 -4 *3041:25 *6531:DIODE 13.1393 -5 *3041:25 *27484:A1 9.3 -*END - -*D_NET *3042 0.00198065 -*CONN -*I *27486:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27485:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27486:C1 0.000455569 -2 *27485:X 0.000455569 -3 *27486:C1 *27493:C 0.000137705 -4 *27486:C1 *3164:84 2.04825e-05 -5 *27486:C1 *3197:164 8.71598e-06 -6 *27486:C1 *3905:39 9.87983e-06 -7 *2848:146 *27486:C1 0.000348207 -8 *2865:115 *27486:C1 9.6732e-05 -9 *2871:62 *27486:C1 0.00011865 -10 *2872:90 *27486:C1 0.000329143 -*RES -1 *27485:X *27486:C1 36.7429 -*END - -*D_NET *3043 0.000832988 -*CONN -*I *27493:A I *D sky130_fd_sc_hd__or4_1 -*I *27486:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27493:A 0.000312504 -2 *27486:X 0.000312504 -3 *27493:A *27493:C 1.17966e-05 -4 *27493:A *27493:D 0.000137561 -5 *27493:A *3164:84 3.43708e-05 -6 *27486:A2 *27493:A 2.42516e-05 -*RES -1 *27486:X *27493:A 22.8679 -*END - -*D_NET *3044 0.000812424 -*CONN -*I *27488:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27487:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27488:C1 0.000280907 -2 *27487:X 0.000280907 -3 *27488:C1 *3957:27 3.69047e-06 -4 *27488:C1 *4100:26 6.42145e-05 -5 *2871:105 *27488:C1 0.000182705 -*RES -1 *27487:X *27488:C1 31.8321 -*END - -*D_NET *3045 0.00687664 -*CONN -*I *27493:B I *D sky130_fd_sc_hd__or4_1 -*I *27488:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27493:B 0.000435369 -2 *27488:X 0.00111783 -3 *3045:10 0.0015532 -4 *27493:B *3164:84 0.00054855 -5 *3045:10 *27862:B2 5.41557e-05 -6 *3045:10 *3085:12 1.87629e-05 -7 *3045:10 *3945:34 9.25014e-06 -8 *3045:10 *3971:23 8.61512e-05 -9 *3045:10 *3971:42 6.74279e-05 -10 *3045:10 *4100:26 0.000359901 -11 *3045:10 *5712:117 0.000158902 -12 *1411:97 *3045:10 4.32309e-05 -13 *2850:73 *3045:10 0.000118585 -14 *2855:72 *3045:10 0.000889187 -15 *2871:79 *3045:10 0.00129329 -16 *2871:81 *3045:10 7.80388e-05 -17 *2871:105 *3045:10 4.48032e-05 -*RES -1 *27488:X *3045:10 46.6214 -2 *3045:10 *27493:B 14.7643 -*END - -*D_NET *3046 0.0019453 -*CONN -*I *27490:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *27489:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27490:B1 0.000285756 -2 *27489:X 0.000285756 -3 *27490:B1 *27485:B2 0.000300406 -4 *27490:B1 *27865:C 9.96717e-06 -5 *27490:B1 *3212:94 0.000104077 -6 *27490:B1 *5461:7 0.00034127 -7 *27490:B1 *5651:56 0.000212219 -8 *27490:B1 *5657:155 0.00031728 -9 *6564:DIODE *27490:B1 8.25843e-06 -10 *2882:96 *27490:B1 8.03121e-05 -*RES -1 *27489:X *27490:B1 36.6 -*END - -*D_NET *3047 0.00302799 -*CONN -*I *27493:C I *D sky130_fd_sc_hd__or4_1 -*I *27490:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *27493:C 0.000461374 -2 *27490:X 0.000461374 -3 *27493:C *27486:A1 1.01803e-05 -4 *27493:C *27486:B2 0.000133602 -5 *27493:C *27493:D 1.34548e-05 -6 *27493:C *3164:84 0.000517331 -7 *27493:C *3905:39 0.000728445 -8 *27493:C *4139:82 3.93452e-05 -9 *27486:A2 *27493:C 0.000257932 -10 *27486:B1 *27493:C 2.65563e-07 -11 *27486:C1 *27493:C 0.000137705 -12 *27493:A *27493:C 1.17966e-05 -13 *2871:62 *27493:C 0.000120154 -14 *2874:68 *27493:C 0.000135028 -*RES -1 *27490:X *27493:C 32.4393 -*END - -*D_NET *3048 0.00393856 -*CONN -*I *27492:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27491:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27492:C1 0.00108261 -2 *27491:X 0.00108261 -3 *27492:C1 *3197:164 0.000146474 -4 *27492:C1 *3713:63 0.000165703 -5 *27492:C1 *3856:26 0.00108822 -6 *27492:C1 *3892:57 9.60939e-05 -7 *2855:60 *27492:C1 0.00018077 -8 *2865:98 *27492:C1 9.60939e-05 -*RES -1 *27491:X *27492:C1 43.35 -*END - -*D_NET *3049 0.000581211 -*CONN -*I *27493:D I *D sky130_fd_sc_hd__or4_1 -*I *27492:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27493:D 9.50997e-05 -2 *27492:X 9.50997e-05 -3 *27493:D *3666:77 9.49928e-05 -4 *27493:D *3958:34 9.67075e-05 -5 *27486:A2 *27493:D 4.82947e-05 -6 *27493:A *27493:D 0.000137561 -7 *27493:C *27493:D 1.34548e-05 -*RES -1 *27492:X *27493:D 30.2964 -*END - -*D_NET *3050 0.00504178 -*CONN -*I *27504:B1 I *D sky130_fd_sc_hd__o221a_1 -*I *27493:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27504:B1 0 -2 *27493:X 0.00149191 -3 *3050:10 0.00149191 -4 *3050:10 *27496:B 0.000162929 -5 *3050:10 *27539:B2 0.000334534 -6 *3050:10 *3164:84 0.000231488 -7 *3050:10 *3762:74 0.000124534 -8 *3050:10 *3806:62 0.000801739 -9 *3050:10 *3908:85 9.41642e-05 -10 *3050:10 *5510:98 3.8184e-05 -11 *3050:10 *5717:104 0.000257821 -12 *27504:A2 *3050:10 4.6025e-06 -13 *27510:B1 *3050:10 7.95917e-06 -*RES -1 *27493:X *3050:10 43.6036 -2 *3050:10 *27504:B1 9.3 -*END - -*D_NET *3051 0.00823033 -*CONN -*I *27495:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27494:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27495:C1 0 -2 *27494:X 0.000273078 -3 *3051:23 0.00191693 -4 *3051:10 0.00219 -5 *3051:10 *27494:A1 0.000136682 -6 *3051:10 *27900:B1 9.91086e-05 -7 *3051:10 *27900:C1 0.000100823 -8 *3051:23 *27495:B2 7.44694e-05 -9 *3051:23 *27851:A1 0.000686629 -10 *3051:23 *27903:B2 0.000466394 -11 *3051:23 *3081:8 0.000227822 -12 *3051:23 *3909:19 1.95475e-05 -13 *3051:23 *3909:31 8.95982e-05 -14 *3051:23 *3957:43 5.39376e-05 -15 *3051:23 *5634:71 9.67087e-05 -16 *3051:23 *5936:78 0.000344829 -17 *2853:61 *3051:10 1.54142e-05 -18 *2853:66 *3051:10 0.000138881 -19 *2889:231 *3051:23 0.00129948 -*RES -1 *27494:X *3051:10 22.6393 -2 *3051:10 *3051:23 44.0848 -3 *3051:23 *27495:C1 9.3 -*END - -*D_NET *3052 0.00118745 -*CONN -*I *27503:A I *D sky130_fd_sc_hd__or4_1 -*I *27495:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27503:A 0.000228276 -2 *27495:X 0.000228276 -3 *27503:A *27495:A1 1.3701e-05 -4 *27503:A *3840:30 0.000107648 -5 *27495:B1 *27503:A 7.43578e-06 -6 *1899:31 *27503:A 0.000433937 -7 *1899:39 *27503:A 6.26177e-05 -8 *2855:72 *27503:A 0.000105559 -*RES -1 *27495:X *27503:A 24.9214 -*END - -*D_NET *3053 0.00235674 -*CONN -*I *27497:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27496:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *27497:B2 0.000657031 -2 *27496:X 0.000657031 -3 *27497:B2 *27491:B2 0.000142442 -4 *27497:B2 *3070:14 0.000146342 -5 *27497:B2 *3791:98 0.000753894 -*RES -1 *27496:X *27497:B2 37.0643 -*END - -*D_NET *3054 0.0019084 -*CONN -*I *27498:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27497:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27498:C1 0.000327086 -2 *27497:X 0.000327086 -3 *27498:C1 *27498:A1 8.09163e-05 -4 *27498:C1 *27498:B2 3.6297e-05 -5 *27497:A2 *27498:C1 1.98839e-05 -6 *27497:B1 *27498:C1 0.00014154 -7 *27498:B1 *27498:C1 3.7742e-05 -8 *27535:B1 *27498:C1 0.000706045 -9 *2872:47 *27498:C1 7.37323e-05 -10 *2872:57 *27498:C1 0.000158071 -*RES -1 *27497:X *27498:C1 27.5107 -*END - -*D_NET *3055 0.0065202 -*CONN -*I *27503:B I *D sky130_fd_sc_hd__or4_1 -*I *27498:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27503:B 0.000204664 -2 *27498:X 0.000923346 -3 *3055:16 0.00112801 -4 *3055:16 *3069:8 0.00103504 -5 *3055:16 *3085:12 1.90936e-05 -6 *3055:16 *3793:40 0.000820753 -7 *3055:16 *3970:40 0.000104587 -8 *3055:16 *5657:174 0.000627855 -9 *1619:25 *3055:16 0.000204854 -10 *1899:31 *27503:B 0.000259549 -11 *2844:52 *3055:16 0.00117335 -12 *2855:72 *3055:16 1.90936e-05 -*RES -1 *27498:X *3055:16 47.0624 -2 *3055:16 *27503:B 16.3893 -*END - -*D_NET *3056 0.00221077 -*CONN -*I *27500:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27499:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27500:C1 0.000562667 -2 *27499:X 0.000562667 -3 *27500:C1 *27500:B2 1.24368e-05 -4 *27500:C1 *27862:B2 0.000107222 -5 *27500:C1 *3404:20 0.000119498 -6 *27500:C1 *3724:33 0.000110824 -7 *27500:C1 *3840:27 0.000262397 -8 *27500:C1 *3957:43 8.55871e-05 -9 *27500:C1 *5489:49 0.000315173 -10 *27500:C1 *5493:25 4.00679e-05 -11 *1471:95 *27500:C1 2.49225e-05 -12 *2859:73 *27500:C1 7.30957e-06 -*RES -1 *27499:X *27500:C1 39.0821 -*END - -*D_NET *3057 0.000807749 -*CONN -*I *27503:C I *D sky130_fd_sc_hd__or4_1 -*I *27500:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27503:C 0.000193117 -2 *27500:X 0.000193117 -3 *27503:C *3065:10 0.000122812 -4 *27503:C *4101:28 0.000122812 -5 *27500:B1 *27503:C 0.000175892 -*RES -1 *27500:X *27503:C 31.0107 -*END - -*D_NET *3058 0.00282827 -*CONN -*I *27502:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27501:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27502:C1 0.000559322 -2 *27501:X 0.000559322 -3 *27502:C1 *27502:B2 9.98413e-06 -4 *27502:C1 *3944:29 1.59481e-05 -5 *27502:C1 *3944:45 2.41198e-05 -6 *27502:C1 *5717:108 0.000805394 -7 *27501:A2 *27502:C1 4.87854e-05 -8 *2888:117 *27502:C1 0.000805394 -*RES -1 *27501:X *27502:C1 41.3857 -*END - -*D_NET *3059 0.0045337 -*CONN -*I *27503:D I *D sky130_fd_sc_hd__or4_1 -*I *27502:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27503:D 0.00058044 -2 *27502:X 0.00058044 -3 *27503:D *3065:10 0.000855478 -4 *27503:D *3814:51 0.000942446 -5 *27503:D *3944:29 0.000514639 -6 *27503:D *3944:45 0.000259708 -7 *1411:97 *27503:D 0.000761911 -8 *2892:81 *27503:D 3.86415e-05 -*RES -1 *27502:X *27503:D 47.6893 -*END - -*D_NET *3060 0.00538524 -*CONN -*I *27504:B2 I *D sky130_fd_sc_hd__o221a_1 -*I *27503:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27504:B2 0 -2 *27503:X 0.00105679 -3 *3060:9 0.00105679 -4 *3060:9 *27496:B 9.75679e-05 -5 *3060:9 *27497:A1 6.05161e-06 -6 *3060:9 *27504:C1 7.62323e-05 -7 *3060:9 *3156:73 8.49829e-05 -8 *3060:9 *3775:55 1.02504e-05 -9 *3060:9 *3892:75 0.000107191 -10 *3060:9 *4101:28 0.000613664 -11 *3060:9 *4131:36 0.000287334 -12 *27542:A2 *3060:9 0.000506918 -13 *1470:20 *3060:9 9.80415e-05 -14 *2845:45 *3060:9 0.000120693 -15 *2895:97 *3060:9 0.00126272 -*RES -1 *27503:X *3060:9 47.6393 -2 *3060:9 *27504:B2 9.3 -*END - -*D_NET *3061 0.00880465 -*CONN -*I *27505:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *27504:X O *D sky130_fd_sc_hd__o221a_1 -*CAP -1 *27505:B1 2.72453e-05 -2 *27504:X 0 -3 *3061:15 0.00190849 -4 *3061:5 0.00188124 -5 *27505:B1 *28358:CLK 9.71197e-05 -6 *27505:B1 *3062:10 2.95726e-05 -7 *3061:15 *27526:A1 0.000596881 -8 *3061:15 *27571:B1 0.000106304 -9 *3061:15 *28358:CLK 3.53176e-05 -10 *3061:15 *3062:10 5.33005e-05 -11 *3061:15 *3672:8 0.000579466 -12 *3061:15 *3778:8 0.000121573 -13 *3061:15 *3778:25 0.00238961 -14 *3061:15 *5619:58 0.000401011 -15 *3061:15 *5718:130 0.000124019 -16 *27510:B1 *3061:15 3.52655e-05 -17 *27526:A2 *3061:15 0 -18 *1893:24 *3061:15 0.000119571 -19 *2856:68 *3061:15 0.000298659 -*RES -1 *27504:X *3061:5 13.8 -2 *3061:5 *3061:15 49.4464 -3 *3061:15 *27505:B1 10.2464 -*END - -*D_NET *3062 0.00479085 -*CONN -*I *27506:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27505:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *27506:A1 0 -2 *27505:X 0.00108043 -3 *3062:10 0.00108043 -4 *3062:10 *27505:A2 0.000523005 -5 *3062:10 *28358:CLK 1.96616e-05 -6 *3062:10 *3918:83 1.21317e-05 -7 *3062:10 *5510:34 0.000209565 -8 *3062:10 *5510:87 0.000280338 -9 *3062:10 *5734:8 0.000258902 -10 *3062:10 *5738:37 0.000134008 -11 *3062:10 *5738:59 0.000340055 -12 *27505:B1 *3062:10 2.95726e-05 -13 *1363:23 *3062:10 0.000531403 -14 *1430:29 *3062:10 0.000238053 -15 *3061:15 *3062:10 5.33005e-05 -*RES -1 *27505:X *3062:10 43.3714 -2 *3062:10 *27506:A1 9.3 -*END - -*D_NET *3063 0.00242708 -*CONN -*I *27508:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27507:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27508:C1 0.000343177 -2 *27507:X 0.000343177 -3 *27508:C1 *27508:A1 6.52727e-05 -4 *27508:C1 *27508:B2 4.57094e-05 -5 *27508:C1 *3880:23 0.0005201 -6 *27508:C1 *3882:34 0.00010508 -7 *27508:C1 *3893:52 0.000312743 -8 *27508:C1 *3960:43 0.000207118 -9 *27508:C1 *4131:35 9.64979e-05 -10 *27508:C1 *4140:24 0.000100823 -11 *27508:A2 *27508:C1 0.000287384 -*RES -1 *27507:X *27508:C1 39.5821 -*END - -*D_NET *3064 0.00498475 -*CONN -*I *27515:A I *D sky130_fd_sc_hd__or4_1 -*I *27508:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27515:A 0 -2 *27508:X 0.00133287 -3 *3064:13 0.00133287 -4 *3064:13 *27515:C 0.000228558 -5 *3064:13 *27526:B1 1.97695e-05 -6 *3064:13 *3666:77 0.000174763 -7 *3064:13 *3880:23 0.000848945 -8 *3064:13 *3892:37 0.000352672 -9 *3064:13 *3908:66 0.000221365 -10 *3064:13 *4140:47 0.000411148 -11 *25268:C1 *3064:13 5.49489e-05 -12 *1323:17 *3064:13 6.8377e-06 -*RES -1 *27508:X *3064:13 41.6214 -2 *3064:13 *27515:A 9.3 -*END - -*D_NET *3065 0.00955544 -*CONN -*I *27510:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27509:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27510:C1 0.000582128 -2 *27509:X 0.00150001 -3 *3065:10 0.00208213 -4 *27510:C1 *27491:B2 0.000679537 -5 *27510:C1 *27510:B2 0.000147474 -6 *27510:C1 *3908:66 0.000514932 -7 *27510:C1 *4101:32 1.9643e-05 -8 *3065:10 *27491:B2 4.64124e-05 -9 *3065:10 *27509:A1 0.000137561 -10 *3065:10 *3814:47 0 -11 *3065:10 *3814:51 0.000570697 -12 *3065:10 *3944:29 8.43535e-06 -13 *3065:10 *4074:34 4.16233e-05 -14 *3065:10 *4101:28 0.000669676 -15 *3065:10 *5651:56 0.000147834 -16 *27503:C *3065:10 0.000122812 -17 *27503:D *3065:10 0.000855478 -18 *27509:B1 *3065:10 5.33005e-05 -19 *2864:58 *27510:C1 0.000570164 -20 *2864:58 *3065:10 0.000296167 -21 *2892:81 *3065:10 0.000509421 -*RES -1 *27509:X *3065:10 49.3804 -2 *3065:10 *27510:C1 31.6839 -*END - -*D_NET *3066 0.00248784 -*CONN -*I *27515:B I *D sky130_fd_sc_hd__or4_1 -*I *27510:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27515:B 0.000650112 -2 *27510:X 0.000650112 -3 *27515:B *27512:A1 0.000197407 -4 *27515:B *3788:15 0.000104343 -5 *27515:B *3801:56 0 -6 *27515:B *3880:50 3.48235e-05 -7 *27515:B *3893:97 4.11218e-05 -8 *27515:B *3908:66 0.000488583 -9 *27515:B *3919:46 9.54798e-06 -10 *2865:67 *27515:B 0.000311793 -*RES -1 *27510:X *27515:B 40.4393 -*END - -*D_NET *3067 0.00535934 -*CONN -*I *27512:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *27511:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27512:B1 0 -2 *27511:X 0.00103169 -3 *3067:12 0.00103169 -4 *3067:12 *25753:B 9.50689e-05 -5 *3067:12 *27511:A1 0.000146092 -6 *3067:12 *27512:A1 0.000268396 -7 *3067:12 *27515:C 9.25014e-06 -8 *3067:12 *29549:A 4.27872e-05 -9 *3067:12 *3662:19 0 -10 *3067:12 *3788:15 0 -11 *3067:12 *3793:38 2.72449e-05 -12 *3067:12 *3845:47 1.18064e-05 -13 *3067:12 *4140:47 6.25005e-05 -14 *3067:12 *5013:14 0.000481159 -15 *3067:12 *5063:5 0.00170684 -16 *3067:12 *5063:15 3.21306e-05 -17 *3067:12 *5657:174 3.48705e-05 -18 *1587:16 *3067:12 0.000278051 -19 *2852:228 *3067:12 9.97562e-05 -*RES -1 *27511:X *3067:12 48.3714 -2 *3067:12 *27512:B1 13.8 -*END - -*D_NET *3068 0.000985718 -*CONN -*I *27515:C I *D sky130_fd_sc_hd__or4_1 -*I *27512:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *27515:C 0.000150925 -2 *27512:X 0.000150925 -3 *27515:C *3788:15 0 -4 *27515:C *3793:38 0.00014491 -5 *27515:C *4140:47 0.000228558 -6 *27515:C *5657:174 1.92905e-05 -7 *1587:16 *27515:C 5.33005e-05 -8 *3064:13 *27515:C 0.000228558 -9 *3067:12 *27515:C 9.25014e-06 -*RES -1 *27512:X *27515:C 32.2607 -*END - -*D_NET *3069 0.0129744 -*CONN -*I *27514:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27513:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27514:C1 0.00018105 -2 *27513:X 0.00023855 -3 *3069:8 0.00182048 -4 *3069:7 0.00187797 -5 *27514:C1 *27514:B2 6.78955e-06 -6 *27514:C1 *3070:14 5.52302e-05 -7 *27514:C1 *3906:29 3.80387e-05 -8 *27514:C1 *3906:47 5.19358e-05 -9 *3069:7 *27513:B2 2.59355e-05 -10 *3069:7 *3896:86 2.60673e-05 -11 *3069:8 *3793:40 0.0041679 -12 *3069:8 *3957:22 0.00178076 -13 *27514:A2 *27514:C1 0.000114596 -14 *2844:52 *3069:8 4.27799e-05 -15 *2844:69 *3069:8 2.05146e-05 -16 *2850:72 *27514:C1 7.95355e-05 -17 *2855:90 *3069:8 0.00123364 -18 *2895:122 *3069:7 0.000177591 -19 *3055:16 *3069:8 0.00103504 -*RES -1 *27513:X *3069:7 16.8 -2 *3069:7 *3069:8 55.375 -3 *3069:8 *27514:C1 17.6393 -*END - -*D_NET *3070 0.00722826 -*CONN -*I *27515:D I *D sky130_fd_sc_hd__or4_1 -*I *27514:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27515:D 0.000451028 -2 *27514:X 0.000860661 -3 *3070:14 0.00131169 -4 *27515:D *27491:B2 0.000357196 -5 *27515:D *3788:15 0.000138582 -6 *27515:D *4140:47 5.71472e-05 -7 *3070:14 *27491:B2 0.000637041 -8 *3070:14 *27498:A1 0.000540886 -9 *3070:14 *3085:12 2.18052e-05 -10 *3070:14 *3814:47 0.000631432 -11 *3070:14 *3814:51 7.48409e-06 -12 *3070:14 *3906:47 1.21258e-05 -13 *27491:B1 *3070:14 6.46173e-05 -14 *27497:B2 *3070:14 0.000146342 -15 *27514:A2 *3070:14 0.000150442 -16 *27514:C1 *3070:14 5.52302e-05 -17 *1323:17 *27515:D 5.52302e-05 -18 *1421:53 *3070:14 8.40344e-05 -19 *1437:42 *27515:D 0.00100632 -20 *1437:42 *3070:14 0.000307824 -21 *2850:72 *3070:14 0.0001737 -22 *2850:73 *3070:14 1.38167e-05 -23 *2855:60 *3070:14 0.000143625 -*RES -1 *27514:X *3070:14 37.7911 -2 *3070:14 *27515:D 27.6661 -*END - -*D_NET *3071 0.00105661 -*CONN -*I *27526:B1 I *D sky130_fd_sc_hd__o221a_1 -*I *27515:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27526:B1 0.000139299 -2 *27515:X 0.000139299 -3 *27526:B1 *27526:C1 5.71472e-05 -4 *27526:B1 *3919:46 0.000474111 -5 *27526:B1 *4140:47 0.000226982 -6 *3064:13 *27526:B1 1.97695e-05 -*RES -1 *27515:X *27526:B1 24.1 -*END - -*D_NET *3072 0.00332553 -*CONN -*I *27517:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27516:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27517:C1 0.000579041 -2 *27516:X 0.000579041 -3 *27517:C1 *27516:A1 8.25843e-06 -4 *27517:C1 *27516:A2 2.79421e-05 -5 *27517:C1 *27516:B2 2.51343e-06 -6 *27517:C1 *27860:A2 0.001063 -7 *27517:C1 *3156:124 0.00106128 -8 *27516:B1 *27517:C1 4.45768e-06 -*RES -1 *27516:X *27517:C1 43.975 -*END - -*D_NET *3073 0.00788216 -*CONN -*I *27525:A I *D sky130_fd_sc_hd__or4_1 -*I *27517:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27525:A 0.000516886 -2 *27517:X 0.000820954 -3 *3073:14 0.00133784 -4 *27525:A *3081:7 1.24368e-05 -5 *27525:A *4114:28 0.00120844 -6 *3073:14 *3212:99 0.000100831 -7 *3073:14 *3454:18 0.00148163 -8 *3073:14 *3457:10 9.91086e-05 -9 *3073:14 *4153:48 5.52238e-05 -10 *3073:14 *5653:171 0.000259273 -11 *27523:A2 *27525:A 1.24368e-05 -12 *1864:51 *27525:A 0.000366848 -13 *2789:27 *3073:14 0.00148766 -14 *2874:74 *27525:A 0.000122591 -*RES -1 *27517:X *3073:14 46.8179 -2 *3073:14 *27525:A 27.0857 -*END - -*D_NET *3074 0.000431013 -*CONN -*I *27519:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27518:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *27519:B2 0.000124137 -2 *27518:X 0.000124137 -3 *27519:B2 *5706:170 2.45832e-05 -4 *27519:B2 *5717:108 1.49057e-05 -5 *1476:75 *27519:B2 2.84026e-05 -6 *2878:92 *27519:B2 0.000114847 -*RES -1 *27518:X *27519:B2 29.7786 -*END - -*D_NET *3075 0.00309553 -*CONN -*I *27520:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27519:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27520:C1 0.000660726 -2 *27519:X 0.000660726 -3 *27520:C1 *27519:A1 0.000121535 -4 *27520:C1 *27520:A1 2.09645e-05 -5 *27520:C1 *27520:B2 1.28809e-05 -6 *27520:C1 *3806:62 0.000125731 -7 *27520:C1 *3867:37 0.000263102 -8 *27520:A2 *27520:C1 0.000135763 -9 *27520:B1 *27520:C1 1.55885e-05 -10 *1622:17 *27520:C1 5.74499e-06 -11 *1864:51 *27520:C1 0.000593395 -12 *2856:97 *27520:C1 9.58181e-05 -13 *2875:85 *27520:C1 0.000259549 -14 *2888:117 *27520:C1 0.00012401 -*RES -1 *27519:X *27520:C1 41.1536 -*END - -*D_NET *3076 0.00254934 -*CONN -*I *27525:B I *D sky130_fd_sc_hd__or4_1 -*I *27520:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27525:B 0.000768468 -2 *27520:X 0.000768468 -3 *1864:51 *27525:B 0.00101241 -*RES -1 *27520:X *27525:B 28.5821 -*END - -*D_NET *3077 0.00223173 -*CONN -*I *27522:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27521:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27522:C1 0.000350125 -2 *27521:X 0.000350125 -3 *27522:C1 *27915:A 6.57032e-05 -4 *27522:C1 *3883:31 0.000108889 -5 *2855:91 *27522:C1 0.000677678 -6 *2871:108 *27522:C1 0.000679208 -*RES -1 *27521:X *27522:C1 37.8857 -*END - -*D_NET *3078 0.00176498 -*CONN -*I *27525:C I *D sky130_fd_sc_hd__or4_1 -*I *27522:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27525:C 0.000297514 -2 *27522:X 0.000297514 -3 *27525:C *27522:A1 0.000551636 -4 *27525:C *3089:13 0.000540613 -5 *27525:C *3909:37 1.50087e-05 -6 *27487:B1 *27525:C 2.7505e-05 -7 *27522:A2 *27525:C 2.59355e-05 -8 *2865:133 *27525:C 9.25014e-06 -*RES -1 *27522:X *27525:C 36.1536 -*END - -*D_NET *3079 0.000711474 -*CONN -*I *27524:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27523:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27524:C1 0.000212686 -2 *27523:X 0.000212686 -3 *27524:C1 *28055:A 0.000149421 -4 *27524:C1 *6036:38 0 -5 *1864:51 *27524:C1 0.000136682 -*RES -1 *27523:X *27524:C1 30.9036 -*END - -*D_NET *3080 0.00237278 -*CONN -*I *27525:D I *D sky130_fd_sc_hd__or4_1 -*I *27524:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27525:D 0.000664623 -2 *27524:X 0.000664623 -3 *27525:D *27522:A1 0.000146133 -4 *27525:D *3867:37 0.000747983 -5 *27525:D *3909:37 0.000149419 -*RES -1 *27524:X *27525:D 37.0643 -*END - -*D_NET *3081 0.0167954 -*CONN -*I *27526:B2 I *D sky130_fd_sc_hd__o221a_1 -*I *27525:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27526:B2 0.000996424 -2 *27525:X 8.7611e-05 -3 *3081:8 0.0036229 -4 *3081:7 0.00271409 -5 *27526:B2 *27526:C1 0.000116259 -6 *27526:B2 *3724:39 0.000186669 -7 *27526:B2 *3893:97 0.000645646 -8 *27526:B2 *3908:66 0.000152801 -9 *3081:8 *27486:B2 0.000372584 -10 *3081:8 *27498:B2 0.000102672 -11 *3081:8 *27538:B2 0 -12 *3081:8 *29370:A 0.000203478 -13 *3081:8 *3168:66 0.000176166 -14 *3081:8 *3192:60 9.03127e-05 -15 *3081:8 *3409:13 9.60808e-05 -16 *3081:8 *3724:39 0 -17 *3081:8 *3736:34 0.000270173 -18 *3081:8 *3908:66 4.04959e-05 -19 *3081:8 *3909:19 0.000175191 -20 *3081:8 *3909:31 7.99502e-05 -21 *3081:8 *3957:43 0.0011454 -22 *3081:8 *3970:8 0.000673677 -23 *3081:8 *3971:23 0.000110841 -24 *3081:8 *4152:51 6.49247e-05 -25 *3081:8 *5634:71 0.000833865 -26 *3081:8 *5661:80 0.000176211 -27 *3081:8 *5666:124 0.000489006 -28 *3081:8 *5666:128 0.000137912 -29 *25307:C1 *3081:8 2.06112e-05 -30 *27487:B1 *3081:8 0.000199999 -31 *27525:A *3081:7 1.24368e-05 -32 *27526:A2 *27526:B2 0.000123288 -33 *1864:51 *3081:7 1.65169e-05 -34 *2850:72 *3081:8 0.000134698 -35 *2859:73 *3081:8 0.000525644 -36 *2860:106 *3081:8 0.00146096 -37 *2860:128 *3081:8 0.000257929 -38 *2874:54 *3081:8 5.41794e-05 -39 *3051:23 *3081:8 0.000227822 -*RES -1 *27525:X *3081:7 14.7464 -2 *3081:7 *3081:8 72.9821 -3 *3081:8 *27526:B2 36.8893 -*END - -*D_NET *3082 0.0077541 -*CONN -*I *27527:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *27526:X O *D sky130_fd_sc_hd__o221a_1 -*CAP -1 *27527:B1 0.000180283 -2 *27526:X 0.00146439 -3 *3082:13 0.00164467 -4 *27527:B1 *25758:A1 0.000133407 -5 *27527:B1 *27527:A2 5.52238e-05 -6 *27527:B1 *28383:D 5.33005e-05 -7 *27527:B1 *5685:126 0.000104707 -8 *3082:13 *27505:A2 0.000263776 -9 *3082:13 *3672:8 0 -10 *3082:13 *3778:25 0.00022598 -11 *3082:13 *3801:45 2.30116e-06 -12 *3082:13 *3805:47 0.000953605 -13 *3082:13 *3919:46 0.000137983 -14 *3082:13 *4336:11 0.000378981 -15 *3082:13 *5691:260 0.000356495 -16 *3082:13 *5738:62 2.06178e-05 -17 *3082:13 *5738:82 0.000240182 -18 *25754:S *27527:B1 4.18426e-05 -19 *25909:S *3082:13 0.000213019 -20 *30034:A *3082:13 4.19624e-06 -21 *1263:122 *3082:13 0.00055361 -22 *1408:26 *3082:13 0.000179102 -23 *1408:28 *3082:13 0 -24 *1893:24 *3082:13 0.000397524 -25 *1893:45 *3082:13 0.000148903 -*RES -1 *27526:X *3082:13 48.7072 -2 *3082:13 *27527:B1 17.6482 -*END - -*D_NET *3083 0.00385351 -*CONN -*I *27528:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27527:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *27528:A1 0.00112946 -2 *27527:X 0.00112946 -3 *27528:A1 *27506:S 3.67142e-05 -4 *27528:A1 *5599:193 0.00020456 -5 *27528:A1 *5734:178 0.000101169 -6 *27528:A1 *6385:70 0.000622388 -7 *25754:S *27528:A1 5.6197e-05 -8 *25918:A *27528:A1 0.00010115 -9 *1671:24 *27528:A1 0.000472419 -*RES -1 *27527:X *27528:A1 46.725 -*END - -*D_NET *3084 0.00128312 -*CONN -*I *27530:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27529:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27530:C1 0.000351592 -2 *27529:X 0.000351592 -3 *27530:C1 *3085:11 8.35129e-05 -4 *27529:A2 *27530:C1 5.77724e-05 -5 *27530:A2 *27530:C1 0.000344491 -6 *2848:100 *27530:C1 9.41642e-05 -*RES -1 *27529:X *27530:C1 25.2071 -*END - -*D_NET *3085 0.0186705 -*CONN -*I *27537:A I *D sky130_fd_sc_hd__or4_1 -*I *27530:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27537:A 0.000461898 -2 *27530:X 0.000929512 -3 *3085:12 0.00254173 -4 *3085:11 0.00300934 -5 *27537:A *27548:B1 0.000483268 -6 *3085:11 *27529:A1 0.000518933 -7 *3085:11 *27530:A1 2.35926e-05 -8 *3085:11 *5718:183 0.000135028 -9 *3085:12 *27491:B2 2.04825e-05 -10 *3085:12 *27529:B2 7.62712e-05 -11 *3085:12 *27862:B2 0.000114478 -12 *3085:12 *3793:40 0.00505647 -13 *3085:12 *3856:26 0.000573914 -14 *3085:12 *4100:22 0.000569288 -15 *3085:12 *4100:26 0.00140818 -16 *6530:DIODE *3085:11 0.000178425 -17 *25873:S *3085:12 5.4826e-05 -18 *27491:B1 *3085:12 2.49484e-05 -19 *27529:A2 *3085:11 2.59355e-05 -20 *27530:A2 *3085:11 0.000495494 -21 *27530:C1 *3085:11 8.35129e-05 -22 *27535:A2 *27537:A 0.00042994 -23 *1421:53 *3085:12 5.41797e-06 -24 *1437:42 *3085:12 0.000785137 -25 *2844:37 *27537:A 0.000180777 -26 *2848:100 *3085:11 9.58632e-05 -27 *2855:72 *3085:12 0.000322434 -28 *2866:167 *3085:11 5.74499e-06 -29 *3045:10 *3085:12 1.87629e-05 -30 *3055:16 *3085:12 1.90936e-05 -31 *3070:14 *3085:12 2.18052e-05 -*RES -1 *27530:X *3085:11 30.0857 -2 *3085:11 *3085:12 67.2143 -3 *3085:12 *27537:A 24.1929 -*END - -*D_NET *3086 0.00108094 -*CONN -*I *27532:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27531:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27532:C1 0.000236268 -2 *27531:X 0.000236268 -3 *27532:C1 *27531:A2 2.89016e-05 -4 *27532:C1 *27532:B2 9.77414e-05 -5 *27532:C1 *27865:C 0.000140383 -6 *27532:C1 *3212:94 3.30004e-05 -7 *27532:C1 *3869:34 4.82947e-05 -8 *27532:C1 *5493:18 0.000134893 -9 *27532:C1 *5493:25 1.16258e-05 -10 *1421:53 *27532:C1 4.22135e-06 -11 *1449:135 *27532:C1 0.000109342 -*RES -1 *27531:X *27532:C1 33.4571 -*END - -*D_NET *3087 0.00156039 -*CONN -*I *27537:B I *D sky130_fd_sc_hd__or4_1 -*I *27532:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27537:B 0.000326264 -2 *27532:X 0.000326264 -3 *27537:B *27537:D 4.00679e-05 -4 *27537:B *3960:43 0.000571269 -5 *2871:46 *27537:B 0.000296527 -*RES -1 *27532:X *27537:B 36.3679 -*END - -*D_NET *3088 0.000995088 -*CONN -*I *27534:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *27533:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27534:B1 0.000138573 -2 *27533:X 0.000138573 -3 *27534:B1 *3723:31 4.3353e-05 -4 *27534:B1 *4185:41 0.000264333 -5 *27534:B1 *5614:100 0.000264333 -6 *1828:42 *27534:B1 0.000145923 -*RES -1 *27533:X *27534:B1 32.1357 -*END - -*D_NET *3089 0.0208187 -*CONN -*I *27537:C I *D sky130_fd_sc_hd__or4_1 -*I *27534:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *27537:C 0.00340374 -2 *27534:X 0.00135882 -3 *3089:13 0.00476256 -4 *27537:C *3216:99 0.00150742 -5 *27537:C *3736:34 0.000244389 -6 *27537:C *3736:62 9.74014e-05 -7 *27537:C *3791:84 0.000113728 -8 *27537:C *3802:38 0.00454985 -9 *27537:C *4130:58 0.000115288 -10 *27537:C *5442:23 0 -11 *3089:13 *27871:A1 0.000240065 -12 *3089:13 *3184:178 0.000712609 -13 *3089:13 *3216:141 2.35215e-05 -14 *3089:13 *3802:38 2.06112e-05 -15 *3089:13 *3897:32 0.000193004 -16 *3089:13 *3984:33 0.00050245 -17 *3089:13 *4152:51 0.000221217 -18 *3089:13 *5467:14 5.1204e-06 -19 *3089:13 *5666:124 3.08381e-05 -20 *27487:B1 *3089:13 0.000471219 -21 *27521:A2 *3089:13 2.04825e-05 -22 *27525:C *3089:13 0.000540613 -23 *1251:29 *3089:13 4.22137e-05 -24 *1423:71 *3089:13 0.000144734 -25 *2834:68 *27537:C 0 -26 *2859:95 *27537:C 0.00115758 -27 *2865:133 *3089:13 0.000118652 -28 *2877:128 *3089:13 0.000220628 -*RES -1 *27534:X *3089:13 48.3389 -2 *3089:13 *27537:C 32.8004 -*END - -*D_NET *3090 0.00377704 -*CONN -*I *27536:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27535:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27536:C1 0.000927881 -2 *27535:X 0.000927881 -3 *27536:C1 *27535:A1 0.000712342 -4 *27536:C1 *27536:A1 3.30408e-05 -5 *27536:C1 *27536:B2 4.86656e-05 -6 *27536:C1 *5442:23 0.000226231 -7 *27535:A2 *27536:C1 4.58194e-05 -8 *27535:B1 *27536:C1 5.74499e-06 -9 *27536:A2 *27536:C1 7.41177e-05 -10 *27536:B1 *27536:C1 1.21258e-05 -11 *2865:98 *27536:C1 0.000446392 -12 *2871:46 *27536:C1 0.000311537 -13 *2892:65 *27536:C1 5.25862e-06 -*RES -1 *27535:X *27536:C1 44.2607 -*END - -*D_NET *3091 0.000912603 -*CONN -*I *27537:D I *D sky130_fd_sc_hd__or4_1 -*I *27536:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27537:D 0.00028258 -2 *27536:X 0.00028258 -3 *27537:B *27537:D 4.00679e-05 -4 *2865:98 *27537:D 0.00015289 -5 *2892:65 *27537:D 0.000154485 -*RES -1 *27536:X *27537:D 32.9571 -*END - -*D_NET *3092 0.00445888 -*CONN -*I *27548:B1 I *D sky130_fd_sc_hd__o221a_1 -*I *27537:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27548:B1 0.001762 -2 *27537:X 0.001762 -3 *27548:B1 *27526:C1 8.55871e-05 -4 *27548:B1 *27548:C1 2.59355e-05 -5 *27537:A *27548:B1 0.000483268 -6 *2844:37 *27548:B1 0.000340079 -*RES -1 *27537:X *27548:B1 40.1179 -*END - -*D_NET *3093 0.00292678 -*CONN -*I *27539:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27538:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27539:C1 0.000515008 -2 *27538:X 0.000515008 -3 *27539:C1 *3806:62 8.90261e-05 -4 *27539:C1 *3908:85 0.00105923 -5 *27539:C1 *4131:36 9.45418e-05 -6 *27539:C1 *5510:98 0.000653971 -*RES -1 *27538:X *27539:C1 42.3321 -*END - -*D_NET *3094 0.000569962 -*CONN -*I *27547:A I *D sky130_fd_sc_hd__or4_1 -*I *27539:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27547:A 0.000172722 -2 *27539:X 0.000172722 -3 *27547:A *27547:B 7.79395e-05 -4 *27547:A *27547:C 1.95435e-05 -5 *27547:A *3906:47 9.50968e-06 -6 *2855:45 *27547:A 3.14163e-05 -7 *2856:68 *27547:A 2.11947e-05 -8 *2878:75 *27547:A 6.49142e-05 -*RES -1 *27539:X *27547:A 30.6893 -*END - -*D_NET *3095 0.000765993 -*CONN -*I *27541:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27540:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *27541:B2 0.000202878 -2 *27540:X 0.000202878 -3 *27541:B2 *25279:B2 2.05715e-05 -4 *27541:B2 *3096:15 9.44856e-05 -5 *27541:B2 *3791:98 8.17305e-05 -6 *27541:B2 *3817:22 0.00016345 -7 *1897:19 *27541:B2 0 -*RES -1 *27540:X *27541:B2 32.5464 -*END - -*D_NET *3096 0.00616397 -*CONN -*I *27542:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27541:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27542:C1 9.00986e-05 -2 *27541:X 0.00133471 -3 *3096:15 0.00142481 -4 *27542:C1 *25391:B2 2.06112e-05 -5 *27542:C1 *27501:B2 0.000174362 -6 *27542:C1 *3806:62 0.000187044 -7 *3096:15 *25279:B2 0.000591325 -8 *3096:15 *27866:D 4.0342e-06 -9 *3096:15 *27897:B2 5.41794e-05 -10 *3096:15 *3164:84 0.000759371 -11 *3096:15 *3209:64 0.000165471 -12 *3096:15 *3736:51 3.074e-05 -13 *3096:15 *3791:98 0.000227539 -14 *3096:15 *3817:22 1.10722e-05 -15 *3096:15 *3817:39 0.000246913 -16 *3096:15 *3843:51 4.21768e-06 -17 *3096:15 *3856:43 0.000257619 -18 *3096:15 *3908:85 0.000257644 -19 *3096:15 *5698:26 6.09121e-05 -20 *25279:A2 *3096:15 3.71439e-05 -21 *27541:A2 *3096:15 2.1768e-05 -22 *27541:B1 *3096:15 5.47861e-05 -23 *27541:B2 *3096:15 9.44856e-05 -24 *1897:19 *3096:15 0 -25 *2874:54 *3096:15 5.31101e-05 -*RES -1 *27541:X *3096:15 45.9429 -2 *3096:15 *27542:C1 20.8536 -*END - -*D_NET *3097 0.000984117 -*CONN -*I *27547:B I *D sky130_fd_sc_hd__or4_1 -*I *27542:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27547:B 0.000221541 -2 *27542:X 0.000221541 -3 *27547:A *27547:B 7.79395e-05 -4 *1617:30 *27547:B 2.06178e-05 -5 *2856:68 *27547:B 3.42605e-05 -6 *2856:76 *27547:B 0.000335142 -7 *2878:75 *27547:B 7.30749e-05 -*RES -1 *27542:X *27547:B 33.1179 -*END - -*D_NET *3098 0.00158668 -*CONN -*I *27544:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27543:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27544:C1 0.000349986 -2 *27543:X 0.000349986 -3 *27544:C1 *27903:B2 8.06293e-05 -4 *27544:C1 *3099:17 4.00679e-05 -5 *1408:28 *27544:C1 1.81805e-05 -6 *2853:90 *27544:C1 0.000489825 -7 *2859:52 *27544:C1 0.000258006 -*RES -1 *27543:X *27544:C1 35.4571 -*END - -*D_NET *3099 0.0061801 -*CONN -*I *27547:C I *D sky130_fd_sc_hd__or4_1 -*I *27544:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27547:C 0.000597461 -2 *27544:X 0.000548797 -3 *3099:17 0.00114626 -4 *27547:C *27548:B2 2.59355e-05 -5 *27547:C *27854:B2 3.10885e-05 -6 *27547:C *3856:43 0.000263531 -7 *27547:C *3906:47 5.17154e-05 -8 *3099:17 *27897:A1 0.000538266 -9 *3099:17 *3457:22 0.000147561 -10 *3099:17 *3840:46 0.000425683 -11 *3099:17 *5698:26 0.000399206 -12 *3099:17 *5718:174 8.38385e-05 -13 *3099:17 *5892:145 0.000150568 -14 *27501:A2 *3099:17 5.52302e-05 -15 *27544:A2 *3099:17 4.26825e-05 -16 *27544:C1 *3099:17 4.00679e-05 -17 *27547:A *27547:C 1.95435e-05 -18 *1897:19 *3099:17 4.43299e-05 -19 *2848:146 *27547:C 0.000193833 -20 *2878:75 *27547:C 0.00109255 -21 *2880:215 *27547:C 0.000281955 -*RES -1 *27544:X *3099:17 41.9546 -2 *3099:17 *27547:C 30.2643 -*END - -*D_NET *3100 0.000582896 -*CONN -*I *27546:C1 I *D sky130_fd_sc_hd__a221o_2 -*I *27545:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27546:C1 0.000140473 -2 *27545:X 0.000140473 -3 *27546:C1 *24889:A1 6.76487e-05 -4 *27546:C1 *27546:B1 0.000122013 -5 *27546:C1 *3192:134 9.90367e-05 -6 *27546:C1 *4350:31 1.32511e-05 -*RES -1 *27545:X *27546:C1 30.4929 -*END - -*D_NET *3101 0.0357414 -*CONN -*I *27547:D I *D sky130_fd_sc_hd__or4_1 -*I *27546:X O *D sky130_fd_sc_hd__a221o_2 -*CAP -1 *27547:D 0.000207553 -2 *27546:X 0.00703336 -3 *3101:29 0.00280645 -4 *3101:17 0.00963225 -5 *27547:D *5717:104 0.000376388 -6 *3101:17 *3143:11 0.000143652 -7 *3101:17 *3184:169 0.00175842 -8 *3101:17 *3413:41 0.000111243 -9 *3101:17 *3450:32 0.000123605 -10 *3101:17 *3687:40 0 -11 *3101:17 *3741:68 0.000384075 -12 *3101:17 *3897:48 0.000227658 -13 *3101:17 *3948:41 0 -14 *3101:17 *3958:16 0.000426732 -15 *3101:17 *4113:116 0.00057086 -16 *3101:17 *4131:36 0.000110498 -17 *3101:17 *4350:48 0.000225628 -18 *3101:17 *5778:94 1.36251e-05 -19 *3101:29 *27509:A1 9.23226e-05 -20 *3101:29 *3831:53 8.94491e-05 -21 *3101:29 *3971:42 3.20942e-05 -22 *3101:29 *4131:36 0.00231029 -23 *3101:29 *5657:49 9.08241e-05 -24 *3101:29 *5892:145 2.33863e-05 -25 *25198:A2 *3101:17 0.000204351 -26 *27545:A2 *3101:17 0.000271935 -27 *1423:86 *3101:17 1.09611e-05 -28 *1476:57 *3101:17 0.000111289 -29 *1617:17 *3101:29 3.59407e-05 -30 *1844:26 *3101:17 4.926e-05 -31 *1887:70 *3101:17 0.000166587 -32 *2848:123 *3101:17 0.000193671 -33 *2856:76 *27547:D 0.000376388 -34 *2864:203 *3101:17 0.000514733 -35 *2864:230 *3101:17 0.00204577 -36 *2866:149 *3101:17 0.00184737 -37 *2866:149 *3101:29 1.90936e-05 -38 *2866:167 *3101:17 7.53529e-06 -39 *2875:100 *3101:17 0.00010856 -40 *2875:134 *3101:17 3.2234e-05 -41 *2886:89 *3101:17 0 -42 *2886:89 *3101:29 0.000569271 -43 *2889:221 *3101:29 0.00011802 -44 *2895:122 *3101:29 0.00226809 -*RES -1 *27546:X *3101:17 46.7301 -2 *3101:17 *3101:29 48.0701 -3 *3101:29 *27547:D 18.9339 -*END - -*D_NET *3102 0.00271789 -*CONN -*I *27548:B2 I *D sky130_fd_sc_hd__o221a_1 -*I *27547:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27548:B2 0.00052467 -2 *27547:X 0.00052467 -3 *27548:B2 *3778:25 0.000163097 -4 *27497:B1 *27548:B2 0.000342653 -5 *27547:C *27548:B2 2.59355e-05 -6 *2878:75 *27548:B2 0.000350648 -7 *2880:215 *27548:B2 0.000224984 -8 *2880:217 *27548:B2 0.000561232 -*RES -1 *27547:X *27548:B2 41.9214 -*END - -*D_NET *3103 0.0227659 -*CONN -*I *27549:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *27548:X O *D sky130_fd_sc_hd__o221a_1 -*CAP -1 *27549:B1 3.83105e-05 -2 *27548:X 0.00674085 -3 *3103:17 0.00677916 -4 *27549:B1 *25609:A0 8.99513e-05 -5 *27549:B1 *5037:11 4.45359e-05 -6 *3103:17 *25279:B2 0.000139742 -7 *3103:17 *27571:B1 0.00684013 -8 *3103:17 *3145:32 0 -9 *3103:17 *5442:23 6.90381e-06 -10 *3103:17 *5498:54 0 -11 *3103:17 *5521:22 0.000346741 -12 *3103:17 *5524:14 0.000424911 -13 *3103:17 *5691:201 0.000214773 -14 *3103:17 *5698:36 0 -15 *3103:17 *5738:31 0 -16 *3103:17 *6385:56 0.000798357 -17 *25918:A *3103:17 0 -18 *1362:118 *3103:17 0 -19 *1408:28 *3103:17 0.000301524 -*RES -1 *27548:X *3103:17 49.7711 -2 *3103:17 *27549:B1 14.9875 -*END - -*D_NET *3104 0.0117158 -*CONN -*I *27550:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27549:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *27550:A1 0.00281349 -2 *27549:X 0.000953549 -3 *3104:13 0.00376704 -4 *27550:A1 *28380:CLK 4.08637e-05 -5 *27550:A1 *28951:A 0.000685327 -6 *27550:A1 *3650:5 9.83442e-05 -7 *27550:A1 *3650:78 8.2607e-05 -8 *27550:A1 *4009:12 1.48543e-05 -9 *27550:A1 *5158:11 0.000141131 -10 *27550:A1 *5313:11 0.000868135 -11 *3104:13 *25612:A1 0 -12 *3104:13 *28108:CLK 6.05161e-06 -13 *3104:13 *28951:A 0.000110029 -14 *3104:13 *3649:8 0.000400458 -15 *3104:13 *3649:10 0.000164053 -16 *3104:13 *3649:37 2.89016e-05 -17 *3104:13 *3649:79 0.000631054 -18 *3104:13 *5483:120 1.95625e-05 -19 *3104:13 *5491:18 1.68039e-05 -20 *3104:13 *5680:308 4.3143e-05 -21 *3104:13 *6334:39 5.33005e-05 -22 *25406:C1 *3104:13 0.000777092 -*RES -1 *27549:X *3104:13 39.3 -2 *3104:13 *27550:A1 43.5143 -*END - -*D_NET *3105 0.0202292 -*CONN -*I *27552:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27551:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27552:C1 0 -2 *27551:X 4.94183e-05 -3 *3105:8 0.00281399 -4 *3105:7 0.00286341 -5 *3105:7 *27551:A1 2.51343e-06 -6 *3105:8 *27948:B2 0.000300006 -7 *3105:8 *3765:30 0.00752138 -8 *3105:8 *3832:49 4.61795e-05 -9 *3105:8 *3910:51 0.00397298 -10 *3105:8 *3949:16 0.000153004 -11 *3105:8 *3949:28 0.00193883 -12 *3105:8 *5614:88 0.000143652 -13 *3105:8 *5634:170 2.06178e-05 -14 *1449:20 *3105:8 0.000379073 -15 *1483:48 *3105:8 2.41035e-05 -*RES -1 *27551:X *3105:7 14.3357 -2 *3105:7 *3105:8 99.0893 -3 *3105:8 *27552:C1 13.8 -*END - -*D_NET *3106 0.00340586 -*CONN -*I *27559:A I *D sky130_fd_sc_hd__or4_1 -*I *27552:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27559:A 0.00074792 -2 *27552:X 0.00074792 -3 *27559:A *27559:B 0.000486774 -4 *27559:A *27570:A1 0.000755824 -5 *27559:A *27570:B1 0.000123095 -6 *27559:A *27570:B2 9.41642e-05 -7 *27559:A *27570:C1 5.33005e-05 -8 *27559:A *3832:43 0.000194212 -9 *27559:A *3923:32 0.000194212 -10 *2880:211 *27559:A 8.43535e-06 -*RES -1 *27552:X *27559:A 43.4393 -*END - -*D_NET *3107 0.00197708 -*CONN -*I *27554:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27553:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27554:C1 0.000537897 -2 *27553:X 0.000537897 -3 *27554:C1 *3687:42 0.000331579 -4 *27554:C1 *3749:108 1.84127e-05 -5 *1476:42 *27554:C1 0.000219711 -6 *2859:128 *27554:C1 0.000331579 -*RES -1 *27553:X *27554:C1 36.6179 -*END - -*D_NET *3108 0.00283288 -*CONN -*I *27559:B I *D sky130_fd_sc_hd__or4_1 -*I *27554:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27559:B 0.000706962 -2 *27554:X 0.000706962 -3 *27559:B *27559:C 2.45626e-05 -4 *27559:B *3112:9 1.33343e-05 -5 *27559:B *3123:14 0.000447143 -6 *27559:B *4087:26 0.000447143 -7 *27559:A *27559:B 0.000486774 -*RES -1 *27554:X *27559:B 41.2607 -*END - -*D_NET *3109 0.000745746 -*CONN -*I *27556:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *27555:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27556:B1 0.00015161 -2 *27555:X 0.00015161 -3 *27556:B1 *27559:C 4.58194e-05 -4 *1603:15 *27556:B1 6.06291e-05 -5 *2872:112 *27556:B1 3.25477e-05 -6 *2872:134 *27556:B1 0.000303531 -*RES -1 *27555:X *27556:B1 22.3321 -*END - -*D_NET *3110 0.00128225 -*CONN -*I *27559:C I *D sky130_fd_sc_hd__or4_1 -*I *27556:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *27559:C 0.000213244 -2 *27556:X 0.000213244 -3 *27556:B1 *27559:C 4.58194e-05 -4 *27559:B *27559:C 2.45626e-05 -5 *1603:15 *27559:C 0.000339346 -6 *2872:134 *27559:C 0.000229378 -7 *2878:92 *27559:C 0.00014499 -8 *2886:102 *27559:C 7.1664e-05 -*RES -1 *27556:X *27559:C 33.4929 -*END - -*D_NET *3111 0.000379876 -*CONN -*I *27558:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27557:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27558:C1 5.33579e-05 -2 *27557:X 5.33579e-05 -3 *27558:C1 *27557:A1 0.0001487 -4 *27558:C1 *3870:52 9.54798e-06 -5 *27558:C1 *4065:107 0.000100823 -6 *27558:C1 *5657:90 1.40893e-05 -*RES -1 *27557:X *27558:C1 29.5464 -*END - -*D_NET *3112 0.0045905 -*CONN -*I *27559:D I *D sky130_fd_sc_hd__or4_1 -*I *27558:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27559:D 0 -2 *27558:X 0.00176456 -3 *3112:9 0.00176456 -4 *3112:9 *3698:40 0.000139682 -5 *27559:B *3112:9 1.33343e-05 -6 *1545:48 *3112:9 0.000766224 -7 *1828:42 *3112:9 0.000142146 -*RES -1 *27558:X *3112:9 44.7821 -2 *3112:9 *27559:D 9.3 -*END - -*D_NET *3113 0.000734556 -*CONN -*I *27570:B1 I *D sky130_fd_sc_hd__o221a_1 -*I *27559:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27570:B1 0.000168179 -2 *27559:X 0.000168179 -3 *27570:B1 *27570:C1 5.33005e-05 -4 *27559:A *27570:B1 0.000123095 -5 *2880:211 *27570:B1 0.000221804 -*RES -1 *27559:X *27570:B1 22.4571 -*END - -*D_NET *3114 0.000573717 -*CONN -*I *27561:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27560:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27561:C1 0.000180441 -2 *27560:X 0.000180441 -3 *27561:C1 *27560:A1 0.000130631 -4 *27561:C1 *27937:B2 5.62684e-05 -5 *27561:B1 *27561:C1 2.59355e-05 -*RES -1 *27560:X *27561:C1 21.6357 -*END - -*D_NET *3115 0.0110977 -*CONN -*I *27569:A I *D sky130_fd_sc_hd__or4_1 -*I *27561:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27569:A 0 -2 *27561:X 0.000975726 -3 *3115:31 0.001067 -4 *3115:19 0.00204272 -5 *3115:19 *24889:A0 0.000139907 -6 *3115:19 *25869:A0 9.07743e-05 -7 *3115:19 *27561:A1 3.21293e-05 -8 *3115:19 *3480:11 0.000149216 -9 *3115:19 *3685:66 1.46617e-05 -10 *3115:19 *3957:22 0.000134704 -11 *3115:19 *4101:24 0.00014104 -12 *3115:19 *4113:104 0.000411268 -13 *3115:19 *4117:32 0.000379272 -14 *3115:19 *5839:35 0.000101545 -15 *3115:31 *27569:B 2.55889e-05 -16 *3115:31 *27569:C 9.58126e-05 -17 *3115:31 *27569:D 0.000125853 -18 *3115:31 *3461:26 0.000109657 -19 *3115:31 *3736:63 0.00105476 -20 *3115:31 *3957:22 0.00208294 -21 *3115:31 *5694:19 5.06072e-05 -22 *2850:98 *3115:31 1.19011e-05 -23 *2850:121 *3115:19 6.57648e-05 -24 *2875:134 *3115:19 3.47641e-06 -25 *2882:142 *3115:19 1.97731e-05 -26 *2882:142 *3115:31 0.00077209 -27 *2882:143 *3115:31 0.000239115 -28 *2895:172 *3115:19 0.000760392 -*RES -1 *27561:X *3115:19 47.1714 -2 *3115:19 *3115:31 45.5372 -3 *3115:31 *27569:A 9.3 -*END - -*D_NET *3116 0.00164518 -*CONN -*I *27563:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27562:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *27563:B2 0.000277326 -2 *27562:X 0.000277326 -3 *27563:B2 *27562:B 8.55871e-05 -4 *27563:B2 *27563:A1 4.43157e-05 -5 *27563:B2 *27564:C1 1.98839e-05 -6 *27563:A2 *27563:B2 0.000136951 -7 *27563:B1 *27563:B2 0.000134582 -8 *2865:144 *27563:B2 0.000669211 -*RES -1 *27562:X *27563:B2 26.1357 -*END - -*D_NET *3117 0.00333301 -*CONN -*I *27564:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27563:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27564:C1 0.000657813 -2 *27563:X 0.000657813 -3 *27564:C1 *3793:64 1.437e-05 -4 *27564:C1 *4100:22 0.00104757 -5 *27564:C1 *4113:104 0.00026933 -6 *27564:C1 *5707:28 6.74279e-05 -7 *27563:B2 *27564:C1 1.98839e-05 -8 *27588:B1 *27564:C1 1.18451e-05 -9 *2865:144 *27564:C1 0.000283297 -10 *2895:171 *27564:C1 0.000303669 -*RES -1 *27563:X *27564:C1 43.975 -*END - -*D_NET *3118 0.00348482 -*CONN -*I *27569:B I *D sky130_fd_sc_hd__or4_1 -*I *27564:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27569:B 0.000917101 -2 *27564:X 0.000917101 -3 *27569:B *24879:A 6.28248e-05 -4 *27569:B *25645:A1 1.32091e-05 -5 *27569:B *27564:B2 0.000603693 -6 *27569:B *27569:C 2.59355e-05 -7 *27569:B *4127:84 0.000257619 -8 *27569:B *4152:51 6.09762e-05 -9 *25874:S *27569:B 0.000580149 -10 *2895:171 *27569:B 2.06178e-05 -11 *3115:31 *27569:B 2.55889e-05 -*RES -1 *27564:X *27569:B 43.386 -*END - -*D_NET *3119 0.00946936 -*CONN -*I *27566:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27565:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27566:C1 0 -2 *27565:X 0.000419552 -3 *3119:16 0.00121114 -4 *3119:13 0.00163069 -5 *3119:13 *27565:A2 2.98197e-05 -6 *3119:13 *27937:A2 3.0018e-05 -7 *3119:13 *5661:133 6.09762e-05 -8 *3119:13 *5844:17 0.000317716 -9 *3119:13 *5856:19 0 -10 *3119:13 *5866:17 8.69117e-05 -11 *3119:16 *27909:A2 0.000218886 -12 *3119:16 *28311:D 2.33274e-05 -13 *3119:16 *3197:125 0.00233116 -14 *3119:16 *3541:43 0.00195017 -15 *3119:16 *3558:17 0.000503614 -16 *3119:16 *5656:189 0.000381834 -17 *3119:16 *5661:123 3.484e-05 -18 *30490:A *3119:16 8.63766e-05 -19 *2855:121 *3119:16 0.00015234 -*RES -1 *27565:X *3119:13 23.8233 -2 *3119:13 *3119:16 44.5446 -3 *3119:16 *27566:C1 9.3 -*END - -*D_NET *3120 0.00257553 -*CONN -*I *27569:C I *D sky130_fd_sc_hd__or4_1 -*I *27566:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27569:C 0.00074617 -2 *27566:X 0.00074617 -3 *27569:C *24879:A 4.96113e-05 -4 *27569:C *24879:C_N 0.000216755 -5 *27569:C *5838:31 8.43535e-06 -6 *27569:B *27569:C 2.59355e-05 -7 *1456:64 *27569:C 7.9908e-05 -8 *2882:142 *27569:C 0.000302732 -9 *2889:281 *27569:C 0.000304003 -10 *3115:31 *27569:C 9.58126e-05 -*RES -1 *27566:X *27569:C 39.3143 -*END - -*D_NET *3121 0.00119021 -*CONN -*I *27568:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27567:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27568:C1 0.000215578 -2 *27567:X 0.000215578 -3 *27568:C1 *27568:B2 0.000252192 -4 *27567:A2 *27568:C1 5.96031e-05 -5 *2859:117 *27568:C1 0.000423003 -6 *2860:132 *27568:C1 2.42516e-05 -*RES -1 *27567:X *27568:C1 24.3857 -*END - -*D_NET *3122 0.00340486 -*CONN -*I *27569:D I *D sky130_fd_sc_hd__or4_1 -*I *27568:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27569:D 0.00060085 -2 *27568:X 0.00060085 -3 *27569:D *27564:A1 1.46148e-05 -4 *27569:D *27568:A1 9.41642e-05 -5 *27569:D *27929:A1 9.44223e-05 -6 *27569:D *3123:14 1.98839e-05 -7 *27569:D *3948:41 1.90936e-05 -8 *27564:B1 *27569:D 0.000179573 -9 *1887:70 *27569:D 0.00014833 -10 *2875:119 *27569:D 1.24368e-05 -11 *2883:89 *27569:D 0.000960794 -12 *2892:94 *27569:D 0.000533991 -13 *3115:31 *27569:D 0.000125853 -*RES -1 *27568:X *27569:D 44.5598 -*END - -*D_NET *3123 0.00703358 -*CONN -*I *27570:B2 I *D sky130_fd_sc_hd__o221a_1 -*I *27569:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27570:B2 3.62312e-05 -2 *27569:X 0.00165742 -3 *3123:14 0.00169365 -4 *3123:14 *27929:A1 0.00137482 -5 *3123:14 *27929:B2 2.86824e-05 -6 *3123:14 *3209:103 0.00057248 -7 *3123:14 *3752:39 5.28507e-05 -8 *3123:14 *4087:26 0.000138422 -9 *3123:14 *5718:206 2.2628e-05 -10 *27559:A *27570:B2 9.41642e-05 -11 *27559:B *3123:14 0.000447143 -12 *27569:D *3123:14 1.98839e-05 -13 *30333:A *3123:14 0.000310061 -14 *2853:107 *3123:14 0.000537139 -15 *2880:197 *3123:14 1.90936e-05 -16 *2880:211 *27570:B2 2.89114e-05 -*RES -1 *27569:X *3123:14 49.2643 -2 *3123:14 *27570:B2 10.2464 -*END - -*D_NET *3124 0.0370345 -*CONN -*I *27571:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *27570:X O *D sky130_fd_sc_hd__o221a_1 -*CAP -1 *27571:B1 0.00676631 -2 *27570:X 0.00370518 -3 *3124:15 0.0104715 -4 *27571:B1 *3650:96 4.15161e-05 -5 *27571:B1 *5518:62 1.08601e-05 -6 *27571:B1 *5691:201 0.000388989 -7 *27571:B1 *5738:31 0 -8 *27571:B1 *6385:70 5.33005e-05 -9 *3124:15 *25244:A1 9.04237e-05 -10 *3124:15 *25391:B2 0.000469132 -11 *3124:15 *27530:B2 0.000935711 -12 *3124:15 *28378:D 3.57844e-05 -13 *3124:15 *3209:85 0.00350199 -14 *3124:15 *3209:102 1.85404e-05 -15 *3124:15 *3214:78 0 -16 *3124:15 *3752:39 0.000561532 -17 *3124:15 *3752:54 0.000352586 -18 *3124:15 *3776:90 9.26333e-05 -19 *3124:15 *4116:51 0.000185708 -20 *3124:15 *5650:133 0 -21 *25244:C1 *3124:15 1.75319e-05 -22 *25918:A *27571:B1 5.2908e-05 -23 *26822:S *27571:B1 0.000114398 -24 *473:13 *27571:B1 5.33005e-05 -25 *1264:41 *27571:B1 5.18862e-05 -26 *1362:118 *27571:B1 0 -27 *1547:51 *3124:15 0.000743299 -28 *1617:17 *27571:B1 0 -29 *1617:30 *3124:15 0.000134586 -30 *1844:26 *3124:15 8.76591e-06 -31 *2845:80 *27571:B1 0 -32 *2845:80 *3124:15 0.000784539 -33 *2848:85 *3124:15 0 -34 *2855:39 *3124:15 0.000356201 -35 *2886:41 *3124:15 4.96609e-05 -36 *2886:50 *27571:B1 3.92667e-05 -37 *2886:50 *3124:15 0 -38 *2886:89 *3124:15 0 -39 *3061:15 *27571:B1 0.000106304 -40 *3103:17 *27571:B1 0.00684013 -*RES -1 *27570:X *3124:15 47.2205 -2 *3124:15 *27571:B1 42.6003 -*END - -*D_NET *3125 0.00506884 -*CONN -*I *27572:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27571:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *27572:A1 0 -2 *27571:X 0.0007965 -3 *3125:10 0.0007965 -4 *3125:10 *25624:A0 1.24912e-05 -5 *3125:10 *25918:B 1.08691e-05 -6 *3125:10 *3145:32 1.39158e-05 -7 *3125:10 *5685:126 3.57584e-05 -8 *3125:10 *5778:261 2.54632e-05 -9 *3125:10 *6324:17 0.0005442 -10 *3125:10 *6385:70 0.00127905 -11 *25617:S *3125:10 0.000694474 -12 *25918:A *3125:10 2.06112e-05 -13 *473:13 *3125:10 0.000306317 -14 *1715:18 *3125:10 4.38243e-05 -15 *1825:58 *3125:10 0.000361052 -16 *1895:13 *3125:10 0.000127814 -*RES -1 *27571:X *3125:10 42.3714 -2 *3125:10 *27572:A1 9.3 -*END - -*D_NET *3126 0.00271956 -*CONN -*I *27574:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27573:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27574:C1 0.000845733 -2 *27573:X 0.000845733 -3 *27574:C1 *27574:B2 1.63076e-05 -4 *27574:C1 *3480:23 9.75173e-05 -5 *27574:C1 *5630:110 4.66203e-05 -6 *27574:C1 *5836:89 3.69047e-06 -7 *27574:A2 *27574:C1 0.000201806 -8 *27574:B1 *27574:C1 3.69577e-05 -9 *1210:19 *27574:C1 6.81786e-05 -10 *1215:9 *27574:C1 7.57852e-05 -11 *1422:52 *27574:C1 0.000194013 -12 *1563:26 *27574:C1 0.000287214 -*RES -1 *27573:X *27574:C1 42.35 -*END - -*D_NET *3127 0.00319754 -*CONN -*I *27581:A I *D sky130_fd_sc_hd__or4_1 -*I *27574:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27581:A 0.000561438 -2 *27574:X 0.000561438 -3 *27581:A *3685:80 0.000139215 -4 *27581:A *4077:38 0.00123132 -5 *27581:A *5651:176 0.000158871 -6 *1409:6 *27581:A 0.000545255 -*RES -1 *27574:X *27581:A 45.1714 -*END - -*D_NET *3128 0.00230489 -*CONN -*I *27576:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27575:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27576:C1 0.000614853 -2 *27575:X 0.000614853 -3 *27576:C1 *27576:B2 1.02504e-05 -4 *27576:C1 *27883:B2 5.99756e-05 -5 *27576:C1 *27891:C 0.000201426 -6 *27576:C1 *3571:53 0.000154007 -7 *27576:C1 *3778:25 0 -8 *27576:C1 *5698:36 0.000584854 -9 *27576:A2 *27576:C1 7.69776e-06 -10 *1408:28 *27576:C1 1.70474e-05 -11 *2865:62 *27576:C1 3.99243e-05 -*RES -1 *27575:X *27576:C1 43.4179 -*END - -*D_NET *3129 0.0308394 -*CONN -*I *27581:B I *D sky130_fd_sc_hd__or4_1 -*I *27576:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27581:B 0.00139588 -2 *27576:X 0.0013835 -3 *3129:20 0.00357592 -4 *3129:18 0.00311182 -5 *3129:10 0.00231528 -6 *27581:B *27573:A1 4.78952e-05 -7 *27581:B *27592:B1 5.49489e-05 -8 *27581:B *3145:8 0.000125466 -9 *27581:B *3214:92 2.63501e-05 -10 *27581:B *3214:112 0.000132309 -11 *27581:B *3685:80 0.000469523 -12 *27581:B *3910:51 0.000132309 -13 *27581:B *3923:32 0.000922459 -14 *27581:B *3923:51 0.000907946 -15 *27581:B *3936:51 2.35215e-05 -16 *27581:B *5614:88 0.00116783 -17 *3129:10 *27540:A 2.95076e-06 -18 *3129:10 *27866:D 0.000316223 -19 *3129:10 *27883:B2 0.000795448 -20 *3129:10 *28377:D 0 -21 *3129:10 *3792:80 0.000236835 -22 *3129:10 *3792:82 1.86686e-05 -23 *3129:10 *3817:22 0.000253504 -24 *3129:10 *3843:51 0 -25 *3129:10 *3884:112 0.000426985 -26 *3129:10 *5442:26 0.000549608 -27 *3129:10 *5698:26 0 -28 *3129:10 *5698:30 0 -29 *3129:18 *27540:A 0 -30 *3129:18 *27903:A2 0.000170865 -31 *3129:18 *28157:SET_B 0.000101689 -32 *3129:18 *3792:82 0.0014498 -33 *3129:18 *3910:51 0.000545346 -34 *3129:18 *5510:116 0 -35 *3129:20 *25327:B2 1.90936e-05 -36 *3129:20 *25932:A1 0.00026235 -37 *3129:20 *27879:A2 0.000141984 -38 *3129:20 *27933:B2 0.00016011 -39 *3129:20 *3145:8 0.00224743 -40 *3129:20 *3792:82 0.00403491 -41 *3129:20 *3910:51 0.000455601 -42 *3129:20 *3923:32 0.000110498 -43 *3129:20 *4036:40 0.000317252 -44 *3129:20 *5691:51 0.000424351 -45 *3129:20 *5699:140 0.00148479 -46 *25300:A2 *3129:20 0.000144328 -47 *27541:A2 *3129:10 1.76649e-05 -48 *1362:97 *3129:18 6.9248e-05 -49 *1408:60 *27581:B 1.42701e-05 -50 *1421:53 *3129:18 0 -51 *1423:86 *3129:20 4.13617e-05 -52 *1599:9 *3129:18 3.48023e-05 -53 *1685:22 *27581:B 6.57893e-06 -54 *2878:55 *3129:10 8.33454e-05 -55 *2880:197 *27581:B 0 -56 *2892:26 *3129:10 0.000108535 -*RES -1 *27576:X *3129:10 41.6482 -2 *3129:10 *3129:18 23.0268 -3 *3129:18 *3129:20 70.0982 -4 *3129:20 *27581:B 38.5329 -*END - -*D_NET *3130 0.00111647 -*CONN -*I *27578:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *27577:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27578:B1 0.000399313 -2 *27577:X 0.000399313 -3 *27578:B1 *27581:C 2.59355e-05 -4 *27578:B1 *3133:11 4.15183e-05 -5 *27578:B1 *3156:162 1.48677e-06 -6 *27578:B1 *3715:32 4.83837e-05 -7 *27578:B1 *3752:22 2.04825e-05 -8 *27578:B1 *4144:47 6.25479e-05 -9 *27578:A2 *27578:B1 5.33005e-05 -10 *1476:21 *27578:B1 4.2985e-06 -11 *1476:209 *27578:B1 6.59103e-06 -12 *2866:217 *27578:B1 5.33005e-05 -*RES -1 *27577:X *27578:B1 36.8468 -*END - -*D_NET *3131 0.000776849 -*CONN -*I *27581:C I *D sky130_fd_sc_hd__or4_1 -*I *27578:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *27581:C 0.000190405 -2 *27578:X 0.000190405 -3 *27581:C *3133:11 0.000131659 -4 *27581:C *3156:162 2.04825e-05 -5 *27581:C *3209:117 1.27625e-05 -6 *27581:C *3685:80 5.52238e-05 -7 *27581:C *4144:47 0.000125724 -8 *27578:A2 *27581:C 2.42516e-05 -9 *27578:B1 *27581:C 2.59355e-05 -*RES -1 *27578:X *27581:C 31.1357 -*END - -*D_NET *3132 0.000846363 -*CONN -*I *27580:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27579:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27580:C1 0.000233391 -2 *27579:X 0.000233391 -3 *27580:C1 *27579:B2 0 -4 *27580:C1 *27580:B2 1.33343e-05 -5 *27580:C1 *3702:39 4.85178e-05 -6 *27580:C1 *5719:62 0.000221634 -7 *27580:B1 *27580:C1 9.60939e-05 -*RES -1 *27579:X *27580:C1 23.1536 -*END - -*D_NET *3133 0.0052151 -*CONN -*I *27581:D I *D sky130_fd_sc_hd__or4_1 -*I *27580:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27581:D 0 -2 *27580:X 0.000884869 -3 *3133:11 0.000884869 -4 *3133:11 *25091:B2 0.000103139 -5 *3133:11 *3156:175 0.000519583 -6 *3133:11 *3209:117 0.00105595 -7 *3133:11 *3685:80 2.14757e-05 -8 *3133:11 *3949:28 0.0002386 -9 *3133:11 *4144:47 0.000762617 -10 *3133:11 *4144:50 8.47107e-05 -11 *3133:11 *5301:27 0.000486107 -12 *27578:B1 *3133:11 4.15183e-05 -13 *27581:C *3133:11 0.000131659 -*RES -1 *27580:X *3133:11 44.9964 -2 *3133:11 *27581:D 9.3 -*END - -*D_NET *3134 0.000652645 -*CONN -*I *27592:B1 I *D sky130_fd_sc_hd__o221a_2 -*I *27581:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27592:B1 0.000142423 -2 *27581:X 0.000142423 -3 *27592:B1 *27592:B2 5.47797e-05 -4 *27592:B1 *27592:C1 9.54798e-06 -5 *27592:B1 *3209:117 1.49057e-05 -6 *27592:B1 *3819:41 2.52787e-05 -7 *27592:B1 *4077:49 0.000136388 -8 *27592:B1 *5795:113 5.28563e-05 -9 *27581:B *27592:B1 5.49489e-05 -10 *1685:22 *27592:B1 1.90936e-05 -*RES -1 *27581:X *27592:B1 30.6134 -*END - -*D_NET *3135 0.000656369 -*CONN -*I *27583:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27582:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27583:C1 0.00013535 -2 *27582:X 0.00013535 -3 *27583:C1 *27952:B2 0.000145753 -4 *27583:C1 *3753:26 0.000145753 -5 *1473:33 *27583:C1 9.41642e-05 -*RES -1 *27582:X *27583:C1 30.4929 -*END - -*D_NET *3136 0.0117748 -*CONN -*I *27591:A I *D sky130_fd_sc_hd__or4_1 -*I *27583:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27591:A 0.000117267 -2 *27583:X 0.000374847 -3 *3136:12 0.00151038 -4 *3136:10 0.00176796 -5 *27591:A *27592:B2 6.86792e-05 -6 *27591:A *5839:47 6.43196e-05 -7 *3136:10 *27583:A1 0.000135028 -8 *3136:10 *27583:B2 0.000263324 -9 *3136:10 *3541:23 5.74499e-06 -10 *3136:10 *3687:26 4.82712e-05 -11 *3136:10 *3947:45 5.5469e-05 -12 *3136:10 *3975:34 6.057e-07 -13 *3136:10 *6036:46 8.81055e-05 -14 *3136:12 *27951:A2 0.00219852 -15 *3136:12 *3687:40 0.000222486 -16 *3136:12 *3715:32 2.09826e-05 -17 *3136:12 *3753:40 0.000224241 -18 *3136:12 *3947:45 0.00110329 -19 *3136:12 *4118:50 0.00015775 -20 *3136:12 *4131:62 0.00141379 -21 *3136:12 *5808:12 0.000382312 -22 *3136:12 *6036:46 4.32294e-05 -23 *27578:A2 *3136:12 3.34366e-05 -24 *27583:B1 *3136:10 2.41198e-05 -25 *1287:109 *3136:12 0.000780224 -26 *2848:123 *3136:12 0.000193833 -27 *2878:120 *3136:12 1.30241e-05 -28 *2891:329 *3136:10 0.000463586 -*RES -1 *27583:X *3136:10 22.0946 -2 *3136:10 *3136:12 46.7232 -3 *3136:12 *27591:A 15.9786 -*END - -*D_NET *3137 0.00134839 -*CONN -*I *27585:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27584:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *27585:B1 0.000352012 -2 *27584:X 0.000352012 -3 *27585:B1 *27585:A1 5.33005e-05 -4 *27585:B1 *27951:A2 9.87202e-05 -5 *27585:B1 *3763:20 9.87202e-05 -6 *27585:B1 *4131:56 0.000300412 -7 *27585:A2 *27585:B1 9.32182e-05 -*RES -1 *27584:X *27585:B1 33.9929 -*END - -*D_NET *3138 0.00110052 -*CONN -*I *27586:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27585:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27586:C1 0.000203358 -2 *27585:X 0.000203358 -3 *27586:C1 *3143:11 5.41797e-06 -4 *27586:C1 *3958:16 0.000302323 -5 *27586:C1 *4066:45 0.000289369 -6 *27585:A2 *27586:C1 9.66977e-05 -*RES -1 *27585:X *27586:C1 32.6179 -*END - -*D_NET *3139 0.000743498 -*CONN -*I *27591:B I *D sky130_fd_sc_hd__or4_1 -*I *27586:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27591:B 0.000144102 -2 *27586:X 0.000144102 -3 *27591:B *3168:153 2.23987e-05 -4 *27591:B *3715:16 0.000169321 -5 *27591:B *3715:32 9.41642e-05 -6 *27591:B *4131:56 1.20379e-05 -7 *27586:A2 *27591:B 5.4826e-05 -8 *2866:217 *27591:B 0.000102545 -*RES -1 *27586:X *27591:B 31.1 -*END - -*D_NET *3140 0.00448561 -*CONN -*I *27588:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27587:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27588:C1 0.000772609 -2 *27587:X 0.000772609 -3 *27588:C1 *3685:66 4.29471e-05 -4 *27588:C1 *3936:35 0.000221641 -5 *27588:C1 *3957:22 0.00122556 -6 *27588:C1 *5623:23 0.000221641 -7 *1476:21 *27588:C1 0.000113005 -8 *2882:142 *27588:C1 0.00105477 -9 *2895:172 *27588:C1 6.08336e-05 -*RES -1 *27587:X *27588:C1 48.475 -*END - -*D_NET *3141 0.00112919 -*CONN -*I *27591:C I *D sky130_fd_sc_hd__or4_1 -*I *27588:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27591:C 0.000214845 -2 *27588:X 0.000214845 -3 *27591:C *27585:A1 0.000128154 -4 *27591:C *3143:11 9.66977e-05 -5 *27591:C *3715:16 0.000301016 -6 *27591:C *4101:24 0.000129868 -7 *27591:C *5839:35 4.37671e-05 -*RES -1 *27588:X *27591:C 33.0643 -*END - -*D_NET *3142 0.00103607 -*CONN -*I *27590:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27589:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27590:C1 0.000268119 -2 *27589:X 0.000268119 -3 *27590:C1 *27546:B1 2.49484e-05 -4 *27590:C1 *3702:26 0.000262504 -5 *27590:C1 *4092:45 9.9974e-05 -6 *27590:C1 *4100:22 7.40526e-05 -7 *27590:C1 *5215:12 3.83544e-05 -*RES -1 *27589:X *27590:C1 32.1357 -*END - -*D_NET *3143 0.00639251 -*CONN -*I *27591:D I *D sky130_fd_sc_hd__or4_1 -*I *27590:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27591:D 0 -2 *27590:X 0.00117342 -3 *3143:11 0.00117342 -4 *3143:11 *27953:B2 3.30337e-05 -5 *3143:11 *3715:16 2.09776e-05 -6 *3143:11 *3741:38 9.87983e-06 -7 *3143:11 *3741:68 0.00139238 -8 *3143:11 *3958:16 6.607e-06 -9 *3143:11 *4066:45 0.000385108 -10 *3143:11 *4066:46 4.57042e-05 -11 *3143:11 *4131:56 0.000599087 -12 *27545:A2 *3143:11 0.0012913 -13 *27546:A2 *3143:11 1.58163e-05 -14 *27586:C1 *3143:11 5.41797e-06 -15 *27591:C *3143:11 9.66977e-05 -16 *3101:17 *3143:11 0.000143652 -*RES -1 *27590:X *3143:11 47.9607 -2 *3143:11 *27591:D 9.3 -*END - -*D_NET *3144 0.00319086 -*CONN -*I *27592:B2 I *D sky130_fd_sc_hd__o221a_2 -*I *27591:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27592:B2 0.000974423 -2 *27591:X 0.000974423 -3 *27592:B2 *4087:26 0.000349209 -4 *27592:B2 *4144:47 0.000136958 -5 *27592:B2 *5795:113 0.000136525 -6 *27592:B2 *5839:47 2.87555e-06 -7 *27578:A2 *27592:B2 0.000124949 -8 *27591:A *27592:B2 6.86792e-05 -9 *27592:A2 *27592:B2 1.73088e-05 -10 *27592:B1 *27592:B2 5.47797e-05 -11 *2853:114 *27592:B2 0.000350727 -*RES -1 *27591:X *27592:B2 43.225 -*END - -*D_NET *3145 0.0502358 -*CONN -*I *6634:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27593:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *27592:X O *D sky130_fd_sc_hd__o221a_2 -*CAP -1 *6634:DIODE 0.000318389 -2 *27593:B1 9.26076e-05 -3 *27592:X 1.69531e-05 -4 *3145:32 0.004832 -5 *3145:14 0.00781416 -6 *3145:13 0.00356873 -7 *3145:8 0.00159252 -8 *3145:7 0.0014339 -9 *6634:DIODE *3146:17 2.11419e-05 -10 *6634:DIODE *3412:62 0.000239438 -11 *27593:B1 *27593:A2 9.87071e-05 -12 *27593:B1 *3146:17 9.94887e-05 -13 *3145:7 *27592:A1 5.33005e-05 -14 *3145:8 *25327:B2 1.30327e-05 -15 *3145:8 *3214:112 0.00162129 -16 *3145:8 *3910:51 0.00389829 -17 *3145:8 *3923:32 0.000123605 -18 *3145:13 *3666:52 0.000216755 -19 *3145:14 *25665:A1 0.000266085 -20 *3145:14 *27540:A 0.000354664 -21 *3145:14 *27548:A1 4.2797e-05 -22 *3145:14 *27874:B2 0.00089136 -23 *3145:14 *27880:A1 9.54536e-05 -24 *3145:14 *27880:B2 1.90936e-05 -25 *3145:14 *27880:C1 0.000485186 -26 *3145:14 *3662:19 0.000277891 -27 *3145:14 *3662:30 0.00195715 -28 *3145:14 *3789:20 0.000261653 -29 *3145:14 *3789:32 0.000811374 -30 *3145:14 *3792:82 0.000200054 -31 *3145:14 *3817:11 0.000304116 -32 *3145:14 *3832:43 0.000372856 -33 *3145:14 *4023:18 5.81013e-05 -34 *3145:14 *4023:28 0.000404986 -35 *3145:14 *4036:40 0.000296071 -36 *3145:14 *4139:53 0.000148172 -37 *3145:14 *4153:62 0.000140383 -38 *3145:14 *5687:58 0.000143738 -39 *3145:14 *5699:145 0.00116001 -40 *3145:14 *5699:147 0.00102649 -41 *3145:14 *5718:121 0.000368831 -42 *3145:14 *5738:85 0.000102056 -43 *3145:14 *5738:100 2.11419e-05 -44 *3145:32 *25600:A1 0.000797702 -45 *3145:32 *25612:A1 0.000134768 -46 *3145:32 *27571:A2 0.000101951 -47 *3145:32 *27927:A1 0.000127914 -48 *3145:32 *28119:D 0.000198899 -49 *3145:32 *28390:D 0.000221992 -50 *3145:32 *29430:A 0.000312076 -51 *3145:32 *29549:A 0.00014833 -52 *3145:32 *30958:A 0.000611786 -53 *3145:32 *3412:62 8.53261e-05 -54 *3145:32 *3792:75 0.000934599 -55 *3145:32 *5485:102 0.000108511 -56 *3145:32 *5680:343 0.000345218 -57 *3145:32 *5698:165 0.0025364 -58 *3145:32 *5705:169 0.000155623 -59 *3145:32 *5737:164 0.000151666 -60 *3145:32 *6385:70 0.000426385 -61 *25359:A2 *3145:32 0.000851426 -62 *25617:S *3145:32 0.000688941 -63 *25918:A *3145:32 0.000413202 -64 *27581:B *3145:8 0.000125466 -65 *27592:A2 *3145:7 5.33005e-05 -66 *30227:A *3145:14 7.75941e-05 -67 *460:33 *3145:32 1.00681e-05 -68 *1362:118 *3145:32 0.00102788 -69 *1437:29 *3145:14 8.68976e-05 -70 *1587:16 *3145:32 6.14836e-06 -71 *1617:30 *3145:14 2.11419e-05 -72 *1671:24 *3145:32 1.43349e-05 -73 *1715:18 *3145:32 8.92205e-05 -74 *1824:38 *6634:DIODE 0.0004456 -75 *1824:38 *3145:32 0.000314734 -76 *1825:58 *3145:32 6.46173e-05 -77 *2860:64 *3145:32 2.06996e-05 -78 *3103:17 *3145:32 0 -79 *3125:10 *3145:32 1.39158e-05 -80 *3129:20 *3145:8 0.00224743 -*RES -1 *27592:X *3145:7 14.3357 -2 *3145:7 *3145:8 51.4286 -3 *3145:8 *3145:13 11.1786 -4 *3145:13 *3145:14 93.1696 -5 *3145:14 *3145:32 46.08 -6 *3145:32 *27593:B1 15.9964 -7 *3145:32 *6634:DIODE 21.6645 -*END - -*D_NET *3146 0.015463 -*CONN -*I *27594:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27593:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *27594:A1 0.00235836 -2 *27593:X 0.000922384 -3 *3146:17 0.00328074 -4 *27594:A1 *29182:A 0 -5 *27594:A1 *29879:A 5.58809e-05 -6 *27594:A1 *3638:49 9.02021e-05 -7 *27594:A1 *4952:13 0.000343943 -8 *27594:A1 *5485:121 0.00344295 -9 *27594:A1 *5718:77 9.02021e-05 -10 *27594:A1 *6071:10 4.1323e-05 -11 *3146:17 *25600:A0 0.000815421 -12 *3146:17 *27593:A2 4.53295e-05 -13 *3146:17 *3648:24 0 -14 *3146:17 *3648:75 0.000226867 -15 *3146:17 *3791:148 9.76435e-06 -16 *3146:17 *3996:8 2.84109e-05 -17 *3146:17 *5040:13 0.000278212 -18 *3146:17 *5485:104 1.39726e-05 -19 *3146:17 *5499:34 4.33438e-05 -20 *3146:17 *5926:204 0.00128595 -21 *6634:DIODE *3146:17 2.11419e-05 -22 *27593:B1 *3146:17 9.94887e-05 -23 *30004:A *3146:17 5.33433e-05 -24 *1240:47 *27594:A1 9.72834e-05 -25 *1264:56 *27594:A1 0.000385798 -26 *1294:117 *27594:A1 0.000837129 -27 *1294:243 *27594:A1 0.000586255 -28 *1535:13 *27594:A1 0 -29 *1535:13 *3146:17 9.25014e-06 -*RES -1 *27593:X *3146:17 49.2107 -2 *3146:17 *27594:A1 36.9119 -*END - -*D_NET *3147 0.0219333 -*CONN -*I *27660:B I *D sky130_fd_sc_hd__and3_4 -*I *27615:B I *D sky130_fd_sc_hd__and3b_2 -*I *27663:C I *D sky130_fd_sc_hd__and3_4 -*I *27596:B I *D sky130_fd_sc_hd__nand2_2 -*I *27633:B I *D sky130_fd_sc_hd__and3_4 -*I *27640:B I *D sky130_fd_sc_hd__and3_4 -*I *27639:A I *D sky130_fd_sc_hd__and3_4 -*I *27595:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *27660:B 4.64763e-05 -2 *27615:B 0 -3 *27663:C 0.000117191 -4 *27596:B 6.40861e-05 -5 *27633:B 0.000901864 -6 *27640:B 0.000106742 -7 *27639:A 0 -8 *27595:Y 0.000706755 -9 *3147:68 0.000343036 -10 *3147:57 0.00241274 -11 *3147:42 0.00153926 -12 *3147:30 0.000612719 -13 *3147:27 0.00112276 -14 *3147:15 0.00146719 -15 *27596:B *3148:9 6.05161e-06 -16 *27596:B *5504:67 0.000137983 -17 *27633:B *27600:B 1.21258e-05 -18 *27633:B *27633:C 0.000746597 -19 *27633:B *3149:41 3.97677e-05 -20 *27640:B *27640:C 0.000116817 -21 *27640:B *5645:15 2.35581e-05 -22 *27660:B *3670:24 8.86413e-05 -23 *27660:B *5196:95 0.000180777 -24 *27663:C *5638:16 7.2754e-05 -25 *27663:C *5653:19 0.000138939 -26 *3147:15 *27254:B2 9.04785e-05 -27 *3147:15 *27264:B 0.000230482 -28 *3147:15 *27595:A 0.000260622 -29 *3147:15 *27639:B 6.55162e-05 -30 *3147:15 *6308:18 9.41642e-05 -31 *3147:27 *25009:B2 5.03772e-05 -32 *3147:27 *27639:B 5.03772e-05 -33 *3147:27 *28617:D 0.000128154 -34 *3147:27 *3163:20 0.000178776 -35 *3147:27 *3191:10 6.57032e-05 -36 *3147:27 *3670:24 0.000220754 -37 *3147:27 *3825:37 0.00093643 -38 *3147:27 *5196:95 0.000429512 -39 *3147:30 *27640:C 1.90936e-05 -40 *3147:30 *3192:9 0.000100661 -41 *3147:30 *3194:19 1.90936e-05 -42 *3147:30 *3850:24 0.000232571 -43 *3147:30 *3851:54 0.000261161 -44 *3147:42 *3192:9 0.000138287 -45 *3147:42 *3850:24 6.82922e-05 -46 *3147:42 *3851:54 0.000143798 -47 *3147:42 *5592:25 9.71197e-05 -48 *3147:57 *27637:B 5.33005e-05 -49 *3147:57 *3154:26 0.000167659 -50 *3147:57 *3154:41 0.000137832 -51 *3147:57 *3154:50 0.000206887 -52 *3147:57 *3154:65 0.000280688 -53 *3147:57 *3160:84 0.000184872 -54 *3147:57 *3189:11 0.000346149 -55 *3147:57 *3192:9 0.000269893 -56 *3147:57 *3192:20 0.00036502 -57 *3147:57 *3204:18 1.18496e-05 -58 *3147:57 *3825:37 5.33978e-05 -59 *3147:57 *5592:25 0.000222244 -60 *3147:57 *5638:16 0.000124947 -61 *3147:57 *5639:13 0.000105026 -62 *3147:57 *5653:19 0.000419908 -63 *3147:68 *5638:16 0.000172061 -64 *3147:68 *5653:19 0.000341243 -65 *27268:A2 *3147:27 0.000397138 -66 *27596:A *27596:B 5.33005e-05 -67 *27663:B *27663:C 5.33005e-05 -68 *1218:136 *3147:15 0.000240293 -69 *1218:136 *3147:27 8.43535e-06 -70 *1256:61 *27663:C 6.34436e-05 -71 *1282:77 *3147:15 0.000637128 -72 *1282:77 *3147:27 1.98839e-05 -73 *1801:38 *3147:57 0.000615791 -74 *1803:52 *27596:B 1.90111e-05 -75 *1826:26 *3147:15 0.000117892 -76 *2776:23 *3147:15 0.000178847 -77 *2787:37 *3147:15 4.2991e-05 -78 *2792:128 *3147:30 4.29471e-05 -79 *2827:37 *3147:27 0 -80 *2827:65 *3147:57 5.10822e-05 -81 *2827:72 *27663:C 0.000123471 -82 *2827:77 *27663:C 5.49544e-05 -83 *2832:15 *3147:15 0.000655102 -84 *2834:20 *3147:27 1.02504e-05 -85 *2835:15 *3147:27 0 -86 *2885:35 *3147:57 4.98496e-06 -87 *3040:41 *3147:27 0.000193808 -*RES -1 *27595:Y *3147:15 38.9964 -2 *3147:15 *27639:A 9.3 -3 *3147:15 *3147:27 30.1429 -4 *3147:27 *3147:30 12.5179 -5 *3147:30 *27640:B 15.4607 -6 *3147:30 *3147:42 9.21429 -7 *3147:42 *3147:57 46.3214 -8 *3147:57 *27633:B 25.3357 -9 *3147:57 *3147:68 4.67857 -10 *3147:68 *27596:B 15.5857 -11 *3147:68 *27663:C 17.5143 -12 *3147:42 *27615:B 9.3 -13 *3147:27 *27660:B 11.0679 -*END - -*D_NET *3148 0.00538383 -*CONN -*I *27630:B I *D sky130_fd_sc_hd__nor2_8 -*I *27600:A I *D sky130_fd_sc_hd__nor2_1 -*I *27597:B I *D sky130_fd_sc_hd__nor2_2 -*I *27596:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *27630:B 0 -2 *27600:A 1.69531e-05 -3 *27597:B 0.000307515 -4 *27596:Y 0.00015698 -5 *3148:18 0.0008295 -6 *3148:9 0.000662011 -7 *27600:A *27600:B 5.33005e-05 -8 *27600:A *5535:25 5.33005e-05 -9 *3148:9 *5504:67 0.000110608 -10 *3148:18 *5504:67 0.000330493 -11 *3148:18 *5646:17 0.000426978 -12 *27596:A *3148:9 0 -13 *27596:B *3148:9 6.05161e-06 -14 *27597:A *27597:B 0.000148903 -15 *27608:A *27597:B 0.000214361 -16 *1803:52 *3148:9 0.000181263 -17 *1803:52 *3148:18 0.000160812 -18 *2847:33 *27597:B 0 -19 *2849:66 *27597:B 6.94764e-05 -20 *2849:79 *27597:B 0.000214515 -21 *2849:79 *3148:18 0.000345251 -22 *2864:96 *27597:B 0.000743401 -23 *2864:96 *3148:18 0.000352152 -*RES -1 *27596:Y *3148:9 13.5679 -2 *3148:9 *3148:18 18.4821 -3 *3148:18 *27597:B 23.6393 -4 *3148:18 *27600:A 14.3357 -5 *3148:9 *27630:B 9.3 -*END - -*D_NET *3149 0.0135493 -*CONN -*I *27807:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27647:A I *D sky130_fd_sc_hd__or4_1 -*I *30803:A I *D sky130_fd_sc_hd__buf_8 -*I *27597:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *27807:A2 0.000287996 -2 *27647:A 3.77166e-05 -3 *30803:A 0 -4 *27597:Y 0.000752032 -5 *3149:41 0.00269804 -6 *3149:23 0.00312436 -7 *27647:A *27803:B1 1.78394e-05 -8 *27647:A *3194:24 2.59004e-05 -9 *27647:A *3787:29 6.52967e-05 -10 *27807:A2 *27803:B1 7.38983e-05 -11 *27807:A2 *27807:A1 4.79624e-05 -12 *27807:A2 *27807:B1 2.59355e-05 -13 *27807:A2 *27807:C1 0.000109588 -14 *27807:A2 *27817:B 1.46576e-05 -15 *27807:A2 *3787:29 0.000659253 -16 *27807:A2 *5700:260 5.74499e-06 -17 *3149:23 *27608:B 0.00019271 -18 *3149:23 *27633:C 0.000159283 -19 *3149:23 *3151:35 2.96805e-05 -20 *3149:23 *3159:8 5.50463e-05 -21 *3149:23 *3185:264 6.91457e-05 -22 *3149:23 *3206:13 0.000102676 -23 *3149:23 *3214:21 0.000262504 -24 *3149:23 *3214:149 0.00010047 -25 *3149:23 *5641:13 0.000181658 -26 *3149:23 *5718:256 0.00019759 -27 *3149:41 *27633:C 0.000473338 -28 *3149:41 *27802:A2 0.00078987 -29 *3149:41 *30806:A 4.58194e-05 -30 *3149:41 *30808:A 1.90936e-05 -31 *3149:41 *3160:97 0.000122714 -32 *3149:41 *3185:15 0.000232358 -33 *3149:41 *5641:13 4.22431e-05 -34 *3149:41 *5644:11 0.000178847 -35 *3149:41 *5658:10 0.000160824 -36 *3149:41 *5863:191 0.000129165 -37 *27633:A *3149:23 3.24891e-05 -38 *27633:B *3149:41 3.97677e-05 -39 *1802:82 *3149:41 0.000503104 -40 *1803:34 *3149:23 2.32837e-05 -41 *2833:26 *3149:23 0.000110238 -42 *2840:98 *3149:23 0.000108516 -43 *2852:297 *3149:23 5.04357e-05 -44 *2852:297 *3149:41 0.000432644 -45 *2871:160 *3149:23 0.000250555 -46 *2888:170 *27807:A2 0.000507057 -*RES -1 *27597:Y *3149:23 40.8858 -2 *3149:23 *30803:A 9.3 -3 *3149:23 *3149:41 36.5628 -4 *3149:41 *27647:A 14.6839 -5 *3149:41 *27807:A2 24.6348 -*END - -*D_NET *3150 0.0202456 -*CONN -*I *27613:C I *D sky130_fd_sc_hd__and3_4 -*I *27638:C I *D sky130_fd_sc_hd__and3_1 -*I *27627:B I *D sky130_fd_sc_hd__and3_4 -*I *27616:B I *D sky130_fd_sc_hd__and3_4 -*I *27640:C I *D sky130_fd_sc_hd__and3_4 -*I *27644:C I *D sky130_fd_sc_hd__and3_4 -*I *27606:C I *D sky130_fd_sc_hd__and3_2 -*I *27637:B I *D sky130_fd_sc_hd__and3_1 -*I *27632:B I *D sky130_fd_sc_hd__and3_4 -*I *27639:B I *D sky130_fd_sc_hd__and3_4 -*I *27598:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *27613:C 0.000398671 -2 *27638:C 0.000651739 -3 *27627:B 6.87153e-05 -4 *27616:B 2.81545e-05 -5 *27640:C 0.000493382 -6 *27644:C 0 -7 *27606:C 0.000199594 -8 *27637:B 0.000344124 -9 *27632:B 0.000110584 -10 *27639:B 0.000171732 -11 *27598:Y 8.76851e-05 -12 *3150:116 0.00129716 -13 *3150:106 0.000491222 -14 *3150:93 0.000490084 -15 *3150:75 0.000798057 -16 *3150:56 0.000287318 -17 *3150:53 0.000362247 -18 *3150:38 0.0012144 -19 *3150:8 0.000485481 -20 *3150:7 0.000905115 -21 *27606:C *3177:19 0.000136951 -22 *27606:C *5442:74 5.52238e-05 -23 *27606:C *5631:145 0.00024295 -24 *27606:C *5873:114 0.000434794 -25 *27613:C *27800:B1 5.33005e-05 -26 *27613:C *3152:17 0.000256062 -27 *27613:C *3193:37 0.000188376 -28 *27613:C *3206:179 0.000473331 -29 *27613:C *3850:24 5.50309e-05 -30 *27613:C *3851:54 4.31992e-05 -31 *27613:C *3851:56 0.000246716 -32 *27613:C *5606:51 3.97677e-05 -33 *27613:C *5633:26 8.64454e-05 -34 *27613:C *5633:40 3.17148e-05 -35 *27613:C *5863:191 2.09826e-05 -36 *27627:B *27627:C 5.33005e-05 -37 *27627:B *3214:180 3.63775e-05 -38 *27632:B *3184:15 0.000222666 -39 *27632:B *3191:35 0.000306813 -40 *27632:B *4907:28 0.00011309 -41 *27637:B *30807:A 2.04825e-05 -42 *27637:B *3537:74 0 -43 *27637:B *5714:16 0.000275506 -44 *27638:C *27612:C 2.89016e-05 -45 *27638:C *3164:20 2.89016e-05 -46 *27638:C *3193:37 3.69047e-06 -47 *27638:C *5863:191 8.33859e-06 -48 *27638:C *5863:195 1.40034e-05 -49 *27639:B *5751:199 7.17836e-05 -50 *27640:C *3851:54 1.94945e-05 -51 *27640:C *5645:15 0.00015961 -52 *27640:C *5662:15 7.37391e-05 -53 *27640:C *5714:13 0 -54 *27640:C *5981:38 0.000418668 -55 *3150:7 *27268:B2 4.18895e-05 -56 *3150:7 *6312:41 0.000142856 -57 *3150:8 *3537:74 0 -58 *3150:8 *5751:199 0.000106876 -59 *3150:38 *25526:B 0.000336401 -60 *3150:38 *3537:74 0 -61 *3150:38 *3670:24 0.000583036 -62 *3150:38 *5196:95 8.43535e-06 -63 *3150:38 *5680:241 0.000133254 -64 *3150:38 *5714:16 1.14658e-05 -65 *3150:53 *5714:13 0 -66 *3150:56 *5631:145 0.00018045 -67 *3150:56 *6385:141 8.89663e-05 -68 *3150:75 *5631:145 5.4869e-05 -69 *3150:75 *6385:141 2.52186e-05 -70 *3150:93 *3168:11 6.82998e-05 -71 *3150:93 *3189:11 0.000329244 -72 *3150:93 *5631:145 0.000544756 -73 *3150:93 *5658:7 4.23643e-05 -74 *3150:93 *6385:141 0.000105033 -75 *3150:106 *3163:42 0.000138432 -76 *3150:106 *3168:11 7.22574e-05 -77 *3150:106 *3825:37 0.000337929 -78 *3150:106 *5658:7 1.65914e-05 -79 *3150:116 *27802:A2 0.000205072 -80 *3150:116 *3214:180 1.34631e-05 -81 *3150:116 *3850:24 0.000349415 -82 *3150:116 *3952:35 0.00013238 -83 *27612:B *27613:C 5.42764e-05 -84 *27612:B *27638:C 6.86792e-05 -85 *27612:B *3150:116 6.13743e-05 -86 *27615:A_N *3150:106 0.000177679 -87 *27616:A *3150:93 5.33005e-05 -88 *27638:A *27638:C 0.000107303 -89 *27640:B *27640:C 0.000116817 -90 *27664:A *27606:C 9.54798e-06 -91 *1185:52 *27637:B 5.21415e-05 -92 *1185:52 *3150:53 3.24891e-05 -93 *1218:136 *27639:B 0.00035074 -94 *1339:10 *27606:C 0.000121871 -95 *2792:128 *27640:C 9.11102e-06 -96 *2792:129 *27606:C 1.74352e-05 -97 *2823:26 *3150:8 0.000223275 -98 *2823:26 *3150:38 0.00040245 -99 *2825:39 *27637:B 0.000104982 -100 *2825:45 *27606:C 0.00012345 -101 *2832:15 *27639:B 0.000186442 -102 *2832:15 *3150:8 3.91504e-05 -103 *2832:15 *3150:38 8.50152e-05 -104 *2832:78 *27627:B 0.000175892 -105 *2832:78 *3150:116 0.000293465 -106 *2888:167 *27638:C 1.57523e-05 -107 *3147:15 *27639:B 6.55162e-05 -108 *3147:27 *27639:B 5.03772e-05 -109 *3147:30 *27640:C 1.90936e-05 -110 *3147:57 *27637:B 5.33005e-05 -*RES -1 *27598:Y *3150:7 15.5679 -2 *3150:7 *3150:8 4.67857 -3 *3150:8 *27639:B 19.1571 -4 *3150:8 *27632:B 17.7643 -5 *3150:7 *3150:38 26.7225 -6 *3150:38 *27637:B 21.6971 -7 *3150:38 *3150:53 4.03353 -8 *3150:53 *3150:56 6.90179 -9 *3150:56 *27606:C 25.1393 -10 *3150:56 *27644:C 9.3 -11 *3150:53 *3150:75 0.732143 -12 *3150:75 *27640:C 23.6817 -13 *3150:75 *3150:93 13.1161 -14 *3150:93 *27616:B 9.72857 -15 *3150:93 *3150:106 15.1429 -16 *3150:106 *27627:B 11.0679 -17 *3150:106 *3150:116 12.1786 -18 *3150:116 *27638:C 21.3893 -19 *3150:116 *27613:C 25.3893 -*END - -*D_NET *3151 0.00756068 -*CONN -*I *27600:B I *D sky130_fd_sc_hd__nor2_1 -*I *27645:A I *D sky130_fd_sc_hd__nor2_8 -*I *27604:A I *D sky130_fd_sc_hd__nor2_8 -*I *27625:A I *D sky130_fd_sc_hd__nor2_8 -*I *27642:B I *D sky130_fd_sc_hd__nor2_8 -*I *27599:X O *D sky130_fd_sc_hd__or2_4 -*CAP -1 *27600:B 0.000321016 -2 *27645:A 2.72859e-05 -3 *27604:A 4.29478e-05 -4 *27625:A 0 -5 *27642:B 0.000127214 -6 *27599:X 5.63424e-05 -7 *3151:35 0.000668654 -8 *3151:24 0.000545572 -9 *3151:17 0.000314248 -10 *3151:7 0.000315533 -11 *27600:B *27633:C 0.000219711 -12 *27600:B *3183:29 0.000185939 -13 *27600:B *3185:15 5.41794e-05 -14 *27600:B *5535:25 0.00014622 -15 *27600:B *5641:13 0.000633837 -16 *27604:A *3195:25 0.000135028 -17 *27604:A *5658:7 0.000135028 -18 *27642:B *27599:B 6.352e-05 -19 *27645:A *27645:B 9.41642e-05 -20 *3151:7 *27599:B 9.71197e-05 -21 *3151:7 *5635:15 0.000184745 -22 *3151:17 *3196:30 0 -23 *3151:24 *5718:256 0.00049949 -24 *3151:35 *3183:29 6.16333e-05 -25 *3151:35 *3185:15 0 -26 *3151:35 *5718:256 0.000443102 -27 *27600:A *27600:B 5.33005e-05 -28 *27633:B *27600:B 1.21258e-05 -29 *27642:A *27642:B 9.60875e-05 -30 *1803:34 *27600:B 5.77249e-05 -31 *1803:34 *3151:35 0.000214612 -32 *2787:62 *3151:17 0 -33 *2787:62 *3151:24 0 -34 *2834:27 *27642:B 9.41642e-05 -35 *2840:97 *27642:B 0.000162641 -36 *2840:97 *3151:17 0.000214519 -37 *2840:97 *3151:24 0.000544605 -38 *2840:97 *3151:35 0.000612598 -39 *2855:279 *27645:A 9.60875e-05 -40 *3149:23 *3151:35 2.96805e-05 -*RES -1 *27599:X *3151:7 15.5679 -2 *3151:7 *27642:B 16.9964 -3 *3151:7 *3151:17 2.85714 -4 *3151:17 *27625:A 13.8 -5 *3151:17 *3151:24 7.10714 -6 *3151:24 *27604:A 15.1571 -7 *3151:24 *3151:35 10.375 -8 *3151:35 *27645:A 14.7464 -9 *3151:35 *27600:B 23.0679 -*END - -*D_NET *3152 0.0113547 -*CONN -*I *27807:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27646:A I *D sky130_fd_sc_hd__or4_1 -*I *30802:A I *D sky130_fd_sc_hd__buf_8 -*I *27600:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *27807:B1 0.000630717 -2 *27646:A 5.25355e-05 -3 *30802:A 3.89389e-05 -4 *27600:Y 0.000721981 -5 *3152:17 0.00293073 -6 *3152:9 0.00300839 -7 *27646:A *3193:51 7.6644e-05 -8 *27646:A *3904:38 1.59689e-05 -9 *27646:A *4086:68 1.41029e-05 -10 *27807:B1 *27800:A1 0.00038907 -11 *27807:B1 *3787:29 1.94945e-05 -12 *27807:B1 *3942:35 0.000280346 -13 *27807:B1 *5700:260 2.59355e-05 -14 *3152:9 *3159:7 5.03616e-05 -15 *3152:9 *3209:21 1.53439e-05 -16 *3152:9 *3559:14 7.72916e-05 -17 *3152:9 *5646:10 0.000100832 -18 *3152:17 *27800:A1 0.00054662 -19 *3152:17 *27800:B1 0.000219711 -20 *3152:17 *3206:179 8.43535e-06 -21 *3152:17 *5606:51 2.89967e-05 -22 *3152:17 *5640:13 2.2351e-05 -23 *3152:17 *5646:10 3.77147e-05 -24 *27607:A *3152:9 0.0001399 -25 *27613:A *3152:17 5.19775e-05 -26 *27613:C *3152:17 0.000256062 -27 *27633:A *3152:17 0.000927724 -28 *27807:A2 *27807:B1 2.59355e-05 -29 *1256:61 *27646:A 9.91086e-05 -30 *1385:16 *27807:B1 0.000282183 -31 *2832:95 *3152:17 0.000164481 -32 *2849:79 *3152:9 7.57673e-05 -33 *2888:170 *27807:B1 1.90936e-05 -*RES -1 *27600:Y *3152:9 27.2643 -2 *3152:9 *30802:A 10.0321 -3 *3152:9 *3152:17 28.0536 -4 *3152:17 *27646:A 19.9429 -5 *3152:17 *27807:B1 33.8494 -*END - -*D_NET *3153 0.193711 -*CONN -*I *27813:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27822:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27773:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27675:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27736:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27749:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27605:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27700:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27923:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27958:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27898:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27874:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27847:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27601:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27813:A2 0.00136125 -2 *27822:A2 0.000213453 -3 *27773:A2 7.17218e-05 -4 *27675:A2 0 -5 *27736:A2 0 -6 *27749:A2 0.000412888 -7 *27605:A2 0.00479405 -8 *27700:A2 8.52975e-05 -9 *27923:A2 0 -10 *27958:A2 0.000513382 -11 *27898:A2 0.000351189 -12 *27874:A2 0.000114267 -13 *27847:A2 7.95713e-05 -14 *27601:X 0 -15 *3153:325 0.000407451 -16 *3153:320 0.00250529 -17 *3153:313 0.00471632 -18 *3153:300 0.00490838 -19 *3153:284 0.00601078 -20 *3153:223 0.00520694 -21 *3153:221 0.00387051 -22 *3153:204 0.0058968 -23 *3153:180 0.00217313 -24 *3153:177 0.00414323 -25 *3153:171 0.00194226 -26 *3153:170 0.00148936 -27 *3153:165 0.00137264 -28 *3153:164 0.00236111 -29 *3153:143 0.00179282 -30 *3153:140 0.00334208 -31 *3153:124 0.00513878 -32 *3153:98 0.00163584 -33 *3153:80 0.00263114 -34 *3153:55 0.00210495 -35 *3153:38 0.00210612 -36 *3153:32 0.0033061 -37 *3153:17 0.00617663 -38 *3153:10 0.00597044 -39 *3153:4 0.00295326 -40 *27605:A2 *25145:B2 0.000216608 -41 *27605:A2 *25146:B2 0.000130033 -42 *27605:A2 *27605:A1 0.000242249 -43 *27605:A2 *27605:B1 5.38558e-05 -44 *27605:A2 *27750:B1 0 -45 *27605:A2 *3680:32 0.000116155 -46 *27605:A2 *3803:40 2.07158e-05 -47 *27605:A2 *3959:20 5.83304e-05 -48 *27605:A2 *4025:75 0.000374804 -49 *27605:A2 *4043:69 4.86178e-05 -50 *27605:A2 *5628:161 8.98798e-06 -51 *27605:A2 *5689:165 0 -52 *27605:A2 *6258:12 0 -53 *27700:A2 *27700:A1 0.000260152 -54 *27700:A2 *5643:298 0.000175232 -55 *27749:A2 *27749:B2 5.33005e-05 -56 *27749:A2 *3836:24 6.2787e-05 -57 *27749:A2 *4025:75 0.000111326 -58 *27749:A2 *5584:145 5.27506e-05 -59 *27749:A2 *5590:98 2.5315e-05 -60 *27749:A2 *5646:232 0.000422093 -61 *27773:A2 *27822:B1 0.000114981 -62 *27773:A2 *3320:16 3.58774e-05 -63 *27773:A2 *3796:163 4.11173e-05 -64 *27773:A2 *4137:16 4.11173e-05 -65 *27813:A2 *26882:A0 0.000250836 -66 *27813:A2 *27448:B2 0.000246057 -67 *27813:A2 *27813:A1 0.000451878 -68 *27813:A2 *27813:B1 7.38347e-05 -69 *27813:A2 *27813:B2 3.33103e-05 -70 *27813:A2 *27813:C1 0.000135028 -71 *27813:A2 *30141:A 0.000136958 -72 *27813:A2 *3838:22 0.000247771 -73 *27813:A2 *5755:51 0.000423003 -74 *27822:A2 *25053:A2 2.06112e-05 -75 *27822:A2 *27822:B2 1.21371e-05 -76 *27822:A2 *3796:173 2.89016e-05 -77 *27822:A2 *5655:264 8.96266e-05 -78 *27847:A2 *25390:B2 2.40459e-05 -79 *27847:A2 *3970:40 4.69738e-05 -80 *27874:A2 *27874:B1 3.99614e-06 -81 *27874:A2 *3971:59 4.38267e-05 -82 *27898:A2 *3185:320 0.00012501 -83 *27898:A2 *5196:53 0.000123288 -84 *27898:A2 *5583:22 0.000104523 -85 *27958:A2 *27958:A1 0.00032187 -86 *27958:A2 *27958:B1 0.000197977 -87 *27958:A2 *3184:130 0.000105294 -88 *27958:A2 *3503:28 0.000909968 -89 *27958:A2 *3762:42 0.000315576 -90 *27958:A2 *5646:157 0.000201427 -91 *3153:10 *5643:39 7.40526e-05 -92 *3153:10 *5947:27 0.00241878 -93 *3153:17 *25265:A1 6.17679e-05 -94 *3153:17 *28650:CLK 0.000299031 -95 *3153:17 *28650:SET_B 0.000129147 -96 *3153:17 *29133:A 7.95355e-05 -97 *3153:17 *3170:5 0.000188877 -98 *3153:17 *3170:19 0.000646197 -99 *3153:17 *3170:21 4.59868e-05 -100 *3153:17 *3197:31 0.000536623 -101 *3153:17 *3855:5 0.000117552 -102 *3153:17 *3921:77 3.10313e-06 -103 *3153:17 *5700:111 0.000177821 -104 *3153:17 *5750:217 3.46495e-05 -105 *3153:32 *25353:A 0.000382744 -106 *3153:32 *25942:A 0.000306601 -107 *3153:32 *26836:A 5.33005e-05 -108 *3153:32 *3170:41 2.13481e-06 -109 *3153:32 *3174:56 0.000515052 -110 *3153:32 *3921:77 0.000758554 -111 *3153:32 *5622:48 6.8004e-05 -112 *3153:32 *5626:137 0.000165109 -113 *3153:32 *5869:27 0.000214166 -114 *3153:38 *3503:19 4.04292e-05 -115 *3153:38 *5634:52 0.00247853 -116 *3153:38 *5661:64 0.000126031 -117 *3153:55 *3441:24 1.08359e-05 -118 *3153:55 *3503:19 0.0001894 -119 *3153:55 *3556:29 0.001038 -120 *3153:55 *3921:54 3.43742e-05 -121 *3153:80 *27905:C1 0.000240834 -122 *3153:80 *3174:83 7.48404e-06 -123 *3153:80 *3441:24 0.000123115 -124 *3153:80 *3503:27 0.000294751 -125 *3153:80 *3556:29 0.000240494 -126 *3153:80 *3663:65 0.000925533 -127 *3153:80 *3762:42 0.00088365 -128 *3153:80 *3762:61 4.86178e-05 -129 *3153:80 *5467:14 5.14011e-05 -130 *3153:98 *27923:B1 3.68633e-05 -131 *3153:98 *27925:C1 0.000710273 -132 *3153:98 *3471:10 1.98839e-05 -133 *3153:98 *3663:65 3.34366e-05 -134 *3153:98 *3663:73 0.000572013 -135 *3153:98 *3762:42 0.0028179 -136 *3153:98 *3961:64 6.89823e-05 -137 *3153:98 *4012:39 0.000106898 -138 *3153:98 *5653:70 2.49484e-05 -139 *3153:98 *5694:42 0.000326378 -140 *3153:98 *5729:252 8.41284e-06 -141 *3153:98 *5729:276 0.000882192 -142 *3153:124 *27745:A2 8.20967e-05 -143 *3153:124 *27818:C1 4.70923e-05 -144 *3153:124 *28805:D 0.000307327 -145 *3153:124 *28805:RESET_B 2.15178e-05 -146 *3153:124 *3204:18 0.000656272 -147 *3153:124 *5485:133 0.000220866 -148 *3153:124 *5485:139 0.00131446 -149 *3153:124 *5653:19 0.000846396 -150 *3153:124 *5755:22 0.000237216 -151 *3153:124 *5947:27 4.27935e-05 -152 *3153:124 *6342:8 5.61874e-05 -153 *3153:140 *25229:B2 0.000135968 -154 *3153:140 *26893:A0 2.71688e-05 -155 *3153:140 *27163:A0 1.46617e-05 -156 *3153:140 *3259:25 9.67968e-05 -157 *3153:140 *3269:10 0.000121549 -158 *3153:140 *3952:18 0.000155837 -159 *3153:140 *4186:20 0.000726011 -160 *3153:140 *5277:8 0.000183298 -161 *3153:140 *5467:14 0.000728467 -162 *3153:140 *5627:18 0.000121549 -163 *3153:140 *5627:38 1.14338e-05 -164 *3153:140 *5644:158 0.000544868 -165 *3153:140 *5651:129 0.000634291 -166 *3153:143 *30770:A 9.23805e-05 -167 *3153:143 *3259:25 0.000143652 -168 *3153:143 *3665:38 0.000109432 -169 *3153:143 *3874:26 0.00133635 -170 *3153:143 *3900:48 2.65075e-05 -171 *3153:143 *5627:18 6.37883e-06 -172 *3153:143 *5627:38 0.000558003 -173 *3153:164 *27700:A1 0.000248138 -174 *3153:164 *28708:CLK 2.84109e-05 -175 *3153:164 *28708:D 8.38728e-05 -176 *3153:164 *3538:22 7.83659e-05 -177 *3153:164 *3666:122 8.00806e-05 -178 *3153:164 *5643:298 0.000149594 -179 *3153:165 *26896:A1 0.000123295 -180 *3153:165 *27176:A1 1.18064e-05 -181 *3153:165 *28485:D 0.000148903 -182 *3153:165 *3939:51 0.00374568 -183 *3153:165 *5643:298 4.93223e-05 -184 *3153:165 *5660:134 0.000874468 -185 *3153:165 *5660:157 0.00106197 -186 *3153:165 *5756:29 0.000152463 -187 *3153:165 *5829:96 7.48356e-05 -188 *3153:171 *3177:85 0.00143322 -189 *3153:171 *3887:42 0.000459499 -190 *3153:171 *3887:60 0.000326383 -191 *3153:171 *4082:76 0.0040633 -192 *3153:177 *3991:38 0.000763757 -193 *3153:177 *5660:189 0.000141019 -194 *3153:177 *5926:72 0.000763757 -195 *3153:180 *27736:A1 0.000134461 -196 *3153:180 *3965:39 0.000327789 -197 *3153:204 *27701:C1 0.000856742 -198 *3153:204 *27736:A1 2.09645e-05 -199 *3153:204 *27736:B2 0.000355554 -200 *3153:204 *3743:40 9.35114e-06 -201 *3153:204 *4107:32 0.000361265 -202 *3153:204 *4107:39 0.000493351 -203 *3153:204 *5595:22 9.60875e-05 -204 *3153:204 *5632:115 0.00111214 -205 *3153:221 *25147:A2 0.000402678 -206 *3153:221 *27120:A1 6.34436e-05 -207 *3153:221 *28684:D 0.000302447 -208 *3153:221 *28684:RESET_B 7.40114e-05 -209 *3153:221 *28699:CLK 0.000118144 -210 *3153:221 *3743:40 0.000112286 -211 *3153:221 *3753:93 3.69787e-05 -212 *3153:221 *3874:76 0.000422728 -213 *3153:221 *5585:23 3.8728e-05 -214 *3153:221 *5638:119 0.000428143 -215 *3153:221 *5748:10 0.000424447 -216 *3153:221 *5879:97 0.000762815 -217 *3153:221 *6269:12 0.00029278 -218 *3153:284 *27427:A1 1.21258e-05 -219 *3153:284 *27776:A2 5.16399e-06 -220 *3153:284 *3174:239 0.000155447 -221 *3153:284 *3185:182 0.000111243 -222 *3153:284 *3327:15 0.000154703 -223 *3153:284 *3367:46 0.000287303 -224 *3153:284 *3798:68 4.67785e-06 -225 *3153:284 *3850:24 0.000173055 -226 *3153:284 *3915:55 1.90936e-05 -227 *3153:284 *3916:20 0.000146512 -228 *3153:284 *3965:39 9.41642e-05 -229 *3153:284 *3991:38 5.41794e-05 -230 *3153:284 *5595:57 0.000542236 -231 *3153:284 *5633:69 0.000655799 -232 *3153:284 *5649:290 0.000139809 -233 *3153:284 *5649:310 0.00128646 -234 *3153:284 *5683:134 4.65519e-05 -235 *3153:284 *5702:147 3.3297e-05 -236 *3153:284 *5926:72 4.66511e-05 -237 *3153:300 *25048:A2 4.15833e-05 -238 *3153:300 *26923:A0 2.41653e-05 -239 *3153:300 *27773:B2 2.05413e-05 -240 *3153:300 *3185:190 0.00200273 -241 *3153:300 *3185:229 0.000481363 -242 *3153:300 *3320:16 0.000186437 -243 *3153:300 *3837:27 1.77672e-05 -244 *3153:300 *3916:18 0 -245 *3153:300 *3916:20 0.000901824 -246 *3153:300 *4084:18 4.55608e-05 -247 *3153:300 *4137:16 2.68801e-05 -248 *3153:300 *5646:311 9.82436e-05 -249 *3153:300 *5663:222 8.51829e-05 -250 *3153:313 *27740:A1 4.48682e-05 -251 *3153:313 *27741:C1 0.000175892 -252 *3153:313 *27773:A1 3.87074e-05 -253 *3153:313 *27773:B2 4.04292e-05 -254 *3153:313 *27822:B2 0.00026353 -255 *3153:313 *28125:CLK 0.000228566 -256 *3153:313 *3731:45 0.000362788 -257 *3153:313 *3731:61 0.000183713 -258 *3153:313 *3916:18 1.97086e-05 -259 *3153:313 *3978:109 0.000176077 -260 *3153:313 *5655:264 3.3261e-05 -261 *3153:313 *5683:103 0.000441724 -262 *3153:320 *27675:B1 6.52967e-05 -263 *3153:320 *27722:B2 3.63775e-05 -264 *3153:320 *28477:CLK 2.89114e-05 -265 *3153:320 *28477:D 0.000551673 -266 *3153:320 *28676:CLK 6.42095e-05 -267 *3153:320 *3731:61 0.000145337 -268 *3153:320 *3963:42 1.94879e-05 -269 *3153:320 *4030:27 3.82242e-05 -270 *3153:320 *5646:294 0.000133417 -271 *3153:320 *5701:113 6.77541e-05 -272 *3153:320 *5702:29 2.49484e-05 -273 *3153:320 *5777:131 2.57487e-05 -274 *3153:325 *5655:264 4.5178e-05 -275 *24951:A *3153:32 0.000339346 -276 *25017:B1 *27813:A2 0.000178847 -277 *25265:A2 *3153:17 5.43811e-05 -278 *25321:A2 *3153:17 6.47684e-05 -279 *26837:C1 *3153:32 0.000135028 -280 *26882:S *27813:A2 4.08637e-05 -281 *27163:S *3153:140 0.000142871 -282 *27288:C *3153:10 5.58875e-06 -283 *27403:A2 *27605:A2 0.000606444 -284 *27403:A2 *27749:A2 0.000117485 -285 *27404:A2 *27605:A2 0.000110235 -286 *27427:A2 *3153:284 0.00112925 -287 *27427:B1 *3153:284 2.59355e-05 -288 *27427:C1 *3153:284 7.59614e-05 -289 *27428:A2 *3153:180 0.000339346 -290 *27428:A2 *3153:284 9.65414e-05 -291 *29448:A *3153:32 7.08209e-05 -292 *29719:A *3153:164 4.13496e-05 -293 *29943:A *3153:300 9.60939e-05 -294 *30038:A *3153:165 0.000340059 -295 *30142:A *3153:124 0.00012501 -296 *1178:158 *3153:171 0.000375714 -297 *1252:110 *3153:140 0.000405474 -298 *1252:146 *3153:164 0.000635057 -299 *1256:115 *3153:204 0.000136676 -300 *1256:115 *3153:221 3.98782e-05 -301 *1265:119 *3153:221 2.01997e-05 -302 *1267:163 *3153:180 5.38442e-05 -303 *1267:163 *3153:204 0.00014524 -304 *1273:130 *27813:A2 0.000109132 -305 *1273:130 *3153:140 0.00015502 -306 *1275:101 *3153:170 0.000136958 -307 *1277:8 *3153:32 0.000216755 -308 *1281:40 *3153:32 1.67662e-05 -309 *1286:27 *27749:A2 0 -310 *1288:112 *27605:A2 0.000147981 -311 *1293:129 *27605:A2 0.000765446 -312 *1302:6 *27822:A2 2.06112e-05 -313 *1328:156 *3153:140 0.000193125 -314 *1389:10 *3153:300 0.000434757 -315 *1390:15 *3153:284 0.00249855 -316 *1390:15 *3153:300 3.94425e-05 -317 *1400:95 *3153:32 1.64271e-05 -318 *1406:8 *27749:A2 0.000292943 -319 *1433:23 *27605:A2 0.00271906 -320 *1458:10 *27958:A2 7.83587e-05 -321 *1520:20 *3153:204 0.000345069 -322 *1562:19 *27605:A2 4.70487e-05 -323 *1562:19 *27749:A2 2.6847e-05 -324 *1619:25 *3153:38 4.39649e-05 -325 *1619:25 *3153:55 0.000183084 -326 *1624:84 *3153:80 9.42236e-05 -327 *1644:19 *3153:38 0.000756057 -328 *1672:16 *27605:A2 0.000418056 -329 *1697:32 *3153:300 0.00020379 -330 *1698:25 *27605:A2 0.00136217 -331 *1713:13 *27874:A2 0.000221815 -332 *1713:13 *3153:80 7.69776e-06 -333 *1713:26 *3153:55 0.00101466 -334 *1729:10 *3153:32 7.29712e-05 -335 *1834:49 *27605:A2 0.000247229 -336 *1853:64 *3153:300 6.82569e-05 -337 *1899:13 *3153:38 0.00104077 -338 *1899:31 *3153:38 0.000191939 -339 *2757:21 *3153:320 0.00113643 -340 *2758:74 *27813:A2 0.000180348 -341 *2758:74 *3153:140 1.33343e-05 -342 *2759:31 *27822:A2 0.000326207 -343 *2759:31 *3153:313 0.000158612 -344 *2759:31 *3153:325 0.000193138 -345 *2759:80 *27605:A2 0.00011648 -346 *2763:169 *3153:300 4.33002e-05 -347 *2776:231 *3153:300 0.000222666 -348 *2778:83 *3153:32 0.000212379 -349 *2778:152 *3153:221 0.000237 -350 *2779:170 *3153:143 5.41797e-06 -351 *2780:223 *27605:A2 0.00012768 -352 *2782:127 *3153:204 3.59519e-05 -353 *2782:146 *3153:204 4.07837e-05 -354 *2786:55 *3153:221 9.71788e-06 -355 *2786:59 *3153:221 0.000187973 -356 *2788:22 *3153:204 0.000339983 -357 *2790:92 *3153:140 0.000357658 -358 *2791:45 *3153:300 3.70093e-05 -359 *2791:66 *3153:284 0 -360 *2791:66 *3153:300 0.000356849 -361 *2791:209 *3153:221 0.000815045 -362 *2813:72 *3153:10 6.13706e-05 -363 *2848:206 *3153:165 0.000278153 -364 *2853:251 *3153:204 0.000185236 -365 *2853:292 *3153:204 0.00021553 -366 *2855:198 *3153:221 0.000680948 -367 *2859:172 *3153:143 0.000246171 -368 *2866:323 *27749:A2 0.000162863 -369 *2874:190 *3153:165 0.00038294 -370 *2874:192 *3153:165 3.90535e-05 -371 *2874:321 *3153:171 0.00159187 -372 *2875:196 *3153:140 2.63501e-05 -373 *2882:64 *27874:A2 0.000155278 -374 *2885:138 *3153:284 2.04825e-05 -375 *2889:92 *3153:204 0.000572405 -376 *2892:292 *3153:221 0 -377 *2894:303 *3153:204 4.12176e-05 -378 *2936:11 *3153:143 0.000862674 -379 *2978:36 *3153:140 0.000811859 -380 *2998:32 *3153:124 9.41404e-05 -*RES -1 *27601:X *3153:4 9.3 -2 *3153:4 *3153:10 33.9821 -3 *3153:10 *3153:17 48.75 -4 *3153:17 *3153:32 48.2232 -5 *3153:32 *3153:38 11.6272 -6 *3153:38 *27847:A2 15.0679 -7 *3153:38 *3153:55 28.6429 -8 *3153:55 *27874:A2 17.2286 -9 *3153:55 *3153:80 24.1846 -10 *3153:80 *27898:A2 27.8536 -11 *3153:80 *3153:98 43.4464 -12 *3153:98 *27958:A2 34.2911 -13 *3153:98 *27923:A2 9.3 -14 *3153:4 *3153:124 41.2143 -15 *3153:124 *3153:140 37.0929 -16 *3153:140 *3153:143 27.2411 -17 *3153:143 *27700:A2 11.8893 -18 *3153:143 *3153:164 30.1429 -19 *3153:164 *3153:165 48.6964 -20 *3153:165 *3153:170 10.3571 -21 *3153:170 *3153:171 52.9464 -22 *3153:171 *3153:177 23.0714 -23 *3153:177 *3153:180 9.12054 -24 *3153:180 *3153:204 49.0309 -25 *3153:204 *3153:221 48.2075 -26 *3153:221 *3153:223 3.41 -27 *3153:223 *27605:A2 45.7411 -28 *3153:223 *27749:A2 25.3944 -29 *3153:180 *27736:A2 9.3 -30 *3153:177 *3153:284 48.4729 -31 *3153:284 *3153:300 44.1873 -32 *3153:300 *3153:313 36.1464 -33 *3153:313 *3153:320 41.8571 -34 *3153:320 *27675:A2 9.3 -35 *3153:300 *3153:325 0.335267 -36 *3153:325 *27773:A2 18.9421 -37 *3153:325 *27822:A2 19.0025 -38 *3153:124 *27813:A2 45.608 -*END - -*D_NET *3154 0.0169681 -*CONN -*I *27641:C I *D sky130_fd_sc_hd__and3b_4 -*I *27633:C I *D sky130_fd_sc_hd__and3_4 -*I *27654:C I *D sky130_fd_sc_hd__and3_4 -*I *27603:B I *D sky130_fd_sc_hd__nand2_8 -*I *27615:C I *D sky130_fd_sc_hd__and3b_2 -*I *27620:B I *D sky130_fd_sc_hd__nand2_4 -*I *27632:C I *D sky130_fd_sc_hd__and3_4 -*I *27602:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *27641:C 0.000284298 -2 *27633:C 0.000467782 -3 *27654:C 5.17878e-05 -4 *27603:B 1.17492e-05 -5 *27615:C 0.000663579 -6 *27620:B 0.000144782 -7 *27632:C 0 -8 *27602:Y 0.000282802 -9 *3154:65 0.00106246 -10 *3154:50 0.000489905 -11 *3154:41 0.000208794 -12 *3154:26 0.00138254 -13 *3154:13 0.00129889 -14 *3154:6 0.000787254 -15 *27603:B *3155:7 5.50052e-05 -16 *27603:B *5658:7 5.50052e-05 -17 *27615:C *30815:A 0.000727822 -18 *27615:C *3168:11 0.000137639 -19 *27615:C *3168:25 0.000352381 -20 *27615:C *5654:9 0.00025961 -21 *27620:B *5196:95 0.000308844 -22 *27620:B *5485:133 0.000166555 -23 *27620:B *5660:9 0.000303972 -24 *27633:C *3160:97 5.33005e-05 -25 *27633:C *3204:18 0.000264895 -26 *27633:C *5641:13 5.36e-05 -27 *27633:C *5653:19 0.000263247 -28 *27633:C *5863:195 3.63775e-05 -29 *27641:C *3160:97 0.000388051 -30 *27641:C *5863:195 0.000297032 -31 *27654:C *30795:A 0.000135028 -32 *3154:6 *27238:B 0.000408142 -33 *3154:6 *27284:B 2.06178e-05 -34 *3154:6 *3184:15 1.38247e-05 -35 *3154:6 *3537:74 3.66444e-05 -36 *3154:6 *5751:199 0 -37 *3154:6 *6309:39 0 -38 *3154:13 *27284:A_N 1.17968e-05 -39 *3154:13 *27284:B 0.000156879 -40 *3154:13 *3184:15 3.95351e-05 -41 *3154:13 *3184:43 8.54298e-05 -42 *3154:13 *3216:26 7.69776e-06 -43 *3154:13 *3537:74 0.000165402 -44 *3154:13 *6312:8 0 -45 *3154:26 *3172:15 2.98674e-05 -46 *3154:26 *3204:18 0 -47 *3154:26 *3216:26 4.26565e-05 -48 *3154:26 *3537:74 0.00025983 -49 *3154:26 *5873:114 9.87001e-05 -50 *3154:41 *3537:74 4.21415e-05 -51 *3154:50 *3537:74 6.8597e-05 -52 *3154:65 *3160:84 0.000162407 -53 *3154:65 *3537:74 3.75052e-05 -54 *27600:B *27633:C 0.000219711 -55 *27633:B *27633:C 0.000746597 -56 *27641:B *27641:C 3.18676e-05 -57 *27654:B *27654:C 6.57032e-05 -58 *1368:23 *3154:13 0.00011994 -59 *1368:23 *3154:26 0.000127091 -60 *1801:38 *3154:26 0.000125684 -61 *1802:38 *3154:13 0.000121025 -62 *1802:38 *3154:26 1.70184e-05 -63 *2855:279 *27633:C 0.000177815 -64 *2855:279 *27641:C 0.000558028 -65 *2885:33 *3154:65 9.25841e-05 -66 *2885:35 *3154:65 0.000290089 -67 *2998:32 *27620:B 0.000166555 -68 *3147:57 *3154:26 0.000167659 -69 *3147:57 *3154:41 0.000137832 -70 *3147:57 *3154:50 0.000206887 -71 *3147:57 *3154:65 0.000280688 -72 *3149:23 *27633:C 0.000159283 -73 *3149:41 *27633:C 0.000473338 -*RES -1 *27602:Y *3154:6 19.3893 -2 *3154:6 *27632:C 13.8 -3 *3154:6 *3154:13 10.4464 -4 *3154:13 *27620:B 28.05 -5 *3154:13 *3154:26 12.2679 -6 *3154:26 *27615:C 28.0902 -7 *3154:26 *3154:41 1.94643 -8 *3154:41 *27603:B 14.3357 -9 *3154:41 *3154:50 2.85714 -10 *3154:50 *27654:C 15.1571 -11 *3154:50 *3154:65 11.9643 -12 *3154:65 *27633:C 33.6571 -13 *3154:65 *27641:C 18.1036 -*END - -*D_NET *3155 0.00222431 -*CONN -*I *27604:B I *D sky130_fd_sc_hd__nor2_8 -*I *27631:B I *D sky130_fd_sc_hd__nor2_8 -*I *27662:B I *D sky130_fd_sc_hd__nor2_8 -*I *27603:Y O *D sky130_fd_sc_hd__nand2_8 -*CAP -1 *27604:B 2.13113e-05 -2 *27631:B 6.37341e-05 -3 *27662:B 0 -4 *27603:Y 0.000253465 -5 *3155:12 0.00021967 -6 *3155:7 0.000430712 -7 *27631:B *3168:25 0.000175512 -8 *27631:B *3214:149 5.33005e-05 -9 *3155:7 *5658:7 0.000182239 -10 *3155:12 *3168:25 0.000194933 -11 *3155:12 *3195:25 0.000136727 -12 *3155:12 *5658:7 2.24804e-05 -13 *27603:B *3155:7 5.50052e-05 -14 *1801:47 *27631:B 5.33005e-05 -15 *2892:115 *27631:B 0.000166983 -16 *2892:115 *3155:12 0.000194933 -*RES -1 *27603:Y *3155:7 13.9607 -2 *3155:7 *3155:12 8.82143 -3 *3155:12 *27662:B 13.8 -4 *3155:12 *27631:B 16.5857 -5 *3155:7 *27604:B 9.72857 -*END - -*D_NET *3156 0.0905047 -*CONN -*I *27864:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27952:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *6635:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27938:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27912:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27888:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *30801:A I *D sky130_fd_sc_hd__buf_12 -*I *27604:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *27864:A2 0 -2 *27952:A2 6.8909e-05 -3 *6635:DIODE 4.36033e-05 -4 *27938:A2 8.0947e-05 -5 *27912:A2 4.66209e-06 -6 *27888:A2 0.000486054 -7 *30801:A 0.000159369 -8 *27604:Y 4.36033e-05 -9 *3156:175 0.00128804 -10 *3156:162 0.00528933 -11 *3156:133 0.00467126 -12 *3156:124 0.00281617 -13 *3156:112 0.00314745 -14 *3156:85 0.000790227 -15 *3156:78 0.00111197 -16 *3156:74 0.000847142 -17 *3156:73 0.00274581 -18 *3156:59 0.00441053 -19 *3156:49 0.00378389 -20 *3156:41 0.00350438 -21 *3156:7 0.00243067 -22 *6635:DIODE *3214:121 5.33005e-05 -23 *27888:A2 *27508:B2 0.000456277 -24 *27888:A2 *27888:B1 0.000199721 -25 *27888:A2 *3212:68 0.000471146 -26 *27888:A2 *3212:81 5.80706e-06 -27 *27888:A2 *3687:73 1.90936e-05 -28 *27888:A2 *3805:59 0.000120376 -29 *27888:A2 *3880:23 0.000135933 -30 *27912:A2 *27912:A1 1.46576e-05 -31 *27912:A2 *5442:23 1.46576e-05 -32 *27938:A2 *25874:A1 7.37323e-05 -33 *27952:A2 *3209:127 4.49293e-05 -34 *27952:A2 *3214:121 0.000106802 -35 *27952:A2 *3754:8 5.41794e-05 -36 *27952:A2 *4142:56 0.000157993 -37 *30801:A *30815:A 0.000149488 -38 *3156:7 *5658:7 5.33005e-05 -39 *3156:41 *28612:RESET_B 1.97087e-05 -40 *3156:41 *28788:D 0.000175892 -41 *3156:41 *3195:34 2.37838e-05 -42 *3156:41 *3206:13 0.00107354 -43 *3156:41 *3214:21 0.000377381 -44 *3156:41 *3572:34 0.000239873 -45 *3156:41 *3642:8 0.000264749 -46 *3156:41 *5486:20 0 -47 *3156:41 *5643:32 0.000332204 -48 *3156:41 *5657:13 0 -49 *3156:41 *5667:111 4.00349e-05 -50 *3156:41 *5694:110 4.1879e-05 -51 *3156:41 *5757:94 9.58181e-05 -52 *3156:41 *5981:45 0 -53 *3156:49 *25375:A2 0.000326147 -54 *3156:49 *28612:D 1.08571e-05 -55 *3156:49 *3206:27 0.000832913 -56 *3156:49 *3569:26 0.000117277 -57 *3156:49 *4062:27 0.000216043 -58 *3156:49 *5655:29 0.000236895 -59 *3156:49 *5655:113 0.000214552 -60 *3156:49 *5713:130 0.000134768 -61 *3156:49 *5981:45 5.39963e-05 -62 *3156:59 *25374:A1 1.55885e-05 -63 *3156:59 *25391:A1 1.01912e-05 -64 *3156:59 *25891:A0 6.05161e-06 -65 *3156:59 *27905:B1 0.000183077 -66 *3156:59 *27906:B1 6.05161e-06 -67 *3156:59 *28340:D 0.000801901 -68 *3156:59 *3206:27 1.51571e-05 -69 *3156:59 *3206:48 0.00111225 -70 *3156:59 *3214:36 0.00218479 -71 *3156:59 *5591:53 0.000180068 -72 *3156:59 *5629:23 0.000106672 -73 *3156:73 *27858:B2 1.53359e-05 -74 *3156:73 *27866:B 0.00167087 -75 *3156:73 *3503:19 6.35864e-05 -76 *3156:73 *3778:44 6.11663e-05 -77 *3156:73 *3791:98 0.000642692 -78 *3156:73 *4101:28 8.68082e-05 -79 *3156:74 *27864:B1 0.000205053 -80 *3156:74 *3791:98 0.000603213 -81 *3156:74 *3814:47 0.00217462 -82 *3156:78 *27864:B1 1.46576e-05 -83 *3156:78 *3814:47 1.46576e-05 -84 *3156:85 *27863:B2 7.6644e-05 -85 *3156:85 *3212:81 0.000175687 -86 *3156:85 *4103:24 0.000169836 -87 *3156:112 *27860:A2 0.00013182 -88 *3156:112 *27860:C1 0.000240407 -89 *3156:112 *27863:B2 0.000679584 -90 *3156:112 *3454:11 0 -91 *3156:112 *3697:71 0.000293561 -92 *3156:112 *3739:56 0.000763213 -93 *3156:124 *25642:A0 0.000816282 -94 *3156:124 *27860:A2 0.000180659 -95 *3156:124 *28022:A 0.00135452 -96 *3156:124 *3196:119 4.29471e-05 -97 *3156:124 *3594:19 0.000199428 -98 *3156:124 *3697:57 0.00011502 -99 *3156:124 *3805:59 0.00026173 -100 *3156:124 *3830:70 0.000136499 -101 *3156:124 *3882:47 4.79792e-05 -102 *3156:124 *4103:24 0.00101352 -103 *3156:124 *4130:77 0.00245654 -104 *3156:124 *4152:12 0.00017958 -105 *3156:124 *5744:30 4.88511e-06 -106 *3156:133 *27938:C1 4.82947e-05 -107 *3156:133 *3685:20 0.000816429 -108 *3156:133 *3896:56 9.78828e-05 -109 *3156:162 *25437:A2 6.7224e-05 -110 *3156:162 *25437:B1 0.000433264 -111 *3156:162 *25874:A1 3.82551e-05 -112 *3156:162 *27562:A 0 -113 *3156:162 *27930:A1 0 -114 *3156:162 *27959:A2 0.000121296 -115 *3156:162 *28997:A 0 -116 *3156:162 *3192:107 1.31235e-05 -117 *3156:162 *3197:125 2.12605e-05 -118 *3156:162 *3209:117 0.00065391 -119 *3156:162 *3452:32 7.12386e-05 -120 *3156:162 *3713:39 0.00123008 -121 *3156:162 *3715:32 0 -122 *3156:162 *3724:70 0.00145344 -123 *3156:162 *3727:20 1.34631e-05 -124 *3156:162 *3749:105 2.28898e-05 -125 *3156:162 *3883:31 0.000373191 -126 *3156:162 *3936:51 1.21813e-05 -127 *3156:162 *3948:41 0 -128 *3156:162 *4126:84 2.37343e-05 -129 *3156:162 *4144:47 1.08691e-05 -130 *3156:162 *5909:61 0.00076335 -131 *3156:175 *27577:A1 0.000175892 -132 *3156:175 *3209:117 0.000324122 -133 *3156:175 *3209:127 0.000224273 -134 *3156:175 *3752:22 0.00152005 -135 *3156:175 *3754:8 0.000713277 -136 *3156:175 *3949:28 0.000241839 -137 *3156:175 *4077:38 0.000324115 -138 *3156:175 *4144:47 0.000599161 -139 *3156:175 *5301:27 1.19011e-05 -140 *25374:B1 *3156:59 0.000262686 -141 *25375:C1 *3156:59 0.000549154 -142 *25461:A1 *3156:124 1.42701e-05 -143 *25834:A *3156:124 0.000102545 -144 *27497:B1 *3156:74 9.41642e-05 -145 *27517:C1 *3156:124 0.00106128 -146 *27560:B1 *3156:162 4.89354e-06 -147 *27578:B1 *3156:162 1.48677e-06 -148 *27580:A2 *3156:175 0.000306758 -149 *27581:C *3156:162 2.04825e-05 -150 *29498:A *3156:41 9.58126e-05 -151 *29717:A *3156:59 3.30337e-05 -152 *29834:A *3156:41 0.00010663 -153 *29834:A *3156:49 6.96768e-06 -154 *30818:A *3156:41 0.000200863 -155 *1237:17 *3156:49 0.000505745 -156 *1239:14 *3156:59 2.04825e-05 -157 *1395:35 *3156:59 1.90936e-05 -158 *1419:53 *3156:59 0.000108447 -159 *1423:54 *3156:162 0 -160 *1476:42 *3156:162 0.000620935 -161 *1476:161 *3156:59 2.64844e-05 -162 *1476:209 *3156:162 9.02925e-05 -163 *1545:48 *3156:124 1.50542e-05 -164 *2787:62 *3156:41 2.18993e-05 -165 *2789:25 *27888:A2 0.000920987 -166 *2789:25 *3156:85 0.000600913 -167 *2789:25 *3156:112 0.000934952 -168 *2789:27 *3156:112 0.00091756 -169 *2833:19 *30801:A 0.000100248 -170 *2833:19 *3156:41 0.000179481 -171 *2834:68 *3156:73 0.000988419 -172 *2834:68 *3156:74 0.000389029 -173 *2834:68 *3156:85 9.41642e-05 -174 *2834:109 *3156:124 3.84738e-05 -175 *2840:97 *30801:A 0.000103437 -176 *2840:97 *3156:41 0.000170915 -177 *2845:22 *3156:41 0.000148378 -178 *2852:11 *3156:41 0 -179 *2852:297 *3156:41 0 -180 *2855:103 *3156:162 0.000169233 -181 *2864:28 *3156:41 0 -182 *2865:133 *3156:162 3.52743e-06 -183 *2866:217 *3156:175 8.85532e-05 -184 *2872:41 *3156:73 0.00317343 -185 *2872:57 *3156:74 5.87854e-05 -186 *2878:16 *3156:41 0.00072168 -187 *2880:197 *3156:162 1.36734e-05 -188 *2894:87 *3156:124 0.000375283 -189 *2894:99 *3156:133 0.000777988 -190 *2894:106 *3156:133 2.30124e-05 -191 *3060:9 *3156:73 8.49829e-05 -192 *3133:11 *3156:175 0.000519583 -*RES -1 *27604:Y *3156:7 14.3357 -2 *3156:7 *30801:A 17.3357 -3 *3156:7 *3156:41 47.3594 -4 *3156:41 *3156:49 18.0513 -5 *3156:49 *3156:59 49.6514 -6 *3156:59 *3156:73 43.8205 -7 *3156:73 *3156:74 24.3348 -8 *3156:74 *3156:78 4.64732 -9 *3156:78 *3156:85 17.7857 -10 *3156:85 *27888:A2 30.2018 -11 *3156:85 *27912:A2 13.9473 -12 *3156:78 *3156:112 32.4505 -13 *3156:112 *3156:124 41.7047 -14 *3156:124 *3156:133 26.3839 -15 *3156:133 *27938:A2 10.7732 -16 *3156:133 *3156:162 47.6701 -17 *3156:162 *3156:175 49.8475 -18 *3156:175 *6635:DIODE 14.3357 -19 *3156:175 *27952:A2 16.0946 -20 *3156:74 *27864:A2 9.3 -*END - -*D_NET *3157 0.00992315 -*CONN -*I *27609:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27605:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27609:C1 0.00077877 -2 *27605:X 0.00108077 -3 *3157:15 0.00185954 -4 *27609:C1 *27609:A1 1.24368e-05 -5 *27609:C1 *27609:B1 3.99614e-06 -6 *27609:C1 *28570:D 0.000350136 -7 *27609:C1 *4031:18 0.000941255 -8 *27609:C1 *5586:11 0.000191394 -9 *27609:C1 *6247:6 0.000121186 -10 *27609:C1 *6247:16 0.000605139 -11 *3157:15 *3578:96 0.000544138 -12 *3157:15 *3578:104 0.000622426 -13 *6606:DIODE *3157:15 0.000137427 -14 *25384:A *3157:15 0.000513585 -15 *25384:C *3157:15 0.000913323 -16 *25384:D *3157:15 0.00030824 -17 *29119:A *27609:C1 0.000279649 -18 *1288:112 *3157:15 0.000496542 -19 *1697:55 *3157:15 9.96221e-05 -20 *2759:68 *27609:C1 6.35819e-05 -*RES -1 *27605:X *3157:15 42.1393 -2 *3157:15 *27609:C1 36.8714 -*END - -*D_NET *3158 0.0552457 -*CONN -*I *30816:A I *D sky130_fd_sc_hd__buf_12 -*I *6532:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27861:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27886:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27606:X O *D sky130_fd_sc_hd__and3_2 -*CAP -1 *30816:A 6.82587e-05 -2 *6532:DIODE 0 -3 *27861:A2 0.000498965 -4 *27886:A2 8.26329e-05 -5 *27606:X 0.000846039 -6 *3158:66 0.00185603 -7 *3158:49 0.00159266 -8 *3158:47 0.00237924 -9 *3158:43 0.00432059 -10 *3158:36 0.00483299 -11 *3158:13 0.00669832 -12 *3158:11 0.00487393 -13 *27861:A2 *27486:B2 9.41642e-05 -14 *27861:A2 *27861:B2 6.78319e-05 -15 *27861:A2 *3192:67 0.000181501 -16 *27861:A2 *3404:20 5.59239e-05 -17 *27861:A2 *3404:26 2.59355e-05 -18 *27861:A2 *3892:57 1.68854e-05 -19 *27861:A2 *5936:79 0.000144847 -20 *27886:A2 *3724:39 1.98839e-05 -21 *3158:11 *3216:9 0.000434391 -22 *3158:11 *3216:26 4.16984e-05 -23 *3158:11 *5663:5 0.000135028 -24 *3158:13 *27268:A1 0.000748838 -25 *3158:13 *27599:B 2.60984e-05 -26 *3158:13 *28739:CLK 0.000107938 -27 *3158:13 *28941:A 1.65169e-05 -28 *3158:13 *3178:29 0.00314894 -29 *3158:13 *6312:11 3.55279e-05 -30 *3158:36 *29031:A 0 -31 *3158:36 *3178:43 0.001915 -32 *3158:36 *3178:55 0.000134839 -33 *3158:36 *3988:33 6.52967e-05 -34 *3158:36 *5687:144 2.06112e-05 -35 *3158:36 *5750:15 2.04825e-05 -36 *3158:43 *25311:A1 0.000154443 -37 *3158:43 *27877:A2 3.53079e-05 -38 *3158:43 *27877:B1 0.000178847 -39 *3158:43 *3178:62 0.0012955 -40 *3158:43 *3568:8 0.000470798 -41 *3158:43 *3568:93 0.00226124 -42 *3158:43 *3789:32 1.21258e-05 -43 *3158:43 *3893:97 7.49387e-06 -44 *3158:43 *4001:27 9.60808e-05 -45 *3158:43 *4140:47 6.05161e-06 -46 *3158:47 *3845:47 0.000817519 -47 *3158:47 *3893:97 2.13481e-06 -48 *3158:47 *4140:47 3.80079e-05 -49 *3158:66 *27486:A1 0.000148907 -50 *3158:66 *27486:B2 0.000171667 -51 *3158:66 *27887:C1 0.000236444 -52 *3158:66 *3404:20 5.66157e-05 -53 *3158:66 *3791:98 0.000170354 -54 *3158:66 *3883:31 0.000210142 -55 *3158:66 *3918:45 1.34316e-05 -56 *3158:66 *5489:45 0.000150161 -57 *3158:66 *5489:49 0.00288134 -58 *27268:A2 *3158:11 4.30382e-05 -59 *27507:A2 *27886:A2 1.24368e-05 -60 *27507:A2 *3158:47 0.00110273 -61 *27507:A2 *3158:49 5.19775e-05 -62 *27606:B *3158:11 5.33005e-05 -63 *27664:A *3158:11 0.000463334 -64 *1243:67 *3158:36 1.90936e-05 -65 *1250:187 *3158:36 0.00090988 -66 *1252:21 *3158:36 0.00143378 -67 *1252:52 *3158:36 0.00176811 -68 *1327:98 *3158:43 1.0946e-05 -69 *1328:98 *3158:36 1.90936e-05 -70 *1339:10 *3158:11 0.000461749 -71 *1417:10 *3158:36 6.39829e-05 -72 *1455:28 *3158:36 0.000381734 -73 *1471:86 *3158:66 0.0010606 -74 *1481:12 *3158:43 0.000129006 -75 *1481:78 *3158:43 9.25014e-06 -76 *1587:36 *3158:43 0.00059881 -77 *1593:12 *3158:36 0.000259549 -78 *1657:19 *3158:36 9.87983e-06 -79 *2832:15 *30816:A 0.00014576 -80 *2860:26 *3158:13 3.35771e-05 -81 *2860:71 *3158:47 0.000904701 -82 *2865:115 *3158:66 0.000165614 -83 *2872:90 *27861:A2 0.00010793 -84 *2889:254 *27861:A2 2.63362e-05 -85 *2892:65 *27861:A2 5.25192e-06 -86 *3019:8 *30816:A 7.17932e-05 -*RES -1 *27606:X *3158:11 33.3714 -2 *3158:11 *3158:13 51.0536 -3 *3158:13 *3158:36 46.8399 -4 *3158:36 *3158:43 49.6071 -5 *3158:43 *3158:47 30.125 -6 *3158:47 *3158:49 1.76786 -7 *3158:49 *27886:A2 10.9964 -8 *3158:49 *3158:66 45.6607 -9 *3158:66 *27861:A2 33.1393 -10 *3158:47 *6532:DIODE 9.3 -11 *3158:11 *30816:A 20.2464 -*END - -*D_NET *3159 0.00502534 -*CONN -*I *27622:B I *D sky130_fd_sc_hd__nor2_8 -*I *27645:B I *D sky130_fd_sc_hd__nor2_8 -*I *27608:B I *D sky130_fd_sc_hd__nor2_4 -*I *27607:Y O *D sky130_fd_sc_hd__nand2_4 -*CAP -1 *27622:B 0.000462055 -2 *27645:B 0.000195096 -3 *27608:B 9.21338e-05 -4 *27607:Y 9.88614e-05 -5 *3159:8 0.00048467 -6 *3159:7 0.000758357 -7 *27608:B *3160:84 5.52302e-05 -8 *27608:B *3205:16 2.59355e-05 -9 *27622:B *3174:12 1.58163e-05 -10 *27622:B *5606:44 0.000138589 -11 *27622:B *5646:10 0.000145876 -12 *27622:B *5718:261 8.64327e-05 -13 *27645:B *5863:195 0.000510366 -14 *3159:7 *3209:21 0.000134461 -15 *3159:8 *5646:10 9.25014e-06 -16 *27607:A *3159:7 5.33334e-05 -17 *27633:A *3159:8 5.90738e-05 -18 *27645:A *27645:B 9.41642e-05 -19 *1256:54 *27622:B 0.000421464 -20 *1803:34 *27608:B 0.000190995 -21 *1803:34 *3159:8 0.000316159 -22 *1803:52 *3159:8 2.6126e-05 -23 *2855:279 *27645:B 0.000169672 -24 *2866:17 *27622:B 2.89114e-05 -25 *2871:160 *27622:B 0.000100258 -26 *2871:160 *3159:8 5.39368e-05 -27 *3149:23 *27608:B 0.00019271 -28 *3149:23 *3159:8 5.50463e-05 -29 *3152:9 *3159:7 5.03616e-05 -*RES -1 *27607:Y *3159:7 16.3893 -2 *3159:7 *3159:8 4.98214 -3 *3159:8 *27608:B 16.8893 -4 *3159:8 *27645:B 19.2821 -5 *3159:7 *27622:B 24.5143 -*END - -*D_NET *3160 0.0388681 -*CONN -*I *27800:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27647:B I *D sky130_fd_sc_hd__or4_1 -*I *30800:A I *D sky130_fd_sc_hd__buf_8 -*I *27882:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27905:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27857:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27962:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27608:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *27800:A2 0.000177125 -2 *27647:B 0.000854701 -3 *30800:A 0 -4 *27882:A2 4.39515e-05 -5 *27905:A2 0.000330978 -6 *27857:A2 0.000393268 -7 *27962:A2 0.00020243 -8 *27608:Y 0 -9 *3160:97 0.00173201 -10 *3160:84 0.00129299 -11 *3160:48 0.00128284 -12 *3160:33 0.00193699 -13 *3160:26 0.00259105 -14 *3160:15 0.00365727 -15 *3160:4 0.00287893 -16 *27647:B *27612:C 1.58533e-05 -17 *27647:B *27647:C 0.000110029 -18 *27647:B *3179:42 0.00052198 -19 *27647:B *3203:6 1.02504e-05 -20 *27647:B *3205:10 0.000119907 -21 *27647:B *3943:20 4.61027e-05 -22 *27647:B *5649:29 0.000176952 -23 *27800:A2 *27800:A1 9.60875e-05 -24 *27800:A2 *3164:20 0.000375823 -25 *27800:A2 *3206:179 1.33343e-05 -26 *27800:A2 *3943:20 5.58875e-06 -27 *27800:A2 *5606:51 2.60984e-05 -28 *27800:A2 *5649:29 0.000377869 -29 *27857:A2 *27857:A1 0.000210548 -30 *27857:A2 *27905:B1 0.000118776 -31 *27857:A2 *5717:74 0.000260972 -32 *27882:A2 *4062:22 0.000114596 -33 *27882:A2 *5583:71 5.57613e-05 -34 *27905:A2 *27905:A1 0.000466287 -35 *27905:A2 *3183:77 5.87006e-05 -36 *27905:A2 *3183:86 0.000248258 -37 *27962:A2 *27962:A1 7.8679e-05 -38 *27962:A2 *27962:B1 0 -39 *3160:15 *3205:16 0.00017354 -40 *3160:15 *3205:27 0.00101987 -41 *3160:15 *5717:142 0.000390994 -42 *3160:26 *6452:DIODE 9.43546e-06 -43 *3160:26 *25375:A2 8.84529e-05 -44 *3160:26 *25375:B1 2.05612e-05 -45 *3160:26 *25375:B2 5.63843e-05 -46 *3160:26 *27759:B1 2.79676e-05 -47 *3160:26 *27767:B 0.000191895 -48 *3160:26 *28612:D 3.3168e-05 -49 *3160:26 *3206:27 9.12207e-06 -50 *3160:26 *3560:21 0.000765096 -51 *3160:26 *4062:22 0.000301094 -52 *3160:26 *5486:20 0.000447286 -53 *3160:26 *5592:45 0.000849433 -54 *3160:26 *5592:55 0.000135028 -55 *3160:26 *5687:129 0 -56 *3160:26 *5700:132 5.31122e-05 -57 *3160:26 *5981:45 1.79251e-05 -58 *3160:33 *3782:16 2.06178e-05 -59 *3160:33 *5634:26 1.496e-05 -60 *3160:33 *5635:15 0 -61 *3160:33 *5655:29 0.000158552 -62 *3160:33 *5655:36 0.00231362 -63 *3160:48 *28340:CLK 5.33005e-05 -64 *3160:48 *5711:193 9.76435e-06 -65 *3160:48 *5717:74 0.000539813 -66 *3160:84 *3205:16 0.000209763 -67 *3160:84 *5628:5 6.87574e-05 -68 *3160:84 *5639:13 0.000139637 -69 *3160:84 *5653:11 9.60875e-05 -70 *3160:97 *30808:A 0.000746527 -71 *3160:97 *3670:14 1.90936e-05 -72 *3160:97 *5638:16 0.000138881 -73 *3160:97 *5680:241 2.04825e-05 -74 *3160:97 *5863:191 0.000333705 -75 *3160:97 *5863:195 0.000119599 -76 *25282:D *27905:A2 0.000121573 -77 *25375:C1 *3160:26 0.000132267 -78 *25375:C1 *3160:33 3.20731e-05 -79 *27291:C *3160:15 2.84109e-05 -80 *27608:A *3160:15 0.000135028 -81 *27608:B *3160:84 5.52302e-05 -82 *27633:C *3160:97 5.33005e-05 -83 *27638:B *3160:97 0.000124127 -84 *27641:C *3160:97 0.000388051 -85 *29834:A *3160:26 2.72602e-05 -86 *1227:44 *27857:A2 0.000121858 -87 *1237:17 *3160:33 9.83805e-05 -88 *1237:27 *3160:26 0.000314692 -89 *1277:53 *3160:33 0.000948677 -90 *1417:15 *27962:A2 0.000122746 -91 *1417:15 *3160:48 6.18973e-05 -92 *1482:8 *27857:A2 0.000120335 -93 *1588:18 *27962:A2 0.000266854 -94 *1588:18 *3160:48 0.000124486 -95 *1691:7 *3160:26 5.50052e-05 -96 *1721:35 *27905:A2 0.000486066 -97 *1801:79 *3160:84 8.40933e-05 -98 *1802:82 *3160:97 0.000803545 -99 *1803:34 *3160:84 0.000216755 -100 *2827:72 *3160:97 8.08822e-05 -101 *2840:97 *3160:84 9.0145e-05 -102 *2845:31 *27905:A2 0.000463754 -103 *2849:66 *3160:15 0.000244891 -104 *2852:297 *3160:97 0.00013331 -105 *2856:25 *3160:15 0.00130724 -106 *2860:154 *3160:26 4.51594e-05 -107 *2860:154 *3160:33 8.50257e-05 -108 *2871:36 *3160:33 0.000442349 -109 *2872:29 *27857:A2 9.76443e-05 -110 *2885:35 *3160:84 8.44271e-06 -111 *3147:57 *3160:84 0.000184872 -112 *3149:41 *3160:97 0.000122714 -113 *3154:65 *3160:84 0.000162407 -*RES -1 *27608:Y *3160:4 9.3 -2 *3160:4 *3160:15 40.5357 -3 *3160:15 *3160:26 37.8036 -4 *3160:26 *3160:33 12.0902 -5 *3160:33 *27962:A2 18.5857 -6 *3160:33 *3160:48 12.5804 -7 *3160:48 *27857:A2 26.5589 -8 *3160:48 *27905:A2 29.4205 -9 *3160:26 *27882:A2 14.9518 -10 *3160:4 *3160:84 22.3571 -11 *3160:84 *30800:A 9.3 -12 *3160:84 *3160:97 18.1821 -13 *3160:97 *27647:B 25.9696 -14 *3160:97 *27800:A2 19.8804 -*END - -*D_NET *3161 0.00138516 -*CONN -*I *27610:C1 I *D sky130_fd_sc_hd__a221o_2 -*I *27609:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27610:C1 0.000338667 -2 *27609:X 0.000338667 -3 *27610:C1 *27610:B1 0.000137983 -4 *27610:C1 *27610:B2 6.26774e-06 -5 *27610:C1 *5879:32 0.000267445 -6 *27610:C1 *5881:54 0.000267445 -7 *27610:C1 *5914:43 2.86878e-05 -*RES -1 *27609:X *27610:C1 34.3857 -*END - -*D_NET *3162 0.0539077 -*CONN -*I *27669:A I *D sky130_fd_sc_hd__or3_1 -*I *27610:X O *D sky130_fd_sc_hd__a221o_2 -*CAP -1 *27669:A 0 -2 *27610:X 4.77561e-05 -3 *3162:40 0.00162556 -4 *3162:38 0.00250755 -5 *3162:34 0.00252602 -6 *3162:20 0.00327858 -7 *3162:18 0.00280304 -8 *3162:8 0.0028372 -9 *3162:7 0.00171648 -10 *3162:7 *5914:43 0.000178847 -11 *3162:8 *27610:A1 5.58941e-05 -12 *3162:8 *28558:D 1.90936e-05 -13 *3162:8 *28570:CLK 0.000184271 -14 *3162:8 *3600:8 6.19181e-05 -15 *3162:8 *3600:17 8.18871e-05 -16 *3162:8 *3600:93 0.000346073 -17 *3162:8 *3600:100 0.0001853 -18 *3162:8 *3901:27 0 -19 *3162:8 *4115:10 0.000124844 -20 *3162:8 *4502:21 0.00034405 -21 *3162:8 *5640:170 0.000130377 -22 *3162:8 *5640:235 0.00125871 -23 *3162:8 *5641:162 4.53834e-05 -24 *3162:8 *5716:77 0.000566145 -25 *3162:8 *5879:32 0.000407976 -26 *3162:8 *5879:34 0.00094629 -27 *3162:8 *5879:38 0.000532299 -28 *3162:8 *5879:44 0.000129608 -29 *3162:18 *28574:CLK 0.000231184 -30 *3162:18 *28635:D 5.83304e-05 -31 *3162:18 *28755:RESET_B 2.75405e-05 -32 *3162:18 *3836:21 0 -33 *3162:18 *5708:46 8.0573e-05 -34 *3162:18 *5708:54 0.00051415 -35 *3162:18 *5708:56 0.000606839 -36 *3162:18 *5708:58 2.26973e-05 -37 *3162:18 *5879:66 0.000562703 -38 *3162:18 *5879:74 0.000170865 -39 *3162:20 *27140:A0 0.000112208 -40 *3162:20 *27414:B2 0.000122942 -41 *3162:20 *27681:C1 0.00014083 -42 *3162:20 *28574:CLK 0.000669845 -43 *3162:20 *28683:SET_B 2.11419e-05 -44 *3162:20 *3540:23 0.00115597 -45 *3162:20 *3597:11 0.000423659 -46 *3162:20 *3729:44 0.000211707 -47 *3162:20 *3755:30 2.59761e-05 -48 *3162:20 *5609:189 7.28272e-06 -49 *3162:20 *5627:90 0.000123806 -50 *3162:20 *5627:103 5.8832e-05 -51 *3162:20 *5630:264 0.000135673 -52 *3162:20 *5708:58 0.000248842 -53 *3162:20 *5708:80 6.59723e-05 -54 *3162:20 *5708:86 0.000257947 -55 *3162:34 *25340:A1 0.00106423 -56 *3162:34 *28483:CLK 7.47029e-06 -57 *3162:34 *3540:23 0 -58 *3162:34 *3797:79 0.000339132 -59 *3162:34 *3797:85 0.0013169 -60 *3162:34 *5627:75 1.0993e-05 -61 *3162:34 *5643:221 0.000140644 -62 *3162:34 *5644:205 0.00027044 -63 *3162:34 *5644:218 0.000864071 -64 *3162:38 *3797:68 7.12029e-06 -65 *3162:38 *3797:79 0.00224722 -66 *3162:40 *27669:B 5.7616e-05 -67 *3162:40 *3797:68 0.0032818 -68 *3162:40 *3797:79 1.53262e-05 -69 *3162:40 *3963:122 0.000232158 -70 *25283:C *3162:40 6.46107e-05 -71 *25345:B *3162:34 0.000449408 -72 *25364:A2 *3162:8 2.04825e-05 -73 *27311:B1 *3162:34 3.77315e-05 -74 *27413:A2 *3162:20 0.000325975 -75 *29672:A *3162:18 0.000395117 -76 *30366:A *3162:18 0.000232715 -77 *30412:A *3162:20 0.000125724 -78 *282:21 *3162:38 1.44868e-05 -79 *282:21 *3162:40 0.00413298 -80 *282:34 *3162:38 0.00224684 -81 *1225:130 *3162:18 0 -82 *1225:144 *3162:18 0 -83 *1250:43 *3162:40 1.57821e-05 -84 *1275:225 *3162:34 0.000218811 -85 *1288:127 *3162:8 7.6644e-05 -86 *1361:45 *3162:8 2.90164e-05 -87 *1361:68 *3162:8 0.000138916 -88 *1519:26 *3162:18 0.000213115 -89 *1633:16 *3162:8 0.000495414 -90 *1633:16 *3162:18 0.000185779 -91 *1655:9 *3162:34 0.000601619 -92 *1657:76 *3162:34 0.000558999 -93 *1660:42 *3162:20 0.00171856 -94 *1680:17 *3162:8 0.000104974 -95 *1863:7 *3162:7 0.000178847 -96 *2761:80 *3162:8 8.90816e-06 -97 *2774:92 *3162:20 0.00103143 -98 *2774:99 *3162:20 0.000118137 -99 *2779:96 *3162:20 2.84879e-05 -100 *2779:96 *3162:34 2.01997e-05 -101 *2779:107 *3162:20 0.000102543 -102 *2877:274 *3162:34 1.65282e-05 -103 *2879:61 *3162:40 0.00018544 -104 *2879:83 *3162:34 0.000139722 -105 *2879:118 *3162:34 8.92205e-05 -106 *2891:200 *3162:20 0.00039414 -107 *2894:319 *3162:8 0.000336561 -*RES -1 *27610:X *3162:7 15.5679 -2 *3162:7 *3162:8 51.2768 -3 *3162:8 *3162:18 29.5893 -4 *3162:18 *3162:20 54.7679 -5 *3162:20 *3162:34 46.9195 -6 *3162:34 *3162:38 30.5625 -7 *3162:38 *3162:40 54.9196 -8 *3162:40 *27669:A 13.8 -*END - -*D_NET *3163 0.018755 -*CONN -*I *27612:C I *D sky130_fd_sc_hd__and3_4 -*I *27616:C I *D sky130_fd_sc_hd__and3_4 -*I *27657:C I *D sky130_fd_sc_hd__and3_4 -*I *27627:C I *D sky130_fd_sc_hd__and3_4 -*I *27637:C I *D sky130_fd_sc_hd__and3_1 -*I *27660:C I *D sky130_fd_sc_hd__and3_4 -*I *27664:C I *D sky130_fd_sc_hd__and3_4 -*I *27639:C I *D sky130_fd_sc_hd__and3_4 -*I *27611:X O *D sky130_fd_sc_hd__and2b_2 -*CAP -1 *27612:C 0.000346391 -2 *27616:C 0 -3 *27657:C 0.000561728 -4 *27627:C 4.55336e-05 -5 *27637:C 0.000391994 -6 *27660:C 0 -7 *27664:C 0 -8 *27639:C 0 -9 *27611:X 9.91452e-05 -10 *3163:56 0.000770643 -11 *3163:45 0.000656781 -12 *3163:42 0.000429598 -13 *3163:40 0.00127478 -14 *3163:29 0.000774853 -15 *3163:20 0.000924123 -16 *3163:10 0.000848609 -17 *27612:C *3203:6 0.000530802 -18 *27612:C *3851:54 0 -19 *27612:C *5628:17 7.82551e-05 -20 *27612:C *5633:26 7.57819e-06 -21 *27612:C *5649:29 0.000188471 -22 *27627:C *3214:180 2.59355e-05 -23 *27637:C *3192:9 0.000248138 -24 *27637:C *3192:20 0.000290174 -25 *27637:C *3825:37 0 -26 *27637:C *5631:145 0.00040113 -27 *27637:C *5658:10 1.06172e-05 -28 *27657:C *3952:27 0.000245378 -29 *27657:C *6385:141 0.00111887 -30 *3163:10 *3191:10 8.08022e-05 -31 *3163:10 *5585:99 5.71472e-05 -32 *3163:10 *6385:141 0.000148196 -33 *3163:20 *25009:B2 8.25843e-06 -34 *3163:20 *27044:A0 5.33005e-05 -35 *3163:20 *27044:A1 0.000356028 -36 *3163:20 *30348:A 0.00012762 -37 *3163:20 *3787:18 3.06406e-05 -38 *3163:20 *3851:54 0.000118256 -39 *3163:20 *3943:20 0 -40 *3163:29 *3851:54 7.0128e-05 -41 *3163:29 *3943:20 0 -42 *3163:29 *5633:26 7.32348e-05 -43 *3163:40 *27805:B1 5.52302e-05 -44 *3163:40 *3195:56 0.0001008 -45 *3163:40 *3212:11 5.33005e-05 -46 *3163:40 *3670:24 8.40933e-05 -47 *3163:40 *3943:20 0.00110816 -48 *3163:40 *5129:32 0.00110816 -49 *3163:40 *5196:95 0.00026353 -50 *3163:40 *5656:28 6.57032e-05 -51 *3163:42 *3195:56 0.000345788 -52 *3163:42 *5658:7 5.49995e-05 -53 *3163:56 *3206:179 2.49484e-05 -54 *3163:56 *3214:173 0.000170955 -55 *3163:56 *5631:145 0.000181239 -56 *3163:56 *5658:10 5.0437e-05 -57 *27627:B *27627:C 5.33005e-05 -58 *27638:A *27612:C 5.52238e-05 -59 *27638:C *27612:C 2.89016e-05 -60 *27647:B *27612:C 1.58533e-05 -61 *30349:A *3163:20 0.000478233 -62 *1185:54 *27657:C 0.001121 -63 *1218:136 *3163:20 9.60875e-05 -64 *1282:77 *3163:10 3.25078e-05 -65 *1802:82 *27612:C 1.56445e-05 -66 *2823:63 *3163:56 0.000173169 -67 *2825:45 *27637:C 0.000540241 -68 *2832:38 *3163:29 0.000355359 -69 *2832:72 *27612:C 0.000391863 -70 *2832:78 *27627:C 1.98839e-05 -71 *3147:27 *3163:20 0.000178776 -72 *3150:106 *3163:42 0.000138432 -*RES -1 *27611:X *3163:10 20.7821 -2 *3163:10 *27639:C 9.3 -3 *3163:10 *3163:20 21.3036 -4 *3163:20 *27664:C 13.8 -5 *3163:20 *3163:29 9.48214 -6 *3163:29 *27660:C 9.3 -7 *3163:29 *3163:40 28.4643 -8 *3163:40 *3163:42 7.10714 -9 *3163:42 *3163:45 5.44643 -10 *3163:45 *27637:C 24.4071 -11 *3163:45 *3163:56 4.98214 -12 *3163:56 *27627:C 14.7464 -13 *3163:56 *27657:C 35.8755 -14 *3163:42 *27616:C 9.3 -15 *3163:40 *27612:C 28.675 -*END - -*D_NET *3164 0.202353 -*CONN -*I *27789:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27704:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27729:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27748:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27690:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27823:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27796:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27614:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27848:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27924:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27959:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27913:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27873:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27612:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27789:A2 0.000109828 -2 *27704:B1 0.00100299 -3 *27729:B1 5.76033e-05 -4 *27748:A2 0.00259474 -5 *27690:A2 0 -6 *27823:A2 3.0568e-05 -7 *27796:A2 9.62425e-05 -8 *27614:A2 0.000161645 -9 *27848:A2 0 -10 *27924:A2 0.000642418 -11 *27959:A2 0.00252332 -12 *27913:A2 2.7879e-05 -13 *27873:A2 3.96316e-05 -14 *27612:X 0.000858485 -15 *3164:374 0.00148206 -16 *3164:346 0.00111806 -17 *3164:333 0.00607345 -18 *3164:322 0.00294616 -19 *3164:319 0.00426657 -20 *3164:304 0.00526027 -21 *3164:295 0.00249739 -22 *3164:287 0.00236865 -23 *3164:281 0.00173762 -24 *3164:267 0.00211911 -25 *3164:258 0.00252921 -26 *3164:249 0.00341112 -27 *3164:222 0.00267543 -28 *3164:214 0.00222487 -29 *3164:150 0.00345677 -30 *3164:146 0.00220183 -31 *3164:125 0.00727729 -32 *3164:100 0.00582452 -33 *3164:90 0.00130072 -34 *3164:84 0.00259114 -35 *3164:69 0.00364794 -36 *3164:56 0.00381486 -37 *3164:37 0.00206527 -38 *3164:35 0.00383674 -39 *3164:34 0.00671981 -40 *3164:26 0.00493537 -41 *3164:20 0.00111308 -42 *27614:A2 *4062:27 8.4121e-05 -43 *27614:A2 *5634:95 0.000107909 -44 *27614:A2 *5655:113 0.000295248 -45 *27704:B1 *3717:25 8.95982e-05 -46 *27704:B1 *3900:21 0.000159053 -47 *27704:B1 *5639:229 0.000332204 -48 *27704:B1 *5795:188 4.54897e-05 -49 *27729:B1 *27729:A2 1.3701e-05 -50 *27729:B1 *3900:21 9.7049e-06 -51 *27729:B1 *3900:26 1.97695e-05 -52 *27729:B1 *5639:204 5.52238e-05 -53 *27748:A2 *27748:A1 5.52238e-05 -54 *27748:A2 *27750:C1 0.000207866 -55 *27748:A2 *28646:RESET_B 0.000174558 -56 *27748:A2 *28763:D 6.57603e-05 -57 *27748:A2 *3732:11 0.000203085 -58 *27748:A2 *3758:25 0.000264395 -59 *27748:A2 *4049:38 0.000475866 -60 *27748:A2 *4057:6 0.000142372 -61 *27748:A2 *4057:10 5.61616e-05 -62 *27748:A2 *4080:22 0.000109339 -63 *27748:A2 *5748:6 5.50282e-05 -64 *27748:A2 *5748:10 0.00012466 -65 *27748:A2 *6280:6 0.000204922 -66 *27789:A2 *3800:69 0.000211543 -67 *27789:A2 *5651:357 8.7935e-05 -68 *27789:A2 *5703:42 9.83721e-06 -69 *27796:A2 *27796:A1 0.000139907 -70 *27796:A2 *27796:B1 0 -71 *27796:A2 *5660:35 0.000178847 -72 *27873:A2 *27873:B1 9.60939e-05 -73 *27873:A2 *3428:22 1.90936e-05 -74 *27873:A2 *3906:29 9.41642e-05 -75 *27913:A2 *5583:11 5.33005e-05 -76 *27924:A2 *27924:A1 3.43837e-05 -77 *27924:A2 *28293:D 0.000515557 -78 *27924:A2 *28298:CLK 0.000135028 -79 *27924:A2 *3561:16 9.72462e-05 -80 *27924:A2 *3830:36 4.81517e-05 -81 *27924:A2 *4117:32 0.000100087 -82 *27924:A2 *5666:55 0.000388146 -83 *27924:A2 *5666:75 0.000286827 -84 *27924:A2 *5699:17 0.000336412 -85 *27924:A2 *5889:28 0.000326809 -86 *27959:A2 *27562:A 5.29358e-05 -87 *27959:A2 *27563:A1 0 -88 *27959:A2 *27959:A1 2.18485e-05 -89 *27959:A2 *28311:RESET_B 0.000102415 -90 *27959:A2 *28718:RESET_B 5.94628e-05 -91 *27959:A2 *3184:130 6.11796e-05 -92 *27959:A2 *3561:16 0.000150985 -93 *27959:A2 *3698:31 2.70707e-05 -94 *27959:A2 *3724:70 0.000627019 -95 *27959:A2 *3763:20 0.000108912 -96 *27959:A2 *3896:56 7.08424e-05 -97 *27959:A2 *4064:37 6.82498e-05 -98 *27959:A2 *5717:117 0.000108511 -99 *27959:A2 *5743:122 0 -100 *27959:A2 *5909:61 4.18413e-05 -101 *3164:20 *27801:B1 0.000102545 -102 *3164:20 *3165:15 0.00038021 -103 *3164:20 *3193:51 0.000447062 -104 *3164:20 *3943:20 0.00051747 -105 *3164:20 *4086:68 0.000617572 -106 *3164:20 *5649:29 2.14474e-05 -107 *3164:26 *3363:10 1.33343e-05 -108 *3164:26 *3981:53 0.000105471 -109 *3164:26 *5129:38 0.000292701 -110 *3164:34 *27318:A 0.000219711 -111 *3164:35 *25407:B2 0.00138541 -112 *3164:35 *3538:39 0.000260574 -113 *3164:35 *5635:50 5.59162e-05 -114 *3164:56 *26828:A0 0.000626668 -115 *3164:56 *3183:77 0.000163992 -116 *3164:56 *5517:20 2.04825e-05 -117 *3164:56 *5583:71 1.90936e-05 -118 *3164:56 *5634:26 0.000416643 -119 *3164:56 *5634:95 4.43299e-05 -120 *3164:56 *5651:21 0.00204933 -121 *3164:56 *5655:113 4.26759e-05 -122 *3164:56 *5657:38 0.00204962 -123 *3164:69 *27855:A1 3.73198e-05 -124 *3164:69 *27855:A2 3.31965e-05 -125 *3164:69 *27855:B1 1.6256e-05 -126 *3164:69 *27855:B2 9.56726e-06 -127 *3164:69 *27855:C1 1.39726e-05 -128 *3164:69 *27856:D 2.42516e-05 -129 *3164:69 *27900:A1 7.41514e-05 -130 *3164:69 *27904:A 9.66977e-05 -131 *3164:69 *27904:B 9.74665e-05 -132 *3164:69 *27904:C 4.22592e-05 -133 *3164:69 *27904:D 0.000177545 -134 *3164:69 *3165:222 0.000487311 -135 *3164:69 *3165:254 0.000149117 -136 *3164:69 *3185:268 0.00148174 -137 *3164:69 *3192:33 0.000264373 -138 *3164:69 *3192:60 0.000136951 -139 *3164:69 *3214:48 0.00103902 -140 *3164:69 *3568:178 0.000341 -141 *3164:69 *3868:56 0.000136951 -142 *3164:84 *27900:A1 0.000700005 -143 *3164:84 *3197:164 5.00988e-05 -144 *3164:84 *3856:43 0.000244697 -145 *3164:84 *3910:50 0.000428486 -146 *3164:84 *5651:47 0.00159442 -147 *3164:90 *3168:83 8.07879e-05 -148 *3164:90 *3905:39 0.00109428 -149 *3164:100 *27848:A1 1.04232e-05 -150 *3164:100 *27848:B1 2.42516e-05 -151 *3164:100 *27848:B2 9.8197e-05 -152 *3164:100 *28161:D 5.33005e-05 -153 *3164:100 *3428:22 0.000104044 -154 *3164:100 *3697:71 0 -155 *3164:100 *3905:23 1.11526e-05 -156 *3164:100 *3905:39 1.69364e-05 -157 *3164:125 *25472:B1 0.000270473 -158 *3164:125 *25491:C 2.84663e-05 -159 *3164:125 *27491:A1 0 -160 *3164:125 *3165:297 9.97066e-05 -161 *3164:125 *3184:202 0.00125876 -162 *3164:125 *3414:33 0.000158398 -163 *3164:125 *3428:22 0.000128055 -164 *3164:125 *3594:53 1.94879e-05 -165 *3164:125 *3749:46 0.000154842 -166 *3164:125 *3805:59 0.000111748 -167 *3164:125 *3830:35 0.000324591 -168 *3164:125 *3831:82 0 -169 *3164:125 *4113:36 1.94879e-05 -170 *3164:125 *4142:9 4.24198e-05 -171 *3164:146 *28334:RESET_B 5.25192e-06 -172 *3164:146 *3455:17 0.000255675 -173 *3164:146 *3594:53 0.000189207 -174 *3164:146 *3697:33 0 -175 *3164:146 *3739:36 6.59552e-05 -176 *3164:146 *3741:27 0.000109992 -177 *3164:146 *3831:83 0.00120735 -178 *3164:146 *4113:8 0.000181261 -179 *3164:146 *4113:25 0.000290276 -180 *3164:146 *4113:36 0.000489725 -181 *3164:146 *4195:36 7.40526e-05 -182 *3164:146 *4487:24 0.000410515 -183 *3164:146 *5706:27 0.000223828 -184 *3164:146 *5706:38 0.00064821 -185 *3164:146 *5728:172 6.30931e-05 -186 *3164:146 *5889:28 0 -187 *3164:150 *25842:A0 2.79355e-05 -188 *3164:150 *3184:146 9.87983e-06 -189 *3164:150 *5693:70 8.44271e-06 -190 *3164:150 *5699:17 0.000177679 -191 *3164:150 *5889:28 0.000323163 -192 *3164:214 *3193:51 0.000459012 -193 *3164:214 *3735:46 0.000170121 -194 *3164:214 *3735:58 8.32242e-05 -195 *3164:214 *3877:41 4.05548e-05 -196 *3164:214 *4085:87 6.05161e-06 -197 *3164:214 *4086:68 0.000196561 -198 *3164:214 *5129:38 0.000786254 -199 *3164:222 *27796:B1 0.000118893 -200 *3164:222 *3877:41 8.27238e-06 -201 *3164:222 *5645:71 0.000262223 -202 *3164:222 *5660:35 0.000219711 -203 *3164:249 *27175:A0 0.000278502 -204 *3164:249 *28757:CLK 0.000121549 -205 *3164:249 *3179:67 0 -206 *3164:249 *3682:48 2.58826e-05 -207 *3164:249 *3682:50 0.00012635 -208 *3164:249 *3982:56 0.00111712 -209 *3164:249 *5639:90 4.65519e-05 -210 *3164:249 *5642:57 3.69047e-06 -211 *3164:249 *5645:71 0.000145591 -212 *3164:249 *5645:72 0.000299022 -213 *3164:249 *5663:27 0.000271935 -214 *3164:249 *5675:58 2.08375e-05 -215 *3164:249 *5675:67 6.96562e-05 -216 *3164:249 *5678:71 4.65519e-05 -217 *3164:249 *5679:40 0.00214676 -218 *3164:249 *5679:46 8.94491e-05 -219 *3164:249 *5755:192 8.92907e-05 -220 *3164:258 *28757:D 6.1772e-05 -221 *3164:258 *3177:61 0.000425173 -222 *3164:258 *3682:48 0.000415082 -223 *3164:258 *3891:89 0.000117304 -224 *3164:258 *5643:316 4.13215e-05 -225 *3164:258 *5645:72 0.00214564 -226 *3164:258 *5663:35 0.000143652 -227 *3164:267 *27824:B1 1.28217e-05 -228 *3164:267 *27824:B2 0.000338774 -229 *3164:267 *3386:22 0.000131048 -230 *3164:267 *3748:60 2.26355e-05 -231 *3164:267 *3891:66 0.000378288 -232 *3164:267 *3891:89 6.35237e-05 -233 *3164:267 *4073:39 0.000220455 -234 *3164:267 *4125:42 9.88264e-06 -235 *3164:267 *4125:53 4.30608e-05 -236 *3164:267 *5643:316 0.000147837 -237 *3164:267 *5645:80 0.00195861 -238 *3164:267 *5645:190 2.20491e-05 -239 *3164:267 *5662:52 4.30608e-05 -240 *3164:281 *3386:22 0 -241 *3164:281 *4125:42 4.08789e-05 -242 *3164:281 *4125:53 0.000159223 -243 *3164:281 *5662:52 0.000561209 -244 *3164:281 *5708:211 0.000175046 -245 *3164:287 *27464:A1 0.000135028 -246 *3164:287 *27469:B2 4.14858e-05 -247 *3164:287 *27835:B2 0.000976403 -248 *3164:287 *3206:229 0.000433982 -249 *3164:287 *3206:232 0.00202748 -250 *3164:287 *3800:64 3.92854e-05 -251 *3164:287 *3993:14 0.000382651 -252 *3164:295 *3206:236 4.49933e-05 -253 *3164:295 *3206:249 0.000459455 -254 *3164:295 *3679:16 7.53309e-05 -255 *3164:295 *5589:123 0.000165904 -256 *3164:295 *5594:38 0.000515932 -257 *3164:295 *5630:230 0.000166986 -258 *3164:295 *5651:321 0.00164231 -259 *3164:295 *5656:294 0.000457545 -260 *3164:295 *5662:76 0.000103347 -261 *3164:295 *5662:97 0.00028732 -262 *3164:295 *5702:147 5.60653e-05 -263 *3164:304 *27434:A1 0.00011457 -264 *3164:304 *27784:B2 1.1984e-05 -265 *3164:304 *27786:C1 7.55769e-05 -266 *3164:304 *27791:B 0.0010979 -267 *3164:304 *3206:249 0.000213777 -268 *3164:304 *3800:69 0.000426336 -269 *3164:304 *3891:43 0.000474801 -270 *3164:304 *5630:230 0.000610642 -271 *3164:304 *5652:184 4.86864e-05 -272 *3164:304 *5656:294 0.000572087 -273 *3164:304 *5703:42 7.8246e-06 -274 *3164:304 *5928:20 0.000145154 -275 *3164:319 *3165:85 0.000181114 -276 *3164:319 *3206:249 0 -277 *3164:319 *3916:20 4.20041e-05 -278 *3164:319 *3939:102 0.000485238 -279 *3164:319 *3980:20 0.000385607 -280 *3164:319 *4082:70 0 -281 *3164:319 *4121:24 0.00027111 -282 *3164:319 *4121:30 0.000113161 -283 *3164:319 *5607:102 0.000348456 -284 *3164:319 *5627:216 4.04292e-05 -285 *3164:319 *5654:99 0.00134297 -286 *3164:319 *5655:232 0.000452162 -287 *3164:319 *5829:75 9.1584e-05 -288 *3164:319 *5910:63 0.00125824 -289 *3164:322 *3978:44 0.000157601 -290 *3164:322 *5658:149 0.000113108 -291 *3164:333 *27750:A2 0.000292286 -292 *3164:333 *3165:103 0.000731261 -293 *3164:333 *3581:138 2.8093e-05 -294 *3164:333 *4054:13 3.08266e-05 -295 *3164:333 *5701:169 2.06178e-05 -296 *3164:346 *27690:B1 0.000141321 -297 *3164:346 *27747:A2 0.000346899 -298 *3164:346 *27758:B1 0.000137224 -299 *3164:346 *27758:C1 0.000166002 -300 *3164:346 *27764:B1 0.000345257 -301 *3164:346 *28628:CLK 1.34741e-05 -302 *3164:346 *28675:D 0.000288746 -303 *3164:346 *3230:6 0 -304 *3164:346 *3822:104 0.000187751 -305 *3164:346 *3898:41 9.54798e-06 -306 *3164:346 *4057:10 0.000629104 -307 *3164:346 *4120:47 8.33559e-05 -308 *3164:346 *5649:233 9.87648e-05 -309 *3164:346 *5655:217 0.000400759 -310 *3164:346 *5663:155 7.75544e-05 -311 *3164:346 *5748:10 0.000243477 -312 *3164:374 *3978:44 0.00106595 -313 *3164:374 *5795:188 4.69011e-05 -314 *25007:B1 *3164:35 0.000501515 -315 *25186:A2 *3164:319 0 -316 *25266:B *3164:56 2.44189e-05 -317 *25396:A2 *3164:69 0.00017831 -318 *26973:A *3164:319 0.00183445 -319 *27431:B1 *3164:319 0 -320 *27443:A2 *3164:20 1.90936e-05 -321 *27444:C1 *3164:20 0.000150625 -322 *27447:B1 *3164:214 7.59402e-06 -323 *27486:C1 *3164:84 2.04825e-05 -324 *27493:A *3164:84 3.43708e-05 -325 *27493:B *3164:84 0.00054855 -326 *27493:C *3164:84 0.000517331 -327 *27638:A *3164:20 5.33005e-05 -328 *27638:C *3164:20 2.89016e-05 -329 *27800:A2 *3164:20 0.000375823 -330 *29134:A *3164:35 8.11273e-05 -331 *29736:A *3164:333 5.33005e-05 -332 *30159:A *3164:295 0.000125241 -333 *30364:A *3164:346 0.000126582 -334 *30501:A *27789:A2 4.38128e-05 -335 *402:13 *3164:146 0.00102229 -336 *1244:129 *3164:56 0 -337 *1256:31 *3164:56 0.000164885 -338 *1256:61 *3164:20 0.000191403 -339 *1256:154 *27748:A2 5.07227e-05 -340 *1258:14 *27748:A2 0.000568242 -341 *1258:90 *3164:287 4.038e-06 -342 *1258:123 *3164:281 7.74718e-05 -343 *1265:228 *3164:35 0.00038021 -344 *1266:148 *3164:281 6.05161e-06 -345 *1267:202 *3164:287 1.40136e-05 -346 *1275:105 *27823:A2 2.84109e-05 -347 *1304:39 *3164:249 0.000631891 -348 *1322:12 *3164:26 0.000290993 -349 *1322:12 *3164:214 0.000790036 -350 *1358:25 *27959:A2 0.000349873 -351 *1361:114 *27704:B1 0.000476993 -352 *1361:147 *27704:B1 5.96243e-05 -353 *1367:46 *3164:287 0.00139635 -354 *1367:53 *3164:287 1.62539e-05 -355 *1470:27 *3164:69 7.32272e-05 -356 *1476:107 *27873:A2 1.90936e-05 -357 *1476:107 *3164:100 0.000110945 -358 *1476:107 *3164:125 0.000166008 -359 *1484:23 *3164:56 0.000447427 -360 *1601:6 *3164:56 0.000162407 -361 *1638:18 *3164:281 1.54493e-05 -362 *1660:11 *27748:A2 4.75671e-06 -363 *1697:44 *27704:B1 3.90809e-05 -364 *1723:27 *3164:56 8.94556e-05 -365 *1748:20 *3164:125 0 -366 *1872:58 *27924:A2 0.00100084 -367 *2754:28 *27704:B1 4.19791e-05 -368 *2754:28 *3164:322 0.000386014 -369 *2754:28 *3164:374 0.001144 -370 *2760:69 *3164:258 0.00105933 -371 *2760:164 *27704:B1 8.77729e-05 -372 *2765:18 *3164:319 0 -373 *2770:97 *3164:304 6.35819e-05 -374 *2773:97 *3164:333 0.00224568 -375 *2773:139 *27748:A2 0.000972939 -376 *2774:74 *27748:A2 3.64238e-05 -377 *2781:65 *3164:35 0.000863476 -378 *2781:87 *3164:35 0.000135028 -379 *2784:112 *3164:346 0.000667407 -380 *2791:199 *27748:A2 2.33437e-05 -381 *2792:95 *3164:295 0 -382 *2794:107 *3164:333 1.90936e-05 -383 *2834:97 *3164:90 8.07879e-05 -384 *2844:98 *27959:A2 0.000216478 -385 *2845:172 *3164:34 7.40526e-05 -386 *2845:392 *3164:249 5.98536e-06 -387 *2855:310 *3164:249 0.000788919 -388 *2855:311 *3164:258 0.00206223 -389 *2855:311 *3164:267 0.000349223 -390 *2856:355 *3164:333 2.05612e-05 -391 *2859:157 *3164:34 7.55769e-05 -392 *2861:23 *3164:34 0.00155797 -393 *2865:144 *27959:A2 0.000426129 -394 *2866:149 *27959:A2 0.000134453 -395 *2874:101 *27959:A2 2.35215e-05 -396 *2875:46 *3164:35 7.93583e-05 -397 *2879:156 *27748:A2 2.76189e-05 -398 *2879:156 *3164:333 0.000330526 -399 *2883:15 *3164:34 0.000171191 -400 *2883:129 *3164:34 0.000737921 -401 *2889:211 *3164:56 0.000792174 -402 *2889:217 *3164:69 3.2687e-05 -403 *2891:24 *3164:56 9.23367e-05 -404 *2892:220 *3164:295 0.00245819 -405 *2895:316 *3164:346 0.000123672 -406 *3050:10 *3164:84 0.000231488 -407 *3096:15 *3164:84 0.000759371 -408 *3156:162 *27959:A2 0.000121296 -*RES -1 *27612:X *3164:20 49.8714 -2 *3164:20 *3164:26 10.875 -3 *3164:26 *3164:34 49.9821 -4 *3164:34 *3164:35 47.3571 -5 *3164:35 *3164:37 4.5 -6 *3164:37 *3164:56 49.8167 -7 *3164:56 *3164:69 47.3304 -8 *3164:69 *3164:84 47.5268 -9 *3164:84 *3164:90 20.8393 -10 *3164:90 *3164:100 11.2054 -11 *3164:100 *27873:A2 15.0232 -12 *3164:100 *3164:125 24.3633 -13 *3164:125 *27913:A2 14.3357 -14 *3164:125 *3164:146 48.7054 -15 *3164:146 *3164:150 5.73214 -16 *3164:150 *27959:A2 30.4604 -17 *3164:150 *27924:A2 40.4744 -18 *3164:90 *27848:A2 9.3 -19 *3164:37 *27614:A2 17.8714 -20 *3164:26 *3164:214 49.2985 -21 *3164:214 *3164:222 7.26786 -22 *3164:222 *27796:A2 11.9071 -23 *3164:222 *3164:249 41.8052 -24 *3164:249 *3164:258 48.6722 -25 *3164:258 *3164:267 15.7093 -26 *3164:267 *27823:A2 14.3357 -27 *3164:267 *3164:281 23.8214 -28 *3164:281 *3164:287 43.2679 -29 *3164:287 *3164:295 46.9886 -30 *3164:295 *3164:304 39.814 -31 *3164:304 *3164:319 46.6263 -32 *3164:319 *3164:322 8.54393 -33 *3164:322 *3164:333 41.265 -34 *3164:333 *3164:346 45.9018 -35 *3164:346 *27690:A2 9.3 -36 *3164:333 *27748:A2 46.7295 -37 *3164:322 *3164:374 15.9643 -38 *3164:374 *27729:B1 15.6036 -39 *3164:374 *27704:B1 25.4202 -40 *3164:304 *27789:A2 16.8089 -*END - -*D_NET *3165 0.213789 -*CONN -*I *27614:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27949:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27924:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27913:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27848:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27873:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27824:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27789:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27727:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27703:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27690:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27750:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27798:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27646:B I *D sky130_fd_sc_hd__or4_1 -*I *27613:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27614:B1 0.000337505 -2 *27949:A2 0 -3 *27924:B1 0 -4 *27913:B1 0 -5 *27848:B1 7.033e-05 -6 *27873:B1 0.00017297 -7 *27824:A2 0.000623279 -8 *27789:B1 0.000542089 -9 *27727:A2 0 -10 *27703:A2 0.00020098 -11 *27690:B1 0.000975938 -12 *27750:A2 0.00176092 -13 *27798:A2 1.27739e-05 -14 *27646:B 0.000341331 -15 *27613:X 4.6946e-05 -16 *3165:355 0.00155882 -17 *3165:348 0.00190147 -18 *3165:343 0.00178091 -19 *3165:322 0.00171473 -20 *3165:314 0.00186928 -21 *3165:312 0.00291759 -22 *3165:297 0.00486589 -23 *3165:268 0.00372611 -24 *3165:257 0.000776605 -25 *3165:254 0.00347678 -26 *3165:222 0.00578208 -27 *3165:216 0.00462232 -28 *3165:201 0.00495851 -29 *3165:192 0.00589243 -30 *3165:103 0.00376962 -31 *3165:99 0.00300734 -32 *3165:89 0.00207268 -33 *3165:85 0.00364318 -34 *3165:74 0.00526668 -35 *3165:73 0.00254102 -36 *3165:60 0.00180056 -37 *3165:55 0.0029944 -38 *3165:33 0.00455986 -39 *3165:27 0.00464797 -40 *3165:15 0.00417608 -41 *3165:6 0.00390265 -42 *27614:B1 *27614:A1 3.45371e-05 -43 *27614:B1 *3844:41 2.06178e-05 -44 *27614:B1 *3985:33 0.000361545 -45 *27646:B *3190:15 1.24368e-05 -46 *27646:B *5654:23 0.000385717 -47 *27690:B1 *27678:B2 1.85762e-05 -48 *27690:B1 *27679:C1 0.000123775 -49 *27690:B1 *27758:C1 0.000307184 -50 *27690:B1 *28675:D 2.26973e-05 -51 *27690:B1 *3226:14 0.00197119 -52 *27690:B1 *3822:104 0.000118031 -53 *27690:B1 *4120:47 0.000221795 -54 *27703:A2 *27703:A1 0.000196323 -55 *27703:A2 *27703:B1 0.000114596 -56 *27703:A2 *27708:B 4.20368e-05 -57 *27703:A2 *3581:138 7.76331e-05 -58 *27703:A2 *3581:145 9.94194e-06 -59 *27703:A2 *3963:42 0.000174211 -60 *27750:A2 *27750:C1 0.000328308 -61 *27750:A2 *3581:118 0.000519498 -62 *27750:A2 *3686:50 0.0018525 -63 *27750:A2 *4043:58 0.000318718 -64 *27750:A2 *4132:38 0.000310008 -65 *27750:A2 *5582:103 9.98129e-05 -66 *27750:A2 *5704:18 0.000320562 -67 *27750:A2 *5824:147 0.000140769 -68 *27789:B1 *25060:B1 0.000242142 -69 *27789:B1 *27789:A1 0.000183957 -70 *27789:B1 *3800:69 8.79284e-05 -71 *27789:B1 *3811:44 0.000200778 -72 *27789:B1 *4123:14 1.34355e-05 -73 *27789:B1 *4123:20 0.000182117 -74 *27789:B1 *5645:235 0.000121952 -75 *27789:B1 *5810:47 0.000682649 -76 *27798:A2 *3891:58 5.58875e-06 -77 *27798:A2 *3891:65 4.6025e-06 -78 *27798:A2 *5863:161 9.59532e-06 -79 *27824:A2 *24994:B2 1.21258e-05 -80 *27824:A2 *27824:A1 9.41642e-05 -81 *27824:A2 *27824:B1 1.51489e-05 -82 *27824:A2 *27824:B2 0.000264325 -83 *27824:A2 *3206:229 0.00018676 -84 *27824:A2 *3386:22 4.84596e-05 -85 *27824:A2 *3800:46 0.000185045 -86 *27824:A2 *5645:91 0.000334724 -87 *27824:A2 *5652:142 0.000136682 -88 *27848:B1 *27848:A1 4.54415e-05 -89 *27848:B1 *27848:B2 1.18177e-05 -90 *27848:B1 *3905:23 4.58194e-05 -91 *27873:B1 *3895:88 5.33005e-05 -92 *27873:B1 *3906:15 2.89114e-05 -93 *27873:B1 *3906:29 0.000114922 -94 *27873:B1 *4127:49 0.0002833 -95 *3165:6 *5658:10 0.000150618 -96 *3165:15 *27807:B2 0.000340823 -97 *3165:15 *5651:13 9.0902e-05 -98 *3165:15 *5700:256 0.000658387 -99 *3165:27 *27809:B2 2.21972e-05 -100 *3165:27 *28488:CLK 0.00073595 -101 *3165:27 *3345:6 0.000134217 -102 *3165:27 *3942:60 0.000135968 -103 *3165:27 *5654:23 0.00405315 -104 *3165:33 *27465:A1 5.16732e-06 -105 *3165:33 *27478:B2 0.000104587 -106 *3165:33 *27823:A1 0.000138813 -107 *3165:33 *27830:B2 1.97731e-05 -108 *3165:33 *3956:25 0.000161383 -109 *3165:33 *4086:64 0 -110 *3165:55 *27464:A1 7.83659e-05 -111 *3165:55 *27465:A1 0.000155691 -112 *3165:55 *27835:B1 1.94945e-05 -113 *3165:55 *27835:C1 0.00114044 -114 *3165:55 *3177:61 0.0001861 -115 *3165:55 *3891:66 0.000210222 -116 *3165:55 *3956:37 0.000154703 -117 *3165:55 *4073:26 4.38243e-05 -118 *3165:55 *4112:21 0.000125178 -119 *3165:55 *4125:42 6.90381e-06 -120 *3165:55 *4134:107 0 -121 *3165:55 *5594:38 0.000950976 -122 *3165:55 *5603:17 7.865e-05 -123 *3165:55 *5603:24 9.68023e-05 -124 *3165:55 *5645:199 0.00161675 -125 *3165:55 *5662:76 0.000232309 -126 *3165:55 *5680:209 0.000349578 -127 *3165:60 *4108:36 0 -128 *3165:60 *5639:158 1.09611e-05 -129 *3165:60 *5767:197 1.74549e-05 -130 *3165:73 *28663:D 0.000175892 -131 *3165:73 *3891:58 0.000224386 -132 *3165:73 *5639:158 0.000160245 -133 *3165:73 *5863:161 0.000865208 -134 *3165:73 *5892:40 0 -135 *3165:74 *25042:A1 0.000207062 -136 *3165:74 *27785:B2 0.000233661 -137 *3165:74 *3720:28 0.000259301 -138 *3165:74 *3798:98 1.86215e-05 -139 *3165:74 *3798:99 0 -140 *3165:74 *3811:44 0.0008446 -141 *3165:74 *3993:14 0.000114602 -142 *3165:74 *4110:37 9.59532e-06 -143 *3165:74 *4123:20 1.64442e-05 -144 *3165:74 *4123:33 0.000764118 -145 *3165:74 *5643:192 2.04825e-05 -146 *3165:74 *5810:47 0.000645016 -147 *3165:85 *27784:B2 1.45467e-05 -148 *3165:85 *3206:249 0.00013563 -149 *3165:85 *3215:305 1.08895e-05 -150 *3165:85 *4006:51 0 -151 *3165:85 *4121:30 0.000239993 -152 *3165:85 *5607:82 0.00087489 -153 *3165:85 *5607:102 0.000716652 -154 *3165:85 *5635:165 0.000382821 -155 *3165:85 *5676:87 2.01997e-05 -156 *3165:85 *5683:106 0.000478376 -157 *3165:85 *5695:133 0.000161726 -158 *3165:85 *5910:63 0.000419028 -159 *3165:89 *27727:A1 8.17274e-05 -160 *3165:89 *3913:26 0.000695889 -161 *3165:99 *27727:A1 5.33005e-05 -162 *3165:99 *27727:B1 5.52238e-05 -163 *3165:99 *27727:B2 1.38323e-05 -164 *3165:99 *3796:118 8.76591e-06 -165 *3165:99 *4069:41 7.46635e-05 -166 *3165:99 *4095:46 0.000115541 -167 *3165:99 *5631:88 0.000289681 -168 *3165:99 *5635:187 0.000289031 -169 *3165:99 *5652:204 0.000221447 -170 *3165:99 *5663:179 0 -171 *3165:99 *5873:289 0.000219201 -172 *3165:103 *27679:A2 0 -173 *3165:103 *27699:A1 0 -174 *3165:103 *3581:138 0 -175 *3165:103 *3963:42 0.00014272 -176 *3165:103 *4132:33 8.94491e-05 -177 *3165:103 *4132:38 9.03213e-05 -178 *3165:103 *5582:103 0.000541061 -179 *3165:103 *5631:88 0 -180 *3165:192 *27445:A1 1.40034e-05 -181 *3165:192 *3182:16 0.000141552 -182 *3165:192 *5599:273 0.000139464 -183 *3165:192 *5638:30 0.000368786 -184 *3165:192 *5653:19 5.99979e-05 -185 *3165:192 *5658:10 0.000677159 -186 *3165:192 *5687:212 0.00101218 -187 *3165:201 *25407:A1 6.56976e-05 -188 *3165:201 *27354:A1 0.000339346 -189 *3165:201 *29035:A 0.000217182 -190 *3165:201 *5661:21 0.000225944 -191 *3165:201 *5661:42 0.000308885 -192 *3165:201 *5720:225 0.000178425 -193 *3165:216 *25265:A1 0.000700773 -194 *3165:216 *25269:A1 0.000258585 -195 *3165:216 *3547:25 0.000138545 -196 *3165:216 *4952:14 0.000272412 -197 *3165:216 *5583:58 3.42107e-06 -198 *3165:216 *5646:17 7.52518e-05 -199 *3165:216 *5661:42 0.000154202 -200 *3165:222 *27916:C 7.55769e-05 -201 *3165:222 *3214:48 0.00116253 -202 *3165:222 *3568:178 0.00126241 -203 *3165:254 *25269:B2 1.54682e-05 -204 *3165:254 *27494:A1 8.49829e-05 -205 *3165:254 *27855:B1 8.84467e-06 -206 *3165:254 *27856:D 0.000260574 -207 *3165:254 *27892:B1 7.55769e-05 -208 *3165:254 *27903:A2 6.93417e-05 -209 *3165:254 *27903:B1 0.000309726 -210 *3165:254 *27904:C 1.44114e-05 -211 *3165:254 *27904:D 0.000179469 -212 *3165:254 *3192:60 0.000388383 -213 *3165:254 *3214:51 6.82685e-05 -214 *3165:254 *3214:53 0.000394727 -215 *3165:254 *3663:62 0.00020908 -216 *3165:254 *3892:57 0.000132214 -217 *3165:254 *3895:88 0.0041541 -218 *3165:254 *3905:39 0.00104729 -219 *3165:254 *3906:47 0.000469519 -220 *3165:254 *4130:58 0.000153064 -221 *3165:254 *5657:49 0 -222 *3165:254 *5706:196 8.65688e-05 -223 *3165:257 *27492:A1 0.000729291 -224 *3165:257 *3892:57 5.52238e-05 -225 *3165:257 *3895:88 0.000527951 -226 *3165:268 *27489:B2 0.000240491 -227 *3165:268 *27531:B2 3.17148e-05 -228 *3165:268 *3895:58 0.000220448 -229 *3165:297 *25491:B 3.36355e-06 -230 *3165:297 *25800:A1 0.000228773 -231 *3165:297 *27489:B2 0.000341781 -232 *3165:297 *27860:A2 0.000114602 -233 *3165:297 *27885:B1 8.68082e-05 -234 *3165:297 *28259:RESET_B 9.22222e-06 -235 *3165:297 *3196:111 0.000526967 -236 *3165:297 *3196:119 0.00010972 -237 *3165:297 *3570:43 9.88255e-05 -238 *3165:297 *3684:21 0 -239 *3165:297 *3749:46 2.63501e-05 -240 *3165:297 *3805:59 0 -241 *3165:297 *3830:70 1.32139e-06 -242 *3165:297 *3831:82 0.000417738 -243 *3165:297 *3831:83 0.000395557 -244 *3165:297 *3895:58 0.00049437 -245 *3165:297 *4113:51 0.00021591 -246 *3165:297 *4126:48 0.000461092 -247 *3165:297 *5744:30 9.00968e-05 -248 *3165:312 *25698:A0 0.000136727 -249 *3165:312 *28163:D 0.000528091 -250 *3165:312 *28291:CLK 0.000181658 -251 *3165:312 *3594:53 0.000132866 -252 *3165:312 *3831:83 0.000260862 -253 *3165:312 *5253:28 0.000585158 -254 *3165:312 *5699:66 9.09957e-05 -255 *3165:314 *25798:B 4.34627e-05 -256 *3165:314 *28330:CLK 7.6662e-05 -257 *3165:314 *29454:A 0.000566178 -258 *3165:314 *3196:143 8.81345e-05 -259 *3165:314 *3196:145 0.000949954 -260 *3165:314 *3711:21 0.00301957 -261 *3165:314 *4126:11 0.000405709 -262 *3165:314 *4126:84 0.000219114 -263 *3165:314 *5253:28 2.87037e-05 -264 *3165:314 *5686:100 0.000267107 -265 *3165:314 *5699:41 0.000799932 -266 *3165:314 *5699:66 8.48485e-05 -267 *3165:322 *5611:27 5.52238e-05 -268 *3165:322 *5686:100 5.66157e-05 -269 *3165:322 *5686:105 0.000196048 -270 *3165:343 *25822:B 0.000255803 -271 *3165:343 *25858:B 0.000219162 -272 *3165:343 *25860:A1 0.000119174 -273 *3165:343 *27924:C1 3.07351e-06 -274 *3165:343 *28262:D 0.000125567 -275 *3165:343 *3196:145 0.000509594 -276 *3165:343 *3196:147 2.62425e-05 -277 *3165:343 *3196:179 2.55278e-05 -278 *3165:343 *4118:26 0.000261751 -279 *3165:343 *5209:30 0.000186488 -280 *3165:343 *5611:27 0.000485897 -281 *3165:343 *5686:118 1.53472e-05 -282 *3165:343 *5824:63 0.001338 -283 *3165:348 *3586:7 0.000703595 -284 *3165:348 *3586:56 0.000255062 -285 *3165:348 *5849:11 0.000279558 -286 *3165:348 *6358:27 0.000837147 -287 *3165:355 *25843:A1 8.11273e-05 -288 *3165:355 *27949:A1 0.000143706 -289 *3165:355 *3209:127 0.000304963 -290 *3165:355 *3689:17 0.000132293 -291 *3165:355 *4087:22 2.21404e-05 -292 *3165:355 *4131:73 1.11775e-05 -293 *3165:355 *5611:47 0.00164367 -294 *3165:355 *5849:11 3.98547e-05 -295 *3165:355 *6358:27 6.57914e-05 -296 *24994:A2 *27824:A2 0.000387796 -297 *25023:B1 *27646:B 0.000123577 -298 *25043:A2 *3165:74 9.91086e-05 -299 *25097:B1 *3165:216 6.50156e-05 -300 *25295:B1 *3165:222 2.04825e-05 -301 *25396:A2 *3165:222 0.000178425 -302 *25880:S *3165:322 3.98524e-05 -303 *25880:S *3165:343 0.000328694 -304 *26973:A *3165:85 0 -305 *27431:B1 *3165:99 0.000162047 -306 *27436:A2 *3165:74 3.15873e-05 -307 *27436:B1 *3165:74 0.00147259 -308 *27437:C *3165:74 0.000102196 -309 *27437:D *3165:74 0.000624687 -310 *27445:A2 *3165:192 0.000844482 -311 *27445:B1 *3165:192 0 -312 *27446:B1 *3165:192 5.33005e-05 -313 *27458:C1 *3165:27 0.000150618 -314 *27873:A2 *27873:B1 9.60939e-05 -315 *29907:A *3165:343 0.000193248 -316 *30135:A *3165:348 0.000140933 -317 *30338:A *27750:A2 9.90367e-05 -318 *30364:A *27690:B1 3.1743e-05 -319 *31015:A *3165:314 0.000115206 -320 *406:8 *3165:314 1.02465e-05 -321 *1246:146 *27690:B1 0.000200138 -322 *1250:245 *3165:33 0.000212885 -323 *1250:245 *3165:55 0.000173055 -324 *1263:181 *3165:343 0.000423607 -325 *1266:148 *27824:A2 9.46843e-05 -326 *1267:221 *3165:55 0.00129403 -327 *1268:74 *3165:33 0 -328 *1277:131 *3165:201 0.00164555 -329 *1277:131 *3165:216 0.000387555 -330 *1289:44 *3165:216 0.000220236 -331 *1292:81 *27750:A2 0.00173015 -332 *1308:19 *3165:33 0.00166644 -333 *1308:19 *3165:55 6.14028e-05 -334 *1326:35 *3165:216 0.000217577 -335 *1350:13 *3165:27 2.04825e-05 -336 *1364:19 *3165:85 0.000310498 -337 *1368:46 *3165:55 0.000173055 -338 *1368:59 *3165:55 2.93461e-05 -339 *1378:36 *3165:85 0.000195405 -340 *1383:13 *3165:73 1.90303e-05 -341 *1385:16 *27646:B 0.000385717 -342 *1385:16 *3165:27 0.00411233 -343 *1385:25 *3165:27 0.00279126 -344 *1385:34 *3165:27 0.00197411 -345 *1396:13 *3165:355 0.000120973 -346 *1396:40 *3165:322 0 -347 *1396:40 *3165:343 5.53573e-05 -348 *1396:45 *3165:322 0.000148911 -349 *1396:45 *3165:343 0.00014906 -350 *1427:143 *3165:312 5.83707e-05 -351 *1427:143 *3165:314 4.98055e-06 -352 *1427:161 *3165:322 0.000110029 -353 *1470:30 *3165:222 5.35702e-05 -354 *1483:48 *3165:355 0.00133313 -355 *1507:11 *3165:355 0.00129253 -356 *1536:27 *3165:201 1.77247e-05 -357 *1574:6 *27703:A2 0.000181878 -358 *1574:6 *3165:103 0.000140784 -359 *1619:25 *3165:297 2.74485e-05 -360 *1748:20 *3165:312 2.02794e-05 -361 *1826:117 *3165:192 9.34324e-05 -362 *1868:49 *3165:314 0.000120044 -363 *1872:31 *3165:297 0.000207566 -364 *1872:31 *3165:312 0.000251314 -365 *1873:35 *3165:348 0.000182097 -366 *1873:35 *3165:355 0.000935268 -367 *1877:42 *3165:297 0.00044304 -368 *1887:31 *3165:297 0.000337296 -369 *2760:135 *3165:74 2.21972e-05 -370 *2764:209 *3165:27 0.000275571 -371 *2765:18 *3165:99 1.99236e-05 -372 *2773:94 *3165:89 2.79421e-05 -373 *2773:94 *3165:99 4.00679e-05 -374 *2773:118 *3165:103 0.0001711 -375 *2773:139 *27750:A2 0.000654638 -376 *2780:61 *3165:216 0.000264085 -377 *2781:87 *27614:B1 1.90936e-05 -378 *2784:112 *27690:B1 0.000157773 -379 *2786:38 *3165:89 0.000695889 -380 *2788:76 *3165:99 0.000239314 -381 *2790:29 *3165:192 4.02e-05 -382 *2791:199 *27750:A2 0.000121128 -383 *2792:85 *3165:85 2.71744e-05 -384 *2834:109 *3165:297 6.57893e-06 -385 *2844:153 *3165:192 0 -386 *2848:384 *3165:192 0.000245349 -387 *2853:141 *3165:216 0.000809366 -388 *2855:139 *3165:216 5.85582e-05 -389 *2855:279 *3165:6 0.000149911 -390 *2855:279 *3165:192 0.000668623 -391 *2856:325 *3165:85 2.57527e-05 -392 *2861:42 *3165:27 0.000190517 -393 *2864:301 *3165:99 0.000161433 -394 *2864:321 *27703:A2 9.60875e-05 -395 *2865:98 *3165:257 1.21289e-05 -396 *2866:294 *3165:33 0.000238139 -397 *2866:294 *3165:55 0.000154703 -398 *2867:25 *3165:192 0.00109803 -399 *2867:25 *3165:201 0.000725912 -400 *2871:62 *3165:254 7.80714e-06 -401 *2872:90 *3165:254 0.00015283 -402 *2872:187 *3165:55 0.000135449 -403 *2872:224 *3165:55 0.000112911 -404 *2879:156 *27750:A2 0.000121553 -405 *2883:187 *3165:27 0.000644819 -406 *2886:41 *3165:254 0.000423367 -407 *2888:183 *3165:27 0.00228336 -408 *2889:162 *3165:27 7.87677e-05 -409 *2889:211 *3165:216 0.000301564 -410 *2891:24 *3165:201 0.000214566 -411 *2892:219 *3165:55 1.90295e-05 -412 *2892:220 *3165:55 0.00113093 -413 *2892:242 *3165:74 0.000110143 -414 *2894:21 *3165:201 0.000102688 -415 *2895:256 *3165:27 0.00116431 -416 *3018:22 *3165:27 1.55559e-05 -417 *3040:41 *3165:192 0.000372655 -418 *3164:20 *3165:15 0.00038021 -419 *3164:69 *3165:222 0.000487311 -420 *3164:69 *3165:254 0.000149117 -421 *3164:100 *27848:B1 2.42516e-05 -422 *3164:125 *3165:297 9.97066e-05 -423 *3164:319 *3165:85 0.000181114 -424 *3164:333 *27750:A2 0.000292286 -425 *3164:333 *3165:103 0.000731261 -426 *3164:346 *27690:B1 0.000141321 -*RES -1 *27613:X *3165:6 15.7464 -2 *3165:6 *3165:15 24.7679 -3 *3165:15 *27646:B 22.6214 -4 *3165:15 *3165:27 122.312 -5 *3165:27 *3165:33 11.2239 -6 *3165:33 *3165:55 49.102 -7 *3165:55 *3165:60 7.87593 -8 *3165:60 *27798:A2 14.0768 -9 *3165:60 *3165:73 30.4911 -10 *3165:73 *3165:74 43.3839 -11 *3165:74 *3165:85 45.7012 -12 *3165:85 *3165:89 14.6786 -13 *3165:89 *3165:99 19.8409 -14 *3165:99 *3165:103 7.99783 -15 *3165:103 *27750:A2 40.5083 -16 *3165:103 *27690:B1 45.1654 -17 *3165:99 *27703:A2 19.9607 -18 *3165:89 *27727:A2 9.3 -19 *3165:74 *27789:B1 32.1791 -20 *3165:33 *27824:A2 31.145 -21 *3165:6 *3165:192 45.8925 -22 *3165:192 *3165:201 35.429 -23 *3165:201 *3165:216 23.3977 -24 *3165:216 *3165:222 45.0714 -25 *3165:222 *3165:254 47.6262 -26 *3165:254 *3165:257 11.6429 -27 *3165:257 *27873:B1 13.9607 -28 *3165:257 *3165:268 7.66071 -29 *3165:268 *27848:B1 15.6036 -30 *3165:268 *3165:297 43.9211 -31 *3165:297 *27913:B1 13.8 -32 *3165:297 *3165:312 32.9732 -33 *3165:312 *3165:314 52.7946 -34 *3165:314 *3165:322 15.7143 -35 *3165:322 *27924:B1 13.8 -36 *3165:322 *3165:343 49.8214 -37 *3165:343 *3165:348 19.0179 -38 *3165:348 *3165:355 49.2321 -39 *3165:355 *27949:A2 9.3 -40 *3165:201 *27614:B1 21.7368 -*END - -*D_NET *3166 0.0021061 -*CONN -*I *27617:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27614:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27617:C1 0.00040922 -2 *27614:X 0.00040922 -3 *27617:C1 *27614:B2 9.60875e-05 -4 *27617:C1 *27617:B1 1.95067e-05 -5 *27617:C1 *3686:135 0.000174296 -6 *27617:C1 *4062:27 0.000111748 -7 *27617:C1 *5196:72 0.000208791 -8 *27617:C1 *5655:113 0.000451848 -9 *27332:D *27617:C1 0.000216755 -10 *1244:137 *27617:C1 8.6229e-06 -*RES -1 *27614:X *27617:C1 37.6536 -*END - -*D_NET *3167 0.0164945 -*CONN -*I *27653:B I *D sky130_fd_sc_hd__or4_4 -*I *27652:B I *D sky130_fd_sc_hd__nor4_1 -*I *27802:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *30815:A I *D sky130_fd_sc_hd__buf_8 -*I *27615:X O *D sky130_fd_sc_hd__and3b_2 -*CAP -1 *27653:B 0 -2 *27652:B 0.00019991 -3 *27802:A2 0.00231704 -4 *30815:A 0.000799469 -5 *27615:X 0 -6 *3167:39 0.000666822 -7 *3167:5 0.00312833 -8 *3167:4 0.000478736 -9 *27652:B *27652:C 0.000249019 -10 *27652:B *3202:9 5.09378e-05 -11 *27802:A2 *3195:77 5.39562e-05 -12 *27802:A2 *3850:24 0.000264565 -13 *27802:A2 *3851:54 0.000253729 -14 *27802:A2 *3865:37 0.000159583 -15 *27802:A2 *3982:46 4.32309e-05 -16 *27802:A2 *5633:26 2.4175e-05 -17 *27802:A2 *5663:19 0.000100257 -18 *27802:A2 *5678:129 4.32309e-05 -19 *27802:A2 *5753:53 9.09469e-06 -20 *30815:A *3168:11 0.00012747 -21 *30815:A *3168:25 0.000858208 -22 *30815:A *5592:25 0.00240663 -23 *30815:A *5654:9 2.65427e-05 -24 *30815:A *5655:16 9.90431e-05 -25 *3167:5 *5592:25 2.44318e-05 -26 *3167:5 *5654:9 2.85321e-05 -27 *3167:39 *3202:9 0.000175892 -28 *3167:39 *5592:25 0.000273746 -29 *3167:39 *5654:9 0.000151119 -30 *3167:39 *5656:28 0.000259318 -31 *27612:B *27802:A2 0.000169799 -32 *27615:C *30815:A 0.000727822 -33 *30801:A *30815:A 0.000149488 -34 *1302:26 *3167:39 0.000452961 -35 *1322:12 *3167:39 0.000452961 -36 *2832:58 *27652:B 7.55747e-05 -37 *2832:78 *27802:A2 5.83304e-05 -38 *2871:20 *30815:A 4.11486e-05 -39 *2895:13 *30815:A 9.84729e-05 -40 *3149:41 *27802:A2 0.00078987 -41 *3150:116 *27802:A2 0.000205072 -*RES -1 *27615:X *3167:4 9.3 -2 *3167:4 *3167:5 0.535714 -3 *3167:5 *30815:A 36.6929 -4 *3167:5 *27802:A2 39.5994 -5 *3167:4 *3167:39 22.125 -6 *3167:39 *27652:B 21.5143 -7 *3167:39 *27653:B 9.3 -*END - -*D_NET *3168 0.101661 -*CONN -*I *30814:A I *D sky130_fd_sc_hd__buf_12 -*I *27951:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27922:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27871:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27863:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27911:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27617:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27616:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *30814:A 0 -2 *27951:A2 0.000962205 -3 *27922:B1 2.55411e-05 -4 *27871:B1 0.000591385 -5 *27863:B1 0 -6 *27911:B1 0.000254407 -7 *27617:B1 0.000374966 -8 *27616:X 0.000649516 -9 *3168:153 0.00224998 -10 *3168:143 0.00261013 -11 *3168:129 0.00227327 -12 *3168:108 0.00229605 -13 *3168:83 0.00269992 -14 *3168:66 0.00426667 -15 *3168:54 0.00785737 -16 *3168:53 0.006972 -17 *3168:31 0.00351098 -18 *3168:25 0.00677988 -19 *3168:11 0.00444989 -20 *27617:B1 *3686:135 3.37161e-05 -21 *27617:B1 *3829:36 0.000136265 -22 *27617:B1 *3842:44 3.05155e-05 -23 *27617:B1 *4052:20 3.18096e-05 -24 *27871:B1 *27871:B2 5.33005e-05 -25 *27871:B1 *3196:119 0.000161955 -26 *27871:B1 *3414:20 1.28171e-05 -27 *27871:B1 *3455:17 4.06087e-07 -28 *27871:B1 *3897:32 0.000809844 -29 *27871:B1 *4103:18 0.000172163 -30 *27911:B1 *27911:A1 1.89026e-05 -31 *27911:B1 *27912:C1 8.80473e-05 -32 *27911:B1 *3571:15 0.000212173 -33 *27911:B1 *3687:73 5.56564e-05 -34 *27911:B1 *3805:59 0.00012384 -35 *27911:B1 *3983:52 0.000534364 -36 *27911:B1 *5711:39 0.000536072 -37 *27922:B1 *3192:107 4.56535e-05 -38 *27951:A2 *27579:A2 0.000169797 -39 *27951:A2 *27951:A1 5.33005e-05 -40 *27951:A2 *3687:40 0.00010283 -41 *27951:A2 *3763:20 0.000747963 -42 *27951:A2 *3947:45 0.00025407 -43 *3168:11 *3670:24 0.000237948 -44 *3168:11 *5658:7 3.30337e-05 -45 *3168:11 *5680:241 0.00011541 -46 *3168:25 *25269:A1 0.000296257 -47 *3168:25 *28652:RESET_B 0.00164459 -48 *3168:25 *29820:A 0.000222087 -49 *3168:25 *3185:264 0.0027839 -50 *3168:25 *3206:84 7.63505e-06 -51 *3168:25 *3860:9 3.79304e-05 -52 *3168:25 *3952:27 0.000304798 -53 *3168:25 *5459:32 0.000544633 -54 *3168:25 *5459:39 7.47029e-06 -55 *3168:25 *5651:13 0.000671556 -56 *3168:25 *5651:20 6.52667e-05 -57 *3168:31 *3413:57 0.0001686 -58 *3168:31 *3686:135 2.04745e-05 -59 *3168:31 *5634:95 6.90381e-06 -60 *3168:31 *5655:130 2.01997e-05 -61 *3168:31 *5661:187 4.88232e-05 -62 *3168:31 *5713:84 0.000142187 -63 *3168:53 *3178:144 4.67171e-05 -64 *3168:53 *3829:36 0.000307165 -65 *3168:53 *4052:20 6.56849e-05 -66 *3168:54 *25395:A1 0.00143509 -67 *3168:54 *25665:A1 9.20635e-06 -68 *3168:54 *27897:A1 7.07155e-06 -69 *3168:54 *28155:D 2.30641e-05 -70 *3168:54 *28327:D 0.000112823 -71 *3168:54 *29343:A 1.58163e-05 -72 *3168:54 *3546:36 0.000332632 -73 *3168:54 *3726:5 5.53716e-06 -74 *3168:54 *3832:37 0.000768172 -75 *3168:54 *3931:17 2.61099e-05 -76 *3168:54 *5196:72 9.41642e-05 -77 *3168:66 *25642:A0 0.00010435 -78 *3168:66 *3713:45 8.83488e-05 -79 *3168:66 *3806:62 9.97552e-05 -80 *3168:66 *3830:70 0.0009642 -81 *3168:66 *4152:51 8.64454e-05 -82 *3168:66 *5661:80 0.000627739 -83 *3168:66 *5744:30 0.00116844 -84 *3168:83 *25642:A0 0.000512067 -85 *3168:83 *27489:B1 0.000446183 -86 *3168:83 *27489:B2 0.0002355 -87 *3168:83 *27498:B2 4.66056e-05 -88 *3168:83 *27864:B2 6.19181e-05 -89 *3168:83 *27864:C1 0.000139893 -90 *3168:83 *27865:D 0.000338488 -91 *3168:83 *3196:111 0.000629412 -92 *3168:83 *3805:59 0.000630261 -93 *3168:83 *3830:70 0.000189161 -94 *3168:83 *3882:47 0.000371906 -95 *3168:83 *3960:28 0.00076681 -96 *3168:83 *3983:52 3.45899e-05 -97 *3168:83 *4130:77 0.000779218 -98 *3168:83 *5457:21 0 -99 *3168:83 *5657:51 8.28428e-05 -100 *3168:83 *5657:88 0.000964946 -101 *3168:83 *5711:39 0.000107833 -102 *3168:108 *3192:107 0.00184517 -103 *3168:108 *5657:88 0.00184312 -104 *3168:129 *3192:107 0.000861402 -105 *3168:129 *3883:31 0.000219124 -106 *3168:129 *4142:17 0.000239688 -107 *3168:129 *5253:44 5.79562e-05 -108 *3168:129 *5657:88 0.000671869 -109 *3168:143 *27922:A1 0.000208308 -110 *3168:143 *27925:B2 9.58126e-05 -111 *3168:143 *27938:C1 0.000142427 -112 *3168:153 *27562:A 0.000177299 -113 *3168:153 *3724:70 1.85241e-05 -114 *3168:153 *3741:38 9.943e-05 -115 *3168:153 *3763:20 7.93923e-05 -116 *3168:153 *3806:62 0.000942759 -117 *3168:153 *3806:74 0.000635531 -118 *3168:153 *3975:22 0.000901569 -119 *3168:153 *5717:117 0.00190395 -120 *3168:153 *5909:44 0.000201965 -121 *6630:DIODE *27617:B1 5.33005e-05 -122 *25395:B1 *3168:54 0.00030267 -123 *27331:A2 *27617:B1 7.04964e-05 -124 *27332:A *3168:31 0 -125 *27332:D *27617:B1 5.66187e-05 -126 *27487:B1 *3168:66 3.64479e-05 -127 *27585:A2 *3168:129 0.000576105 -128 *27585:B1 *27951:A2 9.87202e-05 -129 *27586:A2 *3168:153 2.04825e-05 -130 *27591:B *3168:153 2.23987e-05 -131 *27615:C *3168:11 0.000137639 -132 *27615:C *3168:25 0.000352381 -133 *27616:A *3168:11 4.85033e-05 -134 *27617:C1 *27617:B1 1.95067e-05 -135 *27631:B *3168:25 0.000175512 -136 *27633:A *3168:25 0.000648678 -137 *29344:A *3168:54 3.57488e-05 -138 *30324:A *3168:54 0.000172785 -139 *30815:A *3168:11 0.00012747 -140 *30815:A *3168:25 0.000858208 -141 *74:11 *3168:31 0.00125161 -142 *1244:129 *3168:31 0.00168079 -143 *1244:174 *3168:31 0.00070273 -144 *1245:51 *3168:31 8.92999e-05 -145 *1291:31 *3168:31 7.36527e-05 -146 *1363:88 *3168:54 5.85486e-05 -147 *1363:98 *3168:54 4.1669e-05 -148 *1396:83 *3168:129 0.000500405 -149 *1400:41 *3168:54 0.00120888 -150 *1423:13 *27951:A2 5.33005e-05 -151 *1427:41 *3168:83 2.04825e-05 -152 *1440:56 *27871:B1 1.53282e-05 -153 *1624:85 *3168:66 0.000581031 -154 *1748:20 *27871:B1 1.53282e-05 -155 *1763:22 *27871:B1 3.47641e-06 -156 *1844:44 *27871:B1 0.000806944 -157 *2781:51 *3168:25 0 -158 *2834:71 *3168:83 0 -159 *2834:97 *3168:83 0.000401342 -160 *2834:142 *27922:B1 1.578e-05 -161 *2834:142 *3168:129 0.000227493 -162 *2834:142 *3168:143 8.76842e-05 -163 *2848:123 *3168:153 0.000243793 -164 *2856:124 *3168:31 0 -165 *2866:217 *3168:153 0.000934932 -166 *2878:120 *27951:A2 0.00017781 -167 *2882:46 *27617:B1 0.000377202 -168 *2888:117 *3168:66 9.80405e-05 -169 *2892:115 *3168:25 0.000751768 -170 *2895:43 *27617:B1 0.000176444 -171 *3081:8 *3168:66 0.000176166 -172 *3136:12 *27951:A2 0.00219852 -173 *3150:93 *3168:11 6.82998e-05 -174 *3150:106 *3168:11 7.22574e-05 -175 *3155:12 *3168:25 0.000194933 -176 *3164:90 *3168:83 8.07879e-05 -*RES -1 *27616:X *3168:11 28.6929 -2 *3168:11 *3168:25 45.7621 -3 *3168:25 *3168:31 14.1536 -4 *3168:31 *27617:B1 23.1125 -5 *3168:31 *3168:53 8.72321 -6 *3168:53 *3168:54 80.625 -7 *3168:54 *3168:66 33.9446 -8 *3168:66 *3168:83 37.4139 -9 *3168:83 *27911:B1 24.7107 -10 *3168:83 *27863:B1 13.8 -11 *3168:66 *3168:108 7.39873 -12 *3168:108 *27871:B1 25.0819 -13 *3168:108 *3168:129 11.7444 -14 *3168:129 *27922:B1 14.5321 -15 *3168:129 *3168:143 28.1429 -16 *3168:143 *3168:153 47.2535 -17 *3168:153 *27951:A2 43.4518 -18 *3168:11 *30814:A 9.3 -*END - -*D_NET *3169 0.00178413 -*CONN -*I *27636:A I *D sky130_fd_sc_hd__or4_1 -*I *27617:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27636:A 0.000331449 -2 *27617:X 0.000331449 -3 *27636:A *27768:A2 0.000204477 -4 *27636:A *3194:106 6.81947e-05 -5 *27636:A *5196:72 7.93737e-05 -6 *27636:A *5655:113 5.64118e-05 -7 *27636:A *5655:130 4.22135e-06 -8 *1363:98 *27636:A 0.000125918 -9 *2860:158 *27636:A 0.000582635 -*RES -1 *27617:X *27636:A 37.3143 -*END - -*D_NET *3170 0.137716 -*CONN -*I *27825:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27774:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27731:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27676:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27751:A2 I *D sky130_fd_sc_hd__a221o_2 -*I *27815:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27715:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27619:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27850:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27948:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *6636:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27925:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27899:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27875:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27618:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27825:A2 4.03832e-05 -2 *27774:A2 0.000178281 -3 *27731:A2 0 -4 *27676:A2 0 -5 *27751:A2 0.000689734 -6 *27815:A2 3.46215e-05 -7 *27715:A2 2.59948e-05 -8 *27619:B1 0.000109993 -9 *27850:A2 0 -10 *27948:A2 0.000293259 -11 *6636:DIODE 7.53296e-05 -12 *27925:A2 3.7943e-06 -13 *27899:A2 6.18896e-06 -14 *27875:A2 4.02179e-05 -15 *27618:X 0.00104856 -16 *3170:251 0.00190305 -17 *3170:245 0.00315308 -18 *3170:232 0.00471802 -19 *3170:213 0.00372105 -20 *3170:201 0.00224764 -21 *3170:196 0.00195087 -22 *3170:191 0.00177294 -23 *3170:172 0.00131414 -24 *3170:167 0.00195661 -25 *3170:163 0.00188515 -26 *3170:152 0.00239428 -27 *3170:106 0.00123533 -28 *3170:92 0.00225462 -29 *3170:83 0.00239583 -30 *3170:68 0.00165384 -31 *3170:59 0.00128137 -32 *3170:51 0.00254208 -33 *3170:41 0.00325369 -34 *3170:21 0.00418743 -35 *3170:19 0.00331071 -36 *3170:5 0.00374032 -37 *6636:DIODE *27948:B2 9.41642e-05 -38 *27619:B1 *27619:A1 3.60088e-05 -39 *27619:B1 *5517:20 6.35819e-05 -40 *27619:B1 *5517:30 5.58875e-06 -41 *27619:B1 *5583:71 7.40526e-05 -42 *27715:A2 *27162:A1 1.58163e-05 -43 *27715:A2 *5638:62 2.59355e-05 -44 *27751:A2 *27676:A1 0.000146474 -45 *27751:A2 *27751:B1 0.00125382 -46 *27751:A2 *30373:A 9.60939e-05 -47 *27751:A2 *3174:283 4.08484e-05 -48 *27751:A2 *3833:13 1.97695e-05 -49 *27751:A2 *3846:34 0.000197991 -50 *27751:A2 *5649:217 3.11198e-05 -51 *27774:A2 *27774:A1 1.38392e-05 -52 *27774:A2 *27774:B1 1.59935e-05 -53 *27774:A2 *27774:B2 6.26774e-06 -54 *27774:A2 *27774:C1 2.59355e-05 -55 *27774:A2 *4107:26 0.000288267 -56 *27815:A2 *25031:A1 6.46107e-05 -57 *27815:A2 *27815:A1 1.55917e-05 -58 *27815:A2 *27816:B 1.46576e-05 -59 *27815:A2 *4085:73 6.30931e-05 -60 *27825:A2 *3839:45 1.46576e-05 -61 *27875:A2 *27875:A1 0.000114596 -62 *27875:A2 *3174:78 5.87372e-05 -63 *27899:A2 *27899:A1 1.24368e-05 -64 *27899:A2 *3830:88 4.34948e-06 -65 *27899:A2 *3934:60 2.22085e-06 -66 *27925:A2 *25175:B2 8.48827e-06 -67 *27925:A2 *25185:B2 1.46576e-05 -68 *27948:A2 *27948:B1 1.28192e-05 -69 *27948:A2 *27948:B2 9.46083e-05 -70 *27948:A2 *3216:199 0 -71 *27948:A2 *3711:93 1.2893e-05 -72 *3170:5 *27288:A 0.000137561 -73 *3170:5 *5687:212 0.000897432 -74 *3170:19 *25321:A1 5.88126e-05 -75 *3170:19 *3886:50 0.000300412 -76 *3170:19 *5629:132 7.95998e-05 -77 *3170:19 *5700:111 0.000175892 -78 *3170:21 *25265:A1 4.10843e-05 -79 *3170:21 *27082:A1 2.59355e-05 -80 *3170:21 *28650:CLK 0.00014288 -81 *3170:21 *28650:SET_B 7.46001e-05 -82 *3170:21 *3197:31 0.000279756 -83 *3170:21 *5750:217 0.000580844 -84 *3170:41 *24939:A 0.000108007 -85 *3170:41 *25265:A1 0.00013833 -86 *3170:41 *26837:A1 0.000341633 -87 *3170:41 *26837:B1 1.46768e-06 -88 *3170:41 *30549:A 7.25338e-05 -89 *3170:41 *3921:77 5.59515e-05 -90 *3170:51 *25942:A 0.000488935 -91 *3170:51 *25942:B 4.61418e-05 -92 *3170:51 *25947:A0 0.00024898 -93 *3170:51 *26836:C 0.000135028 -94 *3170:51 *26837:B1 0.000127337 -95 *3170:51 *27850:A1 0.000245888 -96 *3170:51 *30273:A 0.00017754 -97 *3170:51 *30791:A 2.59355e-05 -98 *3170:51 *3832:33 0.000124245 -99 *3170:51 *5626:151 4.73101e-05 -100 *3170:51 *5685:52 1.00073e-05 -101 *3170:59 *27850:A1 3.28686e-05 -102 *3170:59 *27875:A1 0.000140088 -103 *3170:59 *3174:78 4.89813e-05 -104 *3170:59 *3424:26 0.000131325 -105 *3170:59 *3469:19 0.00111809 -106 *3170:59 *3482:19 0.000481101 -107 *3170:59 *3892:75 0.00015217 -108 *3170:68 *25397:B2 0.000196336 -109 *3170:68 *3178:157 0.000557033 -110 *3170:68 *3182:122 0.000604338 -111 *3170:68 *3441:14 0.000171892 -112 *3170:68 *3441:24 0.000522333 -113 *3170:68 *3482:19 5.62439e-05 -114 *3170:83 *27570:A1 6.98302e-05 -115 *3170:83 *27933:B1 0.000208069 -116 *3170:83 *27941:A1 1.09026e-05 -117 *3170:83 *27941:A2 0.000284058 -118 *3170:83 *27941:A3 0.000306031 -119 *3170:83 *27965:A 0.000228653 -120 *3170:83 *3178:157 0.00120731 -121 *3170:83 *3182:122 0.000396648 -122 *3170:83 *3205:83 3.83642e-06 -123 *3170:83 *3473:19 1.43349e-05 -124 *3170:83 *3482:19 6.28667e-05 -125 *3170:83 *3662:43 2.04825e-05 -126 *3170:83 *5210:25 0.000182751 -127 *3170:83 *5691:93 1.08359e-05 -128 *3170:83 *5729:276 0.000668684 -129 *3170:92 *27933:B1 0.00053238 -130 *3170:92 *27945:B1 4.11218e-05 -131 *3170:92 *27965:A 0.00145905 -132 *3170:92 *27966:B2 0.000122689 -133 *3170:92 *3174:102 0.000308941 -134 *3170:92 *3496:13 0.00200961 -135 *3170:92 *3857:74 8.80673e-05 -136 *3170:92 *5623:37 0.000449098 -137 *3170:92 *5646:157 0.000440416 -138 *3170:92 *5646:161 0.000111243 -139 *3170:106 *25040:A 6.57032e-05 -140 *3170:106 *27948:B1 0.000133516 -141 *3170:106 *27956:A 7.20522e-05 -142 *3170:106 *3174:102 0.000697336 -143 *3170:106 *3216:199 0.00030355 -144 *3170:106 *3496:13 0.000191049 -145 *3170:106 *4092:65 0.000305198 -146 *3170:152 *27715:B1 1.60335e-05 -147 *3170:152 *3886:51 0.000468701 -148 *3170:152 *5599:273 3.00664e-05 -149 *3170:152 *5651:85 0 -150 *3170:152 *5687:212 2.71796e-05 -151 *3170:152 *5694:80 1.71566e-05 -152 *3170:152 *6225:116 0.000472785 -153 *3170:163 *3886:51 0.000569667 -154 *3170:163 *6225:116 0.00057676 -155 *3170:167 *27454:A1 0.000343579 -156 *3170:167 *27810:A1 1.21258e-05 -157 *3170:167 *27810:B1 1.51881e-05 -158 *3170:167 *27811:C1 9.58126e-05 -159 *3170:167 *3387:14 0.000229418 -160 *3170:167 *3628:5 8.43386e-05 -161 *3170:167 *3628:10 0.00042539 -162 *3170:167 *3929:34 0.000278937 -163 *3170:167 *3929:46 0.00026757 -164 *3170:172 *5467:18 0.000751868 -165 *3170:191 *25031:A1 0.000140451 -166 *3170:191 *4085:73 0.000147746 -167 *3170:191 *4185:64 0.000136682 -168 *3170:191 *5650:198 0.000350377 -169 *3170:191 *5652:95 6.57032e-05 -170 *3170:196 *27808:B2 0.000441398 -171 *3170:196 *3943:24 0.000103882 -172 *3170:196 *3943:30 0.0015653 -173 *3170:196 *4085:71 6.04221e-05 -174 *3170:196 *4085:73 0.000262154 -175 *3170:196 *4137:32 0.000291769 -176 *3170:196 *5650:214 0.00182305 -177 *3170:201 *3721:37 0.000221011 -178 *3170:201 *3943:30 0.000319617 -179 *3170:201 *3943:37 0.00271208 -180 *3170:201 *4085:71 0.000179156 -181 *3170:201 *5680:209 1.1257e-05 -182 *3170:213 *3205:270 0.00206933 -183 *3170:213 *3370:11 0.00029512 -184 *3170:213 *3839:45 5.99701e-05 -185 *3170:213 *3930:86 2.35215e-05 -186 *3170:213 *4134:96 9.92848e-05 -187 *3170:232 *27422:B2 2.01997e-05 -188 *3170:232 *3174:239 2.06112e-05 -189 *3170:232 *3176:254 0 -190 *3170:232 *3185:182 1.90936e-05 -191 *3170:232 *3367:43 4.65519e-05 -192 *3170:232 *3785:44 0.000101444 -193 *3170:232 *3839:45 1.21258e-05 -194 *3170:232 *3850:38 0.000175831 -195 *3170:232 *3915:55 0.000119121 -196 *3170:232 *3930:86 0.000474534 -197 *3170:232 *5633:69 0.00185456 -198 *3170:232 *5635:120 0.00017103 -199 *3170:232 *5635:147 0.00263526 -200 *3170:245 *27731:A1 9.41642e-05 -201 *3170:245 *27732:B1 4.79453e-05 -202 *3170:245 *27775:A2 1.94945e-05 -203 *3170:245 *3822:18 4.00349e-05 -204 *3170:245 *3861:32 4.00349e-05 -205 *3170:245 *3939:63 8.83449e-05 -206 *3170:245 *3967:29 3.69047e-06 -207 *3170:245 *4107:26 0.000386925 -208 *3170:245 *5633:72 0.00324757 -209 *3170:245 *5647:109 0.000265189 -210 *3170:245 *5809:13 9.41642e-05 -211 *3170:251 *27012:A0 8.55871e-05 -212 *3170:251 *27012:A1 4.58194e-05 -213 *3170:251 *27732:C1 0.000260574 -214 *3170:251 *3174:283 0.00104787 -215 *3170:251 *3861:32 0.000160001 -216 *3170:251 *3861:38 0.000262498 -217 *3170:251 *5609:236 0.00054977 -218 *3170:251 *5633:97 0.000107759 -219 *3170:251 *5649:217 4.77147e-05 -220 *25001:B *3170:51 2.59355e-05 -221 *25010:C1 *3170:201 2.3942e-05 -222 *25082:A2 *3170:92 0.000136875 -223 *25082:C1 *3170:92 1.23932e-05 -224 *25190:A2 *3170:245 9.27084e-05 -225 *25321:A2 *3170:19 0.000333338 -226 *25321:A2 *3170:21 3.41409e-05 -227 *25351:B *3170:51 0.000135028 -228 *25413:B *3170:51 0.000426133 -229 *25413:C *3170:51 0.000392856 -230 *25413:D *3170:51 2.59355e-05 -231 *26837:A2 *3170:41 1.22052e-05 -232 *26837:C1 *3170:41 3.05155e-05 -233 *27012:S *3170:251 0.000299992 -234 *27288:C *3170:5 9.58181e-05 -235 *27445:A2 *3170:5 0.00238175 -236 *27552:B1 *3170:83 1.9036e-05 -237 *27618:C *3170:5 5.33005e-05 -238 *30274:A *3170:51 2.43389e-05 -239 *30374:A *27751:A2 9.41642e-05 -240 *30550:A *3170:51 5.33005e-05 -241 *510:26 *3170:83 1.85696e-05 -242 *1242:135 *3170:213 4.51736e-05 -243 *1246:76 *3170:167 0.000110417 -244 *1246:111 *3170:245 2.11419e-05 -245 *1248:56 *3170:41 3.15873e-05 -246 *1252:184 *3170:201 0.00309788 -247 *1252:195 *3170:213 0.00197045 -248 *1260:91 *27751:A2 0.000742341 -249 *1260:135 *3170:245 0.000191851 -250 *1265:128 *27751:A2 0.000303362 -251 *1266:186 *3170:213 0.00019636 -252 *1290:132 *3170:167 0.00215207 -253 *1290:179 *3170:167 0.000196915 -254 *1290:186 *3170:172 0.000751868 -255 *1302:16 *3170:191 0.00238009 -256 *1302:16 *3170:201 1.25559e-05 -257 *1322:12 *3170:191 0.00237765 -258 *1326:13 *3170:51 0.000135028 -259 *1327:19 *3170:92 0.000308458 -260 *1328:175 *3170:167 0.000101554 -261 *1363:85 *3170:68 0.000159705 -262 *1390:15 *3170:232 0 -263 *1399:81 *3170:92 0.000716694 -264 *1399:93 *3170:106 0.0012106 -265 *1400:21 *3170:92 2.22043e-05 -266 *1400:95 *3170:41 0.000926897 -267 *1526:8 *3170:251 0.000161518 -268 *1592:19 *3170:21 6.57032e-05 -269 *1597:11 *3170:167 0.00146576 -270 *1644:19 *3170:51 1.55885e-05 -271 *1647:29 *3170:106 1.40849e-05 -272 *1647:46 *3170:106 7.34085e-05 -273 *1864:23 *3170:106 0.000139765 -274 *2760:113 *3170:245 9.65341e-06 -275 *2760:135 *3170:245 0.000401531 -276 *2760:157 *3170:245 0.00023193 -277 *2791:66 *3170:232 0.000276034 -278 *2813:80 *3170:5 0.000171703 -279 *2845:392 *3170:167 0.000391978 -280 *2848:282 *3170:251 0.00100119 -281 *2852:17 *3170:152 9.23367e-05 -282 *2852:28 *3170:152 6.14836e-06 -283 *2853:7 *3170:5 9.41642e-05 -284 *2860:366 *3170:167 6.38409e-05 -285 *2861:29 *3170:167 5.49544e-05 -286 *2875:46 *3170:152 2.83129e-05 -287 *2882:22 *3170:152 0.000340782 -288 *2885:138 *3170:232 0.000128228 -289 *2886:164 *3170:19 7.6231e-05 -290 *2889:22 *3170:152 0.00114777 -291 *2892:280 *27751:A2 2.95726e-05 -292 *2892:280 *3170:251 7.09112e-05 -293 *3153:17 *3170:5 0.000188877 -294 *3153:17 *3170:19 0.000646197 -295 *3153:17 *3170:21 4.59868e-05 -296 *3153:32 *3170:41 2.13481e-06 -*RES -1 *27618:X *3170:5 38.175 -2 *3170:5 *3170:19 22.5625 -3 *3170:19 *3170:21 31.5446 -4 *3170:21 *3170:41 36.8393 -5 *3170:41 *3170:51 34.9018 -6 *3170:51 *3170:59 25.3839 -7 *3170:59 *27875:A2 10.4518 -8 *3170:59 *3170:68 24.0536 -9 *3170:68 *27899:A2 13.9473 -10 *3170:68 *3170:83 30.4643 -11 *3170:83 *27925:A2 13.9473 -12 *3170:83 *3170:92 48.0893 -13 *3170:92 *3170:106 46.2321 -14 *3170:106 *6636:DIODE 10.2464 -15 *3170:106 *27948:A2 13.1304 -16 *3170:51 *27850:A2 9.3 -17 *3170:21 *27619:B1 20.3 -18 *3170:5 *3170:152 30.1477 -19 *3170:152 *27715:A2 14.3357 -20 *3170:152 *3170:163 12.2143 -21 *3170:163 *3170:167 48.2946 -22 *3170:167 *3170:172 8.09213 -23 *3170:172 *27815:A2 14.8313 -24 *3170:172 *3170:191 49.0982 -25 *3170:191 *3170:196 34 -26 *3170:196 *3170:201 47.1805 -27 *3170:201 *3170:213 22.9148 -28 *3170:213 *3170:232 33.8192 -29 *3170:232 *3170:245 26.897 -30 *3170:245 *3170:251 39.2812 -31 *3170:251 *27751:A2 38.5991 -32 *3170:251 *27676:A2 9.3 -33 *3170:245 *27731:A2 9.3 -34 *3170:232 *27774:A2 13.0545 -35 *3170:213 *27825:A2 10.1795 -*END - -*D_NET *3171 0.000638992 -*CONN -*I *27623:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27619:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27623:C1 0.000115125 -2 *27619:X 0.000115125 -3 *27623:C1 *25411:A1 5.42698e-05 -4 *27623:C1 *27623:B2 0.000175892 -5 *27623:C1 *3174:56 0.000135028 -6 *27623:C1 *3777:51 1.98839e-05 -7 *27623:C1 *5583:58 2.30116e-06 -8 *27623:C1 *5583:71 2.13687e-05 -*RES -1 *27619:X *27623:C1 30.5107 -*END - -*D_NET *3172 0.00312396 -*CONN -*I *27621:B I *D sky130_fd_sc_hd__nor2_8 -*I *27643:B I *D sky130_fd_sc_hd__nor2_4 -*I *27625:B I *D sky130_fd_sc_hd__nor2_8 -*I *27620:Y O *D sky130_fd_sc_hd__nand2_4 -*CAP -1 *27621:B 0 -2 *27643:B 8.77414e-05 -3 *27625:B 9.17741e-05 -4 *27620:Y 0.000301474 -5 *3172:20 0.000262256 -6 *3172:15 0.000384214 -7 *27625:B *3177:19 0.000266479 -8 *27625:B *5981:38 0.000139019 -9 *27643:B *3177:19 0.000252734 -10 *27643:B *5981:38 6.3699e-05 -11 *3172:15 *30799:A 0.000177815 -12 *3172:15 *3204:18 5.1588e-05 -13 *3172:15 *5442:63 0.000135028 -14 *3172:15 *5635:15 0.000175892 -15 *3172:20 *5485:133 0.000187904 -16 *1801:20 *3172:15 0.000133782 -17 *1801:38 *27643:B 0.000139907 -18 *1802:38 *3172:15 5.33072e-05 -19 *2998:32 *3172:20 0.000189483 -20 *3154:26 *3172:15 2.98674e-05 -*RES -1 *27620:Y *3172:15 24.9429 -2 *3172:15 *3172:20 11.5536 -3 *3172:20 *27625:B 12.3179 -4 *3172:20 *27643:B 12.3179 -5 *3172:15 *27621:B 9.3 -*END - -*D_NET *3173 0.095622 -*CONN -*I *30799:A I *D sky130_fd_sc_hd__buf_8 -*I *27901:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27927:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27753:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27958:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27877:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27852:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27621:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *30799:A 0.000441541 -2 *27901:A2 2.37855e-05 -3 *27927:A2 0.000255928 -4 *27753:A2 4.50403e-05 -5 *27958:B1 0.000134909 -6 *27877:A2 0.00015348 -7 *27852:A2 3.44889e-05 -8 *27621:Y 0 -9 *3173:158 0.00815838 -10 *3173:110 0.010278 -11 *3173:94 0.00383021 -12 *3173:81 0.00359865 -13 *3173:53 0.000253452 -14 *3173:50 0.00268023 -15 *3173:45 0.00162499 -16 *3173:35 0.00377532 -17 *3173:17 0.00674139 -18 *3173:4 0.00398394 -19 *27753:A2 *27753:B2 0.000135028 -20 *27753:A2 *5649:157 5.13023e-05 -21 *27753:A2 *5649:159 1.98839e-05 -22 *27852:A2 *3212:44 6.68536e-05 -23 *27852:A2 *3788:49 0.00012217 -24 *27877:A2 *25310:B2 0.000262504 -25 *27877:A2 *27853:C1 0.000148196 -26 *27877:A2 *27877:B1 9.41642e-05 -27 *27877:A2 *28377:CLK 3.57844e-05 -28 *27877:A2 *3568:8 9.60875e-05 -29 *27877:A2 *3780:23 0.000218734 -30 *27927:A2 *3183:103 0.000270758 -31 *27927:A2 *3183:105 0.000230953 -32 *27927:A2 *3191:49 5.49544e-05 -33 *27927:A2 *3482:38 0.000496479 -34 *27927:A2 *5063:5 5.33005e-05 -35 *27958:B1 *27958:A1 2.98258e-05 -36 *27958:B1 *27958:B2 1.86845e-05 -37 *27958:B1 *3184:130 0.000298483 -38 *27958:B1 *3485:14 1.90936e-05 -39 *27958:B1 *4035:59 1.90936e-05 -40 *30799:A *3204:15 0.000181374 -41 *30799:A *5635:15 0.000172057 -42 *3173:17 *25263:B2 0.000127067 -43 *3173:17 *27277:A_N 0.000591158 -44 *3173:17 *28668:RESET_B 0.000158055 -45 *3173:17 *28723:D 5.7817e-05 -46 *3173:17 *3194:59 0.00055114 -47 *3173:17 *3216:26 0.000178387 -48 *3173:17 *3796:60 0.000144752 -49 *3173:17 *5196:94 0.000581826 -50 *3173:17 *5530:44 0.000273792 -51 *3173:17 *5635:15 5.38711e-05 -52 *3173:17 *5718:240 0.000107449 -53 *3173:17 *5750:63 0.000137561 -54 *3173:17 *5750:82 0.00064244 -55 *3173:17 *6319:25 4.59075e-05 -56 *3173:35 *25893:A1 0.00117325 -57 *3173:35 *25957:A0 1.90936e-05 -58 *3173:35 *3212:44 0.000498049 -59 *3173:35 *4129:61 0.000186479 -60 *3173:35 *5442:51 0.000567917 -61 *3173:35 *5488:86 0.00050829 -62 *3173:35 *5592:61 9.35984e-05 -63 *3173:35 *5750:18 0.000674985 -64 *3173:35 *5750:32 0.00177498 -65 *3173:45 *27901:A1 4.58194e-05 -66 *3173:45 *27901:B1 0.000192006 -67 *3173:45 *3459:8 9.5375e-05 -68 *3173:45 *4129:61 1.02936e-05 -69 *3173:50 *25294:B2 9.62646e-05 -70 *3173:50 *3212:44 3.6692e-06 -71 *3173:50 *3788:49 0.000154345 -72 *3173:50 *5680:343 8.07879e-05 -73 *3173:53 *3212:44 8.55871e-05 -74 *3173:53 *3788:49 0.000163455 -75 *3173:81 *27851:B2 1.10978e-05 -76 *3173:81 *27851:C1 8.58506e-05 -77 *3173:81 *3182:97 0 -78 *3173:81 *3780:34 3.09777e-05 -79 *3173:81 *3827:24 0.000564411 -80 *3173:81 *5629:71 0.000885087 -81 *3173:81 *5629:89 0.000488891 -82 *3173:81 *5634:52 3.8358e-05 -83 *3173:81 *5680:343 0.000550727 -84 *3173:94 *25666:A1 0.000122608 -85 *3173:94 *27876:B2 0.000536959 -86 *3173:94 *27876:C1 0.000125369 -87 *3173:94 *27881:D 0.000334024 -88 *3173:94 *3215:112 0 -89 *3173:94 *3780:34 5.31689e-05 -90 *3173:94 *3814:69 0.000152996 -91 *3173:94 *3828:61 0.000819394 -92 *3173:94 *3832:37 0 -93 *3173:94 *3931:26 0.000913274 -94 *3173:94 *5458:31 0.000260064 -95 *3173:94 *5646:93 0.000231259 -96 *3173:94 *5685:9 2.09136e-05 -97 *3173:94 *5685:12 3.89262e-05 -98 *3173:94 *5685:333 1.55254e-05 -99 *3173:94 *5706:188 0 -100 *3173:94 *5706:195 0 -101 *3173:110 *27933:A1 0.00010777 -102 *3173:110 *27933:C1 0.000265509 -103 *3173:110 *27960:B1 0.00127641 -104 *3173:110 *28158:RESET_B 4.22135e-06 -105 *3173:110 *28159:RESET_B 0.000269507 -106 *3173:110 *3214:92 0.000253146 -107 *3173:110 *3780:53 4.1801e-05 -108 *3173:110 *3802:58 8.94556e-05 -109 *3173:110 *3828:61 0.000124426 -110 *3173:110 *3922:11 0.000304068 -111 *3173:110 *3923:32 3.04056e-05 -112 *3173:110 *3923:51 1.68891e-05 -113 *3173:110 *5196:47 0.000127359 -114 *3173:110 *5614:88 2.35215e-05 -115 *3173:110 *5646:102 0.000136535 -116 *3173:110 *5685:333 4.08082e-05 -117 *3173:158 *25104:A1 0.00254856 -118 *3173:158 *27304:A1 0.000398621 -119 *3173:158 *27753:B1 1.00733e-05 -120 *3173:158 *27753:B2 2.99572e-05 -121 *3173:158 *27966:A1 4.65519e-05 -122 *3173:158 *29059:A 4.98422e-05 -123 *3173:158 *3215:242 5.89551e-05 -124 *3173:158 *3576:57 1.2012e-05 -125 *3173:158 *3784:54 4.50149e-05 -126 *3173:158 *3784:79 0.00131585 -127 *3173:158 *3868:73 4.79905e-05 -128 *3173:158 *3927:20 0.000289702 -129 *3173:158 *3959:63 0.000398262 -130 *3173:158 *4022:60 0.00024721 -131 *3173:158 *4109:56 0.000165754 -132 *3173:158 *5196:47 0.000112667 -133 *3173:158 *5590:79 6.30931e-05 -134 *3173:158 *5649:159 0.000180951 -135 *3173:158 *5832:8 0.000383178 -136 *3173:158 *5832:25 0.000255156 -137 *25153:A2 *3173:158 0.000114398 -138 *25275:A *3173:35 0.000112667 -139 *25294:A2 *3173:50 2.72001e-05 -140 *25294:B1 *3173:45 8.68546e-05 -141 *25294:B1 *3173:50 4.21706e-05 -142 *25300:A2 *3173:94 3.51409e-05 -143 *25300:B1 *3173:94 0 -144 *25300:B1 *3173:110 0.00131266 -145 *25303:C *3173:94 6.23198e-05 -146 *25668:S *3173:94 0.000179639 -147 *27327:B *3173:17 0.000326526 -148 *27958:A2 *27958:B1 0.000197977 -149 *29770:A *3173:17 0.000589414 -150 *510:26 *3173:94 7.37829e-05 -151 *1218:62 *3173:35 0 -152 *1218:77 *3173:35 0 -153 *1218:109 *3173:35 0 -154 *1227:44 *3173:35 2.04825e-05 -155 *1257:69 *3173:35 0.000435915 -156 *1262:19 *3173:158 0.000307952 -157 *1263:28 *3173:158 0.000511444 -158 *1282:174 *3173:158 0.00121811 -159 *1358:19 *3173:158 5.54413e-05 -160 *1358:25 *3173:110 0.000292663 -161 *1358:25 *3173:158 0.000256719 -162 *1362:79 *3173:110 0.00163999 -163 *1411:50 *3173:158 0.000229399 -164 *1411:60 *3173:158 0.00126997 -165 *1420:40 *3173:158 0.000666616 -166 *1427:71 *3173:35 0.000549576 -167 *1435:34 *3173:110 0.000106548 -168 *1446:10 *3173:35 9.20126e-05 -169 *1448:127 *3173:158 0.000179644 -170 *1451:6 *3173:158 0.000239051 -171 *1494:38 *3173:158 0.000115858 -172 *1587:36 *3173:35 0.000644121 -173 *1591:11 *3173:35 0.00114753 -174 *1594:23 *3173:35 0.000284138 -175 *1672:32 *3173:158 0.000682574 -176 *1719:12 *3173:158 0.000765426 -177 *1803:34 *3173:17 0.000107843 -178 *1832:16 *3173:94 3.4323e-06 -179 *2792:145 *3173:17 8.77324e-05 -180 *2833:16 *30799:A 0.000309266 -181 *2834:59 *3173:81 0.000312679 -182 *2851:24 *3173:158 0.000427357 -183 *2852:199 *3173:94 2.06178e-05 -184 *2852:212 *3173:81 0.000327382 -185 *2852:220 *3173:81 0.00107567 -186 *2852:228 *3173:50 7.90803e-05 -187 *2852:228 *3173:81 0.00183942 -188 *2856:196 *3173:158 0.000634748 -189 *2864:28 *3173:35 7.56304e-05 -190 *3158:43 *27877:A2 3.53079e-05 -191 *3172:15 *30799:A 0.000177815 -*RES -1 *27621:Y *3173:4 9.3 -2 *3173:4 *3173:17 49.5896 -3 *3173:17 *3173:35 42.447 -4 *3173:35 *3173:45 17.1607 -5 *3173:45 *3173:50 14.6964 -6 *3173:50 *3173:53 6.26786 -7 *3173:53 *27852:A2 10.55 -8 *3173:53 *27877:A2 23.7464 -9 *3173:50 *3173:81 49.2679 -10 *3173:81 *3173:94 47.6786 -11 *3173:94 *3173:110 40.9759 -12 *3173:110 *27958:B1 20.9154 -13 *3173:110 *3173:158 49.8679 -14 *3173:158 *27753:A2 10.6571 -15 *3173:45 *27927:A2 25.6393 -16 *3173:35 *27901:A2 9.72857 -17 *3173:4 *30799:A 18.0857 -*END - -*D_NET *3174 0.14436 -*CONN -*I *27732:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27676:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27751:B1 I *D sky130_fd_sc_hd__a221o_2 -*I *27774:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27825:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27713:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27811:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27623:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27875:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27925:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27948:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27899:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27850:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27622:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *27732:A2 0 -2 *27676:B1 0 -3 *27751:B1 0.00100708 -4 *27774:B1 0.000159102 -5 *27825:B1 0 -6 *27713:A2 0.00144035 -7 *27811:A2 0.00132613 -8 *27623:B1 0 -9 *27875:B1 5.59935e-05 -10 *27925:B1 0 -11 *27948:B1 0.000751615 -12 *27899:B1 0.000136881 -13 *27850:B1 0.000252078 -14 *27622:Y 0.000233191 -15 *3174:283 0.0024718 -16 *3174:261 0.00480579 -17 *3174:239 0.00479629 -18 *3174:225 0.00330206 -19 *3174:224 0.00318989 -20 *3174:209 0.00212939 -21 *3174:188 0.0037275 -22 *3174:153 0.00485354 -23 *3174:102 0.00243611 -24 *3174:101 0.00168449 -25 *3174:99 0.000919943 -26 *3174:83 0.0018916 -27 *3174:78 0.00147576 -28 *3174:61 0.0020597 -29 *3174:56 0.00282512 -30 *3174:35 0.00223917 -31 *3174:29 0.00412022 -32 *3174:12 0.00590244 -33 *27713:A2 *27713:A1 3.93058e-05 -34 *27713:A2 *27713:B1 4.65908e-05 -35 *27713:A2 *27713:B2 0 -36 *27713:A2 *30812:A 5.71472e-05 -37 *27713:A2 *3263:11 2.47753e-05 -38 *27713:A2 *3978:78 0.000136951 -39 *27713:A2 *5652:93 4.97097e-05 -40 *27713:A2 *5652:95 0.00201669 -41 *27751:B1 *27676:B2 1.28809e-05 -42 *27751:B1 *27676:C1 0.000136951 -43 *27751:B1 *27751:A1 2.59355e-05 -44 *27751:B1 *3299:7 0.000137561 -45 *27751:B1 *3833:13 9.95075e-05 -46 *27751:B1 *5638:119 0.000125731 -47 *27751:B1 *5748:10 0.00012401 -48 *27774:B1 *3327:15 0.000140841 -49 *27774:B1 *5879:98 9.77423e-05 -50 *27811:A2 *26881:A0 5.53898e-05 -51 *27811:A2 *27811:A1 7.04607e-05 -52 *27811:A2 *27811:B1 0.000485989 -53 *27811:A2 *30371:A 0.000180591 -54 *27811:A2 *3669:9 0.000180124 -55 *27811:A2 *5467:18 0.000811284 -56 *27811:A2 *5755:243 2.11419e-05 -57 *27850:B1 *27850:A1 0.000138606 -58 *27850:B1 *27850:B2 7.20217e-06 -59 *27850:B1 *3469:19 0.00020081 -60 *27850:B1 *5581:64 4.99283e-06 -61 *27875:B1 *27875:A1 1.28809e-05 -62 *27875:B1 *27875:B2 3.02652e-05 -63 *27875:B1 *27875:C1 0 -64 *27899:B1 *27899:B2 2.05997e-05 -65 *27899:B1 *27899:C1 0.000184039 -66 *27899:B1 *3830:77 5.33005e-05 -67 *27899:B1 *3830:88 0.000260152 -68 *27899:B1 *3934:50 1.74858e-05 -69 *27948:B1 *27946:B2 0.000149826 -70 *27948:B1 *27948:A1 0.000589492 -71 *27948:B1 *27956:A 0.000130366 -72 *27948:B1 *27956:C 0.000102859 -73 *27948:B1 *3487:10 5.80706e-06 -74 *27948:B1 *3711:93 1.65787e-05 -75 *27948:B1 *5630:63 0.000175892 -76 *27948:B1 *5643:84 3.47641e-06 -77 *27948:B1 *5729:295 0.000127359 -78 *3174:12 *3178:136 9.97152e-05 -79 *3174:12 *3183:29 7.49749e-05 -80 *3174:29 *3314:41 1.50488e-05 -81 *3174:29 *3782:66 6.40595e-05 -82 *3174:29 *3873:67 4.45982e-05 -83 *3174:29 *5661:21 1.80461e-05 -84 *3174:29 *5694:94 8.19779e-05 -85 *3174:29 *5700:237 0 -86 *3174:29 *5757:109 1.09611e-05 -87 *3174:29 *5947:35 0.00146925 -88 *3174:35 *27322:B2 0.000339346 -89 *3174:35 *28650:D 0.000173373 -90 *3174:35 *3777:51 1.58183e-05 -91 *3174:35 *4051:43 0.000335705 -92 *3174:35 *5635:50 5.36e-05 -93 *3174:56 *25411:A1 2.06112e-05 -94 *3174:56 *25942:A 2.12087e-05 -95 *3174:56 *26836:A 5.33005e-05 -96 *3174:56 *27623:B2 2.26165e-05 -97 *3174:56 *3777:51 0.00106868 -98 *3174:56 *4052:20 1.90936e-05 -99 *3174:56 *5622:48 2.03997e-05 -100 *3174:56 *5626:137 0.000175892 -101 *3174:56 *5661:42 0.00185895 -102 *3174:56 *5869:27 1.90936e-05 -103 *3174:61 *25390:B2 0.000202792 -104 *3174:61 *5892:145 0 -105 *3174:78 *27875:A1 1.43665e-05 -106 *3174:78 *27881:A 5.33005e-05 -107 *3174:78 *3469:19 0.000471293 -108 *3174:78 *3482:19 0.000418144 -109 *3174:78 *5581:64 7.23319e-05 -110 *3174:83 *27875:B2 3.0379e-05 -111 *3174:83 *27875:C1 0.000178788 -112 *3174:83 *3176:83 0.000511196 -113 *3174:83 *3503:28 0.000721792 -114 *3174:83 *3663:65 0 -115 *3174:83 *3762:42 0.000102108 -116 *3174:83 *3971:42 2.1019e-05 -117 *3174:83 *4035:60 0.000113488 -118 *3174:99 *25175:B2 0.000305189 -119 *3174:99 *27925:B2 0.000117787 -120 *3174:99 *3176:83 0.00053862 -121 *3174:99 *3471:10 0.00110005 -122 *3174:99 *3503:28 0.00224269 -123 *3174:99 *3666:52 0.000170289 -124 *3174:99 *3762:42 0.000136811 -125 *3174:99 *3779:97 0.000150625 -126 *3174:99 *3935:47 2.79047e-05 -127 *3174:99 *5653:61 0.000245411 -128 *3174:102 *27933:B1 0.000539755 -129 *3174:102 *27945:B1 3.36969e-05 -130 *3174:102 *3496:13 0.00269629 -131 *3174:102 *5623:37 0.000450806 -132 *3174:102 *5729:252 0.00362222 -133 *3174:153 *3178:136 0.00170442 -134 *3174:153 *3183:40 9.54643e-05 -135 *3174:153 *5720:252 4.30781e-05 -136 *3174:188 *25118:A1 0.000755006 -137 *3174:188 *25118:B2 2.14474e-05 -138 *3174:188 *3627:52 2.70725e-06 -139 *3174:188 *3939:11 4.65519e-05 -140 *3174:188 *3991:29 5.70611e-05 -141 *3174:188 *4082:80 8.2809e-05 -142 *3174:188 *5926:78 0.000730112 -143 *3174:209 *25118:A1 0.000137224 -144 *3174:209 *3558:213 0.00029583 -145 *3174:209 *5604:72 0.000506355 -146 *3174:209 *5926:78 0.000350017 -147 *3174:224 *3340:6 1.20719e-05 -148 *3174:224 *3340:19 0.000395521 -149 *3174:224 *3721:44 0.000815266 -150 *3174:224 *4085:71 5.7811e-07 -151 *3174:224 *4121:87 0.000173452 -152 *3174:224 *5604:109 0 -153 *3174:224 *5627:181 0.000135704 -154 *3174:224 *5644:45 0.00018404 -155 *3174:225 *25005:B2 7.6644e-05 -156 *3174:225 *27465:A1 0.000137715 -157 *3174:225 *27825:A1 0.00024384 -158 *3174:225 *27830:B2 0.00010891 -159 *3174:225 *27830:C1 0.000300495 -160 *3174:225 *3185:180 0.0017307 -161 *3174:225 *3185:182 0.000462702 -162 *3174:225 *3580:47 0.00110038 -163 *3174:225 *3690:61 4.34472e-05 -164 *3174:225 *3735:71 0.000362287 -165 *3174:225 *3916:20 0.000632643 -166 *3174:225 *4085:40 0.000350866 -167 *3174:225 *5535:46 0.000514926 -168 *3174:225 *5603:24 6.09762e-05 -169 *3174:225 *5644:53 2.22043e-05 -170 *3174:225 *5645:91 0.000134764 -171 *3174:225 *5650:231 0.000122414 -172 *3174:239 *3185:182 0.000211185 -173 *3174:239 *3580:42 8.07951e-05 -174 *3174:239 *3580:47 0.000505499 -175 *3174:239 *3837:43 0.000182136 -176 *3174:239 *3916:20 0.000710671 -177 *3174:239 *5627:208 0.00230174 -178 *3174:239 *5649:290 0.00095815 -179 *3174:239 *5683:134 0.000160994 -180 *3174:239 *5879:98 8.27532e-05 -181 *3174:261 *3281:7 1.92789e-05 -182 *3174:261 *3327:15 0.000378235 -183 *3174:261 *3746:19 2.61099e-05 -184 *3174:261 *3916:20 0.000375813 -185 *3174:261 *5642:141 0.000931666 -186 *3174:283 *27732:B1 1.58163e-05 -187 *3174:283 *27732:B2 0.000149915 -188 *3174:283 *3848:30 0.000303092 -189 *3174:283 *3861:38 0.000303972 -190 *3174:283 *5609:236 0.000546198 -191 *24951:A *3174:56 0.000339346 -192 *25069:B *3174:225 0.000633087 -193 *25118:B1 *3174:188 0.00039701 -194 *27012:S *3174:283 0.000298483 -195 *27457:B1 *3174:209 0.00196932 -196 *27468:B1 *3174:225 2.13969e-05 -197 *27622:A *3174:12 5.83304e-05 -198 *27622:B *3174:12 1.58163e-05 -199 *27623:C1 *3174:56 0.000135028 -200 *27751:A2 *27751:B1 0.00125382 -201 *27751:A2 *3174:283 4.08484e-05 -202 *27774:A2 *27774:B1 1.59935e-05 -203 *27875:A2 *3174:78 5.87372e-05 -204 *27948:A2 *27948:B1 1.28192e-05 -205 *28804:D *3174:153 0.000100478 -206 *29448:A *3174:56 0.000353633 -207 *1225:46 *3174:188 0.0011632 -208 *1246:111 *3174:261 8.55871e-05 -209 *1258:106 *3174:224 0.000586182 -210 *1260:91 *27751:B1 1.44983e-05 -211 *1265:128 *27751:B1 0.000135028 -212 *1273:159 *3174:224 0.00123513 -213 *1273:161 *3174:225 0 -214 *1277:16 *3174:61 0.00147172 -215 *1292:223 *3174:209 0.000240466 -216 *1294:88 *27850:B1 0.000187659 -217 *1360:27 *3174:78 0.000641536 -218 *1368:46 *3174:225 0.000184329 -219 *1378:21 *3174:239 0.000805393 -220 *1390:15 *3174:239 0.00015175 -221 *1399:93 *27948:B1 2.0586e-05 -222 *1472:25 *27948:B1 8.76591e-06 -223 *1490:35 *3174:29 0.000103192 -224 *1490:61 *3174:29 0.000172418 -225 *1624:84 *3174:83 0.000109549 -226 *1647:46 *27948:B1 0.000377137 -227 *1647:46 *3174:102 1.30327e-05 -228 *1657:54 *3174:56 0.000128549 -229 *1713:13 *3174:83 0.000511534 -230 *1723:27 *3174:56 0.00125651 -231 *1729:10 *3174:56 6.46107e-05 -232 *1864:23 *27948:B1 4.60232e-06 -233 *2759:111 *3174:283 5.59013e-05 -234 *2760:26 *27713:A2 0.000383166 -235 *2760:33 *3174:188 4.87077e-05 -236 *2764:169 *27713:A2 0.000103679 -237 *2777:59 *3174:29 0.00013098 -238 *2778:83 *3174:56 2.04825e-05 -239 *2781:51 *3174:29 0 -240 *2784:59 *3174:29 4.00349e-05 -241 *2784:88 *3174:283 2.61337e-05 -242 *2786:101 *27811:A2 8.23597e-06 -243 *2790:92 *3174:153 0.000166203 -244 *2840:98 *3174:12 0.000205625 -245 *2844:153 *3174:29 0.00139294 -246 *2845:188 *3174:188 0 -247 *2845:392 *27811:A2 0.000381421 -248 *2852:17 *3174:153 5.13764e-05 -249 *2853:24 *3174:29 0.00089516 -250 *2853:25 *3174:29 0.000714092 -251 *2859:296 *3174:153 1.21649e-05 -252 *2860:154 *3174:35 0.000101566 -253 *2860:351 *27713:A2 0.000136951 -254 *2866:59 *3174:209 0.00138034 -255 *2866:241 *3174:209 1.90936e-05 -256 *2867:39 *3174:61 0.000186147 -257 *2871:194 *3174:153 0 -258 *2874:158 *3174:12 6.35819e-05 -259 *2874:158 *3174:153 0.00330572 -260 *2874:158 *3174:188 0.000654257 -261 *2874:169 *3174:209 9.8735e-05 -262 *2875:63 *3174:29 0.00106341 -263 *2882:22 *3174:29 5.66213e-05 -264 *2886:164 *3174:29 0 -265 *2888:59 *3174:29 6.98512e-05 -266 *2891:24 *3174:56 1.46617e-05 -267 *2892:132 *3174:188 0.00067723 -268 *2892:166 *3174:225 0.00110442 -269 *2892:280 *27751:B1 1.39137e-05 -270 *2892:280 *3174:283 0.00206918 -271 *2894:280 *3174:225 0.000188911 -272 *2986:18 *27774:B1 0.000144073 -273 *3153:32 *3174:56 0.000515052 -274 *3153:80 *3174:83 7.48404e-06 -275 *3153:284 *3174:239 0.000155447 -276 *3170:59 *3174:78 4.89813e-05 -277 *3170:92 *3174:102 0.000308941 -278 *3170:106 *27948:B1 0.000133516 -279 *3170:106 *3174:102 0.000697336 -280 *3170:232 *3174:239 2.06112e-05 -281 *3170:251 *3174:283 0.00104787 -*RES -1 *27622:Y *3174:12 19.2196 -2 *3174:12 *3174:29 46.5995 -3 *3174:29 *3174:35 14.9196 -4 *3174:35 *3174:56 47.7557 -5 *3174:56 *3174:61 9.96587 -6 *3174:61 *27850:B1 18.7018 -7 *3174:61 *3174:78 19.3438 -8 *3174:78 *3174:83 26.2366 -9 *3174:83 *27899:B1 17.5321 -10 *3174:83 *3174:99 37.5 -11 *3174:99 *3174:101 4.5 -12 *3174:101 *3174:102 57.5 -13 *3174:102 *27948:B1 44.1778 -14 *3174:99 *27925:B1 9.3 -15 *3174:78 *27875:B1 10.5723 -16 *3174:35 *27623:B1 9.3 -17 *3174:12 *3174:153 38.4847 -18 *3174:153 *27811:A2 35.6029 -19 *3174:153 *3174:188 35.326 -20 *3174:188 *27713:A2 41.0679 -21 *3174:188 *3174:209 44.4107 -22 *3174:209 *3174:224 34.1599 -23 *3174:224 *3174:225 67.6696 -24 *3174:225 *27825:B1 13.8 -25 *3174:225 *3174:239 47.8214 -26 *3174:239 *27774:B1 21.5232 -27 *3174:239 *3174:261 49.9732 -28 *3174:261 *3174:283 44.6161 -29 *3174:283 *27751:B1 37.4429 -30 *3174:283 *27676:B1 9.3 -31 *3174:261 *27732:A2 9.3 -*END - -*D_NET *3175 0.00418392 -*CONN -*I *27636:B I *D sky130_fd_sc_hd__or4_1 -*I *27623:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27636:B 0.000757176 -2 *27623:X 0.000757176 -3 *27636:B *3413:62 0.000944878 -4 *1419:43 *27636:B 0.00147563 -5 *2878:147 *27636:B 0.000249066 -*RES -1 *27623:X *27636:B 47.4571 -*END - -*D_NET *3176 0.154699 -*CONN -*I *27826:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27775:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27732:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27673:B I *D sky130_fd_sc_hd__and2_1 -*I *27752:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27629:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27954:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27926:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27876:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27900:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27851:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27717:A2 I *D sky130_fd_sc_hd__a211o_1 -*I *27810:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27624:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27826:A2 7.32748e-05 -2 *27775:A2 0.00104391 -3 *27732:B1 0.00119469 -4 *27673:B 2.30855e-05 -5 *27752:A2 0.00119787 -6 *27629:A2 0.000849876 -7 *27954:A2 0.000323155 -8 *27926:A2 6.35394e-05 -9 *27876:A2 0 -10 *27900:A2 0.000364356 -11 *27851:A2 0.000147919 -12 *27717:A2 0.000194259 -13 *27810:A2 0 -14 *27624:X 9.2428e-05 -15 *3176:267 0.00309301 -16 *3176:257 0.00464806 -17 *3176:254 0.00586732 -18 *3176:234 0.00505772 -19 *3176:233 0.00197918 -20 *3176:230 0.00294607 -21 *3176:202 0.00181034 -22 *3176:181 0.00244373 -23 *3176:175 0.00223466 -24 *3176:145 0.00142759 -25 *3176:111 0.000616091 -26 *3176:110 0.00152997 -27 *3176:83 0.00250395 -28 *3176:69 0.0021925 -29 *3176:62 0.00287798 -30 *3176:49 0.00444293 -31 *3176:24 0.00437618 -32 *3176:17 0.00514733 -33 *3176:8 0.00139308 -34 *3176:7 0.00148551 -35 *27629:A2 *27629:B1 7.37323e-05 -36 *27629:A2 *3855:21 7.56621e-05 -37 *27629:A2 *4115:47 0.0014961 -38 *27629:A2 *5467:14 5.00194e-05 -39 *27629:A2 *5653:28 6.28248e-05 -40 *27629:A2 *5655:130 0.001065 -41 *27717:A2 *3822:56 9.41642e-05 -42 *27717:A2 *5643:40 7.62361e-05 -43 *27717:A2 *6351:32 0.000341235 -44 *27732:B1 *4030:36 0.000100827 -45 *27732:B1 *4069:66 0.000218896 -46 *27732:B1 *4069:74 0.000211652 -47 *27752:A2 *27673:A 0.000216755 -48 *27752:A2 *27752:A1 3.07485e-05 -49 *27752:A2 *29045:A 8.03949e-05 -50 *27752:A2 *3729:44 0.000888477 -51 *27752:A2 *5632:161 0.00126651 -52 *27752:A2 *5765:5 0.000175892 -53 *27752:A2 *5765:25 0.000137561 -54 *27775:A2 *25050:A1 0.000282385 -55 *27775:A2 *25050:B1 7.2617e-05 -56 *27775:A2 *25062:B2 0.000634658 -57 *27775:A2 *27775:A1 0.000196323 -58 *27775:A2 *27780:B 4.00679e-05 -59 *27775:A2 *3863:37 0.000675217 -60 *27775:A2 *3967:29 0.000350175 -61 *27775:A2 *4134:78 0.000111571 -62 *27775:A2 *5631:58 0.000152693 -63 *27826:A2 *27826:A1 1.01912e-05 -64 *27826:A2 *27826:B2 3.33174e-05 -65 *27826:A2 *5632:18 0.000153779 -66 *27851:A2 *27851:A1 3.22455e-05 -67 *27851:A2 *3182:97 3.32135e-05 -68 *27900:A2 *3182:97 5.26224e-05 -69 *27900:A2 *3762:61 5.33005e-05 -70 *27900:A2 *3762:64 3.17148e-05 -71 *27900:A2 *5629:71 0.000102149 -72 *27900:A2 *5629:89 1.721e-05 -73 *27900:A2 *5651:47 0.000198253 -74 *27900:A2 *5706:196 5.00841e-06 -75 *27926:A2 *25213:B2 2.79421e-05 -76 *27954:A2 *3197:85 0.00111093 -77 *3176:7 *5504:67 1.98839e-05 -78 *3176:7 *5651:13 1.58163e-05 -79 *3176:8 *3209:11 5.41797e-05 -80 *3176:8 *3215:13 0.000502785 -81 *3176:8 *5632:8 0.00350493 -82 *3176:8 *5700:256 0.000307871 -83 *3176:8 *6385:141 1.50087e-05 -84 *3176:24 *27811:B1 3.39888e-05 -85 *3176:24 *3215:25 0.00260951 -86 *3176:24 *3666:119 9.22204e-05 -87 *3176:24 *5467:18 0.000101307 -88 *3176:24 *5584:76 0 -89 *3176:24 *5714:29 2.01997e-05 -90 *3176:49 *3184:71 0.00129219 -91 *3176:49 *3686:135 4.88232e-05 -92 *3176:49 *3797:65 7.18797e-05 -93 *3176:49 *4051:49 2.64729e-05 -94 *3176:49 *4115:47 8.00576e-05 -95 *3176:49 *4185:59 0.000123801 -96 *3176:49 *5600:86 0.00083397 -97 *3176:49 *5643:40 4.09607e-05 -98 *3176:49 *6351:32 1.55857e-05 -99 *3176:62 *27932:A2 0.000325084 -100 *3176:62 *3666:40 0.000832244 -101 *3176:62 *3768:42 0.000135028 -102 *3176:62 *3797:65 7.63802e-05 -103 *3176:62 *3829:8 0.000132954 -104 *3176:62 *3907:76 2.41159e-05 -105 *3176:62 *4129:74 0.000216755 -106 *3176:62 *4185:59 0.000111172 -107 *3176:62 *5694:43 0.000417735 -108 *3176:69 *3662:79 0.00182712 -109 *3176:83 *27876:A1 1.3701e-05 -110 *3176:83 *27876:B1 2.16949e-05 -111 *3176:83 *3182:63 7.33262e-05 -112 *3176:83 *3762:42 0.00103966 -113 *3176:83 *3867:60 0.00030115 -114 *3176:83 *5196:53 0.000221365 -115 *3176:110 *27876:B1 3.32595e-05 -116 *3176:110 *3182:97 0.00166456 -117 *3176:110 *3185:304 3.09819e-05 -118 *3176:110 *3205:72 6.09762e-05 -119 *3176:110 *3503:19 6.28248e-05 -120 *3176:110 *3867:60 1.65787e-05 -121 *3176:110 *3892:75 0.000157549 -122 *3176:110 *4012:39 0.00018388 -123 *3176:110 *4035:60 0 -124 *3176:110 *5196:53 2.8266e-06 -125 *3176:110 *5457:21 4.76069e-05 -126 *3176:110 *5629:89 0.000172337 -127 *3176:110 *5646:63 0.000137261 -128 *3176:110 *5738:115 5.00194e-05 -129 *3176:111 *27856:B 2.12087e-05 -130 *3176:111 *3182:97 2.89613e-05 -131 *3176:111 *3840:46 0.000221359 -132 *3176:111 *5646:38 0.000139913 -133 *3176:111 *5936:78 3.58743e-05 -134 *3176:175 *3205:98 0.00077064 -135 *3176:175 *3473:19 2.01934e-05 -136 *3176:175 *3482:19 4.59552e-05 -137 *3176:175 *3506:19 0.000539447 -138 *3176:175 *3857:74 6.52284e-05 -139 *3176:175 *5687:58 4.01089e-05 -140 *3176:175 *5729:276 7.71153e-06 -141 *3176:181 *25082:A1 0.000181886 -142 *3176:181 *25086:A 0.000204611 -143 *3176:181 *5640:63 8.18286e-06 -144 *3176:202 *24891:B 0.00010744 -145 *3176:202 *24906:B 0.00017754 -146 *3176:202 *25082:A1 5.08999e-05 -147 *3176:202 *27946:A2 0.000246708 -148 *3176:202 *28841:A 2.57471e-05 -149 *3176:202 *3496:13 0.000123126 -150 *3176:202 *3762:41 0.000553136 -151 *3176:202 *3920:63 1.86077e-05 -152 *3176:202 *4064:56 0.000741838 -153 *3176:230 *27454:A1 0.00014529 -154 *3176:230 *3185:164 0 -155 *3176:230 *3215:14 1.18941e-06 -156 *3176:230 *3215:178 4.27698e-05 -157 *3176:230 *3838:10 0.000156861 -158 *3176:230 *3916:34 0.000129805 -159 *3176:230 *5589:166 0.000349949 -160 *3176:230 *5632:8 0.000407921 -161 *3176:230 *5632:18 0.000164766 -162 *3176:230 *5649:55 0 -163 *3176:230 *5649:82 0 -164 *3176:230 *5658:12 0.000283989 -165 *3176:233 *5632:18 4.65519e-05 -166 *3176:234 *27831:A1 0.00228303 -167 *3176:234 *28471:RESET_B 0.000394798 -168 *3176:234 *3215:196 0.00034455 -169 *3176:234 *3668:11 7.41713e-05 -170 *3176:234 *3670:54 4.58807e-05 -171 *3176:234 *3930:94 0.000187178 -172 *3176:234 *5658:113 0.000192003 -173 *3176:234 *5767:6 0.000177941 -174 *3176:254 *25047:B2 0.000173055 -175 *3176:254 *25050:A1 0.000161141 -176 *3176:254 *25065:A1 0.000113898 -177 *3176:254 *27744:A2 0 -178 *3176:254 *28689:CLK 0.000106304 -179 *3176:254 *3668:11 0.000159028 -180 *3176:254 *3668:34 0.000704801 -181 *3176:254 *3785:25 0.000106304 -182 *3176:254 *3863:37 0.000161141 -183 *3176:254 *3930:94 0.000659776 -184 *3176:254 *3969:16 0.000106304 -185 *3176:254 *4121:62 0 -186 *3176:254 *5631:47 0.000159968 -187 *3176:254 *5635:120 2.03013e-05 -188 *3176:254 *5635:147 5.33839e-05 -189 *3176:254 *5658:113 0.000180508 -190 *3176:254 *5683:184 0.000147837 -191 *3176:254 *5718:387 0.000142026 -192 *3176:254 *5759:18 1.60253e-05 -193 *3176:254 *5873:220 8.97453e-05 -194 *3176:257 *3179:123 0.000139907 -195 *3176:257 *3798:40 0.000385693 -196 *3176:257 *5600:195 0.000682078 -197 *3176:257 *5643:160 0.00013921 -198 *3176:267 *27677:C1 0.000177821 -199 *3176:267 *27682:B 5.71472e-05 -200 *3176:267 *3233:13 0.000383166 -201 *3176:267 *5632:161 0.000114453 -202 *3176:267 *5633:164 0.000917554 -203 *24907:A *3176:202 4.85033e-05 -204 *25025:B1 *3176:233 0 -205 *25082:C1 *3176:181 4.837e-05 -206 *25133:C1 *3176:62 0.000421074 -207 *25217:D *3176:62 9.15842e-06 -208 *25244:B1 *3176:69 0.000219366 -209 *25244:B1 *3176:83 0.000712264 -210 *25283:C *3176:62 9.95605e-05 -211 *25283:D *3176:69 0.000136682 -212 *25284:A *3176:62 5.49489e-05 -213 *25284:B *3176:62 4.10926e-05 -214 *25326:A2 *27900:A2 0.000233565 -215 *27421:B1 *27775:A2 4.15592e-05 -216 *27427:A2 *27732:B1 4.73953e-05 -217 *1178:48 *27717:A2 0.000169797 -218 *1185:72 *3176:234 0.00181173 -219 *1242:206 *27629:A2 4.65519e-05 -220 *1244:174 *3176:49 4.73891e-05 -221 *1246:62 *3176:49 8.2236e-06 -222 *1246:62 *3176:62 3.39033e-05 -223 *1246:90 *3176:24 8.36265e-05 -224 *1246:90 *3176:230 0.00265878 -225 *1246:104 *3176:254 0.000154703 -226 *1246:111 *27775:A2 0.000315621 -227 *1250:43 *27629:A2 0.000417193 -228 *1250:43 *3176:62 2.27993e-05 -229 *1252:166 *27826:A2 0.000179777 -230 *1252:166 *3176:233 0.000260629 -231 *1252:166 *3176:234 4.38265e-05 -232 *1258:56 *27732:B1 0.000150099 -233 *1258:75 *27732:B1 0.00170636 -234 *1261:163 *3176:267 0.000218409 -235 *1267:172 *3176:257 0.0011316 -236 *1276:107 *3176:267 0.000755806 -237 *1276:120 *3176:257 8.32156e-05 -238 *1276:120 *3176:267 0.000592747 -239 *1280:6 *3176:62 0.000384139 -240 *1292:223 *3176:230 0.000244001 -241 *1293:223 *3176:234 1.28478e-05 -242 *1294:175 *3176:8 0.000981366 -243 *1327:19 *3176:202 0.000121411 -244 *1350:13 *27826:A2 1.96517e-05 -245 *1360:20 *3176:145 1.99369e-05 -246 *1360:20 *3176:175 0.0013105 -247 *1368:23 *3176:24 7.11466e-05 -248 *1400:21 *3176:181 0.00196303 -249 *1400:40 *3176:83 5.14314e-05 -250 *1400:40 *3176:145 0.000211636 -251 *1400:40 *3176:175 1.94945e-05 -252 *1401:117 *3176:181 2.56688e-05 -253 *1403:16 *3176:202 0.0009753 -254 *1419:30 *27629:A2 1.07374e-05 -255 *1430:65 *3176:175 0.000364662 -256 *1439:49 *3176:202 4.65519e-05 -257 *1440:38 *3176:83 5.14314e-05 -258 *1443:12 *3176:202 8.93351e-05 -259 *1488:14 *27954:A2 0.00104667 -260 *1511:18 *3176:202 7.27952e-05 -261 *1533:9 *3176:69 0.00188562 -262 *1597:11 *3176:49 0.00318742 -263 *1603:10 *3176:62 6.86792e-05 -264 *1615:14 *3176:202 0.00103304 -265 *1624:76 *3176:110 0 -266 *1689:8 *3176:181 0.00230903 -267 *1689:8 *3176:202 9.65515e-05 -268 *1689:21 *3176:69 0.000336007 -269 *1689:21 *3176:145 0.000165872 -270 *1689:21 *3176:175 1.08716e-05 -271 *1689:21 *3176:181 1.90323e-05 -272 *1705:17 *3176:69 0.000216755 -273 *1730:13 *3176:175 0.000218799 -274 *1832:16 *3176:110 0.000751399 -275 *1832:25 *3176:110 0.000217756 -276 *1832:41 *3176:110 0.000719298 -277 *1899:31 *3176:110 4.65519e-05 -278 *2774:162 *3176:234 0.000145753 -279 *2788:16 *27732:B1 0.000217181 -280 *2823:86 *3176:7 0.000135028 -281 *2823:86 *3176:8 3.17148e-05 -282 *2845:188 *3176:24 9.20139e-05 -283 *2845:392 *3176:24 0.000920749 -284 *2845:392 *3176:230 1.35877e-05 -285 *2852:108 *27732:B1 0.00185763 -286 *2852:220 *27900:A2 0.000142677 -287 *2853:61 *27900:A2 0.000148189 -288 *2855:142 *3176:62 0.000134893 -289 *2855:279 *3176:8 4.31289e-05 -290 *2860:158 *27629:A2 6.09762e-05 -291 *2864:292 *27732:B1 2.71935e-05 -292 *2866:241 *3176:230 0 -293 *2867:149 *3176:202 4.65519e-05 -294 *2871:194 *3176:24 9.1377e-05 -295 *2871:232 *3176:234 0.000667184 -296 *2871:259 *3176:234 0.000188967 -297 *2871:393 *3176:254 0 -298 *2872:237 *3176:254 4.32957e-05 -299 *2875:69 *3176:110 0.000126599 -300 *2877:179 *3176:49 0.000166461 -301 *2882:178 *3176:8 0.00173351 -302 *2882:185 *3176:230 0.000344673 -303 *2882:188 *3176:234 0.00266537 -304 *2885:58 *3176:24 4.73891e-05 -305 *2888:71 *27900:A2 6.95935e-05 -306 *2889:151 *3176:24 0.000175352 -307 *2892:140 *27826:A2 1.94879e-05 -308 *2892:140 *3176:230 0.000129086 -309 *2892:177 *3176:234 6.90565e-05 -310 *2980:10 *27775:A2 0.00168135 -311 *3170:232 *3176:254 0 -312 *3170:245 *27732:B1 4.79453e-05 -313 *3170:245 *27775:A2 1.94945e-05 -314 *3174:83 *3176:83 0.000511196 -315 *3174:99 *3176:83 0.00053862 -316 *3174:283 *27732:B1 1.58163e-05 -*RES -1 *27624:X *3176:7 15.5679 -2 *3176:7 *3176:8 50.8214 -3 *3176:8 *27810:A2 13.8 -4 *3176:8 *3176:17 3.41 -5 *3176:17 *3176:24 9.9994 -6 *3176:24 *27717:A2 19.2732 -7 *3176:24 *3176:49 47.5367 -8 *3176:49 *3176:62 47.0103 -9 *3176:62 *3176:69 33.5179 -10 *3176:69 *3176:83 38.8839 -11 *3176:83 *3176:110 48.4597 -12 *3176:110 *3176:111 3.0625 -13 *3176:111 *27851:A2 12.7152 -14 *3176:111 *27900:A2 27.6839 -15 *3176:83 *27876:A2 9.3 -16 *3176:69 *3176:145 2.85714 -17 *3176:145 *27926:A2 14.7464 -18 *3176:145 *3176:175 39.3595 -19 *3176:175 *3176:181 38.1004 -20 *3176:181 *3176:202 48.9225 -21 *3176:202 *27954:A2 20.3089 -22 *3176:49 *27629:A2 29.0876 -23 *3176:17 *3176:230 14.5374 -24 *3176:230 *3176:233 3.85337 -25 *3176:233 *3176:234 66.2857 -26 *3176:234 *3176:254 35.8734 -27 *3176:254 *3176:257 34.1964 -28 *3176:257 *3176:267 38.0893 -29 *3176:267 *27752:A2 36.675 -30 *3176:267 *27673:B 9.72857 -31 *3176:257 *27732:B1 43.7198 -32 *3176:254 *27775:A2 47.1661 -33 *3176:230 *27826:A2 18.1164 -*END - -*D_NET *3177 0.117029 -*CONN -*I *27649:A I *D sky130_fd_sc_hd__or4_1 -*I *27837:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27786:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27701:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27763:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27736:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27809:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *30798:A I *D sky130_fd_sc_hd__buf_12 -*I *27625:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *27649:A 0 -2 *27837:A2 0.000214381 -3 *27786:A2 0.00101761 -4 *27701:A2 2.30855e-05 -5 *27763:A2 0.000475359 -6 *27736:B1 0 -7 *27809:B1 0.00040947 -8 *30798:A 5.69599e-05 -9 *27625:Y 0 -10 *3177:175 0.00429978 -11 *3177:157 0.00423703 -12 *3177:136 0.00281638 -13 *3177:121 0.00443078 -14 *3177:117 0.00365052 -15 *3177:101 0.00180526 -16 *3177:100 0.00184335 -17 *3177:85 0.0031087 -18 *3177:72 0.00248433 -19 *3177:69 0.00225322 -20 *3177:63 0.00199612 -21 *3177:61 0.0024174 -22 *3177:59 0.00287528 -23 *3177:36 0.00170589 -24 *3177:35 0.00170782 -25 *3177:24 0.00186325 -26 *3177:19 0.00216727 -27 *3177:4 0.00123026 -28 *27763:A2 *27763:A1 3.3254e-05 -29 *27763:A2 *27763:C1 0.000142561 -30 *27763:A2 *3771:7 2.79421e-05 -31 *27763:A2 *3771:50 2.42516e-05 -32 *27763:A2 *3810:26 2.08975e-05 -33 *27763:A2 *4135:45 0.000281901 -34 *27763:A2 *4135:70 9.66977e-05 -35 *27763:A2 *5627:139 0.000266039 -36 *27763:A2 *5628:161 3.3254e-05 -37 *27786:A2 *28663:CLK 6.1684e-05 -38 *27786:A2 *28663:RESET_B 0.000173512 -39 *27786:A2 *3333:17 7.13226e-06 -40 *27786:A2 *3615:12 6.67492e-05 -41 *27786:A2 *3954:8 0.000100823 -42 *27786:A2 *3954:40 0.000390324 -43 *27786:A2 *5683:266 0.000370405 -44 *27786:A2 *5766:17 0.000186013 -45 *27786:A2 *5766:29 1.24469e-05 -46 *27786:A2 *5872:42 2.11362e-05 -47 *27786:A2 *5873:31 0.0015098 -48 *27786:A2 *5873:37 0.000305306 -49 *27786:A2 *5873:59 0.000430236 -50 *27786:A2 *5892:39 0.000308241 -51 *27786:A2 *5892:40 9.96458e-06 -52 *27809:B1 *27809:A1 2.59355e-05 -53 *27809:B1 *27809:A2 4.00119e-05 -54 *27809:B1 *27809:B2 2.5417e-05 -55 *27809:B1 *4186:34 6.19382e-06 -56 *27809:B1 *5639:90 5.96516e-05 -57 *27837:A2 *27837:B1 5.65433e-06 -58 *27837:A2 *3956:37 0.000175892 -59 *27837:A2 *4099:24 3.23557e-06 -60 *3177:19 *27649:C 0.00111586 -61 *3177:19 *27652:D 0.00022266 -62 *3177:19 *27805:A2 4.13496e-05 -63 *3177:19 *30807:A 9.71197e-05 -64 *3177:19 *3196:9 0.000765704 -65 *3177:19 *3196:30 0.000860736 -66 *3177:19 *3825:37 0.000167875 -67 *3177:19 *5631:145 0.000756998 -68 *3177:19 *5645:15 0.000227526 -69 *3177:19 *5873:114 0.000985828 -70 *3177:19 *5981:38 0.000282351 -71 *3177:24 *27803:C1 0.00106567 -72 *3177:24 *27807:C1 0.000925466 -73 *3177:24 *3826:49 0.000708475 -74 *3177:24 *3826:52 0.000509593 -75 *3177:24 *3993:14 0.000394016 -76 *3177:24 *5647:18 0.000569267 -77 *3177:35 *27803:B2 0.00117154 -78 *3177:35 *27803:C1 1.31516e-05 -79 *3177:35 *3708:68 0.000182485 -80 *3177:35 *3826:52 2.96272e-05 -81 *3177:35 *3826:57 1.03698e-05 -82 *3177:35 *3993:14 0.000209711 -83 *3177:35 *5647:18 0.00173414 -84 *3177:35 *5647:39 0.000160143 -85 *3177:36 *28741:RESET_B 0.00033763 -86 *3177:36 *3708:68 0.000286739 -87 *3177:36 *3826:57 0.000119982 -88 *3177:36 *3993:14 0.000108561 -89 *3177:36 *5755:60 0.000453333 -90 *3177:36 *5755:192 0.000220574 -91 *3177:59 *26899:A1 5.96516e-05 -92 *3177:59 *28757:D 0.000283507 -93 *3177:59 *3682:48 0.000167821 -94 *3177:59 *3812:31 8.39471e-05 -95 *3177:59 *3826:57 0.000306061 -96 *3177:61 *24970:B2 0.000867108 -97 *3177:61 *27469:B2 2.4237e-05 -98 *3177:61 *28489:CLK 7.60306e-05 -99 *3177:61 *3682:48 0.000229713 -100 *3177:61 *3787:48 0.000537024 -101 *3177:61 *3800:46 7.30957e-06 -102 *3177:61 *3800:58 1.4396e-05 -103 *3177:61 *3891:89 0.00167526 -104 *3177:61 *3993:14 0.000108426 -105 *3177:61 *4073:26 9.32386e-05 -106 *3177:61 *4073:39 0.000841278 -107 *3177:61 *4151:44 0.000432529 -108 *3177:61 *4151:45 0.00210641 -109 *3177:61 *5645:80 0.000176806 -110 *3177:61 *5652:128 0.00101558 -111 *3177:61 *5652:142 0.000185287 -112 *3177:61 *5663:35 0.000137276 -113 *3177:69 *25010:A1 0.000259267 -114 *3177:69 *25010:B2 1.64621e-05 -115 *3177:69 *3370:11 7.90803e-05 -116 *3177:69 *3721:17 0.000206248 -117 *3177:69 *3956:37 0.000628104 -118 *3177:69 *5627:197 8.07951e-05 -119 *3177:72 *30171:A 0.000260574 -120 *3177:72 *3668:11 9.41642e-05 -121 *3177:72 *4085:40 0.000298483 -122 *3177:72 *5647:62 0.000793029 -123 *3177:72 *5651:284 0.000832666 -124 *3177:72 *5651:289 9.34559e-05 -125 *3177:72 *5767:29 0.000971946 -126 *3177:85 *3179:123 1.10978e-05 -127 *3177:85 *3179:128 5.33072e-05 -128 *3177:85 *3692:62 0.000235869 -129 *3177:85 *3887:42 0.000120169 -130 *3177:85 *3887:60 0.00143492 -131 *3177:85 *3939:51 5.28498e-05 -132 *3177:85 *3939:63 4.8817e-05 -133 *3177:85 *5647:82 0.000234887 -134 *3177:100 *3179:128 0.000479642 -135 *3177:100 *3692:62 0.000492315 -136 *3177:100 *3718:89 6.05161e-06 -137 *3177:101 *27701:B1 2.37742e-05 -138 *3177:101 *5879:98 0.000869129 -139 *3177:117 *27137:A1 8.71761e-06 -140 *3177:117 *27682:C 2.59355e-05 -141 *3177:117 *27701:B1 6.03191e-05 -142 *3177:117 *27701:B2 1.28809e-05 -143 *3177:117 *27701:C1 5.33005e-05 -144 *3177:117 *28596:CLK 0.000149031 -145 *3177:117 *28596:D 9.20635e-06 -146 *3177:117 *28596:RESET_B 0.000253934 -147 *3177:117 *30390:A 0.000126314 -148 *3177:117 *3756:7 2.41665e-05 -149 *3177:117 *4054:17 2.47753e-05 -150 *3177:117 *4107:31 2.04294e-05 -151 *3177:117 *5663:138 0.000136682 -152 *3177:117 *5879:98 0.00107312 -153 *3177:121 *25146:A1 1.58163e-05 -154 *3177:121 *27137:A0 0.00024398 -155 *3177:121 *27137:A1 0.000198705 -156 *3177:121 *27414:B2 8.25843e-06 -157 *3177:121 *3966:11 0.000175783 -158 *3177:121 *4044:13 9.41642e-05 -159 *3177:136 *25146:A1 1.40324e-05 -160 *3177:136 *25146:B2 2.79421e-05 -161 *3177:136 *3552:30 0.000172134 -162 *3177:136 *3562:16 0 -163 *3177:136 *3888:8 0.000177494 -164 *3177:136 *3888:10 0.000531598 -165 *3177:136 *4109:46 1.14338e-05 -166 *3177:136 *4128:24 0.000926212 -167 *3177:136 *5630:274 0.000336335 -168 *3177:136 *5832:8 6.39829e-05 -169 *3177:157 *3956:37 0.000968613 -170 *3177:175 *27837:C1 0.000239211 -171 *3177:175 *3179:238 0.00141647 -172 *3177:175 *3995:44 1.90936e-05 -173 *3177:175 *5656:275 0.000169214 -174 *3177:175 *5872:26 0.000279906 -175 *3177:175 *5873:59 0.000155802 -176 *3177:175 *5873:60 0.00057688 -177 *3177:175 *5873:70 0.000196514 -178 *3177:175 *5910:107 0.00053192 -179 *25010:A2 *3177:69 7.50658e-05 -180 *25010:B1 *3177:69 0.000259273 -181 *25010:B1 *3177:72 0.000802877 -182 *25047:A2 *3177:85 0 -183 *25146:A2 *3177:121 1.30891e-05 -184 *25146:A2 *3177:136 2.30969e-05 -185 *25146:B1 *3177:136 2.59355e-05 -186 *25190:C1 *3177:101 0.000805308 -187 *26991:A *27786:A2 4.39649e-05 -188 *27137:S *3177:121 0.000135028 -189 *27166:S *3177:24 1.94879e-05 -190 *27281:A2 *3177:136 0.000109148 -191 *27281:B1 *3177:136 0.000818736 -192 *27424:B1 *3177:100 0.000361654 -193 *27472:A2 *3177:61 0.000107898 -194 *27478:C1 *3177:61 1.90936e-05 -195 *27606:C *3177:19 0.000136951 -196 *27625:B *3177:19 0.000266479 -197 *27643:B *3177:19 0.000252734 -198 *30114:A *27786:A2 8.85524e-05 -199 *882:10 *27786:A2 0.000147835 -200 *1178:158 *3177:85 0.00148986 -201 *1225:119 *3177:121 6.6612e-05 -202 *1261:53 *3177:61 4.19535e-05 -203 *1262:220 *3177:59 0.000157364 -204 *1262:220 *3177:61 0.000171319 -205 *1262:224 *3177:36 0.00261717 -206 *1262:224 *3177:59 0.000117189 -207 *1288:264 *3177:61 4.62978e-05 -208 *1346:8 *3177:24 0 -209 *1509:16 *3177:136 7.84621e-06 -210 *1520:20 *3177:100 0.0011708 -211 *1556:23 *3177:117 0.000196794 -212 *1562:33 *3177:121 0.000302946 -213 *1826:26 *30798:A 0.000225314 -214 *2760:113 *3177:85 0.000196902 -215 *2788:22 *3177:100 0.000455135 -216 *2790:8 *3177:24 0.000804367 -217 *2827:54 *3177:19 0.000167875 -218 *2844:318 *3177:136 6.19664e-05 -219 *2845:362 *3177:61 0.000109654 -220 *2848:242 *3177:85 0.00114193 -221 *2855:298 *3177:35 7.59402e-06 -222 *2855:311 *3177:61 0.000660927 -223 *2859:331 *3177:61 0.00021781 -224 *2864:119 *3177:35 0.000196954 -225 *2864:125 *27809:B1 0.000175892 -226 *2864:132 *3177:61 0.000439385 -227 *2864:156 *3177:61 1.90936e-05 -228 *2874:305 *27763:A2 0.000166643 -229 *2874:305 *3177:136 6.14836e-06 -230 *2892:111 *30798:A 0.000225314 -231 *2892:220 *3177:61 6.59118e-05 -232 *2950:10 *3177:100 0.00069071 -233 *2956:8 *3177:100 9.90819e-06 -234 *3009:9 *3177:36 0.00122135 -235 *3009:9 *3177:59 0.000136347 -236 *3153:171 *3177:85 0.00143322 -237 *3164:258 *3177:61 0.000425173 -238 *3165:55 *3177:61 0.0001861 -*RES -1 *27625:Y *3177:4 9.3 -2 *3177:4 *30798:A 21.1571 -3 *3177:4 *3177:19 49.6964 -4 *3177:19 *3177:24 41.5893 -5 *3177:24 *3177:35 31.5051 -6 *3177:35 *3177:36 33.9732 -7 *3177:36 *27809:B1 18.8714 -8 *3177:36 *3177:59 20.8661 -9 *3177:59 *3177:61 84.6696 -10 *3177:61 *3177:63 4.5 -11 *3177:63 *3177:69 25.3571 -12 *3177:69 *3177:72 31.7321 -13 *3177:72 *3177:85 37.9395 -14 *3177:85 *27736:B1 13.8 -15 *3177:85 *3177:100 46.8214 -16 *3177:100 *3177:101 8.54464 -17 *3177:101 *3177:117 26.3393 -18 *3177:117 *3177:121 31.1518 -19 *3177:121 *3177:136 45.551 -20 *3177:136 *27763:A2 33.6125 -21 *3177:101 *27701:A2 9.72857 -22 *3177:63 *3177:157 9.57143 -23 *3177:157 *3177:175 26.5071 -24 *3177:175 *27786:A2 45.7955 -25 *3177:157 *27837:A2 12.233 -26 *3177:19 *27649:A 9.3 -*END - -*D_NET *3178 0.0866707 -*CONN -*I *27628:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27945:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *30797:A I *D sky130_fd_sc_hd__buf_8 -*I *27902:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27878:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27928:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27853:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27626:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *27628:A2 6.94229e-05 -2 *27945:A2 0.000956344 -3 *30797:A 0 -4 *27902:A2 0.000254877 -5 *27878:A2 1.04544e-05 -6 *27928:A2 0.000343577 -7 *27853:A2 0.000273469 -8 *27626:Y 0.000256011 -9 *3178:157 0.00224813 -10 *3178:149 0.00288214 -11 *3178:147 0.00168216 -12 *3178:144 0.00229816 -13 *3178:139 0.00448331 -14 *3178:136 0.00291671 -15 *3178:133 0.00309721 -16 *3178:69 0.00099038 -17 *3178:62 0.00152459 -18 *3178:55 0.00281771 -19 *3178:43 0.00397459 -20 *3178:29 0.00381288 -21 *3178:15 0.00128198 -22 *3178:13 0.0026657 -23 *27628:A2 *27628:A1 0.00011108 -24 *27628:A2 *27628:B1 1.98839e-05 -25 *27628:A2 *3844:59 9.58126e-05 -26 *27628:A2 *5653:39 3.57366e-05 -27 *27628:A2 *5653:41 4.10926e-05 -28 *27853:A2 *27853:B1 7.5835e-05 -29 *27853:A2 *29430:A 0.00032599 -30 *27853:A2 *3663:42 1.94945e-05 -31 *27878:A2 *27878:B1 3.28686e-05 -32 *27878:A2 *4088:79 3.28686e-05 -33 *27902:A2 *3183:98 1.93757e-05 -34 *27902:A2 *3444:13 6.05161e-06 -35 *27902:A2 *5442:27 7.46207e-05 -36 *27902:A2 *5491:44 0.00011377 -37 *27928:A2 *25385:A1 1.25742e-05 -38 *27928:A2 *27853:B1 2.06178e-05 -39 *27928:A2 *29430:A 3.2849e-05 -40 *27928:A2 *3482:38 1.90936e-05 -41 *27928:A2 *3844:25 1.55638e-05 -42 *27928:A2 *3973:83 0.000543696 -43 *27928:A2 *5430:12 0 -44 *27945:A2 *25210:B2 0.000247834 -45 *27945:A2 *3185:320 0.00102141 -46 *27945:A2 *3480:35 0.000351898 -47 *27945:A2 *3503:28 1.16418e-05 -48 *27945:A2 *4025:38 0.000440904 -49 *27945:A2 *4035:60 9.851e-05 -50 *27945:A2 *5629:104 0.000440904 -51 *27945:A2 *5646:118 1.12506e-05 -52 *27945:A2 *5653:61 2.63501e-05 -53 *3178:13 *27599:A 4.30452e-05 -54 *3178:13 *3212:21 0.000117563 -55 *3178:13 *5196:95 9.41642e-05 -56 *3178:13 *5459:32 7.8006e-05 -57 *3178:13 *5643:9 6.87574e-05 -58 *3178:13 *5660:9 9.41642e-05 -59 *3178:13 *6311:83 0.000172368 -60 *3178:15 *3212:21 5.03772e-05 -61 *3178:29 *24859:A 0.00020088 -62 *3178:29 *27599:B 0.000114807 -63 *3178:29 *28700:D 9.22103e-06 -64 *3178:29 *3212:21 9.0145e-05 -65 *3178:29 *4018:7 0.000139215 -66 *3178:29 *5643:9 1.98839e-05 -67 *3178:29 *5718:240 1.17968e-05 -68 *3178:29 *6306:33 0.000177194 -69 *3178:43 *24926:B 9.41642e-05 -70 *3178:43 *27138:A0 0.000152942 -71 *3178:43 *28396:CLK 0.000219289 -72 *3178:43 *28396:D 0.000214554 -73 *3178:43 *28396:RESET_B 8.48353e-05 -74 *3178:43 *29031:A 0.00035289 -75 *3178:43 *3572:34 0.000236391 -76 *3178:43 *3647:9 0.000466119 -77 *3178:43 *3820:69 1.01912e-05 -78 *3178:43 *3854:10 0.000158302 -79 *3178:43 *3854:37 2.58821e-05 -80 *3178:43 *5620:30 9.58181e-05 -81 *3178:43 *5700:149 0.0001292 -82 *3178:55 *3212:44 9.28498e-05 -83 *3178:55 *3845:31 0.000579826 -84 *3178:55 *3845:47 0.000449564 -85 *3178:55 *5620:30 1.90936e-05 -86 *3178:62 *3672:45 0.000224775 -87 *3178:62 *3788:49 4.28365e-05 -88 *3178:62 *5491:44 7.69776e-06 -89 *3178:62 *5706:196 1.08565e-05 -90 *3178:62 *5706:200 0.000152945 -91 *3178:69 *25385:A1 5.00194e-05 -92 *3178:69 *27927:B2 0.000120506 -93 *3178:69 *29430:A 0 -94 *3178:69 *3672:45 0.000289019 -95 *3178:69 *4371:19 0.000246359 -96 *3178:69 *5706:200 8.77729e-05 -97 *3178:69 *5706:219 0.000125868 -98 *3178:139 *28804:CLK 7.95355e-05 -99 *3178:139 *28860:A 5.70264e-05 -100 *3178:139 *3194:70 0.00017754 -101 *3178:139 *3246:17 3.97677e-05 -102 *3178:139 *5599:279 4.58194e-05 -103 *3178:139 *5599:295 2.05612e-05 -104 *3178:139 *5641:19 4.87854e-05 -105 *3178:144 *3546:36 0.000493694 -106 *3178:144 *3673:23 2.84494e-05 -107 *3178:144 *3782:75 0.000303368 -108 *3178:144 *3783:121 2.95746e-06 -109 *3178:144 *3829:29 0.000109492 -110 *3178:144 *3829:36 0.000121494 -111 *3178:144 *3844:59 1.02504e-05 -112 *3178:144 *3873:73 0.000135028 -113 *3178:144 *4052:20 0.00037803 -114 *3178:144 *5196:72 0 -115 *3178:147 *5653:39 0 -116 *3178:149 *27628:A1 6.02871e-05 -117 *3178:149 *3305:33 0.00132975 -118 *3178:149 *3673:23 9.66977e-05 -119 *3178:149 *3867:60 0.00317456 -120 *3178:149 *5196:53 0.000781034 -121 *3178:149 *5196:62 0.00307513 -122 *3178:149 *5653:41 0.000399411 -123 *3178:157 *27926:A1 0.000364381 -124 *3178:157 *27926:B1 1.94879e-05 -125 *3178:157 *3441:14 4.32309e-05 -126 *3178:157 *3482:19 0.000521805 -127 *3178:157 *5687:58 0.000130582 -128 *3178:157 *5691:93 0.000605011 -129 *24926:A *3178:43 0.000301438 -130 *25954:A *3178:55 0.000566426 -131 *27795:S *3178:136 0.000530511 -132 *29823:A *3178:29 0.000296407 -133 *30138:A *27853:A2 1.94945e-05 -134 *1140:10 *27928:A2 7.13226e-06 -135 *1227:44 *3178:55 2.06178e-05 -136 *1250:163 *3178:43 7.31147e-05 -137 *1250:187 *3178:55 2.82126e-05 -138 *1252:21 *3178:55 0.0005185 -139 *1252:52 *3178:43 0.000101137 -140 *1363:98 *3178:144 0.000635057 -141 *1368:23 *3178:133 0 -142 *1427:71 *27902:A2 0.000254542 -143 *1442:14 *27902:A2 0.000483936 -144 *1442:14 *3178:62 2.04825e-05 -145 *1476:132 *3178:157 0.00014129 -146 *1490:61 *3178:133 1.60253e-05 -147 *1550:34 *3178:43 1.94945e-05 -148 *1588:18 *3178:43 7.43916e-05 -149 *1593:12 *3178:43 0.00191927 -150 *1607:8 *3178:144 6.46402e-05 -151 *1803:34 *3178:133 0.00143778 -152 *1826:15 *3178:133 0.000513363 -153 *1826:26 *3178:13 8.57023e-05 -154 *1826:26 *3178:15 8.66063e-05 -155 *1826:26 *3178:29 0.000280788 -156 *1826:26 *3178:133 0.000256599 -157 *1864:36 *27945:A2 8.60976e-06 -158 *1864:114 *27945:A2 2.11543e-05 -159 *2781:51 *3178:133 0 -160 *2790:29 *3178:133 0.000554225 -161 *2790:92 *3178:136 1.21637e-05 -162 *2833:6 *3178:13 6.92626e-05 -163 *2833:26 *3178:133 5.41797e-06 -164 *2840:98 *3178:136 0.00113285 -165 *2852:17 *3178:133 0 -166 *2852:297 *3178:133 4.65519e-05 -167 *2856:270 *3178:139 0.000812633 -168 *2860:26 *3178:29 0.000203178 -169 *2860:150 *3178:43 1.94945e-05 -170 *2879:27 *3178:139 0.000479891 -171 *2879:27 *3178:144 0.000164543 -172 *2879:35 *3178:144 0.00198675 -173 *2882:173 *3178:136 0.00010811 -174 *2892:111 *3178:133 0.000160358 -175 *2892:115 *3178:133 8.41284e-06 -176 *3158:13 *3178:29 0.00314894 -177 *3158:36 *3178:43 0.001915 -178 *3158:36 *3178:55 0.000134839 -179 *3158:43 *3178:62 0.0012955 -180 *3168:53 *3178:144 4.67171e-05 -181 *3170:68 *3178:157 0.000557033 -182 *3170:83 *3178:157 0.00120731 -183 *3174:12 *3178:136 9.97152e-05 -184 *3174:153 *3178:136 0.00170442 -*RES -1 *27626:Y *3178:13 24.8179 -2 *3178:13 *3178:15 0.946429 -3 *3178:15 *3178:29 49.8214 -4 *3178:29 *3178:43 48.0437 -5 *3178:43 *3178:55 15.3756 -6 *3178:55 *3178:62 25.2946 -7 *3178:62 *3178:69 10.4049 -8 *3178:69 *27853:A2 18.9658 -9 *3178:69 *27928:A2 18.654 -10 *3178:62 *27878:A2 14.1304 -11 *3178:55 *27902:A2 21.2018 -12 *3178:15 *30797:A 9.3 -13 *3178:13 *3178:133 45.598 -14 *3178:133 *3178:136 28.4554 -15 *3178:136 *3178:139 27.25 -16 *3178:139 *3178:144 48.6786 -17 *3178:144 *3178:147 4.92857 -18 *3178:147 *3178:149 52.2857 -19 *3178:149 *3178:157 42.875 -20 *3178:157 *27945:A2 40.644 -21 *3178:147 *27628:A2 11.4964 -*END - -*D_NET *3179 0.121811 -*CONN -*I *27784:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27835:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27761:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27698:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27738:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27803:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27651:B I *D sky130_fd_sc_hd__or4_1 -*I *30813:A I *D sky130_fd_sc_hd__buf_12 -*I *27627:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27784:A2 0.000474119 -2 *27835:A2 4.10497e-05 -3 *27761:A2 0 -4 *27698:B1 0 -5 *27738:A2 0 -6 *27803:A2 8.3637e-06 -7 *27651:B 0 -8 *30813:A 0.000251882 -9 *27627:X 0 -10 *3179:244 0.00172224 -11 *3179:238 0.00305528 -12 *3179:205 0.00129839 -13 *3179:186 0.00498303 -14 *3179:167 0.00489949 -15 *3179:154 0.00395069 -16 *3179:128 0.00328247 -17 *3179:123 0.00134967 -18 *3179:108 0.00366081 -19 *3179:96 0.00308587 -20 *3179:90 0.00386395 -21 *3179:82 0.00286818 -22 *3179:67 0.00524845 -23 *3179:66 0.00624566 -24 *3179:46 0.00258416 -25 *3179:42 0.0016748 -26 *3179:21 0.00159379 -27 *3179:4 0.000751007 -28 *27784:A2 *26988:A0 1.94879e-05 -29 *27784:A2 *27791:A 2.49598e-05 -30 *27784:A2 *28567:D 4.63685e-05 -31 *27784:A2 *4214:20 0.000131742 -32 *27784:A2 *5639:165 0 -33 *27784:A2 *5873:31 0.00056198 -34 *27803:A2 *27817:A 1.46576e-05 -35 *27835:A2 *27835:C1 0 -36 *27835:A2 *3683:13 1.46576e-05 -37 *30813:A *27651:C 0.000178847 -38 *30813:A *3189:11 0.000135028 -39 *30813:A *3825:37 0.00012685 -40 *30813:A *5628:5 0.00059044 -41 *30813:A *5639:13 7.34839e-05 -42 *30813:A *5653:11 0.000135028 -43 *30813:A *5658:10 0.000144684 -44 *3179:21 *3214:180 0.000512143 -45 *3179:21 *3851:54 7.83659e-05 -46 *3179:21 *5628:17 7.6644e-05 -47 *3179:21 *5639:13 6.35941e-05 -48 *3179:42 *27647:C 0.000262504 -49 *3179:42 *27647:D 5.52302e-05 -50 *3179:42 *27650:B 4.19088e-05 -51 *3179:42 *3773:24 0.00147949 -52 *3179:42 *3993:14 0.000333817 -53 *3179:42 *5639:13 1.75091e-05 -54 *3179:42 *5639:25 0.000630181 -55 *3179:42 *5647:18 0.00142282 -56 *3179:42 *5649:29 0.000422997 -57 *3179:46 *27804:D 1.89507e-06 -58 *3179:46 *27817:A 0.000321336 -59 *3179:46 *5504:86 1.01057e-05 -60 *3179:46 *5660:35 3.59165e-05 -61 *3179:66 *27803:A1 0.00018173 -62 *3179:66 *30865:A 8.17613e-06 -63 *3179:66 *3682:50 0.000151565 -64 *3179:66 *3877:41 0.000111777 -65 *3179:66 *3903:42 0.000108985 -66 *3179:66 *3993:14 0 -67 *3179:66 *5504:86 8.23235e-05 -68 *3179:66 *5642:34 0.000181963 -69 *3179:66 *5645:47 0.000168202 -70 *3179:66 *5660:35 9.46374e-05 -71 *3179:66 *5663:22 0.000154703 -72 *3179:67 *27458:A1 4.93285e-05 -73 *3179:67 *27479:B2 6.90348e-06 -74 *3179:67 *27838:B1 0.000167468 -75 *3179:67 *27839:C1 0 -76 *3179:67 *28733:D 4.42765e-05 -77 *3179:67 *30433:A 1.14416e-05 -78 *3179:67 *3708:68 7.35213e-06 -79 *3179:67 *3721:68 0.000163164 -80 *3179:67 *3864:43 0.000101862 -81 *3179:67 *3982:56 0 -82 *3179:67 *3982:71 0 -83 *3179:67 *3982:74 3.42206e-06 -84 *3179:67 *4121:87 0.000134547 -85 *3179:67 *5639:90 0.000274995 -86 *3179:67 *5643:316 0 -87 *3179:67 *5645:71 0 -88 *3179:67 *5652:109 0 -89 *3179:67 *5652:128 0 -90 *3179:67 *5660:52 0.00157368 -91 *3179:67 *5660:64 0 -92 *3179:67 *5660:102 0 -93 *3179:67 *5662:52 0 -94 *3179:67 *5663:22 5.69617e-05 -95 *3179:67 *5663:27 9.00987e-05 -96 *3179:67 *5663:48 8.44271e-06 -97 *3179:67 *5675:34 0.000312949 -98 *3179:67 *5675:58 3.26486e-05 -99 *3179:67 *5675:67 0.000114626 -100 *3179:67 *5679:81 0.000157331 -101 *3179:82 *27824:B2 0.000324664 -102 *3179:82 *27834:B2 1.38076e-05 -103 *3179:82 *3386:10 0.000176341 -104 *3179:82 *3891:66 1.50738e-05 -105 *3179:82 *5603:17 0 -106 *3179:82 *5639:147 0 -107 *3179:82 *5652:143 0.00130439 -108 *3179:82 *5662:76 0.000146703 -109 *3179:90 *5630:217 6.90249e-05 -110 *3179:90 *5639:147 0.000232046 -111 *3179:96 *3683:13 7.88752e-05 -112 *3179:96 *5836:40 2.84026e-05 -113 *3179:108 *27464:A1 5.74499e-06 -114 *3179:108 *27835:B1 1.4487e-05 -115 *3179:108 *27835:B2 7.14482e-05 -116 *3179:108 *27835:C1 0.00010567 -117 *3179:108 *3683:13 1.21258e-05 -118 *3179:108 *3800:58 8.25843e-06 -119 *3179:108 *5630:176 0.000993213 -120 *3179:123 *27744:A1 0.000916862 -121 *3179:123 *3292:11 0.000481631 -122 *3179:123 *3293:14 0.000355793 -123 *3179:123 *3926:44 0.000838575 -124 *3179:123 *3926:48 6.41658e-05 -125 *3179:123 *3939:51 6.54117e-05 -126 *3179:123 *4108:123 4.00349e-05 -127 *3179:123 *5647:82 0.000204981 -128 *3179:128 *27736:C1 5.58875e-06 -129 *3179:128 *3292:11 7.96587e-06 -130 *3179:128 *3679:33 7.37323e-05 -131 *3179:128 *3692:62 1.76616e-05 -132 *3179:128 *3718:89 0.000573263 -133 *3179:154 *27697:A2 9.91949e-05 -134 *3179:154 *27738:B1 0.000341 -135 *3179:154 *3679:33 3.71677e-05 -136 *3179:154 *3963:60 0.000164764 -137 *3179:154 *4069:66 6.24939e-05 -138 *3179:154 *5605:170 6.29424e-05 -139 *3179:154 *5605:171 6.8377e-06 -140 *3179:154 *5630:250 0.000755543 -141 *3179:154 *5630:264 4.50225e-05 -142 *3179:154 *5765:95 0.000271061 -143 *3179:154 *5879:97 0.000209003 -144 *3179:167 *27367:A 7.26883e-05 -145 *3179:167 *3678:47 0.000279761 -146 *3179:167 *3743:20 6.35864e-05 -147 *3179:167 *3743:28 0.000494919 -148 *3179:167 *3874:79 0.000143652 -149 *3179:167 *3963:42 9.13908e-05 -150 *3179:167 *4081:34 0.00119955 -151 *3179:167 *4120:30 9.07819e-05 -152 *3179:167 *5593:15 0 -153 *3179:167 *5663:138 0.000680776 -154 *3179:167 *5663:179 0.000427202 -155 *3179:167 *5759:18 0.00110522 -156 *3179:167 *5803:27 0.000169713 -157 *3179:167 *5824:213 0.000205951 -158 *3179:186 *27699:B1 0.00218958 -159 *3179:186 *27750:C1 0.000147835 -160 *3179:186 *27764:B2 6.57032e-05 -161 *3179:186 *28494:CLK 0.000307286 -162 *3179:186 *3590:12 0.000418825 -163 *3179:186 *3686:50 2.04825e-05 -164 *3179:186 *3693:21 0.000237451 -165 *3179:186 *3963:42 6.77187e-05 -166 *3179:186 *3979:32 0.000243848 -167 *3179:186 *4120:30 5.74683e-05 -168 *3179:186 *4135:18 0.000283668 -169 *3179:186 *5587:102 4.98422e-05 -170 *3179:186 *5607:50 7.43873e-05 -171 *3179:186 *5607:71 0.000418845 -172 *3179:186 *5641:162 6.39829e-05 -173 *3179:186 *5643:269 0.000304843 -174 *3179:186 *5803:27 0.000809648 -175 *3179:205 *27014:A1 0.000303362 -176 *3179:205 *27023:A1 0.000301438 -177 *3179:205 *3309:13 2.59355e-05 -178 *3179:205 *3706:22 0.00101245 -179 *3179:205 *3959:20 7.47921e-05 -180 *3179:205 *4135:18 0.000604282 -181 *3179:205 *4148:24 0.000606704 -182 *3179:205 *5643:282 0.00112055 -183 *3179:205 *5669:248 4.24404e-05 -184 *3179:238 *27841:A 4.96113e-05 -185 *3179:238 *3385:11 6.41221e-05 -186 *3179:238 *3386:10 2.37838e-05 -187 *3179:238 *3995:58 1.80827e-05 -188 *3179:238 *4125:24 2.03606e-05 -189 *3179:238 *5628:98 0.000742199 -190 *3179:238 *5630:217 0.000214841 -191 *3179:238 *5836:40 0.000212745 -192 *3179:238 *5863:163 0 -193 *3179:238 *5873:60 0.000731609 -194 *3179:238 *5892:40 0.000156319 -195 *3179:244 *27099:A 0.00035296 -196 *3179:244 *28663:RESET_B 1.0137e-05 -197 *3179:244 *3889:31 0.000110498 -198 *3179:244 *3995:58 0.000248873 -199 *3179:244 *4125:13 0.000247311 -200 *3179:244 *5683:266 6.65101e-05 -201 *3179:244 *5766:17 1.0033e-05 -202 *3179:244 *5872:42 0.00249906 -203 *3179:244 *5873:59 0.000162918 -204 *3179:244 *5892:25 0.000450139 -205 *3179:244 *5892:39 0.00195141 -206 *24990:C1 *3179:67 0 -207 *25047:B1 *3179:108 0.00170474 -208 *27382:A2 *3179:123 0.000164392 -209 *27456:A2 *3179:67 7.69776e-06 -210 *27647:B *3179:42 0.00052198 -211 *29315:A *27784:A2 0 -212 *30111:A *3179:67 0 -213 *30114:A *3179:244 0.000142112 -214 *1268:74 *3179:67 2.94059e-05 -215 *1270:75 *3179:238 3.07415e-05 -216 *1271:211 *3179:154 0.001908 -217 *1276:120 *3179:123 3.38861e-06 -218 *1283:9 *3179:205 0.000199279 -219 *1286:26 *3179:154 0.000596123 -220 *1304:27 *3179:82 0.000136682 -221 *1304:28 *3179:67 0.00653027 -222 *1304:28 *3179:82 0.00166734 -223 *1304:39 *3179:66 5.69098e-05 -224 *1304:40 *3179:66 0.000154703 -225 *1334:11 *3179:82 0.000209979 -226 *1350:25 *3179:67 3.24754e-05 -227 *1361:45 *3179:186 2.17601e-05 -228 *1371:51 *3179:238 1.50293e-05 -229 *1700:6 *3179:186 1.90936e-05 -230 *1834:49 *3179:186 0.000186462 -231 *1864:150 *3179:67 4.24642e-05 -232 *2759:68 *3179:205 9.34324e-05 -233 *2759:111 *3179:186 0.000132889 -234 *2761:80 *3179:186 1.21791e-05 -235 *2767:62 *3179:82 8.83414e-05 -236 *2768:90 *3179:167 0.000131604 -237 *2769:30 *27784:A2 0 -238 *2778:152 *3179:154 2.6257e-05 -239 *2786:55 *3179:154 0.000539706 -240 *2788:16 *3179:154 6.09762e-05 -241 *2791:199 *3179:186 8.70249e-05 -242 *2844:277 *3179:123 0.000571395 -243 *2845:286 *3179:154 8.21982e-06 -244 *2855:198 *3179:154 0.000254852 -245 *2859:283 *3179:128 0.00108743 -246 *2882:276 *3179:154 0.000128752 -247 *2894:319 *3179:167 0.000169713 -248 *3164:249 *3179:67 0 -249 *3176:257 *3179:123 0.000139907 -250 *3177:85 *3179:123 1.10978e-05 -251 *3177:85 *3179:128 5.33072e-05 -252 *3177:100 *3179:128 0.000479642 -253 *3177:175 *3179:238 0.00141647 -*RES -1 *27627:X *3179:4 9.3 -2 *3179:4 *30813:A 26.1214 -3 *3179:4 *3179:21 16.0357 -4 *3179:21 *27651:B 9.3 -5 *3179:21 *3179:42 44.5 -6 *3179:42 *3179:46 11.8214 -7 *3179:46 *27803:A2 13.9473 -8 *3179:46 *3179:66 20.0427 -9 *3179:66 *3179:67 108.348 -10 *3179:67 *3179:82 49.5568 -11 *3179:82 *3179:90 13.4526 -12 *3179:90 *3179:96 7.5 -13 *3179:96 *3179:108 47.6607 -14 *3179:108 *3179:123 40.2857 -15 *3179:123 *3179:128 20.5446 -16 *3179:128 *27738:A2 9.3 -17 *3179:128 *3179:154 37.2916 -18 *3179:154 *3179:167 33.6553 -19 *3179:167 *27698:B1 13.8 -20 *3179:167 *3179:186 49.7982 -21 *3179:186 *3179:205 47.4516 -22 *3179:205 *27761:A2 9.3 -23 *3179:96 *27835:A2 10.1795 -24 *3179:90 *3179:238 36.507 -25 *3179:238 *3179:244 45.6023 -26 *3179:244 *27784:A2 23.7464 -*END - -*D_NET *3180 0.00128967 -*CONN -*I *27629:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27628:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27629:C1 0.000354394 -2 *27628:X 0.000354394 -3 *27629:C1 *27629:B2 0.00013101 -4 *27629:C1 *3855:21 3.08382e-06 -5 *27629:C1 *4052:30 0.00019593 -6 *27629:C1 *5653:31 0.000175892 -7 *282:21 *27629:C1 1.10978e-05 -8 *1419:43 *27629:C1 2.04825e-05 -9 *2852:187 *27629:C1 4.33852e-05 -*RES -1 *27628:X *27629:C1 34.8545 -*END - -*D_NET *3181 0.00162763 -*CONN -*I *27636:C I *D sky130_fd_sc_hd__or4_1 -*I *27629:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27636:C 0.00043989 -2 *27629:X 0.00043989 -3 *27636:C *27636:D 9.77423e-05 -4 *27636:C *27768:A2 2.14658e-05 -5 *27636:C *3194:106 0.000137983 -6 *27636:C *5505:42 2.21972e-05 -7 *74:11 *27636:C 0 -8 *1265:35 *27636:C 4.65519e-05 -9 *1363:98 *27636:C 5.14767e-05 -10 *1484:23 *27636:C 3.32477e-05 -11 *2853:164 *27636:C 0.000207943 -12 *2860:158 *27636:C 0.000110151 -13 *2878:147 *27636:C 1.90936e-05 -*RES -1 *27629:X *27636:C 38.3127 -*END - -*D_NET *3182 0.069317 -*CONN -*I *30796:A I *D sky130_fd_sc_hd__buf_8 -*I *27926:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27946:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27851:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27900:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27876:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27635:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27630:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *30796:A 2.30826e-05 -2 *27926:B1 0.000240882 -3 *27946:A2 0.00173257 -4 *27851:B1 0 -5 *27900:B1 0.000319702 -6 *27876:B1 8.86958e-05 -7 *27635:A2 5.19387e-05 -8 *27630:Y 0.000160907 -9 *3182:134 0.00436401 -10 *3182:122 0.00342793 -11 *3182:97 0.00155098 -12 *3182:63 0.0016202 -13 *3182:61 0.00130993 -14 *3182:55 0.00335142 -15 *3182:33 0.00464161 -16 *3182:27 0.00346174 -17 *3182:16 0.00296971 -18 *3182:9 0.00138431 -19 *27635:A2 *27636:D 2.85957e-05 -20 *27635:A2 *3183:46 0.00015546 -21 *27635:A2 *5600:14 7.37323e-05 -22 *27876:B1 *3867:44 2.59355e-05 -23 *27876:B1 *3867:60 8.43535e-06 -24 *27900:B1 *27851:A1 0.000110146 -25 *27900:B1 *27851:B2 1.28809e-05 -26 *27900:B1 *27900:C1 3.61118e-05 -27 *27900:B1 *3780:34 0.000482003 -28 *27900:B1 *5651:47 2.89016e-05 -29 *27900:B1 *5936:78 0.000219711 -30 *27926:B1 *25213:B2 6.57032e-05 -31 *27926:B1 *27926:A1 5.01909e-05 -32 *27926:B1 *27926:B2 5.33005e-05 -33 *27926:B1 *3482:19 1.94879e-05 -34 *27926:B1 *3662:34 2.25686e-05 -35 *27926:B1 *3857:49 0 -36 *27926:B1 *5687:58 2.7883e-05 -37 *27926:B1 *5729:276 6.90381e-06 -38 *27946:A2 *25104:B2 3.72368e-05 -39 *27946:A2 *27946:A1 5.04841e-06 -40 *27946:A2 *27946:B2 2.03997e-05 -41 *27946:A2 *27948:A1 0.000163759 -42 *27946:A2 *27953:A2 2.06112e-05 -43 *27946:A2 *27956:B 1.58163e-05 -44 *27946:A2 *28841:A 0.000222981 -45 *27946:A2 *3686:83 0.0011261 -46 *27946:A2 *3762:41 0.000600577 -47 *27946:A2 *5640:64 0.000387338 -48 *27946:A2 *5641:70 6.11225e-06 -49 *27946:A2 *5845:25 3.24225e-05 -50 *3182:9 *5700:250 4.08637e-05 -51 *3182:16 *27314:A 0.000164359 -52 *3182:16 *5599:273 0.000116257 -53 *3182:16 *5694:94 4.22135e-06 -54 *3182:16 *5700:250 0.00206412 -55 *3182:16 *6225:114 8.82767e-05 -56 *3182:16 *6225:116 0.000917631 -57 *3182:16 *6306:116 0.000259921 -58 *3182:16 *6306:123 0.000135421 -59 *3182:16 *6306:140 0.000117415 -60 *3182:27 *29757:A 4.82947e-05 -61 *3182:27 *3183:40 7.37323e-05 -62 *3182:27 *3183:46 0.000357909 -63 *3182:27 *3205:189 0.000378331 -64 *3182:27 *3246:17 5.61047e-05 -65 *3182:27 *5643:40 0.000689734 -66 *3182:27 *5694:80 0.000272505 -67 *3182:27 *5694:94 0.000262616 -68 *3182:27 *6225:116 0.000215706 -69 *3182:33 *27636:D 0.000202425 -70 *3182:33 *3183:46 0.0021263 -71 *3182:55 *25394:B2 4.82865e-05 -72 *3182:55 *25395:A1 2.04825e-05 -73 *3182:55 *3814:69 0.000322502 -74 *3182:55 *3867:60 0.000237342 -75 *3182:55 *3881:30 0.000339346 -76 *3182:55 *4186:20 0 -77 *3182:55 *5517:30 7.69776e-06 -78 *3182:55 *5621:34 0.000127359 -79 *3182:61 *5196:53 0.000676805 -80 *3182:63 *27881:B 5.49489e-05 -81 *3182:63 *5196:53 0.000515696 -82 *3182:97 *27100:A0 9.17643e-05 -83 *3182:97 *27851:A1 5.33005e-05 -84 *3182:97 *27881:B 0.000242844 -85 *3182:97 *3840:46 0.000115934 -86 *3182:97 *3945:54 0.000123538 -87 *3182:97 *3970:40 2.11419e-05 -88 *3182:97 *4035:60 1.94326e-05 -89 *3182:97 *5629:89 0.000201704 -90 *3182:97 *5646:63 2.22043e-05 -91 *3182:97 *5646:93 0.000212627 -92 *3182:97 *5706:196 0.000291948 -93 *3182:97 *5936:78 0.000165109 -94 *3182:122 *3205:83 0.000508796 -95 *3182:122 *3441:14 0.000610308 -96 *3182:122 *5729:276 0.000284529 -97 *3182:134 *25122:B2 0.000117869 -98 *3182:134 *27304:A1 0.00162284 -99 *3182:134 *27933:B1 0.00010508 -100 *3182:134 *3461:26 0.00017552 -101 *3182:134 *3506:19 0 -102 *3182:134 *3662:34 8.57736e-06 -103 *3182:134 *3724:88 0.000111243 -104 *3182:134 *3776:44 7.9397e-05 -105 *3182:134 *3776:54 0.00180072 -106 *3182:134 *3857:74 5.25024e-05 -107 *3182:134 *4012:39 0.00297873 -108 *25119:B *3182:55 0.000150054 -109 *25214:B1 *3182:55 1.90936e-05 -110 *25326:A2 *3182:97 6.81909e-05 -111 *25326:B1 *3182:97 0.000223985 -112 *25394:B1 *3182:55 0.000265545 -113 *25395:C1 *3182:55 0.000471581 -114 *26878:S *3182:27 0.000122446 -115 *27296:B *3182:16 0.000181796 -116 *27314:B *3182:16 2.60158e-05 -117 *27851:A2 *3182:97 3.32135e-05 -118 *27900:A2 *3182:97 5.26224e-05 -119 *27966:C1 *3182:134 0.000433958 -120 *28801:D *3182:27 0.000100823 -121 *30113:A *3182:134 0.000138779 -122 *1237:39 *3182:9 2.59355e-05 -123 *1237:39 *3182:16 0.000394934 -124 *1247:43 *3182:134 0.000128345 -125 *1263:28 *3182:134 0.00139628 -126 *1273:96 *3182:55 0.000140432 -127 *1277:16 *3182:61 0.000193118 -128 *1294:163 *3182:16 3.52899e-05 -129 *1363:98 *3182:33 0.00012442 -130 *1407:29 *3182:55 0.00017754 -131 *1411:97 *3182:97 8.63902e-05 -132 *1427:104 *3182:55 0.000177545 -133 *1439:49 *27946:A2 7.53529e-06 -134 *1440:19 *3182:55 0.00101505 -135 *1443:10 *3182:134 0.000528245 -136 *1443:12 *3182:134 7.13798e-05 -137 *1476:151 *3182:55 0.000173277 -138 *1511:18 *27946:A2 5.56329e-05 -139 *1613:6 *3182:61 0.000191403 -140 *1615:14 *27946:A2 6.11094e-06 -141 *1665:20 *27946:A2 4.00349e-05 -142 *1826:15 *3182:9 0.000374246 -143 *2758:8 *3182:27 5.41794e-05 -144 *2782:100 *3182:16 0 -145 *2848:33 *3182:16 0.000678888 -146 *2854:16 *3182:55 1.90936e-05 -147 *2859:22 *3182:16 8.40933e-05 -148 *2867:149 *27946:A2 5.12542e-05 -149 *2874:146 *3182:16 0.000767621 -150 *2879:27 *3182:16 2.22066e-05 -151 *2881:14 *3182:33 0.000219289 -152 *2888:71 *27900:B1 0.000356436 -153 *2892:115 *3182:9 0.000376837 -154 *3051:10 *27900:B1 9.91086e-05 -155 *3165:192 *3182:16 0.000141552 -156 *3170:68 *3182:122 0.000604338 -157 *3170:83 *3182:122 0.000396648 -158 *3173:81 *3182:97 0 -159 *3176:83 *27876:B1 2.16949e-05 -160 *3176:83 *3182:63 7.33262e-05 -161 *3176:110 *27876:B1 3.32595e-05 -162 *3176:110 *3182:97 0.00166456 -163 *3176:111 *3182:97 2.89613e-05 -164 *3176:202 *27946:A2 0.000246708 -165 *3178:157 *27926:B1 1.94879e-05 -*RES -1 *27630:Y *3182:9 23.8179 -2 *3182:9 *3182:16 43.9018 -3 *3182:16 *3182:27 35.625 -4 *3182:27 *3182:33 33.3304 -5 *3182:33 *27635:A2 10.8625 -6 *3182:33 *3182:55 47.0025 -7 *3182:55 *3182:61 18.25 -8 *3182:61 *3182:63 5.875 -9 *3182:63 *27876:B1 10.6571 -10 *3182:63 *3182:97 43.0804 -11 *3182:97 *27900:B1 27.5143 -12 *3182:97 *27851:B1 9.3 -13 *3182:61 *3182:122 25.8475 -14 *3182:122 *3182:134 49.8043 -15 *3182:134 *27946:A2 35.2737 -16 *3182:122 *27926:B1 19.6078 -17 *3182:9 *30796:A 9.72857 -*END - -*D_NET *3183 0.0947706 -*CONN -*I *27635:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27754:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27902:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27878:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27928:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27853:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27963:A2 I *D sky130_fd_sc_hd__a221o_2 -*I *30795:A I *D sky130_fd_sc_hd__buf_8 -*I *27631:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *27635:B1 0 -2 *27754:B1 0 -3 *27902:B1 4.90533e-05 -4 *27878:B1 0.000215178 -5 *27928:B1 3.79744e-05 -6 *27853:B1 0.00039266 -7 *27963:A2 4.5273e-05 -8 *30795:A 0.000534526 -9 *27631:Y 4.36033e-05 -10 *3183:169 0.00396285 -11 *3183:167 0.00555074 -12 *3183:105 0.000786799 -13 *3183:103 0.00143188 -14 *3183:98 0.00199277 -15 *3183:86 0.00197174 -16 *3183:77 0.00256068 -17 *3183:70 0.00256342 -18 *3183:60 0.00281904 -19 *3183:46 0.00217567 -20 *3183:40 0.0038818 -21 *3183:29 0.00326702 -22 *3183:7 0.00175391 -23 *27853:B1 *27853:B2 0.000125301 -24 *27853:B1 *3482:38 9.1636e-05 -25 *27853:B1 *3672:45 5.30637e-06 -26 *27853:B1 *4372:19 6.05161e-06 -27 *27853:B1 *5738:172 0.000135997 -28 *27878:B1 *4088:79 0.000325656 -29 *27902:B1 *27902:A1 6.26574e-05 -30 *27902:B1 *5442:27 1.46576e-05 -31 *27928:B1 *3844:25 6.05161e-06 -32 *27928:B1 *5711:67 4.66629e-05 -33 *27963:A2 *3216:55 3.58774e-05 -34 *30795:A *3206:5 3.57366e-05 -35 *30795:A *3206:13 0.000903679 -36 *30795:A *3206:179 2.95726e-05 -37 *30795:A *3214:173 0.000100469 -38 *3183:7 *3214:149 5.33005e-05 -39 *3183:29 *3185:6 2.06178e-05 -40 *3183:29 *3185:15 9.90115e-06 -41 *3183:29 *5606:44 0.000276327 -42 *3183:29 *5718:261 0.000893302 -43 *3183:40 *27720:A1 4.38942e-05 -44 *3183:40 *27721:A1 0.000510402 -45 *3183:40 *27746:A0 2.04825e-05 -46 *3183:40 *27769:A0 4.11754e-05 -47 *3183:40 *27820:B2 9.69712e-05 -48 *3183:40 *28803:CLK 0.000181543 -49 *3183:40 *28803:RESET_B 0.00024223 -50 *3183:40 *29505:A 5.33334e-05 -51 *3183:40 *5277:8 6.56734e-05 -52 *3183:40 *5667:68 0.00029899 -53 *3183:40 *5718:261 3.8634e-05 -54 *3183:40 *5718:270 0.000143491 -55 *3183:40 *5718:284 0.000442501 -56 *3183:40 *5718:310 0 -57 *3183:46 *29757:A 9.58181e-05 -58 *3183:46 *5600:14 4.36581e-05 -59 *3183:60 *27635:B2 2.84269e-05 -60 *3183:60 *27635:C1 0.00038021 -61 *3183:60 *5600:14 0.000135743 -62 *3183:70 *25184:A1 0.000416128 -63 *3183:70 *3194:106 2.54304e-06 -64 *3183:70 *3194:108 1.03105e-05 -65 *3183:70 *3305:33 0.000120342 -66 *3183:70 *3988:44 0.000583602 -67 *3183:70 *5892:145 0.000260574 -68 *3183:77 *26836:C 0.000535067 -69 *3183:77 *3777:10 1.94945e-05 -70 *3183:77 *5618:6 5.16556e-05 -71 *3183:77 *5620:18 6.09762e-05 -72 *3183:77 *5620:20 0.000361133 -73 *3183:77 *5620:30 0.000811497 -74 *3183:77 *5626:151 1.45812e-05 -75 *3183:86 *25241:B2 4.38621e-05 -76 *3183:86 *3196:52 0.000117457 -77 *3183:86 *3216:53 0.000345604 -78 *3183:86 *3216:55 0.000241991 -79 *3183:86 *3782:26 0.000120506 -80 *3183:86 *3843:17 0.000182881 -81 *3183:86 *5620:30 0.000265973 -82 *3183:98 *25262:B2 0.000166449 -83 *3183:98 *27902:A1 5.52302e-05 -84 *3183:98 *27902:B2 4.13496e-05 -85 *3183:98 *3444:13 0.000221634 -86 *3183:98 *5442:27 7.60142e-06 -87 *3183:103 *27892:A2 0.000118986 -88 *3183:103 *27892:B1 5.10774e-05 -89 *3183:103 *27902:B2 1.19096e-05 -90 *3183:103 *3212:44 1.9036e-05 -91 *3183:103 *3482:38 0.000113897 -92 *3183:103 *3661:36 2.19497e-05 -93 *3183:103 *3884:112 0.000177821 -94 *3183:103 *4139:53 6.30931e-05 -95 *3183:103 *5442:27 7.78019e-05 -96 *3183:105 *27927:A1 2.06178e-05 -97 *3183:105 *3482:38 0.000120415 -98 *3183:167 *27932:A2 0.00025641 -99 *3183:167 *3314:26 0.000231643 -100 *3183:167 *3662:79 0.000180066 -101 *3183:167 *5655:150 8.17038e-05 -102 *3183:167 *5687:73 0.000178425 -103 *3183:169 *24901:A 1.53472e-05 -104 *3183:169 *25111:A1 0.000123605 -105 *3183:169 *25157:B2 0.000844109 -106 *3183:169 *28731:D 9.25796e-05 -107 *3183:169 *3473:19 2.11419e-05 -108 *3183:169 *3542:42 0.00274273 -109 *3183:169 *3849:50 0.00615264 -110 *3183:169 *4005:8 1.90936e-05 -111 *3183:169 *4005:12 1.2071e-05 -112 *3183:169 *4070:50 4.24995e-05 -113 *3183:169 *5605:99 0.000435584 -114 *3183:169 *5643:237 0.000585529 -115 *3183:169 *5816:10 0.000148182 -116 *3183:169 *5816:12 1.41885e-05 -117 *3183:169 *5816:24 0.000112611 -118 *24904:A *3183:169 9.84714e-05 -119 *25121:A2 *3183:105 1.94879e-05 -120 *25161:B1 *3183:86 0.000348976 -121 *25165:A *3183:169 0.000376851 -122 *25258:B1 *3183:60 0.000177129 -123 *25258:B1 *3183:70 4.85033e-05 -124 *25282:A *3183:77 1.90431e-05 -125 *25282:D *3183:77 0.000463445 -126 *25294:A2 *3183:103 0.000262717 -127 *25294:A2 *3183:105 4.80288e-05 -128 *25351:B *3183:77 0.000293822 -129 *25357:B1 *3183:169 0.000594486 -130 *25398:A2 *27853:B1 0.000139485 -131 *25398:A2 *3183:105 1.61703e-05 -132 *27600:B *3183:29 0.000185939 -133 *27622:A *3183:29 0.000156956 -134 *27635:A2 *3183:46 0.00015546 -135 *27654:B *30795:A 0.000425115 -136 *27654:C *30795:A 0.000135028 -137 *27853:A2 *27853:B1 7.5835e-05 -138 *27878:A2 *27878:B1 3.28686e-05 -139 *27902:A2 *3183:98 1.93757e-05 -140 *27905:A2 *3183:77 5.87006e-05 -141 *27905:A2 *3183:86 0.000248258 -142 *27927:A2 *3183:103 0.000270758 -143 *27927:A2 *3183:105 0.000230953 -144 *27928:A2 *27853:B1 2.06178e-05 -145 *28803:D *3183:40 8.25843e-06 -146 *28804:D *3183:40 4.89148e-05 -147 *473:29 *27853:B1 9.60875e-05 -148 *1140:10 *27853:B1 0.000185899 -149 *1140:10 *27928:B1 5.33005e-05 -150 *1140:10 *3183:105 2.09413e-05 -151 *1224:26 *3183:167 0.000231643 -152 *1224:36 *3183:70 0.000135028 -153 *1224:92 *3183:169 0.00010039 -154 *1242:230 *3183:40 2.86824e-05 -155 *1273:68 *3183:86 1.94945e-05 -156 *1273:96 *3183:46 0.00100833 -157 *1273:122 *3183:46 0.0026467 -158 *1273:130 *3183:40 0.000186435 -159 *1276:76 *3183:169 0.00034256 -160 *1281:40 *3183:98 0.000232634 -161 *1288:20 *3183:169 0.00014854 -162 *1323:17 *27878:B1 0.000677656 -163 *1326:97 *3183:167 0.000320835 -164 *1326:97 *3183:169 0.00409905 -165 *1326:116 *3183:169 1.71361e-05 -166 *1326:122 *3183:169 0.00242796 -167 *1440:19 *3183:60 0 -168 *1440:19 *3183:70 0.000216755 -169 *1441:41 *3183:105 6.31535e-05 -170 *1441:48 *3183:105 0.000120505 -171 *1442:13 *3183:105 4.39699e-05 -172 *1452:9 *3183:98 9.71197e-05 -173 *1455:93 *3183:167 0.00013415 -174 *1455:93 *3183:169 6.57648e-05 -175 *1471:127 *3183:98 0.000232634 -176 *1478:10 *3183:169 0.000217558 -177 *1481:78 *3183:98 0.000129147 -178 *1482:8 *3183:167 0.000661905 -179 *1482:8 *3183:169 0.000417229 -180 *1485:39 *3183:77 0.000109425 -181 *1485:39 *3183:169 0.000581286 -182 *1491:22 *3183:46 0.000305473 -183 *1491:22 *3183:60 0.000599677 -184 *1535:55 *3183:70 2.032e-05 -185 *1538:37 *3183:169 0.000118824 -186 *1560:16 *3183:86 9.70394e-05 -187 *1594:35 *3183:167 0.000950418 -188 *1601:6 *3183:77 0.00183914 -189 *1705:13 *3183:77 8.41284e-06 -190 *1705:17 *3183:77 0.00150853 -191 *1721:35 *3183:86 6.52093e-05 -192 *1803:34 *3183:29 2.52741e-05 -193 *1803:52 *3183:29 3.54321e-05 -194 *1834:84 *3183:167 0.000130949 -195 *2787:113 *3183:40 4.63244e-05 -196 *2833:19 *30795:A 3.34366e-05 -197 *2833:26 *30795:A 0.000117896 -198 *2833:26 *3183:29 0.000973307 -199 *2840:97 *30795:A 0.000154762 -200 *2840:97 *3183:29 0.00027475 -201 *2856:48 *3183:86 5.23296e-05 -202 *2859:34 *3183:77 0.000117913 -203 *2864:40 *3183:86 0.00047971 -204 *2871:36 *3183:86 0.00021882 -205 *2872:29 *3183:86 0.000124642 -206 *2874:158 *3183:29 0.00104555 -207 *2874:158 *3183:40 0.000315476 -208 *2893:72 *3183:167 0.000883664 -209 *3151:35 *3183:29 6.16333e-05 -210 *3164:56 *3183:77 0.000163992 -211 *3174:12 *3183:29 7.49749e-05 -212 *3174:153 *3183:40 9.54643e-05 -213 *3182:27 *3183:40 7.37323e-05 -214 *3182:27 *3183:46 0.000357909 -215 *3182:33 *3183:46 0.0021263 -*RES -1 *27631:Y *3183:7 14.3357 -2 *3183:7 *30795:A 28.6571 -3 *3183:7 *3183:29 33.0982 -4 *3183:29 *3183:40 44.3571 -5 *3183:40 *3183:46 48.1161 -6 *3183:46 *3183:60 10 -7 *3183:60 *3183:70 29.6786 -8 *3183:70 *3183:77 47.6161 -9 *3183:77 *3183:86 28.2946 -10 *3183:86 *27963:A2 10.0411 -11 *3183:86 *3183:98 24.1741 -12 *3183:98 *3183:103 19.0223 -13 *3183:103 *3183:105 7.71429 -14 *3183:105 *27853:B1 23.4607 -15 *3183:105 *27928:B1 14.7643 -16 *3183:103 *27878:B1 20.4964 -17 *3183:98 *27902:B1 10.483 -18 *3183:60 *3183:167 47.7916 -19 *3183:167 *3183:169 137.188 -20 *3183:169 *27754:B1 13.8 -21 *3183:46 *27635:B1 9.3 -*END - -*D_NET *3184 0.139032 -*CONN -*I *30812:A I *D sky130_fd_sc_hd__buf_8 -*I *27713:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27959:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27914:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *6638:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27849:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27889:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27921:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *6637:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27634:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27647:C I *D sky130_fd_sc_hd__or4_1 -*I *27632:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *30812:A 8.22386e-05 -2 *27713:B1 0.000131609 -3 *27959:B1 0 -4 *27914:A2 5.92826e-05 -5 *6638:DIODE 0 -6 *27849:A2 1.69531e-05 -7 *27889:A2 0.000562618 -8 *27921:A2 0.00086517 -9 *6637:DIODE 0.000347018 -10 *27634:A2 2.75561e-05 -11 *27647:C 0.00108889 -12 *27632:X 0 -13 *3184:207 0.0018726 -14 *3184:202 0.00263708 -15 *3184:186 0.00163552 -16 *3184:178 0.00214513 -17 *3184:169 0.00317617 -18 *3184:146 0.00334448 -19 *3184:137 0.00403678 -20 *3184:130 0.0019675 -21 *3184:124 0.00312385 -22 *3184:118 0.00545121 -23 *3184:96 0.00446724 -24 *3184:95 0.002849 -25 *3184:72 0.000685654 -26 *3184:71 0.00278473 -27 *3184:53 0.00792731 -28 *3184:43 0.00703046 -29 *3184:15 0.00176142 -30 *3184:5 0.00479965 -31 *27634:A2 *27634:B1 9.41642e-05 -32 *27634:A2 *27634:B2 9.58181e-05 -33 *27647:C *27648:B 6.37567e-05 -34 *27647:C *27650:B 6.05161e-06 -35 *27647:C *3904:36 0 -36 *27647:C *3904:38 0 -37 *27647:C *5608:42 0.000333073 -38 *27647:C *5654:21 0.000280682 -39 *27647:C *5654:23 0.000163118 -40 *27647:C *5656:14 0.000304881 -41 *27713:B1 *27713:A1 2.5071e-05 -42 *27713:B1 *27713:B2 1.04232e-05 -43 *27849:A2 *27849:B1 5.33005e-05 -44 *27849:A2 *4074:34 5.33005e-05 -45 *27889:A2 *27888:C1 0.00152181 -46 *27889:A2 *27889:B1 0.000287353 -47 *27889:A2 *3416:11 0.000494179 -48 *27889:A2 *3428:22 0.0015229 -49 *27889:A2 *5710:76 0.000105559 -50 *27914:A2 *27914:A1 5.10796e-05 -51 *27914:A2 *27914:B1 1.05731e-05 -52 *27921:A2 *27924:C1 0.000780871 -53 *27921:A2 *3871:32 0.000497055 -54 *27921:A2 *4065:21 3.16964e-05 -55 *27921:A2 *4065:53 5.56205e-05 -56 *27921:A2 *5077:11 9.25014e-06 -57 *27921:A2 *5209:39 0.00173499 -58 *27921:A2 *5686:100 0.000126439 -59 *30812:A *4146:56 9.60337e-06 -60 *3184:15 *3191:35 0.000176527 -61 *3184:15 *3537:74 0.000100831 -62 *3184:15 *4907:17 0.000832634 -63 *3184:15 *4907:28 0.00159658 -64 *3184:15 *5608:42 0.000333038 -65 *3184:15 *5649:8 0.000804319 -66 *3184:43 *27138:A0 0.000276459 -67 *3184:43 *3196:30 0 -68 *3184:43 *3537:74 0.000197057 -69 *3184:43 *3886:47 0.000752036 -70 *3184:43 *3938:17 5.23109e-05 -71 *3184:43 *4796:30 0.000593579 -72 *3184:43 *4907:28 0 -73 *3184:43 *5196:94 0.000181866 -74 *3184:43 *5535:25 0.000134453 -75 *3184:43 *5667:111 4.17933e-05 -76 *3184:43 *5694:110 0.000321252 -77 *3184:43 *5694:119 0.000143604 -78 *3184:43 *5720:205 0.000175974 -79 *3184:43 *5757:94 8.36925e-06 -80 *3184:43 *6302:41 0 -81 *3184:53 *27334:A1 6.24939e-05 -82 *3184:53 *28787:RESET_B 6.81895e-05 -83 *3184:53 *3782:75 0.000237411 -84 *3184:53 *3886:47 0.000630433 -85 *3184:53 *5386:11 0.000349934 -86 *3184:53 *5591:16 0.000443375 -87 *3184:53 *5694:80 0.000102579 -88 *3184:53 *5700:111 0.00023828 -89 *3184:53 *5720:215 0.000820707 -90 *3184:53 *5720:225 1.7781e-05 -91 *3184:53 *5757:94 0.000568553 -92 *3184:53 *5757:109 0.000630339 -93 *3184:53 *6351:40 0.000118443 -94 *3184:71 *27716:B1 0.000164658 -95 *3184:71 *27932:A2 0.00147498 -96 *3184:71 *3673:23 0.000307484 -97 *3184:71 *3796:68 1.90936e-05 -98 *3184:71 *4015:31 4.47378e-05 -99 *3184:71 *4185:59 0.000268857 -100 *3184:71 *5600:86 0.000309063 -101 *3184:71 *5638:104 0.00015128 -102 *3184:71 *5655:150 2.09331e-05 -103 *3184:71 *5655:163 6.46898e-05 -104 *3184:72 *27634:B1 0.00054662 -105 *3184:72 *27634:B2 0.000947887 -106 *3184:72 *3667:41 4.58194e-05 -107 *3184:95 *27717:A1 0 -108 *3184:95 *3848:61 0.000610725 -109 *3184:95 *5641:38 0.00107369 -110 *3184:95 *5644:158 0 -111 *3184:95 *5651:98 0 -112 *3184:95 *5651:129 0 -113 *3184:95 *5694:80 0.000606516 -114 *3184:118 *27297:B2 0 -115 *3184:118 *3205:210 2.41653e-05 -116 *3184:118 *3413:51 0.000102855 -117 *3184:118 *3664:16 0 -118 *3184:118 *3834:11 0.000584127 -119 *3184:118 *3834:24 0.000172708 -120 *3184:118 *3907:51 0 -121 *3184:118 *3907:63 6.37237e-05 -122 *3184:118 *3963:109 4.88232e-05 -123 *3184:118 *5604:57 9.15856e-05 -124 *3184:124 *5707:29 0.000892266 -125 *3184:130 *27958:B2 9.46083e-05 -126 *3184:130 *27959:A1 5.33005e-05 -127 *3184:130 *27960:A1 6.37964e-05 -128 *3184:130 *27960:A2 0.000554746 -129 *3184:130 *27960:C1 0.000145164 -130 *3184:130 *27965:C 3.30337e-05 -131 *3184:130 *27966:A1 0.000425683 -132 *3184:130 *27966:A2 1.91998e-05 -133 *3184:130 *3506:19 0.000118144 -134 *3184:130 *3752:33 9.58181e-05 -135 *3184:130 *5687:14 1.58163e-05 -136 *3184:130 *5707:29 0.00319946 -137 *3184:130 *5878:35 0.00016968 -138 *3184:137 *27585:A1 8.43535e-06 -139 *3184:137 *27959:A1 5.33005e-05 -140 *3184:137 *27959:B2 4.17433e-05 -141 *3184:137 *3958:16 8.44287e-05 -142 *3184:137 *4066:33 9.58181e-05 -143 *3184:137 *4101:28 8.60828e-05 -144 *3184:146 *25842:A0 8.6229e-06 -145 *3184:146 *3461:26 0 -146 *3184:146 *5694:19 0.000556458 -147 *3184:146 *5706:16 0.00171738 -148 *3184:146 *5706:27 2.30116e-06 -149 *3184:146 *5707:28 0.000344964 -150 *3184:146 *5712:17 0.000347181 -151 *3184:146 *5712:30 0.000472721 -152 *3184:169 *3802:58 3.79901e-06 -153 *3184:169 *3806:62 0.00132071 -154 *3184:169 *5694:19 5.4689e-05 -155 *3184:169 *5707:28 0.000253937 -156 *3184:178 *27533:A1 4.00276e-06 -157 *3184:178 *27914:A1 6.35566e-05 -158 *3184:178 *4064:81 7.40571e-05 -159 *3184:178 *4185:30 3.55836e-05 -160 *3184:178 *4185:41 0.00117431 -161 *3184:178 *5614:100 0.000306971 -162 *3184:178 *5727:281 5.21937e-05 -163 *3184:186 *27914:A1 8.27682e-06 -164 *3184:186 *27914:B1 0.000302438 -165 *3184:186 *3455:17 0.000135028 -166 *3184:202 *25467:C1 0.000263494 -167 *3184:202 *25491:C 0.00162899 -168 *3184:202 *25652:B 0.000155442 -169 *3184:202 *27914:B1 1.33343e-05 -170 *3184:202 *28164:RESET_B 8.74121e-05 -171 *3184:202 *3830:35 4.97144e-05 -172 *3184:202 *3897:7 2.59355e-05 -173 *3184:202 *4064:12 0.000128196 -174 *3184:202 *5706:63 0.000147029 -175 *3184:202 *5744:30 8.69337e-06 -176 *3184:207 *25491:B 2.95874e-05 -177 *3184:207 *25491:C 2.06112e-05 -178 *3184:207 *27888:C1 1.04707e-05 -179 *3184:207 *3428:14 0.000692417 -180 *3184:207 *3428:22 0.000685129 -181 *3184:207 *3749:54 0.000738301 -182 *3184:207 *3830:26 0.000571346 -183 *3184:207 *3831:28 0.000216304 -184 *3184:207 *3831:82 0.000801592 -185 *3184:207 *5902:22 2.11419e-05 -186 *3184:207 *5902:28 0.000399237 -187 *24971:A2 *27647:C 0 -188 *25670:A *3184:207 0.000154762 -189 *26930:S *3184:96 0.000162475 -190 *26930:S *3184:118 0.000524338 -191 *27138:S *3184:43 0.000237863 -192 *27632:B *3184:15 0.000222666 -193 *27647:B *27647:C 0.000110029 -194 *27713:A2 *27713:B1 4.65908e-05 -195 *27713:A2 *30812:A 5.71472e-05 -196 *27958:A2 *3184:130 0.000105294 -197 *27958:B1 *3184:130 0.000298483 -198 *27959:A2 *3184:130 6.11796e-05 -199 *29885:A *27921:A2 0.000690655 -200 *434:13 *3184:186 1.33343e-05 -201 *434:13 *3184:202 6.24874e-05 -202 *1178:105 *3184:95 0 -203 *1214:11 *27921:A2 2.6269e-05 -204 *1225:33 *30812:A 1.07995e-05 -205 *1225:33 *3184:96 2.75859e-05 -206 *1235:17 *3184:124 0.000328142 -207 *1250:43 *3184:71 2.04745e-05 -208 *1252:83 *3184:53 0.000353911 -209 *1256:31 *3184:71 0.000628826 -210 *1262:135 *3184:118 3.77315e-05 -211 *1265:228 *3184:53 3.92854e-05 -212 *1266:24 *3184:124 0.000326427 -213 *1358:25 *3184:146 0.000486627 -214 *1385:16 *27647:C 0.00244075 -215 *1396:40 *27921:A2 0.000115115 -216 *1396:45 *27921:A2 0.000231598 -217 *1421:100 *6637:DIODE 7.55759e-05 -218 *1427:149 *27921:A2 0.000260625 -219 *1438:19 *3184:118 0.00152156 -220 *1449:84 *6637:DIODE 7.55759e-05 -221 *1456:82 *3184:202 0.000110532 -222 *1460:13 *3184:118 0.000877565 -223 *1472:11 *3184:202 5.98644e-05 -224 *1476:103 *3184:207 0.000282152 -225 *1482:13 *3184:124 0.000136951 -226 *1496:11 *3184:72 3.4016e-05 -227 *1631:13 *3184:124 0.000262498 -228 *1877:53 *3184:202 1.03483e-05 -229 *2764:169 *30812:A 3.13687e-05 -230 *2777:71 *3184:53 0.00245928 -231 *2777:71 *3184:71 1.28958e-05 -232 *2777:71 *3184:95 1.41123e-05 -233 *2782:53 *3184:43 0 -234 *2823:26 *3184:43 8.56925e-05 -235 *2840:97 *3184:43 0 -236 *2848:123 *3184:169 0.000713122 -237 *2850:177 *3184:53 0.000548244 -238 *2852:28 *3184:53 0.000322241 -239 *2852:28 *3184:95 0.000722507 -240 *2860:26 *3184:43 0.000357453 -241 *2860:184 *3184:118 0.00114647 -242 *2866:70 *3184:118 0.000175892 -243 *2866:149 *3184:169 0.00114305 -244 *2870:21 *3184:118 8.9337e-05 -245 *2870:43 *3184:71 4.3143e-05 -246 *2871:20 *3184:43 0.00016778 -247 *2872:112 *3184:178 7.5779e-05 -248 *2872:134 *3184:169 0 -249 *2875:196 *3184:71 2.02794e-05 -250 *2877:52 *6637:DIODE 0.00038021 -251 *2877:52 *3184:72 0.000101895 -252 *2886:11 *3184:43 2.57955e-05 -253 *2889:22 *3184:53 0 -254 *2894:210 *30812:A 2.06178e-05 -255 *2894:210 *3184:95 0.000670632 -256 *2920:8 *27713:B1 2.06112e-05 -257 *2958:48 *27713:B1 2.06112e-05 -258 *2958:48 *3184:53 0.000147837 -259 *2978:36 *3184:118 1.37323e-05 -260 *3089:13 *3184:178 0.000712609 -261 *3101:17 *3184:169 0.00175842 -262 *3154:6 *3184:15 1.38247e-05 -263 *3154:13 *3184:15 3.95351e-05 -264 *3154:13 *3184:43 8.54298e-05 -265 *3164:125 *3184:202 0.00125876 -266 *3164:150 *3184:146 9.87983e-06 -267 *3176:49 *3184:71 0.00129219 -268 *3179:42 *27647:C 0.000262504 -*RES -1 *27632:X *3184:5 13.8 -2 *3184:5 *3184:15 34.4286 -3 *3184:15 *27647:C 48.0857 -4 *3184:5 *3184:43 38.6496 -5 *3184:43 *3184:53 49.0846 -6 *3184:53 *3184:71 45.6171 -7 *3184:71 *3184:72 9.57143 -8 *3184:72 *27634:A2 10.2464 -9 *3184:72 *6637:DIODE 23.1571 -10 *3184:53 *3184:95 14.0267 -11 *3184:95 *3184:96 0.443367 -12 *3184:96 *3184:118 45.9828 -13 *3184:118 *3184:124 30.7857 -14 *3184:124 *3184:130 42.3571 -15 *3184:130 *3184:137 11.2761 -16 *3184:137 *3184:146 25.707 -17 *3184:146 *27921:A2 45.8536 -18 *3184:137 *3184:169 33.7737 -19 *3184:169 *3184:178 38.2321 -20 *3184:178 *3184:186 5.51786 -21 *3184:186 *3184:202 26.3937 -22 *3184:202 *3184:207 41.0089 -23 *3184:207 *27889:A2 38.2018 -24 *3184:207 *27849:A2 14.3357 -25 *3184:186 *6638:DIODE 9.3 -26 *3184:178 *27914:A2 10.8491 -27 *3184:130 *27959:B1 9.3 -28 *3184:96 *27713:B1 19.7011 -29 *3184:95 *30812:A 18.5341 -*END - -*D_NET *3185 0.209232 -*CONN -*I *27957:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27930:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27880:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27855:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27903:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27830:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27740:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27779:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27710:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27681:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27756:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27634:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27814:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27633:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27957:A2 0 -2 *27930:A2 2.16975e-05 -3 *27880:A2 0.000198375 -4 *27855:A2 3.40528e-05 -5 *27903:A2 0.000528028 -6 *27830:A2 0.000228192 -7 *27740:A2 8.67481e-05 -8 *27779:A2 0.000158459 -9 *27710:A2 2.10441e-05 -10 *27681:A2 0 -11 *27756:A2 0.00106196 -12 *27634:B1 0.00168234 -13 *27814:B1 0 -14 *27633:X 7.84026e-06 -15 *3185:341 0.00120492 -16 *3185:323 0.00133913 -17 *3185:320 0.00148915 -18 *3185:304 0.00363174 -19 *3185:273 0.000583225 -20 *3185:271 0.00333277 -21 *3185:268 0.00261621 -22 *3185:264 0.0050035 -23 *3185:229 0.00210087 -24 *3185:190 0.00310535 -25 *3185:182 0.00352013 -26 *3185:180 0.00371083 -27 *3185:164 0.00384017 -28 *3185:118 0.00326017 -29 *3185:107 0.0043117 -30 *3185:92 0.00812643 -31 *3185:65 0.00272742 -32 *3185:56 0.00728567 -33 *3185:52 0.00249878 -34 *3185:48 0.00496665 -35 *3185:34 0.00534204 -36 *3185:24 0.00124459 -37 *3185:22 0.00214522 -38 *3185:15 0.0033071 -39 *3185:6 0.00591954 -40 *27634:B1 *27634:A1 6.16721e-05 -41 *27634:B1 *27634:B2 8.43535e-06 -42 *27634:B1 *3834:33 0.000179322 -43 *27634:B1 *4186:20 0.00308738 -44 *27710:A2 *27711:C1 5.7661e-06 -45 *27740:A2 *27740:A1 0.000301438 -46 *27740:A2 *3731:45 0.000301438 -47 *27756:A2 *27413:B2 0.00037982 -48 *27756:A2 *28710:D 0.000176291 -49 *27756:A2 *4025:75 8.23119e-05 -50 *27756:A2 *4133:28 0.000323604 -51 *27756:A2 *4133:32 0.000907419 -52 *27756:A2 *5627:139 1.08359e-05 -53 *27756:A2 *5650:280 3.39755e-05 -54 *27756:A2 *5650:285 0 -55 *27756:A2 *5688:97 0.000128212 -56 *27779:A2 *3326:7 7.13226e-06 -57 *27779:A2 *3757:15 0.000128972 -58 *27779:A2 *3772:14 8.48827e-06 -59 *27779:A2 *4137:16 2.06827e-05 -60 *27779:A2 *5795:206 5.85851e-05 -61 *27830:A2 *27830:A1 0.000122591 -62 *27830:A2 *27830:B1 6.52224e-05 -63 *27855:A2 *27855:B1 1.64433e-05 -64 *27855:A2 *3762:74 2.13687e-05 -65 *27880:A2 *3814:69 0.000343521 -66 *27903:A2 *27903:B1 0.000421362 -67 *27903:A2 *3192:60 0.000483393 -68 *27903:A2 *3214:51 3.05874e-05 -69 *27903:A2 *3214:53 0.000533458 -70 *27903:A2 *3910:51 0.000138993 -71 *27903:A2 *5651:47 0.000114596 -72 *27930:A2 *3961:45 1.21145e-05 -73 *3185:15 *30806:A 3.14048e-05 -74 *3185:15 *30811:A 0.000149797 -75 *3185:15 *5641:13 0.000422728 -76 *3185:15 *5644:11 8.9338e-05 -77 *3185:22 *3851:56 3.06406e-05 -78 *3185:22 *5535:46 0.00069871 -79 *3185:22 *5644:11 0.00161712 -80 *3185:22 *5649:29 3.15832e-05 -81 *3185:22 *5649:48 0.000559706 -82 *3185:22 *5650:8 0.00176657 -83 *3185:24 *27815:B2 8.80737e-05 -84 *3185:24 *3206:180 2.04866e-05 -85 *3185:24 *3360:11 0.00167413 -86 *3185:24 *5535:46 3.95413e-05 -87 *3185:24 *5644:37 0.00201834 -88 *3185:24 *5649:55 0.00136579 -89 *3185:24 *5649:82 0.000250865 -90 *3185:24 *5650:8 0.000683201 -91 *3185:34 *3360:11 4.28249e-05 -92 *3185:34 *5644:37 3.47529e-05 -93 *3185:48 *25026:A1 1.05524e-05 -94 *3185:48 *25118:B2 0.000145239 -95 *3185:48 *26893:A1 1.90303e-05 -96 *3185:48 *28623:D 9.90367e-05 -97 *3185:48 *29155:A 7.02611e-05 -98 *3185:48 *3537:73 0.000121433 -99 *3185:48 *3670:47 5.00194e-05 -100 *3185:48 *3968:20 0.000112262 -101 *3185:48 *5631:26 1.05524e-05 -102 *3185:48 *5658:31 4.52693e-05 -103 *3185:48 *5667:348 7.91107e-05 -104 *3185:52 *27711:B1 5.83476e-06 -105 *3185:52 *27711:B2 0.000184353 -106 *3185:52 *27711:C1 5.035e-05 -107 *3185:52 *27718:B 9.9471e-06 -108 *3185:52 *5583:126 4.00679e-05 -109 *3185:52 *5609:137 0.000140512 -110 *3185:52 *5635:90 0.000134713 -111 *3185:52 *5644:172 0.000137561 -112 *3185:56 *27710:B1 2.14658e-05 -113 *3185:56 *27710:B2 5.33005e-05 -114 *3185:56 *3206:110 3.97677e-05 -115 *3185:65 *25273:A1 0.00037129 -116 *3185:65 *28470:RESET_B 0.000131772 -117 *3185:65 *3206:95 0.000567049 -118 *3185:65 *3847:64 0.000130975 -119 *3185:65 *3872:72 9.46746e-05 -120 *3185:65 *3872:79 6.5872e-05 -121 *3185:65 *3977:24 0.00130002 -122 *3185:65 *5596:15 3.34295e-05 -123 *3185:65 *5597:20 4.87854e-05 -124 *3185:65 *5754:127 8.70145e-05 -125 *3185:92 *27350:B2 0.000500059 -126 *3185:92 *3299:29 0 -127 *3185:92 *3306:6 0.000297865 -128 *3185:92 *3686:109 1.27131e-05 -129 *3185:92 *3797:91 0.000948604 -130 *3185:92 *3834:24 0.000495975 -131 *3185:92 *3872:79 3.11336e-05 -132 *3185:92 *3977:24 6.81895e-05 -133 *3185:92 *4044:14 0.000123605 -134 *3185:92 *4093:17 0.000112594 -135 *3185:92 *5597:20 0 -136 *3185:92 *5627:69 0.0012495 -137 *3185:92 *5638:110 0.000169736 -138 *3185:92 *5650:250 9.47303e-05 -139 *3185:92 *5661:220 0.00157612 -140 *3185:92 *5713:62 1.90316e-05 -141 *3185:107 *27336:B2 0.000381864 -142 *3185:107 *27345:A1 1.40148e-05 -143 *3185:107 *27682:D 0.000164789 -144 *3185:107 *3306:6 2.04825e-05 -145 *3185:107 *3783:105 5.68042e-05 -146 *3185:107 *3907:32 0.00014833 -147 *3185:107 *4132:38 0.00301347 -148 *3185:107 *5638:110 1.90936e-05 -149 *3185:107 *5661:220 0.000131364 -150 *3185:107 *5748:10 0.0001326 -151 *3185:118 *27681:A1 8.18642e-06 -152 *3185:118 *27681:B2 5.36352e-05 -153 *3185:118 *27681:C1 6.57032e-05 -154 *3185:118 *27757:A1 0.000146474 -155 *3185:118 *27757:B1 0.000125717 -156 *3185:118 *28651:D 0.000188649 -157 *3185:118 *28651:SET_B 0.000257619 -158 *3185:118 *3758:41 2.93959e-05 -159 *3185:118 *4133:32 0.000117528 -160 *3185:118 *5650:280 0.000189597 -161 *3185:164 *27830:B2 3.80044e-05 -162 *3185:164 *27830:C1 4.15161e-05 -163 *3185:164 *3215:179 0.000568649 -164 *3185:164 *3387:6 0.000171395 -165 *3185:164 *3721:44 0.000331929 -166 *3185:164 *3735:71 4.15161e-05 -167 *3185:164 *3850:24 0.000158398 -168 *3185:164 *3916:20 0.00041992 -169 *3185:164 *5531:18 0.000113898 -170 *3185:164 *5535:46 0.000296729 -171 *3185:164 *5649:82 0.000170956 -172 *3185:164 *5650:198 0 -173 *3185:180 *24986:A1 0.000181796 -174 *3185:180 *27474:A 0.000125702 -175 *3185:180 *27830:A1 0.000136676 -176 *3185:180 *3205:270 0.000281872 -177 *3185:180 *3690:61 1.0945e-05 -178 *3185:180 *3916:20 0.00108841 -179 *3185:180 *5645:91 6.06909e-05 -180 *3185:182 *25005:B2 7.83587e-05 -181 *3185:182 *27793:A2 2.11419e-05 -182 *3185:182 *27825:A1 0.000242125 -183 *3185:182 *30105:A 0.000416676 -184 *3185:182 *30875:A 0.00012753 -185 *3185:182 *3205:270 9.73168e-05 -186 *3185:182 *3917:16 0.000821034 -187 *3185:182 *3930:79 0.00182677 -188 *3185:182 *4085:40 0.000358394 -189 *3185:182 *5627:208 0.00334199 -190 *3185:182 *5627:216 0.000401523 -191 *3185:190 *4006:51 4.17137e-05 -192 *3185:229 *26923:A0 1.93754e-05 -193 *3185:229 *27015:A0 4.32309e-05 -194 *3185:229 *27779:C1 0.000769859 -195 *3185:229 *3582:121 2.16719e-05 -196 *3185:229 *3607:22 0.000194472 -197 *3185:229 *3607:26 0.000404912 -198 *3185:229 *3607:76 8.78763e-05 -199 *3185:229 *3757:75 0.000128802 -200 *3185:229 *3939:102 0.000271939 -201 *3185:229 *5639:199 4.8817e-05 -202 *3185:229 *5668:50 1.71615e-05 -203 *3185:229 *5682:84 7.57358e-05 -204 *3185:229 *5682:86 0.00011197 -205 *3185:229 *5683:106 1.10978e-05 -206 *3185:229 *5695:110 2.56981e-05 -207 *3185:229 *5829:149 0.000143542 -208 *3185:229 *5926:68 0.000300506 -209 *3185:264 *25269:A1 9.58909e-05 -210 *3185:264 *3560:18 2.90824e-05 -211 *3185:264 *3642:18 4.34472e-05 -212 *3185:264 *3642:23 0.000134771 -213 *3185:264 *3782:66 8.75887e-07 -214 *3185:264 *4051:43 0.000136596 -215 *3185:264 *5486:20 0 -216 *3185:264 *5591:36 2.06112e-05 -217 *3185:264 *5657:13 0 -218 *3185:264 *5667:108 1.60335e-05 -219 *3185:264 *5667:312 0.000885727 -220 *3185:264 *5687:129 1.90936e-05 -221 *3185:264 *5713:109 1.39702e-05 -222 *3185:268 *27858:A1 2.06112e-05 -223 *3185:268 *3192:33 0.00456181 -224 *3185:268 *3568:178 0.000911734 -225 *3185:271 *3192:60 0.000433757 -226 *3185:271 *3205:72 0.000600103 -227 *3185:271 *3214:51 0.00100606 -228 *3185:271 *5619:17 1.1028e-05 -229 *3185:271 *5657:49 3.30035e-05 -230 *3185:273 *3192:60 2.01997e-05 -231 *3185:273 *3214:51 2.23131e-05 -232 *3185:304 *27880:B2 4.86178e-05 -233 *3185:304 *27881:B 0.000160692 -234 *3185:304 *3214:78 0 -235 *3185:304 *3215:104 4.37712e-06 -236 *3185:304 *3437:14 0.000125227 -237 *3185:304 *3503:27 0.000371369 -238 *3185:304 *3556:20 0 -239 *3185:304 *4012:39 0 -240 *3185:304 *5196:53 4.11725e-05 -241 *3185:304 *5457:21 2.46739e-05 -242 *3185:304 *5629:89 0.00208597 -243 *3185:304 *5646:63 0.00135715 -244 *3185:304 *5661:64 0.00054657 -245 *3185:320 *30226:A 0.000114999 -246 *3185:320 *3480:35 1.55559e-05 -247 *3185:320 *3818:43 0.000331429 -248 *3185:320 *4035:59 0.00131582 -249 *3185:320 *4035:60 0.000165911 -250 *3185:320 *4126:85 0.000421074 -251 *3185:320 *5196:53 0.000743514 -252 *3185:320 *5629:89 0.000178655 -253 *3185:320 *5646:102 1.28364e-05 -254 *3185:320 *5653:61 0.000347289 -255 *3185:320 *5685:35 7.33024e-05 -256 *3185:323 *3802:58 0.000364245 -257 *3185:341 *27957:A1 6.05161e-06 -258 *3185:341 *27957:B1 1.05731e-05 -259 *3185:341 *27965:C 0.00015304 -260 *3185:341 *3766:71 0.000128373 -261 *3185:341 *3780:53 3.34416e-05 -262 *3185:341 *3780:64 4.01e-05 -263 *3185:341 *3802:58 4.97876e-05 -264 *3185:341 *3802:71 4.62811e-05 -265 *3185:341 *4023:18 0.00191618 -266 *3185:341 *5614:88 0.00153936 -267 *3185:341 *5651:158 5.33005e-05 -268 *3185:341 *5700:29 1.21258e-05 -269 *25069:B *3185:182 0.000631373 -270 *25069:D *3185:182 0.000438494 -271 *25148:B *27756:A2 0.000176675 -272 *25148:C *27756:A2 6.18401e-05 -273 *25148:D *27756:A2 2.34079e-05 -274 *25203:B1 *27756:A2 0.00014008 -275 *25217:D *27634:B1 0.000543764 -276 *25293:A *3185:92 4.97121e-06 -277 *25305:C1 *27880:A2 3.54964e-05 -278 *25326:A2 *27855:A2 4.04359e-05 -279 *25337:A2 *3185:92 0.000665946 -280 *27136:S *3185:264 1.81982e-06 -281 *27348:B1 *3185:92 0 -282 *27413:B1 *3185:118 0.000902742 -283 *27449:B *3185:22 7.83659e-05 -284 *27468:B1 *3185:180 6.57648e-05 -285 *27600:B *3185:15 5.41794e-05 -286 *27633:A *3185:264 0.000251762 -287 *27634:A2 *27634:B1 9.41642e-05 -288 *27898:A2 *3185:320 0.00012501 -289 *27945:A2 *3185:320 0.00102141 -290 *29156:A *3185:48 0.000153884 -291 *29437:A *3185:264 0.000481397 -292 *30176:A *27756:A2 8.69375e-05 -293 *1178:174 *3185:107 3.77315e-05 -294 *1225:100 *3185:118 0.000819674 -295 *1242:134 *3185:182 0.000594493 -296 *1268:74 *3185:164 8.00991e-05 -297 *1273:144 *3185:24 0.000967286 -298 *1273:161 *3185:180 0.000619836 -299 *1273:161 *3185:182 0.000543064 -300 *1273:167 *27779:A2 4.86542e-06 -301 *1273:167 *3185:182 0.00162732 -302 *1273:181 *27779:A2 4.32309e-05 -303 *1275:105 *3185:180 9.46929e-05 -304 *1276:102 *3185:118 0.000884307 -305 *1281:125 *3185:92 0.000179156 -306 *1361:29 *3185:107 2.16308e-05 -307 *1362:97 *27903:A2 1.72244e-05 -308 *1363:85 *3185:304 0 -309 *1363:126 *3185:48 4.24177e-05 -310 *1368:37 *3185:164 0.00383811 -311 *1378:36 *3185:190 3.94839e-05 -312 *1390:15 *3185:182 0.000557899 -313 *1390:15 *3185:190 0.00197858 -314 *1390:15 *3185:229 0.000408735 -315 *1416:89 *3185:92 0.000151546 -316 *1435:34 *3185:323 0.000358387 -317 *1435:34 *3185:341 0.00066027 -318 *1441:99 *3185:268 0.000137561 -319 *1462:10 *27756:A2 0.000569816 -320 *1467:27 *27756:A2 8.08996e-05 -321 *1470:90 *3185:320 6.51414e-05 -322 *1470:102 *3185:271 0.000155211 -323 *1527:25 *3185:52 1.72844e-05 -324 *1536:27 *27634:B1 0.00194383 -325 *1629:14 *3185:268 1.90936e-05 -326 *1653:47 *27634:B1 0.000177489 -327 *1691:24 *3185:92 5.13169e-05 -328 *1697:32 *3185:229 0.000219465 -329 *1730:19 *3185:320 0.000132238 -330 *1803:34 *3185:6 5.58875e-06 -331 *1803:34 *3185:15 8.81622e-05 -332 *1803:52 *3185:15 0 -333 *1826:226 *3185:229 0.000500418 -334 *1832:41 *3185:320 0.000406316 -335 *1864:49 *3185:304 9.06158e-05 -336 *1864:49 *3185:320 0.000238527 -337 *2760:98 *3185:92 0.0001326 -338 *2768:22 *3185:34 1.70865e-05 -339 *2768:22 *3185:48 0 -340 *2775:187 *3185:92 0.00044305 -341 *2776:231 *3185:229 0.000637589 -342 *2777:59 *3185:264 4.15161e-05 -343 *2777:94 *3185:48 9.41642e-05 -344 *2778:79 *3185:268 9.41642e-05 -345 *2779:161 *3185:65 2.26973e-05 -346 *2779:161 *3185:92 0.000224556 -347 *2787:78 *3185:264 0 -348 *2791:163 *3185:229 0.000338734 -349 *2852:161 *27756:A2 7.41177e-05 -350 *2852:297 *3185:264 0 -351 *2855:175 *3185:92 0.00063114 -352 *2856:25 *3185:264 0 -353 *2856:146 *3185:92 0.000170034 -354 *2866:34 *3185:22 0.000119786 -355 *2866:241 *3185:164 0.00105605 -356 *2871:175 *3185:22 0.000243729 -357 *2871:194 *3185:48 4.17099e-05 -358 *2871:354 *3185:118 0.000107313 -359 *2874:249 *3185:107 0.000165718 -360 *2875:243 *3185:92 0.00020771 -361 *2875:258 *3185:107 4.66249e-05 -362 *2877:52 *27634:B1 0.000710075 -363 *2877:58 *3185:320 1.90936e-05 -364 *2879:88 *3185:92 5.74562e-05 -365 *2886:34 *3185:268 0.00032658 -366 *2886:258 *3185:107 0.00217843 -367 *2888:71 *3185:271 0.000174584 -368 *2889:34 *3185:65 0.0016183 -369 *2889:36 *3185:65 8.22991e-05 -370 *2889:221 *3185:271 0.000289137 -371 *2891:177 *3185:107 2.20394e-05 -372 *2894:280 *3185:180 8.46343e-05 -373 *3040:19 *3185:48 4.65519e-05 -374 *3129:18 *27903:A2 0.000170865 -375 *3149:23 *3185:264 6.91457e-05 -376 *3149:41 *3185:15 0.000232358 -377 *3151:35 *3185:15 0 -378 *3153:284 *3185:182 0.000111243 -379 *3153:300 *3185:190 0.00200273 -380 *3153:300 *3185:229 0.000481363 -381 *3164:69 *27855:A2 3.31965e-05 -382 *3164:69 *3185:268 0.00148174 -383 *3165:254 *27903:A2 6.93417e-05 -384 *3168:25 *3185:264 0.0027839 -385 *3170:232 *3185:182 1.90936e-05 -386 *3174:225 *3185:180 0.0017307 -387 *3174:225 *3185:182 0.000462702 -388 *3174:239 *3185:182 0.000211185 -389 *3176:110 *3185:304 3.09819e-05 -390 *3176:230 *3185:164 0 -391 *3183:29 *3185:6 2.06178e-05 -392 *3183:29 *3185:15 9.90115e-06 -393 *3184:72 *27634:B1 0.00054662 -*RES -1 *27633:X *3185:6 14.0768 -2 *3185:6 *3185:15 35.4911 -3 *3185:15 *3185:22 36.9911 -4 *3185:22 *3185:24 45.2054 -5 *3185:24 *27814:B1 13.8 -6 *3185:24 *3185:34 4.21752 -7 *3185:34 *3185:48 31.5616 -8 *3185:48 *3185:52 25.0893 -9 *3185:52 *3185:56 7.91071 -10 *3185:56 *3185:65 45.0261 -11 *3185:65 *27634:B1 32.3833 -12 *3185:56 *3185:92 49.0346 -13 *3185:92 *3185:107 32.0059 -14 *3185:107 *3185:118 43.7277 -15 *3185:118 *27756:A2 43.6661 -16 *3185:107 *27681:A2 9.3 -17 *3185:52 *27710:A2 9.72857 -18 *3185:34 *3185:164 24.6054 -19 *3185:164 *3185:180 47.7768 -20 *3185:180 *3185:182 90.5893 -21 *3185:182 *3185:190 11.437 -22 *3185:190 *27779:A2 22.1106 -23 *3185:190 *3185:229 48.7398 -24 *3185:229 *27740:A2 12.3 -25 *3185:164 *27830:A2 12.7241 -26 *3185:6 *3185:264 46.419 -27 *3185:264 *3185:268 49.6696 -28 *3185:268 *3185:271 7.31257 -29 *3185:271 *3185:273 0.0830333 -30 *3185:273 *27903:A2 22.324 -31 *3185:273 *27855:A2 18.1207 -32 *3185:271 *3185:304 18.5787 -33 *3185:304 *27880:A2 17.8268 -34 *3185:304 *3185:320 48.6786 -35 *3185:320 *3185:323 9.17857 -36 *3185:323 *27930:A2 14.1304 -37 *3185:323 *3185:341 40.7679 -38 *3185:341 *27957:A2 9.3 -*END - -*D_NET *3186 0.00236609 -*CONN -*I *27635:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27634:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27635:C1 0.000317448 -2 *27634:X 0.000317448 -3 *27635:C1 *5600:14 0.000381858 -4 *1421:100 *27635:C1 0.000485323 -5 *1449:84 *27635:C1 0.000483806 -6 *3183:60 *27635:C1 0.00038021 -*RES -1 *27634:X *27635:C1 37.9214 -*END - -*D_NET *3187 0.00315211 -*CONN -*I *27636:D I *D sky130_fd_sc_hd__or4_1 -*I *27635:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27636:D 0.000782899 -2 *27635:X 0.000782899 -3 *27636:D *27768:A2 0.000222867 -4 *27636:D *3194:106 6.34436e-05 -5 *27636:D *3829:29 6.54117e-05 -6 *27636:D *3844:41 0.000394381 -7 *27636:D *5600:14 7.43578e-06 -8 *27635:A2 *27636:D 2.85957e-05 -9 *27636:C *27636:D 9.77423e-05 -10 *1592:13 *27636:D 0.00049222 -11 *1607:8 *27636:D 1.17921e-05 -12 *3182:33 *27636:D 0.000202425 -*RES -1 *27635:X *27636:D 42.5821 -*END - -*D_NET *3188 0.00203951 -*CONN -*I *27669:B I *D sky130_fd_sc_hd__or3_1 -*I *27636:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27669:B 0.000332743 -2 *27636:X 0.000332743 -3 *27669:B *4062:27 0.000676302 -4 *282:21 *27669:B 1.91414e-05 -5 *1250:43 *27669:B 0.00015724 -6 *1363:98 *27669:B 0.000463728 -7 *3162:40 *27669:B 5.7616e-05 -*RES -1 *27636:X *27669:B 37.7429 -*END - -*D_NET *3189 0.00722255 -*CONN -*I *27651:C I *D sky130_fd_sc_hd__or4_1 -*I *31017:A I *D sky130_fd_sc_hd__buf_12 -*I *27637:X O *D sky130_fd_sc_hd__and3_1 -*CAP -1 *27651:C 0.000213597 -2 *31017:A 0.000347781 -3 *27637:X 0.000639808 -4 *3189:11 0.00120119 -5 *27651:C *5628:5 0.000222515 -6 *27651:C *5628:17 2.28598e-05 -7 *27651:C *5639:13 0.000664783 -8 *31017:A *3206:179 5.71679e-05 -9 *31017:A *5651:13 2.59355e-05 -10 *31017:A *5700:256 2.59355e-05 -11 *3189:11 *5631:145 5.01685e-05 -12 *3189:11 *5639:13 0.000136958 -13 *3189:11 *6385:141 0.000853988 -14 *30813:A *27651:C 0.000178847 -15 *30813:A *3189:11 0.000135028 -16 *1802:51 *3189:11 0.000144348 -17 *1802:82 *3189:11 0.0001599 -18 *2823:63 *31017:A 0.00015936 -19 *2823:63 *3189:11 0.00010277 -20 *2823:72 *31017:A 0.000375813 -21 *2823:86 *31017:A 0.000219197 -22 *2855:279 *31017:A 0.000609206 -23 *3147:57 *3189:11 0.000346149 -24 *3150:93 *3189:11 0.000329244 -*RES -1 *27637:X *3189:11 34.425 -2 *3189:11 *31017:A 29.1036 -3 *3189:11 *27651:C 15.9964 -*END - -*D_NET *3190 0.00227473 -*CONN -*I *27646:C I *D sky130_fd_sc_hd__or4_1 -*I *30811:A I *D sky130_fd_sc_hd__buf_12 -*I *27638:X O *D sky130_fd_sc_hd__and3_1 -*CAP -1 *27646:C 0 -2 *30811:A 0.000396868 -3 *27638:X 0.000181992 -4 *3190:15 0.00057886 -5 *30811:A *3193:37 0.000677643 -6 *30811:A *3193:47 1.21258e-05 -7 *30811:A *5644:11 3.97677e-05 -8 *3190:15 *27650:A 2.92904e-05 -9 *3190:15 *3193:47 1.21258e-05 -10 *3190:15 *3904:38 0 -11 *3190:15 *4086:68 0.000120624 -12 *25023:B1 *3190:15 6.05161e-06 -13 *27646:B *3190:15 1.24368e-05 -14 *2888:167 *3190:15 5.71472e-05 -15 *3185:15 *30811:A 0.000149797 -*RES -1 *27638:X *3190:15 21.675 -2 *3190:15 *30811:A 17.6571 -3 *3190:15 *27646:C 9.3 -*END - -*D_NET *3191 0.0363056 -*CONN -*I *27901:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27927:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27877:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27852:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *30810:A I *D sky130_fd_sc_hd__buf_12 -*I *27639:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27901:B1 0.000344125 -2 *27927:B1 0 -3 *27877:B1 8.20868e-05 -4 *27852:B1 0.000113915 -5 *30810:A 0 -6 *27639:X 0.000232718 -7 *3191:54 0.0010364 -8 *3191:49 0.00113243 -9 *3191:47 0.00255245 -10 *3191:37 0.00360071 -11 *3191:35 0.00428529 -12 *3191:10 0.00283359 -13 *27852:B1 *27852:A1 3.57366e-05 -14 *27852:B1 *3411:32 0.000149921 -15 *27852:B1 *4139:33 1.97695e-05 -16 *27852:B1 *5699:147 0.000170496 -17 *27877:B1 *3789:32 2.59355e-05 -18 *27877:B1 *3893:97 8.43535e-06 -19 *27901:B1 *25272:B2 0.000246989 -20 *27901:B1 *3459:8 3.63211e-05 -21 *27901:B1 *3788:49 7.23576e-05 -22 *3191:10 *6385:141 7.91281e-05 -23 *3191:35 *27093:A0 0.000174 -24 *3191:35 *27278:A_N 5.33005e-05 -25 *3191:35 *27278:B 6.09899e-05 -26 *3191:35 *27293:B 0.000135028 -27 *3191:35 *27300:A 0.000100599 -28 *3191:35 *4907:28 0.000272044 -29 *3191:35 *5608:42 0.00169257 -30 *3191:35 *6302:33 0.000190887 -31 *3191:35 *6305:25 0.00038907 -32 *3191:37 *25270:B2 0.00172048 -33 *3191:37 *25959:A1 0.000175892 -34 *3191:37 *27093:A0 3.69047e-06 -35 *3191:37 *3790:32 0.000409747 -36 *3191:37 *3845:9 0.000135028 -37 *3191:37 *3873:34 0.000158067 -38 *3191:37 *3938:17 0.0024918 -39 *3191:37 *4907:40 0.00189844 -40 *3191:37 *5622:74 8.11174e-05 -41 *3191:37 *5625:52 0.00198027 -42 *3191:37 *5700:159 2.99966e-05 -43 *3191:47 *25957:A0 9.82592e-05 -44 *3191:47 *5063:5 0.000361361 -45 *3191:47 *5622:74 5.96516e-05 -46 *3191:47 *5738:223 9.99811e-05 -47 *3191:49 *27928:C1 0.000168522 -48 *3191:49 *5063:5 0.000248273 -49 *3191:54 *6481:DIODE 0.000181796 -50 *3191:54 *27927:B2 0.000347174 -51 *3191:54 *27928:C1 9.41642e-05 -52 *3191:54 *3411:32 0.000406001 -53 *3191:54 *3789:14 6.47405e-05 -54 *3191:54 *4088:79 0.000174975 -55 *3191:54 *4371:19 5.33005e-05 -56 *3191:54 *5063:5 0.000287578 -57 *3191:54 *5523:41 4.08637e-05 -58 *3191:54 *5699:147 7.4246e-05 -59 *25270:B1 *3191:37 2.70743e-05 -60 *25275:B *3191:37 9.60939e-05 -61 *26853:A *3191:47 0.00102016 -62 *27632:B *3191:35 0.000306813 -63 *27877:A2 *27877:B1 9.41642e-05 -64 *27927:A2 *3191:49 5.49544e-05 -65 *1273:47 *3191:37 2.67489e-05 -66 *1282:77 *3191:10 9.25014e-06 -67 *1656:11 *3191:47 0.00041978 -68 *1656:13 *3191:47 0.000125125 -69 *1725:8 *27901:B1 0.000729521 -70 *1802:22 *3191:35 0.000139907 -71 *1802:38 *3191:35 0.000312209 -72 *2849:15 *3191:35 0.000124117 -73 *2849:30 *3191:35 3.58966e-05 -74 *3019:8 *3191:10 0.000117228 -75 *3147:27 *3191:10 6.57032e-05 -76 *3158:43 *27877:B1 0.000178847 -77 *3163:10 *3191:10 8.08022e-05 -78 *3173:45 *27901:B1 0.000192006 -79 *3184:15 *3191:35 0.000176527 -*RES -1 *27639:X *3191:10 22.8179 -2 *3191:10 *30810:A 9.3 -3 *3191:10 *3191:35 48.5714 -4 *3191:35 *3191:37 53.9286 -5 *3191:37 *3191:47 35.6607 -6 *3191:47 *3191:49 5.46429 -7 *3191:49 *3191:54 21.3036 -8 *3191:54 *27852:B1 17.3179 -9 *3191:54 *27877:B1 15.9964 -10 *3191:49 *27927:B1 9.3 -11 *3191:47 *27901:B1 28.1393 -*END - -*D_NET *3192 0.0973771 -*CONN -*I *30809:A I *D sky130_fd_sc_hd__buf_12 -*I *27934:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27907:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27949:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27884:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27859:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27640:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *30809:A 0 -2 *27934:B1 0.000100791 -3 *27907:B1 0 -4 *27949:B1 0.00028161 -5 *27884:B1 0.00173446 -6 *27859:B1 0.000562647 -7 *27640:X 0.000362485 -8 *3192:134 0.00200093 -9 *3192:126 0.00215034 -10 *3192:119 0.000745012 -11 *3192:118 0.00147242 -12 *3192:107 0.00419822 -13 *3192:86 0.00589601 -14 *3192:67 0.00261056 -15 *3192:60 0.00373088 -16 *3192:33 0.00525021 -17 *3192:31 0.00445146 -18 *3192:20 0.00391506 -19 *3192:9 0.00217077 -20 *27859:B1 *27489:A1 1.90936e-05 -21 *27859:B1 *27499:B2 0.000136951 -22 *27859:B1 *27859:A1 4.61529e-05 -23 *27859:B1 *27859:B2 5.33005e-05 -24 *27859:B1 *3805:59 0.000398787 -25 *27859:B1 *5457:21 0.000108696 -26 *27884:B1 *25642:A0 5.51778e-05 -27 *27884:B1 *27516:A2 0.000508825 -28 *27884:B1 *3830:35 2.71172e-05 -29 *27884:B1 *3830:70 8.75679e-05 -30 *27884:B1 *3831:82 0.000183271 -31 *27884:B1 *4127:41 1.66955e-05 -32 *27884:B1 *4140:66 0.000111087 -33 *27884:B1 *5386:11 0 -34 *27884:B1 *5657:88 4.84427e-05 -35 *27884:B1 *5739:10 0.000227537 -36 *27934:B1 *27565:B2 4.28365e-05 -37 *27934:B1 *27908:B1 4.11218e-05 -38 *27934:B1 *27934:A1 5.33005e-05 -39 *27934:B1 *27934:B2 1.24368e-05 -40 *27934:B1 *27935:C1 1.21258e-05 -41 *27934:B1 *30245:A 0.000111654 -42 *27934:B1 *4143:41 2.63501e-05 -43 *27934:B1 *5693:16 3.91734e-05 -44 *27949:B1 *27949:B2 5.33005e-05 -45 *27949:B1 *3752:19 0.000672293 -46 *27949:B1 *4087:22 0.000670708 -47 *3192:9 *3850:24 0.000238948 -48 *3192:9 *5647:10 0.000218404 -49 *3192:20 *27280:A 0.000219711 -50 *3192:20 *27306:A 0.000291951 -51 *3192:20 *5535:25 0.000280928 -52 *3192:20 *5634:15 0.00165529 -53 *3192:20 *5717:142 0.000232212 -54 *3192:31 *27207:A1 4.16984e-05 -55 *3192:31 *29840:A 9.41642e-05 -56 *3192:31 *3194:62 7.71693e-05 -57 *3192:31 *3209:21 6.88059e-05 -58 *3192:31 *3642:18 0.000302804 -59 *3192:31 *3642:22 0.000244874 -60 *3192:31 *5535:25 0.000301023 -61 *3192:31 *5717:152 9.60875e-05 -62 *3192:31 *6306:111 0.000248138 -63 *3192:31 *6306:116 0.000177815 -64 *3192:33 *3641:7 0.000382744 -65 *3192:33 *3642:22 0.0012489 -66 *3192:33 *5750:196 0.00017754 -67 *3192:33 *5873:153 0.000136951 -68 *3192:60 *27494:A1 8.74121e-05 -69 *3192:60 *27881:C 4.41457e-05 -70 *3192:60 *3214:51 0.000786627 -71 *3192:60 *3503:19 0.00010682 -72 *3192:60 *3905:39 0.00112798 -73 *3192:60 *5657:49 5.05215e-05 -74 *3192:60 *5706:196 8.89913e-05 -75 *3192:67 *27542:A1 0.000971712 -76 *3192:67 *3892:57 0.00057471 -77 *3192:67 *3892:75 6.92539e-05 -78 *3192:67 *5457:21 4.27941e-05 -79 *3192:86 *3713:63 0.000700501 -80 *3192:86 *3882:47 0.00105094 -81 *3192:86 *4130:77 0.000504449 -82 *3192:86 *5657:88 9.0625e-05 -83 *3192:107 *25644:A1 6.87839e-06 -84 *3192:107 *25645:A0 0 -85 *3192:107 *27568:B2 0.000324966 -86 *3192:107 *3197:125 0 -87 *3192:107 *3197:138 0 -88 *3192:107 *3558:17 0 -89 *3192:107 *3688:31 1.26641e-05 -90 *3192:107 *3713:39 0.000404569 -91 *3192:107 *3713:45 6.37883e-06 -92 *3192:107 *3713:63 0.000163744 -93 *3192:107 *3723:25 5.16849e-05 -94 *3192:107 *3727:32 0.000330868 -95 *3192:107 *3883:31 2.66789e-06 -96 *3192:107 *3947:68 0.000315464 -97 *3192:107 *4113:86 2.06178e-05 -98 *3192:107 *5657:88 8.47244e-05 -99 *3192:107 *5657:114 0.00158794 -100 *3192:107 *5661:123 0 -101 *3192:118 *25867:A0 0.000148189 -102 *3192:118 *25869:A1 0.000243635 -103 *3192:118 *27565:B2 0.000119021 -104 *3192:118 *27908:A2 1.55101e-05 -105 *3192:118 *29002:A 0.000264321 -106 *3192:118 *3688:25 2.06705e-05 -107 *3192:118 *3723:80 0.00044134 -108 *3192:118 *3882:47 0.000211203 -109 *3192:118 *4066:33 0.000257619 -110 *3192:118 *5651:189 0.000645734 -111 *3192:118 *5694:19 0.00231166 -112 *3192:118 *5699:10 2.14378e-05 -113 *3192:118 *5743:135 1.18451e-05 -114 *3192:118 *5743:147 2.30116e-06 -115 *3192:118 *5839:26 0 -116 *3192:118 *5844:17 0.000197845 -117 *3192:119 *27565:B2 0.000112502 -118 *3192:119 *3688:25 0.000381999 -119 *3192:119 *4142:34 0.000324944 -120 *3192:119 *5839:26 9.05332e-05 -121 *3192:126 *25198:A1 4.08637e-05 -122 *3192:126 *27565:B2 1.44868e-05 -123 *3192:126 *3700:22 7.02611e-05 -124 *3192:126 *3700:40 4.87953e-05 -125 *3192:126 *3701:25 0.000178847 -126 *3192:126 *4143:72 0.000608048 -127 *3192:126 *5839:26 0.000287559 -128 *3192:126 *5839:53 0.000363656 -129 *3192:134 *27908:A1 7.73146e-05 -130 *3192:134 *3698:19 8.86336e-05 -131 *3192:134 *3701:32 3.06511e-05 -132 *3192:134 *3830:51 6.26998e-05 -133 *3192:134 *4142:56 0.00263856 -134 *27294:B *3192:31 1.98839e-05 -135 *27324:C *3192:31 0.000137983 -136 *27489:A2 *27859:B1 2.21972e-05 -137 *27546:C1 *3192:134 9.90367e-05 -138 *27585:A2 *3192:118 0.000154703 -139 *27637:C *3192:9 0.000248138 -140 *27637:C *3192:20 0.000290174 -141 *27861:A2 *3192:67 0.000181501 -142 *27903:A2 *3192:60 0.000483393 -143 *27922:B1 *3192:107 4.56535e-05 -144 *30263:A *3192:118 0 -145 *30490:A *3192:107 5.01876e-06 -146 *1273:81 *3192:60 0.000109757 -147 *1396:83 *3192:107 0.000796853 -148 *1427:94 *3192:60 0.000108103 -149 *1448:15 *27949:B1 8.25843e-06 -150 *1448:27 *27949:B1 2.51343e-06 -151 *1470:40 *3192:60 0.000440241 -152 *1470:102 *3192:60 0.000216478 -153 *1603:36 *3192:107 9.31015e-05 -154 *1617:17 *3192:60 0.000661086 -155 *1619:25 *27859:B1 0.000387832 -156 *1619:25 *3192:67 4.78117e-05 -157 *1748:20 *3192:107 6.28804e-05 -158 *1826:15 *3192:20 9.41642e-05 -159 *1828:42 *3192:107 0.000515154 -160 *1887:22 *3192:107 0.000314785 -161 *2813:41 *3192:20 0.000666926 -162 *2815:55 *3192:20 0.000164439 -163 *2815:73 *3192:31 0.000159071 -164 *2825:45 *3192:9 0.000162753 -165 *2825:45 *3192:20 4.96499e-05 -166 *2842:38 *3192:31 9.60875e-05 -167 *2843:56 *3192:20 0.000276497 -168 *2843:56 *3192:31 1.27625e-05 -169 *2843:65 *3192:20 8.31321e-06 -170 *2843:65 *3192:31 0.000423225 -171 *2858:51 *3192:20 1.95475e-05 -172 *2858:63 *3192:31 0.000137983 -173 *2860:106 *3192:60 8.84874e-05 -174 *2865:115 *3192:67 0.000263524 -175 *2872:90 *3192:67 0.0001281 -176 *2882:114 *3192:107 0.000225994 -177 *2882:135 *3192:107 0.000738303 -178 *2886:34 *3192:33 5.74499e-06 -179 *2886:41 *3192:60 0.000448829 -180 *2889:11 *3192:31 0.000168187 -181 *2889:217 *3192:33 0.00363532 -182 *2889:221 *3192:60 0.00223158 -183 *2894:87 *3192:107 0.000152346 -184 *3081:8 *3192:60 9.03127e-05 -185 *3147:30 *3192:9 0.000100661 -186 *3147:42 *3192:9 0.000138287 -187 *3147:57 *3192:9 0.000269893 -188 *3147:57 *3192:20 0.00036502 -189 *3156:162 *3192:107 1.31235e-05 -190 *3164:69 *3192:33 0.000264373 -191 *3164:69 *3192:60 0.000136951 -192 *3165:254 *3192:60 0.000388383 -193 *3168:108 *3192:107 0.00184517 -194 *3168:129 *3192:107 0.000861402 -195 *3185:268 *3192:33 0.00456181 -196 *3185:271 *3192:60 0.000433757 -197 *3185:273 *3192:60 2.01997e-05 -*RES -1 *27640:X *3192:9 28.9786 -2 *3192:9 *3192:20 46.0446 -3 *3192:20 *3192:31 40.7054 -4 *3192:31 *3192:33 62.9643 -5 *3192:33 *3192:60 47.3689 -6 *3192:60 *3192:67 25.3432 -7 *3192:67 *27859:B1 20.2242 -8 *3192:67 *3192:86 7.39247 -9 *3192:86 *27884:B1 27.4295 -10 *3192:86 *3192:107 47.6749 -11 *3192:107 *3192:118 49.3785 -12 *3192:118 *3192:119 6.80357 -13 *3192:119 *3192:126 15.9911 -14 *3192:126 *3192:134 44.5179 -15 *3192:134 *27949:B1 23.2643 -16 *3192:126 *27907:B1 9.3 -17 *3192:119 *27934:B1 21.9272 -18 *3192:9 *30809:A 9.3 -*END - -*D_NET *3193 0.0470664 -*CONN -*I *27799:B1 I *D sky130_fd_sc_hd__a221o_2 -*I *27646:D I *D sky130_fd_sc_hd__or4_1 -*I *30808:A I *D sky130_fd_sc_hd__buf_12 -*I *27641:X O *D sky130_fd_sc_hd__and3b_4 -*CAP -1 *27799:B1 0 -2 *27646:D 0 -3 *30808:A 0.000552421 -4 *27641:X 3.66954e-05 -5 *3193:60 0.0036481 -6 *3193:59 0.00458828 -7 *3193:51 0.00222354 -8 *3193:47 0.00145687 -9 *3193:37 0.000705064 -10 *3193:5 0.00112067 -11 *30808:A *30806:A 0.000170646 -12 *30808:A *5606:51 5.96516e-05 -13 *30808:A *5631:20 0.00035463 -14 *30808:A *5646:10 0.000136676 -15 *30808:A *5658:10 1.90936e-05 -16 *30808:A *5714:16 9.86454e-05 -17 *30808:A *5863:195 5.33005e-05 -18 *3193:5 *5863:195 9.41642e-05 -19 *3193:37 *3850:24 0.000190098 -20 *3193:37 *5644:11 0.000180348 -21 *3193:37 *5863:195 0.000342302 -22 *3193:51 *3363:10 8.0089e-05 -23 *3193:51 *3735:58 0.000207854 -24 *3193:51 *3904:38 0.000746057 -25 *3193:51 *4086:68 9.82996e-05 -26 *3193:59 *27816:C 0.000130975 -27 *3193:59 *3340:19 0 -28 *3193:59 *3904:38 0.00014833 -29 *3193:59 *3942:60 0.000486389 -30 *3193:59 *5467:18 4.8817e-05 -31 *3193:59 *5650:198 0.000197015 -32 *3193:60 *25010:B2 0.00241817 -33 *3193:60 *27479:B2 0.000726391 -34 *3193:60 *27808:B1 6.13772e-05 -35 *3193:60 *3370:18 9.83574e-05 -36 *3193:60 *3904:38 0.000288628 -37 *3193:60 *3942:60 0.000138744 -38 *3193:60 *4033:16 3.22325e-05 -39 *3193:60 *4086:64 0.000135704 -40 *3193:60 *4134:96 0.000206687 -41 *3193:60 *4134:107 0.000381556 -42 *3193:60 *5650:214 8.23597e-06 -43 *25023:B1 *3193:47 9.60939e-05 -44 *27443:A2 *3193:51 1.90936e-05 -45 *27444:C1 *3193:51 0.000696498 -46 *27613:C *3193:37 0.000188376 -47 *27633:A *30808:A 0.000259267 -48 *27638:C *3193:37 3.69047e-06 -49 *27641:A_N *3193:5 5.52302e-05 -50 *27641:A_N *3193:37 0.000205344 -51 *27646:A *3193:51 7.6644e-05 -52 *30811:A *3193:37 0.000677643 -53 *30811:A *3193:47 1.21258e-05 -54 *1256:61 *3193:51 9.20361e-05 -55 *1266:182 *3193:60 0.000180671 -56 *1290:186 *3193:59 4.8817e-05 -57 *1291:46 *3193:51 0.000287579 -58 *1308:19 *3193:59 0 -59 *2764:160 *3193:60 0.00177835 -60 *2764:209 *3193:51 0.000257282 -61 *2764:209 *3193:59 0.000115606 -62 *2827:72 *30808:A 0.000819276 -63 *2844:190 *3193:60 0.00177382 -64 *2844:201 *3193:60 0.00020996 -65 *2852:297 *30808:A 3.31565e-05 -66 *2856:294 *3193:59 0.000102847 -67 *2856:294 *3193:60 0.000560636 -68 *2856:314 *3193:60 1.44954e-05 -69 *2856:316 *3193:60 3.93035e-05 -70 *2883:129 *3193:51 0.00017309 -71 *2883:143 *3193:51 0.00185694 -72 *2895:259 *3193:60 0.00427926 -73 *2895:266 *3193:60 0.00544547 -74 *3013:11 *3193:60 0.000385003 -75 *3018:22 *3193:59 0.000103347 -76 *3029:10 *3193:60 0.00165441 -77 *3034:16 *3193:60 0.000210086 -78 *3149:41 *30808:A 1.90936e-05 -79 *3160:97 *30808:A 0.000746527 -80 *3164:20 *3193:51 0.000447062 -81 *3164:214 *3193:51 0.000459012 -82 *3190:15 *3193:47 1.21258e-05 -*RES -1 *27641:X *3193:5 10.2464 -2 *3193:5 *30808:A 43.8213 -3 *3193:5 *3193:37 22.0893 -4 *3193:37 *27646:D 9.3 -5 *3193:37 *3193:47 6.69643 -6 *3193:47 *3193:51 48.0912 -7 *3193:51 *3193:59 12.8103 -8 *3193:59 *3193:60 140.223 -9 *3193:60 *27799:B1 13.8 -*END - -*D_NET *3194 0.0772853 -*CONN -*I *27885:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27860:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *31016:A I *D sky130_fd_sc_hd__buf_8 -*I *27803:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27647:D I *D sky130_fd_sc_hd__or4_1 -*I *27642:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *27885:B1 0.00036898 -2 *27860:B1 0 -3 *31016:A 7.0643e-05 -4 *27803:B1 0.00124105 -5 *27647:D 1.42796e-05 -6 *27642:Y 4.80542e-05 -7 *3194:129 0.000849203 -8 *3194:116 0.002703 -9 *3194:108 0.00452531 -10 *3194:106 0.00599033 -11 *3194:93 0.00426687 -12 *3194:70 0.0023401 -13 *3194:62 0.00211564 -14 *3194:59 0.00206548 -15 *3194:24 0.00194171 -16 *3194:19 0.00281477 -17 *3194:7 0.0030787 -18 *27647:D *5639:25 5.33005e-05 -19 *27803:B1 *27803:B2 0.000396544 -20 *27803:B1 *27817:A 9.04674e-05 -21 *27803:B1 *27817:C 5.33005e-05 -22 *27803:B1 *3363:10 0.000306311 -23 *27803:B1 *3799:36 0.000156771 -24 *27885:B1 *25491:B 5.2609e-05 -25 *27885:B1 *27860:A2 3.17148e-05 -26 *27885:B1 *27885:A1 5.33005e-05 -27 *27885:B1 *27885:B2 8.18642e-06 -28 *27885:B1 *3428:14 0.000101125 -29 *27885:B1 *3594:13 0.000167871 -30 *27885:B1 *3749:46 8.73634e-05 -31 *27885:B1 *5653:171 0.000136156 -32 *27885:B1 *5740:40 0.000301438 -33 *31016:A *28801:CLK 5.49544e-05 -34 *31016:A *3316:17 3.53533e-05 -35 *31016:A *5630:14 5.49489e-05 -36 *3194:7 *5442:63 6.86792e-05 -37 *3194:19 *3216:26 0.000132613 -38 *3194:19 *3850:24 1.90936e-05 -39 *3194:19 *5459:32 4.53834e-05 -40 *3194:19 *5656:14 0.00010137 -41 *3194:19 *5663:5 0.00140523 -42 *3194:19 *5714:13 0.00113648 -43 *3194:24 *27650:B 0.000835383 -44 *3194:24 *3202:9 0.000173097 -45 *3194:24 *3787:29 0.000796142 -46 *3194:24 *3878:43 8.1064e-05 -47 *3194:59 *3216:26 0 -48 *3194:59 *3575:76 0.000409669 -49 *3194:59 *5459:32 9.9662e-05 -50 *3194:59 *5530:44 0.000162076 -51 *3194:59 *5634:15 0.000113083 -52 *3194:62 *3205:16 7.29712e-05 -53 *3194:62 *5535:25 0.00134208 -54 *3194:62 *6306:74 3.74089e-05 -55 *3194:62 *6306:89 3.03405e-05 -56 *3194:62 *6306:102 0.000264533 -57 *3194:70 *27696:A0 0.000125724 -58 *3194:70 *28860:A 5.21937e-05 -59 *3194:70 *3575:33 0.000275873 -60 *3194:70 *4030:48 1.17968e-05 -61 *3194:70 *5598:49 3.69406e-05 -62 *3194:70 *5627:18 5.68977e-06 -63 *3194:70 *5638:30 0.000175595 -64 *3194:93 *27696:A0 0.000180431 -65 *3194:93 *28801:CLK 0.000166503 -66 *3194:93 *28802:D 3.97677e-05 -67 *3194:93 *29528:A 0.000219289 -68 *3194:93 *3205:180 5.33211e-05 -69 *3194:93 *4030:48 1.08359e-05 -70 *3194:93 *5630:14 0.000509301 -71 *3194:93 *5713:84 0.000165491 -72 *3194:106 *24956:A 1.83053e-05 -73 *3194:106 *27768:A2 0.00101256 -74 *3194:106 *5892:145 9.83638e-05 -75 *3194:108 *25307:A1 1.21258e-05 -76 *3194:108 *28871:A 0.000150002 -77 *3194:108 *3699:52 5.21937e-05 -78 *3194:108 *5622:22 0.000442944 -79 *3194:108 *5744:30 2.22618e-05 -80 *3194:116 *27885:A1 0.000217699 -81 *3194:116 *27885:A2 5.33005e-05 -82 *3194:116 *27885:B2 2.42516e-05 -83 *3194:116 *5744:30 0.000113339 -84 *3194:129 *27885:A1 9.60939e-05 -85 *3194:129 *27885:A2 9.41642e-05 -86 *3194:129 *3196:111 0.000327126 -87 *3194:129 *3196:119 9.99173e-05 -88 *3194:129 *3594:13 0.000359069 -89 *3194:129 *3840:27 0.000298483 -90 *3194:129 *4103:24 9.70328e-05 -91 *3194:129 *4113:51 0.00145325 -92 *3194:129 *4126:48 4.37343e-05 -93 *3194:129 *5651:76 0.000665754 -94 *25307:A2 *3194:108 5.33005e-05 -95 *25307:A2 *3194:116 0.000374274 -96 *27309:C *3194:62 1.59782e-05 -97 *27312:C *3194:70 0.000407301 -98 *27636:A *3194:106 6.81947e-05 -99 *27636:C *3194:106 0.000137983 -100 *27636:D *3194:106 6.34436e-05 -101 *27647:A *27803:B1 1.78394e-05 -102 *27647:A *3194:24 2.59004e-05 -103 *27807:A2 *27803:B1 7.38983e-05 -104 *30448:A *3194:116 2.88157e-05 -105 *1185:52 *3194:19 0.000195445 -106 *1185:52 *3194:59 0.00103999 -107 *1224:36 *3194:108 4.25569e-05 -108 *1228:53 *3194:93 0.00105368 -109 *1228:85 *3194:93 0.000900237 -110 *1282:8 *3194:106 4.58194e-05 -111 *1396:83 *3194:116 7.80759e-05 -112 *1407:29 *3194:108 5.46828e-05 -113 *1416:72 *3194:93 0.000167015 -114 *1449:101 *3194:106 6.66174e-05 -115 *1624:85 *3194:108 0.00362288 -116 *1625:5 *3194:108 0.00587657 -117 *1864:59 *27885:B1 0.000301438 -118 *1877:42 *27885:B1 1.53262e-05 -119 *1877:42 *3194:129 2.30116e-06 -120 *2758:64 *31016:A 0.000178425 -121 *2758:64 *3194:70 0.000197991 -122 *2792:114 *27803:B1 0.00150392 -123 *2792:114 *3194:24 0.000155731 -124 *2834:27 *3194:7 0.000135028 -125 *2834:109 *3194:129 9.70328e-05 -126 *2841:17 *3194:70 0.000189338 -127 *2843:13 *3194:59 9.41642e-05 -128 *2843:17 *3194:59 0.000183713 -129 *2843:65 *3194:62 0.00017301 -130 *2843:79 *3194:62 1.53743e-05 -131 *2843:79 *3194:70 0.000423631 -132 *2845:161 *3194:62 0 -133 *2847:19 *3194:59 0.000464814 -134 *2847:37 *3194:62 0.00103229 -135 *2847:37 *3194:70 3.38335e-05 -136 *2848:19 *3194:70 0.000278568 -137 *2848:178 *3194:70 0.00115429 -138 *2852:181 *3194:93 0.00216101 -139 *2864:28 *3194:59 0 -140 *2885:27 *3194:62 2.30116e-06 -141 *2888:170 *27803:B1 0.00132722 -142 *2938:11 *31016:A 1.98839e-05 -143 *2998:32 *3194:19 2.05484e-05 -144 *2998:32 *3194:59 5.08855e-05 -145 *3147:30 *3194:19 1.90936e-05 -146 *3165:297 *27885:B1 8.68082e-05 -147 *3173:17 *3194:59 0.00055114 -148 *3178:139 *3194:70 0.00017754 -149 *3179:42 *27647:D 5.52302e-05 -150 *3183:70 *3194:106 2.54304e-06 -151 *3183:70 *3194:108 1.03105e-05 -152 *3192:31 *3194:62 7.71693e-05 -*RES -1 *27642:Y *3194:7 15.1571 -2 *3194:7 *3194:19 33.5016 -3 *3194:19 *3194:24 21.125 -4 *3194:24 *27647:D 14.3357 -5 *3194:24 *27803:B1 44.3179 -6 *3194:7 *3194:59 27.6189 -7 *3194:59 *3194:62 29.1518 -8 *3194:62 *3194:70 36.6696 -9 *3194:70 *31016:A 15.9786 -10 *3194:70 *3194:93 47.4821 -11 *3194:93 *3194:106 42.3036 -12 *3194:106 *3194:108 74.4643 -13 *3194:108 *3194:116 18.5536 -14 *3194:116 *3194:129 43.4464 -15 *3194:129 *27860:B1 9.3 -16 *3194:116 *27885:B1 36.7821 -*END - -*D_NET *3195 0.0352434 -*CONN -*I *27813:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27649:C I *D sky130_fd_sc_hd__or4_1 -*I *30794:A I *D sky130_fd_sc_hd__buf_8 -*I *27759:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27643:Y O *D sky130_fd_sc_hd__nor2_4 -*CAP -1 *27813:B1 9.1586e-05 -2 *27649:C 0.000454885 -3 *30794:A 0.000355231 -4 *27759:A2 7.9859e-05 -5 *27643:Y 0.000143579 -6 *3195:78 0.00138042 -7 *3195:77 0.00171202 -8 *3195:56 0.00273764 -9 *3195:34 0.000920458 -10 *3195:25 0.00227027 -11 *3195:8 0.00378804 -12 *27649:C *27805:A2 4.27935e-05 -13 *27649:C *4086:68 0.000685203 -14 *27649:C *5631:145 0.00107114 -15 *27649:C *5649:8 0.000547135 -16 *27649:C *5649:29 0.000136353 -17 *27759:A2 *27759:A1 3.86871e-05 -18 *27759:A2 *5700:132 9.41642e-05 -19 *27813:B1 *27813:A1 3.55336e-06 -20 *27813:B1 *27813:B2 2.82026e-05 -21 *30794:A *27767:B 2.73697e-05 -22 *30794:A *3572:20 4.46186e-06 -23 *30794:A *5486:20 0.000282527 -24 *30794:A *5629:132 0.000260152 -25 *3195:8 *3204:18 0.00045307 -26 *3195:8 *5485:133 0.00045307 -27 *3195:25 *29497:A 5.33005e-05 -28 *3195:25 *5459:32 5.51649e-05 -29 *3195:25 *5658:7 0.0011062 -30 *3195:25 *5717:61 6.92966e-06 -31 *3195:34 *3572:20 2.86989e-05 -32 *3195:34 *3782:7 0.000180207 -33 *3195:34 *5486:20 0.000237654 -34 *3195:34 *5717:61 0.000281389 -35 *3195:56 *5658:7 0.00122004 -36 *3195:77 *4086:68 0.000418846 -37 *3195:77 *5633:26 0 -38 *3195:77 *5649:29 0.000428004 -39 *3195:78 *4086:68 1.62539e-05 -40 *27313:B *3195:25 0.000742937 -41 *27604:A *3195:25 0.000135028 -42 *27802:A2 *3195:77 5.39562e-05 -43 *27813:A2 *27813:B1 7.38347e-05 -44 *29834:A *3195:34 0.000242496 -45 *30818:A *3195:25 0.000165159 -46 *1228:73 *30794:A 0 -47 *1302:26 *3195:78 0.00403555 -48 *1322:12 *3195:78 0.00406924 -49 *2855:12 *30794:A 3.97677e-05 -50 *2856:25 *30794:A 6.2694e-05 -51 *2872:13 *3195:25 0.000463444 -52 *2872:21 *3195:25 0.000558944 -53 *2872:21 *3195:34 0.000348177 -54 *2874:32 *27759:A2 0.000176204 -55 *2878:16 *3195:25 9.58126e-05 -56 *2888:14 *30794:A 0.000137561 -57 *2998:32 *3195:25 2.50593e-05 -58 *3155:12 *3195:25 0.000136727 -59 *3156:41 *3195:34 2.37838e-05 -60 *3163:40 *3195:56 0.0001008 -61 *3163:42 *3195:56 0.000345788 -62 *3177:19 *27649:C 0.00111586 -*RES -1 *27643:Y *3195:8 24.1929 -2 *3195:8 *3195:25 45.9107 -3 *3195:25 *3195:34 17.9643 -4 *3195:34 *27759:A2 15.9741 -5 *3195:34 *30794:A 21.8893 -6 *3195:8 *3195:56 27.625 -7 *3195:56 *27649:C 33.5321 -8 *3195:56 *3195:77 19.6478 -9 *3195:77 *3195:78 52.7946 -10 *3195:78 *27813:B1 15.3 -*END - -*D_NET *3196 0.101221 -*CONN -*I *30807:A I *D sky130_fd_sc_hd__buf_12 -*I *27914:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27951:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27921:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27849:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27889:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27644:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *30807:A 8.42699e-05 -2 *27914:B1 0.000228949 -3 *27951:B1 0.00043284 -4 *27921:B1 0.000100114 -5 *27849:B1 0.000127705 -6 *27889:B1 0.000398392 -7 *27644:X 0.000644398 -8 *3196:179 0.00224007 -9 *3196:147 0.00195898 -10 *3196:145 0.00154994 -11 *3196:143 0.00305192 -12 *3196:125 0.00198412 -13 *3196:119 0.00147265 -14 *3196:111 0.00221327 -15 *3196:88 0.0014973 -16 *3196:67 0.00189138 -17 *3196:59 0.00237591 -18 *3196:58 0.00255983 -19 *3196:52 0.00220644 -20 *3196:42 0.00260951 -21 *3196:30 0.00477329 -22 *3196:9 0.0038494 -23 *27849:B1 *27849:B2 3.35147e-05 -24 *27849:B1 *4074:23 2.59355e-05 -25 *27849:B1 *4074:34 9.33677e-05 -26 *27889:B1 *3416:11 5.60518e-05 -27 *27889:B1 *3806:33 0.000221163 -28 *27889:B1 *5680:352 0.000424029 -29 *27889:B1 *5710:76 0.000393949 -30 *27914:B1 *27914:B2 2.84269e-05 -31 *27914:B1 *3455:17 9.41642e-05 -32 *27914:B1 *3831:83 7.83659e-05 -33 *27921:B1 *27921:B2 5.52238e-05 -34 *27921:B1 *4065:21 0.000106228 -35 *27921:B1 *5209:30 6.15714e-05 -36 *27921:B1 *5209:39 4.02868e-05 -37 *27951:B1 *27951:A1 3.57366e-05 -38 *27951:B1 *3711:79 0.000846485 -39 *27951:B1 *3741:68 0.000221634 -40 *27951:B1 *5890:124 4.43256e-05 -41 *30807:A *3537:74 1.88048e-05 -42 *30807:A *5714:16 1.62539e-05 -43 *30807:A *5981:38 4.58194e-05 -44 *3196:9 *3825:37 0.000123288 -45 *3196:9 *5631:145 0 -46 *3196:30 *27599:B 0.000128412 -47 *3196:30 *27759:A1 0.000201668 -48 *3196:30 *3216:26 0.00306081 -49 *3196:30 *3847:25 0.000320166 -50 *3196:30 *5660:8 8.90144e-05 -51 *3196:30 *5981:38 9.22103e-06 -52 *3196:42 *25890:A1 0.000844936 -53 *3196:42 *27179:A0 7.84272e-05 -54 *3196:42 *27179:A1 4.58194e-05 -55 *3196:42 *28668:CLK 0.00018742 -56 *3196:42 *3642:84 4.58194e-05 -57 *3196:42 *3844:41 5.59013e-05 -58 *3196:42 *5707:128 0.00031652 -59 *3196:52 *3216:53 0.00108785 -60 *3196:52 *3843:17 0.00011594 -61 *3196:52 *5691:139 9.58181e-05 -62 *3196:58 *3503:11 0.000344413 -63 *3196:58 *4088:65 4.02415e-05 -64 *3196:59 *27510:B2 0.000558014 -65 *3196:59 *27963:C1 5.52302e-05 -66 *3196:59 *3216:59 1.51571e-05 -67 *3196:59 *3216:63 0.00190384 -68 *3196:59 *3216:71 0.000213737 -69 *3196:59 *5680:348 0.00276498 -70 *3196:67 *27886:B1 9.41642e-05 -71 *3196:67 *3216:71 0.00091614 -72 *3196:67 *5680:352 0.00222362 -73 *3196:88 *3805:59 0.000142339 -74 *3196:88 *3814:36 0.000777478 -75 *3196:88 *3815:38 0.000552693 -76 *3196:88 *5711:10 0.00019367 -77 *3196:88 *5711:39 6.25422e-05 -78 *3196:111 *27489:B1 1.21859e-05 -79 *3196:111 *27489:B2 0.000689932 -80 *3196:111 *27860:A2 0.000101691 -81 *3196:111 *3805:59 0.000153767 -82 *3196:111 *3856:26 0.000136681 -83 *3196:111 *4113:51 7.37559e-05 -84 *3196:111 *5711:10 0.000264186 -85 *3196:119 *27860:A2 0.0012662 -86 *3196:119 *27885:A1 9.41642e-05 -87 *3196:119 *27885:B2 0.000256616 -88 *3196:119 *30536:A 0.000233514 -89 *3196:119 *3455:17 0.000150545 -90 *3196:119 *3594:13 0.000314316 -91 *3196:119 *3594:53 1.87004e-05 -92 *3196:119 *4103:18 0.000406801 -93 *3196:119 *4103:24 6.057e-07 -94 *3196:119 *5651:76 0.000666482 -95 *3196:119 *5653:171 0.000210409 -96 *3196:119 *5727:243 0.00034994 -97 *3196:119 *5739:252 0.000252117 -98 *3196:125 *29106:A 0.000263634 -99 *3196:125 *3831:83 0.000228286 -100 *3196:125 *3896:17 0.000200172 -101 *3196:125 *4877:10 2.12647e-05 -102 *3196:143 *25674:A0 0.000721073 -103 *3196:143 *28163:RESET_B 0.000354438 -104 *3196:143 *28330:D 7.47029e-06 -105 *3196:143 *3616:122 5.52238e-05 -106 *3196:143 *3711:34 0.000375282 -107 *3196:143 *3935:28 1.65169e-05 -108 *3196:143 *4116:17 0.00063576 -109 *3196:143 *4116:36 0.000216755 -110 *3196:143 *5693:82 3.787e-05 -111 *3196:145 *25798:B 4.00349e-05 -112 *3196:145 *28334:CLK 0.000193359 -113 *3196:145 *29454:A 0.000564464 -114 *3196:145 *3711:21 4.97121e-06 -115 *3196:145 *3871:17 0.000572328 -116 *3196:145 *4065:10 0.000189892 -117 *3196:145 *4065:21 0.00257622 -118 *3196:145 *5611:27 0.000418826 -119 *3196:145 *5686:100 0.000261234 -120 *3196:179 *28283:RESET_B 1.40034e-05 -121 *3196:179 *29432:A 0.000291781 -122 *3196:179 *3541:38 4.11218e-05 -123 *3196:179 *3684:21 4.86178e-05 -124 *3196:179 *3700:40 0.000559238 -125 *3196:179 *3711:79 0.0022346 -126 *3196:179 *3740:30 0.00110504 -127 *3196:179 *3871:73 0.000416588 -128 *3196:179 *4118:26 6.05161e-06 -129 *3196:179 *5209:30 6.54117e-05 -130 *3196:179 *5797:11 2.86703e-05 -131 *3196:179 *5890:124 0.000598025 -132 *25198:A2 *27951:B1 0.000930289 -133 *25198:A2 *3196:179 1.51489e-05 -134 *25890:S *3196:42 0.000555059 -135 *25890:S *3196:52 0.000218679 -136 *27138:S *3196:30 0.00017988 -137 *27179:S *3196:42 9.8224e-05 -138 *27489:A2 *3196:111 0.000808724 -139 *27637:B *30807:A 2.04825e-05 -140 *27849:A2 *27849:B1 5.33005e-05 -141 *27871:B1 *3196:119 0.000161955 -142 *27889:A2 *27889:B1 0.000287353 -143 *27914:A2 *27914:B1 1.05731e-05 -144 *29896:A *3196:42 0.00051403 -145 *29907:A *3196:145 2.1044e-05 -146 *30080:A *3196:179 1.39841e-05 -147 *30251:A *3196:179 0.000177815 -148 *31015:A *3196:145 0.000113377 -149 *1245:68 *3196:30 0 -150 *1252:232 *3196:30 0.000396917 -151 *1327:98 *3196:58 0.000144554 -152 *1362:174 *27889:B1 0.000222878 -153 *1396:64 *3196:143 3.06366e-05 -154 *1422:28 *3196:179 4.11218e-05 -155 *1423:13 *27951:B1 5.64985e-05 -156 *1427:143 *3196:143 0.000996688 -157 *1427:143 *3196:145 9.32873e-06 -158 *1427:149 *3196:145 2.55301e-05 -159 *1472:25 *3196:179 0.00116963 -160 *1476:107 *3196:88 0.000744126 -161 *1476:107 *3196:111 0.000257271 -162 *1535:39 *3196:52 9.41642e-05 -163 *1550:34 *3196:30 0.000110564 -164 *1580:12 *3196:42 5.41794e-05 -165 *1708:14 *27849:B1 0.000300406 -166 *1872:31 *27914:B1 6.91157e-05 -167 *1872:31 *3196:125 0.000229933 -168 *2777:58 *3196:30 5.98536e-06 -169 *2787:62 *3196:30 0.000518079 -170 *2792:145 *3196:42 0.000272459 -171 *2834:31 *3196:42 1.47213e-05 -172 *2834:97 *3196:111 1.15289e-05 -173 *2850:50 *3196:58 0.00118337 -174 *2850:54 *3196:58 1.38323e-05 -175 *2856:48 *3196:42 0.000221358 -176 *2856:48 *3196:52 1.02936e-05 -177 *2856:56 *3196:59 1.98839e-05 -178 *2856:57 *3196:59 0.00125903 -179 *2856:68 *3196:59 0.000905165 -180 *2864:40 *3196:52 0.0014378 -181 *2864:40 *3196:58 6.57603e-05 -182 *2864:45 *3196:59 0.00230593 -183 *2892:111 *3196:30 0.000475677 -184 *3151:17 *3196:30 0 -185 *3156:124 *3196:119 4.29471e-05 -186 *3165:297 *3196:111 0.000526967 -187 *3165:297 *3196:119 0.00010972 -188 *3165:314 *3196:143 8.81345e-05 -189 *3165:314 *3196:145 0.000949954 -190 *3165:343 *3196:145 0.000509594 -191 *3165:343 *3196:147 2.62425e-05 -192 *3165:343 *3196:179 2.55278e-05 -193 *3168:83 *3196:111 0.000629412 -194 *3177:19 *30807:A 9.71197e-05 -195 *3177:19 *3196:9 0.000765704 -196 *3177:19 *3196:30 0.000860736 -197 *3183:86 *3196:52 0.000117457 -198 *3184:43 *3196:30 0 -199 *3184:186 *27914:B1 0.000302438 -200 *3184:202 *27914:B1 1.33343e-05 -201 *3194:129 *3196:111 0.000327126 -202 *3194:129 *3196:119 9.99173e-05 -*RES -1 *27644:X *3196:9 27.4607 -2 *3196:9 *3196:30 48.1916 -3 *3196:30 *3196:42 44.4821 -4 *3196:42 *3196:52 35.1429 -5 *3196:52 *3196:58 26.2679 -6 *3196:58 *3196:59 50.4375 -7 *3196:59 *3196:67 27.4911 -8 *3196:67 *27889:B1 29.6393 -9 *3196:67 *3196:88 21.9821 -10 *3196:88 *27849:B1 17.2286 -11 *3196:88 *3196:111 38.3839 -12 *3196:111 *3196:119 45.8661 -13 *3196:119 *3196:125 15.1607 -14 *3196:125 *3196:143 49.2768 -15 *3196:143 *3196:145 50.5714 -16 *3196:145 *3196:147 0.946429 -17 *3196:147 *27921:B1 16.4875 -18 *3196:147 *3196:179 49.3549 -19 *3196:179 *27951:B1 22.175 -20 *3196:125 *27914:B1 19.55 -21 *3196:9 *30807:A 20.4071 -*END - -*D_NET *3197 0.10733 -*CONN -*I *30793:A I *D sky130_fd_sc_hd__buf_12 -*I *27688:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27954:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *6642:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27910:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27937:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27862:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *6639:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27887:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *6640:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6641:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27645:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *30793:A 9.22984e-05 -2 *27688:B1 0 -3 *27954:B1 0 -4 *6642:DIODE 0 -5 *27910:B1 0.000329588 -6 *27937:B1 0.000122779 -7 *27862:B1 8.14945e-05 -8 *6639:DIODE 2.17614e-05 -9 *27887:B1 8.52444e-05 -10 *6640:DIODE 0 -11 *6641:DIODE 0 -12 *27645:Y 0 -13 *3197:175 0.000103256 -14 *3197:164 0.00158684 -15 *3197:152 0.00201853 -16 *3197:138 0.00315835 -17 *3197:125 0.00425501 -18 *3197:115 0.00191059 -19 *3197:105 0.00107904 -20 *3197:99 0.00319082 -21 *3197:89 0.00244367 -22 *3197:85 0.0023297 -23 *3197:74 0.00542673 -24 *3197:70 0.00438808 -25 *3197:57 0.0011919 -26 *3197:56 0.000486318 -27 *3197:52 0.00148511 -28 *3197:46 0.00287124 -29 *3197:45 0.00293838 -30 *3197:31 0.00240349 -31 *3197:19 0.00327127 -32 *3197:4 0.00216856 -33 *6639:DIODE *27862:C1 9.71197e-05 -34 *6639:DIODE *3404:20 9.71197e-05 -35 *27862:B1 *27862:B2 4.17185e-05 -36 *27862:B1 *27862:C1 5.33005e-05 -37 *27862:B1 *3404:20 8.36572e-05 -38 *27862:B1 *3957:43 3.45371e-05 -39 *27887:B1 *27887:B2 4.83108e-05 -40 *27910:B1 *27937:A2 2.06178e-05 -41 *27910:B1 *3413:25 4.79453e-05 -42 *27910:B1 *3711:79 2.35215e-05 -43 *27910:B1 *3947:45 7.20217e-06 -44 *27910:B1 *3947:61 0.000474139 -45 *27910:B1 *3984:73 0.000164579 -46 *27910:B1 *5634:170 2.26824e-05 -47 *27910:B1 *5721:9 5.33005e-05 -48 *27937:B1 *27937:B2 2.84665e-05 -49 *27937:B1 *27937:C1 9.41642e-05 -50 *27937:B1 *27939:C 0.000105471 -51 *3197:19 *27323:A 5.33005e-05 -52 *3197:19 *3642:8 7.95888e-05 -53 *3197:19 *6306:111 0.000109578 -54 *3197:31 *27082:A1 5.52238e-05 -55 *3197:31 *27767:B 0 -56 *3197:31 *29133:A 0.000177815 -57 *3197:31 *3206:84 0 -58 *3197:31 *3872:43 0.000337178 -59 *3197:31 *5657:29 4.33466e-05 -60 *3197:31 *5750:217 0.000263281 -61 *3197:45 *3299:29 0.000231542 -62 *3197:45 *3306:6 0.000668726 -63 *3197:45 *3315:11 0 -64 *3197:45 *3855:21 0.000908303 -65 *3197:45 *5196:78 8.02717e-05 -66 *3197:46 *26879:A0 0.00015736 -67 *3197:46 *27674:B2 2.23987e-05 -68 *3197:46 *28604:CLK 2.29078e-05 -69 *3197:46 *3644:10 0.000818283 -70 *3197:46 *3644:30 0.00011117 -71 *3197:46 *3644:37 8.37095e-05 -72 *3197:46 *3963:119 0.0017164 -73 *3197:46 *3989:68 0.00408148 -74 *3197:52 *27674:B2 0.00122027 -75 *3197:52 *28467:CLK 0.000446729 -76 *3197:52 *3644:37 2.2628e-05 -77 *3197:52 *3963:109 0.000356793 -78 *3197:52 *3992:54 4.17509e-05 -79 *3197:52 *5656:89 0.000952873 -80 *3197:52 *5748:16 0.00117021 -81 *3197:52 *5748:28 7.65928e-05 -82 *3197:56 *27688:B2 0.00109738 -83 *3197:56 *3992:54 0.00115827 -84 *3197:56 *5748:16 2.19767e-05 -85 *3197:57 *27688:A1 5.49489e-05 -86 *3197:57 *27688:B2 2.84269e-05 -87 *3197:57 *27688:C1 0.000139907 -88 *3197:70 *25292:B2 3.81226e-05 -89 *3197:70 *27687:A1 9.41642e-05 -90 *3197:70 *27687:B1 0.000632535 -91 *3197:70 *27687:B2 2.12087e-05 -92 *3197:70 *27688:C1 8.44269e-05 -93 *3197:70 *3829:8 7.83587e-05 -94 *3197:70 *4054:44 7.6644e-05 -95 *3197:70 *4054:47 1.92789e-05 -96 *3197:74 *24944:B 0.000546067 -97 *3197:74 *3216:203 0.000162549 -98 *3197:74 *4088:56 0.000197991 -99 *3197:74 *4199:12 0.000196269 -100 *3197:74 *5643:80 0.000416577 -101 *3197:85 *27953:A2 2.22618e-05 -102 *3197:85 *3738:58 0.000123847 -103 *3197:85 *3776:44 0.000125372 -104 *3197:89 *27954:B2 1.36772e-05 -105 *3197:99 *27946:A1 0.000177545 -106 *3197:99 *3754:16 4.65519e-05 -107 *3197:99 *3819:41 6.80131e-05 -108 *3197:99 *4065:53 0 -109 *3197:99 *4077:34 1.90936e-05 -110 *3197:99 *4092:45 0.000874038 -111 *3197:99 *4105:23 0.00021962 -112 *3197:99 *5653:104 0 -113 *3197:105 *27937:A2 1.09611e-05 -114 *3197:105 *3947:61 0.000969827 -115 *3197:105 *3984:73 0.00034266 -116 *3197:105 *5856:19 9.09604e-05 -117 *3197:115 *25191:A1 0.000166794 -118 *3197:115 *28990:A 6.20091e-06 -119 *3197:115 *3216:142 0 -120 *3197:115 *3216:148 0.000288786 -121 *3197:115 *3714:28 0.00025824 -122 *3197:115 *5651:176 0.000135028 -123 *3197:125 *25644:A1 6.3284e-05 -124 *3197:125 *3452:32 2.52313e-05 -125 *3197:125 *3558:17 7.43301e-05 -126 *3197:125 *3749:105 0.000170027 -127 *3197:125 *3947:68 0 -128 *3197:125 *4126:84 7.00877e-06 -129 *3197:125 *5661:123 0.00313569 -130 *3197:138 *25642:A0 0.000568863 -131 *3197:138 *25645:A0 0.000695909 -132 *3197:138 *3452:32 0.00143237 -133 *3197:138 *3713:45 0.000465792 -134 *3197:138 *3723:25 2.01997e-05 -135 *3197:138 *3793:52 0 -136 *3197:138 *3883:31 0.0017029 -137 *3197:138 *4186:20 4.06382e-05 -138 *3197:138 *5253:44 6.08908e-05 -139 *3197:138 *5493:40 0.000119576 -140 *3197:138 *5661:80 0.000103535 -141 *3197:138 *5661:117 0.00115926 -142 *3197:152 *25642:A0 9.3313e-05 -143 *3197:152 *27495:B2 1.90936e-05 -144 *3197:152 *27861:B1 1.90936e-05 -145 *3197:152 *28135:RESET_B 6.47405e-05 -146 *3197:152 *3736:25 0.000183754 -147 *3197:152 *5386:11 3.97272e-05 -148 *3197:152 *5892:151 0.000286693 -149 *3197:152 *5909:76 0.000391392 -150 *3197:164 *27486:B2 1.58533e-05 -151 *3197:164 *27886:B1 0.000150618 -152 *3197:164 *3216:99 0.00156639 -153 *3197:164 *3713:63 3.67984e-05 -154 *3197:164 *3791:84 0.000480558 -155 *3197:164 *3892:47 9.87983e-06 -156 *3197:164 *3905:39 1.09241e-05 -157 *3197:164 *3918:45 0.000118179 -158 *3197:164 *4130:58 0.00026342 -159 *3197:164 *5892:151 2.11068e-05 -160 *25290:C1 *3197:74 8.55871e-05 -161 *27290:B *3197:19 4.08637e-05 -162 *27290:C *3197:19 4.58194e-05 -163 *27323:C *3197:19 5.51805e-05 -164 *27332:A *3197:52 0.000182823 -165 *27348:B1 *3197:52 0.000134313 -166 *27486:C1 *3197:164 8.71598e-06 -167 *27492:C1 *3197:164 0.000146474 -168 *27499:B1 *3197:164 0.000129246 -169 *27954:A2 *3197:85 0.00111093 -170 *29821:A *3197:31 8.21115e-05 -171 *30104:A *3197:125 0.000137687 -172 *30113:A *3197:85 4.00679e-05 -173 *30255:A *3197:115 5.59013e-05 -174 *30535:A *3197:99 0 -175 *1228:73 *3197:31 0.00120214 -176 *1256:40 *3197:31 1.27529e-05 -177 *1270:22 *3197:74 4.66596e-05 -178 *1292:195 *3197:52 0.000122923 -179 *1294:163 *3197:31 0.000150398 -180 *1395:133 *3197:85 9.60939e-05 -181 *1430:59 *3197:138 0.000215596 -182 *1448:46 *27910:B1 4.53834e-05 -183 *1471:95 *3197:152 0.000255742 -184 *1486:19 *3197:74 0.00151027 -185 *1487:18 *3197:85 0.000233868 -186 *1488:14 *3197:85 8.20109e-05 -187 *1501:40 *3197:52 0.00019384 -188 *1539:22 *3197:45 0.000665486 -189 *1665:20 *3197:85 0.000145239 -190 *1665:28 *3197:99 0.000177921 -191 *1691:10 *3197:45 0.000906785 -192 *1748:20 *3197:138 0.00012681 -193 *1826:15 *30793:A 1.03403e-05 -194 *1887:22 *3197:138 0.000369452 -195 *1887:70 *3197:138 6.09762e-05 -196 *1899:39 *27862:B1 4.13496e-05 -197 *2777:59 *3197:19 7.80096e-05 -198 *2833:26 *30793:A 0.000120504 -199 *2834:68 *3197:164 6.60111e-05 -200 *2840:98 *30793:A 9.8045e-05 -201 *2847:37 *3197:19 0.00030926 -202 *2855:12 *3197:19 0.00157349 -203 *2855:279 *30793:A 5.33005e-05 -204 *2855:279 *3197:19 0.000552531 -205 *2856:124 *3197:46 0.000712702 -206 *2856:137 *3197:46 3.02862e-05 -207 *2859:73 *3197:152 0.000131473 -208 *2859:73 *3197:164 0.000552831 -209 *2865:67 *27887:B1 6.46678e-05 -210 *2865:115 *3197:164 0.000195774 -211 *2865:126 *3197:138 0.000278208 -212 *2871:79 *3197:164 0.000341583 -213 *2875:232 *3197:52 0.000215583 -214 *2883:35 *3197:45 0.000136682 -215 *2888:14 *3197:19 0.00147555 -216 *2892:40 *27887:B1 0.00026353 -217 *2894:63 *3197:152 2.06178e-05 -218 *2894:87 *3197:138 2.32455e-05 -219 *3119:16 *3197:125 0.00233116 -220 *3153:17 *3197:31 0.000536623 -221 *3156:162 *3197:125 2.12605e-05 -222 *3164:84 *3197:164 5.00988e-05 -223 *3170:21 *3197:31 0.000279756 -224 *3192:107 *3197:125 0 -225 *3192:107 *3197:138 0 -*RES -1 *27645:Y *3197:4 9.3 -2 *3197:4 *3197:19 46.3036 -3 *3197:19 *3197:31 42.7143 -4 *3197:31 *3197:45 46.8571 -5 *3197:45 *3197:46 54.9196 -6 *3197:46 *3197:52 37.0179 -7 *3197:52 *3197:56 19.3482 -8 *3197:56 *3197:57 2.58929 -9 *3197:57 *6641:DIODE 9.3 -10 *3197:57 *3197:70 22.5 -11 *3197:70 *3197:74 46.6071 -12 *3197:74 *3197:85 43.6429 -13 *3197:85 *3197:89 3.42857 -14 *3197:89 *3197:99 19.5587 -15 *3197:99 *3197:105 9.04623 -16 *3197:105 *3197:115 15.9464 -17 *3197:115 *3197:125 49.9496 -18 *3197:125 *3197:138 47.0046 -19 *3197:138 *3197:152 19.3424 -20 *3197:152 *3197:164 44.6071 -21 *3197:164 *6640:DIODE 9.3 -22 *3197:164 *27887:B1 11.8893 -23 *3197:152 *3197:175 4.5 -24 *3197:175 *6639:DIODE 10.2464 -25 *3197:175 *27862:B1 11.4964 -26 *3197:105 *27937:B1 16.4071 -27 *3197:99 *27910:B1 23.2535 -28 *3197:89 *6642:DIODE 9.3 -29 *3197:85 *27954:B1 9.3 -30 *3197:56 *27688:B1 9.3 -31 *3197:4 *30793:A 20.4786 -*END - -*D_NET *3198 0.00361997 -*CONN -*I *27650:A I *D sky130_fd_sc_hd__or4_1 -*I *27646:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27650:A 0.000706591 -2 *27646:X 0.000706591 -3 *27650:A *27650:D 0.000137983 -4 *27650:A *3904:38 0.00127266 -5 *27650:A *4086:68 0 -6 *27650:A *5647:10 0.000215932 -7 *27650:A *5649:8 1.3142e-05 -8 *27650:A *5649:29 0.000334912 -9 *27650:A *5863:191 4.19624e-06 -10 *1339:24 *27650:A 0.00019867 -11 *3190:15 *27650:A 2.92904e-05 -*RES -1 *27646:X *27650:A 47.9393 -*END - -*D_NET *3199 0.00275326 -*CONN -*I *27650:B I *D sky130_fd_sc_hd__or4_1 -*I *27647:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27650:B 0.00046922 -2 *27647:X 0.00046922 -3 *27647:C *27650:B 6.05161e-06 -4 *1339:24 *27650:B 9.60939e-05 -5 *2792:114 *27650:B 0.000835383 -6 *3179:42 *27650:B 4.19088e-05 -7 *3194:24 *27650:B 0.000835383 -*RES -1 *27647:X *27650:B 41.0643 -*END - -*D_NET *3200 0.000685404 -*CONN -*I *27650:C I *D sky130_fd_sc_hd__or4_1 -*I *27648:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27650:C 0.000148502 -2 *27648:X 0.000148502 -3 *27650:C *3942:35 0.000125724 -4 *27650:C *5592:25 0.000136951 -5 *1385:16 *27650:C 0.000125724 -*RES -1 *27648:X *27650:C 30.6 -*END - -*D_NET *3201 0.00223389 -*CONN -*I *27650:D I *D sky130_fd_sc_hd__or4_1 -*I *27649:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27650:D 0.000619601 -2 *27649:X 0.000619601 -3 *27650:D *3826:49 0.000295137 -4 *27650:D *5663:19 0.000295137 -5 *27650:A *27650:D 0.000137983 -6 *1339:24 *27650:D 0.000266427 -*RES -1 *27649:X *27650:D 40.1179 -*END - -*D_NET *3202 0.00330115 -*CONN -*I *27653:C I *D sky130_fd_sc_hd__or4_4 -*I *27652:C I *D sky130_fd_sc_hd__nor4_1 -*I *27650:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27653:C 0 -2 *27652:C 0.000102642 -3 *27650:X 0.000517874 -4 *3202:9 0.000620516 -5 *27652:C *5633:26 0.00010219 -6 *27652:C *5645:15 2.78608e-05 -7 *3202:9 *5645:15 0.000817444 -8 *27652:B *27652:C 0.000249019 -9 *27652:B *3202:9 5.09378e-05 -10 *27653:A *27652:C 0.000173646 -11 *27653:A *3202:9 0.000105136 -12 *2792:114 *3202:9 0.000173097 -13 *2832:58 *27652:C 1.17968e-05 -14 *3167:39 *3202:9 0.000175892 -15 *3194:24 *3202:9 0.000173097 -*RES -1 *27650:X *3202:9 29.7955 -2 *3202:9 *27652:C 21.733 -3 *3202:9 *27653:C 9.3 -*END - -*D_NET *3203 0.00338966 -*CONN -*I *27653:D I *D sky130_fd_sc_hd__or4_4 -*I *27652:D I *D sky130_fd_sc_hd__nor4_1 -*I *27651:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27653:D 0 -2 *27652:D 0.000192565 -3 *27651:X 0.000382478 -4 *3203:6 0.000575043 -5 *27652:D *3943:20 6.77572e-05 -6 *27652:D *5873:114 0.00022459 -7 *27652:D *5981:26 1.02504e-05 -8 *3203:6 *3205:10 0.00041674 -9 *3203:6 *5656:28 0.00019236 -10 *3203:6 *5981:26 4.50033e-05 -11 *27612:C *3203:6 0.000530802 -12 *27647:B *3203:6 1.02504e-05 -13 *2792:128 *27652:D 2.06112e-05 -14 *2832:47 *27652:D 5.33072e-05 -15 *2832:58 *27652:D 0.000161554 -16 *2832:72 *27652:D 2.84494e-05 -17 *2832:72 *3203:6 0.000255239 -18 *3177:19 *27652:D 0.00022266 -*RES -1 *27651:X *3203:6 27.2821 -2 *3203:6 *27652:D 19.4429 -3 *3203:6 *27653:D 13.8 -*END - -*D_NET *3204 0.0123289 -*CONN -*I *31012:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *27652:Y O *D sky130_fd_sc_hd__nor4_1 -*CAP -1 *31012:A 0 -2 *27652:Y 0.00137258 -3 *3204:18 0.00158337 -4 *3204:15 0.00295595 -5 *3204:15 *3537:74 0.000122446 -6 *3204:15 *5631:145 2.14658e-05 -7 *3204:15 *5635:15 1.02936e-05 -8 *3204:15 *5714:16 0 -9 *3204:15 *5873:114 0.0001399 -10 *3204:18 *5485:133 0.00116131 -11 *3204:18 *5639:13 0.000671022 -12 *3204:18 *5653:19 0.000437879 -13 *27618:C *3204:18 0.000209777 -14 *27633:C *3204:18 0.000264895 -15 *30799:A *3204:15 0.000181374 -16 *1294:170 *3204:18 7.80122e-05 -17 *1368:23 *3204:18 0.000107162 -18 *1490:61 *3204:18 0.000156484 -19 *1801:20 *3204:18 0.000255041 -20 *1801:38 *3204:18 0.000204151 -21 *2792:129 *3204:15 0.00122303 -22 *3147:57 *3204:18 1.18496e-05 -23 *3153:124 *3204:18 0.000656272 -24 *3154:26 *3204:18 0 -25 *3172:15 *3204:18 5.1588e-05 -26 *3195:8 *3204:18 0.00045307 -*RES -1 *27652:Y *3204:15 41.2464 -2 *3204:15 *3204:18 48.6429 -3 *3204:18 *31012:A 9.3 -*END - -*D_NET *3205 0.148764 -*CONN -*I *27768:B1 I *D sky130_fd_sc_hd__o32a_1 -*I *27719:A2 I *D sky130_fd_sc_hd__o221a_1 -*I *27694:A2 I *D sky130_fd_sc_hd__o211a_1 -*I *27793:A2 I *D sky130_fd_sc_hd__o221a_2 -*I *27744:A2 I *D sky130_fd_sc_hd__o221a_2 -*I *27842:B1 I *D sky130_fd_sc_hd__o32a_1 -*I *27670:B I *D sky130_fd_sc_hd__or2_1 -*I *27867:A2 I *D sky130_fd_sc_hd__o221a_1 -*I *27917:A2 I *D sky130_fd_sc_hd__o221a_1 -*I *27892:A2 I *D sky130_fd_sc_hd__o221a_1 -*I *27966:A2 I *D sky130_fd_sc_hd__o221a_2 -*I *27941:B1 I *D sky130_fd_sc_hd__o32a_1 -*I *27653:X O *D sky130_fd_sc_hd__or4_4 -*CAP -1 *27768:B1 3.40951e-05 -2 *27719:A2 8.19544e-05 -3 *27694:A2 0.000300984 -4 *27793:A2 6.96613e-06 -5 *27744:A2 0.00226226 -6 *27842:B1 1.69531e-05 -7 *27670:B 0.000141021 -8 *27867:A2 0.000157899 -9 *27917:A2 1.69531e-05 -10 *27892:A2 0.000510074 -11 *27966:A2 0.000205234 -12 *27941:B1 0 -13 *27653:X 0.00058911 -14 *3205:273 0.00241867 -15 *3205:270 0.00507822 -16 *3205:235 0.00698852 -17 *3205:230 0.00381171 -18 *3205:211 0.00275618 -19 *3205:210 0.00243781 -20 *3205:195 0.00216067 -21 *3205:189 0.00155229 -22 *3205:180 0.00309466 -23 *3205:169 0.00208279 -24 *3205:158 0.00365751 -25 *3205:114 0.000535682 -26 *3205:113 0.000166554 -27 *3205:98 0.00122862 -28 *3205:83 0.00193874 -29 *3205:72 0.00481152 -30 *3205:55 0.00656379 -31 *3205:43 0.004328 -32 *3205:27 0.00604377 -33 *3205:16 0.00406498 -34 *3205:10 0.00360804 -35 *27694:A2 *27363:B2 0.000220688 -36 *27694:A2 *27694:C1 1.21436e-05 -37 *27694:A2 *3677:48 2.59355e-05 -38 *27694:A2 *3704:31 0.000331831 -39 *27694:A2 *3756:20 3.96942e-05 -40 *27694:A2 *3821:19 0.000390207 -41 *27719:A2 *28468:RESET_B 2.05612e-05 -42 *27719:A2 *3259:25 5.33433e-05 -43 *27719:A2 *5936:29 0.00029388 -44 *27744:A2 *25065:A1 2.09897e-05 -45 *27744:A2 *27744:A1 9.71197e-05 -46 *27744:A2 *27793:C1 0.000262082 -47 *27744:A2 *27799:A2 0.000195611 -48 *27744:A2 *3292:11 3.97677e-05 -49 *27744:A2 *3668:34 7.50906e-05 -50 *27744:A2 *4149:77 0.000155471 -51 *27744:A2 *5635:120 0 -52 *27768:B1 *27768:B2 5.52302e-05 -53 *27768:B1 *3315:11 5.52302e-05 -54 *27768:B1 *4015:26 2.61337e-05 -55 *27842:B1 *27842:A1 5.33005e-05 -56 *27842:B1 *27842:A3 5.33005e-05 -57 *27867:A2 *27867:A1 2.40787e-05 -58 *27867:A2 *27867:B1 1.73088e-05 -59 *27867:A2 *3469:8 0.000123542 -60 *27867:A2 *5717:81 0.000175892 -61 *27892:A2 *27883:B1 0.000135028 -62 *27892:A2 *27892:A1 1.83136e-05 -63 *27892:A2 *27892:B1 6.03211e-05 -64 *27892:A2 *27904:C 0.000564734 -65 *27892:A2 *3469:8 7.64939e-05 -66 *27892:A2 *3482:38 0.000857331 -67 *27892:A2 *4129:38 5.33005e-05 -68 *27917:A2 *27917:A1 5.33005e-05 -69 *27917:A2 *27917:B2 5.33005e-05 -70 *27966:A2 *27966:A1 5.40608e-05 -71 *27966:A2 *3496:13 5.47566e-06 -72 *27966:A2 *3506:19 9.41642e-05 -73 *3205:10 *3943:20 0.00112429 -74 *3205:10 *5656:28 0.000189183 -75 *3205:10 *5981:26 0.00023929 -76 *3205:16 *5628:5 0.00171637 -77 *3205:16 *5628:17 9.71197e-05 -78 *3205:16 *5639:13 2.28598e-05 -79 *3205:16 *5639:25 8.85532e-05 -80 *3205:16 *5717:142 0.000266479 -81 *3205:27 *27291:A 1.21258e-05 -82 *3205:27 *5629:20 0.000134464 -83 *3205:27 *5707:113 8.90826e-05 -84 *3205:43 *25889:A0 2.33614e-05 -85 *3205:43 *25889:A1 0.000134232 -86 *3205:43 *29436:A 0.000111921 -87 *3205:43 *3206:27 0.000739996 -88 *3205:43 *3214:36 0.00152619 -89 *3205:43 *3425:20 0.000594198 -90 *3205:43 *3560:18 0.000364456 -91 *3205:43 *5517:20 9.22222e-06 -92 *3205:43 *5583:71 0.000366215 -93 *3205:43 *5629:20 0.000387859 -94 *3205:55 *25889:A1 0.000229182 -95 *3205:55 *27858:B2 0.000121337 -96 *3205:55 *3557:30 0.000578065 -97 *3205:55 *3790:56 0.00160195 -98 *3205:55 *3843:51 1.42335e-05 -99 *3205:55 *5591:53 0.000135051 -100 *3205:55 *5665:14 6.81895e-05 -101 *3205:55 *5711:193 0.00029967 -102 *3205:55 *5717:74 0.000203985 -103 *3205:72 *27858:B2 1.09611e-05 -104 *3205:72 *27880:B2 0.000368123 -105 *3205:72 *27881:C 0.0015828 -106 *3205:72 *3503:19 0.00104305 -107 *3205:72 *4012:39 0.000414164 -108 *3205:72 *4153:62 0.000205935 -109 *3205:72 *5500:57 0.00010283 -110 *3205:72 *5581:64 0.000111243 -111 *3205:72 *5666:216 0 -112 *3205:72 *5691:93 0.000632622 -113 *3205:83 *27570:A1 0.000218958 -114 *3205:83 *27941:A1 3.34295e-05 -115 *3205:83 *27941:A2 5.76973e-05 -116 *3205:83 *27941:B2 2.59355e-05 -117 *3205:83 *3441:14 9.93445e-05 -118 *3205:83 *3482:11 9.20785e-05 -119 *3205:83 *3663:65 0.000105686 -120 *3205:83 *3663:73 0.00135676 -121 *3205:83 *4186:20 0.000213669 -122 *3205:83 *5653:61 2.83129e-05 -123 *3205:83 *5729:276 0.000313788 -124 *3205:98 *3473:19 0.000123847 -125 *3205:98 *3482:11 6.05161e-06 -126 *3205:98 *3857:74 0.000119121 -127 *3205:98 *3961:64 0.000598724 -128 *3205:98 *5210:25 8.20015e-05 -129 *3205:114 *3469:8 1.11209e-05 -130 *3205:158 *28466:SET_B 4.47913e-05 -131 *3205:158 *29035:A 9.65341e-06 -132 *3205:158 *3388:17 0 -133 *3205:158 *3569:26 0 -134 *3205:158 *3794:85 4.09261e-05 -135 *3205:158 *5448:30 3.72009e-05 -136 *3205:169 *4015:26 3.34687e-05 -137 *3205:169 *5448:30 7.444e-06 -138 *3205:180 *3206:95 0.000112072 -139 *3205:180 *3315:11 0.000306921 -140 *3205:180 *3316:17 1.11474e-05 -141 *3205:180 *3847:41 0.00014559 -142 *3205:180 *5630:14 5.52238e-05 -143 *3205:180 *5653:28 0.000837733 -144 *3205:189 *27716:A1 0.000189617 -145 *3205:189 *5598:56 0.00122604 -146 *3205:189 *5630:14 0.00102488 -147 *3205:189 *5643:40 0.000199315 -148 *3205:189 *6225:116 3.1974e-05 -149 *3205:189 *6351:32 9.15842e-06 -150 *3205:195 *5643:40 0.000306415 -151 *3205:210 *27710:B2 2.01997e-05 -152 *3205:210 *28468:RESET_B 0.00131594 -153 *3205:210 *3574:111 0.000140349 -154 *3205:210 *3756:20 0.000137276 -155 *3205:210 *3769:26 0.000129735 -156 *3205:210 *3820:87 0.000137276 -157 *3205:210 *3821:19 0.000504207 -158 *3205:210 *3847:64 0.000649659 -159 *3205:210 *5700:55 0 -160 *3205:210 *5936:29 0.00139184 -161 *3205:210 *5936:33 7.19308e-05 -162 *3205:211 *3704:31 0.00034008 -163 *3205:211 *3756:20 1.08691e-05 -164 *3205:211 *3821:19 0.00189262 -165 *3205:211 *4106:20 0.000244375 -166 *3205:211 *4106:37 0.00129161 -167 *3205:211 *5582:20 0.000103363 -168 *3205:230 *3739:122 2.79509e-06 -169 *3205:230 *3847:72 9.41642e-05 -170 *3205:230 *3952:51 0.000323848 -171 *3205:230 *4030:48 0.000322126 -172 *3205:230 *5632:52 5.42755e-05 -173 *3205:230 *5651:132 0.000671226 -174 *3205:235 *26892:B 0.000135028 -175 *3205:235 *27482:A1 0.000221634 -176 *3205:235 *27842:A1 9.77264e-06 -177 *3205:235 *27842:A2 0.000303087 -178 *3205:235 *27842:A3 0.000136399 -179 *3205:235 *3340:6 1.62539e-05 -180 *3205:235 *3721:44 2.04825e-05 -181 *3205:235 *5632:52 9.0145e-05 -182 *3205:235 *5660:134 0.000220764 -183 *3205:270 *27465:A1 0.000108545 -184 *3205:270 *27474:A 0 -185 *3205:270 *27830:B2 2.37836e-05 -186 *3205:270 *3340:6 0.000436843 -187 *3205:270 *3690:46 0.000110965 -188 *3205:270 *3721:37 0.00172854 -189 *3205:270 *3943:37 0.000247229 -190 *3205:270 *4085:71 0.000268792 -191 *3205:270 *4134:107 5.16399e-06 -192 *3205:270 *4137:24 0.00125205 -193 *3205:270 *5627:181 2.71935e-05 -194 *3205:270 *5627:197 0.000221011 -195 *3205:270 *5644:45 7.96277e-05 -196 *3205:270 *5680:209 0.000180259 -197 *3205:273 *27799:A2 4.38646e-05 -198 *3205:273 *3339:22 9.3313e-05 -199 *25137:B1 *3205:98 4.22431e-05 -200 *25266:C *3205:43 0.000390002 -201 *25889:S *3205:43 5.33005e-05 -202 *26921:S *27694:A2 4.85033e-05 -203 *27291:C *3205:27 5.49544e-05 -204 *27333:B1 *3205:158 0.000142528 -205 *27375:B1 *3205:230 0.000382133 -206 *27375:B2 *3205:230 0.000301016 -207 *27468:B1 *3205:270 6.89882e-06 -208 *27552:B1 *3205:98 8.44271e-06 -209 *27608:A *3205:16 6.57032e-05 -210 *27608:B *3205:16 2.59355e-05 -211 *27647:B *3205:10 0.000119907 -212 *27671:A1 *3205:158 9.63135e-05 -213 *27719:C1 *27719:A2 0.000137983 -214 *27719:C1 *3205:210 9.41642e-05 -215 *27744:C1 *27744:A2 0 -216 *27966:C1 *27966:A2 9.41642e-05 -217 *27966:C1 *3205:98 0.00139257 -218 *510:26 *3205:83 0.000170661 -219 *1242:135 *3205:270 0.000134168 -220 *1244:128 *3205:43 0.000117599 -221 *1266:132 *3205:270 0 -222 *1266:182 *3205:270 0 -223 *1268:74 *3205:270 5.52634e-05 -224 *1269:238 *3205:270 9.54373e-05 -225 *1271:104 *3205:43 0.000332393 -226 *1273:68 *3205:55 6.64593e-05 -227 *1273:159 *3205:235 5.41794e-05 -228 *1273:159 *3205:270 0.000666767 -229 *1273:161 *27793:A2 2.11419e-05 -230 *1273:161 *3205:270 0.000929588 -231 *1290:34 *3205:158 0.000279116 -232 *1290:34 *3205:169 0.000450355 -233 *1294:81 *27867:A2 0.000131209 -234 *1294:81 *27892:A2 7.55759e-05 -235 *1294:81 *3205:114 1.90936e-05 -236 *1302:15 *3205:270 6.09688e-05 -237 *1328:115 *3205:27 8.73679e-05 -238 *1360:20 *3205:98 0.000764377 -239 *1363:85 *3205:72 0.000207199 -240 *1368:37 *3205:270 7.52238e-05 -241 *1390:15 *3205:270 0.00102205 -242 *1395:35 *3205:55 6.98971e-05 -243 *1408:60 *3205:98 0.000151532 -244 *1430:59 *3205:83 8.47138e-05 -245 *1470:64 *3205:72 0 -246 *1536:21 *3205:158 0.000134969 -247 *1536:27 *3205:180 2.59093e-05 -248 *1560:16 *3205:55 0.000344232 -249 *1619:25 *3205:72 2.11533e-05 -250 *1624:76 *3205:72 0.000756274 -251 *1689:8 *3205:98 0.000623749 -252 *1713:26 *27892:A2 0.000220704 -253 *1713:26 *3205:72 0.000749519 -254 *1844:138 *3205:189 0.000339346 -255 *2754:18 *3205:189 0.00063429 -256 *2754:20 *3205:189 0.000298657 -257 *2758:37 *27670:B 0.000175892 -258 *2758:37 *27768:B1 4.73656e-05 -259 *2758:37 *3205:169 0.000498784 -260 *2760:5 *3205:235 0.000175892 -261 *2760:10 *3205:235 0.000342302 -262 *2763:71 *3205:235 0.000258724 -263 *2776:65 *3205:27 0.000111421 -264 *2776:65 *3205:43 0.000391842 -265 *2780:25 *27670:B 0.000339346 -266 *2782:106 *3205:195 0.000304701 -267 *2787:78 *3205:27 0.00201207 -268 *2839:38 *3205:158 5.23496e-05 -269 *2840:97 *3205:16 0.000175892 -270 *2844:220 *3205:230 0.000260152 -271 *2845:161 *3205:16 1.5702e-05 -272 *2845:215 *3205:230 6.41179e-05 -273 *2845:347 *3205:235 0.000181686 -274 *2849:66 *3205:27 0.000799643 -275 *2852:181 *3205:180 0.000380099 -276 *2856:25 *3205:27 0.0013113 -277 *2856:38 *3205:43 0.000227044 -278 *2856:124 *3205:158 0.000254594 -279 *2870:65 *3205:180 3.79197e-05 -280 *2882:31 *27670:B 7.95355e-05 -281 *2886:188 *3205:210 0.000370998 -282 *2889:151 *3205:189 0.000120792 -283 *2889:221 *3205:72 0.000286494 -284 *2891:111 *3205:210 5.16732e-06 -285 *2895:79 *3205:72 0.000432216 -286 *2895:281 *3205:270 0 -287 *2978:36 *3205:210 1.70853e-05 -288 *3160:15 *3205:16 0.00017354 -289 *3160:15 *3205:27 0.00101987 -290 *3160:84 *3205:16 0.000209763 -291 *3170:83 *3205:83 3.83642e-06 -292 *3170:213 *3205:270 0.00206933 -293 *3176:110 *3205:72 6.09762e-05 -294 *3176:175 *3205:98 0.00077064 -295 *3176:254 *27744:A2 0 -296 *3182:27 *3205:189 0.000378331 -297 *3182:122 *3205:83 0.000508796 -298 *3183:103 *27892:A2 0.000118986 -299 *3184:118 *3205:210 2.41653e-05 -300 *3184:130 *27966:A2 1.91998e-05 -301 *3185:180 *3205:270 0.000281872 -302 *3185:182 *27793:A2 2.11419e-05 -303 *3185:182 *3205:270 9.73168e-05 -304 *3185:271 *3205:72 0.000600103 -305 *3194:62 *3205:16 7.29712e-05 -306 *3194:93 *3205:180 5.33211e-05 -307 *3203:6 *3205:10 0.00041674 -*RES -1 *27653:X *3205:10 35.175 -2 *3205:10 *3205:16 46.7143 -3 *3205:16 *3205:27 39.5747 -4 *3205:27 *3205:43 34.9028 -5 *3205:43 *3205:55 43.3569 -6 *3205:55 *3205:72 47.4913 -7 *3205:72 *3205:83 35.6288 -8 *3205:83 *27941:B1 9.3 -9 *3205:83 *3205:98 46.7143 -10 *3205:98 *27966:A2 17.3714 -11 *3205:55 *3205:113 3.41 -12 *3205:113 *3205:114 0.276786 -13 *3205:114 *27892:A2 37.0857 -14 *3205:114 *27917:A2 14.3357 -15 *3205:113 *27867:A2 17.7911 -16 *3205:27 *3205:158 19.8319 -17 *3205:158 *27670:B 17.6393 -18 *3205:158 *3205:169 6.80357 -19 *3205:169 *3205:180 42.9821 -20 *3205:180 *3205:189 47.8214 -21 *3205:189 *3205:195 15.25 -22 *3205:195 *3205:210 42.529 -23 *3205:210 *3205:211 24.8661 -24 *3205:211 *3205:230 47.0714 -25 *3205:230 *3205:235 37.8036 -26 *3205:235 *27842:B1 14.3357 -27 *3205:235 *3205:270 49.5138 -28 *3205:270 *3205:273 3.70923 -29 *3205:273 *27744:A2 37.6939 -30 *3205:273 *27793:A2 17.4868 -31 *3205:211 *27694:A2 22.3536 -32 *3205:195 *27719:A2 12.3 -33 *3205:169 *27768:B1 15.0679 -*END - -*D_NET *3206 0.198231 -*CONN -*I *27815:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27833:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27740:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27655:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27782:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27710:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27684:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27945:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27933:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27759:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27858:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27883:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27906:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27654:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27815:B1 2.00704e-05 -2 *27833:B1 0.000600668 -3 *27740:B1 0.0001421 -4 *27655:B1 0.000355211 -5 *27782:B1 9.79491e-05 -6 *27710:B1 2.70396e-05 -7 *27684:B1 0 -8 *27945:B1 0.000285624 -9 *27933:B1 0.000680868 -10 *27759:B1 0.000110537 -11 *27858:B1 0 -12 *27883:B1 0.00127555 -13 *27906:B1 0.000269761 -14 *27654:X 3.00142e-05 -15 *3206:306 0.00436593 -16 *3206:304 0.00782279 -17 *3206:293 0.00622313 -18 *3206:271 0.00300282 -19 *3206:256 0.00136067 -20 *3206:249 0.0032568 -21 *3206:236 0.0032368 -22 *3206:232 0.00188426 -23 *3206:229 0.00193885 -24 *3206:219 0.00208523 -25 *3206:207 0.00172126 -26 *3206:199 0.00301387 -27 *3206:189 0.00251541 -28 *3206:180 0.00156951 -29 *3206:179 0.00221255 -30 *3206:157 0.000846504 -31 *3206:125 0.00531267 -32 *3206:115 0.00590348 -33 *3206:110 0.00266544 -34 *3206:99 0.00100261 -35 *3206:95 0.00151605 -36 *3206:84 0.0025444 -37 *3206:48 0.00214982 -38 *3206:27 0.00389355 -39 *3206:17 0.00299207 -40 *3206:13 0.00427408 -41 *3206:5 0.00335445 -42 *27655:B1 *4128:20 1.17968e-05 -43 *27655:B1 *5649:249 0.00108024 -44 *27655:B1 *5832:8 0.000583733 -45 *27710:B1 *27710:B2 1.33343e-05 -46 *27740:B1 *3705:14 0.000121614 -47 *27740:B1 *4134:6 0.000121614 -48 *27740:B1 *5646:311 0.000175892 -49 *27759:B1 *5687:129 0.000162866 -50 *27782:B1 *27782:A1 5.33839e-05 -51 *27782:B1 *27782:A2 5.33005e-05 -52 *27782:B1 *27782:B2 1.28809e-05 -53 *27782:B1 *27782:C1 1.24368e-05 -54 *27815:B1 *27815:A1 4.20029e-05 -55 *27815:B1 *27815:B2 1.73088e-05 -56 *27833:B1 *27781:B2 8.30045e-05 -57 *27833:B1 *27782:A2 0.000823964 -58 *27833:B1 *27782:C1 1.53262e-05 -59 *27833:B1 *27833:B2 3.8274e-05 -60 *27833:B1 *27833:C1 5.02687e-06 -61 *27833:B1 *3811:24 0.000249559 -62 *27833:B1 *4019:14 7.50836e-05 -63 *27833:B1 *5680:89 0.000158584 -64 *27883:B1 *27548:A1 0.00046681 -65 *27883:B1 *27883:A1 2.89016e-05 -66 *27883:B1 *27883:A2 1.83411e-05 -67 *27883:B1 *27883:B2 1.81866e-05 -68 *27883:B1 *27917:A1 0.000332399 -69 *27883:B1 *27917:B2 0.000111123 -70 *27883:B1 *3459:8 5.33005e-05 -71 *27883:B1 *3790:56 0.000136682 -72 *27883:B1 *5717:74 0.000149878 -73 *27906:B1 *27906:A1 1.58163e-05 -74 *27906:B1 *27906:A2 3.28686e-05 -75 *27906:B1 *27906:B2 5.09596e-06 -76 *27906:B1 *27906:C1 7.48927e-06 -77 *27906:B1 *27916:B 8.55871e-05 -78 *27906:B1 *5629:23 0.000328006 -79 *27933:B1 *27933:A1 2.89016e-05 -80 *27933:B1 *27933:A2 5.83931e-05 -81 *27933:B1 *27933:B2 1.74719e-05 -82 *27933:B1 *27940:A 0.000259273 -83 *27933:B1 *27941:A1 0.000338344 -84 *27933:B1 *3480:35 1.83053e-05 -85 *27933:B1 *3844:75 0.000797491 -86 *27933:B1 *5729:252 1.72244e-05 -87 *27933:B1 *5729:276 0 -88 *27945:B1 *27945:A1 0.000139187 -89 *27945:B1 *27945:B2 1.97695e-05 -90 *27945:B1 *5650:75 0.000423607 -91 *3206:13 *29833:A 2.31788e-05 -92 *3206:13 *3214:21 5.14729e-05 -93 *3206:13 *3782:45 6.09991e-05 -94 *3206:13 *5592:45 0 -95 *3206:13 *5694:110 0.000462822 -96 *3206:13 *6318:32 9.59532e-06 -97 *3206:17 *28612:D 1.75318e-05 -98 *3206:27 *25374:B2 1.42385e-05 -99 *3206:27 *28612:D 0.00020789 -100 *3206:27 *3214:36 0.0021596 -101 *3206:27 *4129:61 0.000176304 -102 *3206:27 *5041:8 0.000177867 -103 *3206:27 *5629:23 0.00209949 -104 *3206:27 *5687:129 0.000144243 -105 *3206:27 *5691:139 0.000300052 -106 *3206:27 *5713:130 0.000131665 -107 *3206:48 *25391:A1 7.69776e-06 -108 *3206:48 *27905:B2 0.000251243 -109 *3206:48 *27906:C1 6.99848e-05 -110 *3206:48 *3214:36 1.90936e-05 -111 *3206:48 *5591:53 7.7532e-06 -112 *3206:48 *5666:216 7.67709e-05 -113 *3206:84 *27767:B 0.000764037 -114 *3206:84 *28787:CLK 2.11419e-05 -115 *3206:84 *3569:26 0.000763151 -116 *3206:84 *3872:43 0.000139643 -117 *3206:84 *5486:20 6.90381e-06 -118 *3206:84 *5591:16 1.4363e-05 -119 *3206:84 *5629:137 0.000291231 -120 *3206:84 *5629:139 7.42055e-05 -121 *3206:84 *5657:29 0.00014559 -122 *3206:95 *28470:RESET_B 4.22135e-06 -123 *3206:95 *3316:17 0.000175892 -124 *3206:95 *3847:41 0.000146143 -125 *3206:95 *3847:64 0.000171976 -126 *3206:95 *3872:72 0.000787034 -127 *3206:95 *5634:113 2.61748e-05 -128 *3206:99 *25276:A1 0.000464988 -129 *3206:99 *27711:C1 0 -130 *3206:99 *3769:20 5.41794e-05 -131 *3206:99 *5629:139 0.0017374 -132 *3206:99 *5754:127 0.000868081 -133 *3206:110 *27710:B2 5.52302e-05 -134 *3206:110 *3977:24 0.000244159 -135 *3206:110 *5596:15 0.00024079 -136 *3206:110 *5629:139 0.000146474 -137 *3206:110 *5635:79 0.000135028 -138 *3206:115 *5650:51 0.000884216 -139 *3206:115 *5650:67 0.000172924 -140 *3206:115 *5656:77 0.00101506 -141 *3206:125 *25357:A1 0.00141949 -142 *3206:125 *3706:45 4.11173e-05 -143 *3206:125 *5605:99 0.000549784 -144 *3206:125 *5650:67 8.34419e-05 -145 *3206:157 *27684:A1 1.58163e-05 -146 *3206:157 *27684:A2 0.000114596 -147 *3206:157 *3704:45 0.000216755 -148 *3206:157 *3739:122 4.12921e-06 -149 *3206:157 *5596:15 0.000593608 -150 *3206:157 *5629:139 0.00126921 -151 *3206:179 *27800:A1 2.14757e-05 -152 *3206:179 *27800:B1 5.51819e-05 -153 *3206:179 *27800:B2 5.52302e-05 -154 *3206:179 *3214:173 0.000521467 -155 *3206:179 *5606:51 0.000656766 -156 *3206:179 *5631:13 0.000262498 -157 *3206:179 *5631:145 0.000122591 -158 *3206:179 *5658:10 0.000965214 -159 *3206:180 *3340:19 0.000134326 -160 *3206:180 *5649:29 0.000787266 -161 *3206:180 *5649:48 2.35985e-05 -162 *3206:180 *5650:8 0.00315319 -163 *3206:180 *5656:34 0.00324837 -164 *3206:189 *25031:A1 0.000172769 -165 *3206:189 *27815:A1 5.33005e-05 -166 *3206:189 *27816:B 1.21258e-05 -167 *3206:189 *4085:73 0.000172769 -168 *3206:199 *27815:A1 1.12463e-05 -169 *3206:199 *27815:B2 4.59512e-05 -170 *3206:199 *27816:B 5.52058e-05 -171 *3206:199 *3387:6 0.00126324 -172 *3206:199 *3850:24 2.33437e-05 -173 *3206:199 *5649:82 0.00010308 -174 *3206:199 *5656:40 0.000175892 -175 *3206:207 *3558:223 7.69776e-06 -176 *3206:207 *3800:29 1.06654e-05 -177 *3206:207 *3865:38 0.00013664 -178 *3206:207 *3865:44 0.00135942 -179 *3206:219 *27479:B2 0.000175804 -180 *3206:219 *3748:60 8.47973e-05 -181 *3206:219 *5604:91 0 -182 *3206:219 *5645:80 1.27131e-05 -183 *3206:219 *5647:41 0.00127001 -184 *3206:219 *5656:240 0.000162595 -185 *3206:219 *5663:35 6.07227e-05 -186 *3206:229 *27469:B2 0.000107238 -187 *3206:229 *3800:46 0.00153402 -188 *3206:229 *3800:58 0.000125414 -189 *3206:229 *4125:53 0.000124875 -190 *3206:229 *5603:24 2.20722e-05 -191 *3206:229 *5647:41 0.00187731 -192 *3206:229 *5680:196 0.000115364 -193 *3206:232 *27469:B2 0.000179305 -194 *3206:232 *27834:A1 5.74026e-05 -195 *3206:232 *3800:58 0.000225898 -196 *3206:232 *3800:64 1.27784e-05 -197 *3206:232 *4112:11 0.000148196 -198 *3206:232 *4151:44 0.000320948 -199 *3206:232 *5651:321 0.000764393 -200 *3206:232 *5680:176 0.0001326 -201 *3206:236 *26998:A1 0.000121866 -202 *3206:236 *3800:64 0.00074198 -203 *3206:236 *3993:14 0.000343019 -204 *3206:236 *5594:38 0.000963481 -205 *3206:236 *5651:321 0.000468502 -206 *3206:236 *5651:326 0.00114711 -207 *3206:236 *5680:168 0.000530747 -208 *3206:236 *5680:176 0.000324469 -209 *3206:249 *25060:B1 4.8817e-05 -210 *3206:249 *27434:A1 0.000112323 -211 *3206:249 *27784:B2 0.000133891 -212 *3206:249 *27791:B 0.000667029 -213 *3206:249 *3800:67 2.30189e-05 -214 *3206:249 *3800:69 0.00188863 -215 *3206:249 *3980:20 0.000331808 -216 *3206:249 *3993:14 0.000259096 -217 *3206:249 *5642:126 0.000161619 -218 *3206:249 *5645:235 2.35126e-05 -219 *3206:249 *5651:326 1.09026e-05 -220 *3206:249 *5656:294 0.000637864 -221 *3206:256 *26943:A0 6.67716e-05 -222 *3206:256 *27782:B2 0.000320298 -223 *3206:256 *3339:22 0.000150764 -224 *3206:256 *3367:18 0.0011909 -225 *3206:256 *3798:99 0.00186802 -226 *3206:256 *3811:32 5.98831e-05 -227 *3206:256 *3876:62 4.038e-06 -228 *3206:256 *4149:34 0.000228351 -229 *3206:256 *4149:40 8.53773e-05 -230 *3206:256 *5651:378 0.000277158 -231 *3206:271 *27782:A2 6.181e-05 -232 *3206:271 *27782:B2 5.20232e-05 -233 *3206:271 *27782:C1 5.95974e-05 -234 *3206:271 *3811:24 9.22222e-06 -235 *3206:271 *3811:32 9.21418e-06 -236 *3206:293 *27781:B2 4.8817e-05 -237 *3206:293 *3582:8 0.00030585 -238 *3206:293 *3607:76 0.00012091 -239 *3206:293 *4084:18 0.00112801 -240 *3206:293 *5631:113 0.000264697 -241 *3206:293 *5638:195 0.000361178 -242 *3206:293 *5683:106 0.000108353 -243 *3206:293 *5819:24 0.000266404 -244 *3206:293 *5905:9 0.000252361 -245 *3206:293 *5905:16 0.000158552 -246 *3206:304 *25628:A0 7.6696e-05 -247 *3206:304 *27675:B2 0.000147755 -248 *3206:304 *28580:RESET_B 8.94268e-05 -249 *3206:304 *3731:61 0.000133584 -250 *3206:304 *3963:21 0.000461937 -251 *3206:304 *3963:42 9.41642e-05 -252 *3206:304 *4970:17 0.000119179 -253 *3206:304 *5646:311 0.000177815 -254 *3206:306 *27661:B1 0.00119858 -255 *3206:306 *28611:D 0.000149697 -256 *3206:306 *5646:259 0.00140812 -257 *3206:306 *5768:127 0.00029522 -258 *25048:B1 *3206:293 0.00044401 -259 *25054:B1 *3206:293 4.65519e-05 -260 *25088:A2 *3206:125 0 -261 *25266:C *3206:27 0.000389376 -262 *25628:S *3206:304 1.58163e-05 -263 *26946:A *3206:293 0.000557275 -264 *26965:S *3206:115 0.000466997 -265 *27091:S *3206:13 9.59063e-05 -266 *27307:B *3206:13 5.33005e-05 -267 *27309:C *3206:13 0.000177214 -268 *27333:A2 *3206:84 0.000151393 -269 *27478:C1 *3206:219 0.000155083 -270 *27597:A *3206:13 2.27416e-05 -271 *27613:C *3206:179 0.000473331 -272 *27654:A *3206:13 0.000347181 -273 *27654:A *3206:179 0.000547019 -274 *27800:A2 *3206:179 1.33343e-05 -275 *27824:A2 *3206:229 0.00018676 -276 *27892:A2 *27883:B1 0.000135028 -277 *29075:A *27740:B1 2.51343e-06 -278 *29075:A *3206:293 5.52238e-05 -279 *29186:A *3206:84 2.06178e-05 -280 *29205:A *3206:304 5.33005e-05 -281 *29278:A *3206:304 9.83442e-05 -282 *29498:A *3206:13 0.00027621 -283 *29821:A *3206:84 8.44176e-05 -284 *29834:A *27759:B1 1.94879e-05 -285 *29834:A *3206:17 0.000112199 -286 *29834:A *3206:27 5.4528e-05 -287 *29943:A *3206:293 0.000614769 -288 *30133:A *3206:249 0.000306898 -289 *30795:A *3206:5 3.57366e-05 -290 *30795:A *3206:13 0.000903679 -291 *30795:A *3206:179 2.95726e-05 -292 *31017:A *3206:179 5.71679e-05 -293 *1182:26 *3206:84 9.67087e-05 -294 *1228:73 *3206:13 0.000223336 -295 *1228:73 *3206:17 2.6847e-05 -296 *1237:17 *3206:27 0.000425687 -297 *1237:27 *27759:B1 1.80461e-05 -298 *1237:27 *3206:84 4.038e-06 -299 *1239:14 *27883:B1 0.000217737 -300 *1239:14 *3206:48 0.000225529 -301 *1245:68 *3206:84 0.000762603 -302 *1248:98 *3206:125 2.1479e-05 -303 *1252:21 *27883:B1 0.000217737 -304 *1252:21 *3206:48 0.00021781 -305 *1256:40 *3206:84 0.000235005 -306 *1258:100 *3206:219 0.000263533 -307 *1258:100 *3206:229 0.000397395 -308 *1260:20 *3206:115 0.000257619 -309 *1265:228 *3206:84 9.60337e-06 -310 *1266:148 *3206:229 0.000298912 -311 *1273:144 *3206:180 0.000888766 -312 *1277:33 *3206:125 4.54329e-06 -313 *1290:23 *3206:95 0.000175892 -314 *1294:163 *3206:84 3.14612e-05 -315 *1308:19 *3206:207 0.000284316 -316 *1326:97 *3206:125 4.11173e-05 -317 *1350:13 *3206:207 0.000272658 -318 *1358:19 *3206:207 0.000271265 -319 *1364:19 *3206:249 0 -320 *1367:46 *3206:229 0.000218345 -321 *1395:29 *3206:48 2.74485e-05 -322 *1439:19 *3206:110 0.000136958 -323 *1448:136 *3206:125 0 -324 *1456:38 *3206:125 0 -325 *1456:41 *27945:B1 0.000756006 -326 *1536:27 *3206:84 0.0020195 -327 *1536:27 *3206:95 0.000183276 -328 *1597:11 *3206:95 0.000177596 -329 *1730:13 *3206:125 0 -330 *1826:226 *3206:293 0.000110183 -331 *1853:64 *3206:256 0.000154964 -332 *2757:21 *3206:304 0.00139638 -333 *2758:23 *3206:84 9.59159e-05 -334 *2760:51 *3206:199 0.000684629 -335 *2760:69 *3206:199 3.97677e-05 -336 *2760:69 *3206:207 7.6644e-05 -337 *2767:142 *3206:293 0.00074204 -338 *2767:167 *3206:304 0.000115333 -339 *2767:167 *3206:306 1.88242e-05 -340 *2767:175 *3206:306 1.82549e-05 -341 *2768:33 *3206:115 0.000473331 -342 *2772:45 *3206:293 0.000184633 -343 *2776:224 *3206:293 0.000636893 -344 *2782:71 *3206:13 2.31796e-05 -345 *2791:45 *3206:293 0.000115139 -346 *2792:78 *27833:B1 6.12696e-05 -347 *2815:59 *3206:13 1.90936e-05 -348 *2823:63 *3206:179 0.000223636 -349 *2844:179 *3206:199 0.000187456 -350 *2845:22 *3206:13 0.000347548 -351 *2855:279 *3206:179 0.000443938 -352 *2856:25 *3206:13 0.000199404 -353 *2856:25 *3206:17 0.000211683 -354 *2856:25 *3206:27 9.17702e-05 -355 *2856:38 *3206:27 4.23629e-06 -356 *2856:325 *3206:249 0 -357 *2859:331 *3206:219 0.00126818 -358 *2864:132 *3206:207 0.00150725 -359 *2864:176 *3206:157 1.90303e-05 -360 *2866:36 *3206:199 0.00150447 -361 *2870:65 *3206:95 0.000447275 -362 *2872:154 *3206:13 0.000114577 -363 *2872:186 *3206:207 0.000151882 -364 *2885:27 *3206:13 0.00156572 -365 *2889:34 *3206:99 3.41907e-05 -366 *2889:36 *3206:99 0.000296652 -367 *2889:36 *3206:110 0.000146474 -368 *2889:36 *3206:157 0.001847 -369 *2892:219 *3206:232 0.000160643 -370 *3149:23 *3206:13 0.000102676 -371 *3152:17 *3206:179 8.43535e-06 -372 *3156:41 *3206:13 0.00107354 -373 *3156:49 *3206:27 0.000832913 -374 *3156:59 *27906:B1 6.05161e-06 -375 *3156:59 *3206:27 1.51571e-05 -376 *3156:59 *3206:48 0.00111225 -377 *3160:26 *27759:B1 2.79676e-05 -378 *3160:26 *3206:27 9.12207e-06 -379 *3163:56 *3206:179 2.49484e-05 -380 *3164:287 *3206:229 0.000433982 -381 *3164:287 *3206:232 0.00202748 -382 *3164:295 *3206:236 4.49933e-05 -383 *3164:295 *3206:249 0.000459455 -384 *3164:304 *3206:249 0.000213777 -385 *3164:319 *3206:249 0 -386 *3165:85 *3206:249 0.00013563 -387 *3168:25 *3206:84 7.63505e-06 -388 *3170:83 *27933:B1 0.000208069 -389 *3170:92 *27933:B1 0.00053238 -390 *3170:92 *27945:B1 4.11218e-05 -391 *3174:102 *27933:B1 0.000539755 -392 *3174:102 *27945:B1 3.36969e-05 -393 *3182:134 *27933:B1 0.00010508 -394 *3185:24 *3206:180 2.04866e-05 -395 *3185:56 *27710:B1 2.14658e-05 -396 *3185:56 *3206:110 3.97677e-05 -397 *3185:65 *3206:95 0.000567049 -398 *3197:31 *3206:84 0 -399 *3205:43 *3206:27 0.000739996 -400 *3205:180 *3206:95 0.000112072 -*RES -1 *27654:X *3206:5 10.0321 -2 *3206:5 *3206:13 35.2864 -3 *3206:13 *3206:17 3.7813 -4 *3206:17 *3206:27 42.1413 -5 *3206:27 *27906:B1 14.925 -6 *3206:27 *3206:48 16.6706 -7 *3206:48 *27883:B1 37.0679 -8 *3206:48 *27858:B1 13.8 -9 *3206:17 *27759:B1 16.2018 -10 *3206:13 *3206:84 49.8777 -11 *3206:84 *3206:95 41.0893 -12 *3206:95 *3206:99 28.125 -13 *3206:99 *3206:110 21.3214 -14 *3206:110 *3206:115 35.8259 -15 *3206:115 *3206:125 34.3479 -16 *3206:125 *27933:B1 34.2732 -17 *3206:125 *27945:B1 22.3268 -18 *3206:110 *3206:157 41.1607 -19 *3206:157 *27684:B1 9.3 -20 *3206:99 *27710:B1 9.83571 -21 *3206:5 *3206:179 42.6607 -22 *3206:179 *3206:180 52.9464 -23 *3206:180 *3206:189 18.875 -24 *3206:189 *3206:199 48.5357 -25 *3206:199 *3206:207 34.2369 -26 *3206:207 *3206:219 31.5491 -27 *3206:219 *3206:229 46.2283 -28 *3206:229 *3206:232 27.5268 -29 *3206:232 *3206:236 37.5 -30 *3206:236 *3206:249 46.5366 -31 *3206:249 *3206:256 37.4512 -32 *3206:256 *27782:B1 15.5857 -33 *3206:256 *3206:271 2.45536 -34 *3206:271 *3206:293 44.4538 -35 *3206:293 *3206:304 47.6607 -36 *3206:304 *3206:306 49.4107 -37 *3206:306 *27655:B1 32.3893 -38 *3206:293 *27740:B1 21.7107 -39 *3206:271 *27833:B1 28.2018 -40 *3206:189 *27815:B1 10.0321 -*END - -*D_NET *3207 0.0035519 -*CONN -*I *27656:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27655:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27656:C1 0.000893483 -2 *27655:X 0.000893483 -3 *27656:C1 *27655:B2 9.46929e-05 -4 *27656:C1 *4128:11 2.95726e-05 -5 *27656:C1 *4350:103 9.10431e-06 -6 *27656:C1 *5312:13 0.000820281 -7 *27656:C1 *5628:217 0.000356237 -8 *27656:C1 *5649:249 0.000137983 -9 *27656:C1 *5716:14 6.30292e-05 -10 *1883:5 *27656:C1 4.08637e-05 -11 *1883:9 *27656:C1 5.33005e-05 -12 *1883:10 *27656:C1 0.000128154 -13 *1883:29 *27656:C1 3.17148e-05 -*RES -1 *27655:X *27656:C1 46.4393 -*END - -*D_NET *3208 0.000730216 -*CONN -*I *27668:B I *D sky130_fd_sc_hd__or4_4 -*I *27656:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27668:B 0.000206984 -2 *27656:X 0.000206984 -3 *27668:B *27656:B1 5.33005e-05 -4 *27668:B *4089:12 0.000262948 -5 *27668:B *5716:14 0 -*RES -1 *27656:X *27668:B 31.6 -*END - -*D_NET *3209 0.0752326 -*CONN -*I *30806:A I *D sky130_fd_sc_hd__buf_12 -*I *27854:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27879:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27950:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27929:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27897:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27657:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *30806:A 0.000133047 -2 *27854:B1 0 -3 *27879:B1 0.000252183 -4 *27950:B1 0 -5 *27929:B1 0 -6 *27897:B1 0 -7 *27657:X 0.000536501 -8 *3209:127 0.00132159 -9 *3209:117 0.00279147 -10 *3209:103 0.0016987 -11 *3209:102 0.00151435 -12 *3209:85 0.00434571 -13 *3209:64 0.00323066 -14 *3209:59 0.00197369 -15 *3209:52 0.00458224 -16 *3209:37 0.00566662 -17 *3209:21 0.0052039 -18 *3209:11 0.00323804 -19 *27879:B1 *3737:34 0.000291088 -20 *30806:A *5631:20 3.41046e-05 -21 *30806:A *5714:16 0.00024013 -22 *3209:11 *3215:7 6.05161e-06 -23 *3209:11 *5606:51 0.00045143 -24 *3209:11 *5651:13 6.53083e-05 -25 *3209:11 *6385:141 0.000285255 -26 *3209:21 *28761:D 0.000383166 -27 *3209:21 *5606:51 0.00117942 -28 *3209:21 *5627:16 0.000289677 -29 *3209:21 *5641:13 0.000968587 -30 *3209:21 *5646:10 4.13595e-05 -31 *3209:21 *5717:152 0.000470798 -32 *3209:21 *5717:155 1.0334e-05 -33 *3209:37 *27161:A0 0.000172156 -34 *3209:37 *28761:D 0.00023162 -35 *3209:37 *3989:5 0.000123617 -36 *3209:37 *3989:20 0.000688643 -37 *3209:37 *5657:29 0.000199592 -38 *3209:37 *5667:312 0.000196746 -39 *3209:52 *25100:A 0.000255322 -40 *3209:52 *25269:A1 9.52076e-05 -41 *3209:52 *25396:A1 2.06178e-05 -42 *3209:52 *28343:CLK 0.000119303 -43 *3209:52 *3989:20 0.000713516 -44 *3209:52 *5622:48 1.90936e-05 -45 *3209:59 *25391:B2 0.00124519 -46 *3209:59 *27494:A1 0.00011271 -47 *3209:59 *27854:A2 5.33005e-05 -48 *3209:59 *27866:C 3.64905e-05 -49 *3209:59 *3736:51 1.39726e-05 -50 *3209:59 *3895:88 0.000213996 -51 *3209:59 *3906:47 0.000674084 -52 *3209:59 *5706:196 0.000114793 -53 *3209:64 *27854:B2 0.000975717 -54 *3209:64 *27897:B2 8.76184e-05 -55 *3209:64 *3736:51 0.000376264 -56 *3209:64 *3906:47 0.00105833 -57 *3209:85 *27879:B2 0.000199086 -58 *3209:85 *3736:51 2.06112e-05 -59 *3209:85 *3817:39 5.01876e-06 -60 *3209:85 *4186:20 0.000127359 -61 *3209:85 *5657:49 6.43118e-05 -62 *3209:102 *28378:D 0.000301803 -63 *3209:102 *28947:A 2.59355e-05 -64 *3209:102 *3646:9 2.42516e-05 -65 *3209:102 *3646:49 5.22809e-05 -66 *3209:102 *3737:34 9.41642e-05 -67 *3209:102 *3752:39 8.71528e-05 -68 *3209:102 *3802:58 0 -69 *3209:102 *3818:32 0.000102056 -70 *3209:102 *4087:26 0.000751964 -71 *3209:102 *4116:51 8.24568e-05 -72 *3209:102 *4130:103 0.000243385 -73 *3209:102 *5694:31 3.10819e-05 -74 *3209:102 *5718:183 0.000189607 -75 *3209:102 *5718:206 0.000121573 -76 *3209:103 *27929:B2 6.8646e-06 -77 *3209:103 *3766:93 6.17979e-05 -78 *3209:103 *5650:80 0.000377461 -79 *3209:103 *5718:206 0.000101545 -80 *3209:117 *27929:B2 6.057e-07 -81 *3209:117 *27945:B2 0.000354637 -82 *3209:117 *27957:A1 4.37992e-05 -83 *3209:117 *27960:A2 0.000626225 -84 *3209:117 *3766:93 0.000259261 -85 *3209:117 *3793:64 7.98104e-05 -86 *3209:117 *3819:16 7.42343e-05 -87 *3209:117 *3819:41 0.00153711 -88 *3209:117 *4077:38 0.000298851 -89 *3209:117 *4144:50 0.000148531 -90 *3209:117 *5707:28 9.85424e-06 -91 *3209:127 *25110:B2 1.01618e-05 -92 *3209:127 *27949:B2 0.000257619 -93 *3209:127 *27950:C1 0.000229011 -94 *3209:127 *3689:17 0.000306533 -95 *3209:127 *3752:22 0.00134053 -96 *3209:127 *3754:8 2.90164e-05 -97 *3209:127 *3910:76 1.22576e-05 -98 *3209:127 *3949:28 5.33005e-05 -99 *3209:127 *4087:22 0.00141333 -100 *3209:127 *4131:73 0.000646899 -101 *3209:127 *5634:170 5.52238e-05 -102 *25100:B *3209:52 4.00679e-05 -103 *25244:C1 *3209:85 0.000199086 -104 *25244:C1 *3209:102 0.000414967 -105 *25269:A2 *3209:52 0.000106643 -106 *25269:B1 *3209:52 9.43546e-06 -107 *25282:C *3209:59 0.000369941 -108 *25396:B1 *3209:52 0.00047308 -109 *25410:A2 *3209:59 0.000647148 -110 *27568:A2 *3209:102 0.000228282 -111 *27581:C *3209:117 1.27625e-05 -112 *27592:B1 *3209:117 1.49057e-05 -113 *27607:A *3209:21 5.74499e-06 -114 *27952:A2 *3209:127 4.49293e-05 -115 *29841:A *3209:21 2.28598e-05 -116 *30808:A *30806:A 0.000170646 -117 *1247:43 *3209:117 1.90936e-05 -118 *1256:61 *3209:11 0.000137983 -119 *1263:163 *3209:117 6.81459e-05 -120 *1363:85 *3209:59 5.00194e-05 -121 *1421:9 *3209:52 0.00030355 -122 *1421:26 *3209:59 8.7498e-06 -123 *1422:52 *3209:117 0.000109263 -124 *1430:59 *3209:85 1.9619e-05 -125 *1448:15 *3209:127 8.25843e-06 -126 *1452:114 *3209:59 0.000500142 -127 *1476:42 *3209:102 0 -128 *1476:42 *3209:117 0.000110152 -129 *1603:15 *3209:102 6.57032e-05 -130 *1617:30 *3209:85 0.0012294 -131 *2781:39 *3209:11 4.16984e-05 -132 *2815:72 *3209:21 0.000194435 -133 *2823:86 *3209:11 8.79096e-05 -134 *2843:65 *3209:21 0 -135 *2844:140 *3209:21 0.000152773 -136 *2848:64 *3209:85 0 -137 *2853:107 *3209:102 0.00116526 -138 *2853:114 *3209:102 2.21972e-05 -139 *2858:63 *3209:21 1.31516e-05 -140 *2859:157 *3209:21 1.98839e-05 -141 *2886:41 *3209:52 6.8445e-06 -142 *2886:41 *3209:59 0.000967456 -143 *2886:89 *3209:85 0 -144 *2889:221 *3209:85 0.000136845 -145 *3096:15 *3209:64 0.000165471 -146 *3123:14 *3209:103 0.00057248 -147 *3124:15 *3209:85 0.00350199 -148 *3124:15 *3209:102 1.85404e-05 -149 *3133:11 *3209:117 0.00105595 -150 *3149:41 *30806:A 4.58194e-05 -151 *3152:9 *3209:21 1.53439e-05 -152 *3156:162 *3209:117 0.00065391 -153 *3156:175 *3209:117 0.000324122 -154 *3156:175 *3209:127 0.000224273 -155 *3159:7 *3209:21 0.000134461 -156 *3165:355 *3209:127 0.000304963 -157 *3176:8 *3209:11 5.41797e-05 -158 *3185:15 *30806:A 3.14048e-05 -159 *3192:31 *3209:21 6.88059e-05 -*RES -1 *27657:X *3209:11 28.0679 -2 *3209:11 *3209:21 48.7679 -3 *3209:21 *3209:37 34.25 -4 *3209:37 *3209:52 47.2046 -5 *3209:52 *3209:59 20.5406 -6 *3209:59 *3209:64 19.5714 -7 *3209:64 *27897:B1 13.8 -8 *3209:64 *3209:85 29.0808 -9 *3209:85 *3209:102 48.8892 -10 *3209:102 *3209:103 7.86607 -11 *3209:103 *27929:B1 13.8 -12 *3209:103 *3209:117 49.3571 -13 *3209:117 *3209:127 47.0357 -14 *3209:127 *27950:B1 9.3 -15 *3209:85 *27879:B1 12.7286 -16 *3209:59 *27854:B1 9.3 -17 *3209:11 *30806:A 22.4071 -*END - -*D_NET *3210 0.00151103 -*CONN -*I *27659:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27658:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27659:C1 0.000247746 -2 *27658:X 0.000247746 -3 *27659:C1 *27658:A1 5.33005e-05 -4 *27659:C1 *3578:21 0.000220028 -5 *27659:C1 *4141:21 4.08637e-05 -6 *27659:C1 *4141:30 0.00049715 -7 *27659:C1 *5644:251 7.79208e-05 -8 *28076:RESET_B *27659:C1 0.000126278 -*RES -1 *27658:X *27659:C1 35.0464 -*END - -*D_NET *3211 0.00369227 -*CONN -*I *27668:C I *D sky130_fd_sc_hd__or4_4 -*I *27659:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27668:C 0.000771128 -2 *27659:X 0.000771128 -3 *27668:C *6483:DIODE 0.000108085 -4 *27668:C *27655:B2 0.000175526 -5 *27668:C *3920:20 0.000256574 -6 *27668:C *3920:31 0.000232929 -7 *27668:C *4011:23 0 -8 *27668:C *4102:34 0 -9 *27668:C *5593:43 0.000869992 -10 *1884:8 *27668:C 0.000483485 -11 *2792:30 *27668:C 2.34204e-05 -*RES -1 *27659:X *27668:C 47.8991 -*END - -*D_NET *3212 0.07539 -*CONN -*I *27805:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *30805:A I *D sky130_fd_sc_hd__buf_12 -*I *27938:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27864:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27912:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27888:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27660:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27805:B1 0.00116074 -2 *30805:A 0 -3 *27938:B1 0.000187505 -4 *27864:B1 9.98708e-05 -5 *27912:B1 0 -6 *27888:B1 0.000241003 -7 *27660:X 0 -8 *3212:99 0.00162778 -9 *3212:94 0.00278042 -10 *3212:81 0.00201175 -11 *3212:68 0.00118021 -12 *3212:51 0.00479604 -13 *3212:44 0.00766282 -14 *3212:21 0.0093733 -15 *3212:19 0.00617017 -16 *3212:11 0.00076401 -17 *3212:4 0.00141163 -18 *27805:B1 *3670:24 0.000291957 -19 *27805:B1 *3982:46 6.2379e-05 -20 *27805:B1 *5196:95 0.00105613 -21 *27864:B1 *3814:36 6.46382e-05 -22 *27864:B1 *3814:47 1.38323e-05 -23 *27888:B1 *27508:B2 5.65415e-05 -24 *27888:B1 *27888:B2 3.57366e-05 -25 *27888:B1 *3814:28 5.58941e-05 -26 *27888:B1 *3880:23 0.00049853 -27 *27888:B1 *3983:52 5.41794e-05 -28 *27938:B1 *28260:D 9.61591e-05 -29 *3212:11 *3670:24 5.33005e-05 -30 *3212:11 *3943:20 0.000145876 -31 *3212:11 *5459:20 9.77956e-05 -32 *3212:11 *5459:29 0.000181831 -33 *3212:11 *5633:13 0.000221634 -34 *3212:11 *5643:9 0.000209197 -35 *3212:19 *5633:13 0.00118949 -36 *3212:19 *5643:9 0.00146403 -37 *3212:21 *28344:CLK 8.8047e-05 -38 *3212:21 *28344:SET_B 0.000135028 -39 *3212:21 *28700:D 0.000264514 -40 *3212:21 *28723:RESET_B 8.71192e-05 -41 *3212:21 *3640:29 0.000191734 -42 *3212:21 *3997:19 2.78848e-05 -43 *3212:21 *5643:9 0.000180595 -44 *3212:21 *5750:47 9.65513e-05 -45 *3212:44 *25294:B2 0.000223938 -46 *3212:44 *25893:A1 5.66262e-05 -47 *3212:44 *28344:D 9.25014e-06 -48 *3212:44 *28377:CLK 6.13772e-05 -49 *3212:44 *3411:32 6.28948e-05 -50 *3212:44 *3506:25 4.8817e-05 -51 *3212:44 *3661:36 4.23791e-05 -52 *3212:44 *3788:49 0.000209352 -53 *3212:44 *3816:43 4.15161e-05 -54 *3212:44 *3845:47 7.95708e-05 -55 *3212:44 *3880:50 0.00120364 -56 *3212:44 *5483:145 1.33846e-05 -57 *3212:44 *5685:55 0.000186084 -58 *3212:44 *5750:18 0.000282215 -59 *3212:51 *27883:B2 0.000894481 -60 *3212:51 *27891:C 0.000122646 -61 *3212:51 *3568:17 0 -62 *3212:51 *3802:38 6.08726e-05 -63 *3212:51 *3880:23 0.000608147 -64 *3212:51 *3880:50 0.000379112 -65 *3212:51 *3893:97 0 -66 *3212:51 *5493:18 0.00018503 -67 *3212:68 *27912:C1 4.25716e-05 -68 *3212:68 *3687:73 2.09826e-05 -69 *3212:68 *3805:59 0.000123402 -70 *3212:68 *3983:52 3.00349e-05 -71 *3212:81 *27532:B2 7.99633e-05 -72 *3212:81 *27535:A1 0.000346268 -73 *3212:81 *3454:11 0.000242103 -74 *3212:81 *3983:52 0 -75 *3212:94 *27487:A1 0.000104277 -76 *3212:94 *27516:A2 4.82389e-05 -77 *3212:94 *27532:B2 4.43698e-05 -78 *3212:94 *27860:A1 7.46485e-05 -79 *3212:94 *28135:CLK 0.000379152 -80 *3212:94 *3454:11 0.00181864 -81 *3212:94 *3457:10 2.21723e-05 -82 *3212:94 *3697:57 1.3047e-05 -83 *3212:94 *3697:71 0.00143624 -84 *3212:94 *5651:56 0.000536772 -85 *3212:94 *5651:76 0.000700368 -86 *3212:94 *5657:155 0.000117911 -87 *3212:99 *27516:A2 8.09427e-05 -88 *3212:99 *28717:D 1.98839e-05 -89 *3212:99 *3457:10 0.000106785 -90 *3212:99 *3685:20 1.93118e-05 -91 *3212:99 *3697:57 0.00256036 -92 *3212:99 *3697:71 8.2958e-06 -93 *3212:99 *5744:30 0.000203286 -94 *25275:A *3212:44 0.00011502 -95 *25289:A2 *3212:21 5.44645e-05 -96 *25289:B1 *3212:21 0.000265453 -97 *25294:B1 *3212:44 0.000135028 -98 *27490:B1 *3212:94 0.000104077 -99 *27507:B1 *3212:51 8.93985e-05 -100 *27531:B1 *3212:94 5.33072e-05 -101 *27532:C1 *3212:94 3.30004e-05 -102 *27852:A2 *3212:44 6.68536e-05 -103 *27863:A2 *3212:81 6.05161e-06 -104 *27888:A2 *27888:B1 0.000199721 -105 *27888:A2 *3212:68 0.000471146 -106 *27888:A2 *3212:81 5.80706e-06 -107 *27911:A2 *3212:81 1.52217e-05 -108 *30360:A *3212:99 0.000109263 -109 *374:18 *3212:99 0.000634668 -110 *1218:62 *3212:44 5.98644e-05 -111 *1218:109 *3212:21 0.000962428 -112 *1252:21 *3212:44 0.00360434 -113 *1252:52 *3212:44 0.000332087 -114 *1252:233 *3212:11 0.000141012 -115 *1257:69 *3212:44 0.000439087 -116 *1281:30 *3212:44 4.51205e-05 -117 *1362:118 *3212:51 9.32361e-05 -118 *1449:135 *3212:94 0.000267208 -119 *2784:54 *3212:21 0.000348207 -120 *2789:25 *3212:68 2.37761e-05 -121 *2789:27 *27938:B1 3.27359e-05 -122 *2789:44 *27938:B1 9.75704e-05 -123 *2832:38 *3212:11 1.69115e-05 -124 *2834:68 *27864:B1 0.000303362 -125 *2865:9 *3212:21 1.98839e-05 -126 *2865:31 *3212:21 0.00151374 -127 *2874:85 *3212:99 0.00253345 -128 *2894:99 *27938:B1 0.00026204 -129 *2894:106 *27938:B1 3.41899e-05 -130 *3073:14 *3212:99 0.000100831 -131 *3156:74 *27864:B1 0.000205053 -132 *3156:78 *27864:B1 1.46576e-05 -133 *3156:85 *3212:81 0.000175687 -134 *3163:40 *27805:B1 5.52302e-05 -135 *3163:40 *3212:11 5.33005e-05 -136 *3173:35 *3212:44 0.000498049 -137 *3173:50 *3212:44 3.6692e-06 -138 *3173:53 *3212:44 8.55871e-05 -139 *3178:13 *3212:21 0.000117563 -140 *3178:15 *3212:21 5.03772e-05 -141 *3178:29 *3212:21 9.0145e-05 -142 *3178:55 *3212:44 9.28498e-05 -143 *3183:103 *3212:44 1.9036e-05 -*RES -1 *27660:X *3212:4 9.3 -2 *3212:4 *3212:11 17.3571 -3 *3212:11 *3212:19 17.7321 -4 *3212:19 *3212:21 70.3571 -5 *3212:21 *3212:44 45.4111 -6 *3212:44 *3212:51 23.5125 -7 *3212:51 *27888:B1 24.2286 -8 *3212:51 *3212:68 17.375 -9 *3212:68 *27912:B1 13.8 -10 *3212:68 *3212:81 20.3571 -11 *3212:81 *27864:B1 17.2286 -12 *3212:81 *3212:94 43.7679 -13 *3212:94 *3212:99 48.6964 -14 *3212:99 *27938:B1 22.9786 -15 *3212:11 *30805:A 9.3 -16 *3212:4 *27805:B1 40.1929 -*END - -*D_NET *3213 0.00269707 -*CONN -*I *27667:B1 I *D sky130_fd_sc_hd__a211o_1 -*I *27661:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27667:B1 0.00114934 -2 *27661:X 0.00114934 -3 *27667:B1 *27661:B1 0.000178847 -4 *27667:B1 *27661:B2 4.58194e-05 -5 *27667:B1 *27667:C1 0.000125731 -6 *27667:B1 *5650:304 4.79842e-05 -*RES -1 *27661:X *27667:B1 41.2786 -*END - -*D_NET *3214 0.0827242 -*CONN -*I *27802:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *30792:A I *D sky130_fd_sc_hd__buf_12 -*I *27855:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27952:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27930:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27880:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27903:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27662:Y O *D sky130_fd_sc_hd__nor2_8 -*CAP -1 *27802:B1 0 -2 *30792:A 2.23854e-05 -3 *27855:B1 0.000237903 -4 *27952:B1 2.23854e-05 -5 *27930:B1 0.000162556 -6 *27880:B1 0 -7 *27903:B1 0.000356391 -8 *27662:Y 0 -9 *3214:180 0.000949939 -10 *3214:173 0.00152504 -11 *3214:149 0.00119311 -12 *3214:121 0.00161654 -13 *3214:112 0.00239819 -14 *3214:94 0.000966599 -15 *3214:92 0.00175846 -16 *3214:78 0.00482975 -17 *3214:53 0.00359741 -18 *3214:51 0.000848412 -19 *3214:48 0.00143399 -20 *3214:36 0.00281233 -21 *3214:21 0.00427753 -22 *3214:4 0.00305404 -23 *27855:B1 *27855:A1 2.44669e-05 -24 *27855:B1 *27855:B2 4.90578e-06 -25 *27855:B1 *3762:74 9.87983e-06 -26 *27903:B1 *27900:B2 0.000342302 -27 *27903:B1 *3662:30 0.000237923 -28 *27903:B1 *3792:82 0.000240079 -29 *27903:B1 *5651:47 0.000109361 -30 *27930:B1 *27930:A1 0.000212682 -31 *27930:B1 *27930:B2 3.85002e-05 -32 *27930:B1 *3766:71 2.23592e-05 -33 *27930:B1 *3766:93 0.000131406 -34 *27930:B1 *3961:45 5.65084e-05 -35 *27930:B1 *4127:84 5.33005e-05 -36 *3214:21 *25891:A1 0.000669211 -37 *3214:21 *28612:D 0.000262679 -38 *3214:21 *28788:D 2.05612e-05 -39 *3214:21 *3642:8 0.000263108 -40 *3214:21 *5717:60 0.000381564 -41 *3214:21 *5757:94 9.41642e-05 -42 *3214:36 *25374:B2 0.000261602 -43 *3214:36 *25391:A1 1.18544e-05 -44 *3214:36 *27905:B1 3.72214e-05 -45 *3214:36 *27905:B2 8.58914e-05 -46 *3214:36 *27905:C1 3.47641e-06 -47 *3214:36 *3642:46 4.26759e-05 -48 *3214:36 *5629:20 0 -49 *3214:36 *5629:23 5.96516e-05 -50 *3214:36 *5691:139 0.000138421 -51 *3214:36 *5869:32 1.90936e-05 -52 *3214:48 *27858:A1 0.000706867 -53 *3214:48 *27916:C 1.94945e-05 -54 *3214:48 *5500:57 2.11419e-05 -55 *3214:78 *27880:B2 9.01418e-05 -56 *3214:78 *3662:34 0.000677124 -57 *3214:78 *3752:54 0 -58 *3214:78 *3779:59 0 -59 *3214:78 *3832:37 8.78052e-05 -60 *3214:78 *4023:28 3.5703e-05 -61 *3214:78 *5457:21 1.70283e-05 -62 *3214:78 *5650:133 0 -63 *3214:78 *5738:100 0.000457891 -64 *3214:78 *5947:74 0.000111233 -65 *3214:92 *28159:D 0.000461542 -66 *3214:92 *3802:58 0.000568232 -67 *3214:92 *3832:37 0.000671818 -68 *3214:92 *4023:18 0.000542074 -69 *3214:92 *4023:28 0.000252993 -70 *3214:112 *25327:B2 0.000142807 -71 *3214:112 *27578:A1 2.09897e-05 -72 *3214:112 *27592:A1 4.23907e-05 -73 *3214:112 *27960:B1 0.000301369 -74 *3214:112 *3910:51 3.89176e-05 -75 *3214:112 *4036:40 0.000240915 -76 *3214:112 *4064:56 0.000120724 -77 *3214:112 *5626:29 0.000172681 -78 *3214:121 *27578:A1 1.43864e-05 -79 *3214:121 *27948:B2 9.14369e-05 -80 *3214:121 *3949:28 2.28066e-05 -81 *3214:121 *4036:38 1.34223e-05 -82 *3214:121 *4036:40 0.00217696 -83 *3214:121 *4142:56 0.000337678 -84 *3214:121 *5614:76 0.000499832 -85 *3214:121 *5878:22 0.0023967 -86 *3214:149 *5627:13 6.73779e-05 -87 *3214:173 *3537:74 0.000173083 -88 *3214:173 *5627:13 4.05548e-05 -89 *3214:173 *5631:13 0.000257619 -90 *3214:173 *5631:20 3.34295e-05 -91 *3214:173 *5631:145 0.000135028 -92 *3214:180 *3952:35 0.00281145 -93 *3214:180 *3982:46 0.000162849 -94 *3214:180 *5628:17 0.0023563 -95 *6635:DIODE *3214:121 5.33005e-05 -96 *25023:A2 *3214:180 0 -97 *25137:B1 *3214:112 2.11419e-05 -98 *25300:B1 *3214:92 0.00176846 -99 *25326:A2 *27855:B1 2.04825e-05 -100 *27307:B *3214:21 0 -101 *27313:A *3214:21 0.000147606 -102 *27581:B *3214:92 2.63501e-05 -103 *27581:B *3214:112 0.000132309 -104 *27592:A2 *3214:112 0.00028245 -105 *27597:A *3214:21 5.52238e-05 -106 *27627:A *3214:173 5.04841e-06 -107 *27627:B *3214:180 3.63775e-05 -108 *27627:C *3214:180 2.59355e-05 -109 *27631:B *3214:149 5.33005e-05 -110 *27654:B *3214:173 0.000113949 -111 *27855:A2 *27855:B1 1.64433e-05 -112 *27903:A2 *27903:B1 0.000421362 -113 *27903:A2 *3214:51 3.05874e-05 -114 *27903:A2 *3214:53 0.000533458 -115 *27952:A2 *3214:121 0.000106802 -116 *29498:A *3214:21 9.41642e-05 -117 *29834:A *3214:21 0.000175892 -118 *30795:A *3214:173 0.000100469 -119 *1243:67 *3214:36 2.04825e-05 -120 *1290:42 *3214:21 0.000136951 -121 *1362:90 *3214:92 0.0014306 -122 *1408:60 *3214:92 0.000191582 -123 *1423:13 *3214:121 0.000154845 -124 *1435:34 *3214:92 9.09789e-06 -125 *1446:12 *3214:36 4.26759e-05 -126 *1480:35 *3214:36 2.95642e-05 -127 *1480:35 *3214:48 0.000175892 -128 *1629:14 *3214:48 0.000703036 -129 *1657:40 *3214:36 3.698e-05 -130 *1801:47 *3214:149 0.000214558 -131 *1801:51 *3214:149 0.000257619 -132 *1802:51 *3214:173 6.57032e-05 -133 *2790:29 *3214:173 6.92626e-05 -134 *2823:63 *3214:173 9.21418e-06 -135 *2845:22 *3214:21 0.00139312 -136 *2845:30 *3214:36 0.000310445 -137 *2845:31 *3214:48 0.000715142 -138 *2845:80 *3214:78 0 -139 *2852:212 *3214:78 0.00116171 -140 *2856:38 *3214:36 0.000903943 -141 *2871:160 *3214:149 0.000102056 -142 *2872:13 *3214:21 0.000339346 -143 *2872:154 *3214:21 0.000809523 -144 *2878:16 *3214:21 0.000393451 -145 *2878:25 *3214:48 0.000266066 -146 *2880:197 *3214:112 0.000252721 -147 *2885:33 *3214:149 0.000300844 -148 *2885:33 *3214:173 4.08637e-05 -149 *2886:41 *3214:51 3.35295e-05 -150 *3124:15 *3214:78 0 -151 *3145:8 *3214:112 0.00162129 -152 *3149:23 *3214:21 0.000262504 -153 *3149:23 *3214:149 0.00010047 -154 *3150:116 *3214:180 1.34631e-05 -155 *3156:41 *3214:21 0.000377381 -156 *3156:59 *3214:36 0.00218479 -157 *3163:56 *3214:173 0.000170955 -158 *3164:69 *27855:B1 1.6256e-05 -159 *3164:69 *3214:48 0.00103902 -160 *3165:222 *3214:48 0.00116253 -161 *3165:254 *27855:B1 8.84467e-06 -162 *3165:254 *27903:B1 0.000309726 -163 *3165:254 *3214:51 6.82685e-05 -164 *3165:254 *3214:53 0.000394727 -165 *3173:110 *3214:92 0.000253146 -166 *3179:21 *3214:180 0.000512143 -167 *3183:7 *3214:149 5.33005e-05 -168 *3185:271 *3214:51 0.00100606 -169 *3185:273 *3214:51 2.23131e-05 -170 *3185:304 *3214:78 0 -171 *3192:60 *3214:51 0.000786627 -172 *3205:43 *3214:36 0.00152619 -173 *3206:13 *3214:21 5.14729e-05 -174 *3206:27 *3214:36 0.0021596 -175 *3206:48 *3214:36 1.90936e-05 -176 *3206:179 *3214:173 0.000521467 -*RES -1 *27662:Y *3214:4 9.3 -2 *3214:4 *3214:21 49.7679 -3 *3214:21 *3214:36 48.9353 -4 *3214:36 *3214:48 47.3661 -5 *3214:48 *3214:51 5.1866 -6 *3214:51 *3214:53 0.875767 -7 *3214:53 *27903:B1 24.4486 -8 *3214:53 *3214:78 18.9955 -9 *3214:78 *27880:B1 13.8 -10 *3214:78 *3214:92 48.7574 -11 *3214:92 *3214:94 3.41 -12 *3214:94 *27930:B1 18.0679 -13 *3214:94 *3214:112 25.0982 -14 *3214:112 *3214:121 49.5982 -15 *3214:121 *27952:B1 9.72857 -16 *3214:51 *27855:B1 19.551 -17 *3214:4 *3214:149 20.7321 -18 *3214:149 *30792:A 9.72857 -19 *3214:149 *3214:173 33.0714 -20 *3214:173 *3214:180 40.9464 -21 *3214:180 *27802:B1 9.3 -*END - -*D_NET *3215 0.174344 -*CONN -*I *27723:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27772:B I *D sky130_fd_sc_hd__and2_1 -*I *27677:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27757:A2 I *D sky130_fd_sc_hd__a2111o_2 -*I *27666:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27831:A2 I *D sky130_fd_sc_hd__a2111o_1 -*I *27810:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27714:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27872:B I *D sky130_fd_sc_hd__and2_1 -*I *27846:B I *D sky130_fd_sc_hd__and2_1 -*I *27896:B I *D sky130_fd_sc_hd__and2_1 -*I *27960:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27931:A2 I *D sky130_fd_sc_hd__a2111o_1 -*I *27663:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *27723:B1 0.000253089 -2 *27772:B 0 -3 *27677:B1 0 -4 *27757:A2 0 -5 *27666:B1 0.000829199 -6 *27831:A2 0 -7 *27810:B1 0.000434091 -8 *27714:B1 1.90567e-05 -9 *27872:B 0 -10 *27846:B 0.000283165 -11 *27896:B 0.000276719 -12 *27960:B1 0.0025841 -13 *27931:A2 1.80483e-05 -14 *27663:X 0.000160362 -15 *3215:309 0.0018455 -16 *3215:305 0.00309327 -17 *3215:286 0.00298047 -18 *3215:254 0.00163898 -19 *3215:248 0.00189028 -20 *3215:242 0.00310187 -21 *3215:218 0.00356504 -22 *3215:211 0.00481282 -23 *3215:204 0.004364 -24 *3215:199 0.00408257 -25 *3215:198 0.00150811 -26 *3215:196 0.00120357 -27 *3215:192 0.00154964 -28 *3215:182 0.000616261 -29 *3215:179 0.0015071 -30 *3215:178 0.00250503 -31 *3215:112 0.00138533 -32 *3215:104 0.00220517 -33 *3215:71 0.00442317 -34 *3215:57 0.00202406 -35 *3215:50 0.00351344 -36 *3215:44 0.00416992 -37 *3215:25 0.00524509 -38 *3215:16 0.00345698 -39 *3215:14 0.00187531 -40 *3215:13 0.00202872 -41 *3215:7 0.00158189 -42 *27666:B1 *25366:B2 3.63961e-05 -43 *27666:B1 *25901:A0 0.000739742 -44 *27666:B1 *27665:B1 0.000980918 -45 *27666:B1 *27666:A2 0.00168073 -46 *27666:B1 *3413:16 0.000185417 -47 *27666:B1 *3738:28 0.000105689 -48 *27666:B1 *3920:40 0.00110382 -49 *27666:B1 *5716:14 0.000118414 -50 *27714:B1 *27714:A1 1.00733e-05 -51 *27714:B1 *27714:A2 5.04841e-06 -52 *27723:B1 *27723:A1 1.92905e-05 -53 *27723:B1 *27723:A2 0.000278051 -54 *27723:B1 *27724:C1 0.000178847 -55 *27723:B1 *5820:85 5.33334e-05 -56 *27810:B1 *27454:A1 1.21258e-05 -57 *27810:B1 *27810:A1 0.000259324 -58 *27810:B1 *3387:14 4.26825e-05 -59 *27810:B1 *5467:18 3.79901e-06 -60 *27846:B *27846:A 0.000183058 -61 *27846:B *3921:54 4.25074e-05 -62 *27896:B *25326:B2 6.93221e-05 -63 *27896:B *28157:SET_B 0.000230975 -64 *27896:B *4023:28 2.74561e-05 -65 *27896:B *5510:116 0.000510366 -66 *27931:A2 *25210:A1 2.59355e-05 -67 *27931:A2 *3779:97 5.49544e-05 -68 *27960:B1 *27960:A1 9.41642e-05 -69 *27960:B1 *27960:A2 1.21258e-05 -70 *27960:B1 *27960:B2 1.47273e-05 -71 *27960:B1 *28379:RESET_B 0.000382646 -72 *27960:B1 *3461:26 0.00026647 -73 *27960:B1 *3828:61 0.00029496 -74 *27960:B1 *3857:49 0.000501058 -75 *27960:B1 *3923:32 0.000421122 -76 *27960:B1 *4036:40 0.000299286 -77 *27960:B1 *5457:22 0.000275209 -78 *27960:B1 *5744:119 0.000139734 -79 *3215:13 *5589:174 0.000710866 -80 *3215:13 *6385:141 0.00222209 -81 *3215:14 *5714:29 0 -82 *3215:25 *27714:A1 1.98839e-05 -83 *3215:25 *27714:A2 0.00125716 -84 *3215:25 *28696:D 0.000151673 -85 *3215:25 *3538:24 0.000110548 -86 *3215:25 *5605:60 7.48301e-06 -87 *3215:25 *5644:158 7.92885e-05 -88 *3215:44 *27714:A1 0.000198309 -89 *3215:44 *3574:111 0.000176204 -90 *3215:44 *3820:79 0.000174625 -91 *3215:44 *3830:95 4.00349e-05 -92 *3215:44 *3834:24 0.000219265 -93 *3215:44 *4133:108 0.000248208 -94 *3215:44 *5584:76 0.000359226 -95 *3215:44 *5605:56 0.000674545 -96 *3215:44 *5641:23 0.000303564 -97 *3215:50 *3822:57 0.00360889 -98 *3215:50 *4022:76 1.94945e-05 -99 *3215:50 *5694:57 0.00112125 -100 *3215:57 *25210:A1 6.05161e-06 -101 *3215:57 *3779:97 0.000289881 -102 *3215:57 *5600:47 7.61081e-05 -103 *3215:57 *5687:58 0 -104 *3215:71 *3482:19 2.09897e-05 -105 *3215:71 *3857:49 0.000346104 -106 *3215:71 *5691:93 0.000108684 -107 *3215:104 *27880:B2 0 -108 *3215:104 *27881:D 6.69871e-05 -109 *3215:104 *3437:14 0.00199003 -110 *3215:104 *4012:39 0.00199075 -111 *3215:104 *5467:14 0.000761081 -112 *3215:104 *5706:188 5.95318e-05 -113 *3215:112 *25326:B2 0.000355611 -114 *3215:112 *25665:A1 9.58181e-05 -115 *3215:112 *27846:A 5.05056e-05 -116 *3215:112 *27872:A 0.00048738 -117 *3215:112 *27881:D 0.000417286 -118 *3215:112 *3457:22 4.04292e-05 -119 *3215:112 *3780:34 2.63404e-05 -120 *3215:112 *3831:53 8.63375e-05 -121 *3215:112 *3921:11 1.01075e-05 -122 *3215:112 *3931:17 9.41642e-05 -123 *3215:112 *3931:26 0.000297255 -124 *3215:112 *3932:18 0.000197282 -125 *3215:112 *4023:28 4.85091e-05 -126 *3215:112 *5706:188 0.000605873 -127 *3215:112 *5706:195 0.000391462 -128 *3215:112 *5744:76 4.22135e-06 -129 *3215:178 *27810:A1 4.65519e-05 -130 *3215:178 *5649:55 2.52241e-05 -131 *3215:178 *5678:47 0.000303368 -132 *3215:178 *5936:25 5.86416e-05 -133 *3215:179 *3387:6 0.00325999 -134 *3215:179 *3850:24 0.000119419 -135 *3215:179 *3916:20 0.00226426 -136 *3215:179 *5535:46 0.000448037 -137 *3215:182 *27842:A2 0.000108912 -138 *3215:192 *27831:A1 7.27549e-05 -139 *3215:192 *27831:D1 0.000139344 -140 *3215:192 *27842:A2 5.74499e-06 -141 *3215:192 *3371:13 0.000130318 -142 *3215:196 *24986:A2 1.81805e-05 -143 *3215:196 *26880:A1 4.58194e-05 -144 *3215:196 *27474:A 7.69776e-06 -145 *3215:196 *28471:D 9.60875e-05 -146 *3215:196 *28471:RESET_B 0.000386255 -147 *3215:196 *5582:42 4.11173e-05 -148 *3215:196 *5589:166 9.06491e-05 -149 *3215:196 *5658:113 0.000132297 -150 *3215:196 *5680:209 1.87955e-05 -151 *3215:196 *5680:213 0.000317768 -152 *3215:196 *5680:215 0.000192551 -153 *3215:196 *5767:6 0.000179656 -154 *3215:196 *5767:29 0.000164792 -155 *3215:196 *5777:259 1.84504e-05 -156 *3215:199 *25065:A1 0.000286408 -157 *3215:199 *27380:A2 6.75683e-05 -158 *3215:199 *27463:B2 0.000197314 -159 *3215:199 *3746:22 0.000390155 -160 *3215:199 *3798:15 1.99675e-05 -161 *3215:199 *3798:40 0.000729036 -162 *3215:199 *4108:36 0.000129684 -163 *3215:199 *4121:62 0.00018973 -164 *3215:199 *5658:113 0.000298323 -165 *3215:199 *5683:166 0.00104489 -166 *3215:199 *5718:387 0.000311894 -167 *3215:199 *5767:29 0.000258797 -168 *3215:199 *5777:259 0.00208176 -169 *3215:204 *3552:39 2.05612e-05 -170 *3215:204 *3822:24 6.05161e-06 -171 *3215:204 *4134:78 0.00117561 -172 *3215:211 *28492:CLK 0.000173936 -173 *3215:211 *28492:RESET_B 0.000248647 -174 *3215:211 *28661:D 0.000339567 -175 *3215:211 *3550:11 5.21937e-05 -176 *3215:211 *3552:22 0.000104109 -177 *3215:211 *3552:39 6.4161e-05 -178 *3215:211 *3822:24 8.5729e-05 -179 *3215:211 *3924:64 0.000290986 -180 *3215:211 *4068:9 0.000175892 -181 *3215:211 *5655:203 0.000292708 -182 *3215:218 *27677:B2 3.66921e-05 -183 *3215:218 *27682:B 0.000136427 -184 *3215:218 *27754:A2 0.000110979 -185 *3215:218 *27757:A1 0.000139907 -186 *3215:218 *3304:37 1.5424e-05 -187 *3215:218 *3998:44 0.000426561 -188 *3215:218 *5584:131 9.58126e-05 -189 *3215:218 *5590:87 0.000260152 -190 *3215:218 *5649:151 0.000257034 -191 *3215:218 *5650:268 0.000469772 -192 *3215:242 *27752:A1 4.18413e-05 -193 *3215:242 *27753:A1 0.000135028 -194 *3215:242 *27753:B2 3.97677e-05 -195 *3215:242 *27754:A2 0.00033117 -196 *3215:242 *27757:A1 9.41642e-05 -197 *3215:242 *27757:C1 0.00034127 -198 *3215:242 *3304:37 7.95355e-05 -199 *3215:242 *3729:44 0.000586049 -200 *3215:242 *3823:40 9.06541e-05 -201 *3215:242 *5601:175 9.23689e-05 -202 *3215:242 *5649:157 0.000237742 -203 *3215:248 *3389:20 0.000299241 -204 *3215:248 *3576:31 0.000767035 -205 *3215:248 *3576:39 0.000123288 -206 *3215:248 *5600:61 9.90115e-06 -207 *3215:254 *25366:B2 0.000144038 -208 *3215:254 *25368:A1 0.00013024 -209 *3215:254 *27286:B2 0.000491403 -210 *3215:254 *27944:A2 4.33002e-05 -211 *3215:254 *28894:A 0.000315179 -212 *3215:254 *29969:A 7.02611e-05 -213 *3215:254 *3413:16 0.000172428 -214 *3215:254 *3576:10 0.000362538 -215 *3215:254 *3686:72 0.0001399 -216 *3215:254 *3725:18 0.000145753 -217 *3215:254 *4079:26 0.00107609 -218 *3215:254 *4089:12 0.000121573 -219 *3215:286 *27117:A 7.61425e-05 -220 *3215:286 *27125:S 5.5193e-05 -221 *3215:286 *27775:C1 2.04825e-05 -222 *3215:286 *3746:22 0.000323261 -223 *3215:286 *4121:62 0.000939068 -224 *3215:286 *5631:58 4.72945e-05 -225 *3215:286 *5632:90 0.00136243 -226 *3215:286 *5658:130 3.34366e-05 -227 *3215:286 *5905:86 1.96234e-05 -228 *3215:305 *25186:B1 5.46971e-05 -229 *3215:305 *27117:B 0.000501121 -230 *3215:305 *3965:35 0.00127996 -231 *3215:305 *3991:38 0.000226392 -232 *3215:305 *5607:102 9.8291e-05 -233 *3215:305 *5644:94 0.00011502 -234 *3215:305 *5644:99 4.78647e-05 -235 *3215:305 *5658:130 8.80161e-05 -236 *3215:305 *5668:50 4.34543e-05 -237 *3215:305 *5683:110 0.00048643 -238 *3215:305 *5777:223 6.59103e-06 -239 *3215:305 *5809:22 0 -240 *3215:309 *26961:A0 4.34627e-05 -241 *3215:309 *27723:A2 6.93756e-05 -242 *3215:309 *27742:B1 8.60466e-05 -243 *3215:309 *27778:B2 2.11419e-05 -244 *3215:309 *28525:CLK 0.000319644 -245 *3215:309 *28669:CLK 5.15925e-05 -246 *3215:309 *28687:RESET_B 0.000376253 -247 *3215:309 *29868:A 0.000314465 -248 *3215:309 *3565:10 0.000281116 -249 *3215:309 *3607:30 0.000235169 -250 *3215:309 *3770:21 6.8646e-06 -251 *3215:309 *3965:22 0.000110959 -252 *3215:309 *5644:99 0.000898864 -253 *3215:309 *5668:50 0.00118772 -254 *3215:309 *5676:47 0.000280703 -255 *3215:309 *5682:63 0.000379506 -256 *3215:309 *5695:80 0 -257 *3215:309 *5695:99 0 -258 *3215:309 *5800:11 8.65622e-05 -259 *24987:C1 *3215:196 0.000148182 -260 *25177:A2 *3215:57 3.89322e-05 -261 *25210:C1 *3215:57 0.000171916 -262 *25211:A2 *3215:50 6.05161e-06 -263 *25211:A2 *3215:57 2.63501e-05 -264 *25212:D *3215:50 5.95249e-05 -265 *27152:S *3215:309 2.11419e-05 -266 *27423:B1 *3215:286 0 -267 *27429:A2 *3215:286 7.63505e-06 -268 *27429:B1 *3215:286 4.65519e-05 -269 *27438:B1 *3215:199 0.000313458 -270 *27454:A2 *3215:178 0.000148495 -271 *27454:C1 *3215:178 0.00024361 -272 *27568:A2 *27960:B1 0.000526227 -273 *27657:B *3215:7 0.000105471 -274 *27663:B *3215:7 4.58194e-05 -275 *29068:A *3215:309 0.000122226 -276 *29132:A *27666:B1 0.000314458 -277 *29943:A *3215:309 0.00012294 -278 *1185:54 *3215:13 5.93972e-05 -279 *1185:78 *3215:192 2.51343e-06 -280 *1235:159 *3215:248 0.00114107 -281 *1246:62 *3215:44 0.0021607 -282 *1250:43 *3215:44 4.1879e-05 -283 *1256:61 *3215:7 3.2687e-05 -284 *1265:161 *27723:B1 1.91061e-05 -285 *1267:65 *3215:242 1.28224e-05 -286 *1270:66 *3215:199 1.90936e-05 -287 *1271:161 *3215:50 0.000301016 -288 *1275:225 *3215:218 8.55871e-05 -289 *1281:75 *3215:50 1.94945e-05 -290 *1282:136 *3215:50 7.09928e-05 -291 *1282:136 *3215:57 0.000111542 -292 *1288:57 *3215:254 1.4396e-05 -293 *1288:66 *3215:254 0.000893673 -294 *1292:65 *3215:242 0.000134885 -295 *1292:223 *3215:178 2.84376e-05 -296 *1293:173 *3215:305 0 -297 *1294:95 *3215:57 0 -298 *1294:175 *3215:13 0.000983088 -299 *1328:175 *27810:B1 9.11825e-07 -300 *1328:175 *3215:25 0.000121167 -301 *1328:175 *3215:178 0.000360167 -302 *1328:179 *3215:178 0.000979191 -303 *1341:8 *3215:13 7.25392e-05 -304 *1358:25 *27960:B1 0 -305 *1361:147 *3215:309 6.50032e-05 -306 *1400:40 *3215:57 6.93827e-05 -307 *1401:95 *3215:57 6.82498e-05 -308 *1406:24 *3215:218 0.000259549 -309 *1420:40 *3215:57 0 -310 *1430:65 *3215:71 2.09897e-05 -311 *1433:34 *3215:248 0.00016769 -312 *1440:85 *3215:57 4.15161e-05 -313 *1469:33 *3215:248 0.000232999 -314 *1470:90 *27960:B1 4.58712e-05 -315 *1506:17 *3215:305 4.48427e-05 -316 *1562:45 *3215:218 5.83304e-05 -317 *1563:25 *27960:B1 0.000179614 -318 *1563:26 *27960:B1 0.000110498 -319 *1700:6 *3215:218 2.59045e-05 -320 *1700:16 *3215:218 0.000318175 -321 *1826:170 *3215:199 0 -322 *1826:170 *3215:286 0 -323 *1834:64 *3215:242 0.000132954 -324 *2758:90 *3215:25 0.000436638 -325 *2775:156 *3215:242 1.62779e-05 -326 *2781:39 *3215:7 0.000426985 -327 *2782:147 *3215:204 0.00113365 -328 *2785:10 *3215:305 0 -329 *2785:12 *3215:286 4.1834e-05 -330 *2785:12 *3215:305 0.000594528 -331 *2786:80 *3215:286 0.000340734 -332 *2791:139 *3215:13 0.000135927 -333 *2794:172 *3215:196 0.00125341 -334 *2844:304 *3215:242 4.58194e-05 -335 *2844:386 *3215:199 1.90936e-05 -336 *2845:392 *27810:B1 1.07995e-05 -337 *2845:392 *3215:25 0.000626639 -338 *2850:179 *3215:44 0.000305278 -339 *2856:137 *3215:44 0.000127068 -340 *2859:313 *3215:178 5.52302e-05 -341 *2860:366 *3215:178 0.000527751 -342 *2861:29 *27810:B1 5.84987e-05 -343 *2866:36 *3215:179 0.000352672 -344 *2867:184 *3215:25 0.000345896 -345 *2871:232 *3215:196 0.000675479 -346 *2871:259 *3215:196 0.000180431 -347 *2879:118 *3215:218 0.00034579 -348 *2882:178 *3215:13 0.00127469 -349 *2882:188 *3215:196 0.000898499 -350 *2882:246 *3215:242 7.27952e-05 -351 *2885:156 *3215:286 0 -352 *2889:151 *3215:25 0.000951285 -353 *2891:200 *3215:218 0.000384673 -354 *2892:305 *3215:254 3.22738e-05 -355 *2895:210 *3215:44 0.000218409 -356 *2895:256 *3215:14 0.000484206 -357 *2895:256 *3215:178 0.000199569 -358 *3165:85 *3215:305 1.08895e-05 -359 *3170:167 *27810:B1 1.51881e-05 -360 *3173:94 *3215:112 0 -361 *3173:110 *27960:B1 0.00127641 -362 *3173:158 *3215:242 5.89551e-05 -363 *3176:8 *3215:13 0.000502785 -364 *3176:24 *3215:25 0.00260951 -365 *3176:230 *3215:14 1.18941e-06 -366 *3176:230 *3215:178 4.27698e-05 -367 *3176:234 *3215:196 0.00034455 -368 *3185:164 *3215:179 0.000568649 -369 *3185:304 *3215:104 4.37712e-06 -370 *3209:11 *3215:7 6.05161e-06 -371 *3214:112 *27960:B1 0.000301369 -*RES -1 *27663:X *3215:7 18.0321 -2 *3215:7 *3215:13 49.7213 -3 *3215:13 *3215:14 1.11233 -4 *3215:14 *3215:16 3.41 -5 *3215:16 *3215:25 35.5394 -6 *3215:25 *3215:44 47.2739 -7 *3215:44 *3215:50 48.1511 -8 *3215:50 *3215:57 14.2038 -9 *3215:57 *27931:A2 9.83571 -10 *3215:57 *3215:71 9.14402 -11 *3215:71 *27960:B1 37.3854 -12 *3215:71 *3215:104 16.7304 -13 *3215:104 *3215:112 32.6607 -14 *3215:112 *27896:B 20.8 -15 *3215:112 *27846:B 18.5857 -16 *3215:104 *27872:B 13.8 -17 *3215:25 *27714:B1 9.72857 -18 *3215:16 *27810:B1 22.5341 -19 *3215:14 *3215:178 38.507 -20 *3215:178 *3215:179 45.6607 -21 *3215:179 *3215:182 8.73214 -22 *3215:182 *27831:A2 9.3 -23 *3215:182 *3215:192 10.2857 -24 *3215:192 *3215:196 45.9464 -25 *3215:196 *3215:198 4.5 -26 *3215:198 *3215:199 49 -27 *3215:199 *3215:204 28.3571 -28 *3215:204 *3215:211 48.625 -29 *3215:211 *3215:218 41.125 -30 *3215:218 *3215:242 49.4429 -31 *3215:242 *3215:248 31.625 -32 *3215:248 *3215:254 38.4107 -33 *3215:254 *27666:B1 48.5857 -34 *3215:218 *27757:A2 9.3 -35 *3215:211 *27677:B1 9.3 -36 *3215:199 *3215:286 23.5251 -37 *3215:286 *27772:B 13.8 -38 *3215:286 *3215:305 35.7975 -39 *3215:305 *3215:309 48.1875 -40 *3215:309 *27723:B1 14.3536 -*END - -*D_NET *3216 0.112797 -*CONN -*I *30804:A I *D sky130_fd_sc_hd__buf_8 -*I *27963:B1 I *D sky130_fd_sc_hd__a221o_2 -*I *6643:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27687:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27909:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27936:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27861:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27886:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27664:X O *D sky130_fd_sc_hd__and3_4 -*CAP -1 *30804:A 0 -2 *27963:B1 1.36534e-05 -3 *6643:DIODE 0 -4 *27687:B1 0.000193815 -5 *27909:B1 0.000133968 -6 *27936:B1 0.00024692 -7 *27861:B1 0.000455781 -8 *27886:B1 0.000396197 -9 *27664:X 0.000420795 -10 *3216:203 0.0029166 -11 *3216:199 0.00385056 -12 *3216:184 0.00250346 -13 *3216:163 0.00200981 -14 *3216:148 0.001039 -15 *3216:142 0.00291921 -16 *3216:141 0.0038517 -17 *3216:99 0.00396301 -18 *3216:71 0.00584373 -19 *3216:63 0.00397309 -20 *3216:59 0.0010369 -21 *3216:55 0.001037 -22 *3216:53 0.00393394 -23 *3216:46 0.00429352 -24 *3216:26 0.00402426 -25 *3216:9 0.00329066 -26 *27687:B1 *27687:B2 0.000550802 -27 *27861:B1 *27495:B2 5.58905e-06 -28 *27861:B1 *27862:B2 0.000213669 -29 *27861:B1 *3723:34 0.000144324 -30 *27861:B1 *3840:27 0.000471569 -31 *27861:B1 *5458:24 0.000232495 -32 *27861:B1 *5892:145 0 -33 *27886:B1 *3791:84 0.000755656 -34 *27886:B1 *3918:41 0.000314538 -35 *27886:B1 *3918:45 8.85664e-07 -36 *27909:B1 *27909:A1 0.000106947 -37 *27936:B1 *27936:A2 8.99927e-05 -38 *27936:B1 *27937:C1 6.66848e-05 -39 *27936:B1 *27939:C 4.27338e-05 -40 *27963:B1 *27963:C1 3.08382e-06 -41 *3216:9 *5642:13 1.74352e-05 -42 *3216:26 *27277:A_N 0.000124377 -43 *3216:26 *27759:A1 7.12487e-05 -44 *3216:26 *3796:60 0 -45 *3216:26 *3847:25 3.93018e-05 -46 *3216:26 *5196:94 0.00189419 -47 *3216:26 *5442:51 1.76039e-05 -48 *3216:26 *5442:63 0.000779182 -49 *3216:26 *6385:141 0.00051321 -50 *3216:46 *25263:A1 0.000564103 -51 *3216:46 *3794:85 0.000221621 -52 *3216:46 *3872:28 4.65519e-05 -53 *3216:46 *3894:34 0.000145136 -54 *3216:46 *5442:51 0.000379429 -55 *3216:46 *5486:20 2.06112e-05 -56 *3216:53 *25890:A0 0.000681008 -57 *3216:53 *25890:A1 2.42516e-05 -58 *3216:55 *27963:A1 6.7e-06 -59 *3216:59 *27963:C1 0.000102758 -60 *3216:59 *3884:112 6.26774e-06 -61 *3216:63 *3444:13 0.00096708 -62 *3216:71 *27510:B2 0.000559944 -63 *3216:99 *27854:B2 0.000148871 -64 *3216:99 *27862:B2 0.000163724 -65 *3216:99 *3736:34 0.000249598 -66 *3216:99 *3892:47 1.39702e-05 -67 *3216:99 *3895:88 9.77021e-06 -68 *3216:99 *3918:45 0.0015623 -69 *3216:141 *25307:A1 0.000291738 -70 *3216:141 *3724:33 0.00162789 -71 *3216:141 *3909:31 0.000128552 -72 *3216:141 *3909:37 9.25014e-06 -73 *3216:141 *3958:34 2.2628e-05 -74 *3216:141 *5467:14 2.52313e-05 -75 *3216:141 *5493:31 0.00104941 -76 *3216:141 *5666:124 9.18765e-06 -77 *3216:142 *25864:B 0.000153089 -78 *3216:142 *27563:A1 9.89536e-05 -79 *3216:142 *27922:A1 0.000385161 -80 *3216:142 *28047:B 4.81011e-05 -81 *3216:142 *28990:A 0.000242566 -82 *3216:142 *28997:A 6.60156e-05 -83 *3216:142 *3452:6 0.00314107 -84 *3216:142 *3724:20 6.07037e-07 -85 *3216:142 *3802:38 0.0001326 -86 *3216:142 *3909:37 0.00205895 -87 *3216:142 *3947:68 0 -88 *3216:142 *3962:13 0.000202339 -89 *3216:142 *3974:22 8.83836e-05 -90 *3216:142 *3974:47 0.000177708 -91 *3216:142 *5666:36 9.59532e-06 -92 *3216:142 *5706:8 7.25338e-05 -93 *3216:142 *5706:16 1.90936e-05 -94 *3216:142 *5909:44 2.9995e-05 -95 *3216:142 *5909:61 0.000215808 -96 *3216:148 *25191:A1 3.22834e-05 -97 *3216:148 *3452:6 0 -98 *3216:148 *5866:17 0.000211023 -99 *3216:163 *25191:A1 0.000458526 -100 *3216:163 *27937:A2 0.000464669 -101 *3216:163 *3713:94 0.000482546 -102 *3216:163 *3947:61 0.000101088 -103 *3216:163 *5866:17 2.2628e-05 -104 *3216:184 *27580:B2 0.000135028 -105 *3216:184 *27909:A1 6.05161e-06 -106 *3216:184 *3542:27 0.00261917 -107 *3216:184 *3871:94 0.000112496 -108 *3216:184 *3947:45 0.000195769 -109 *3216:184 *3948:24 0.000191408 -110 *3216:184 *4092:65 0.000549154 -111 *3216:184 *5657:132 6.2127e-05 -112 *3216:184 *5729:301 1.21258e-05 -113 *3216:184 *5823:51 2.77258e-05 -114 *3216:184 *5823:58 0.00041835 -115 *3216:184 *5890:13 0.00193654 -116 *3216:199 *25153:B2 0.000580424 -117 *3216:199 *27955:A 0.000375826 -118 *3216:199 *27956:C 0.000344225 -119 *3216:199 *3711:93 6.31181e-05 -120 *3216:199 *4088:56 0.000147769 -121 *3216:199 *4092:65 8.76863e-05 -122 *3216:199 *4199:12 0.000146061 -123 *3216:199 *5607:27 0.000477213 -124 *3216:199 *5646:161 0.000143468 -125 *3216:203 *24944:B 1.21258e-05 -126 *3216:203 *3540:23 0.000184463 -127 *3216:203 *3885:26 0.000186042 -128 *3216:203 *5643:80 0.000122804 -129 *25161:B1 *3216:55 0.000350771 -130 *25890:S *3216:53 0.000151318 -131 *27182:S *3216:46 2.06112e-05 -132 *27268:A2 *3216:9 1.02936e-05 -133 *27268:A2 *3216:26 0.000139907 -134 *27537:C *3216:99 0.00150742 -135 *27560:B1 *3216:148 5.31844e-05 -136 *27948:A2 *3216:199 0 -137 *27963:A2 *3216:55 3.58774e-05 -138 *28782:D *3216:26 3.40128e-05 -139 *30255:A *3216:142 1.76335e-05 -140 *30535:A *27909:B1 2.36616e-05 -141 *1225:89 *3216:203 9.60875e-05 -142 *1251:29 *3216:141 7.3025e-06 -143 *1270:22 *3216:203 0.00158721 -144 *1399:93 *3216:199 4.10831e-05 -145 *1422:28 *3216:163 4.15002e-05 -146 *1427:41 *3216:99 6.84285e-05 -147 *1446:12 *3216:46 0.000147086 -148 *1448:46 *3216:163 0.000121573 -149 *1471:86 *3216:99 9.69276e-05 -150 *1471:95 *27861:B1 4.4631e-05 -151 *1472:25 *3216:199 0.000135028 -152 *1486:19 *3216:203 0.000347985 -153 *1535:39 *3216:53 4.87854e-05 -154 *1600:41 *3216:53 9.41642e-05 -155 *1647:29 *3216:199 5.33005e-05 -156 *1664:16 *3216:203 0.000207712 -157 *1665:29 *27909:B1 0.000242561 -158 *1665:29 *3216:184 0.000165988 -159 *1748:20 *3216:142 6.63587e-05 -160 *1802:38 *3216:26 0.000110238 -161 *1864:23 *3216:199 0.000219711 -162 *2823:29 *3216:26 0.000146474 -163 *2832:27 *3216:9 0.000776059 -164 *2832:38 *3216:9 9.60875e-05 -165 *2840:97 *3216:26 2.57955e-05 -166 *2855:91 *3216:141 0.000171441 -167 *2855:91 *3216:142 0.00303392 -168 *2855:121 *3216:142 0.000181286 -169 *2856:56 *3216:55 3.466e-06 -170 *2856:56 *3216:59 2.42242e-05 -171 *2856:57 *3216:71 0.00124852 -172 *2856:68 *3216:71 0.000907082 -173 *2859:73 *27861:B1 2.83129e-05 -174 *2859:73 *3216:141 2.13293e-06 -175 *2864:28 *3216:46 0.00119769 -176 *2864:40 *3216:53 1.10868e-05 -177 *2871:110 *3216:142 0.000143979 -178 *2871:116 *3216:142 0.000419659 -179 *2882:65 *3216:141 9.58181e-05 -180 *2892:65 *27861:B1 0.000141231 -181 *3019:8 *3216:26 9.73932e-05 -182 *3089:13 *3216:141 2.35215e-05 -183 *3154:13 *3216:26 7.69776e-06 -184 *3154:26 *3216:26 4.26565e-05 -185 *3158:11 *3216:9 0.000434391 -186 *3158:11 *3216:26 4.16984e-05 -187 *3170:106 *3216:199 0.00030355 -188 *3173:17 *3216:26 0.000178387 -189 *3183:86 *3216:53 0.000345604 -190 *3183:86 *3216:55 0.000241991 -191 *3194:19 *3216:26 0.000132613 -192 *3194:59 *3216:26 0 -193 *3196:30 *3216:26 0.00306081 -194 *3196:52 *3216:53 0.00108785 -195 *3196:59 *3216:59 1.51571e-05 -196 *3196:59 *3216:63 0.00190384 -197 *3196:59 *3216:71 0.000213737 -198 *3196:67 *27886:B1 9.41642e-05 -199 *3196:67 *3216:71 0.00091614 -200 *3197:70 *27687:B1 0.000632535 -201 *3197:74 *3216:203 0.000162549 -202 *3197:115 *3216:142 0 -203 *3197:115 *3216:148 0.000288786 -204 *3197:152 *27861:B1 1.90936e-05 -205 *3197:164 *27886:B1 0.000150618 -206 *3197:164 *3216:99 0.00156639 -*RES -1 *27664:X *3216:9 19.6214 -2 *3216:9 *3216:26 45.8894 -3 *3216:26 *3216:46 32.7771 -4 *3216:46 *3216:53 40.5357 -5 *3216:53 *3216:55 9.98214 -6 *3216:55 *3216:59 3.01786 -7 *3216:59 *3216:63 19.2411 -8 *3216:63 *3216:71 42.7946 -9 *3216:71 *27886:B1 30.2821 -10 *3216:71 *3216:99 39.8001 -11 *3216:99 *27861:B1 34.0111 -12 *3216:99 *3216:141 43.5785 -13 *3216:141 *3216:142 81.4821 -14 *3216:142 *3216:148 6.72321 -15 *3216:148 *27936:B1 17.3536 -16 *3216:148 *3216:163 18.2857 -17 *3216:163 *27909:B1 12.8714 -18 *3216:163 *3216:184 49.6071 -19 *3216:184 *3216:199 45.1607 -20 *3216:199 *3216:203 48.25 -21 *3216:203 *27687:B1 20.0857 -22 *3216:59 *6643:DIODE 9.3 -23 *3216:55 *27963:B1 9.72857 -24 *3216:9 *30804:A 9.3 -*END - -*D_NET *3217 0.0044172 -*CONN -*I *27666:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27665:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27666:C1 0.000711823 -2 *27665:X 0.000711823 -3 *27666:C1 *28350:D 0.000100831 -4 *27666:C1 *3920:40 0.00144225 -5 *27666:C1 *4087:61 0.000262076 -6 *27666:C1 *4350:96 0.000178151 -7 *1288:104 *27666:C1 0.00101025 -*RES -1 *27665:X *27666:C1 49.3679 -*END - -*D_NET *3218 0.0037609 -*CONN -*I *27667:C1 I *D sky130_fd_sc_hd__a211o_1 -*I *27666:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27667:C1 0.000830409 -2 *27666:X 0.000830409 -3 *27667:C1 *27666:B2 4.74489e-06 -4 *27667:C1 *3920:31 0.000122091 -5 *27667:C1 *5650:304 0.000778532 -6 *27667:C1 *5909:17 0.00106899 -7 *27667:B1 *27667:C1 0.000125731 -*RES -1 *27666:X *27667:C1 46.4393 -*END - -*D_NET *3219 0.00255978 -*CONN -*I *27668:D I *D sky130_fd_sc_hd__or4_4 -*I *27667:X O *D sky130_fd_sc_hd__a211o_1 -*CAP -1 *27668:D 0.000706974 -2 *27667:X 0.000706974 -3 *27668:D *6483:DIODE 3.97677e-05 -4 *27668:D *6645:DIODE 0.000138881 -5 *27668:D *5669:245 8.00806e-05 -6 *27668:D *5780:110 0 -7 *27668:D *5909:17 0 -8 *27668:D *5961:10 0.000549557 -9 *25856:B *27668:D 0.00022459 -10 *1884:23 *27668:D 0.000112958 -*RES -1 *27667:X *27668:D 42.5107 -*END - -*D_NET *3220 0.0652067 -*CONN -*I *6646:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27669:C I *D sky130_fd_sc_hd__or3_1 -*I *6647:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6648:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6645:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27668:X O *D sky130_fd_sc_hd__or4_4 -*CAP -1 *6646:DIODE 8.90295e-05 -2 *27669:C 0.00014097 -3 *6647:DIODE 0 -4 *6648:DIODE 0 -5 *6645:DIODE 0.000304539 -6 *27668:X 0 -7 *3220:57 0.000292804 -8 *3220:51 0.00244284 -9 *3220:44 0.00555431 -10 *3220:28 0.0046161 -11 *3220:24 0.00216449 -12 *3220:18 0.00357914 -13 *3220:16 0.00433208 -14 *3220:11 0.00168793 -15 *3220:4 0.000338806 -16 *3220:16 *27001:A1 9.97669e-05 -17 *3220:16 *28474:CLK 0.000118903 -18 *3220:16 *3591:54 0.000435366 -19 *3220:16 *3699:22 0 -20 *3220:16 *3764:12 0.000398489 -21 *3220:16 *3868:74 0.000154801 -22 *3220:16 *4011:52 0.000433332 -23 *3220:16 *4089:12 0 -24 *3220:18 *25377:A1 4.2416e-05 -25 *3220:18 *27328:A1 0.000244478 -26 *3220:18 *3764:12 0.00124936 -27 *3220:18 *3868:74 0 -28 *3220:18 *4011:52 0.000174159 -29 *3220:18 *4011:54 0.0054211 -30 *3220:18 *4079:43 0.00101378 -31 *3220:18 *5590:50 0.000375392 -32 *3220:18 *5642:172 0.000900268 -33 *3220:24 *25110:B2 2.06112e-05 -34 *3220:24 *25111:A2 5.31113e-05 -35 *3220:24 *5605:114 1.83341e-05 -36 *3220:24 *5605:116 0.00104985 -37 *3220:28 *3881:35 4.30345e-05 -38 *3220:28 *5590:14 0.000303226 -39 *3220:28 *5605:114 0.000698079 -40 *3220:44 *3790:63 0.000135968 -41 *3220:44 *3868:73 5.00194e-05 -42 *3220:44 *3875:73 0 -43 *3220:44 *3881:35 0.00123939 -44 *3220:44 *3920:88 0.000833389 -45 *3220:44 *4186:20 1.65345e-06 -46 *3220:44 *5583:22 1.90936e-05 -47 *3220:44 *5600:37 9.82947e-05 -48 *3220:44 *5605:99 8.6273e-05 -49 *3220:44 *5655:150 1.14338e-05 -50 *3220:51 *25323:A1 5.96516e-05 -51 *3220:51 *3834:33 0.000125717 -52 *3220:51 *3919:82 0.00116882 -53 *3220:51 *5600:19 6.57032e-05 -54 *3220:51 *5600:37 2.13481e-06 -55 *3220:51 *5600:86 0.000130619 -56 *25122:A2 *3220:44 0.000224237 -57 *25323:B1 *3220:51 1.65169e-05 -58 *25324:C1 *27669:C 8.80543e-05 -59 *25324:C1 *3220:51 0.00070135 -60 *25324:C1 *3220:57 3.41332e-05 -61 *25372:B1 *3220:18 7.43816e-05 -62 *25856:B *6645:DIODE 0.00016627 -63 *25856:B *6646:DIODE 7.77751e-05 -64 *25856:B *3220:11 2.89016e-05 -65 *27668:D *6645:DIODE 0.000138881 -66 *29446:A *3220:28 0.000107313 -67 *1211:16 *3220:28 0.000827937 -68 *1227:26 *3220:44 0.000334691 -69 *1239:14 *3220:44 0.000110498 -70 *1257:26 *3220:44 1.57219e-05 -71 *1257:46 *3220:44 0.00133009 -72 *1263:36 *3220:44 1.91949e-05 -73 *1273:203 *3220:44 0.000803179 -74 *1288:57 *3220:18 0.000145185 -75 *1288:66 *3220:18 8.41284e-06 -76 *1327:78 *3220:44 2.63501e-05 -77 *1395:126 *3220:28 0.000310821 -78 *1395:126 *3220:44 0.000935808 -79 *1395:133 *3220:28 0.00229882 -80 *1395:146 *3220:18 1.68913e-05 -81 *1395:146 *3220:24 0.000713217 -82 *1396:120 *3220:24 0.000783681 -83 *1396:120 *3220:28 1.20289e-05 -84 *1396:168 *3220:24 0.000951463 -85 *1396:171 *3220:18 0.00114974 -86 *1399:11 *3220:28 0.000118057 -87 *1430:76 *3220:44 6.1826e-05 -88 *1450:28 *3220:18 5.20383e-05 -89 *1450:33 *3220:18 6.057e-07 -90 *1460:25 *3220:28 0.000971833 -91 *1460:25 *3220:44 0 -92 *1644:36 *3220:44 6.19423e-05 -93 *1653:47 *3220:51 0.00012401 -94 *1730:13 *3220:44 7.85461e-05 -95 *1844:16 *3220:44 0.000332342 -96 *2851:6 *3220:18 0.00518504 -97 *2851:6 *3220:24 3.85319e-05 -98 *2866:119 *3220:28 0.000169323 -99 *2867:99 *3220:44 0.00146821 -100 *2867:120 *3220:44 0.00100852 -101 *2892:305 *3220:18 0.00026532 -*RES -1 *27668:X *3220:4 9.3 -2 *3220:4 *6645:DIODE 13.5321 -3 *3220:4 *3220:11 0.535714 -4 *3220:11 *3220:16 39.3125 -5 *3220:16 *3220:18 108.214 -6 *3220:18 *3220:24 25.8393 -7 *3220:24 *3220:28 43.9554 -8 *3220:28 *3220:44 47.6715 -9 *3220:44 *3220:51 38 -10 *3220:51 *6648:DIODE 9.3 -11 *3220:51 *3220:57 1.76786 -12 *3220:57 *6647:DIODE 9.3 -13 *3220:57 *27669:C 11.8 -14 *3220:11 *6646:DIODE 10.6571 -*END - -*D_NET *3221 0.00970573 -*CONN -*I *27671:A2 I *D sky130_fd_sc_hd__a32o_1 -*I *27669:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *27671:A2 0.000546429 -2 *27669:X 0.00136462 -3 *3221:15 0.00191105 -4 *27671:A2 *27671:A3 5.52302e-05 -5 *27671:A2 *3847:35 2.11068e-05 -6 *27671:A2 *5629:132 8.6229e-06 -7 *27671:A2 *5657:198 0.00101516 -8 *3221:15 *25324:A1 0.000804132 -9 *3221:15 *3820:79 0.000491673 -10 *3221:15 *4015:26 9.41642e-05 -11 *3221:15 *5467:14 5.96243e-05 -12 *25324:B1 *3221:15 0.000385277 -13 *25324:C1 *3221:15 4.55338e-05 -14 *27334:B1 *27671:A2 2.14658e-05 -15 *29655:A *3221:15 0.000510642 -16 *1228:61 *27671:A2 9.27424e-05 -17 *1273:102 *3221:15 5.74499e-06 -18 *1291:31 *27671:A2 1.90936e-05 -19 *1328:140 *3221:15 0.000595499 -20 *1642:14 *3221:15 9.60939e-05 -21 *2758:37 *3221:15 9.41642e-05 -22 *2891:104 *27671:A2 0.000137113 -23 *2895:28 *27671:A2 0.00010798 -24 *2895:210 *27671:A2 0.000735142 -25 *2895:210 *3221:15 0.000487419 -*RES -1 *27669:X *3221:15 49.4808 -2 *3221:15 *27671:A2 28.9875 -*END - -*D_NET *3222 0.00160825 -*CONN -*I *27671:A3 I *D sky130_fd_sc_hd__a32o_1 -*I *27670:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *27671:A3 0.000246493 -2 *27670:X 0.000246493 -3 *27671:A3 *26875:A0 1.5424e-05 -4 *27671:A3 *27670:A 0.000137912 -5 *27671:A3 *3660:22 8.6229e-06 -6 *27671:A3 *5629:132 0.000257619 -7 *27333:A2 *27671:A3 0.000136958 -8 *27334:B1 *27671:A3 0.000228639 -9 *27671:A2 *27671:A3 5.52302e-05 -10 *1182:26 *27671:A3 0.000136951 -11 *1536:27 *27671:A3 0.000137912 -*RES -1 *27670:X *27671:A3 34.6 -*END - -*D_NET *3223 0.00500905 -*CONN -*I *27689:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27672:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27689:C1 0.00197709 -2 *27672:X 0.00197709 -3 *27689:C1 *27672:A1 0.000135028 -4 *27689:C1 *27672:B1 1.21258e-05 -5 *27689:C1 *27672:B2 2.89016e-05 -6 *27689:C1 *27689:A1 0.000135028 -7 *27689:C1 *27689:A2 0.000461041 -8 *27689:C1 *27689:B1 5.33005e-05 -9 *27689:C1 *27689:B2 2.94641e-05 -10 *1507:25 *27689:C1 0.000199983 -*RES -1 *27672:X *27689:C1 42.0464 -*END - -*D_NET *3224 0.000865837 -*CONN -*I *27677:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27673:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *27677:C1 0.00019768 -2 *27673:X 0.00019768 -3 *27677:C1 *3907:32 0.000148031 -4 *27677:C1 *4119:26 0.000144624 -5 *3176:267 *27677:C1 0.000177821 -*RES -1 *27673:X *27677:C1 31.3143 -*END - -*D_NET *3225 0.00124391 -*CONN -*I *27683:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27674:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27683:C1 0.000217352 -2 *27674:X 0.000217352 -3 *27683:C1 *3963:109 0.000337637 -4 *1501:40 *27683:C1 0.000136958 -5 *2784:67 *27683:C1 0.000334609 -*RES -1 *27674:X *27683:C1 33.3321 -*END - -*D_NET *3226 0.0164294 -*CONN -*I *27676:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27675:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27676:C1 4.02832e-05 -2 *27675:X 0.00168556 -3 *3226:14 0.00149159 -4 *3226:8 0.00313686 -5 *27676:C1 *3833:13 0.000135028 -6 *3226:8 *25628:A0 0.00067688 -7 *3226:8 *28628:CLK 0.000265973 -8 *3226:8 *3599:16 3.22786e-05 -9 *3226:8 *3599:22 0.000488297 -10 *3226:8 *5768:70 0.000379288 -11 *3226:8 *5768:79 0.000407467 -12 *3226:14 *27758:A1 5.83233e-05 -13 *3226:14 *3581:138 0.000175892 -14 *3226:14 *3781:16 0.00029549 -15 *3226:14 *3822:104 5.9026e-05 -16 *3226:14 *3822:108 6.33204e-05 -17 *3226:14 *3898:32 0.000181963 -18 *3226:14 *3907:32 0.000331657 -19 *3226:14 *4017:56 4.32957e-05 -20 *3226:14 *4119:24 0.0005667 -21 *3226:14 *5638:130 0.00122288 -22 *27690:B1 *3226:14 0.00197119 -23 *27751:B1 *27676:C1 0.000136951 -24 *29227:A *3226:8 0.000112777 -25 *1660:11 *3226:8 0.000164897 -26 *2767:167 *3226:8 0.00138312 -27 *2784:112 *3226:14 0.000361343 -28 *2788:97 *3226:8 0.000344282 -29 *2856:364 *3226:8 0.000216802 -*RES -1 *27675:X *3226:8 49.4607 -2 *3226:8 *3226:14 49.1429 -3 *3226:14 *27676:C1 15.1571 -*END - -*D_NET *3227 0.00592692 -*CONN -*I *27682:A I *D sky130_fd_sc_hd__or4_1 -*I *27676:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27682:A 0 -2 *27676:X 0.000834701 -3 *3227:8 0.000834701 -4 *3227:8 *27682:C 2.35985e-05 -5 *3227:8 *27758:B2 0.000884042 -6 *3227:8 *3230:6 0.000299611 -7 *3227:8 *3992:66 1.17921e-05 -8 *3227:8 *4054:25 0.00057643 -9 *3227:8 *4054:26 0.00124822 -10 *3227:8 *5649:217 2.03752e-05 -11 *2784:67 *3227:8 0.00119344 -*RES -1 *27676:X *3227:8 46.9607 -2 *3227:8 *27682:A 9.3 -*END - -*D_NET *3228 0.00226294 -*CONN -*I *27682:B I *D sky130_fd_sc_hd__or4_1 -*I *27677:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27682:B 0.00077008 -2 *27677:X 0.00077008 -3 *27682:B *27677:A1 0.000135028 -4 *27682:B *27677:B2 1.02821e-05 -5 *27682:B *27682:C 4.18505e-05 -6 *27682:B *3846:34 0.000107843 -7 *27682:B *3907:32 2.21972e-05 -8 *27682:B *4119:26 2.04825e-05 -9 *27682:B *5649:217 1.94945e-05 -10 *1267:65 *27682:B 0.000172031 -11 *3176:267 *27682:B 5.71472e-05 -12 *3215:218 *27682:B 0.000136427 -*RES -1 *27677:X *27682:B 40.5351 -*END - -*D_NET *3229 0.00107328 -*CONN -*I *27679:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27678:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27679:C1 0.000287652 -2 *27678:X 0.000287652 -3 *27679:C1 *27679:B2 2.26973e-05 -4 *27679:C1 *3822:104 4.51889e-05 -5 *27679:C1 *5649:223 0.000168334 -6 *27679:C1 *5649:233 0.000137983 -7 *27690:B1 *27679:C1 0.000123775 -*RES -1 *27678:X *27679:C1 32.2429 -*END - -*D_NET *3230 0.0121743 -*CONN -*I *27682:C I *D sky130_fd_sc_hd__or4_1 -*I *27679:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27682:C 0.000768325 -2 *27679:X 0.00120329 -3 *3230:6 0.00197161 -4 *27682:C *27137:A1 3.51368e-05 -5 *27682:C *3846:34 1.14483e-05 -6 *27682:C *4054:17 6.05161e-06 -7 *27682:C *4054:25 0.000555462 -8 *27682:C *5649:217 0.00164445 -9 *3230:6 *27747:A2 0.000303975 -10 *3230:6 *27758:B1 0 -11 *3230:6 *27758:B2 4.21584e-05 -12 *3230:6 *28629:CLK 0.000130762 -13 *3230:6 *28675:SET_B 0.000343758 -14 *3230:6 *3598:43 1.08524e-05 -15 *3230:6 *3846:34 0 -16 *3230:6 *4003:20 0.0007664 -17 *3230:6 *5649:217 0.000394342 -18 *3230:6 *5649:220 0.00226847 -19 *3230:6 *5663:155 1.28259e-05 -20 *27682:B *27682:C 4.18505e-05 -21 *2761:80 *3230:6 0.000139693 -22 *2784:67 *27682:C 0.00117433 -23 *3164:346 *3230:6 0 -24 *3177:117 *27682:C 2.59355e-05 -25 *3227:8 *27682:C 2.35985e-05 -26 *3227:8 *3230:6 0.000299611 -*RES -1 *27679:X *3230:6 49.4429 -2 *3230:6 *27682:C 47.8714 -*END - -*D_NET *3231 0.00101031 -*CONN -*I *27681:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27680:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27681:C1 0.000202605 -2 *27680:X 0.000202605 -3 *27681:C1 *27336:A1 1.21258e-05 -4 *27681:C1 *27680:A2 0.000180847 -5 *27681:C1 *27681:A1 2.41198e-05 -6 *27681:C1 *27681:B2 3.91018e-05 -7 *1660:42 *27681:C1 0.000142371 -8 *3162:20 *27681:C1 0.00014083 -9 *3185:118 *27681:C1 6.57032e-05 -*RES -1 *27680:X *27681:C1 32.6893 -*END - -*D_NET *3232 0.00282476 -*CONN -*I *27682:D I *D sky130_fd_sc_hd__or4_1 -*I *27681:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27682:D 0.00106386 -2 *27681:X 0.00106386 -3 *27682:D *27336:B2 0.000110209 -4 *27682:D *3846:34 0.000138443 -5 *27682:D *3992:66 0.000283606 -6 *3185:107 *27682:D 0.000164789 -*RES -1 *27681:X *27682:D 41.7607 -*END - -*D_NET *3233 0.0197256 -*CONN -*I *27693:B I *D sky130_fd_sc_hd__or4_1 -*I *27682:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27693:B 0.000174727 -2 *27682:X 0.000413716 -3 *3233:26 0.00108464 -4 *3233:16 0.00220183 -5 *3233:13 0.00170564 -6 *3233:13 *3898:61 0.000385095 -7 *3233:13 *4003:30 0.000239542 -8 *3233:13 *5633:164 0.000175892 -9 *3233:16 *3963:87 2.2628e-05 -10 *3233:16 *4054:26 0.00362885 -11 *3233:26 *27349:A1 0.000170089 -12 *3233:26 *27686:B2 0.000282728 -13 *3233:26 *28752:CLK 2.26973e-05 -14 *3233:26 *3872:86 2.25946e-05 -15 *3233:26 *3963:87 4.43126e-05 -16 *3233:26 *3963:93 0.00214377 -17 *3233:26 *4054:26 1.44954e-05 -18 *3233:26 *5589:20 0.000129913 -19 *3233:26 *5643:66 0.00116499 -20 *27349:B1 *3233:26 0.000260574 -21 *1185:88 *27693:B 0.000218454 -22 *1242:88 *3233:26 0.000260519 -23 *1270:33 *3233:16 0.00185633 -24 *1281:125 *3233:26 4.28365e-05 -25 *1507:20 *3233:26 0.000153054 -26 *2768:56 *3233:26 4.11218e-05 -27 *2794:122 *3233:16 0.00168679 -28 *2794:141 *3233:16 0.0001876 -29 *2880:58 *3233:13 0.000118144 -30 *2904:11 *3233:13 0.000239542 -31 *2913:8 *3233:26 0.000249272 -32 *3176:267 *3233:13 0.000383166 -*RES -1 *27682:X *3233:13 33.6036 -2 *3233:13 *3233:16 48.1696 -3 *3233:16 *3233:26 48.0625 -4 *3233:26 *27693:B 11.4786 -*END - -*D_NET *3234 0.000644221 -*CONN -*I *27684:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27683:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27684:C1 0.000126879 -2 *27683:X 0.000126879 -3 *27684:C1 *27349:A1 0.000147325 -4 *27684:C1 *5644:186 0.000147325 -5 *1650:16 *27684:C1 9.58126e-05 -*RES -1 *27683:X *27684:C1 30.4929 -*END - -*D_NET *3235 0.00316299 -*CONN -*I *27693:C I *D sky130_fd_sc_hd__or4_1 -*I *27684:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27693:C 0.000418796 -2 *27684:X 0.000418796 -3 *27693:C *27684:A2 2.89114e-05 -4 *27693:C *27693:D 3.45899e-05 -5 *27693:C *3704:31 9.27826e-05 -6 *27693:C *3739:122 5.33005e-05 -7 *27693:C *3977:24 4.51258e-05 -8 *27693:C *5582:20 0.000106304 -9 *27693:C *5596:15 0.000875918 -10 *2889:36 *27693:C 0.00108847 -*RES -1 *27684:X *27693:C 42.5286 -*END - -*D_NET *3236 0.00532326 -*CONN -*I *27686:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27685:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27686:C1 0 -2 *27685:X 0.000828789 -3 *3236:13 0.000828789 -4 *3236:13 *25200:B2 2.84026e-05 -5 *3236:13 *25333:A1 5.41797e-06 -6 *3236:13 *27371:A1 9.66977e-05 -7 *3236:13 *27371:A2 0.000243092 -8 *3236:13 *27371:B2 2.86188e-05 -9 *3236:13 *27686:A2 1.46576e-05 -10 *3236:13 *27686:B1 5.33005e-05 -11 *3236:13 *27686:B2 7.91479e-05 -12 *3236:13 *5609:152 0.000298483 -13 *3236:13 *5630:43 0.000148934 -14 *3236:13 *5630:45 8.30355e-06 -15 *3236:13 *5660:137 8.25275e-05 -16 *25200:B1 *3236:13 0.000206852 -17 *1396:129 *3236:13 0.00175655 -18 *1501:22 *3236:13 0.000312585 -19 *2864:264 *3236:13 0.000302115 -*RES -1 *27685:X *3236:13 42.7643 -2 *3236:13 *27686:C1 9.3 -*END - -*D_NET *3237 0.00219679 -*CONN -*I *27692:A I *D sky130_fd_sc_hd__or4_1 -*I *27686:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27692:A 0.000730595 -2 *27686:X 0.000730595 -3 *27692:A *3820:91 0 -4 *27692:A *5650:234 0.000197894 -5 *1225:23 *27692:A 4.19624e-06 -6 *2888:212 *27692:A 0.000533509 -*RES -1 *27686:X *27692:A 41.5107 -*END - -*D_NET *3238 0.000800401 -*CONN -*I *27688:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27687:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27688:C1 0.000288034 -2 *27687:X 0.000288034 -3 *3197:57 *27688:C1 0.000139907 -4 *3197:70 *27688:C1 8.44269e-05 -*RES -1 *27687:X *27688:C1 23.7071 -*END - -*D_NET *3239 0.000767447 -*CONN -*I *27692:B I *D sky130_fd_sc_hd__or4_1 -*I *27688:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27692:B 0.000381878 -2 *27688:X 0.000381878 -3 *27692:B *27688:A2 3.69047e-06 -*RES -1 *27688:X *27692:B 22.4214 -*END - -*D_NET *3240 0.00352561 -*CONN -*I *27692:C I *D sky130_fd_sc_hd__or4_1 -*I *27689:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27692:C 0.000584655 -2 *27689:X 0.000584655 -3 *27692:C *27692:D 0.000907545 -4 *27692:C *3886:67 0.000104056 -5 *27692:C *5652:12 0.00104792 -6 *1507:25 *27692:C 0.000218685 -7 *2768:56 *27692:C 7.80929e-05 -*RES -1 *27689:X *27692:C 44.1 -*END - -*D_NET *3241 0.011734 -*CONN -*I *27691:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27690:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27691:C1 0 -2 *27690:X 0.000585073 -3 *3241:14 0.00129253 -4 *3241:10 0.0018776 -5 *3241:10 *27012:A0 1.43824e-05 -6 *3241:10 *5642:151 0.000339346 -7 *3241:14 *27339:B2 0.000213277 -8 *3241:14 *3550:11 0.00168866 -9 *3241:14 *3937:8 0.000252101 -10 *3241:14 *3937:37 0.000417793 -11 *3241:14 *3963:72 4.09607e-05 -12 *3241:14 *3963:87 3.2923e-05 -13 *30392:A *3241:14 8.89202e-05 -14 *2759:111 *3241:10 0.000563323 -15 *2759:120 *3241:14 0.00311273 -16 *2784:88 *3241:10 0 -17 *2784:88 *3241:14 1.34836e-05 -18 *2794:122 *3241:10 0.000653696 -19 *2794:122 *3241:14 0.000149085 -20 *2883:231 *3241:14 7.78851e-05 -21 *2883:250 *3241:14 0.00014699 -22 *2885:175 *3241:14 0.000173278 -*RES -1 *27690:X *3241:10 26.5232 -2 *3241:10 *3241:14 48.4911 -3 *3241:14 *27691:C1 9.3 -*END - -*D_NET *3242 0.0104571 -*CONN -*I *27692:D I *D sky130_fd_sc_hd__or4_1 -*I *27691:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27692:D 0.00040168 -2 *27691:X 0.000982733 -3 *3242:6 0.00138441 -4 *3242:6 *28475:CLK 0.000162573 -5 *3242:6 *28475:SET_B 1.36825e-05 -6 *3242:6 *3624:8 0.00014784 -7 *3242:6 *3886:67 0.000120376 -8 *3242:6 *5629:166 0.00270581 -9 *3242:6 *5652:12 0.00181984 -10 *3242:6 *5652:20 0.000108889 -11 *27351:A2 *27692:D 0.000136958 -12 *27692:C *27692:D 0.000907545 -13 *1266:64 *3242:6 0.000121503 -14 *1270:41 *3242:6 1.721e-05 -15 *1549:24 *3242:6 0.000519192 -16 *2768:56 *27692:D 0.000906891 -*RES -1 *27691:X *3242:6 49.4429 -2 *3242:6 *27692:D 36.1214 -*END - -*D_NET *3243 0.00303843 -*CONN -*I *27693:D I *D sky130_fd_sc_hd__or4_1 -*I *27692:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27693:D 0.000473947 -2 *27692:X 0.000473947 -3 *27693:D *27683:A1 0.000149188 -4 *27693:D *3977:24 0.00127113 -5 *27693:C *27693:D 3.45899e-05 -6 *2889:36 *27693:D 0.000635624 -*RES -1 *27692:X *27693:D 44.1179 -*END - -*D_NET *3244 0.00107012 -*CONN -*I *27694:B1 I *D sky130_fd_sc_hd__o211a_1 -*I *27693:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27694:B1 0.000294433 -2 *27693:X 0.000294433 -3 *27694:B1 *27693:A 2.12087e-05 -4 *27694:B1 *3820:91 0.000124892 -5 *27694:B1 *3899:40 0 -6 *1285:26 *27694:B1 0 -7 *2763:82 *27694:B1 7.58841e-05 -8 *2780:158 *27694:B1 0.000259267 -*RES -1 *27693:X *27694:B1 32.2429 -*END - -*D_NET *3245 0.0193217 -*CONN -*I *27695:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *27694:X O *D sky130_fd_sc_hd__o211a_1 -*CAP -1 *27695:B1 0.000964939 -2 *27694:X 0.000373873 -3 *3245:14 0.00273759 -4 *3245:13 0.00214652 -5 *27695:B1 *27717:A1 2.42377e-05 -6 *27695:B1 *28692:D 0.000218915 -7 *27695:B1 *3246:17 4.82865e-05 -8 *27695:B1 *3316:17 4.38942e-05 -9 *27695:B1 *5754:82 0.000171737 -10 *27695:B1 *5754:102 5.58875e-06 -11 *3245:13 *27363:B2 0.000420944 -12 *3245:14 *3899:40 0.0030163 -13 *3245:14 *3912:82 6.82091e-06 -14 *3245:14 *5644:158 0.000628652 -15 *6628:DIODE *3245:14 2.06178e-05 -16 *27363:C1 *3245:13 7.20217e-06 -17 *27363:C1 *3245:14 0.000634223 -18 *29759:A *27695:B1 0.000126958 -19 *2886:175 *27695:B1 0.00155691 -20 *2886:188 *3245:14 0.000633447 -21 *2886:225 *3245:14 0.000135857 -22 *2891:111 *27695:B1 0.000589515 -23 *2891:111 *3245:14 0.0015032 -24 *2917:24 *3245:14 4.60593e-05 -25 *2917:26 *27695:B1 2.15809e-05 -26 *2917:26 *3245:14 0.00323786 -*RES -1 *27694:X *3245:13 19.425 -2 *3245:13 *3245:14 62.8125 -3 *3245:14 *27695:B1 43.0914 -*END - -*D_NET *3246 0.00362536 -*CONN -*I *27696:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27695:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *27696:A1 0 -2 *27695:X 0.000990532 -3 *3246:17 0.000990532 -4 *3246:17 *27695:A1 0.000424029 -5 *3246:17 *28860:A 0.000553158 -6 *3246:17 *3316:17 5.20359e-06 -7 *3246:17 *5599:295 9.60875e-05 -8 *3246:17 *5641:23 7.6644e-05 -9 *3246:17 *6225:116 7.95888e-05 -10 *27695:B1 *3246:17 4.82865e-05 -11 *28801:D *3246:17 9.25014e-06 -12 *2850:179 *3246:17 7.83587e-05 -13 *2879:27 *3246:17 0.000177815 -14 *3178:139 *3246:17 3.97677e-05 -15 *3182:27 *3246:17 5.61047e-05 -*RES -1 *27695:X *3246:17 42.4786 -2 *3246:17 *27696:A1 9.3 -*END - -*D_NET *3247 0.00127791 -*CONN -*I *27698:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27697:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27698:C1 0.000390955 -2 *27697:X 0.000390955 -3 *27698:C1 *27697:A1 0.000330989 -4 *27698:C1 *27698:A1 3.77297e-05 -5 *27698:C1 *27698:B2 4.75761e-05 -6 *27698:C1 *5702:29 7.14469e-05 -7 *1680:17 *27698:C1 8.25843e-06 -*RES -1 *27697:X *27698:C1 34.1714 -*END - -*D_NET *3248 0.000866658 -*CONN -*I *27699:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27698:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27699:C1 0.000314326 -2 *27698:X 0.000314326 -3 *27699:C1 *27699:A1 0 -4 *27699:C1 *27699:B1 1.21436e-05 -5 *27699:C1 *3691:20 7.29712e-05 -6 *27699:C1 *4081:34 7.29712e-05 -7 *27699:C1 *5633:103 7.99196e-05 -*RES -1 *27698:X *27699:C1 31.9571 -*END - -*D_NET *3249 0.00119499 -*CONN -*I *27709:A I *D sky130_fd_sc_hd__or2_2 -*I *27699:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27709:A 0.000235581 -2 *27699:X 0.000235581 -3 *27709:A *27699:A2 0.000120504 -4 *27709:A *27709:B 9.60875e-05 -5 *27709:A *3951:20 0.000145405 -6 *27709:A *4017:56 0.000160663 -7 *27709:A *5647:116 3.82242e-05 -8 *27709:A *5654:108 0.000149797 -9 *2933:12 *27709:A 1.31516e-05 -*RES -1 *27699:X *27709:A 33.7786 -*END - -*D_NET *3250 0.0309106 -*CONN -*I *27701:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27700:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27701:C1 0.00079888 -2 *27700:X 0.00139694 -3 *3250:39 0.00222127 -4 *3250:26 0.00239638 -5 *3250:23 0.00156944 -6 *3250:17 0.00199239 -7 *27701:C1 *28653:CLK 6.53083e-05 -8 *27701:C1 *28661:CLK 0.000367468 -9 *27701:C1 *3743:40 0.000778514 -10 *27701:C1 *3743:42 0.000244721 -11 *27701:C1 *3978:66 5.27506e-05 -12 *27701:C1 *4107:32 9.90101e-05 -13 *27701:C1 *4107:39 1.44954e-05 -14 *3250:17 *3978:66 0.00148161 -15 *3250:17 *5600:132 6.14836e-06 -16 *3250:17 *5643:58 0.00046847 -17 *3250:17 *5643:298 0.00017754 -18 *3250:17 *5667:40 5.58809e-05 -19 *3250:23 *3703:35 0.000216755 -20 *3250:23 *3743:51 0.00160763 -21 *3250:23 *3978:66 0.00160591 -22 *3250:23 *4146:27 0.000185417 -23 *3250:26 *4068:24 0.000808796 -24 *3250:26 *4068:31 0.000328451 -25 *3250:26 *4146:11 0.00019034 -26 *3250:26 *5600:139 0.000666649 -27 *3250:26 *5892:74 8.72919e-05 -28 *3250:39 *28923:A 5.30491e-05 -29 *3250:39 *28924:A 7.47029e-06 -30 *3250:39 *3580:12 1.40849e-05 -31 *3250:39 *4068:16 0.00171322 -32 *3250:39 *4068:24 1.02504e-05 -33 *3250:39 *5589:37 2.63501e-05 -34 *3250:39 *5589:40 7.04467e-05 -35 *3250:39 *5600:151 5.00087e-06 -36 *3250:39 *5600:173 0.000166032 -37 *3250:39 *6225:135 1.20475e-05 -38 *27336:A2 *3250:39 8.14863e-05 -39 *27360:B1 *3250:39 1.94879e-05 -40 *27361:B1 *3250:39 0.000896495 -41 *27371:C1 *3250:26 0.000106109 -42 *27371:C1 *3250:39 0.00105077 -43 *1225:33 *3250:17 0.000253957 -44 *1225:33 *3250:23 0.000147837 -45 *1260:102 *27701:C1 0.000172607 -46 *1269:231 *3250:26 9.9974e-05 -47 *1272:72 *27701:C1 0.000176795 -48 *1272:101 *27701:C1 0.000172013 -49 *1277:176 *3250:17 9.00614e-05 -50 *1285:37 *3250:17 1.77388e-05 -51 *1524:37 *3250:39 6.60633e-06 -52 *2794:163 *3250:23 0.000218409 -53 *2844:285 *3250:39 0.000268749 -54 *2850:179 *3250:17 0.000127432 -55 *2894:212 *3250:17 0.000889042 -56 *2894:237 *3250:17 0.000593039 -57 *2922:20 *3250:39 8.85602e-05 -58 *2922:36 *3250:17 1.14338e-05 -59 *2924:14 *3250:26 0.00254957 -60 *3153:204 *27701:C1 0.000856742 -61 *3177:117 *27701:C1 5.33005e-05 -*RES -1 *27700:X *3250:17 47.3352 -2 *3250:17 *3250:23 32.1339 -3 *3250:23 *3250:26 34.0536 -4 *3250:26 *3250:39 38.1048 -5 *3250:39 *27701:C1 37.0768 -*END - -*D_NET *3251 0.0102589 -*CONN -*I *27708:A I *D sky130_fd_sc_hd__or4_1 -*I *27701:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27708:A 0.000156159 -2 *27701:X 0.00011527 -3 *3251:12 0.0017747 -4 *3251:11 0.00173381 -5 *27708:A *27708:D 5.52302e-05 -6 *3251:11 *3848:38 5.84171e-05 -7 *3251:11 *5593:15 0.000221359 -8 *3251:11 *5809:13 6.12335e-05 -9 *3251:11 *6351:24 0.000125724 -10 *3251:12 *3730:8 0.000254388 -11 *3251:12 *3770:35 0 -12 *3251:12 *3770:48 0.000890526 -13 *3251:12 *5910:47 0.00126112 -14 *25205:C1 *3251:12 4.73656e-05 -15 *27058:S *3251:12 4.13589e-05 -16 *27379:B1 *3251:12 1.94945e-05 -17 *30099:A *3251:12 5.886e-05 -18 *1246:146 *3251:12 9.01213e-05 -19 *1258:56 *3251:12 6.88242e-05 -20 *1272:101 *3251:12 0.000299802 -21 *1524:38 *3251:12 0.00270704 -22 *2778:194 *3251:11 1.02504e-05 -23 *2855:219 *3251:11 9.60939e-05 -24 *2892:266 *3251:12 0.000111781 -*RES -1 *27701:X *3251:11 26.6214 -2 *3251:11 *3251:12 49 -3 *3251:12 *27708:A 15.9786 -*END - -*D_NET *3252 0.00686115 -*CONN -*I *27703:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27702:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27703:C1 0.000350984 -2 *27702:X 0.00078413 -3 *3252:13 0.00113511 -4 *27703:C1 *27198:A1 4.58194e-05 -5 *27703:C1 *27679:A2 7.6644e-05 -6 *27703:C1 *27703:B2 0.000175538 -7 *27703:C1 *3924:53 0.000256559 -8 *27703:C1 *4029:24 0.000599825 -9 *3252:13 *27697:A2 0.000156988 -10 *3252:13 *3704:14 3.38861e-06 -11 *3252:13 *3977:16 0.00131981 -12 *3252:13 *4042:10 7.6644e-05 -13 *3252:13 *4120:47 5.49489e-05 -14 *3252:13 *5629:166 0.00156329 -15 *3252:13 *5646:252 3.22745e-05 -16 *3252:13 *5654:108 0.000175892 -17 *2852:142 *3252:13 5.33005e-05 -*RES -1 *27702:X *3252:13 45.8714 -2 *3252:13 *27703:C1 23.5366 -*END - -*D_NET *3253 0.00346692 -*CONN -*I *27708:B I *D sky130_fd_sc_hd__or4_1 -*I *27703:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27708:B 0.000861348 -2 *27703:X 0.000861348 -3 *27708:B *27703:B1 2.59355e-05 -4 *27708:B *3951:18 0.000457262 -5 *27708:B *5803:27 0.000457262 -6 *27703:A2 *27708:B 4.20368e-05 -7 *2864:321 *27708:B 0.000761729 -*RES -1 *27703:X *27708:B 42.6536 -*END - -*D_NET *3254 0.000549551 -*CONN -*I *27705:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27704:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27705:C1 0.00013668 -2 *27704:X 0.00013668 -3 *27705:C1 *3691:12 4.9819e-05 -4 *27705:C1 *3691:18 9.54798e-06 -5 *2760:164 *27705:C1 0.000119704 -6 *2763:185 *27705:C1 9.71197e-05 -*RES -1 *27704:X *27705:C1 30.1893 -*END - -*D_NET *3255 0.000940293 -*CONN -*I *27708:C I *D sky130_fd_sc_hd__or4_1 -*I *27705:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27708:C 0.000212856 -2 *27705:X 0.000212856 -3 *27708:C *27708:D 2.89114e-05 -4 *27708:C *3678:18 0.000132914 -5 *27708:C *3678:23 3.04078e-05 -6 *27708:C *3951:15 1.21258e-05 -7 *27708:C *3951:18 8.32242e-05 -8 *27708:C *5803:14 2.90068e-05 -9 *2772:63 *27708:C 0.000197991 -*RES -1 *27705:X *27708:C 33.3321 -*END - -*D_NET *3256 0.000508216 -*CONN -*I *27707:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27706:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27707:C1 0.000176426 -2 *27706:X 0.000176426 -3 *27707:C1 *27707:A2 6.24016e-05 -4 *27707:C1 *27707:B2 1.28809e-05 -5 *27707:C1 *3874:91 0 -6 *27707:C1 *5702:29 8.00806e-05 -*RES -1 *27706:X *27707:C1 30.85 -*END - -*D_NET *3257 0.00789906 -*CONN -*I *27708:D I *D sky130_fd_sc_hd__or4_1 -*I *27707:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27708:D 0.000895797 -2 *27707:X 0.000543749 -3 *3257:13 0.00143955 -4 *27708:D *3606:10 5.16596e-05 -5 *27708:D *3606:19 9.79648e-05 -6 *27708:D *3770:28 0.00169845 -7 *27708:D *3951:15 5.52302e-05 -8 *27708:D *6351:12 0.00215892 -9 *3257:13 *28500:D 0.000180764 -10 *3257:13 *3691:8 4.66203e-05 -11 *3257:13 *5640:267 0.000349708 -12 *3257:13 *5701:95 5.33786e-05 -13 *3257:13 *5716:121 0.00023389 -14 *27708:A *27708:D 5.52302e-05 -15 *27708:C *27708:D 2.89114e-05 -16 *30084:A *3257:13 9.25014e-06 -*RES -1 *27707:X *3257:13 32.1929 -2 *3257:13 *27708:D 43.925 -*END - -*D_NET *3258 0.00299961 -*CONN -*I *27709:B I *D sky130_fd_sc_hd__or2_2 -*I *27708:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27709:B 0.000371797 -2 *27708:X 0.000371797 -3 *27709:B *27705:B1 0.00103203 -4 *27709:B *3770:35 0.00103374 -5 *27709:B *4017:56 9.41642e-05 -6 *27709:A *27709:B 9.60875e-05 -*RES -1 *27708:X *27709:B 42.0286 -*END - -*D_NET *3259 0.0444639 -*CONN -*I *27719:B1 I *D sky130_fd_sc_hd__o221a_1 -*I *27709:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *27719:B1 0 -2 *27709:X 0.000955195 -3 *3259:25 0.0101797 -4 *3259:13 0.0111349 -5 *3259:13 *3730:8 0.00164475 -6 *3259:13 *3861:40 3.56212e-05 -7 *3259:13 *4017:56 1.07719e-05 -8 *3259:13 *5647:116 5.33005e-05 -9 *3259:13 *5824:265 0 -10 *3259:25 *25333:A1 0.000119924 -11 *3259:25 *26893:A0 5.11566e-05 -12 *3259:25 *27719:B2 9.60808e-05 -13 *3259:25 *28468:RESET_B 9.41642e-05 -14 *3259:25 *30770:A 8.73634e-05 -15 *3259:25 *3874:18 0.000100832 -16 *3259:25 *3976:55 0.000257755 -17 *3259:25 *4145:30 0.000130458 -18 *3259:25 *5627:18 6.67989e-05 -19 *3259:25 *5627:38 0.000230823 -20 *3259:25 *5633:152 0.000905155 -21 *3259:25 *5651:129 0.00104724 -22 *3259:25 *5651:248 1.65738e-05 -23 *3259:25 *5713:305 4.21517e-05 -24 *3259:25 *5824:219 0 -25 *25190:B1 *3259:25 0 -26 *27371:C1 *3259:25 0 -27 *27379:A2 *3259:13 1.94879e-05 -28 *27719:A2 *3259:25 5.33433e-05 -29 *1178:105 *3259:25 0.000128485 -30 *1258:75 *3259:25 0.000161876 -31 *1269:128 *3259:13 0.000726393 -32 *1277:176 *3259:25 0 -33 *1501:22 *3259:25 0.000403961 -34 *1501:30 *3259:25 0.000153078 -35 *1526:8 *3259:25 0.000226849 -36 *1527:6 *3259:25 0.000244268 -37 *2754:20 *3259:25 0.000244423 -38 *2760:157 *3259:13 0.00024412 -39 *2779:67 *3259:13 7.83965e-05 -40 *2779:170 *3259:25 4.21584e-05 -41 *2782:127 *3259:25 0.000339395 -42 *2788:16 *3259:13 0.000403474 -43 *2788:76 *3259:13 1.19468e-05 -44 *2845:247 *3259:25 0.000148432 -45 *2848:265 *3259:25 0 -46 *2848:333 *3259:25 0.0003261 -47 *2852:108 *3259:25 0.0036823 -48 *2853:224 *3259:25 0 -49 *2859:199 *3259:25 0.00013415 -50 *2859:214 *3259:25 0.00107283 -51 *2859:260 *3259:25 5.87752e-05 -52 *2864:257 *3259:25 0.00247413 -53 *2864:264 *3259:25 0.000119924 -54 *2864:292 *3259:25 0.00016901 -55 *2866:270 *3259:25 0.00275234 -56 *2880:30 *3259:25 0.000213912 -57 *2880:45 *3259:25 1.2321e-05 -58 *2880:129 *3259:25 0.0010732 -59 *2894:303 *3259:25 0.000125579 -60 *2895:289 *3259:13 0.000425407 -61 *2924:14 *3259:25 0.000302866 -62 *2936:11 *3259:25 0.000358471 -63 *2978:36 *3259:25 3.13366e-05 -64 *3153:140 *3259:25 9.67968e-05 -65 *3153:143 *3259:25 0.000143652 -*RES -1 *27709:X *3259:13 43.8618 -2 *3259:13 *3259:25 45.4502 -3 *3259:25 *27719:B1 9.3 -*END - -*D_NET *3260 0.00151862 -*CONN -*I *27711:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27710:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27711:C1 0.000239165 -2 *27710:X 0.000239165 -3 *27711:C1 *27711:B1 5.33005e-05 -4 *27711:C1 *5609:137 0.000425537 -5 *27711:C1 *5650:51 0.000505335 -6 *27710:A2 *27711:C1 5.7661e-06 -7 *3185:52 *27711:C1 5.035e-05 -8 *3206:99 *27711:C1 0 -*RES -1 *27710:X *27711:C1 25.2071 -*END - -*D_NET *3261 0.00200099 -*CONN -*I *27718:B I *D sky130_fd_sc_hd__or4_1 -*I *27711:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27718:B 0.000620492 -2 *27711:X 0.000620492 -3 *27718:B *5600:120 0.000259921 -4 *27718:B *5635:90 0.000230217 -5 *27718:B *6351:32 0.000259921 -6 *3185:52 *27718:B 9.9471e-06 -*RES -1 *27711:X *27718:B 36.9393 -*END - -*D_NET *3262 0.000850565 -*CONN -*I *27713:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27712:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27713:C1 0.000262777 -2 *27712:X 0.000262777 -3 *27713:C1 *3796:68 0.00014635 -4 *27713:C1 *3873:90 0.00016564 -5 *27713:C1 *5627:41 1.30205e-05 -*RES -1 *27712:X *27713:C1 31.725 -*END - -*D_NET *3263 0.00503209 -*CONN -*I *27718:C I *D sky130_fd_sc_hd__or4_1 -*I *27713:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27718:C 0 -2 *27713:X 0.000859827 -3 *3263:11 0.000859827 -4 *3263:11 *3886:51 0.00123031 -5 *3263:11 *5630:26 0.00123031 -6 *3263:11 *5713:305 0.000260574 -7 *27713:A2 *3263:11 2.47753e-05 -8 *1864:134 *3263:11 0.000262498 -9 *2764:169 *3263:11 0.000303965 -*RES -1 *27713:X *3263:11 41.3357 -2 *3263:11 *27718:C 9.3 -*END - -*D_NET *3264 0.00128015 -*CONN -*I *27717:B1 I *D sky130_fd_sc_hd__a211o_1 -*I *27714:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27717:B1 0.000328678 -2 *27714:X 0.000328678 -3 *27717:B1 *27717:C1 1.02504e-05 -4 *27717:B1 *5605:60 0.000344225 -5 *2754:18 *27717:B1 1.53472e-05 -6 *2754:20 *27717:B1 0.000107813 -7 *2782:106 *27717:B1 0.000145154 -*RES -1 *27714:X *27717:B1 32.9571 -*END - -*D_NET *3265 0.000502208 -*CONN -*I *27716:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27715:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27716:C1 0.000130819 -2 *27715:X 0.000130819 -3 *27716:C1 *27162:A1 3.63775e-05 -4 *27716:C1 *27716:A1 9.41642e-05 -5 *27716:C1 *5638:62 0.000110029 -*RES -1 *27715:X *27716:C1 21.5107 -*END - -*D_NET *3266 0.00262771 -*CONN -*I *27717:C1 I *D sky130_fd_sc_hd__a211o_1 -*I *27716:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27717:C1 0.000502426 -2 *27716:X 0.000502426 -3 *27717:C1 *5638:33 4.47387e-05 -4 *27717:C1 *5638:62 9.68932e-05 -5 *27717:B1 *27717:C1 1.02504e-05 -6 *2754:18 *27717:C1 0.000851472 -7 *2782:106 *27717:C1 0.000619507 -*RES -1 *27716:X *27717:C1 40.725 -*END - -*D_NET *3267 0.00282899 -*CONN -*I *27718:D I *D sky130_fd_sc_hd__or4_1 -*I *27717:X O *D sky130_fd_sc_hd__a211o_1 -*CAP -1 *27718:D 0.000399223 -2 *27717:X 0.000399223 -3 *27718:D *5600:120 3.34366e-05 -4 *27718:D *5643:40 3.76295e-05 -5 *27718:D *6351:32 0.00104025 -6 *1178:48 *27718:D 0.000908159 -7 *1178:59 *27718:D 1.10632e-05 -*RES -1 *27717:X *27718:D 41.3857 -*END - -*D_NET *3268 0.000729289 -*CONN -*I *27719:B2 I *D sky130_fd_sc_hd__o221a_1 -*I *27718:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27719:B2 0.000207645 -2 *27718:X 0.000207645 -3 *27719:B2 *27718:A 4.87854e-05 -4 *27719:B2 *30770:A 3.97677e-05 -5 *27719:B2 *5627:18 9.10431e-06 -6 *2779:170 *27719:B2 0.00012026 -7 *3259:25 *27719:B2 9.60808e-05 -*RES -1 *27718:X *27719:B2 31.0107 -*END - -*D_NET *3269 0.00601797 -*CONN -*I *27720:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *27719:X O *D sky130_fd_sc_hd__o221a_1 -*CAP -1 *27720:B1 0 -2 *27719:X 0.00100385 -3 *3269:10 0.00100385 -4 *3269:10 *25176:B2 0.000169463 -5 *3269:10 *5598:56 0.000378067 -6 *3269:10 *5627:18 0.00214084 -7 *3269:10 *5936:29 0.000135028 -8 *25229:A2 *3269:10 0.000120547 -9 *1178:48 *3269:10 0.000907518 -10 *2779:170 *3269:10 3.72592e-05 -11 *3153:140 *3269:10 0.000121549 -*RES -1 *27719:X *3269:10 48.0143 -2 *3269:10 *27720:B1 9.3 -*END - -*D_NET *3270 0.00163441 -*CONN -*I *27721:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27720:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *27721:A1 0.000437146 -2 *27720:X 0.000437146 -3 *27721:A1 *28803:CLK 0.000124861 -4 *2880:137 *27721:A1 0.000124861 -5 *3183:40 *27721:A1 0.000510402 -*RES -1 *27720:X *27721:A1 34.2964 -*END - -*D_NET *3271 0.00306566 -*CONN -*I *27723:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27722:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27723:C1 0.000605356 -2 *27722:X 0.000605356 -3 *27723:C1 *27723:B2 0.000119135 -4 *27723:C1 *27724:C1 0.000139019 -5 *27723:C1 *27726:A2 0.000335586 -6 *27723:C1 *27733:A 1.72961e-05 -7 *27723:C1 *3718:63 4.22135e-06 -8 *27723:C1 *3783:41 9.14714e-06 -9 *27723:C1 *3926:40 0.00103702 -10 *27723:C1 *5668:31 3.69697e-05 -11 *27723:C1 *5669:296 9.56345e-05 -12 *27723:C1 *5781:12 0 -13 *29989:A *27723:C1 1.38247e-05 -14 *1265:161 *27723:C1 1.21258e-05 -15 *2762:16 *27723:C1 3.49625e-05 -*RES -1 *27722:X *27723:C1 43.975 -*END - -*D_NET *3272 0.00253591 -*CONN -*I *27724:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27723:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27724:C1 0.000794414 -2 *27723:X 0.000794414 -3 *27724:C1 *27723:A1 1.15172e-05 -4 *27724:C1 *27723:B2 8.34819e-06 -5 *27724:C1 *3913:21 0.000123288 -6 *27723:B1 *27724:C1 0.000178847 -7 *27723:C1 *27724:C1 0.000139019 -8 *29989:A *27724:C1 0.00035013 -9 *1265:161 *27724:C1 1.09208e-05 -10 *2786:22 *27724:C1 0.00012501 -*RES -1 *27723:X *27724:C1 38.8143 -*END - -*D_NET *3273 0.00426598 -*CONN -*I *27734:A I *D sky130_fd_sc_hd__or2_1 -*I *27724:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27734:A 0 -2 *27724:X 0.000915348 -3 *3273:10 0.000915348 -4 *3273:10 *27724:A1 5.52302e-05 -5 *3273:10 *27726:B2 0.000238611 -6 *3273:10 *3283:8 1.02504e-05 -7 *3273:10 *3731:62 0.000217486 -8 *3273:10 *5590:165 0.000347431 -9 *3273:10 *5640:285 7.48301e-06 -10 *3273:10 *5641:204 7.69776e-06 -11 *3273:10 *5641:211 9.60939e-05 -12 *3273:10 *5656:327 0.000391766 -13 *3273:10 *5656:350 2.26327e-05 -14 *3273:10 *5781:12 8.59148e-05 -15 *2786:22 *3273:10 5.03514e-05 -16 *2786:26 *3273:10 0.000904331 -*RES -1 *27724:X *3273:10 41.4429 -2 *3273:10 *27734:A 9.3 -*END - -*D_NET *3274 0.00143645 -*CONN -*I *27726:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27725:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27726:C1 0.000319225 -2 *27725:X 0.000319225 -3 *27726:C1 *3718:63 6.42095e-05 -4 *27726:C1 *3718:79 2.12005e-05 -5 *27726:C1 *3913:26 0.000309245 -6 *27726:C1 *5590:161 9.58181e-05 -7 *2786:22 *27726:C1 0.000307523 -*RES -1 *27725:X *27726:C1 34.2607 -*END - -*D_NET *3275 0.00335609 -*CONN -*I *27733:A I *D sky130_fd_sc_hd__or4_1 -*I *27726:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27733:A 0.000693554 -2 *27726:X 0.000693554 -3 *27733:A *27722:A2 0.000168491 -4 *27733:A *27734:B 2.59355e-05 -5 *27733:A *3926:40 0.000527853 -6 *27733:A *5639:200 0.000195506 -7 *27733:A *5781:12 0.0010339 -8 *27723:C1 *27733:A 1.72961e-05 -*RES -1 *27726:X *27733:A 45.2071 -*END - -*D_NET *3276 0.00047923 -*CONN -*I *27728:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27727:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27728:C1 7.45161e-05 -2 *27727:X 7.45161e-05 -3 *27728:C1 *3913:26 0.000165099 -4 *2786:38 *27728:C1 0.000165099 -*RES -1 *27727:X *27728:C1 29.85 -*END - -*D_NET *3277 0.00369148 -*CONN -*I *27733:B I *D sky130_fd_sc_hd__or4_1 -*I *27728:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27733:B 0.000649435 -2 *27728:X 0.000649435 -3 *27733:B *3926:40 0.00100376 -4 *27733:B *5639:200 0.000385089 -5 *27733:B *5781:12 0.00100376 -*RES -1 *27728:X *27733:B 44.6 -*END - -*D_NET *3278 0.00131302 -*CONN -*I *27730:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27729:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27730:C1 0.000345047 -2 *27729:X 0.000345047 -3 *27730:C1 *4030:27 0.000141788 -4 *27730:C1 *5628:202 0.000141788 -5 *27730:C1 *5639:200 0.000339346 -*RES -1 *27729:X *27730:C1 32.9571 -*END - -*D_NET *3279 0.000412417 -*CONN -*I *27733:C I *D sky130_fd_sc_hd__or4_1 -*I *27730:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27733:C 0.000113276 -2 *27730:X 0.000113276 -3 *27733:C *3538:16 0 -4 *27733:C *5627:251 0.000122935 -5 *1697:44 *27733:C 6.29308e-05 -*RES -1 *27730:X *27733:C 29.7786 -*END - -*D_NET *3280 0.00209028 -*CONN -*I *27732:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27731:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27732:C1 0.00052342 -2 *27731:X 0.00052342 -3 *27732:C1 *27732:B2 5.39829e-05 -4 *27732:C1 *3848:30 9.67075e-05 -5 *27732:C1 *3861:38 1.98839e-05 -6 *27732:C1 *5633:97 0.000175892 -7 *27732:C1 *5809:13 0.000342924 -8 *2894:308 *27732:C1 9.34751e-05 -9 *3170:251 *27732:C1 0.000260574 -*RES -1 *27731:X *27732:C1 36.6 -*END - -*D_NET *3281 0.0105045 -*CONN -*I *27733:D I *D sky130_fd_sc_hd__or4_1 -*I *27732:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27733:D 0.000125379 -2 *27732:X 4.48982e-05 -3 *3281:8 0.00169353 -4 *3281:7 0.00161305 -5 *27733:D *3809:11 0.000303368 -6 *27733:D *5639:200 0.000206184 -7 *3281:8 *25205:A1 0.000214511 -8 *3281:8 *27144:B 8.34009e-05 -9 *3281:8 *3744:36 0.000212172 -10 *3281:8 *3796:124 0.00102202 -11 *3281:8 *3835:36 6.44014e-05 -12 *3281:8 *4030:27 0.000806429 -13 *3281:8 *4030:28 0 -14 *3281:8 *4095:46 0.00119153 -15 *27394:B *3281:8 0.000269169 -16 *1520:20 *3281:8 0.00140834 -17 *2788:76 *3281:8 3.44344e-05 -18 *2894:331 *3281:8 5.86214e-05 -19 *2950:10 *3281:8 0.000660139 -20 *2955:15 *3281:8 0.000473665 -21 *3174:261 *3281:7 1.92789e-05 -*RES -1 *27732:X *3281:7 14.3357 -2 *3281:7 *3281:8 50.5179 -3 *3281:8 *27733:D 17.6214 -*END - -*D_NET *3282 0.000399303 -*CONN -*I *27734:B I *D sky130_fd_sc_hd__or2_1 -*I *27733:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27734:B 8.58533e-05 -2 *27733:X 8.58533e-05 -3 *27734:B *3783:47 0.000100831 -4 *27733:A *27734:B 2.59355e-05 -5 *2786:26 *27734:B 0.000100831 -*RES -1 *27733:X *27734:B 29.475 -*END - -*D_NET *3283 0.0175667 -*CONN -*I *27744:B1 I *D sky130_fd_sc_hd__o221a_2 -*I *27734:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *27744:B1 9.11359e-06 -2 *27734:X 2.04426e-05 -3 *3283:8 0.00258812 -4 *3283:7 0.00259944 -5 *3283:8 *27389:A1 9.95103e-05 -6 *3283:8 *27389:B2 0.00012265 -7 *3283:8 *27738:C1 4.22135e-06 -8 *3283:8 *3731:62 0.0018646 -9 *3283:8 *3783:56 0.000285216 -10 *3283:8 *3783:66 0.00226879 -11 *3283:8 *3913:33 4.97567e-06 -12 *3283:8 *4108:123 0.00158244 -13 *3283:8 *5582:156 9.45051e-05 -14 *3283:8 *5635:187 0.000130897 -15 *3283:8 *5654:88 0.00391502 -16 *3283:8 *5781:12 7.31073e-05 -17 *29506:A *3283:7 5.33005e-05 -18 *1178:158 *3283:8 3.22304e-05 -19 *1288:152 *3283:7 2.59355e-05 -20 *1826:170 *3283:8 2.59045e-05 -21 *2770:118 *3283:8 0.000604166 -22 *2786:26 *3283:8 0.000566747 -23 *2786:38 *3283:8 1.40849e-05 -24 *2894:341 *3283:8 0.000571048 -25 *3273:10 *3283:8 1.02504e-05 -*RES -1 *27734:X *3283:7 14.3357 -2 *3283:7 *3283:8 87.5536 -3 *3283:8 *27744:B1 13.9295 -*END - -*D_NET *3284 0.00154983 -*CONN -*I *27736:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27735:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27736:C1 0.000521512 -2 *27735:X 0.000521512 -3 *27736:C1 *3292:11 0.000120342 -4 *27736:C1 *5632:90 0.000272126 -5 *2859:283 *27736:C1 0.000108748 -6 *3179:128 *27736:C1 5.58875e-06 -*RES -1 *27735:X *27736:C1 34.7071 -*END - -*D_NET *3285 0.00334782 -*CONN -*I *27743:B I *D sky130_fd_sc_hd__or4_1 -*I *27736:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27743:B 0 -2 *27736:X 0.00077242 -3 *3285:16 0.00077242 -4 *3285:16 *27736:A1 5.49544e-05 -5 *3285:16 *27743:C 5.64118e-05 -6 *3285:16 *3965:39 0.000179194 -7 *3285:16 *4082:74 0.000852037 -8 *3285:16 *4134:78 2.06112e-05 -9 *3285:16 *5632:90 0.000109263 -10 *3285:16 *5829:76 7.6644e-05 -11 *1506:18 *3285:16 7.6644e-05 -12 *2943:11 *3285:16 0.000377225 -*RES -1 *27736:X *3285:16 44.05 -2 *3285:16 *27743:B 9.3 -*END - -*D_NET *3286 0.00215644 -*CONN -*I *27738:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27737:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27738:C1 0.000553039 -2 *27737:X 0.000553039 -3 *27738:C1 *27737:A2 4.81747e-05 -4 *27738:C1 *27738:B1 0.000137983 -5 *27738:C1 *27738:B2 9.41642e-05 -6 *27738:C1 *3718:89 4.15183e-05 -7 *27738:C1 *3783:66 0.000139515 -8 *27738:C1 *3913:33 0.000153591 -9 *27738:C1 *5605:171 2.17996e-05 -10 *27738:C1 *5862:14 0.000136951 -11 *1271:211 *27738:C1 0.000230928 -12 *2760:157 *27738:C1 0 -13 *2859:283 *27738:C1 4.15183e-05 -14 *3283:8 *27738:C1 4.22135e-06 -*RES -1 *27737:X *27738:C1 40.5805 -*END - -*D_NET *3287 0.00102999 -*CONN -*I *27743:C I *D sky130_fd_sc_hd__or4_1 -*I *27738:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27743:C 0.000282872 -2 *27738:X 0.000282872 -3 *27743:C *4082:74 3.01988e-05 -4 *27743:C *5605:171 0.000259988 -5 *2943:11 *27743:C 0.00011765 -6 *3285:16 *27743:C 5.64118e-05 -*RES -1 *27738:X *27743:C 31.8321 -*END - -*D_NET *3288 0.00229985 -*CONN -*I *27742:B1 I *D sky130_fd_sc_hd__a211o_1 -*I *27739:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27742:B1 0.000578006 -2 *27739:X 0.000578006 -3 *27742:B1 *27742:A1 1.97695e-05 -4 *27742:B1 *27742:C1 5.33005e-05 -5 *27742:B1 *28687:RESET_B 1.33343e-05 -6 *27742:B1 *3887:91 0.000203752 -7 *27742:B1 *3978:21 8.25843e-06 -8 *27742:B1 *4095:33 1.37252e-05 -9 *27742:B1 *4147:31 0.000165621 -10 *27742:B1 *5639:200 7.62437e-05 -11 *27742:B1 *5663:192 1.24368e-05 -12 *27742:B1 *5663:222 0.000241061 -13 *27742:B1 *5668:50 8.60466e-05 -14 *2763:169 *27742:B1 9.41642e-05 -15 *2763:185 *27742:B1 7.00763e-05 -16 *3215:309 *27742:B1 8.60466e-05 -*RES -1 *27739:X *27742:B1 43.2393 -*END - -*D_NET *3289 0.000939192 -*CONN -*I *27741:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27740:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27741:C1 0.000127189 -2 *27740:X 0.000127189 -3 *27741:C1 *3705:14 0.000165553 -4 *27741:C1 *3731:45 0.000177815 -5 *27741:C1 *4134:6 0.000165553 -6 *3153:313 *27741:C1 0.000175892 -*RES -1 *27740:X *27741:C1 31.6179 -*END - -*D_NET *3290 0.00297828 -*CONN -*I *27742:C1 I *D sky130_fd_sc_hd__a211o_1 -*I *27741:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27742:C1 0.000445074 -2 *27741:X 0.000445074 -3 *27742:C1 *3887:91 4.06087e-07 -4 *27742:C1 *5639:200 2.89016e-05 -5 *27742:C1 *5663:222 0.000323919 -6 *27742:C1 *5926:68 0.00102972 -7 *27742:B1 *27742:C1 5.33005e-05 -8 *2788:168 *27742:C1 0.000651883 -*RES -1 *27741:X *27742:C1 41.9214 -*END - -*D_NET *3291 0.0153756 -*CONN -*I *27743:D I *D sky130_fd_sc_hd__or4_1 -*I *27742:X O *D sky130_fd_sc_hd__a211o_1 -*CAP -1 *27743:D 0.00084192 -2 *27742:X 0.000210749 -3 *3291:17 0.00237107 -4 *3291:7 0.0017399 -5 *27743:D *28631:CLK 0.000507259 -6 *27743:D *28695:CLK 0.000318303 -7 *27743:D *31010:A 0.000120034 -8 *27743:D *3292:11 5.64558e-05 -9 *27743:D *4147:46 4.75963e-05 -10 *27743:D *5595:37 6.05161e-06 -11 *3291:7 *5639:200 0.000257619 -12 *3291:17 *27392:A1 4.04292e-05 -13 *3291:17 *30027:A 0.000212555 -14 *3291:17 *3757:20 0.000104976 -15 *3291:17 *3887:41 1.99901e-06 -16 *3291:17 *3887:91 0.000707826 -17 *3291:17 *3915:37 3.1454e-05 -18 *3291:17 *4134:45 0 -19 *3291:17 *5645:235 0.000510031 -20 *3291:17 *5663:222 9.25014e-06 -21 *3291:17 *5695:124 0.000339782 -22 *3291:17 *5695:133 1.08691e-05 -23 *3291:17 *5777:215 0.000170372 -24 *3291:17 *5809:22 3.03967e-05 -25 *3291:17 *5829:76 0.00127947 -26 *3291:17 *5926:68 0.000165753 -27 *3291:17 *5926:72 0.00178391 -28 *27390:B1 *3291:17 0.000113877 -29 *1506:17 *3291:17 4.79453e-05 -30 *1506:18 *27743:D 0.00186311 -31 *2760:113 *27743:D 0.000121549 -32 *2872:376 *3291:17 8.50351e-05 -33 *2874:336 *27743:D 0.00014833 -34 *2874:336 *3291:17 6.72288e-05 -35 *2874:359 *3291:17 0.000108001 -36 *2943:11 *27743:D 0.000944465 -*RES -1 *27742:X *3291:7 16.3893 -2 *3291:7 *3291:17 47.3967 -3 *3291:17 *27743:D 44.2904 -*END - -*D_NET *3292 0.00454312 -*CONN -*I *27744:B2 I *D sky130_fd_sc_hd__o221a_2 -*I *27743:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27744:B2 0 -2 *27743:X 0.00124234 -3 *3292:11 0.00124234 -4 *3292:11 *27744:A1 5.52302e-05 -5 *3292:11 *31010:A 0.000151616 -6 *3292:11 *3705:61 0.000100823 -7 *3292:11 *3926:44 0.000477578 -8 *3292:11 *3939:51 3.78516e-05 -9 *3292:11 *5595:37 4.82865e-05 -10 *27736:C1 *3292:11 0.000120342 -11 *27743:D *3292:11 5.64558e-05 -12 *27744:A2 *3292:11 3.97677e-05 -13 *1276:120 *3292:11 0.000168226 -14 *2859:283 *3292:11 0.000312671 -15 *3179:123 *3292:11 0.000481631 -16 *3179:128 *3292:11 7.96587e-06 -*RES -1 *27743:X *3292:11 44.175 -2 *3292:11 *27744:B2 9.3 -*END - -*D_NET *3293 0.0449776 -*CONN -*I *27745:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *27744:X O *D sky130_fd_sc_hd__o221a_2 -*CAP -1 *27745:B1 0 -2 *27744:X 0.00655543 -3 *3293:34 0.00346579 -4 *3293:14 0.0100212 -5 *3293:14 *27744:A1 0.000457088 -6 *3293:14 *3939:50 0.000165782 -7 *3293:14 *3939:51 0.000426009 -8 *3293:14 *3965:79 0.000156845 -9 *3293:14 *3965:82 0.000111243 -10 *3293:14 *5596:47 0 -11 *3293:14 *5632:44 0.000156328 -12 *3293:14 *5640:27 0.000134168 -13 *3293:14 *5647:82 0.00122983 -14 *3293:14 *5667:60 0.00161257 -15 *3293:14 *5694:283 0.000171234 -16 *3293:14 *5718:360 0.000245429 -17 *3293:14 *5756:29 0.000101402 -18 *3293:14 *5781:42 0.000131231 -19 *3293:34 *25229:B2 0.00091986 -20 *3293:34 *26893:A0 0.000336284 -21 *3293:34 *27811:B1 4.38296e-05 -22 *3293:34 *28473:D 2.06112e-05 -23 *3293:34 *3665:16 0.000345692 -24 *3293:34 *3822:49 2.08417e-06 -25 *3293:34 *3939:50 8.69554e-05 -26 *3293:34 *3946:18 7.15886e-05 -27 *3293:34 *4186:20 0 -28 *3293:34 *5584:76 0.000124513 -29 *3293:34 *5667:348 5.00194e-05 -30 *3293:34 *5694:283 9.51343e-06 -31 *3293:34 *5700:329 5.00194e-05 -32 *3293:34 *5720:252 0.00014074 -33 *3293:34 *5757:134 0.000253645 -34 *1178:124 *3293:14 0.000370852 -35 *1242:230 *3293:34 0.000611362 -36 *1252:110 *3293:34 3.8053e-05 -37 *1252:134 *3293:34 0.00125479 -38 *1276:120 *3293:14 0.000115606 -39 *1512:11 *3293:14 0.000285694 -40 *1826:117 *3293:14 0 -41 *2760:109 *3293:14 0.00013713 -42 *2780:150 *3293:14 0.000103262 -43 *2845:188 *3293:14 0 -44 *2845:188 *3293:34 0 -45 *2848:178 *3293:34 0.00142721 -46 *2848:191 *3293:34 6.34043e-05 -47 *2848:206 *3293:14 0.00202154 -48 *2848:242 *3293:14 0.00376389 -49 *2852:17 *3293:34 0.000303492 -50 *2860:209 *3293:14 0.000180433 -51 *2867:192 *3293:34 2.29559e-05 -52 *2867:199 *3293:14 0.00130954 -53 *2867:222 *3293:14 0.00260852 -54 *2867:249 *3293:14 1.09611e-05 -55 *2874:169 *3293:14 0.000294558 -56 *2880:129 *3293:34 0.000273939 -57 *2978:36 *3293:34 0.00160743 -58 *2998:30 *3293:34 1.19021e-05 -59 *2998:32 *3293:34 0.000208367 -60 *3179:123 *3293:14 0.000355793 -*RES -1 *27744:X *3293:14 49.5674 -2 *3293:14 *3293:34 45.6897 -3 *3293:34 *27745:B1 13.8 -*END - -*D_NET *3294 0.00233764 -*CONN -*I *27746:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27745:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *27746:A1 0.000743906 -2 *27745:X 0.000743906 -3 *27746:A1 *27721:A0 6.97764e-05 -4 *27746:A1 *27746:A0 0.000469496 -5 *27746:A1 *29493:A 2.12005e-05 -6 *27746:A1 *3952:18 7.40571e-05 -7 *1228:87 *27746:A1 0.000180496 -8 *2790:92 *27746:A1 3.48013e-05 -*RES -1 *27745:X *27746:A1 37.5107 -*END - -*D_NET *3295 0.00236162 -*CONN -*I *27758:C1 I *D sky130_fd_sc_hd__a221o_4 -*I *27747:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27758:C1 0.00058676 -2 *27747:X 0.00058676 -3 *27758:C1 *5663:155 0.00058714 -4 *27690:B1 *27758:C1 0.000307184 -5 *2784:112 *27758:C1 0.000127774 -6 *3164:346 *27758:C1 0.000166002 -*RES -1 *27747:X *27758:C1 37.5464 -*END - -*D_NET *3296 0.00281279 -*CONN -*I *27750:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27748:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27750:C1 0.000674602 -2 *27748:X 0.000674602 -3 *27750:C1 *27750:B2 5.23853e-05 -4 *27750:C1 *4135:18 0.000147835 -5 *27750:C1 *5824:147 0.000319818 -6 *27748:A2 *27750:C1 0.000207866 -7 *27750:A2 *27750:C1 0.000328308 -8 *2773:139 *27750:C1 0.000259542 -9 *3179:186 *27750:C1 0.000147835 -*RES -1 *27748:X *27750:C1 40.9393 -*END - -*D_NET *3297 0.00164789 -*CONN -*I *27751:C1 I *D sky130_fd_sc_hd__a221o_2 -*I *27749:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27751:C1 0.000418429 -2 *27749:X 0.000418429 -3 *27751:C1 *28710:RESET_B 4.00349e-05 -4 *27751:C1 *3836:24 0.000303249 -5 *1406:8 *27751:C1 0.000125863 -6 *2866:323 *27751:C1 0.00034188 -*RES -1 *27749:X *27751:C1 35.0821 -*END - -*D_NET *3298 0.00266406 -*CONN -*I *27766:A I *D sky130_fd_sc_hd__or4_4 -*I *27750:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27766:A 0.000362339 -2 *27750:X 0.000362339 -3 *27766:A *27766:B 0.00100994 -4 *27766:A *3314:25 2.18021e-05 -5 *27766:A *3706:24 0.00070213 -6 *27766:A *3706:35 0.000171625 -7 *27766:A *4122:28 2.37944e-05 -8 *27766:A *4135:45 1.00887e-05 -*RES -1 *27750:X *27766:A 41.3857 -*END - -*D_NET *3299 0.0440259 -*CONN -*I *27768:A1 I *D sky130_fd_sc_hd__o32a_1 -*I *27751:X O *D sky130_fd_sc_hd__a221o_2 -*CAP -1 *27768:A1 0 -2 *27751:X 0.000104394 -3 *3299:29 0.00308799 -4 *3299:14 0.00609181 -5 *3299:13 0.00308882 -6 *3299:8 0.00170441 -7 *3299:7 0.00172381 -8 *3299:8 *25203:B2 0.0001726 -9 *3299:8 *27337:B2 0.000418626 -10 *3299:8 *27680:B2 4.1879e-05 -11 *3299:8 *27681:A1 0.000263907 -12 *3299:8 *27681:B2 0.000363245 -13 *3299:8 *28683:D 0.000166954 -14 *3299:8 *5609:189 5.62438e-05 -15 *3299:8 *5633:164 0.000157364 -16 *3299:8 *5644:218 6.35864e-05 -17 *3299:8 *5644:237 0.000545489 -18 *3299:8 *5764:65 0.000305557 -19 *3299:8 *5765:37 4.24383e-05 -20 *3299:13 *5764:65 1.58163e-05 -21 *3299:14 *27019:A0 0.000333808 -22 *3299:14 *28654:D 4.38058e-05 -23 *3299:14 *3712:28 0.00413052 -24 *3299:14 *3829:8 0.00613521 -25 *3299:14 *3829:67 0.000364052 -26 *3299:14 *5609:183 0.000171114 -27 *3299:14 *5764:65 7.81028e-05 -28 *3299:29 *27768:A2 0.000288218 -29 *3299:29 *3306:6 0.00115041 -30 *3299:29 *3686:109 4.69992e-05 -31 *3299:29 *3751:44 2.59024e-05 -32 *3299:29 *3834:24 7.60989e-05 -33 *3299:29 *5597:20 5.51917e-05 -34 *3299:29 *5638:104 0.000344914 -35 *3299:29 *5655:174 0.00130734 -36 *3299:29 *5687:87 2.59024e-05 -37 *25258:B1 *3299:29 4.65519e-05 -38 *27332:A *3299:29 2.55752e-05 -39 *27415:A2 *3299:8 0.00013844 -40 *27417:C1 *3299:8 3.76274e-05 -41 *27751:B1 *3299:7 0.000137561 -42 *1218:187 *3299:29 0 -43 *1228:30 *3299:14 0.000188967 -44 *1228:37 *3299:14 0.00100225 -45 *1244:174 *3299:29 4.83821e-05 -46 *1275:15 *3299:14 1.90936e-05 -47 *1275:26 *3299:29 9.34324e-05 -48 *1275:225 *3299:8 0.000218149 -49 *1416:89 *3299:29 0.000417535 -50 *1456:125 *3299:14 5.5692e-05 -51 *1539:22 *3299:29 0.00114419 -52 *1549:38 *3299:13 6.8377e-06 -53 *1607:8 *3299:14 0.000277383 -54 *1609:17 *3299:14 0.000209357 -55 *1659:34 *3299:14 0.000453058 -56 *1691:24 *3299:29 3.28681e-06 -57 *2761:121 *3299:14 0.000172233 -58 *2774:76 *3299:8 0.000487367 -59 *2774:92 *3299:8 0.000490334 -60 *2774:110 *3299:8 0.000711475 -61 *2774:110 *3299:14 0.000236413 -62 *2775:187 *3299:29 0 -63 *2781:136 *3299:8 0.000128161 -64 *2855:142 *3299:14 0.00158822 -65 *2859:242 *3299:8 0.000566134 -66 *2870:43 *3299:29 7.88347e-05 -67 *2875:275 *3299:8 0.00127803 -68 *2877:179 *3299:29 0.000383595 -69 *2879:61 *3299:29 0.00013344 -70 *2882:210 *3299:13 6.05161e-06 -71 *2882:210 *3299:14 1.81709e-05 -72 *3185:92 *3299:29 0 -73 *3197:45 *3299:29 0.000231542 -*RES -1 *27751:X *3299:7 15.1571 -2 *3299:7 *3299:8 51.125 -3 *3299:8 *3299:13 9.94643 -4 *3299:13 *3299:14 105.616 -5 *3299:14 *3299:29 45.7625 -6 *3299:29 *27768:A1 9.3 -*END - -*D_NET *3300 0.000625574 -*CONN -*I *27757:B1 I *D sky130_fd_sc_hd__a2111o_2 -*I *27752:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27757:B1 0.000135746 -2 *27752:X 0.000135746 -3 *27757:B1 *4133:32 0.000125717 -4 *27757:B1 *5632:161 0.000102648 -5 *3185:118 *27757:B1 0.000125717 -*RES -1 *27752:X *27757:B1 31.1714 -*END - -*D_NET *3301 0.000855457 -*CONN -*I *27754:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27753:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27754:C1 0.000221695 -2 *27753:X 0.000221695 -3 *27754:C1 *27754:B2 1.02504e-05 -4 *27754:C1 *4070:48 0.000123288 -5 *27754:C1 *5643:237 5.51406e-05 -6 *27754:C1 *5649:157 0.000223388 -*RES -1 *27753:X *27754:C1 31.4214 -*END - -*D_NET *3302 0.00210627 -*CONN -*I *27757:C1 I *D sky130_fd_sc_hd__a2111o_2 -*I *27754:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27757:C1 0.000321699 -2 *27754:X 0.000321699 -3 *27757:C1 *27754:A2 7.63923e-05 -4 *27757:C1 *3304:37 5.33005e-05 -5 *27757:C1 *3305:7 0.000342302 -6 *1267:49 *27757:C1 0.000389457 -7 *1293:75 *27757:C1 0.000260152 -8 *3215:242 *27757:C1 0.00034127 -*RES -1 *27754:X *27757:C1 27.35 -*END - -*D_NET *3303 0.000636489 -*CONN -*I *27756:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27755:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27756:C1 0.000216962 -2 *27755:X 0.000216962 -3 *27756:C1 *27756:B1 7.3268e-06 -4 *27756:C1 *27756:B2 1.36772e-05 -5 *27756:C1 *3686:67 2.07878e-05 -6 *27756:C1 *3732:43 4.92955e-06 -7 *27756:C1 *5650:285 8.00806e-05 -8 *25203:B1 *27756:C1 2.79092e-05 -9 *2852:161 *27756:C1 4.78536e-05 -*RES -1 *27755:X *27756:C1 32.2429 -*END - -*D_NET *3304 0.0110179 -*CONN -*I *27757:D1 I *D sky130_fd_sc_hd__a2111o_2 -*I *27756:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27757:D1 0 -2 *27756:X 0.000847899 -3 *3304:37 0.00111807 -4 *3304:22 0.00196597 -5 *3304:22 *4133:28 0.000405257 -6 *3304:22 *5627:116 0.000135028 -7 *3304:22 *5792:40 0.000932589 -8 *3304:22 *5873:321 5.33005e-05 -9 *3304:37 *4043:70 0.00111675 -10 *3304:37 *5650:268 0.000183713 -11 *3304:37 *6280:17 0.000180508 -12 *27403:A2 *3304:22 0.000179565 -13 *27412:A2 *3304:37 4.58194e-05 -14 *27416:B *3304:37 0.000389868 -15 *27757:C1 *3304:37 5.33005e-05 -16 *1469:21 *3304:22 4.43299e-05 -17 *2788:139 *3304:22 0.000186219 -18 *2893:24 *3304:22 9.90819e-06 -19 *2893:24 *3304:37 0.000743124 -20 *2893:26 *3304:37 0.00116349 -21 *2970:25 *3304:22 0.000915842 -22 *2970:25 *3304:37 0.000252422 -23 *3215:218 *3304:37 1.5424e-05 -24 *3215:242 *3304:37 7.95355e-05 -*RES -1 *27756:X *3304:22 45.6482 -2 *3304:22 *3304:37 44.3304 -3 *3304:37 *27757:D1 9.3 -*END - -*D_NET *3305 0.0448785 -*CONN -*I *27768:A2 I *D sky130_fd_sc_hd__o32a_1 -*I *27757:X O *D sky130_fd_sc_hd__a2111o_2 -*CAP -1 *27768:A2 0.00150899 -2 *27757:X 0.000270896 -3 *3305:33 0.00265951 -4 *3305:19 0.00263755 -5 *3305:13 0.00159258 -6 *3305:8 0.00304142 -7 *3305:7 0.00320677 -8 *27768:A2 *27628:A1 4.15179e-05 -9 *27768:A2 *3316:17 0.000137983 -10 *27768:A2 *3547:12 0.000440434 -11 *27768:A2 *3842:44 3.59179e-05 -12 *27768:A2 *5583:58 3.80952e-05 -13 *3305:8 *24915:A 0.000197378 -14 *3305:8 *25248:B2 0.00248792 -15 *3305:8 *5587:44 0.000126716 -16 *3305:8 *5601:166 0 -17 *3305:8 *5688:320 3.40596e-05 -18 *3305:8 *5688:325 0.000412906 -19 *3305:19 *3739:101 0.000578639 -20 *3305:19 *3758:43 4.98496e-06 -21 *3305:19 *3758:54 0.000288868 -22 *3305:19 *3907:63 0.000190644 -23 *3305:19 *5604:9 7.05143e-06 -24 *3305:19 *5604:20 0.00179654 -25 *3305:19 *5605:56 6.58294e-06 -26 *3305:19 *5817:16 0.00229502 -27 *3305:19 *5892:122 3.03764e-05 -28 *3305:33 *27634:A1 0.000481573 -29 *3305:33 *3988:44 0.000363366 -30 *3305:33 *4063:59 0.000295523 -31 *3305:33 *5653:41 0.0013281 -32 *25119:B *3305:33 1.94945e-05 -33 *25133:C1 *3305:19 0 -34 *25217:B *3305:33 7.74068e-05 -35 *25223:B1 *3305:19 5.43062e-05 -36 *25251:B *3305:8 1.57981e-05 -37 *25251:D *3305:8 0.000242885 -38 *27289:B1 *27768:A2 0.000131367 -39 *27308:A2 *3305:8 0.000194268 -40 *27636:A *27768:A2 0.000204477 -41 *27636:C *27768:A2 2.14658e-05 -42 *27636:D *27768:A2 0.000222867 -43 *27757:C1 *3305:7 0.000342302 -44 *28842:A *3305:8 0.00033835 -45 *1230:51 *3305:19 6.09762e-05 -46 *1249:17 *3305:8 0.0015305 -47 *1249:67 *3305:8 0.000251698 -48 *1279:44 *3305:19 0.000322759 -49 *1279:102 *3305:19 5.90915e-05 -50 *1284:19 *3305:8 7.93635e-05 -51 *1284:43 *3305:8 0.000304567 -52 *1289:19 *3305:19 2.84892e-05 -53 *1293:65 *3305:8 0.000563474 -54 *1293:75 *3305:8 0.00203185 -55 *1449:44 *3305:8 0.00036861 -56 *1449:62 *3305:8 0.00251719 -57 *1449:74 *3305:8 0.00119037 -58 *1476:151 *3305:33 8.84874e-05 -59 *1484:13 *3305:8 1.97086e-05 -60 *1529:10 *3305:33 0.000216359 -61 *1535:55 *3305:33 0.00104792 -62 *1592:13 *27768:A2 9.41642e-05 -63 *1626:27 *3305:8 0.000146474 -64 *1834:68 *3305:8 6.15679e-05 -65 *1834:70 *3305:8 5.33679e-05 -66 *2780:195 *3305:8 0.000105382 -67 *2854:16 *27768:A2 1.44566e-05 -68 *2856:176 *3305:13 0.000340995 -69 *2864:182 *3305:13 0.000339346 -70 *2873:17 *3305:8 0.0018334 -71 *2874:278 *3305:8 5.41794e-05 -72 *3178:149 *3305:33 0.00132975 -73 *3183:70 *3305:33 0.000120342 -74 *3194:106 *27768:A2 0.00101256 -75 *3299:29 *27768:A2 0.000288218 -*RES -1 *27757:X *3305:7 17.2107 -2 *3305:7 *3305:8 101.518 -3 *3305:8 *3305:13 12.4107 -4 *3305:13 *3305:19 46.5054 -5 *3305:19 *3305:33 44.8125 -6 *3305:33 *27768:A2 43.5679 -*END - -*D_NET *3306 0.0550648 -*CONN -*I *27759:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27758:X O *D sky130_fd_sc_hd__a221o_4 -*CAP -1 *27759:C1 0.000786797 -2 *27758:X 0 -3 *3306:6 0.00870847 -4 *3306:5 0.00792167 -5 *27759:C1 *27759:B2 0.000469778 -6 *27759:C1 *28342:D 4.00679e-05 -7 *27759:C1 *28658:SET_B 0.00018106 -8 *27759:C1 *29436:A 9.60875e-05 -9 *27759:C1 *3642:46 0.000187258 -10 *27759:C1 *3797:53 0.000592363 -11 *27759:C1 *3797:65 0.000124295 -12 *27759:C1 *3987:21 0.000491872 -13 *27759:C1 *3987:27 0.000176846 -14 *27759:C1 *5750:163 4.8855e-05 -15 *3306:6 *25133:A1 0.000128146 -16 *3306:6 *25183:B2 2.11419e-05 -17 *3306:6 *25287:A1 9.65217e-05 -18 *3306:6 *25332:A1 0.000103974 -19 *3306:6 *25337:A1 0.000449369 -20 *3306:6 *25337:B2 9.89536e-05 -21 *3306:6 *27065:A1 0.000253968 -22 *3306:6 *27200:A1 9.49949e-05 -23 *3306:6 *27297:B2 0.000934782 -24 *3306:6 *27344:A2 2.06112e-05 -25 *3306:6 *27674:A1 4.25345e-05 -26 *3306:6 *27683:B2 0.000734939 -27 *3306:6 *27687:A1 0.000116595 -28 *3306:6 *29871:A 0.000238769 -29 *3306:6 *30365:A 1.85502e-05 -30 *3306:6 *3704:45 9.91086e-05 -31 *3306:6 *3716:12 0.000673117 -32 *3306:6 *3716:14 0.000631122 -33 *3306:6 *3781:22 0.00215785 -34 *3306:6 *3781:30 0.000648021 -35 *3306:6 *3783:95 0.00227594 -36 *3306:6 *3783:105 0.00159514 -37 *3306:6 *3797:65 0.00179908 -38 *3306:6 *3833:13 8.16458e-05 -39 *3306:6 *3898:32 0.000265601 -40 *3306:6 *3913:70 0.000148189 -41 *3306:6 *3950:21 0.000147835 -42 *3306:6 *3987:27 1.91414e-05 -43 *3306:6 *3987:29 0.00425979 -44 *3306:6 *3987:35 8.05417e-05 -45 *3306:6 *4093:17 0.000561095 -46 *3306:6 *4115:30 0.000209756 -47 *3306:6 *4132:38 0.000121549 -48 *3306:6 *4132:51 0.00238676 -49 *3306:6 *4133:133 1.94879e-05 -50 *3306:6 *5584:43 0.000529562 -51 *3306:6 *5604:34 0.00032082 -52 *3306:6 *5604:57 1.53411e-05 -53 *3306:6 *5605:56 6.80058e-05 -54 *3306:6 *5638:110 0.000778365 -55 *3306:6 *5638:119 0.0025936 -56 *3306:6 *5638:130 4.3005e-05 -57 *3306:6 *5655:174 0.00179767 -58 *3306:6 *5655:182 0.000208871 -59 *3306:6 *5656:96 7.9529e-05 -60 *3306:6 *5656:116 1.03403e-05 -61 *3306:6 *5657:241 9.77956e-05 -62 *3306:6 *5748:10 3.67444e-05 -63 *3306:6 *5803:27 0.000103267 -64 *25133:A2 *3306:6 2.05517e-05 -65 *25224:B *3306:6 1.53129e-05 -66 *27091:S *27759:C1 2.07506e-05 -67 *27345:C1 *3306:6 0.00123722 -68 *1416:97 *3306:6 7.45613e-05 -69 *1419:24 *3306:6 1.90936e-05 -70 *1454:10 *3306:6 0.000402358 -71 *1539:22 *3306:6 0.000141587 -72 *1642:14 *3306:6 0 -73 *1642:20 *3306:6 0.00123698 -74 *1691:24 *3306:6 0.000110841 -75 *2779:140 *3306:6 0.000580846 -76 *2852:142 *3306:6 0.000125724 -77 *2860:287 *3306:6 0.000371718 -78 *2874:32 *27759:C1 0.000239832 -79 *2874:227 *3306:6 0.000107313 -80 *2874:249 *3306:6 8.14112e-05 -81 *2877:179 *3306:6 1.34436e-05 -82 *2891:165 *3306:6 4.15183e-05 -83 *2891:326 *3306:6 4.33002e-05 -84 *3185:92 *3306:6 0.000297865 -85 *3185:107 *3306:6 2.04825e-05 -86 *3197:45 *3306:6 0.000668726 -87 *3299:29 *3306:6 0.00115041 -*RES -1 *27758:X *3306:5 13.8 -2 *3306:5 *3306:6 255.125 -3 *3306:6 *27759:C1 34.6214 -*END - -*D_NET *3307 0.00402877 -*CONN -*I *27767:B I *D sky130_fd_sc_hd__or3_1 -*I *27759:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27767:B 0.000757031 -2 *27759:X 0.000757031 -3 *27767:B *27767:A 0.000135028 -4 *27767:B *27767:C 0 -5 *27767:B *5486:20 0.000246628 -6 *27767:B *5591:17 8.33813e-05 -7 *27767:B *5591:19 8.17274e-05 -8 *30794:A *27767:B 2.73697e-05 -9 *1228:73 *27767:B 0 -10 *1237:27 *27767:B 9.24867e-05 -11 *2859:22 *27767:B 0.000241052 -12 *2888:14 *27767:B 0.000651107 -13 *3160:26 *27767:B 0.000191895 -14 *3197:31 *27767:B 0 -15 *3206:84 *27767:B 0.000764037 -*RES -1 *27759:X *27767:B 47.35 -*END - -*D_NET *3308 0.00276208 -*CONN -*I *27761:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27760:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27761:C1 0.00077397 -2 *27760:X 0.00077397 -3 *27761:C1 *27760:A1 0.000100831 -4 *27761:C1 *27760:A2 4.58194e-05 -5 *27761:C1 *4096:25 0 -6 *27761:C1 *4109:20 0.00105724 -7 *27761:C1 *4109:36 1.02504e-05 -*RES -1 *27760:X *27761:C1 45.4214 -*END - -*D_NET *3309 0.00853461 -*CONN -*I *27766:B I *D sky130_fd_sc_hd__or4_4 -*I *27761:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27766:B 0.000969582 -2 *27761:X 0.000257164 -3 *3309:13 0.00122675 -4 *27766:B *26942:A1 0.000104778 -5 *27766:B *27750:B1 0.000128878 -6 *27766:B *28590:D 0.000173083 -7 *27766:B *30334:A 3.34783e-05 -8 *27766:B *3680:19 9.32491e-05 -9 *27766:B *3706:22 0.00152833 -10 *27766:B *3706:24 1.53472e-05 -11 *27766:B *4122:8 0.000334096 -12 *27766:B *4122:28 0.00105973 -13 *27766:B *5689:96 0.00038571 -14 *27766:B *5689:165 1.90936e-05 -15 *3309:13 *26865:A 0.000373262 -16 *3309:13 *3706:22 0.000510486 -17 *3309:13 *3959:20 0.000115139 -18 *3309:13 *5651:419 1.81709e-05 -19 *3309:13 *5669:248 5.33005e-05 -20 *3309:13 *5689:81 9.91086e-05 -21 *27766:A *27766:B 0.00100994 -22 *3179:205 *3309:13 2.59355e-05 -*RES -1 *27761:X *3309:13 27.9986 -2 *3309:13 *27766:B 47.4696 -*END - -*D_NET *3310 0.00180099 -*CONN -*I *27763:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27762:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27763:C1 0.000365133 -2 *27762:X 0.000365133 -3 *27763:C1 *6485:DIODE 4.8302e-05 -4 *27763:C1 *27403:A1 5.82935e-05 -5 *27763:C1 *27763:A1 5.33005e-05 -6 *27763:C1 *27763:B2 2.31655e-05 -7 *27763:C1 *3810:26 0.000463213 -8 *27763:C1 *4096:48 3.19935e-05 -9 *27763:C1 *5628:161 1.47728e-05 -10 *27763:C1 *5652:61 0 -11 *27763:C1 *5891:76 1.03403e-05 -12 *27763:A2 *27763:C1 0.000142561 -13 *1261:199 *27763:C1 0.000224786 -*RES -1 *27762:X *27763:C1 46.0196 -*END - -*D_NET *3311 0.00328399 -*CONN -*I *27766:C I *D sky130_fd_sc_hd__or4_4 -*I *27763:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27766:C 0.000897722 -2 *27763:X 0.000897722 -3 *27766:C *6649:DIODE 0.000105058 -4 *27766:C *26888:A0 4.08666e-05 -5 *27766:C *27763:B2 3.63281e-05 -6 *27766:C *30293:A 0.000289918 -7 *27766:C *3810:26 0.000306083 -8 *27766:C *4096:32 0.000355069 -9 *27766:C *4096:37 1.4396e-05 -10 *27766:C *5628:161 0.000140856 -11 *27766:C *5891:76 0 -12 *26888:S *27766:C 3.17684e-05 -13 *1519:13 *27766:C 0.000168197 -*RES -1 *27763:X *27766:C 46.2741 -*END - -*D_NET *3312 0.00530287 -*CONN -*I *27765:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27764:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27765:C1 1.81747e-05 -2 *27764:X 0.00124722 -3 *3312:19 0.00126539 -4 *27765:C1 *27748:B1 4.39649e-05 -5 *27765:C1 *4070:39 4.19535e-05 -6 *3312:19 *25141:B2 0.00013712 -7 *3312:19 *27765:A2 0.000305204 -8 *3312:19 *3686:50 0.0003629 -9 *3312:19 *5607:71 0.000216755 -10 *3312:19 *5650:297 0.00015586 -11 *25141:C1 *3312:19 0.000106992 -12 *25403:A2 *3312:19 0.000534816 -13 *27409:A *3312:19 2.06112e-05 -14 *1462:10 *3312:19 0.000474409 -15 *1700:6 *3312:19 0.0003638 -16 *1834:49 *3312:19 7.69776e-06 -*RES -1 *27764:X *3312:19 49.7014 -2 *3312:19 *27765:C1 14.3804 -*END - -*D_NET *3313 0.00105394 -*CONN -*I *27766:D I *D sky130_fd_sc_hd__or4_4 -*I *27765:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27766:D 0.000264781 -2 *27765:X 0.000264781 -3 *27766:D *27748:B1 0.000104016 -4 *27766:D *4070:39 0.000102302 -5 *27766:D *5643:253 0.000139632 -6 *27766:D *5643:269 0.000178425 -*RES -1 *27765:X *27766:D 31.9393 -*END - -*D_NET *3314 0.0656505 -*CONN -*I *27767:C I *D sky130_fd_sc_hd__or3_1 -*I *6650:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6649:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27766:X O *D sky130_fd_sc_hd__or4_4 -*CAP -1 *27767:C 0.000183482 -2 *6650:DIODE 0 -3 *6649:DIODE 0.000244955 -4 *27766:X 0 -5 *3314:41 0.00302832 -6 *3314:26 0.0087964 -7 *3314:25 0.00717797 -8 *3314:4 0.00147136 -9 *6649:DIODE *4135:45 1.24368e-05 -10 *3314:25 *27400:B2 0.000132869 -11 *3314:25 *27765:B2 0.00147668 -12 *3314:25 *3680:32 0.000229275 -13 *3314:25 *3706:35 0.000144216 -14 *3314:25 *3849:48 0.000132519 -15 *3314:25 *5645:156 0.000388631 -16 *3314:26 *6457:DIODE 0.000102545 -17 *3314:26 *24920:B 0.000117629 -18 *3314:26 *24943:A 0.000206291 -19 *3314:26 *25111:A1 0.000111243 -20 *3314:26 *25352:A1 9.96717e-06 -21 *3314:26 *3678:89 0.000307878 -22 *3314:26 *3706:35 0.00934376 -23 *3314:26 *3706:45 0.00346289 -24 *3314:26 *3849:48 0.000416665 -25 *3314:26 *3867:60 4.18834e-05 -26 *3314:26 *4063:43 0 -27 *3314:26 *5623:89 7.81987e-05 -28 *3314:26 *5623:91 7.86179e-05 -29 *3314:26 *5643:221 0.000333546 -30 *3314:26 *5652:45 0.000895076 -31 *3314:26 *5661:245 0.000150618 -32 *3314:26 *5778:190 0.000145753 -33 *3314:41 *25097:A1 0.000594209 -34 *3314:41 *25184:B2 9.00639e-05 -35 *3314:41 *28610:CLK 0.000132495 -36 *3314:41 *3777:51 0.000610114 -37 *3314:41 *5448:26 2.06178e-05 -38 *3314:41 *5605:33 1.30275e-05 -39 *3314:41 *5606:14 5.81603e-05 -40 *3314:41 *5691:108 0.000280565 -41 *25235:A2 *3314:26 6.95556e-05 -42 *25266:B *3314:41 5.46906e-05 -43 *25403:A2 *3314:25 4.96237e-06 -44 *27404:A2 *3314:25 0.0012754 -45 *27766:A *3314:25 2.18021e-05 -46 *27766:C *6649:DIODE 0.000105058 -47 *27767:B *27767:C 0 -48 *30324:A *3314:26 0 -49 *1224:26 *3314:26 0.000268316 -50 *1224:36 *3314:26 0.000857513 -51 *1227:16 *3314:26 1.71589e-05 -52 *1235:116 *3314:26 0.000329148 -53 *1246:6 *3314:26 3.43988e-06 -54 *1246:36 *3314:26 9.13871e-05 -55 *1248:137 *3314:26 0.000475343 -56 *1253:98 *3314:41 0.000135336 -57 *1280:6 *3314:26 0.00609177 -58 *1293:78 *3314:26 5.01117e-05 -59 *1293:91 *3314:26 5.94544e-05 -60 *1326:14 *3314:41 0.000402237 -61 *1326:56 *3314:41 0.00148847 -62 *1326:95 *3314:41 0.000894199 -63 *1326:97 *3314:26 1.62001e-05 -64 *1326:116 *3314:26 0.00114691 -65 *1455:93 *3314:41 0.00116484 -66 *1479:101 *3314:26 0.000197779 -67 *1479:101 *3314:41 0.000155028 -68 *1485:39 *3314:26 0.000334123 -69 *1601:6 *3314:26 0.0023009 -70 *1670:20 *3314:41 0.000107365 -71 *1698:25 *3314:25 0.000341716 -72 *2761:134 *3314:26 0.000123919 -73 *2839:38 *3314:41 1.90936e-05 -74 *2844:304 *3314:26 1.87097e-05 -75 *2844:318 *3314:26 0.000990827 -76 *2848:33 *3314:41 0.00211844 -77 *2875:63 *3314:41 0 -78 *2886:295 *3314:26 0.000103363 -79 *2888:59 *3314:41 0.0011135 -80 *2889:65 *3314:26 0.000464782 -81 *2963:12 *3314:26 0.00097003 -82 *3174:29 *3314:41 1.50488e-05 -83 *3183:167 *3314:26 0.000231643 -*RES -1 *27766:X *3314:4 9.3 -2 *3314:4 *6649:DIODE 13.1393 -3 *3314:4 *3314:25 23.4615 -4 *3314:25 *3314:26 209.589 -5 *3314:26 *3314:41 28.9259 -6 *3314:41 *6650:DIODE 9.3 -7 *3314:41 *27767:C 12.1036 -*END - -*D_NET *3315 0.00617329 -*CONN -*I *27768:A3 I *D sky130_fd_sc_hd__o32a_1 -*I *27767:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *27768:A3 0 -2 *27767:X 0.000983521 -3 *3315:11 0.000983521 -4 *3315:11 *27768:B2 1.98839e-05 -5 *3315:11 *28466:CLK 3.87371e-05 -6 *3315:11 *3316:17 6.76886e-05 -7 *3315:11 *3794:86 0.00190664 -8 *3315:11 *5196:78 0.00116028 -9 *27768:B1 *3315:11 5.52302e-05 -10 *2870:65 *3315:11 0.000650867 -11 *3197:45 *3315:11 0 -12 *3205:180 *3315:11 0.000306921 -*RES -1 *27767:X *3315:11 48.175 -2 *3315:11 *27768:A3 9.3 -*END - -*D_NET *3316 0.00971896 -*CONN -*I *27769:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27768:X O *D sky130_fd_sc_hd__o32a_1 -*CAP -1 *27769:A1 0.000401497 -2 *27768:X 0.00319077 -3 *3316:17 0.00359226 -4 *27769:A1 *27770:A1 0.000119135 -5 *27769:A1 *28806:RESET_B 9.0145e-05 -6 *27769:A1 *5718:290 0.000347362 -7 *27769:A1 *5754:46 3.17163e-05 -8 *3316:17 *27695:A1 9.89951e-05 -9 *3316:17 *27695:A2 1.58163e-05 -10 *3316:17 *27768:B2 5.33433e-05 -11 *3316:17 *28801:CLK 0.000518237 -12 *3316:17 *3847:41 0.000133384 -13 *3316:17 *5630:14 0.000107946 -14 *3316:17 *6339:8 9.60875e-05 -15 *27695:B1 *3316:17 4.38942e-05 -16 *27768:A2 *3316:17 0.000137983 -17 *31016:A *3316:17 3.53533e-05 -18 *1228:85 *27769:A1 8.33968e-05 -19 *1228:87 *27769:A1 8.33274e-05 -20 *1490:26 *27769:A1 7.60306e-05 -21 *2758:64 *3316:17 6.31355e-05 -22 *2845:172 *3316:17 9.41642e-05 -23 *2938:6 *27769:A1 3.06406e-05 -24 *2938:11 *3316:17 1.44094e-05 -25 *3205:180 *3316:17 1.11474e-05 -26 *3206:95 *3316:17 0.000175892 -27 *3246:17 *3316:17 5.20359e-06 -28 *3315:11 *3316:17 6.76886e-05 -*RES -1 *27768:X *3316:17 48.4607 -2 *3316:17 *27769:A1 27.7643 -*END - -*D_NET *3317 0.00106819 -*CONN -*I *27770:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27769:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *27770:A1 0.000349705 -2 *27769:X 0.000349705 -3 *27770:A1 *27769:S 2.84026e-05 -4 *27770:A1 *3952:18 0.000125724 -5 *27770:A1 *5718:290 9.77264e-06 -6 *27769:A1 *27770:A1 0.000119135 -7 *1228:87 *27770:A1 2.15245e-05 -8 *2790:92 *27770:A1 6.42242e-05 -*RES -1 *27769:X *27770:A1 33.0643 -*END - -*D_NET *3318 0.00068177 -*CONN -*I *27781:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27771:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27781:C1 0.000146176 -2 *27771:X 0.000146176 -3 *27781:C1 *27771:A1 0.000137983 -4 *27781:C1 *3694:32 0.000125717 -5 *27781:C1 *3811:24 0.000125717 -*RES -1 *27771:X *27781:C1 30.6 -*END - -*D_NET *3319 0.00118692 -*CONN -*I *27775:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27772:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *27775:C1 0.000242954 -2 *27772:X 0.000242954 -3 *27775:C1 *4121:62 0.000168302 -4 *1826:178 *27775:C1 0.000120697 -5 *2785:10 *27775:C1 0.000244422 -6 *2785:12 *27775:C1 9.12207e-06 -7 *2882:303 *27775:C1 0.000137983 -8 *3215:286 *27775:C1 2.04825e-05 -*RES -1 *27772:X *27775:C1 33.0286 -*END - -*D_NET *3320 0.0137455 -*CONN -*I *27774:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27773:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27774:C1 3.95954e-05 -2 *27773:X 0.000981699 -3 *3320:18 0.00198731 -4 *3320:16 0.00292941 -5 *27774:C1 *27774:B2 5.04841e-06 -6 *3320:16 *25048:A2 0.00011594 -7 *3320:16 *27152:A1 0.000255187 -8 *3320:16 *27822:B1 6.06291e-06 -9 *3320:16 *3796:163 0.000552525 -10 *3320:16 *3969:14 4.77207e-05 -11 *3320:16 *4136:16 0.000103109 -12 *3320:16 *4137:16 3.34078e-05 -13 *3320:16 *5651:378 2.07158e-05 -14 *3320:18 *26941:A1 0.000263232 -15 *3320:18 *27776:A2 0.000111921 -16 *3320:18 *27779:C1 9.18765e-06 -17 *3320:18 *3692:45 8.6051e-05 -18 *3320:18 *3785:44 0.0011015 -19 *3320:18 *3850:38 0.000185659 -20 *3320:18 *3850:64 0.000300169 -21 *3320:18 *3915:9 0.000138861 -22 *3320:18 *3969:14 0.000267886 -23 *3320:18 *4095:33 0.000134263 -24 *3320:18 *4136:16 0.000409348 -25 *3320:18 *5584:269 0.000341127 -26 *3320:18 *5595:69 1.41231e-05 -27 *3320:18 *5607:102 0.000167872 -28 *3320:18 *5774:44 0.000344041 -29 *3320:18 *5774:49 7.40571e-05 -30 *3320:18 *5809:22 0 -31 *3320:18 *5911:173 0.000302244 -32 *27431:B1 *3320:18 7.69776e-06 -33 *27773:A2 *3320:16 3.58774e-05 -34 *27774:A2 *27774:C1 2.59355e-05 -35 *1826:189 *3320:18 0.00147216 -36 *2791:45 *3320:16 0.000537841 -37 *2888:291 *3320:18 0.000150236 -38 *3153:300 *3320:16 0.000186437 -*RES -1 *27773:X *3320:16 41.0768 -2 *3320:16 *3320:18 53.7143 -3 *3320:18 *27774:C1 14.4696 -*END - -*D_NET *3321 0.00104735 -*CONN -*I *27780:A I *D sky130_fd_sc_hd__or4_1 -*I *27774:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27780:A 0.000186758 -2 *27774:X 0.000186758 -3 *27780:A *3326:11 0.0001399 -4 *27780:A *3327:7 3.32631e-05 -5 *27780:A *3837:43 9.54798e-06 -6 *27780:A *3916:20 0.000331063 -7 *27780:A *5627:208 0.000160057 -*RES -1 *27774:X *27780:A 33.3321 -*END - -*D_NET *3322 0.00119883 -*CONN -*I *27780:B I *D sky130_fd_sc_hd__or4_1 -*I *27775:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27780:B 0.000554873 -2 *27775:X 0.000554873 -3 *27780:B *27775:A1 1.65169e-05 -4 *27780:B *3326:11 2.51343e-06 -5 *27775:A2 *27780:B 4.00679e-05 -6 *2866:311 *27780:B 2.99891e-05 -*RES -1 *27775:X *27780:B 24.475 -*END - -*D_NET *3323 0.000331205 -*CONN -*I *27777:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27776:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27777:C1 3.85234e-05 -2 *27776:X 3.85234e-05 -3 *27777:C1 *3850:64 0.000127079 -4 *27777:C1 *3969:16 0.000127079 -*RES -1 *27776:X *27777:C1 29.2429 -*END - -*D_NET *3324 0.00182656 -*CONN -*I *27780:C I *D sky130_fd_sc_hd__or4_1 -*I *27777:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27780:C 0.000287986 -2 *27777:X 0.000287986 -3 *27780:C *3837:33 0.000555449 -4 *27780:C *3916:20 2.98051e-05 -5 *2986:18 *27780:C 0.000665338 -*RES -1 *27777:X *27780:C 36.5286 -*END - -*D_NET *3325 0.00443685 -*CONN -*I *27779:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27778:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27779:C1 0.000698026 -2 *27778:X 0.000698026 -3 *27779:C1 *27779:B1 0.000426978 -4 *27779:C1 *3607:26 4.33002e-05 -5 *27779:C1 *3733:31 1.21258e-05 -6 *27779:C1 *3759:5 0.00019866 -7 *27779:C1 *3969:14 0.000285002 -8 *27779:C1 *5627:221 1.55885e-05 -9 *27779:C1 *5650:352 0.000135528 -10 *27779:C1 *5774:44 0.000165822 -11 *27779:C1 *5774:49 7.5779e-05 -12 *27779:C1 *5829:149 0.000863032 -13 *2791:163 *27779:C1 2.08409e-05 -14 *2888:291 *27779:C1 1.90936e-05 -15 *3185:229 *27779:C1 0.000769859 -16 *3320:18 *27779:C1 9.18765e-06 -*RES -1 *27778:X *27779:C1 46.747 -*END - -*D_NET *3326 0.00877742 -*CONN -*I *27780:D I *D sky130_fd_sc_hd__or4_1 -*I *27779:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27780:D 0 -2 *27779:X 3.88068e-05 -3 *3326:11 0.00138989 -4 *3326:7 0.0014287 -5 *3326:7 *27431:A1 9.58181e-05 -6 *3326:7 *3772:14 1.6068e-05 -7 *3326:11 *27429:A1 0.000100652 -8 *3326:11 *3837:36 9.54536e-05 -9 *3326:11 *3930:70 9.12098e-05 -10 *3326:11 *4137:16 0.00181254 -11 *3326:11 *4137:20 0.000186144 -12 *27779:A2 *3326:7 7.13226e-06 -13 *27780:A *3326:11 0.0001399 -14 *27780:B *3326:11 2.51343e-06 -15 *1273:167 *3326:11 0.00283288 -16 *1273:181 *3326:11 1.03483e-05 -17 *2848:369 *3326:11 5.6468e-05 -18 *2866:311 *3326:11 0.000472902 -*RES -1 *27779:X *3326:7 14.7464 -2 *3326:7 *3326:11 47.2143 -3 *3326:11 *27780:D 9.3 -*END - -*D_NET *3327 0.00794956 -*CONN -*I *27793:B1 I *D sky130_fd_sc_hd__o221a_2 -*I *27780:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27793:B1 0 -2 *27780:X 7.76826e-05 -3 *3327:15 0.00103529 -4 *3327:7 0.00111297 -5 *3327:15 *27793:A1 0.000216755 -6 *3327:15 *27793:B2 3.51393e-05 -7 *3327:15 *27793:C1 0.000136951 -8 *3327:15 *3367:46 0.00227321 -9 *3327:15 *3850:24 0.00149022 -10 *3327:15 *3916:20 3.76225e-05 -11 *27774:B1 *3327:15 0.000140841 -12 *27780:A *3327:7 3.32631e-05 -13 *1271:221 *3327:15 0.000546462 -14 *2986:18 *3327:15 0.000280219 -15 *3153:284 *3327:15 0.000154703 -16 *3174:261 *3327:15 0.000378235 -*RES -1 *27780:X *3327:7 14.7464 -2 *3327:7 *3327:15 42.8795 -3 *3327:15 *27793:B1 9.3 -*END - -*D_NET *3328 0.00116449 -*CONN -*I *27782:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27781:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27782:C1 0.000299305 -2 *27781:X 0.000299305 -3 *27782:C1 *25051:B2 0.000262504 -4 *27782:C1 *27781:B2 2.04825e-05 -5 *27782:C1 *27782:A1 5.33005e-05 -6 *27782:C1 *3811:24 0.000142237 -7 *27782:B1 *27782:C1 1.24368e-05 -8 *27833:B1 *27782:C1 1.53262e-05 -9 *3206:271 *27782:C1 5.95974e-05 -*RES -1 *27781:X *27782:C1 32.6714 -*END - -*D_NET *3329 0.00179798 -*CONN -*I *27792:B I *D sky130_fd_sc_hd__or3_1 -*I *27782:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27792:B 0.000495479 -2 *27782:X 0.000495479 -3 *27792:B *27833:B2 7.25916e-05 -4 *27792:B *4147:20 4.46186e-06 -5 *30154:A *27792:B 0.000473093 -6 *2769:167 *27792:B 0.000256874 -*RES -1 *27782:X *27792:B 35.7071 -*END - -*D_NET *3330 0.00337525 -*CONN -*I *27784:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27783:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27784:C1 0.000814137 -2 *27783:X 0.000814137 -3 *27784:C1 *26988:A0 0 -4 *27784:C1 *28567:D 0.000425739 -5 *27784:C1 *3681:52 0.000179017 -6 *27784:C1 *3707:38 8.33813e-05 -7 *27784:C1 *3707:40 0.000432279 -8 *27784:C1 *3891:29 2.06178e-05 -9 *27784:C1 *5639:165 8.40425e-05 -10 *27784:C1 *5703:42 0.000218409 -11 *900:15 *27784:C1 2.12005e-05 -12 *2770:97 *27784:C1 0.000282292 -*RES -1 *27783:X *27784:C1 46.8815 -*END - -*D_NET *3331 0.00459074 -*CONN -*I *27791:A I *D sky130_fd_sc_hd__or4_1 -*I *27784:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27791:A 0.00138219 -2 *27784:X 0.00138219 -3 *27791:A *27425:A1 0.000154345 -4 *27791:A *27425:B2 1.58163e-05 -5 *27791:A *27784:A1 8.86898e-05 -6 *27791:A *27791:B 0.000188488 -7 *27791:A *27791:C 5.49489e-05 -8 *27791:A *27791:D 2.84109e-05 -9 *27791:A *3798:99 5.54755e-05 -10 *27791:A *3811:44 0.000137561 -11 *27791:A *3891:29 9.41642e-05 -12 *27791:A *4149:57 0.000200406 -13 *27791:A *4214:20 4.58026e-06 -14 *27791:A *5676:87 0.000513042 -15 *27791:A *5703:45 0.000177815 -16 *27425:A2 *27791:A 2.13481e-06 -17 *27425:B1 *27791:A 6.43187e-05 -18 *27784:A2 *27791:A 2.49598e-05 -19 *2761:53 *27791:A 2.12087e-05 -*RES -1 *27784:X *27791:A 49.0286 -*END - -*D_NET *3332 0.00272243 -*CONN -*I *27786:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27785:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27786:C1 0.000648335 -2 *27785:X 0.000648335 -3 *27786:C1 *27786:B1 5.49544e-05 -4 *27786:C1 *28511:D 0.000439953 -5 *27786:C1 *3333:17 2.6269e-05 -6 *27786:C1 *3720:21 0.000221634 -7 *27786:C1 *3891:43 7.40526e-05 -8 *27786:C1 *5628:107 0.000137561 -9 *27786:C1 *5628:121 0.000178425 -10 *2993:27 *27786:C1 0.000217329 -11 *3164:304 *27786:C1 7.55769e-05 -*RES -1 *27785:X *27786:C1 39.4393 -*END - -*D_NET *3333 0.00858086 -*CONN -*I *27791:B I *D sky130_fd_sc_hd__or4_1 -*I *27786:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27791:B 0.000951798 -2 *27786:X 0.00100051 -3 *3333:17 0.0019523 -4 *27791:B *27425:B2 0.000193833 -5 *27791:B *3798:99 0.00018684 -6 *27791:B *3800:69 1.53262e-05 -7 *27791:B *5703:42 7.40757e-05 -8 *27791:B *5910:69 0.00048587 -9 *3333:17 *26945:A0 0.000384392 -10 *3333:17 *27433:A1 3.58408e-05 -11 *3333:17 *27786:A1 1.21258e-05 -12 *3333:17 *27786:B1 0.000168489 -13 *3333:17 *29699:A 3.37161e-05 -14 *3333:17 *5628:107 0.000126139 -15 *3333:17 *5628:121 0.000208837 -16 *3333:17 *5863:143 0 -17 *3333:17 *6004:15 0.000135028 -18 *3333:17 *6005:32 0 -19 *25042:A2 *3333:17 0.000178425 -20 *27786:A2 *3333:17 7.13226e-06 -21 *27786:C1 *3333:17 2.6269e-05 -22 *27791:A *27791:B 0.000188488 -23 *1304:6 *3333:17 0.000279062 -24 *1304:15 *3333:17 0.000150692 -25 *2770:97 *27791:B 2.07441e-05 -26 *3164:304 *27791:B 0.0010979 -27 *3206:249 *27791:B 0.000667029 -*RES -1 *27786:X *3333:17 39.675 -2 *3333:17 *27791:B 45.2107 -*END - -*D_NET *3334 0.00379543 -*CONN -*I *27788:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27787:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27788:C1 0.000899604 -2 *27787:X 0.000899604 -3 *27788:C1 *27788:B1 0.000219711 -4 *27788:C1 *28679:D 0.000104787 -5 *27788:C1 *29089:A 0.000260574 -6 *27788:C1 *3338:8 0.000344433 -7 *27788:C1 *4095:33 0.000322523 -8 *27788:C1 *4147:20 0.000416475 -9 *29090:A *27788:C1 0.000136682 -10 *29092:A *27788:C1 0.000175892 -11 *890:19 *27788:C1 1.51489e-05 -*RES -1 *27787:X *27788:C1 45.8143 -*END - -*D_NET *3335 0.00670035 -*CONN -*I *27791:C I *D sky130_fd_sc_hd__or4_1 -*I *27788:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27791:C 0.000256469 -2 *27788:X 0.00107636 -3 *3335:14 0.00133282 -4 *27791:C *27789:A1 9.58181e-05 -5 *27791:C *27791:D 0 -6 *3335:14 *28527:RESET_B 0.000175584 -7 *3335:14 *3692:29 0.000108535 -8 *3335:14 *3811:44 0.000499036 -9 *3335:14 *3995:62 0.000853833 -10 *3335:14 *4123:14 0.000296924 -11 *3335:14 *4147:20 0.000470214 -12 *3335:14 *4512:14 5.94943e-05 -13 *3335:14 *5643:203 0.000853126 -14 *3335:14 *5651:357 0.00010614 -15 *3335:14 *5773:20 0.000219289 -16 *3335:14 *5810:34 1.50738e-05 -17 *27791:A *27791:C 5.49489e-05 -18 *2761:53 *27791:C 0.000226682 -*RES -1 *27788:X *3335:14 49.8357 -2 *3335:14 *27791:C 18.3714 -*END - -*D_NET *3336 0.00054755 -*CONN -*I *27790:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27789:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27790:C1 0.000149733 -2 *27789:X 0.000149733 -3 *27790:C1 *3338:8 7.07155e-06 -4 *27790:C1 *3800:69 0.000120506 -5 *27790:C1 *5651:357 0.000120506 -*RES -1 *27789:X *27790:C1 30.1893 -*END - -*D_NET *3337 0.00261207 -*CONN -*I *27791:D I *D sky130_fd_sc_hd__or4_1 -*I *27790:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27791:D 0.000825227 -2 *27790:X 0.000825227 -3 *27791:D *27790:A1 1.6068e-05 -4 *27791:D *27790:A2 1.58686e-05 -5 *27791:D *3798:99 0.000162981 -6 *27791:D *5652:200 0.000624608 -7 *27791:D *5676:87 5.18717e-05 -8 *27791:A *27791:D 2.84109e-05 -9 *27791:C *27791:D 0 -10 *29086:A *27791:D 5.35475e-05 -11 *2761:53 *27791:D 8.25843e-06 -*RES -1 *27790:X *27791:D 40.1357 -*END - -*D_NET *3338 0.00765482 -*CONN -*I *27792:C I *D sky130_fd_sc_hd__or3_1 -*I *27791:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27792:C 0.000198364 -2 *27791:X 0.00141957 -3 *3338:8 0.00161793 -4 *27792:C *26959:A1 0.000405712 -5 *27792:C *4396:14 0.000262679 -6 *3338:8 *27789:A1 1.4396e-05 -7 *3338:8 *28679:D 0.000280446 -8 *3338:8 *28679:RESET_B 2.78563e-05 -9 *3338:8 *29089:A 4.30452e-05 -10 *3338:8 *3902:9 0.00063983 -11 *3338:8 *4123:9 3.78849e-05 -12 *3338:8 *4147:20 0.000114721 -13 *3338:8 *4512:14 0.000644407 -14 *3338:8 *5643:203 0.00026728 -15 *3338:8 *5652:184 0.000286271 -16 *3338:8 *5703:21 3.81754e-05 -17 *3338:8 *5829:149 9.0213e-05 -18 *27788:C1 *3338:8 0.000344433 -19 *27790:C1 *3338:8 7.07155e-06 -20 *30154:A *27792:C 8.87617e-05 -21 *30501:A *3338:8 0.000459601 -22 *2761:53 *3338:8 0.000216755 -23 *2769:167 *3338:8 0.000149419 -*RES -1 *27791:X *3338:8 46.3 -2 *3338:8 *27792:C 19.425 -*END - -*D_NET *3339 0.0261813 -*CONN -*I *27793:B2 I *D sky130_fd_sc_hd__o221a_2 -*I *27792:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *27793:B2 8.24468e-05 -2 *27792:X 0.0048914 -3 *3339:22 0.00497384 -4 *27793:B2 *27793:A1 0.00023783 -5 *3339:22 *28583:D 0 -6 *3339:22 *3378:8 0 -7 *3339:22 *3733:15 0.000501504 -8 *3339:22 *4006:51 9.23226e-05 -9 *3339:22 *4137:20 0.000461538 -10 *3339:22 *4149:72 0.000203909 -11 *3339:22 *5595:69 0.000801917 -12 *3339:22 *5600:205 0.000284069 -13 *3339:22 *5651:378 8.2675e-05 -14 *3339:22 *6087:19 1.57049e-05 -15 *25051:B1 *3339:22 0.00101125 -16 *29070:A *3339:22 6.75539e-05 -17 *1242:135 *3339:22 0.000102503 -18 *1242:159 *3339:22 0.000654894 -19 *1265:149 *3339:22 0.00242094 -20 *1266:194 *3339:22 0.000120376 -21 *1273:161 *3339:22 9.87983e-06 -22 *1302:6 *3339:22 0.000210498 -23 *1378:36 *3339:22 0.00253627 -24 *1390:15 *3339:22 0.00555952 -25 *1853:64 *3339:22 0.00042433 -26 *2763:169 *3339:22 3.44695e-06 -27 *2767:142 *3339:22 0.000151509 -28 *2895:281 *3339:22 0 -29 *3205:273 *3339:22 9.3313e-05 -30 *3206:256 *3339:22 0.000150764 -31 *3327:15 *27793:B2 3.51393e-05 -*RES -1 *27792:X *3339:22 47.9807 -2 *3339:22 *27793:B2 15.9964 -*END - -*D_NET *3340 0.0369797 -*CONN -*I *27794:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *27793:X O *D sky130_fd_sc_hd__o221a_2 -*CAP -1 *27794:B1 0.0013462 -2 *27793:X 0 -3 *3340:19 0.00468279 -4 *3340:6 0.00576746 -5 *3340:5 0.00243087 -6 *27794:B1 *27794:A1 0.000147464 -7 *27794:B1 *27794:A2 0.000142027 -8 *27794:B1 *3943:24 0.000517082 -9 *27794:B1 *5129:38 0.000515024 -10 *3340:6 *27125:A0 7.83659e-05 -11 *3340:6 *3370:11 2.18827e-05 -12 *3340:6 *3721:44 0.00090999 -13 *3340:6 *3839:45 0.000558862 -14 *3340:6 *3943:30 0.00155299 -15 *3340:6 *3943:37 5.84955e-05 -16 *3340:6 *4137:24 0.0060656 -17 *3340:6 *5627:181 0.000205899 -18 *3340:6 *5627:197 0.00297545 -19 *3340:19 *25031:A1 0.000124816 -20 *3340:19 *27454:B2 0 -21 *3340:19 *3735:58 0.000119924 -22 *3340:19 *3735:63 0.00186368 -23 *3340:19 *3735:71 1.18647e-05 -24 *3340:19 *3943:24 0.00193359 -25 *3340:19 *3943:30 0.000269107 -26 *3340:19 *4085:73 0.00013392 -27 *3340:19 *5129:38 0.000135968 -28 *3340:19 *5649:55 5.88248e-05 -29 *3340:19 *5649:82 0.000608405 -30 *3340:19 *5650:198 0.00121369 -31 *3340:19 *5656:34 0.000113098 -32 *3340:19 *5660:123 0.000121573 -33 *3340:19 *5679:19 1.60253e-05 -34 *24996:A *3340:19 0.000100823 -35 *1242:135 *3340:6 0.00086555 -36 *1273:144 *3340:19 0.000102177 -37 *1273:159 *3340:19 7.3979e-05 -38 *1368:37 *3340:19 0.00011937 -39 *1864:150 *3340:19 8.75887e-07 -40 *2864:156 *3340:19 2.09897e-05 -41 *2895:256 *3340:19 0 -42 *3174:224 *3340:6 1.20719e-05 -43 *3174:224 *3340:19 0.000395521 -44 *3193:59 *3340:19 0 -45 *3205:235 *3340:6 1.62539e-05 -46 *3205:270 *3340:6 0.000436843 -47 *3206:180 *3340:19 0.000134326 -*RES -1 *27793:X *3340:5 13.8 -2 *3340:5 *3340:6 91.9554 -3 *3340:6 *3340:19 47.7119 -4 *3340:19 *27794:B1 39.9154 -*END - -*D_NET *3341 0.00240162 -*CONN -*I *27795:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27794:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *27795:A1 0.000468299 -2 *27794:X 0.000468299 -3 *27795:A1 *27794:A2 0.000175892 -4 *27795:A1 *27795:A0 1.98839e-05 -5 *27795:A1 *27818:C1 0 -6 *27795:A1 *5653:19 4.43628e-05 -7 *27795:A1 *5755:32 9.89536e-05 -8 *27795:S *27795:A1 5.52302e-05 -9 *29511:A *27795:A1 0.000149885 -10 *2856:279 *27795:A1 6.82817e-05 -11 *2871:175 *27795:A1 0.000515426 -12 *2885:35 *27795:A1 0.000337111 -*RES -1 *27794:X *27795:A1 39.2071 -*END - -*D_NET *3342 0.000797678 -*CONN -*I *27797:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27796:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27797:C1 0.000141206 -2 *27796:X 0.000141206 -3 *27797:C1 *27441:A1 0.000111727 -4 *27797:C1 *27796:A1 7.5779e-05 -5 *27797:C1 *3682:50 2.68445e-05 -6 *27797:C1 *5642:34 3.10819e-05 -7 *27797:C1 *5642:35 0.000220935 -8 *27797:C1 *5663:22 9.18765e-06 -9 *1304:40 *27797:C1 1.90936e-05 -10 *2855:298 *27797:C1 2.06178e-05 -*RES -1 *27796:X *27797:C1 31.4214 -*END - -*D_NET *3343 0.00486667 -*CONN -*I *27804:A I *D sky130_fd_sc_hd__or4_1 -*I *27797:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27804:A 0 -2 *27797:X 0.000661807 -3 *3343:10 0.000661807 -4 *3343:10 *27441:A1 0.000139208 -5 *3343:10 *27447:A1 0.000424853 -6 *3343:10 *27797:A1 0.00012123 -7 *3343:10 *27804:D 0.000141766 -8 *3343:10 *3982:56 0.00167393 -9 *3343:10 *5504:86 0.000784002 -10 *3343:10 *5660:35 0 -11 *3343:10 *5863:177 0.000258066 -*RES -1 *27797:X *3343:10 41.0321 -2 *3343:10 *27804:A 9.3 -*END - -*D_NET *3344 0.00393625 -*CONN -*I *27799:C1 I *D sky130_fd_sc_hd__a221o_2 -*I *27798:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27799:C1 0.00161467 -2 *27798:X 0.00161467 -3 *27799:C1 *27798:A1 3.10499e-05 -4 *27799:C1 *27798:B1 0.000178425 -5 *27799:C1 *27799:A2 7.37323e-05 -6 *27799:C1 *27799:B2 4.2622e-05 -7 *27799:C1 *27836:B2 6.05161e-06 -8 *27799:C1 *3786:25 0.000207244 -9 *27799:C1 *5652:149 3.30337e-05 -10 *27799:C1 *5680:168 3.86706e-05 -11 *2883:209 *27799:C1 9.60875e-05 -*RES -1 *27798:X *27799:C1 39.4214 -*END - -*D_NET *3345 0.0400122 -*CONN -*I *27804:B I *D sky130_fd_sc_hd__or4_1 -*I *27799:X O *D sky130_fd_sc_hd__a221o_2 -*CAP -1 *27804:B 0.00113514 -2 *27799:X 0 -3 *3345:6 0.00605119 -4 *3345:5 0.00491605 -5 *27804:B *5947:23 0.00146312 -6 *3345:6 *27466:B2 0.000214611 -7 *3345:6 *3721:37 0.00117364 -8 *3345:6 *3904:38 0.00139886 -9 *3345:6 *3942:60 0.000121549 -10 *3345:6 *4086:28 0.00133725 -11 *3345:6 *4086:35 0.00039443 -12 *3345:6 *4134:107 0.000106304 -13 *3345:6 *5639:114 2.09826e-05 -14 *3345:6 *5654:23 0.00340579 -15 *27458:B1 *3345:6 0.00012401 -16 *27480:B1 *3345:6 0.000128945 -17 *27480:C1 *3345:6 0.00014712 -18 *30097:A *3345:6 0.000311063 -19 *1252:195 *3345:6 0.000859307 -20 *1269:247 *3345:6 4.00349e-05 -21 *1385:25 *3345:6 0.00279449 -22 *1385:34 *3345:6 0.000436054 -23 *2764:209 *3345:6 0.000287807 -24 *2848:233 *3345:6 6.30931e-05 -25 *2883:158 *3345:6 0.00238149 -26 *2883:188 *3345:6 0.00707981 -27 *2883:192 *3345:6 1.20729e-05 -28 *2888:183 *3345:6 0.00232804 -29 *2889:166 *3345:6 0.00114571 -30 *3165:27 *3345:6 0.000134217 -*RES -1 *27799:X *3345:5 13.8 -2 *3345:5 *3345:6 185.607 -3 *3345:6 *27804:B 28.3 -*END - -*D_NET *3346 0.00267573 -*CONN -*I *27801:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *27800:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27801:B1 0.000467782 -2 *27800:X 0.000467782 -3 *27801:B1 *27801:A1 5.52302e-05 -4 *27801:B1 *27801:A2 4.62931e-05 -5 *27801:B1 *3943:20 3.32442e-05 -6 *27801:B1 *4085:87 0.000355236 -7 *27801:B1 *5649:29 0.00051979 -8 *1490:61 *27801:B1 0.000627825 -9 *3164:20 *27801:B1 0.000102545 -*RES -1 *27800:X *27801:B1 40.7071 -*END - -*D_NET *3347 0.00768314 -*CONN -*I *27804:C I *D sky130_fd_sc_hd__or4_1 -*I *27801:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *27804:C 0.000353937 -2 *27801:X 0.000875199 -3 *3347:12 0.00122914 -4 *27804:C *27804:D 5.65955e-05 -5 *27804:C *3734:23 0.000518879 -6 *27804:C *5947:23 0.00109004 -7 *3347:12 *3787:29 0.000383281 -8 *3347:12 *5504:86 7.99196e-05 -9 *3347:12 *5658:10 4.8936e-05 -10 *1256:61 *3347:12 0.000213307 -11 *2781:39 *3347:12 0.00171106 -12 *2852:297 *3347:12 0.000242913 -13 *2866:34 *3347:12 0.000494948 -14 *2888:170 *3347:12 0.000384995 -*RES -1 *27801:X *3347:12 47.9071 -2 *3347:12 *27804:C 24.6036 -*END - -*D_NET *3348 0.00647039 -*CONN -*I *27803:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27802:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27803:C1 0.000413938 -2 *27802:X 0.00090335 -3 *3348:17 0.00131729 -4 *27803:C1 *27803:B2 0.000260574 -5 *27803:C1 *27817:A 0.000260086 -6 *27803:C1 *3826:52 0.00108642 -7 *27803:C1 *3993:14 0.000176225 -8 *3348:17 *25023:A1 0.000311121 -9 *3348:17 *28765:CLK 7.07155e-06 -10 *3348:17 *28765:D 2.22164e-05 -11 *3348:17 *3773:19 1.21258e-05 -12 *3348:17 *3982:46 0.000138119 -13 *3348:17 *5678:129 2.84109e-05 -14 *3348:17 *5753:53 0 -15 *3348:17 *5863:177 0.000182497 -16 *25023:B1 *3348:17 0.000261939 -17 *1317:30 *3348:17 0 -18 *1347:19 *3348:17 1.01912e-05 -19 *3177:24 *27803:C1 0.00106567 -20 *3177:35 *27803:C1 1.31516e-05 -*RES -1 *27802:X *3348:17 36.4964 -2 *3348:17 *27803:C1 30.4786 -*END - -*D_NET *3349 0.000677296 -*CONN -*I *27804:D I *D sky130_fd_sc_hd__or4_1 -*I *27803:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27804:D 0.000164001 -2 *27803:X 0.000164001 -3 *27804:D *27817:A 2.78512e-05 -4 *27804:D *3734:23 9.99853e-05 -5 *27804:D *5660:35 0 -6 *27804:D *5947:23 2.12005e-05 -7 *27804:C *27804:D 5.65955e-05 -8 *3179:46 *27804:D 1.89507e-06 -9 *3343:10 *27804:D 0.000141766 -*RES -1 *27803:X *27804:D 31.0286 -*END - -*D_NET *3350 0.00241974 -*CONN -*I *27817:A I *D sky130_fd_sc_hd__or3_1 -*I *27804:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27817:A 0.000640787 -2 *27804:X 0.000640787 -3 *27817:A *27803:B2 7.42463e-05 -4 *27817:A *3799:36 0.000221365 -5 *27817:A *3982:56 0.000128154 -6 *27803:A2 *27817:A 1.46576e-05 -7 *27803:B1 *27817:A 9.04674e-05 -8 *27803:C1 *27817:A 0.000260086 -9 *27804:D *27817:A 2.78512e-05 -10 *3179:46 *27817:A 0.000321336 -*RES -1 *27804:X *27817:A 40.8679 -*END - -*D_NET *3351 0.00298884 -*CONN -*I *27806:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27805:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27806:C1 0.000859462 -2 *27805:X 0.000859462 -3 *27806:C1 *24971:A1 0.000157998 -4 *27806:C1 *27805:A1 0.000310236 -5 *27806:C1 *5536:31 0.000504628 -6 *1307:23 *27806:C1 0.000297049 -*RES -1 *27805:X *27806:C1 42.4393 -*END - -*D_NET *3352 0.0100961 -*CONN -*I *27807:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27806:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27807:C1 0.00138436 -2 *27806:X 0.00103005 -3 *3352:10 0.00241441 -4 *27807:C1 *27807:A1 7.46017e-05 -5 *27807:C1 *27807:B2 3.22273e-05 -6 *27807:C1 *27817:B 9.80173e-05 -7 *27807:C1 *3952:35 0.000275816 -8 *27807:C1 *3993:14 0.000169732 -9 *27807:C1 *5642:27 0.000177815 -10 *27807:C1 *5647:18 0.000927113 -11 *27807:C1 *5700:260 2.10145e-06 -12 *3352:10 *27089:A1 0.000510636 -13 *3352:10 *27806:A1 0.00010288 -14 *3352:10 *27806:A2 7.13226e-06 -15 *3352:10 *27806:B2 1.38323e-05 -16 *3352:10 *30882:A 2.93376e-05 -17 *3352:10 *3995:26 0.0010498 -18 *3352:10 *5678:129 0 -19 *3352:10 *5678:158 0.000258982 -20 *3352:10 *5873:106 5.75368e-06 -21 *27807:A2 *27807:C1 0.000109588 -22 *30484:A *27807:C1 0.000310241 -23 *1317:29 *3352:10 0.000186212 -24 *3177:24 *27807:C1 0.000925466 -*RES -1 *27806:X *3352:10 41.2464 -2 *3352:10 *27807:C1 46.7464 -*END - -*D_NET *3353 0.00233389 -*CONN -*I *27817:B I *D sky130_fd_sc_hd__or3_1 -*I *27807:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27817:B 0.000277624 -2 *27807:X 0.000277624 -3 *27817:B *27807:A1 3.66878e-05 -4 *27817:B *3799:25 0.000731703 -5 *27817:B *3800:29 0.000731703 -6 *27807:A2 *27817:B 1.46576e-05 -7 *27807:C1 *27817:B 9.80173e-05 -8 *1308:19 *27817:B 0.000165869 -*RES -1 *27807:X *27817:B 38.0821 -*END - -*D_NET *3354 0.00292034 -*CONN -*I *27809:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27808:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27809:C1 0.000584516 -2 *27808:X 0.000584516 -3 *27809:C1 *27809:B2 0.000115805 -4 *27809:C1 *28488:CLK 3.12046e-05 -5 *27809:C1 *3878:43 0.000648426 -6 *27809:C1 *4186:34 3.04311e-05 -7 *30417:A *27809:C1 0.000125731 -8 *2859:313 *27809:C1 0.000339346 -9 *2883:158 *27809:C1 0.00010798 -10 *2889:162 *27809:C1 0.00035238 -*RES -1 *27808:X *27809:C1 41.0107 -*END - -*D_NET *3355 0.00408056 -*CONN -*I *27816:A I *D sky130_fd_sc_hd__or4_1 -*I *27809:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27816:A 0.000822514 -2 *27809:X 0.000822514 -3 *27816:A *27815:A1 5.04841e-06 -4 *27816:A *3362:11 3.55471e-05 -5 *27816:A *3800:29 2.94501e-05 -6 *27816:A *3955:16 0.00103403 -7 *1308:19 *27816:A 0.000125685 -8 *2885:82 *27816:A 0.000697115 -9 *2889:162 *27816:A 0.000218685 -10 *3009:9 *27816:A 3.69047e-06 -11 *3017:10 *27816:A 0.000286278 -*RES -1 *27809:X *27816:A 47.7964 -*END - -*D_NET *3356 0.000652862 -*CONN -*I *27811:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27810:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27811:C1 0.000134478 -2 *27810:X 0.000134478 -3 *27811:C1 *5632:8 0.000143285 -4 *2882:178 *27811:C1 0.000144808 -5 *3170:167 *27811:C1 9.58126e-05 -*RES -1 *27810:X *27811:C1 30.4929 -*END - -*D_NET *3357 0.00240717 -*CONN -*I *27816:B I *D sky130_fd_sc_hd__or4_1 -*I *27811:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27816:B 0.000836279 -2 *27811:X 0.000836279 -3 *27816:B *27815:A1 4.71132e-05 -4 *27816:B *27815:B2 2.67132e-05 -5 *27816:B *27816:C 1.52353e-05 -6 *27816:B *27816:D 0.000216755 -7 *27816:B *3360:11 5.33005e-05 -8 *27816:B *3904:38 7.95917e-06 -9 *27816:B *3942:60 1.19191e-05 -10 *27815:A2 *27816:B 1.46576e-05 -11 *2856:294 *27816:B 9.77391e-05 -12 *2889:162 *27816:B 0.000175892 -13 *3206:189 *27816:B 1.21258e-05 -14 *3206:199 *27816:B 5.52058e-05 -*RES -1 *27811:X *27816:B 40.2964 -*END - -*D_NET *3358 0.00117574 -*CONN -*I *27813:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27812:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27813:C1 0.00018429 -2 *27812:X 0.00018429 -3 *27813:C1 *3943:24 0.000335208 -4 *27813:C1 *5656:34 0.000336922 -5 *27813:A2 *27813:C1 0.000135028 -*RES -1 *27812:X *27813:C1 33.3321 -*END - -*D_NET *3359 0.00264665 -*CONN -*I *27816:C I *D sky130_fd_sc_hd__or4_1 -*I *27813:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27816:C 0.000443956 -2 *27813:X 0.000443956 -3 *27816:C *27813:B2 7.07155e-06 -4 *27816:C *27815:A1 2.99994e-05 -5 *27816:C *3904:38 0.000846518 -6 *27816:B *27816:C 1.52353e-05 -7 *2764:209 *27816:C 5.41797e-05 -8 *2856:294 *27816:C 1.28364e-05 -9 *2889:162 *27816:C 8.25843e-06 -10 *3018:22 *27816:C 0.000653663 -11 *3193:59 *27816:C 0.000130975 -*RES -1 *27813:X *27816:C 40.5643 -*END - -*D_NET *3360 0.00513075 -*CONN -*I *27815:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27814:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27815:C1 0 -2 *27814:X 0.000625259 -3 *3360:11 0.000625259 -4 *3360:11 *27815:B2 5.49995e-05 -5 *3360:11 *5644:37 4.22135e-06 -6 *3360:11 *5649:82 0.000130991 -7 *3360:11 *5656:34 2.81001e-05 -8 *3360:11 *5656:43 3.97677e-05 -9 *3360:11 *5656:68 5.33005e-05 -10 *3360:11 *5926:103 0.000135028 -11 *25031:C1 *3360:11 0.000129868 -12 *27816:B *3360:11 5.33005e-05 -13 *1273:144 *3360:11 0.000716343 -14 *1273:156 *3360:11 0.000817361 -15 *3185:24 *3360:11 0.00167413 -16 *3185:34 *3360:11 4.28249e-05 -*RES -1 *27814:X *3360:11 42.4786 -2 *3360:11 *27815:C1 9.3 -*END - -*D_NET *3361 0.000656595 -*CONN -*I *27816:D I *D sky130_fd_sc_hd__or4_1 -*I *27815:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27816:D 0.00012963 -2 *27815:X 0.00012963 -3 *27816:D *27815:A1 0.000158221 -4 *27816:B *27816:D 0.000216755 -5 *2889:162 *27816:D 2.23592e-05 -*RES -1 *27815:X *27816:D 21.9214 -*END - -*D_NET *3362 0.00927757 -*CONN -*I *27817:C I *D sky130_fd_sc_hd__or3_1 -*I *27816:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27817:C 0.000380884 -2 *27816:X 0.000995809 -3 *3362:11 0.00137669 -4 *27817:C *3787:29 0.00119926 -5 *3362:11 *3878:43 0.00213371 -6 *3362:11 *3942:60 0.00133377 -7 *3362:11 *5386:11 0 -8 *27803:B1 *27817:C 5.33005e-05 -9 *27816:A *3362:11 3.55471e-05 -10 *74:11 *3362:11 0.000130985 -11 *1385:16 *3362:11 0.000373427 -12 *2888:170 *27817:C 0.00120098 -13 *3018:22 *3362:11 6.32065e-05 -*RES -1 *27816:X *3362:11 46.9834 -2 *3362:11 *27817:C 33.2011 -*END - -*D_NET *3363 0.0075715 -*CONN -*I *27818:B1 I *D sky130_fd_sc_hd__a211o_1 -*I *27817:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *27818:B1 0.00157864 -2 *27817:X 0.000958942 -3 *3363:10 0.00253758 -4 *27818:B1 *27818:A1 0.000115743 -5 *27818:B1 *27818:A2 2.23725e-05 -6 *27818:B1 *27818:C1 2.89016e-05 -7 *27818:B1 *5649:48 0.000175892 -8 *3363:10 *3981:53 0.0001319 -9 *3363:10 *4086:68 0.000669167 -10 *27444:C1 *3363:10 0.00050705 -11 *27449:A *27818:B1 0.000152763 -12 *27460:B1 *27818:B1 0.000292811 -13 *27803:B1 *3363:10 0.000306311 -14 *3164:26 *3363:10 1.33343e-05 -15 *3193:51 *3363:10 8.0089e-05 -*RES -1 *27817:X *3363:10 35.675 -2 *3363:10 *27818:B1 33.1571 -*END - -*D_NET *3364 0.00227959 -*CONN -*I *27820:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27818:X O *D sky130_fd_sc_hd__a211o_1 -*CAP -1 *27820:B1 0.000377138 -2 *27818:X 0.000377138 -3 *27820:B1 *27819:A2 7.32173e-05 -4 *27820:B1 *27820:A1 1.00733e-05 -5 *27820:B1 *3952:27 8.23597e-06 -6 *27447:B1 *27820:B1 0.000763254 -7 *27820:A2 *27820:B1 6.13022e-06 -8 *2790:46 *27820:B1 5.36904e-05 -9 *2844:153 *27820:B1 0.000589566 -10 *2892:115 *27820:B1 2.11419e-05 -*RES -1 *27818:X *27820:B1 37.6028 -*END - -*D_NET *3365 0.0016241 -*CONN -*I *27820:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27819:X O *D sky130_fd_sc_hd__o21a_1 -*CAP -1 *27820:B2 0.000351829 -2 *27819:X 0.000351829 -3 *27820:B2 *27460:C1 9.41642e-05 -4 *27820:B2 *27820:A1 5.33005e-05 -5 *27820:B2 *28805:CLK 0.00018861 -6 *27820:B2 *29512:A 9.58181e-05 -7 *2787:112 *27820:B2 0.000146508 -8 *2874:158 *27820:B2 9.69712e-05 -9 *2895:23 *27820:B2 0.000148094 -10 *3183:40 *27820:B2 9.69712e-05 -*RES -1 *27819:X *27820:B2 44.5286 -*END - -*D_NET *3366 0.00234415 -*CONN -*I *27832:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27821:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27832:C1 0.000946515 -2 *27821:X 0.000946515 -3 *27832:C1 *5716:133 8.35511e-05 -4 *27832:C1 *5863:117 0.000227162 -5 *27832:C1 *5925:26 0.000140407 -6 *1304:6 *27832:C1 0 -*RES -1 *27821:X *27832:C1 39.5286 -*END - -*D_NET *3367 0.0285853 -*CONN -*I *27825:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27822:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27825:C1 0 -2 *27822:X 0.00160168 -3 *3367:46 0.00103793 -4 *3367:43 0.00237257 -5 *3367:31 0.00241876 -6 *3367:18 0.00268579 -7 *3367:18 *25051:B2 8.78064e-05 -8 *3367:18 *27771:B2 4.58194e-05 -9 *3367:18 *27782:A1 3.68521e-05 -10 *3367:18 *28127:D 0.000483012 -11 *3367:18 *3694:32 9.96747e-05 -12 *3367:18 *3796:173 0.00011086 -13 *3367:18 *3798:99 1.17921e-05 -14 *3367:18 *3980:20 6.13706e-05 -15 *3367:18 *4149:34 0.00056426 -16 *3367:18 *5862:44 3.93986e-05 -17 *3367:31 *25057:B2 0.00072351 -18 *3367:31 *27432:A1 0.000121149 -19 *3367:31 *3902:9 0.000185723 -20 *3367:31 *4086:27 0.000721856 -21 *3367:31 *5607:102 0.00113137 -22 *3367:43 *27422:B2 7.03439e-05 -23 *3367:43 *3580:94 0.00079504 -24 *3367:43 *3837:43 5.51204e-05 -25 *3367:43 *3969:14 0.000166506 -26 *3367:43 *3969:16 0.00129671 -27 *3367:43 *4006:51 2.06112e-05 -28 *3367:43 *5631:58 5.47551e-05 -29 *3367:43 *5633:72 4.8817e-05 -30 *3367:43 *5635:147 0.000160526 -31 *3367:43 *5683:134 3.90709e-05 -32 *3367:46 *3850:24 0.00012233 -33 *3367:46 *3916:20 0.00319468 -34 *25051:A2 *3367:18 4.06009e-05 -35 *25059:C1 *3367:31 7.95355e-05 -36 *27432:A2 *3367:31 1.57155e-05 -37 *792:24 *3367:18 2.21972e-05 -38 *1260:135 *3367:43 4.79414e-05 -39 *1275:195 *3367:31 0.00054662 -40 *1288:157 *3367:18 0.000330761 -41 *1293:173 *3367:43 0.000604592 -42 *1378:8 *3367:46 0.000678027 -43 *2760:135 *3367:43 0.000465649 -44 *2761:53 *3367:31 0.000376858 -45 *2773:68 *3367:31 1.21258e-05 -46 *2792:78 *3367:18 6.87561e-05 -47 *2848:369 *3367:43 6.35819e-05 -48 *2874:359 *3367:43 8.96761e-05 -49 *2891:311 *3367:43 0.000733189 -50 *2992:11 *3367:31 4.58194e-05 -51 *3153:284 *3367:46 0.000287303 -52 *3170:232 *3367:43 4.65519e-05 -53 *3206:256 *3367:18 0.0011909 -54 *3327:15 *3367:46 0.00227321 -*RES -1 *27822:X *3367:18 47.4607 -2 *3367:18 *3367:31 47.5179 -3 *3367:31 *3367:43 44.6165 -4 *3367:43 *3367:46 46.0625 -5 *3367:46 *27825:C1 9.3 -*END - -*D_NET *3368 0.00132652 -*CONN -*I *27840:A I *D sky130_fd_sc_hd__or4_1 -*I *27823:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27840:A 0.000480782 -2 *27823:X 0.000480782 -3 *27840:A *3995:44 0.000122814 -4 *27840:A *5873:74 0.000122814 -5 *1275:105 *27840:A 0.000119331 -*RES -1 *27823:X *27840:A 34.2964 -*END - -*D_NET *3369 0.000636434 -*CONN -*I *27840:B I *D sky130_fd_sc_hd__or4_1 -*I *27824:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27840:B 0.000183618 -2 *27824:X 0.000183618 -3 *24994:A2 *27840:B 0.000269199 -*RES -1 *27824:X *27840:B 21.1893 -*END - -*D_NET *3370 0.0160074 -*CONN -*I *27842:A1 I *D sky130_fd_sc_hd__o32a_1 -*I *27825:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27842:A1 0.000227209 -2 *27825:X 0.0008414 -3 *3370:18 0.00142481 -4 *3370:11 0.002039 -5 *27842:A1 *27842:A2 2.59355e-05 -6 *27842:A1 *27842:A3 0.000297014 -7 *3370:11 *3943:37 0.00013921 -8 *3370:11 *4134:96 0.000219289 -9 *3370:11 *5627:197 0.000150697 -10 *3370:18 *4134:107 0.000277698 -11 *3370:18 *5650:214 1.94945e-05 -12 *3370:18 *5892:63 0.000368559 -13 *25010:C1 *3370:11 4.89248e-05 -14 *25010:C1 *3370:18 4.46551e-05 -15 *27842:B1 *27842:A1 5.33005e-05 -16 *1242:135 *3370:11 0.00139062 -17 *1266:186 *3370:11 0.00176222 -18 *1302:16 *3370:18 0.00321651 -19 *2763:71 *27842:A1 0.000632473 -20 *2767:62 *3370:11 0.000137561 -21 *2856:294 *3370:18 0.000594583 -22 *2856:314 *3370:18 0.000923498 -23 *2856:316 *3370:18 2.04825e-05 -24 *3029:10 *3370:18 0.000238706 -25 *3034:16 *3370:18 0.000409298 -26 *3170:213 *3370:11 0.00029512 -27 *3177:69 *3370:11 7.90803e-05 -28 *3193:60 *3370:18 9.83574e-05 -29 *3205:235 *27842:A1 9.77264e-06 -30 *3340:6 *3370:11 2.18827e-05 -*RES -1 *27825:X *3370:11 45.6393 -2 *3370:11 *3370:18 48.3929 -3 *3370:18 *27842:A1 20.5143 -*END - -*D_NET *3371 0.00494739 -*CONN -*I *27831:B1 I *D sky130_fd_sc_hd__a2111o_1 -*I *27826:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27831:B1 0 -2 *27826:X 0.000844352 -3 *3371:13 0.000844352 -4 *3371:13 *27826:A1 3.48013e-05 -5 *3371:13 *27826:B2 2.89016e-05 -6 *3371:13 *27831:A1 3.61656e-05 -7 *3371:13 *27842:A2 1.21258e-05 -8 *3371:13 *3760:12 0 -9 *3371:13 *5604:109 6.85518e-05 -10 *1292:215 *3371:13 0.00112482 -11 *1292:222 *3371:13 0.000112186 -12 *2871:231 *3371:13 1.65025e-05 -13 *2892:140 *3371:13 0.00169431 -14 *3215:192 *3371:13 0.000130318 -*RES -1 *27826:X *3371:13 43.7107 -2 *3371:13 *27831:B1 9.3 -*END - -*D_NET *3372 0.000625852 -*CONN -*I *27828:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27827:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27828:C1 8.22218e-05 -2 *27827:X 8.22218e-05 -3 *27828:C1 *4008:11 7.02611e-05 -4 *27828:C1 *5675:13 0.000137983 -5 *2758:132 *27828:C1 0.000125724 -6 *2786:89 *27828:C1 0.000127439 -*RES -1 *27827:X *27828:C1 30.6 -*END - -*D_NET *3373 0.00304189 -*CONN -*I *27831:C1 I *D sky130_fd_sc_hd__a2111o_1 -*I *27828:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27831:C1 0.000609518 -2 *27828:X 0.000609518 -3 *27831:C1 *5631:36 0.000678016 -4 *1288:229 *27831:C1 0.000466823 -5 *1313:8 *27831:C1 0.000678016 -*RES -1 *27828:X *27831:C1 41.1714 -*END - -*D_NET *3374 0.00132855 -*CONN -*I *27830:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27829:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27830:C1 0.00032137 -2 *27829:X 0.00032137 -3 *27830:C1 *3735:71 0.000124509 -4 *3034:16 *27830:C1 0.000219289 -5 *3174:225 *27830:C1 0.000300495 -6 *3185:164 *27830:C1 4.15161e-05 -*RES -1 *27829:X *27830:C1 33.85 -*END - -*D_NET *3375 0.00395317 -*CONN -*I *27831:D1 I *D sky130_fd_sc_hd__a2111o_1 -*I *27830:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27831:D1 0.00100934 -2 *27830:X 0.00100934 -3 *27831:D1 *5604:109 6.42832e-05 -4 *27831:D1 *5604:117 0.000160636 -5 *27831:D1 *5631:36 0.00045689 -6 *1292:215 *27831:D1 0.000173425 -7 *1490:128 *27831:D1 0.000434576 -8 *2888:194 *27831:D1 0.000505335 -9 *3215:192 *27831:D1 0.000139344 -*RES -1 *27830:X *27831:D1 44.4214 -*END - -*D_NET *3376 0.00229962 -*CONN -*I *27842:A2 I *D sky130_fd_sc_hd__o32a_1 -*I *27831:X O *D sky130_fd_sc_hd__a2111o_1 -*CAP -1 *27842:A2 0.000635215 -2 *27831:X 0.000635215 -3 *27842:A2 *27831:A1 0.000257619 -4 *27842:A2 *5604:109 4.5534e-05 -5 *27842:A2 *5633:60 4.40789e-05 -6 *27842:A1 *27842:A2 2.59355e-05 -7 *1328:183 *27842:A2 0.000148078 -8 *2763:71 *27842:A2 7.80759e-05 -9 *3205:235 *27842:A2 0.000303087 -10 *3215:182 *27842:A2 0.000108912 -11 *3215:192 *27842:A2 5.74499e-06 -12 *3371:13 *27842:A2 1.21258e-05 -*RES -1 *27831:X *27842:A2 38.0107 -*END - -*D_NET *3377 0.00241508 -*CONN -*I *27833:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27832:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27833:C1 0.00059254 -2 *27832:X 0.00059254 -3 *27833:C1 *27832:A1 0.000142145 -4 *27833:C1 *27832:B1 0.000755 -5 *27833:C1 *27832:B2 0.000187368 -6 *27833:C1 *27833:A1 3.87499e-05 -7 *27833:C1 *27833:A2 3.58774e-05 -8 *27833:C1 *27833:B2 5.83457e-05 -9 *27833:C1 *3378:7 7.48301e-06 -10 *27833:B1 *27833:C1 5.02687e-06 -*RES -1 *27832:X *27833:C1 31.6 -*END - -*D_NET *3378 0.0251571 -*CONN -*I *27841:B I *D sky130_fd_sc_hd__or4_1 -*I *27833:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27841:B 0.000169232 -2 *27833:X 0.000233449 -3 *3378:26 0.00159708 -4 *3378:24 0.00200414 -5 *3378:8 0.00321409 -6 *3378:7 0.00287125 -7 *27841:B *27841:A 1.98839e-05 -8 *27841:B *5836:43 0.000180348 -9 *3378:7 *27832:B2 0.000178847 -10 *3378:7 *27833:A1 9.60939e-05 -11 *3378:8 *27788:A1 0 -12 *3378:8 *27790:A1 0.000209987 -13 *3378:8 *27792:A 0 -14 *3378:8 *28495:CLK 0 -15 *3378:8 *28764:CLK 0 -16 *3378:8 *30076:A 5.28738e-05 -17 *3378:8 *3681:52 8.99952e-05 -18 *3378:8 *3876:38 4.00349e-05 -19 *3378:8 *3876:62 0.000223974 -20 *3378:8 *3891:29 0 -21 *3378:8 *4214:20 1.06171e-05 -22 *3378:8 *4396:14 3.11997e-05 -23 *3378:8 *4455:11 9.81872e-05 -24 *3378:8 *4512:14 7.6997e-05 -25 *3378:8 *5435:16 2.11419e-05 -26 *3378:8 *5863:143 0 -27 *3378:8 *5873:26 0 -28 *3378:8 *5925:26 0 -29 *3378:24 *25042:B2 0.000250185 -30 *3378:24 *3995:58 0.000110841 -31 *3378:24 *5645:220 3.51961e-05 -32 *3378:24 *5652:184 0.000585272 -33 *3378:24 *5692:17 0.000135028 -34 *3378:26 *27433:A2 0.000173083 -35 *3378:26 *3891:58 0.00196746 -36 *3378:26 *4125:23 0.000130991 -37 *3378:26 *4671:11 0.000326801 -38 *3378:26 *5645:199 6.03514e-05 -39 *3378:26 *5645:220 0.000134037 -40 *3378:26 *5652:143 0.000837567 -41 *3378:26 *5652:166 3.67452e-05 -42 *3378:26 *5662:97 0.00013098 -43 *3378:26 *5767:197 0.000154703 -44 *3378:26 *5767:201 0.000111243 -45 *26973:A *3378:8 2.11419e-05 -46 *27833:C1 *3378:7 7.48301e-06 -47 *29064:A *3378:8 2.12875e-05 -48 *1304:6 *3378:8 0.00437004 -49 *1304:6 *3378:24 0.000193499 -50 *1304:15 *3378:24 1.9021e-05 -51 *1304:16 *3378:26 0.00382616 -52 *2993:27 *3378:24 4.11218e-05 -53 *2993:27 *3378:26 5.74823e-05 -54 *3339:22 *3378:8 0 -*RES -1 *27833:X *3378:7 16.8 -2 *3378:7 *3378:8 58.4107 -3 *3378:8 *3378:24 22.2054 -4 *3378:24 *3378:26 51.8839 -5 *3378:26 *27841:B 15.9786 -*END - -*D_NET *3379 0.0035098 -*CONN -*I *27835:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27834:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27835:C1 0.000411831 -2 *27834:X 0.000411831 -3 *27835:C1 *27835:B1 6.05161e-06 -4 *27835:C1 *27835:B2 4.95517e-05 -5 *27835:C1 *5662:76 0.000243993 -6 *27835:A2 *27835:C1 0 -7 *2892:220 *27835:C1 0.00114044 -8 *3165:55 *27835:C1 0.00114044 -9 *3179:108 *27835:C1 0.00010567 -*RES -1 *27834:X *27835:C1 44.1 -*END - -*D_NET *3380 0.000993254 -*CONN -*I *27841:C I *D sky130_fd_sc_hd__or4_1 -*I *27835:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27841:C 0.000168372 -2 *27835:X 0.000168372 -3 *27841:C *27841:A 0.00030267 -4 *27841:C *3385:11 9.58181e-05 -5 *27841:C *5652:143 0.000129868 -6 *1304:16 *27841:C 0.000128154 -*RES -1 *27835:X *27841:C 32.2429 -*END - -*D_NET *3381 0.00743609 -*CONN -*I *27837:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27836:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27837:C1 0.000727088 -2 *27836:X 0.000428037 -3 *3381:13 0.00115513 -4 *27837:C1 *3995:44 0.00233874 -5 *27837:C1 *5872:26 0.00233814 -6 *3381:13 *28616:CLK 2.86824e-05 -7 *3381:13 *4108:36 2.02456e-05 -8 *3381:13 *5628:98 1.8995e-06 -9 *3381:13 *5680:168 4.00679e-05 -10 *3381:13 *5847:44 6.35864e-05 -11 *3381:13 *5873:59 5.52634e-05 -12 *3177:175 *27837:C1 0.000239211 -*RES -1 *27836:X *3381:13 23.4125 -2 *3381:13 *27837:C1 44.1304 -*END - -*D_NET *3382 0.00458391 -*CONN -*I *27840:C I *D sky130_fd_sc_hd__or4_1 -*I *27837:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27840:C 0.000523568 -2 *27837:X 0.000523568 -3 *27840:C *24994:B2 8.26855e-05 -4 *27840:C *5639:147 0.000292224 -5 *27840:C *5847:44 0.00145085 -6 *24994:A2 *27840:C 0.000260152 -7 *2773:8 *27840:C 0.00145086 -*RES -1 *27837:X *27840:C 48.8321 -*END - -*D_NET *3383 0.00304287 -*CONN -*I *27839:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27838:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27839:C1 0.000853477 -2 *27838:X 0.000853477 -3 *27839:C1 *27479:B2 4.22135e-06 -4 *27839:C1 *5604:91 0.000439357 -5 *27839:C1 *5643:316 0.000144001 -6 *27839:C1 *5662:52 0.000673516 -7 *27839:C1 *5863:163 5.93693e-05 -8 *1268:74 *27839:C1 1.54493e-05 -9 *3179:67 *27839:C1 0 -*RES -1 *27838:X *27839:C1 45.2964 -*END - -*D_NET *3384 0.00335735 -*CONN -*I *27840:D I *D sky130_fd_sc_hd__or4_1 -*I *27839:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27840:D 0.000474566 -2 *27839:X 0.000474566 -3 *27840:D *24992:B2 4.38522e-05 -4 *27840:D *3761:18 1.45716e-05 -5 *27840:D *5910:114 0.00105415 -6 *1261:64 *27840:D 0.000241489 -7 *2774:180 *27840:D 0.00105415 -*RES -1 *27839:X *27840:D 43.2607 -*END - -*D_NET *3385 0.00943811 -*CONN -*I *27841:D I *D sky130_fd_sc_hd__or4_1 -*I *27840:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27841:D 0 -2 *27840:X 4.36033e-05 -3 *3385:11 0.00137307 -4 *3385:7 0.00141667 -5 *3385:11 *27841:A 2.12005e-05 -6 *3385:11 *3386:10 0.000136683 -7 *3385:11 *3995:44 2.03074e-05 -8 *3385:11 *5628:73 0.00145875 -9 *3385:11 *5628:98 0.00145425 -10 *3385:11 *5639:147 0.000271935 -11 *3385:11 *5642:96 0.00162211 -12 *3385:11 *5873:70 0.00140629 -13 *24994:A2 *3385:7 5.33005e-05 -14 *27841:C *3385:11 9.58181e-05 -15 *3179:238 *3385:11 6.41221e-05 -*RES -1 *27840:X *3385:7 14.3357 -2 *3385:7 *3385:11 48.9643 -3 *3385:11 *27841:D 9.3 -*END - -*D_NET *3386 0.0166465 -*CONN -*I *27842:A3 I *D sky130_fd_sc_hd__o32a_1 -*I *27841:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27842:A3 0.000929731 -2 *27841:X 0.00132404 -3 *3386:22 0.00297561 -4 *3386:10 0.00336992 -5 *27842:A3 *3956:17 4.58194e-05 -6 *3386:10 *4073:22 0 -7 *3386:10 *4125:24 0 -8 *3386:10 *4151:32 4.64488e-06 -9 *3386:10 *5630:217 0.000110945 -10 *3386:10 *5639:147 0.00165817 -11 *3386:10 *5662:52 5.62887e-05 -12 *3386:10 *5863:163 1.84018e-05 -13 *3386:22 *24994:B2 0.000100317 -14 *3386:22 *27823:B2 1.90936e-05 -15 *3386:22 *27824:B1 1.25145e-05 -16 *3386:22 *3956:25 0.000591301 -17 *3386:22 *4125:42 0 -18 *3386:22 *4125:53 0 -19 *3386:22 *5645:91 1.498e-05 -20 *3386:22 *5645:190 5.1204e-06 -21 *3386:22 *5662:52 0 -22 *3386:22 *5680:196 3.77315e-05 -23 *27470:B1 *3386:22 0.000219857 -24 *27824:A2 *3386:22 4.84596e-05 -25 *27842:A1 *27842:A3 0.000297014 -26 *27842:B1 *27842:A3 5.33005e-05 -27 *1258:123 *3386:22 9.60337e-06 -28 *1304:27 *3386:10 4.96583e-06 -29 *1304:28 *3386:10 0 -30 *1304:28 *3386:22 0.00143103 -31 *1308:19 *3386:22 0.000154703 -32 *1334:11 *3386:10 5.01649e-06 -33 *1367:46 *3386:22 6.71069e-05 -34 *1638:18 *3386:22 2.94059e-05 -35 *2763:71 *27842:A3 0.00029767 -36 *2794:183 *3386:22 0.000414508 -37 *2885:97 *3386:22 0.00115659 -38 *3031:10 *3386:22 0.000578355 -39 *3164:267 *3386:22 0.000131048 -40 *3164:281 *3386:22 0 -41 *3179:82 *3386:10 0.000176341 -42 *3179:238 *3386:10 2.37838e-05 -43 *3205:235 *27842:A3 0.000136399 -44 *3385:11 *3386:10 0.000136683 -*RES -1 *27841:X *3386:10 40.8357 -2 *3386:10 *3386:22 48.8801 -3 *3386:22 *27842:A3 29.675 -*END - -*D_NET *3387 0.0248087 -*CONN -*I *27843:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27842:X O *D sky130_fd_sc_hd__o32a_1 -*CAP -1 *27843:A1 0.00215955 -2 *27842:X 0 -3 *3387:14 0.00284427 -4 *3387:6 0.00218295 -5 *3387:5 0.00149823 -6 *27843:A1 *24854:A 1.83053e-05 -7 *27843:A1 *27745:A2 2.27416e-05 -8 *27843:A1 *5423:8 0.00121774 -9 *27843:A1 *5718:284 8.55871e-05 -10 *27843:A1 *5757:134 0.000449218 -11 *3387:6 *3850:24 0.00460349 -12 *3387:14 *4185:60 8.55871e-05 -13 *3387:14 *5531:18 3.39276e-05 -14 *3387:14 *5644:14 0.000973226 -15 *3387:14 *5644:158 0.0002021 -16 *27810:B1 *3387:14 4.26825e-05 -17 *29511:A *27843:A1 0.000346765 -18 *1228:87 *27843:A1 5.73685e-05 -19 *1290:179 *3387:14 1.90936e-05 -20 *1292:234 *3387:14 0.000177821 -21 *1328:175 *3387:14 6.86703e-05 -22 *2787:112 *27843:A1 3.58965e-05 -23 *2844:179 *3387:14 0.00131828 -24 *2860:366 *3387:14 4.40513e-06 -25 *2861:29 *3387:14 7.64091e-05 -26 *2861:39 *3387:14 0.000369673 -27 *2866:36 *3387:6 4.31097e-05 -28 *2866:241 *3387:6 0.000294137 -29 *2895:256 *3387:14 0.000205913 -30 *2998:32 *27843:A1 0.000447496 -31 *3170:167 *3387:14 0.000229418 -32 *3185:164 *3387:6 0.000171395 -33 *3206:199 *3387:6 0.00126324 -34 *3215:179 *3387:6 0.00325999 -*RES -1 *27842:X *3387:5 13.8 -2 *3387:5 *3387:6 59.9286 -3 *3387:6 *3387:14 38.1607 -4 *3387:14 *27843:A1 49.1214 -*END - -*D_NET *3388 0.0286324 -*CONN -*I *27844:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27843:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *27844:A1 1.23365e-05 -2 *27843:X 0.00651726 -3 *3388:17 0.00652959 -4 *3388:17 *3569:26 2.31214e-05 -5 *3388:17 *3572:36 0.000116155 -6 *3388:17 *3872:39 0.0025732 -7 *3388:17 *5657:197 0.00173075 -8 *3388:17 *5687:114 0.000505241 -9 *3388:17 *5700:149 0.000814716 -10 *3388:17 *5713:203 5.40377e-05 -11 *27333:A2 *3388:17 5.15873e-05 -12 *74:11 *3388:17 0.000188543 -13 *1228:61 *3388:17 0.000103244 -14 *1228:73 *3388:17 0.000187488 -15 *1244:53 *3388:17 5.00695e-06 -16 *1245:68 *3388:17 0.000524833 -17 *1245:72 *27844:A1 1.21859e-05 -18 *1252:61 *3388:17 0.000558242 -19 *1536:21 *3388:17 0 -20 *2776:48 *3388:17 0.00264049 -21 *2777:58 *3388:17 0.000128279 -22 *2780:25 *3388:17 0.00240413 -23 *2845:172 *3388:17 6.28948e-05 -24 *2872:160 *3388:17 0.000106759 -25 *2891:104 *3388:17 7.976e-05 -26 *2895:28 *3388:17 0.00270251 -27 *3205:158 *3388:17 0 -*RES -1 *27843:X *3388:17 48.2329 -2 *3388:17 *27844:A1 17.4868 -*END - -*D_NET *3389 0.0648843 -*CONN -*I *27857:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27845:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *27857:C1 0.000342587 -2 *27845:X 0.000125717 -3 *3389:28 0.00161722 -4 *3389:20 0.013911 -5 *3389:13 0.0127621 -6 *27857:C1 *27857:B2 5.49544e-05 -7 *27857:C1 *27858:A1 0.000136676 -8 *27857:C1 *27858:C1 0.000397872 -9 *27857:C1 *5629:37 0.000191877 -10 *3389:13 *27665:A2 1.47728e-05 -11 *3389:13 *28478:CLK 4.11173e-05 -12 *3389:13 *3413:16 4.65519e-05 -13 *3389:13 *4039:39 8.66133e-05 -14 *3389:20 *25122:A1 0.000530064 -15 *3389:20 *25196:B2 2.85501e-05 -16 *3389:20 *27281:A1 0.0026094 -17 *3389:20 *28478:CLK 0.000109425 -18 *3389:20 *3576:31 0.000179097 -19 *3389:20 *3593:51 0.000152711 -20 *3389:20 *3790:81 0.000110914 -21 *3389:20 *3845:59 0.00191654 -22 *3389:20 *4069:132 0.00138554 -23 *3389:20 *4088:56 0.000123004 -24 *3389:20 *4141:30 0.000125685 -25 *3389:20 *4141:34 0.000271478 -26 *3389:20 *4141:49 0.00114444 -27 *3389:20 *4186:20 0.000188457 -28 *3389:20 *5600:47 0.000249761 -29 *3389:20 *5600:50 0.000111243 -30 *3389:20 *5600:57 0.000111243 -31 *3389:20 *5600:61 0.000505568 -32 *3389:20 *5605:138 0.000133104 -33 *3389:20 *5605:149 0.000268317 -34 *3389:20 *5649:176 0.00134302 -35 *3389:20 *5891:18 0.00125459 -36 *3389:28 *3832:33 0.000760674 -37 *3389:28 *5386:11 3.81355e-05 -38 *3389:28 *5661:64 0.00026607 -39 *25327:C1 *3389:20 0.000113585 -40 *25410:A2 *3389:28 0.000394284 -41 *25412:C *3389:28 0.000301008 -42 *1235:159 *3389:20 0.000114779 -43 *1235:163 *3389:20 0.000116151 -44 *1239:90 *3389:20 4.8608e-05 -45 *1242:37 *3389:20 0.0025872 -46 *1248:98 *3389:20 0.0020693 -47 *1252:24 *3389:28 0.000188571 -48 *1252:37 *3389:20 0.000123605 -49 *1257:14 *3389:20 0.00010283 -50 *1257:26 *3389:20 0.00138027 -51 *1257:142 *3389:20 0.000142026 -52 *1269:40 *3389:20 0.00290285 -53 *1269:86 *3389:20 0.000169659 -54 *1282:158 *3389:20 0.000280463 -55 *1287:132 *3389:20 0.00212057 -56 *1327:78 *3389:20 1.10657e-05 -57 *1327:81 *3389:20 0.000103244 -58 *1327:83 *3389:20 0.000123605 -59 *1327:83 *3389:28 0.00274544 -60 *1395:29 *3389:28 2.21252e-05 -61 *1420:22 *3389:20 0.000926127 -62 *1420:40 *3389:20 0.000188801 -63 *1452:103 *3389:28 0.000583043 -64 *1456:18 *3389:20 0.000140331 -65 *1456:38 *3389:20 0.000182363 -66 *1469:33 *3389:20 0.000460965 -67 *1469:34 *3389:20 0.000135432 -68 *1682:12 *3389:20 0.000118812 -69 *2775:129 *3389:13 4.11173e-05 -70 *2775:129 *3389:20 0.000152693 -71 *2851:24 *3389:20 0.00032746 -72 *2867:99 *3389:20 0.000254876 -73 *2867:120 *3389:20 0.000972349 -74 *2867:149 *3389:20 0.000156554 -75 *2895:79 *3389:28 0.000136845 -76 *3215:248 *3389:20 0.000299241 -*RES -1 *27845:X *3389:13 22.1539 -2 *3389:13 *3389:20 49.3299 -3 *3389:20 *3389:28 48.5561 -4 *3389:28 *27857:C1 17.2286 -*END - -*D_NET *3390 0.00209109 -*CONN -*I *27851:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27846:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *27851:C1 0.00049924 -2 *27846:X 0.00049924 -3 *27851:C1 *27851:B2 9.54798e-06 -4 *27851:C1 *3780:34 0.000432557 -5 *27851:C1 *3921:54 0.000344342 -6 *27851:C1 *5634:52 0.000195015 -7 *2852:212 *27851:C1 2.53003e-05 -8 *3173:81 *27851:C1 8.58506e-05 -*RES -1 *27846:X *27851:C1 36.9036 -*END - -*D_NET *3391 0.000632421 -*CONN -*I *27850:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27847:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27850:C1 0.000117764 -2 *27847:X 0.000117764 -3 *27850:C1 *25390:B2 0.000210429 -4 *27850:C1 *27850:B2 1.05731e-05 -5 *1644:19 *27850:C1 0.000175892 -*RES -1 *27847:X *27850:C1 21.5107 -*END - -*D_NET *3392 0.00144548 -*CONN -*I *27865:A I *D sky130_fd_sc_hd__or4_1 -*I *27848:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27865:A 0.00022173 -2 *27848:X 0.00022173 -3 *27865:A *3905:39 1.07719e-05 -4 *27865:A *4103:24 0.000495622 -5 *2789:25 *27865:A 0.000495622 -*RES -1 *27848:X *27865:A 34.6357 -*END - -*D_NET *3393 0.00117764 -*CONN -*I *27865:B I *D sky130_fd_sc_hd__or4_1 -*I *27849:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27865:B 0.000352367 -2 *27849:X 0.000352367 -3 *1708:14 *27865:B 0.000472902 -*RES -1 *27849:X *27865:B 23.2429 -*END - -*D_NET *3394 0.00393817 -*CONN -*I *27856:A I *D sky130_fd_sc_hd__or4_1 -*I *27850:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27856:A 0 -2 *27850:X 0.000652759 -3 *3394:18 0.000652759 -4 *3394:18 *27856:B 0.000297123 -5 *3394:18 *27856:D 6.14239e-05 -6 *3394:18 *27881:C 6.18969e-06 -7 *3394:18 *27904:B 0.000260152 -8 *3394:18 *3469:19 0.000706924 -9 *3394:18 *3663:65 0.00020611 -10 *3394:18 *5651:47 0.000387803 -11 *1294:88 *3394:18 0.000706924 -*RES -1 *27850:X *3394:18 45.6929 -2 *3394:18 *27856:A 9.3 -*END - -*D_NET *3395 0.00410734 -*CONN -*I *27856:B I *D sky130_fd_sc_hd__or4_1 -*I *27851:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27856:B 0.000998 -2 *27851:X 0.000998 -3 *27856:B *27881:C 0 -4 *27856:B *27892:B1 5.00841e-06 -5 *27856:B *3397:8 2.86991e-05 -6 *27856:B *3424:26 0.000139468 -7 *27856:B *3441:24 6.36678e-05 -8 *27856:B *3556:20 0.000244415 -9 *27856:B *3556:29 0.00025812 -10 *27856:B *3663:62 0.000134708 -11 *27856:B *3663:65 6.35096e-05 -12 *27856:B *3840:46 0.000464893 -13 *27856:B *3895:88 5.49489e-05 -14 *1363:85 *27856:B 4.23907e-05 -15 *1547:36 *27856:B 0.000162154 -16 *1713:26 *27856:B 0.000131026 -17 *3176:111 *27856:B 2.12087e-05 -18 *3394:18 *27856:B 0.000297123 -*RES -1 *27851:X *27856:B 47.6357 -*END - -*D_NET *3396 0.00334541 -*CONN -*I *27853:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27852:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27853:C1 0.000737444 -2 *27852:X 0.000737444 -3 *27853:C1 *28377:CLK 0.000110945 -4 *27853:C1 *3411:32 0.000190853 -5 *27853:C1 *3568:8 1.90936e-05 -6 *27853:C1 *3672:45 0.000238438 -7 *27853:C1 *3780:23 0.000347729 -8 *27853:C1 *5738:172 0.000263524 -9 *27853:C1 *5933:17 0.000487514 -10 *27853:C1 *6055:13 6.42338e-05 -11 *27877:A2 *27853:C1 0.000148196 -*RES -1 *27852:X *27853:C1 46.0286 -*END - -*D_NET *3397 0.00878729 -*CONN -*I *27856:C I *D sky130_fd_sc_hd__or4_1 -*I *27853:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27856:C 0 -2 *27853:X 0 -3 *3397:8 0.00138583 -4 *3397:5 0.00138583 -5 *3397:8 *27853:A1 1.20729e-05 -6 *3397:8 *27881:C 5.05854e-05 -7 *3397:8 *3421:10 0.000129928 -8 *3397:8 *3556:20 0.000103262 -9 *3397:8 *3663:42 0.000332955 -10 *3397:8 *3663:56 0.00271964 -11 *3397:8 *3853:72 1.78465e-05 -12 *27856:B *3397:8 2.86991e-05 -13 *30138:A *3397:8 0.000307543 -14 *1363:70 *3397:8 0.00228746 -15 *1363:85 *3397:8 2.5643e-05 -*RES -1 *27853:X *3397:5 13.8 -2 *3397:5 *3397:8 47.4286 -3 *3397:8 *27856:C 9.3 -*END - -*D_NET *3398 0.000920808 -*CONN -*I *27855:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27854:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27855:C1 0.000139616 -2 *27854:X 0.000139616 -3 *27855:C1 *27854:A2 0.000158853 -4 *27855:C1 *27855:B2 0.000137983 -5 *27855:C1 *27883:A1 2.6541e-05 -6 *27855:C1 *27900:A1 9.60939e-05 -7 *27855:C1 *3780:34 0.000208133 -8 *3164:69 *27855:C1 1.39726e-05 -*RES -1 *27854:X *27855:C1 31.8143 -*END - -*D_NET *3399 0.0012978 -*CONN -*I *27856:D I *D sky130_fd_sc_hd__or4_1 -*I *27855:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27856:D 0.000364666 -2 *27855:X 0.000364666 -3 *27856:D *27881:C 8.32159e-05 -4 *27856:D *3663:65 8.6229e-06 -5 *27856:D *3895:88 3.32631e-05 -6 *27856:D *3906:47 9.71197e-05 -7 *3164:69 *27856:D 2.42516e-05 -8 *3165:254 *27856:D 0.000260574 -9 *3394:18 *27856:D 6.14239e-05 -*RES -1 *27855:X *27856:D 33.2964 -*END - -*D_NET *3400 0.00271402 -*CONN -*I *27867:B1 I *D sky130_fd_sc_hd__o221a_1 -*I *27856:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27867:B1 0.000602858 -2 *27856:X 0.000602858 -3 *27867:B1 *25269:B2 1.98839e-05 -4 *27867:B1 *27867:A1 1.07719e-05 -5 *27867:B1 *27892:B1 0.000881087 -6 *27867:B1 *3663:62 0.000115935 -7 *27867:B1 *3895:88 5.33005e-05 -8 *27867:B1 *5717:81 5.49995e-05 -9 *27867:B1 *5718:106 3.10819e-05 -10 *27867:A2 *27867:B1 1.73088e-05 -11 *1363:85 *27867:B1 0.000207101 -12 *1624:76 *27867:B1 0.000116831 -*RES -1 *27856:X *27867:B1 41.475 -*END - -*D_NET *3401 0.00327225 -*CONN -*I *27858:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27857:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27858:C1 0.000788001 -2 *27857:X 0.000788001 -3 *27858:C1 *27857:B2 1.61106e-05 -4 *27858:C1 *27858:A1 8.59594e-05 -5 *27858:C1 *27858:A2 7.13226e-06 -6 *27858:C1 *27858:B2 7.49398e-05 -7 *27858:C1 *27905:B1 0.00018172 -8 *27857:C1 *27858:C1 0.000397872 -9 *2872:29 *27858:C1 0.000932517 -*RES -1 *27857:X *27858:C1 35.5911 -*END - -*D_NET *3402 0.00492626 -*CONN -*I *27866:B I *D sky130_fd_sc_hd__or4_1 -*I *27858:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27866:B 0.000804104 -2 *27858:X 0.000804104 -3 *27866:B *27867:B2 0.000592551 -4 *27866:B *27891:A 5.30637e-06 -5 *27866:B *27916:B 0.00075685 -6 *27866:B *5591:63 3.17346e-05 -7 *27866:B *5665:14 9.97552e-05 -8 *1395:35 *27866:B 9.97552e-05 -9 *2872:41 *27866:B 6.12335e-05 -10 *3156:73 *27866:B 0.00167087 -*RES -1 *27858:X *27866:B 48.5107 -*END - -*D_NET *3403 0.00278048 -*CONN -*I *27860:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27859:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27860:C1 0.000513287 -2 *27859:X 0.000513287 -3 *27860:C1 *25835:A0 2.59355e-05 -4 *27860:C1 *27860:A2 4.9287e-05 -5 *27860:C1 *3454:11 8.69554e-05 -6 *27860:C1 *3454:18 2.69042e-05 -7 *27860:C1 *4113:51 2.89016e-05 -8 *27860:C1 *4152:12 4.33002e-05 -9 *27860:C1 *5651:76 8.3e-05 -10 *27860:C1 *5892:151 0.000257619 -11 *27860:C1 *5947:85 0.000259273 -12 *27489:A2 *27860:C1 4.70981e-05 -13 *1619:25 *27860:C1 0.000361736 -14 *2834:97 *27860:C1 0.000160485 -15 *2882:96 *27860:C1 8.3e-05 -16 *3156:112 *27860:C1 0.000240407 -*RES -1 *27859:X *27860:C1 40.4973 -*END - -*D_NET *3404 0.0160572 -*CONN -*I *27866:C I *D sky130_fd_sc_hd__or4_1 -*I *27860:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27866:C 0.00115904 -2 *27860:X 0.00101273 -3 *3404:26 0.00385079 -4 *3404:20 0.00370447 -5 *27866:C *27854:A2 1.92905e-05 -6 *27866:C *27891:A 3.34295e-05 -7 *27866:C *3736:51 0.000595922 -8 *27866:C *3762:74 0.000551833 -9 *27866:C *3895:88 0.000128321 -10 *3404:20 *27486:B2 7.97198e-05 -11 *3404:20 *27495:B2 0.000126171 -12 *3404:20 *27860:A2 0.00020489 -13 *3404:20 *27861:B2 5.07619e-05 -14 *3404:20 *27862:C1 4.22349e-05 -15 *3404:20 *3723:34 1.19191e-05 -16 *3404:20 *5489:49 0.00010074 -17 *3404:26 *25280:A1 0.000227732 -18 *3404:26 *27486:B2 0.000132385 -19 *3404:26 *27540:A 0.000430722 -20 *3404:26 *27903:B2 0.000277171 -21 *3404:26 *3752:56 0.000290082 -22 *3404:26 *3779:45 3.18761e-05 -23 *3404:26 *5651:47 0.000120299 -24 *3404:26 *5936:79 5.94433e-06 -25 *6639:DIODE *3404:20 9.71197e-05 -26 *25326:A2 *27866:C 0.000140344 -27 *27500:C1 *3404:20 0.000119498 -28 *27861:A2 *3404:20 5.59239e-05 -29 *27861:A2 *3404:26 2.59355e-05 -30 *27862:B1 *3404:20 8.36572e-05 -31 *1264:163 *27866:C 0.000201056 -32 *1899:39 *3404:20 0.000354516 -33 *2848:53 *3404:26 0.000784848 -34 *2848:64 *3404:26 0.000136951 -35 *2852:228 *27866:C 5.06787e-05 -36 *2859:73 *3404:20 0.000142111 -37 *2888:76 *3404:26 0.000151596 -38 *2888:81 *3404:26 0.000348534 -39 *2889:254 *3404:20 8.28571e-05 -40 *3158:66 *3404:20 5.66157e-05 -41 *3209:59 *27866:C 3.64905e-05 -*RES -1 *27860:X *3404:20 45.1036 -2 *3404:20 *3404:26 46.8929 -3 *3404:26 *27866:C 37.925 -*END - -*D_NET *3405 0.000883469 -*CONN -*I *27862:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27861:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27862:C1 0.000188328 -2 *27861:X 0.000188328 -3 *27862:C1 *27495:B2 0.000233655 -4 *6639:DIODE *27862:C1 9.71197e-05 -5 *27862:B1 *27862:C1 5.33005e-05 -6 *2865:115 *27862:C1 5.15925e-05 -7 *2889:254 *27862:C1 2.89108e-05 -8 *3404:20 *27862:C1 4.22349e-05 -*RES -1 *27861:X *27862:C1 31.4571 -*END - -*D_NET *3406 0.00819136 -*CONN -*I *27865:C I *D sky130_fd_sc_hd__or4_1 -*I *27862:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27865:C 0.000842488 -2 *27862:X 0.000827376 -3 *3406:11 0.00166986 -4 *27865:C *4074:34 0.000188071 -5 *27865:C *5493:25 0.000264846 -6 *27865:C *5657:155 0.00068854 -7 *3406:11 *3666:75 7.57673e-05 -8 *3406:11 *3840:27 0.00103836 -9 *27490:B1 *27865:C 9.96717e-06 -10 *27531:B1 *27865:C 4.60232e-06 -11 *27532:C1 *27865:C 0.000140383 -12 *1421:53 *27865:C 8.6229e-06 -13 *1449:135 *27865:C 0.000137983 -14 *1708:14 *27865:C 0.000513322 -15 *2874:74 *27865:C 0.00105895 -16 *2882:96 *27865:C 0.00072223 -*RES -1 *27862:X *3406:11 33.8179 -2 *3406:11 *27865:C 40.2286 -*END - -*D_NET *3407 0.000381266 -*CONN -*I *27864:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27863:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27864:C1 4.45166e-05 -2 *27863:X 4.45166e-05 -3 *2834:71 *27864:C1 0.000104974 -4 *2834:97 *27864:C1 4.73656e-05 -5 *3168:83 *27864:C1 0.000139893 -*RES -1 *27863:X *27864:C1 29.5464 -*END - -*D_NET *3408 0.00166113 -*CONN -*I *27865:D I *D sky130_fd_sc_hd__or4_1 -*I *27864:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27865:D 0.000344989 -2 *27864:X 0.000344989 -3 *27865:D *27864:B2 5.544e-05 -4 *27865:D *3814:36 0.000105423 -5 *27865:D *3814:47 0.000135028 -6 *2834:97 *27865:D 0.000336773 -7 *3168:83 *27865:D 0.000338488 -*RES -1 *27864:X *27865:D 34.975 -*END - -*D_NET *3409 0.0122422 -*CONN -*I *27866:D I *D sky130_fd_sc_hd__or4_1 -*I *27865:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27866:D 0.00119594 -2 *27865:X 0.00159266 -3 *3409:13 0.0027886 -4 *27866:D *27906:B2 0.000675122 -5 *27866:D *3817:22 9.41642e-05 -6 *27866:D *3908:85 9.41642e-05 -7 *27866:D *4074:52 0.00034495 -8 *3409:13 *27498:A1 0.000175892 -9 *3409:13 *4074:34 0.00155551 -10 *3409:13 *4074:52 9.71197e-05 -11 *3409:13 *5634:71 9.77956e-05 -12 *27541:A2 *27866:D 0.000371102 -13 *1421:53 *3409:13 0.00054855 -14 *1449:121 *27866:D 0.00083423 -15 *1449:121 *3409:13 7.48301e-06 -16 *1449:135 *3409:13 0.000161349 -17 *1708:14 *3409:13 0.000177815 -18 *2878:55 *27866:D 0.00101341 -19 *3081:8 *3409:13 9.60808e-05 -20 *3096:15 *27866:D 4.0342e-06 -21 *3129:10 *27866:D 0.000316223 -*RES -1 *27865:X *3409:13 43.3179 -2 *3409:13 *27866:D 48.8 -*END - -*D_NET *3410 0.00185889 -*CONN -*I *27867:B2 I *D sky130_fd_sc_hd__o221a_1 -*I *27866:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27867:B2 0.000513466 -2 *27866:X 0.000513466 -3 *27867:B2 *27892:B1 0.000119704 -4 *27867:B2 *27904:C 0.000119704 -5 *27866:B *27867:B2 0.000592551 -*RES -1 *27866:X *27867:B2 35.1179 -*END - -*D_NET *3411 0.0167914 -*CONN -*I *27869:B1 I *D sky130_fd_sc_hd__o22a_1 -*I *27867:X O *D sky130_fd_sc_hd__o221a_1 -*CAP -1 *27869:B1 0.0012128 -2 *27867:X 0.000660647 -3 *3411:32 0.0025695 -4 *3411:20 0.00201735 -5 *27869:B1 *25132:B2 1.90936e-05 -6 *27869:B1 *27593:A2 0.000270016 -7 *27869:B1 *27869:A2 3.4323e-06 -8 *27869:B1 *27869:B2 2.59355e-05 -9 *27869:B1 *28119:D 0.00065691 -10 *27869:B1 *3460:21 0.000194023 -11 *27869:B1 *3650:85 5.58875e-06 -12 *27869:B1 *4947:16 0.00014186 -13 *27869:B1 *5016:17 7.62252e-05 -14 *27869:B1 *5200:25 1.48389e-05 -15 *27869:B1 *5624:94 0.000358825 -16 *27869:B1 *5926:221 5.52302e-05 -17 *27869:B1 *6055:23 0.000639981 -18 *3411:20 *25933:A1 7.74135e-05 -19 *3411:20 *27858:B2 0.000618748 -20 *3411:20 *27867:A1 0.000763636 -21 *3411:20 *27883:A1 0.000165122 -22 *3411:20 *3421:10 2.02794e-05 -23 *3411:20 *3469:8 2.11419e-05 -24 *3411:20 *3778:44 0 -25 *3411:20 *3843:51 0.000449694 -26 *3411:20 *3910:43 3.11228e-05 -27 *3411:20 *3910:50 4.00349e-05 -28 *3411:20 *5629:44 1.34741e-05 -29 *3411:20 *5718:121 0.00076529 -30 *3411:32 *27891:C 9.26241e-05 -31 *3411:32 *28377:CLK 0.000501676 -32 *3411:32 *3568:8 2.06112e-05 -33 *3411:32 *3789:14 0.000432345 -34 *3411:32 *3910:43 0.000957297 -35 *3411:32 *5016:17 8.44987e-05 -36 *3411:32 *5629:44 2.04405e-05 -37 *3411:32 *5699:147 8.55679e-05 -38 *3411:32 *5933:17 0.000800882 -39 *25623:S *27869:B1 2.24195e-05 -40 *27852:B1 *3411:32 0.000149921 -41 *27853:C1 *3411:32 0.000190853 -42 *27918:B1_N *27869:B1 0.000350652 -43 *460:33 *27869:B1 0.000327707 -44 *714:23 *27869:B1 0.000186812 -45 *714:23 *3411:32 4.80602e-05 -46 *1263:122 *27869:B1 1.94879e-05 -47 *1294:81 *3411:20 2.11419e-05 -48 *1363:70 *3411:20 1.90936e-05 -49 *2844:37 *3411:32 0.000102184 -50 *3191:54 *3411:32 0.000406001 -51 *3212:44 *3411:32 6.28948e-05 -*RES -1 *27867:X *3411:20 41.8366 -2 *3411:20 *3411:32 37.6518 -3 *3411:32 *27869:B1 43.2911 -*END - -*D_NET *3412 0.0295085 -*CONN -*I *27869:B2 I *D sky130_fd_sc_hd__o22a_1 -*I *27868:X O *D sky130_fd_sc_hd__o21ba_1 -*CAP -1 *27869:B2 0.000515948 -2 *27868:X 0.00154072 -3 *3412:62 0.00351694 -4 *3412:41 0.00522162 -5 *3412:25 0.00376135 -6 *27869:B2 *27869:A1 2.03618e-05 -7 *27869:B2 *27869:A2 0.00010872 -8 *27869:B2 *28390:D 0.000292604 -9 *27869:B2 *5680:320 2.42516e-05 -10 *3412:25 *25564:S 6.71327e-05 -11 *3412:25 *28440:CLK 1.24368e-05 -12 *3412:25 *28440:RESET_B 8.85205e-05 -13 *3412:25 *5538:21 0.000457303 -14 *3412:25 *5548:16 0.000551696 -15 *3412:25 *5746:124 5.45372e-05 -16 *3412:25 *5746:146 0.000100141 -17 *3412:25 *5747:37 7.40063e-05 -18 *3412:25 *6063:27 0.000234214 -19 *3412:25 *6064:19 0.000681432 -20 *3412:41 *25613:A0 4.2189e-05 -21 *3412:41 *28440:CLK 6.3629e-05 -22 *3412:41 *5483:130 6.05161e-06 -23 *3412:41 *5505:41 2.42516e-05 -24 *3412:41 *5548:16 0.000308007 -25 *3412:41 *5609:33 5.48924e-05 -26 *3412:41 *5636:10 9.39059e-05 -27 *3412:41 *5707:200 4.35336e-05 -28 *3412:41 *6142:14 9.94725e-05 -29 *3412:62 *25260:B2 1.90936e-05 -30 *3412:62 *25615:A0 0.000665142 -31 *3412:62 *30934:A 0.000299099 -32 *3412:62 *30958:A 4.11919e-05 -33 *3412:62 *4263:9 0.000216542 -34 *3412:62 *5499:34 0 -35 *3412:62 *5518:62 0.00312852 -36 *3412:62 *6071:10 0 -37 *6634:DIODE *3412:62 0.000239438 -38 *25359:A2 *3412:62 0.000128673 -39 *25406:C1 *3412:62 0.000385757 -40 *25608:S *27869:B2 0.000136951 -41 *25623:S *27869:B2 0.000696407 -42 *25952:S *3412:41 6.71854e-05 -43 *26824:A *3412:62 2.11068e-05 -44 *27869:B1 *27869:B2 2.59355e-05 -45 *29743:A *3412:41 0.000434123 -46 *29761:A *3412:25 0.000483234 -47 *29767:A *3412:62 7.14469e-05 -48 *29839:A *3412:41 0.00129094 -49 *30686:A *3412:41 2.56811e-05 -50 *460:11 *27869:B2 0.00123896 -51 *762:14 *3412:41 0.000939872 -52 *1240:96 *3412:62 5.73686e-05 -53 *1240:130 *3412:25 3.26209e-05 -54 *1240:130 *3412:41 6.5512e-05 -55 *1824:38 *3412:62 0.00043752 -56 *1824:51 *3412:62 0.000214985 -57 *3145:32 *3412:62 8.53261e-05 -*RES -1 *27868:X *3412:25 49.2821 -2 *3412:25 *3412:41 46.6071 -3 *3412:41 *3412:62 45.9432 -4 *3412:62 *27869:B2 34.4786 -*END - -*D_NET *3413 0.100296 -*CONN -*I *27882:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27870:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *27882:C1 6.95481e-05 -2 *27870:X 0.0047348 -3 *3413:62 0.00159455 -4 *3413:57 0.00406479 -5 *3413:51 0.00561665 -6 *3413:41 0.00872703 -7 *3413:25 0.0147492 -8 *3413:16 0.0138338 -9 *27882:C1 *27882:B2 0.000137561 -10 *3413:16 *25368:A1 0.00015091 -11 *3413:16 *27281:A1 0.000182644 -12 *3413:16 *27665:A2 0.000181673 -13 *3413:16 *27665:B1 0.00015862 -14 *3413:16 *27666:A2 0.000164018 -15 *3413:16 *27870:A2 7.13226e-06 -16 *3413:16 *27870:B2 2.59355e-05 -17 *3413:16 *28478:CLK 0.000365887 -18 *3413:16 *28494:D 3.69047e-06 -19 *3413:16 *28579:SET_B 0.000114414 -20 *3413:16 *3591:10 3.34366e-05 -21 *3413:16 *3686:83 0.00093253 -22 *3413:16 *3738:28 0.000117723 -23 *3413:16 *3738:41 0.000263609 -24 *3413:16 *3881:62 0.000764611 -25 *3413:16 *3920:40 0.000401246 -26 *3413:16 *4088:15 9.68766e-05 -27 *3413:16 *4350:96 0.000260125 -28 *3413:16 *5607:47 0.000281469 -29 *3413:16 *5762:163 8.71239e-05 -30 *3413:16 *5909:44 0 -31 *3413:25 *25770:S 0.00219305 -32 *3413:25 *3551:10 0.000265883 -33 *3413:25 *3655:100 3.57889e-05 -34 *3413:25 *3684:21 0 -35 *3413:25 *3698:19 0.000102847 -36 *3413:25 *3698:78 0.000113806 -37 *3413:25 *3711:79 0.000341122 -38 *3413:25 *3762:17 0 -39 *3413:25 *3871:94 0.000417275 -40 *3413:25 *3882:47 7.24111e-05 -41 *3413:25 *3947:61 0.000179568 -42 *3413:25 *3984:73 0 -43 *3413:25 *4012:11 7.75656e-05 -44 *3413:25 *4012:39 8.4583e-05 -45 *3413:25 *4025:21 0.000122476 -46 *3413:25 *4350:31 0.000162076 -47 *3413:25 *5646:195 5.55459e-05 -48 *3413:25 *5715:117 3.79901e-06 -49 *3413:41 *24889:A1 0.000105471 -50 *3413:41 *25104:A1 0.000118918 -51 *3413:41 *25104:B2 0.000953539 -52 *3413:41 *25122:A1 0.000111841 -53 *3413:41 *27579:A1 0.000620845 -54 *3413:41 *27946:C1 0.000157524 -55 *3413:41 *3741:68 0.00108721 -56 *3413:41 *3875:93 1.2146e-05 -57 *3413:41 *3958:16 0.00108747 -58 *3413:41 *4035:52 9.20688e-05 -59 *3413:41 *4064:56 4.08872e-05 -60 *3413:41 *4066:46 0.000575658 -61 *3413:41 *4070:63 8.28344e-06 -62 *3413:41 *4105:32 4.65519e-05 -63 *3413:41 *4131:56 1.9781e-05 -64 *3413:41 *5590:14 0.000133359 -65 *3413:41 *5640:53 0 -66 *3413:41 *5640:63 0 -67 *3413:41 *5656:173 0.000127059 -68 *3413:41 *5656:189 0.000516713 -69 *3413:41 *5909:44 0.000740429 -70 *3413:51 *3540:8 0.00189267 -71 *3413:51 *3664:16 5.82951e-05 -72 *3413:51 *4054:66 3.37909e-05 -73 *3413:51 *5610:54 3.77315e-05 -74 *3413:51 *5640:53 0 -75 *3413:51 *5700:50 1.67404e-05 -76 *3413:51 *5713:336 0.000459046 -77 *3413:51 *5936:125 7.87534e-06 -78 *3413:57 *5505:42 0.0001326 -79 *3413:57 *5609:89 0.000158606 -80 *3413:62 *5505:42 0.000573504 -81 *3413:62 *5635:34 0.000572633 -82 *25362:B1 *3413:51 0.000143375 -83 *25765:A *3413:25 6.4992e-05 -84 *26806:C1 *3413:25 0 -85 *27636:B *3413:62 0.000944878 -86 *27666:B1 *3413:16 0.000185417 -87 *27910:B1 *3413:25 4.79453e-05 -88 *29606:A *3413:25 0.000177191 -89 *29803:A *3413:25 0.000113064 -90 *30535:A *3413:25 3.01597e-05 -91 *282:21 *3413:51 7.17941e-05 -92 *1224:19 *3413:41 1.39702e-05 -93 *1228:47 *3413:57 0.00230409 -94 *1235:113 *3413:41 4.18305e-05 -95 *1235:113 *3413:51 3.18493e-05 -96 *1235:165 *3413:16 0.00039078 -97 *1245:51 *3413:57 3.42107e-06 -98 *1250:20 *3413:57 0 -99 *1256:31 *3413:57 0.000135801 -100 *1263:28 *3413:41 0.000167028 -101 *1265:35 *3413:57 0.000622393 -102 *1265:36 *3413:51 8.41284e-06 -103 *1265:40 *3413:51 0.000842833 -104 *1265:69 *3413:51 0.00070439 -105 *1268:37 *3413:51 0.000601877 -106 *1274:17 *3413:51 0.000379217 -107 *1275:15 *3413:51 5.17293e-05 -108 *1275:26 *3413:57 0.000503963 -109 *1287:109 *3413:25 0.00124301 -110 *1288:104 *3413:16 0.000119382 -111 *1291:31 *3413:57 2.84116e-05 -112 *1405:73 *3413:25 2.35126e-05 -113 *1411:60 *3413:41 0.000208663 -114 *1419:43 *3413:62 4.47546e-05 -115 *1419:46 *3413:62 0.000768214 -116 *1438:19 *3413:51 0.000181336 -117 *1448:127 *3413:41 6.06011e-05 -118 *1449:74 *3413:51 0.000127359 -119 *1464:69 *3413:25 0.000194036 -120 *1472:25 *3413:25 0.000138041 -121 *1494:38 *3413:41 0 -122 *1518:15 *3413:25 0.0060201 -123 *1592:20 *3413:62 0.00164536 -124 *1659:34 *3413:57 0.00472102 -125 *2022:39 *3413:25 0.000143668 -126 *2544:30 *3413:25 0.000403322 -127 *2779:132 *3413:51 0.000174261 -128 *2855:121 *3413:41 2.44419e-05 -129 *2867:149 *3413:41 5.99199e-06 -130 *2870:21 *3413:51 0.000102782 -131 *2878:138 *3413:62 0.0002589 -132 *2878:147 *3413:62 0.00140465 -133 *2978:36 *3413:57 0.000221682 -134 *3101:17 *3413:41 0.000111243 -135 *3168:31 *3413:57 0.0001686 -136 *3184:118 *3413:51 0.000102855 -137 *3215:254 *3413:16 0.000172428 -138 *3389:13 *3413:16 4.65519e-05 -*RES -1 *27870:X *3413:16 43.976 -2 *3413:16 *3413:25 36.0126 -3 *3413:25 *3413:41 48.08 -4 *3413:41 *3413:51 42.28 -5 *3413:51 *3413:57 17.9261 -6 *3413:57 *3413:62 45.6696 -7 *3413:62 *27882:C1 15.1571 -*END - -*D_NET *3414 0.0193706 -*CONN -*I *27888:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27871:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27888:C1 0.000565492 -2 *27871:X 0.00129019 -3 *3414:33 0.00199892 -4 *3414:20 0.00272362 -5 *27888:C1 *27890:D 0.00015304 -6 *27888:C1 *3428:22 2.04866e-05 -7 *27888:C1 *5710:76 0.000340066 -8 *27888:C1 *5902:28 0.00164231 -9 *3414:20 *25304:B2 0.000267079 -10 *3414:20 *25491:A 0.000493289 -11 *3414:20 *25837:A0 5.83233e-05 -12 *3414:20 *27871:A1 3.0699e-05 -13 *3414:20 *27871:B2 5.61436e-06 -14 *3414:20 *27913:B2 2.28598e-05 -15 *3414:20 *29026:A 0.000141517 -16 *3414:20 *3594:53 0.000125355 -17 *3414:20 *3830:36 0.000938615 -18 *3414:20 *3934:28 0.000344413 -19 *3414:20 *5583:11 2.42516e-05 -20 *3414:20 *5706:63 4.33865e-05 -21 *3414:20 *5744:6 1.24469e-05 -22 *3414:33 *25491:A 4.936e-05 -23 *3414:33 *27491:A1 5.96243e-05 -24 *3414:33 *27849:B2 0.00010022 -25 *3414:33 *27884:A1 0.000728356 -26 *3414:33 *27885:C1 0.000125724 -27 *3414:33 *28289:D 0.000431748 -28 *3414:33 *29026:A 0.000247297 -29 *3414:33 *3749:54 0.00102187 -30 *3414:33 *3815:59 0.00130999 -31 *3414:33 *3895:29 0.000223319 -32 *3414:33 *4153:8 0.000314236 -33 *25837:S *3414:20 5.33005e-05 -34 *27871:B1 *3414:20 1.28171e-05 -35 *27889:A2 *27888:C1 0.00152181 -36 *1421:53 *3414:33 0.000164921 -37 *1456:82 *3414:20 4.27768e-05 -38 *1476:103 *3414:33 0.00126606 -39 *1844:44 *3414:20 9.15842e-06 -40 *1872:31 *3414:20 9.87358e-05 -41 *1877:42 *3414:20 0.000178425 -42 *3164:125 *3414:33 0.000158398 -43 *3184:207 *27888:C1 1.04707e-05 -*RES -1 *27871:X *3414:20 49.8804 -2 *3414:20 *3414:33 46.8161 -3 *3414:33 *27888:C1 41.7725 -*END - -*D_NET *3415 0.000447926 -*CONN -*I *27876:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27872:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *27876:C1 7.10859e-05 -2 *27872:X 7.10859e-05 -3 *27876:C1 *27881:D 0.000127084 -4 *27876:C1 *3867:44 5.33005e-05 -5 *3173:94 *27876:C1 0.000125369 -*RES -1 *27872:X *27876:C1 29.7786 -*END - -*D_NET *3416 0.00648611 -*CONN -*I *27889:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27873:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27889:C1 0 -2 *27873:X 0.0010171 -3 *3416:11 0.0010171 -4 *3416:11 *27889:B2 2.85551e-05 -5 *3416:11 *3428:22 0.00180308 -6 *3416:11 *3805:59 0.000230488 -7 *3416:11 *5710:76 3.64833e-05 -8 *27889:A2 *3416:11 0.000494179 -9 *27889:B1 *3416:11 5.60518e-05 -10 *1476:107 *3416:11 0.00180308 -*RES -1 *27873:X *3416:11 49.8536 -2 *3416:11 *27889:C1 9.3 -*END - -*D_NET *3417 0.00086511 -*CONN -*I *27875:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27874:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27875:C1 0.00021881 -2 *27874:X 0.00021881 -3 *27875:C1 *27875:B2 5.74747e-06 -4 *27875:C1 *3971:42 0.00014686 -5 *27875:C1 *3971:59 9.60939e-05 -6 *27875:B1 *27875:C1 0 -7 *3174:83 *27875:C1 0.000178788 -*RES -1 *27874:X *27875:C1 31.9929 -*END - -*D_NET *3418 0.0014787 -*CONN -*I *27881:A I *D sky130_fd_sc_hd__or4_1 -*I *27875:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27881:A 0.000352714 -2 *27875:X 0.000352714 -3 *27881:A *27881:C 7.86911e-05 -4 *27881:A *3424:26 0.000339346 -5 *27881:A *3441:24 0.000140645 -6 *27881:A *3469:19 6.28642e-05 -7 *1619:25 *27881:A 9.84282e-05 -8 *3174:78 *27881:A 5.33005e-05 -*RES -1 *27875:X *27881:A 35.2964 -*END - -*D_NET *3419 0.00289642 -*CONN -*I *27881:B I *D sky130_fd_sc_hd__or4_1 -*I *27876:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27881:B 0.000465204 -2 *27876:X 0.000465204 -3 *27881:B *27100:A0 8.96761e-05 -4 *27881:B *3945:54 0.000121884 -5 *27881:B *4035:60 0.000993208 -6 *27881:B *5646:63 2.06178e-05 -7 *27881:B *5646:93 0.000219249 -8 *1411:97 *27881:B 6.28948e-05 -9 *3182:63 *27881:B 5.49489e-05 -10 *3182:97 *27881:B 0.000242844 -11 *3185:304 *27881:B 0.000160692 -*RES -1 *27876:X *27881:B 41.3143 -*END - -*D_NET *3420 0.00143103 -*CONN -*I *27878:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27877:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27878:C1 0.000386785 -2 *27877:X 0.000386785 -3 *27878:C1 *25310:B2 0.000426985 -4 *27878:C1 *3845:47 1.08359e-05 -5 *1407:69 *27878:C1 0.000123126 -6 *2852:228 *27878:C1 9.65172e-05 -*RES -1 *27877:X *27878:C1 33.475 -*END - -*D_NET *3421 0.0128694 -*CONN -*I *27881:C I *D sky130_fd_sc_hd__or4_1 -*I *27878:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27881:C 0.0011684 -2 *27878:X 0.00124266 -3 *3421:10 0.00241106 -4 *27881:C *27904:D 0.000164159 -5 *27881:C *3503:19 0.000101678 -6 *27881:C *3663:65 2.83181e-05 -7 *27881:C *3762:61 0.00163241 -8 *27881:C *4153:62 2.51343e-06 -9 *3421:10 *27892:B2 5.86416e-05 -10 *3421:10 *3503:19 0.00138681 -11 *3421:10 *3672:45 0.000523519 -12 *3421:10 *3853:72 0.00166492 -13 *3421:10 *4088:79 5.33005e-05 -14 *3421:10 *5706:196 0 -15 *25753:A *3421:10 5.33005e-05 -16 *27856:B *27881:C 0 -17 *27856:D *27881:C 8.32159e-05 -18 *27881:A *27881:C 7.86911e-05 -19 *1363:70 *3421:10 0.000166762 -20 *1619:25 *27881:C 0.000192294 -21 *2883:53 *27881:C 2.28499e-05 -22 *3192:60 *27881:C 4.41457e-05 -23 *3205:72 *27881:C 0.0015828 -24 *3394:18 *27881:C 6.18969e-06 -25 *3397:8 *27881:C 5.05854e-05 -26 *3397:8 *3421:10 0.000129928 -27 *3411:20 *3421:10 2.02794e-05 -*RES -1 *27878:X *3421:10 47.9339 -2 *3421:10 *27881:C 46.5768 -*END - -*D_NET *3422 0.00198484 -*CONN -*I *27880:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27879:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27880:C1 0.000452816 -2 *27879:X 0.000452816 -3 *27880:C1 *25300:A1 7.99226e-05 -4 *27880:C1 *27879:A2 2.89114e-05 -5 *27880:C1 *4023:28 0.000485186 -6 *3145:14 *27880:C1 0.000485186 -*RES -1 *27879:X *27880:C1 37.1 -*END - -*D_NET *3423 0.00393629 -*CONN -*I *27881:D I *D sky130_fd_sc_hd__or4_1 -*I *27880:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27881:D 0.00083774 -2 *27880:X 0.00083774 -3 *27881:D *25666:A1 0.000124506 -4 *27881:D *3867:44 5.41794e-05 -5 *27881:D *4153:62 0.000391098 -6 *27881:D *5706:188 0.000221413 -7 *27881:D *5706:195 0.000346592 -8 *27876:C1 *27881:D 0.000127084 -9 *1411:97 *27881:D 0.000177637 -10 *3173:94 *27881:D 0.000334024 -11 *3215:104 *27881:D 6.69871e-05 -12 *3215:112 *27881:D 0.000417286 -*RES -1 *27880:X *27881:D 49.5464 -*END - -*D_NET *3424 0.0111358 -*CONN -*I *27892:B1 I *D sky130_fd_sc_hd__o221a_1 -*I *27881:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27892:B1 0.0010122 -2 *27881:X 0.00140324 -3 *3424:26 0.00241543 -4 *27892:B1 *27904:C 0.000528947 -5 *27892:B1 *3482:37 0.000671032 -6 *27892:B1 *3661:36 0.000935136 -7 *27892:B1 *3663:62 4.21347e-05 -8 *27892:B1 *4139:53 4.34627e-05 -9 *27892:B1 *5718:95 1.05311e-05 -10 *27892:B1 *5718:106 6.86823e-05 -11 *3424:26 *27850:B2 0.000123667 -12 *3424:26 *3441:24 0.00120489 -13 *3424:26 *3469:19 0.00012318 -14 *3424:26 *3482:37 0.000394659 -15 *3424:26 *3892:75 8.28025e-05 -16 *27856:B *27892:B1 5.00841e-06 -17 *27856:B *3424:26 0.000139468 -18 *27867:B1 *27892:B1 0.000881087 -19 *27867:B2 *27892:B1 0.000119704 -20 *27881:A *3424:26 0.000339346 -21 *27892:A2 *27892:B1 6.03211e-05 -22 *1547:36 *3424:26 7.0793e-05 -23 *1713:26 *27892:B1 0.000202142 -24 *3165:254 *27892:B1 7.55769e-05 -25 *3170:59 *3424:26 0.000131325 -26 *3183:103 *27892:B1 5.10774e-05 -*RES -1 *27881:X *3424:26 43.9339 -2 *3424:26 *27892:B1 42.9161 -*END - -*D_NET *3425 0.018767 -*CONN -*I *27883:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27882:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27883:C1 0.000120377 -2 *27882:X 0.00244164 -3 *3425:27 0.00193533 -4 *3425:20 0.00425659 -5 *27883:C1 *28377:CLK 7.80929e-05 -6 *27883:C1 *3780:23 0.000358975 -7 *27883:C1 *5629:44 0.000216885 -8 *3425:20 *5583:71 0.000818851 -9 *3425:20 *5655:36 0.00100906 -10 *3425:20 *5655:65 0.000107222 -11 *3425:20 *5691:139 0.000104976 -12 *3425:20 *5869:32 0.000104976 -13 *3425:20 *6317:100 8.44271e-06 -14 *3425:27 *3557:15 0.00259304 -15 *3425:27 *3843:22 0.00097132 -16 *3425:27 *4088:56 4.80563e-05 -17 *3425:27 *4088:65 9.60939e-05 -18 *1235:52 *3425:20 0.000196093 -19 *1273:68 *3425:20 0.000340947 -20 *1327:83 *3425:27 6.8646e-06 -21 *1420:22 *3425:20 0.00154846 -22 *1455:55 *3425:20 0.000156499 -23 *1455:55 *3425:27 0.000266859 -24 *1629:14 *3425:27 0.000122941 -25 *2871:36 *3425:20 3.99253e-05 -26 *2871:39 *3425:27 0.000224256 -27 *3205:43 *3425:20 0.000594198 -*RES -1 *27882:X *3425:20 46.3089 -2 *3425:20 *3425:27 45.8036 -3 *3425:27 *27883:C1 22.9786 -*END - -*D_NET *3426 0.00172634 -*CONN -*I *27891:B I *D sky130_fd_sc_hd__or3_1 -*I *27883:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27891:B 0.000288492 -2 *27883:X 0.000288492 -3 *27891:B *27891:A 0.00068363 -4 *1264:163 *27891:B 0.000349275 -5 *2852:228 *27891:B 3.80904e-05 -6 *2856:56 *27891:B 7.83659e-05 -*RES -1 *27883:X *27891:B 37.4393 -*END - -*D_NET *3427 0.000780524 -*CONN -*I *27885:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27884:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27885:C1 0.000190307 -2 *27884:X 0.000190307 -3 *27885:C1 *25491:A 5.33005e-05 -4 *27885:C1 *27913:A1 9.44476e-05 -5 *27885:C1 *29026:A 0.000126439 -6 *3414:33 *27885:C1 0.000125724 -*RES -1 *27884:X *27885:C1 31.5464 -*END - -*D_NET *3428 0.0127106 -*CONN -*I *27890:A I *D sky130_fd_sc_hd__or4_1 -*I *27885:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27890:A 0 -2 *27885:X 0.00109089 -3 *3428:22 0.000907749 -4 *3428:14 0.00199864 -5 *3428:14 *25491:B 0.000216469 -6 *3428:14 *27885:A1 1.81887e-05 -7 *3428:14 *27885:A2 3.58774e-05 -8 *3428:14 *27885:B2 0.000135028 -9 *3428:14 *29001:A 0.000493175 -10 *3428:14 *3749:46 0.000629953 -11 *3428:14 *3830:26 0.000536521 -12 *3428:14 *3840:10 5.41794e-05 -13 *3428:14 *3840:27 0.000318071 -14 *3428:14 *5712:92 4.38621e-05 -15 *3428:22 *5710:76 0.000175519 -16 *25670:A *3428:22 8.16036e-05 -17 *27873:A2 *3428:22 1.90936e-05 -18 *27885:B1 *3428:14 0.000101125 -19 *27888:C1 *3428:22 2.04866e-05 -20 *27889:A2 *3428:22 0.0015229 -21 *1476:107 *3428:14 0.000102448 -22 *1476:107 *3428:22 0.000698313 -23 *1778:8 *3428:14 9.78027e-05 -24 *3164:100 *3428:22 0.000104044 -25 *3164:125 *3428:22 0.000128055 -26 *3184:207 *3428:14 0.000692417 -27 *3184:207 *3428:22 0.000685129 -28 *3416:11 *3428:22 0.00180308 -*RES -1 *27885:X *3428:14 44.5589 -2 *3428:14 *3428:22 40.9554 -3 *3428:22 *27890:A 9.3 -*END - -*D_NET *3429 0.00174339 -*CONN -*I *27887:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27886:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27887:C1 0.000376258 -2 *27886:X 0.000376258 -3 *27887:C1 *3724:39 9.41642e-05 -4 *27887:C1 *3918:41 0.000327545 -5 *27887:C1 *5489:45 3.32442e-05 -6 *27507:A2 *27887:C1 5.48376e-05 -7 *1471:86 *27887:C1 0.000244635 -8 *3158:66 *27887:C1 0.000236444 -*RES -1 *27886:X *27887:C1 36.8679 -*END - -*D_NET *3430 0.00485961 -*CONN -*I *27890:B I *D sky130_fd_sc_hd__or4_1 -*I *27887:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27890:B 0.00170443 -2 *27887:X 0.00170443 -3 *27890:B *27890:C 0.000114587 -4 *27890:B *3433:5 7.65628e-05 -5 *27890:B *3666:77 7.5779e-05 -6 *27890:B *3909:19 7.5779e-05 -7 *27890:B *4129:38 0.00110803 -*RES -1 *27887:X *27890:B 49.2964 -*END - -*D_NET *3431 0.00102704 -*CONN -*I *27890:C I *D sky130_fd_sc_hd__or4_1 -*I *27888:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27890:C 0.000202207 -2 *27888:X 0.000202207 -3 *27890:C *3433:5 4.35452e-05 -4 *27890:C *3815:26 2.37761e-05 -5 *27890:C *3815:38 9.99989e-05 -6 *27890:C *5711:39 0.000262941 -7 *27890:B *27890:C 0.000114587 -8 *1476:111 *27890:C 7.77751e-05 -*RES -1 *27888:X *27890:C 33.6536 -*END - -*D_NET *3432 0.00123872 -*CONN -*I *27890:D I *D sky130_fd_sc_hd__or4_1 -*I *27889:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27890:D 0.000290742 -2 *27889:X 0.000290742 -3 *27890:D *5710:76 0.000351156 -4 *27890:D *5902:28 0.00015304 -5 *27888:C1 *27890:D 0.00015304 -*RES -1 *27889:X *27890:D 32.9571 -*END - -*D_NET *3433 0.0123428 -*CONN -*I *27891:C I *D sky130_fd_sc_hd__or3_1 -*I *27890:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27891:C 0.00103646 -2 *27890:X 0.00318659 -3 *3433:5 0.00422306 -4 *27891:C *27883:B2 0.000827633 -5 *27891:C *28377:CLK 9.09094e-05 -6 *27891:C *3571:53 0.000176811 -7 *27891:C *3884:112 0.00016647 -8 *27891:C *5442:27 0.000345251 -9 *3433:5 *4129:38 0.000235929 -10 *3433:5 *4131:35 0.000927683 -11 *3433:5 *5738:82 0.000135028 -12 *27576:C1 *27891:C 0.000201426 -13 *27890:B *3433:5 7.65628e-05 -14 *27890:C *3433:5 4.35452e-05 -15 *1408:28 *27891:C 0.000178765 -16 *1471:86 *3433:5 0.000262076 -17 *1476:111 *3433:5 1.33343e-05 -18 *3212:51 *27891:C 0.000122646 -19 *3411:32 *27891:C 9.26241e-05 -*RES -1 *27890:X *3433:5 48.4429 -2 *3433:5 *27891:C 34.329 -*END - -*D_NET *3434 0.00170389 -*CONN -*I *27892:B2 I *D sky130_fd_sc_hd__o221a_1 -*I *27891:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *27892:B2 0.000339638 -2 *27891:X 0.000339638 -3 *27892:B2 *3884:112 0.000137983 -4 *27892:B2 *4129:38 0.000505757 -5 *27892:B2 *4139:53 0.000261288 -6 *27892:B2 *5706:196 6.09476e-05 -7 *3421:10 *27892:B2 5.86416e-05 -*RES -1 *27891:X *27892:B2 35.6536 -*END - -*D_NET *3435 0.00372441 -*CONN -*I *27894:B1 I *D sky130_fd_sc_hd__o22a_1 -*I *27892:X O *D sky130_fd_sc_hd__o221a_1 -*CAP -1 *27894:B1 0.000522623 -2 *27892:X 0.000522623 -3 *27894:B1 *3436:13 0.000338249 -4 *27894:B1 *3469:8 0.000976818 -5 *27894:B1 *3482:38 1.496e-05 -6 *27894:B1 *3844:25 0.000307818 -7 *1294:81 *27894:B1 0.00104132 -*RES -1 *27892:X *27894:B1 44.6893 -*END - -*D_NET *3436 0.00557323 -*CONN -*I *27894:B2 I *D sky130_fd_sc_hd__o22a_1 -*I *27893:X O *D sky130_fd_sc_hd__o21ba_1 -*CAP -1 *27894:B2 0 -2 *27893:X 0.000958399 -3 *3436:13 0.000958399 -4 *3436:13 *3482:38 0.00161524 -5 *3436:13 *3844:25 4.29414e-05 -6 *3436:13 *5166:23 0.000153964 -7 *3436:13 *5618:139 0.000193494 -8 *3436:13 *5624:81 0.000360214 -9 *25398:A2 *3436:13 0.000300541 -10 *27893:B1_N *3436:13 9.58181e-05 -11 *27894:B1 *3436:13 0.000338249 -12 *27918:A2 *3436:13 1.96616e-05 -13 *1140:10 *3436:13 0.000260152 -14 *1294:77 *3436:13 4.73178e-05 -15 *1294:81 *3436:13 5.04048e-05 -16 *2839:85 *3436:13 0.000178425 -*RES -1 *27893:X *3436:13 45.9964 -2 *3436:13 *27894:B2 9.3 -*END - -*D_NET *3437 0.0472903 -*CONN -*I *27905:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27895:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *27905:C1 0.00414044 -2 *27895:X 0.00531649 -3 *3437:14 0.00945694 -4 *27905:C1 *27905:B1 1.27302e-05 -5 *27905:C1 *27905:B2 5.49489e-05 -6 *27905:C1 *27906:C1 0.000584511 -7 *27905:C1 *3841:55 0 -8 *27905:C1 *3875:63 8.6229e-06 -9 *27905:C1 *5467:14 0.000482902 -10 *27905:C1 *5622:48 3.30902e-06 -11 *27905:C1 *5666:216 0.000252081 -12 *3437:14 *27592:A1 0.000864563 -13 *3437:14 *3471:10 0.000285711 -14 *3437:14 *3487:10 0.000150331 -15 *3437:14 *3503:27 0.000117089 -16 *3437:14 *3503:28 0.000101444 -17 *3437:14 *3663:73 0.0019511 -18 *3437:14 *3724:88 0.000103347 -19 *3437:14 *3762:30 0.000369181 -20 *3437:14 *3762:42 0.000369034 -21 *3437:14 *3762:61 0.000117095 -22 *3437:14 *3766:71 0.000531962 -23 *3437:14 *4012:39 0.0012418 -24 *3437:14 *5196:25 3.36333e-05 -25 *3437:14 *5196:47 0.000116943 -26 *3437:14 *5629:89 3.60578e-05 -27 *3437:14 *5640:63 0.000358955 -28 *3437:14 *5646:157 0.000339417 -29 *3437:14 *5646:182 5.80706e-06 -30 *3437:14 *5653:61 0.00259382 -31 *3437:14 *5729:242 0.0020304 -32 *3437:14 *5729:249 0.00146427 -33 *3437:14 *5890:42 0.000148706 -34 *25329:A2 *3437:14 5.7811e-07 -35 *1273:81 *27905:C1 0.000173803 -36 *1449:115 *27905:C1 1.40682e-05 -37 *1450:16 *3437:14 0.000167932 -38 *1457:99 *27905:C1 0.00352754 -39 *1470:64 *27905:C1 8.70542e-06 -40 *1480:35 *27905:C1 6.90348e-06 -41 *1624:84 *27905:C1 0 -42 *1644:36 *27905:C1 1.75318e-05 -43 *1647:19 *3437:14 0.000100831 -44 *1659:31 *27905:C1 4.17137e-05 -45 *1719:12 *27905:C1 0.00351427 -46 *1864:17 *3437:14 0.000979969 -47 *1864:36 *3437:14 0.000167648 -48 *2845:31 *27905:C1 5.33005e-05 -49 *2856:196 *3437:14 0.00251231 -50 *3153:80 *27905:C1 0.000240834 -51 *3185:304 *3437:14 0.000125227 -52 *3214:36 *27905:C1 3.47641e-06 -53 *3215:104 *3437:14 0.00199003 -*RES -1 *27895:X *3437:14 49.1284 -2 *3437:14 *27905:C1 38.4141 -*END - -*D_NET *3438 0.00272077 -*CONN -*I *27900:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27896:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *27900:C1 0.000710766 -2 *27896:X 0.000710766 -3 *27900:C1 *27854:A2 2.06112e-05 -4 *27900:C1 *28157:SET_B 0.000102859 -5 *27900:C1 *3780:34 1.84279e-05 -6 *27900:C1 *3840:46 0.000428908 -7 *27900:C1 *4023:28 0.000251116 -8 *27900:C1 *5947:74 3.72472e-05 -9 *27900:B1 *27900:C1 3.61118e-05 -10 *2888:71 *27900:C1 0.000303135 -11 *3051:10 *27900:C1 0.000100823 -*RES -1 *27896:X *27900:C1 40.7607 -*END - -*D_NET *3439 0.000437742 -*CONN -*I *27903:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27897:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27903:C1 0.000181038 -2 *27897:X 0.000181038 -3 *27903:C1 *27897:A2 2.84109e-05 -4 *27903:C1 *27900:B2 3.72712e-05 -5 *27903:C1 *27903:B2 9.98413e-06 -*RES -1 *27897:X *27903:C1 21.5107 -*END - -*D_NET *3440 0.000712168 -*CONN -*I *27899:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27898:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27899:C1 0.000164857 -2 *27898:X 0.000164857 -3 *27899:C1 *27899:B2 0.000129627 -4 *27899:C1 *3830:71 2.59355e-05 -5 *27899:C1 *3830:77 3.97677e-05 -6 *27899:C1 *3960:86 3.08382e-06 -7 *27899:B1 *27899:C1 0.000184039 -*RES -1 *27898:X *27899:C1 22.4571 -*END - -*D_NET *3441 0.0106386 -*CONN -*I *27904:A I *D sky130_fd_sc_hd__or4_1 -*I *27899:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27904:A 3.66026e-05 -2 *27899:X 0.000670618 -3 *3441:24 0.00127475 -4 *3441:14 0.00190877 -5 *27904:A *27904:C 5.33005e-05 -6 *3441:14 *3482:19 4.32309e-05 -7 *3441:14 *3556:29 1.08579e-05 -8 *3441:14 *3663:65 0.000142103 -9 *3441:14 *3776:90 0 -10 *3441:14 *4186:20 0.000195968 -11 *3441:14 *5653:61 0.000387471 -12 *3441:24 *27904:B 9.71152e-05 -13 *3441:24 *28857:A 5.60201e-05 -14 *3441:24 *3469:19 0.000565688 -15 *3441:24 *3482:37 0.000406117 -16 *3441:24 *3556:29 2.44268e-05 -17 *27856:B *3441:24 6.36678e-05 -18 *27881:A *3441:24 0.000140645 -19 *1713:26 *3441:24 0.00149857 -20 *2848:53 *3441:24 0.000180073 -21 *3153:55 *3441:24 1.08359e-05 -22 *3153:80 *3441:24 0.000123115 -23 *3164:69 *27904:A 9.66977e-05 -24 *3170:68 *3441:14 0.000171892 -25 *3170:68 *3441:24 0.000522333 -26 *3178:157 *3441:14 4.32309e-05 -27 *3182:122 *3441:14 0.000610308 -28 *3205:83 *3441:14 9.93445e-05 -29 *3424:26 *3441:24 0.00120489 -*RES -1 *27899:X *3441:14 35.0178 -2 *3441:14 *3441:24 49.2946 -3 *3441:24 *27904:A 10.2464 -*END - -*D_NET *3442 0.00276176 -*CONN -*I *27904:B I *D sky130_fd_sc_hd__or4_1 -*I *27900:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27904:B 0.000812047 -2 *27900:X 0.000812047 -3 *27904:B *27904:D 0.000552103 -4 *27904:B *3482:37 9.71152e-05 -5 *27904:B *5651:47 3.37161e-05 -6 *3164:69 *27904:B 9.74665e-05 -7 *3394:18 *27904:B 0.000260152 -8 *3441:24 *27904:B 9.71152e-05 -*RES -1 *27900:X *27904:B 39.0643 -*END - -*D_NET *3443 0.000846375 -*CONN -*I *27902:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27901:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27902:C1 0.000183389 -2 *27901:X 0.000183389 -3 *27902:C1 *27901:A1 0.000149414 -4 *1725:8 *27902:C1 0.000149414 -5 *2865:57 *27902:C1 0.00018077 -*RES -1 *27901:X *27902:C1 31.3143 -*END - -*D_NET *3444 0.00857803 -*CONN -*I *27904:C I *D sky130_fd_sc_hd__or4_1 -*I *27902:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27904:C 0.000925605 -2 *27902:X 0.000908422 -3 *3444:13 0.00183403 -4 *27904:C *27917:B1 0.0012052 -5 *27904:C *3469:19 9.21418e-06 -6 *27904:C *3482:37 0.000658847 -7 *27904:C *3482:38 2.97924e-05 -8 *3444:13 *27902:B2 7.43174e-05 -9 *3444:13 *5442:27 4.66711e-05 -10 *27867:B2 *27904:C 0.000119704 -11 *27892:A2 *27904:C 0.000564734 -12 *27892:B1 *27904:C 0.000528947 -13 *27902:A2 *3444:13 6.05161e-06 -14 *27904:A *27904:C 5.33005e-05 -15 *1252:21 *3444:13 0.000165653 -16 *1407:58 *3444:13 6.72695e-05 -17 *1713:26 *27904:C 0.000134892 -18 *3164:69 *27904:C 4.22592e-05 -19 *3165:254 *27904:C 1.44114e-05 -20 *3183:98 *3444:13 0.000221634 -21 *3216:63 *3444:13 0.00096708 -*RES -1 *27902:X *3444:13 36.8 -2 *3444:13 *27904:C 40.3357 -*END - -*D_NET *3445 0.00394651 -*CONN -*I *27904:D I *D sky130_fd_sc_hd__or4_1 -*I *27903:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27904:D 0.000704299 -2 *27903:X 0.000704299 -3 *27904:D *3663:65 0.000162641 -4 *27904:D *5651:47 0.00130199 -5 *27881:C *27904:D 0.000164159 -6 *27904:B *27904:D 0.000552103 -7 *3164:69 *27904:D 0.000177545 -8 *3165:254 *27904:D 0.000179469 -*RES -1 *27903:X *27904:D 44.475 -*END - -*D_NET *3446 0.00333778 -*CONN -*I *27917:B1 I *D sky130_fd_sc_hd__o221a_1 -*I *27904:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27917:B1 0.000516528 -2 *27904:X 0.000516528 -3 *27917:B1 *3469:8 9.84796e-05 -4 *27917:B1 *3469:19 0.000423899 -5 *27917:B1 *3482:38 0.000577142 -6 *27904:C *27917:B1 0.0012052 -*RES -1 *27904:X *27917:B1 43.8143 -*END - -*D_NET *3447 0.00362355 -*CONN -*I *27906:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27905:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27906:C1 0.000936599 -2 *27905:X 0.000936599 -3 *27906:C1 *27905:B1 4.19624e-06 -4 *27906:C1 *27905:B2 0.000150226 -5 *27906:C1 *27906:A1 0.000126059 -6 *27906:C1 *27906:B2 3.86522e-05 -7 *27906:C1 *27916:B 5.25774e-05 -8 *27906:C1 *3875:63 4.95793e-05 -9 *27906:C1 *5624:28 2.96866e-05 -10 *27906:C1 *5666:216 1.12406e-05 -11 *27906:C1 *6317:100 6.34022e-05 -12 *27905:C1 *27906:C1 0.000584511 -13 *27906:B1 *27906:C1 7.48927e-06 -14 *1449:115 *27906:C1 1.5528e-05 -15 *1471:127 *27906:C1 0.000178398 -16 *1480:17 *27906:C1 3.44647e-06 -17 *1480:35 *27906:C1 3.47641e-06 -18 *2845:31 *27906:C1 0.000180951 -19 *2874:35 *27906:C1 0.000180951 -20 *3206:48 *27906:C1 6.99848e-05 -*RES -1 *27905:X *27906:C1 42.2648 -*END - -*D_NET *3448 0.00364898 -*CONN -*I *27916:B I *D sky130_fd_sc_hd__or3_1 -*I *27906:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27916:B 0.00124361 -2 *27906:X 0.00124361 -3 *27916:B *27906:A1 2.87555e-06 -4 *27916:B *27906:B2 1.40034e-05 -5 *27916:B *5685:52 7.72966e-05 -6 *27866:B *27916:B 0.00075685 -7 *27906:B1 *27916:B 8.55871e-05 -8 *27906:C1 *27916:B 5.25774e-05 -9 *1725:8 *27916:B 7.55747e-05 -10 *2872:41 *27916:B 9.70065e-05 -*RES -1 *27906:X *27916:B 43.1357 -*END - -*D_NET *3449 0.00230232 -*CONN -*I *27908:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27907:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27908:C1 0.000684595 -2 *27907:X 0.000684595 -3 *27908:C1 *3697:31 6.60403e-05 -4 *27908:C1 *3700:22 0.000194783 -5 *27908:C1 *4103:44 9.54798e-06 -6 *27908:C1 *4103:68 3.63439e-05 -7 *27908:C1 *5007:42 2.25163e-05 -8 *1878:7 *27908:C1 0.000603896 -*RES -1 *27907:X *27908:C1 37.475 -*END - -*D_NET *3450 0.0274334 -*CONN -*I *27915:A I *D sky130_fd_sc_hd__or4_2 -*I *27908:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27915:A 0.00106431 -2 *27908:X 0.00110335 -3 *3450:32 0.00209606 -4 *3450:29 0.0015613 -5 *3450:23 0.00189716 -6 *3450:13 0.00247096 -7 *27915:A *6651:DIODE 4.75761e-05 -8 *27915:A *27521:A1 1.98839e-05 -9 *27915:A *27521:B2 0.000534641 -10 *27915:A *27871:B2 0.000182598 -11 *27915:A *3452:33 0.000431193 -12 *27915:A *3802:58 0.000177863 -13 *27915:A *3897:32 6.85222e-05 -14 *27915:A *4116:36 1.34631e-05 -15 *27915:A *4116:51 0.000836638 -16 *27915:A *5727:281 6.21188e-05 -17 *3450:13 *25869:A1 0.000120475 -18 *3450:13 *27908:A2 0.000704936 -19 *3450:13 *27935:C1 7.92927e-05 -20 *3450:13 *28312:D 0.00010487 -21 *3450:13 *30241:A 0.00034122 -22 *3450:13 *4142:26 7.80714e-06 -23 *3450:13 *5007:42 0.000129813 -24 *3450:13 *5839:26 0.000188551 -25 *3450:13 *5839:35 0.000103977 -26 *3450:13 *5844:17 9.87983e-06 -27 *3450:23 *27588:A1 8.25843e-06 -28 *3450:23 *28963:A 6.86792e-05 -29 *3450:23 *28964:A 2.59355e-05 -30 *3450:23 *3713:7 5.33005e-05 -31 *3450:23 *4100:22 0.000172738 -32 *3450:23 *4113:104 0.000398253 -33 *3450:23 *5667:24 6.42095e-05 -34 *3450:23 *5839:35 0.00129019 -35 *3450:29 *3739:81 6.81786e-05 -36 *3450:29 *3741:38 0.000981386 -37 *3450:29 *3897:48 0.000162368 -38 *3450:29 *4131:36 6.61458e-05 -39 *3450:32 *3958:16 0.00199303 -40 *3450:32 *4131:36 0.00302771 -41 *6626:DIODE *3450:23 8.17274e-05 -42 *27521:A2 *27915:A 0.00030413 -43 *27521:B1 *27915:A 1.21258e-05 -44 *27522:C1 *27915:A 6.57032e-05 -45 *27577:A2 *3450:23 0.000137983 -46 *1287:97 *3450:13 5.33005e-05 -47 *1476:21 *3450:23 4.33002e-05 -48 *1878:8 *3450:13 0.000301223 -49 *1878:13 *3450:13 0.0013407 -50 *2864:203 *3450:29 0.00068362 -51 *2866:149 *3450:32 0.000243114 -52 *2874:102 *3450:23 0.000298483 -53 *2875:100 *3450:32 2.07371e-05 -54 *2883:89 *3450:32 0.000984823 -55 *3101:17 *3450:32 0.000123605 -*RES -1 *27908:X *3450:13 49.7286 -2 *3450:13 *3450:23 39.1607 -3 *3450:23 *3450:29 23.5357 -4 *3450:29 *3450:32 44.0893 -5 *3450:32 *27915:A 32.5857 -*END - -*D_NET *3451 0.000325512 -*CONN -*I *27910:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27909:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27910:C1 7.81099e-05 -2 *27909:X 7.81099e-05 -3 *27910:C1 *27910:B2 9.54798e-06 -4 *27910:C1 *3713:119 4.48906e-05 -5 *1422:28 *27910:C1 0.000114854 -*RES -1 *27909:X *27910:C1 29.2429 -*END - -*D_NET *3452 0.0208382 -*CONN -*I *27915:B I *D sky130_fd_sc_hd__or4_2 -*I *6651:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27910:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27915:B 1.96737e-05 -2 *6651:DIODE 0.000143424 -3 *27910:X 0 -4 *3452:33 0.000378395 -5 *3452:32 0.0014881 -6 *3452:6 0.003228 -7 *3452:5 0.0019552 -8 *6651:DIODE *27915:C 0.000137561 -9 *6651:DIODE *3739:48 1.98839e-05 -10 *6651:DIODE *4116:36 0.0001188 -11 *3452:6 *27937:A2 0.000176418 -12 *3452:6 *3724:6 0.000359343 -13 *3452:6 *3724:20 0.00103422 -14 *3452:6 *3724:70 5.88631e-05 -15 *3452:6 *3948:24 0.00117689 -16 *3452:6 *3948:41 0.00149143 -17 *3452:6 *5661:133 0.000612767 -18 *3452:6 *5661:149 0.000343635 -19 *3452:6 *5707:9 3.68021e-05 -20 *3452:6 *5713:24 0.000168217 -21 *3452:32 *25151:A1 3.45371e-05 -22 *3452:32 *25645:A0 2.23541e-05 -23 *3452:32 *3713:39 0.000282486 -24 *3452:32 *3724:20 0.000198849 -25 *3452:32 *3749:105 5.7538e-06 -26 *3452:32 *3793:52 0 -27 *3452:32 *4126:84 0.000129679 -28 *3452:32 *5253:44 8.94491e-05 -29 *3452:32 *5661:117 0.00102263 -30 *3452:33 *3457:7 5.33005e-05 -31 *25151:B1 *3452:32 1.61249e-05 -32 *27560:B1 *3452:6 2.17671e-05 -33 *27915:A *6651:DIODE 4.75761e-05 -34 *27915:A *3452:33 0.000431193 -35 *30535:A *3452:6 2.06112e-05 -36 *740:19 *3452:6 6.24939e-05 -37 *1287:97 *3452:6 6.13706e-05 -38 *1399:24 *3452:6 1.17921e-05 -39 *1470:90 *3452:32 4.40545e-06 -40 *1665:28 *3452:6 4.11218e-05 -41 *1730:41 *3452:6 2.04825e-05 -42 *1748:20 *3452:32 5.08267e-05 -43 *1828:42 *3452:32 0.000188473 -44 *1887:7 *3452:32 2.6572e-05 -45 *2855:103 *3452:32 9.20911e-05 -46 *2865:133 *3452:32 4.45573e-05 -47 *2872:93 *3452:32 0.000186819 -48 *2872:94 *3452:32 5.33005e-05 -49 *3156:162 *3452:32 7.12386e-05 -50 *3197:125 *3452:32 2.52313e-05 -51 *3197:138 *3452:32 0.00143237 -52 *3216:142 *3452:6 0.00314107 -53 *3216:148 *3452:6 0 -*RES -1 *27910:X *3452:5 13.8 -2 *3452:5 *3452:6 62.2054 -3 *3452:6 *3452:32 49.5753 -4 *3452:32 *3452:33 4.23214 -5 *3452:33 *6651:DIODE 12.7464 -6 *3452:33 *27915:B 9.72857 -*END - -*D_NET *3453 0.00109668 -*CONN -*I *27912:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27911:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27912:C1 0.000355975 -2 *27911:X 0.000355975 -3 *27912:C1 *27911:A1 2.98135e-05 -4 *27912:C1 *3571:13 1.31459e-05 -5 *27912:C1 *3571:15 5.38593e-06 -6 *27912:C1 *3687:73 5.93521e-05 -7 *27912:C1 *3983:52 0.000127439 -8 *27911:A2 *27912:C1 1.89695e-05 -9 *27911:B1 *27912:C1 8.80473e-05 -10 *3212:68 *27912:C1 4.25716e-05 -*RES -1 *27911:X *27912:C1 33.0643 -*END - -*D_NET *3454 0.0162134 -*CONN -*I *27915:C I *D sky130_fd_sc_hd__or4_2 -*I *27912:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27915:C 4.96e-05 -2 *27912:X 0.00122865 -3 *3454:18 0.00151241 -4 *3454:11 0.00269146 -5 *27915:C *3739:48 9.41642e-05 -6 *3454:11 *27531:A1 0.000157768 -7 *3454:11 *27532:B2 0 -8 *3454:11 *27860:A2 1.42701e-05 -9 *3454:11 *27863:B2 4.68934e-05 -10 *3454:11 *3697:71 0.000992815 -11 *3454:11 *3869:28 0.000377405 -12 *3454:11 *3960:28 0.000106304 -13 *3454:11 *5442:23 0.000183726 -14 *3454:18 *25835:A0 6.43445e-05 -15 *3454:18 *27516:A1 0.000121871 -16 *3454:18 *27523:B2 2.06178e-05 -17 *3454:18 *27860:A2 4.8817e-05 -18 *3454:18 *3685:22 0.00165199 -19 *3454:18 *3739:48 1.50738e-05 -20 *3454:18 *3739:56 0.00141324 -21 *3454:18 *3805:59 0.000121549 -22 *6651:DIODE *27915:C 0.000137561 -23 *27489:A2 *3454:18 0.000148903 -24 *27860:C1 *3454:11 8.69554e-05 -25 *27860:C1 *3454:18 2.69042e-05 -26 *2789:27 *3454:18 0.00014377 -27 *2872:90 *3454:18 6.83286e-06 -28 *2894:99 *3454:18 0.00120712 -29 *3073:14 *3454:18 0.00148163 -30 *3156:112 *3454:11 0 -31 *3212:81 *3454:11 0.000242103 -32 *3212:94 *3454:11 0.00181864 -*RES -1 *27912:X *3454:11 49.7669 -2 *3454:11 *3454:18 46.5299 -3 *3454:18 *27915:C 15.1571 -*END - -*D_NET *3455 0.00275193 -*CONN -*I *27914:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27913:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27914:C1 0 -2 *27913:X 0.000684151 -3 *3455:17 0.000684151 -4 *3455:17 *3594:53 0.000122877 -5 *3455:17 *3739:38 6.34166e-05 -6 *3455:17 *3739:48 0.00020794 -7 *3455:17 *3831:83 7.44888e-05 -8 *3455:17 *3934:28 5.52302e-05 -9 *3455:17 *4103:18 0 -10 *27871:B1 *3455:17 4.06087e-07 -11 *27914:B1 *3455:17 9.41642e-05 -12 *402:13 *3455:17 0.000183827 -13 *1763:22 *3455:17 4.00349e-05 -14 *3164:146 *3455:17 0.000255675 -15 *3184:186 *3455:17 0.000135028 -16 *3196:119 *3455:17 0.000150545 -*RES -1 *27913:X *3455:17 41.0143 -2 *3455:17 *27914:C1 9.3 -*END - -*D_NET *3456 0.000840997 -*CONN -*I *27915:D I *D sky130_fd_sc_hd__or4_2 -*I *27914:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27915:D 0.000210031 -2 *27914:X 0.000210031 -3 *27915:D *27914:A1 0.000219289 -4 *27915:D *3685:22 0.000100823 -5 *27915:D *3697:57 0.000100823 -*RES -1 *27914:X *27915:D 31.1179 -*END - -*D_NET *3457 0.0277008 -*CONN -*I *27916:C I *D sky130_fd_sc_hd__or3_1 -*I *27915:X O *D sky130_fd_sc_hd__or4_2 -*CAP -1 *27916:C 0.000645291 -2 *27915:X 4.36033e-05 -3 *3457:28 0.00278466 -4 *3457:22 0.0046394 -5 *3457:10 0.0035972 -6 *3457:7 0.00114077 -7 *27916:C *5500:57 8.88736e-05 -8 *27916:C *5591:63 0.000240066 -9 *27916:C *5685:52 0.000669902 -10 *3457:10 *27487:A1 0.000578464 -11 *3457:10 *27516:A2 0.000177895 -12 *3457:10 *27860:A1 0.000160422 -13 *3457:10 *28055:A 0.000988076 -14 *3457:10 *28137:SET_B 0.000582383 -15 *3457:10 *5727:252 0 -16 *3457:10 *5744:30 0.000204015 -17 *3457:22 *3831:40 0.00209399 -18 *3457:22 *3831:53 0.00106974 -19 *3457:22 *3841:18 2.85957e-05 -20 *3457:22 *4023:28 1.80461e-05 -21 *3457:22 *5892:145 0.000816882 -22 *3457:22 *6036:27 0.000218679 -23 *3457:28 *25410:B2 0.000130008 -24 *3457:28 *27850:A1 0.000177782 -25 *3457:28 *28389:CLK 7.96587e-06 -26 *3457:28 *3469:19 9.41642e-05 -27 *3457:28 *3778:77 3.27258e-05 -28 *3457:28 *5651:39 0.000118545 -29 *3457:28 *5666:190 0.000187305 -30 *3457:28 *5685:52 0.00085193 -31 *25295:B1 *27916:C 1.95035e-05 -32 *25461:A3 *3457:10 7.36771e-05 -33 *30274:A *3457:28 0.000322829 -34 *408:20 *3457:10 9.30205e-05 -35 *1277:16 *3457:28 1.88266e-05 -36 *1294:95 *3457:28 0.000377401 -37 *1437:29 *3457:28 0.000171892 -38 *1470:27 *27916:C 6.5919e-05 -39 *1470:27 *3457:28 1.61841e-05 -40 *1470:30 *27916:C 5.54974e-05 -41 *1547:51 *3457:22 9.00639e-05 -42 *1612:8 *27916:C 0.000619262 -43 *1617:30 *3457:22 6.79325e-05 -44 *2845:80 *3457:22 4.88232e-05 -45 *2874:74 *3457:10 3.99528e-05 -46 *2874:85 *3457:10 0.00122473 -47 *2878:75 *3457:22 6.58704e-05 -48 *2882:96 *3457:10 0.000659925 -49 *2891:33 *3457:28 0.000717676 -50 *3073:14 *3457:10 9.91086e-05 -51 *3099:17 *3457:22 0.000147561 -52 *3165:222 *27916:C 7.55769e-05 -53 *3212:94 *3457:10 2.21723e-05 -54 *3212:99 *3457:10 0.000106785 -55 *3214:48 *27916:C 1.94945e-05 -56 *3215:112 *3457:22 4.04292e-05 -57 *3452:33 *3457:7 5.33005e-05 -*RES -1 *27915:X *3457:7 14.3357 -2 *3457:7 *3457:10 40.75 -3 *3457:10 *3457:22 47.1578 -4 *3457:22 *3457:28 40.3839 -5 *3457:28 *27916:C 30.8268 -*END - -*D_NET *3458 0.00121235 -*CONN -*I *27917:B2 I *D sky130_fd_sc_hd__o221a_1 -*I *27916:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *27917:B2 0.000315636 -2 *27916:X 0.000315636 -3 *27917:B2 *27917:A1 4.32623e-05 -4 *27917:B2 *5581:55 9.87489e-05 -5 *27917:B2 *5717:74 0.000175892 -6 *27883:B1 *27917:B2 0.000111123 -7 *27917:A2 *27917:B2 5.33005e-05 -8 *1725:8 *27917:B2 9.87489e-05 -*RES -1 *27916:X *27917:B2 34.0107 -*END - -*D_NET *3459 0.0133592 -*CONN -*I *27919:B1 I *D sky130_fd_sc_hd__o22a_1 -*I *27917:X O *D sky130_fd_sc_hd__o221a_1 -*CAP -1 *27919:B1 0 -2 *27917:X 0.00122923 -3 *3459:23 0.00096981 -4 *3459:8 0.00219904 -5 *3459:8 *3788:49 0.00104317 -6 *3459:8 *5500:55 0.000953311 -7 *3459:8 *5500:57 0.00032546 -8 *3459:8 *5666:231 0.000120818 -9 *3459:8 *5685:52 0.000894717 -10 *3459:23 *25180:B2 0.000319121 -11 *3459:23 *25404:B2 7.14469e-05 -12 *3459:23 *25936:B 9.58126e-05 -13 *3459:23 *29265:A 0.000219289 -14 *3459:23 *3805:47 2.54941e-05 -15 *3459:23 *4001:27 0.000136599 -16 *3459:23 *5052:19 0.00102687 -17 *3459:23 *5153:8 0.000222866 -18 *3459:23 *5354:17 0 -19 *3459:23 *5491:42 0.000305889 -20 *3459:23 *5618:139 0.000707933 -21 *3459:23 *5624:41 0.000135028 -22 *3459:23 *5711:86 0.000228999 -23 *25180:B1 *3459:23 3.97677e-05 -24 *27883:B1 *3459:8 5.33005e-05 -25 *27901:B1 *3459:8 3.63211e-05 -26 *459:10 *3459:8 0.000141864 -27 *1141:20 *3459:23 0.000569523 -28 *1457:15 *3459:23 0.0001946 -29 *1612:8 *3459:8 0.000997586 -30 *1725:8 *3459:8 0 -31 *3173:45 *3459:8 9.5375e-05 -*RES -1 *27917:X *3459:8 49.675 -2 *3459:8 *3459:23 42.0179 -3 *3459:23 *27919:B1 9.3 -*END - -*D_NET *3460 0.0128841 -*CONN -*I *27919:B2 I *D sky130_fd_sc_hd__o22a_1 -*I *27918:X O *D sky130_fd_sc_hd__o21ba_1 -*CAP -1 *27919:B2 0 -2 *27918:X 0.00375681 -3 *3460:21 0.00375681 -4 *3460:21 *25400:B2 1.76164e-05 -5 *3460:21 *25953:A1 8.47295e-05 -6 *3460:21 *28224:D 0.000291599 -7 *3460:21 *28383:RESET_B 9.49928e-05 -8 *3460:21 *29265:A 1.47728e-05 -9 *3460:21 *3661:31 1.32965e-05 -10 *3460:21 *3875:51 1.71329e-05 -11 *3460:21 *4371:19 4.65519e-05 -12 *3460:21 *4381:19 0.000208166 -13 *3460:21 *4617:23 2.35126e-05 -14 *3460:21 *4618:21 0.000220456 -15 *3460:21 *5016:17 4.15183e-05 -16 *3460:21 *5052:19 2.66502e-05 -17 *3460:21 *5158:11 3.95013e-05 -18 *3460:21 *5354:17 6.05161e-06 -19 *3460:21 *5618:12 1.90936e-05 -20 *3460:21 *5685:66 0.000337523 -21 *3460:21 *5691:229 0.000143102 -22 *3460:21 *5691:252 4.79393e-05 -23 *3460:21 *5705:162 5.27998e-05 -24 *3460:21 *6055:13 8.80915e-05 -25 *3460:21 *6055:23 0.000678721 -26 *27869:B1 *3460:21 0.000194023 -27 *27918:A2 *3460:21 0.000137538 -28 *27918:B1_N *3460:21 2.59355e-05 -29 *29177:A *3460:21 2.79405e-05 -30 *473:29 *3460:21 9.01339e-05 -31 *1227:44 *3460:21 9.59532e-06 -32 *1257:99 *3460:21 0.00029747 -33 *1263:62 *3460:21 1.2012e-05 -34 *1264:22 *3460:21 2.31723e-05 -35 *1457:35 *3460:21 0.000301215 -36 *1713:26 *3460:21 9.09604e-05 -37 *1714:23 *3460:21 0.00164663 -*RES -1 *27918:X *3460:21 48.9097 -2 *3460:21 *27919:B2 9.3 -*END - -*D_NET *3461 0.0943661 -*CONN -*I *27932:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27920:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *27932:C1 0.0010669 -2 *27920:X 0.00120262 -3 *3461:26 0.0132976 -4 *3461:20 0.0154758 -5 *3461:19 0.00380145 -6 *3461:13 0.00599465 -7 *3461:11 0.00731754 -8 *3461:7 0.00308187 -9 *27932:C1 *27932:B1 7.5509e-06 -10 *27932:C1 *3845:62 0 -11 *27932:C1 *3987:58 9.18118e-05 -12 *27932:C1 *5605:99 5.61699e-05 -13 *3461:7 *25199:A1 3.00251e-05 -14 *3461:7 *25199:B2 0.000148482 -15 *3461:11 *25199:B2 0.000478626 -16 *3461:11 *28867:A 0.000599253 -17 *3461:11 *3510:16 0.00237037 -18 *3461:11 *3566:7 6.94157e-05 -19 *3461:20 *6114:18 0.0108018 -20 *3461:20 *6136:8 0.0125344 -21 *3461:26 *24879:C_N 0 -22 *3461:26 *25122:B2 1.46911e-05 -23 *3461:26 *25441:A1 0 -24 *3461:26 *27960:B2 0 -25 *3461:26 *28064:B 0.000222569 -26 *3461:26 *3686:83 0.000162961 -27 *3461:26 *3713:39 4.73953e-05 -28 *3461:26 *3741:27 0 -29 *3461:26 *3766:71 0.00054697 -30 *3461:26 *3828:77 0.000713713 -31 *3461:26 *3984:73 2.9988e-05 -32 *3461:26 *4113:86 0.000153593 -33 *3461:26 *4195:67 0 -34 *3461:26 *5687:14 0 -35 *3461:26 *5694:19 0.00076151 -36 *3461:26 *5707:28 0 -37 wb_dat_o[27] *3461:20 1.94945e-05 -38 wb_dat_o[6] *3461:26 0.000254646 -39 wb_dat_o[7] *3461:26 0 -40 *24924:A *3461:26 0.000128196 -41 *25117:B1 *27932:C1 2.4175e-05 -42 *25137:B1 *3461:26 0 -43 *25199:A2 *3461:7 6.25394e-06 -44 *25199:B1 *3461:7 2.13804e-05 -45 *25285:A0 *3461:26 8.69554e-05 -46 *25331:C1 *3461:26 0.00106965 -47 *25441:A2 *3461:26 0 -48 *25820:A1 *3461:26 0 -49 *25821:A1 *3461:26 0 -50 *26105:A *3461:13 0.000135028 -51 *26407:A *3461:13 0.000426563 -52 *26496:A *3461:11 8.43535e-06 -53 *26496:B *3461:11 0.000105471 -54 *26497:A *3461:11 0.000466823 -55 *26511:A_N *3461:13 2.59355e-05 -56 *26514:B *3461:13 0.000378593 -57 *26596:B1 *3461:13 1.51571e-05 -58 *26596:B2 *3461:13 6.12434e-05 -59 *27960:B1 *3461:26 0.00026647 -60 *29447:A *27932:C1 0.000353626 -61 *31015:A *3461:26 0.00158299 -62 *1205:22 *3461:26 0 -63 *1218:18 *27932:C1 9.94037e-05 -64 *1247:43 *3461:26 0.00181824 -65 *1281:75 *27932:C1 2.28235e-05 -66 *1281:75 *3461:26 0.000143486 -67 *1282:158 *3461:26 4.38296e-05 -68 *1324:88 *3461:26 2.57955e-05 -69 *1324:146 *3461:26 1.66061e-05 -70 *1358:25 *3461:26 0 -71 *1393:49 *3461:13 8.08377e-06 -72 *1393:55 *3461:13 0.000175153 -73 *1393:69 *3461:13 1.70156e-05 -74 *1451:26 *3461:26 0.000228987 -75 *1456:116 *3461:26 0.000209764 -76 *1457:111 *27932:C1 1.64276e-05 -77 *1457:117 *27932:C1 0.000189867 -78 *1494:48 *3461:26 0.000245742 -79 *1519:5 *3461:7 0.00101847 -80 *1657:56 *27932:C1 0.000740755 -81 *1730:13 *27932:C1 2.83129e-05 -82 *2043:33 *3461:19 1.65169e-05 -83 *2046:77 *3461:13 3.05203e-05 -84 *2046:88 *3461:13 1.98839e-05 -85 *2047:5 *3461:13 0.000145239 -86 *2047:66 *3461:20 0.000202842 -87 *2051:46 *3461:13 0.000307343 -88 *2122:9 *3461:13 0.000589588 -89 *2154:45 *3461:19 0.000165123 -90 *2176:70 *3461:13 0.000388655 -91 *2437:7 *3461:11 0.000388045 -92 *2536:5 *3461:13 0.000149347 -93 *2536:20 *3461:13 2.79421e-05 -94 *2848:123 *3461:26 5.88288e-05 -95 *2895:171 *3461:26 2.5577e-05 -96 *3115:31 *3461:26 0.000109657 -97 *3182:134 *3461:26 0.00017552 -98 *3184:146 *3461:26 0 -*RES -1 *27920:X *3461:7 25.05 -2 *3461:7 *3461:11 40.0714 -3 *3461:11 *3461:13 62.7589 -4 *3461:13 *3461:19 12.3304 -5 *3461:19 *3461:20 157.83 -6 *3461:20 *3461:26 46.6841 -7 *3461:26 *27932:C1 38.9176 -*END - -*D_NET *3462 0.00233141 -*CONN -*I *27924:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27921:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27924:C1 0.00034776 -2 *27921:X 0.00034776 -3 *27924:C1 *29906:A 3.11713e-05 -4 *27924:C1 *3711:16 0.000353149 -5 *27924:C1 *4065:53 6.17358e-05 -6 *27924:C1 *5209:30 3.4323e-06 -7 *27924:C1 *5209:39 6.37883e-06 -8 *27921:A2 *27924:C1 0.000780871 -9 *1214:11 *27924:C1 9.41642e-05 -10 *1396:40 *27924:C1 2.20033e-05 -11 *1396:45 *27924:C1 0.000279914 -12 *3165:343 *27924:C1 3.07351e-06 -*RES -1 *27921:X *27924:C1 38.9929 -*END - -*D_NET *3463 0.0029566 -*CONN -*I *27938:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27922:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27938:C1 0.000690217 -2 *27922:X 0.000690217 -3 *27938:C1 *27938:B2 0.000165587 -4 *27938:C1 *28260:D 3.04311e-05 -5 *27938:C1 *28328:D 0.000682915 -6 *27938:C1 *3688:35 0.00030562 -7 *27938:C1 *4103:18 1.11775e-05 -8 *2789:44 *27938:C1 0.000189714 -9 *3156:133 *27938:C1 4.82947e-05 -10 *3168:143 *27938:C1 0.000142427 -*RES -1 *27922:X *27938:C1 42.7786 -*END - -*D_NET *3464 0.00195069 -*CONN -*I *27925:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27923:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27925:C1 0.000325285 -2 *27923:X 0.000325285 -3 *27925:C1 *3961:64 8.25843e-06 -4 *27925:C1 *5653:70 0.000283698 -5 *27925:C1 *5729:252 0.000109933 -6 *1730:19 *27925:C1 0.000187959 -7 *3153:98 *27925:C1 0.000710273 -*RES -1 *27923:X *27925:C1 37.475 -*END - -*D_NET *3465 0.00379772 -*CONN -*I *27939:A I *D sky130_fd_sc_hd__or4_1 -*I *27924:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27939:A 0.000692423 -2 *27924:X 0.000692423 -3 *27939:A *27924:A1 0.000136958 -4 *27939:A *27939:C 5.33005e-05 -5 *27939:A *27939:D 0.000265453 -6 *27939:A *28297:RESET_B 0.000183344 -7 *27939:A *28333:RESET_B 0.00025664 -8 *27939:A *3830:36 0.000203804 -9 *27939:A *5666:55 0.000101545 -10 *27939:A *5693:25 0.000166416 -11 *27939:A *5856:19 0.000280311 -12 *27565:B1 *27939:A 0.000149346 -13 *1209:13 *27939:A 0.000111451 -14 *1872:58 *27939:A 0.000504301 -*RES -1 *27924:X *27939:A 48.0464 -*END - -*D_NET *3466 0.00196778 -*CONN -*I *27941:A1 I *D sky130_fd_sc_hd__o32a_1 -*I *27925:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27941:A1 0.000425648 -2 *27925:X 0.000425648 -3 *27941:A1 *25175:B2 0.000178425 -4 *27941:A1 *27940:A 0.000171368 -5 *27941:A1 *27941:A3 0.00015487 -6 *27941:A1 *3663:73 0.00021151 -7 *27941:A1 *5729:276 1.763e-05 -8 *27933:B1 *27941:A1 0.000338344 -9 *3170:83 *27941:A1 1.09026e-05 -10 *3205:83 *27941:A1 3.34295e-05 -*RES -1 *27925:X *27941:A1 38.2964 -*END - -*D_NET *3467 0.00165165 -*CONN -*I *27931:B1 I *D sky130_fd_sc_hd__a2111o_1 -*I *27926:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27931:B1 0.000429298 -2 *27926:X 0.000429298 -3 *27931:B1 *3469:29 0.000175892 -4 *27931:B1 *3779:97 9.94194e-05 -5 *1400:40 *27931:B1 0.000260512 -6 *1689:21 *27931:B1 0.000257226 -*RES -1 *27926:X *27931:B1 34.8857 -*END - -*D_NET *3468 0.00151293 -*CONN -*I *27928:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27927:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27928:C1 0.000364292 -2 *27927:X 0.000364292 -3 *27928:C1 *3663:42 2.15298e-05 -4 *27928:C1 *3663:56 3.32746e-05 -5 *27928:C1 *4381:19 0.000143461 -6 *1624:55 *27928:C1 0.000323392 -7 *3191:49 *27928:C1 0.000168522 -8 *3191:54 *27928:C1 9.41642e-05 -*RES -1 *27927:X *27928:C1 34.5643 -*END - -*D_NET *3469 0.0218969 -*CONN -*I *27931:C1 I *D sky130_fd_sc_hd__a2111o_1 -*I *27928:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27931:C1 0 -2 *27928:X 0 -3 *3469:29 0.00112763 -4 *3469:19 0.00245194 -5 *3469:8 0.00259234 -6 *3469:5 0.00126804 -7 *3469:8 *3482:38 0.00252611 -8 *3469:8 *3884:112 7.02772e-05 -9 *3469:19 *27850:B2 2.68594e-05 -10 *3469:19 *27875:A1 3.97677e-05 -11 *3469:19 *3482:37 5.20646e-05 -12 *3469:19 *5646:34 0.000152626 -13 *3469:29 *3482:19 0.00238394 -14 *3469:29 *3779:97 0.000216755 -15 *3469:29 *5653:165 0.000188223 -16 *3469:29 *5691:93 0.000135968 -17 *27850:B1 *3469:19 0.00020081 -18 *27867:A2 *3469:8 0.000123542 -19 *27881:A *3469:19 6.28642e-05 -20 *27892:A2 *3469:8 7.64939e-05 -21 *27894:B1 *3469:8 0.000976818 -22 *27904:C *3469:19 9.21418e-06 -23 *27917:B1 *3469:8 9.84796e-05 -24 *27917:B1 *3469:19 0.000423899 -25 *27931:B1 *3469:29 0.000175892 -26 *1294:81 *3469:8 0.000541544 -27 *1294:88 *3469:8 0.0003727 -28 *1294:88 *3469:19 0.000333157 -29 *1360:20 *3469:29 0.000194754 -30 *1360:27 *3469:29 0.000549368 -31 *1430:65 *3469:29 0.00123442 -32 *1437:29 *3469:19 3.04311e-05 -33 *1713:26 *3469:8 0.000148319 -34 *3170:59 *3469:19 0.00111809 -35 *3174:78 *3469:19 0.000471293 -36 *3205:114 *3469:8 1.11209e-05 -37 *3394:18 *3469:19 0.000706924 -38 *3411:20 *3469:8 2.11419e-05 -39 *3424:26 *3469:19 0.00012318 -40 *3441:24 *3469:19 0.000565688 -41 *3457:28 *3469:19 9.41642e-05 -*RES -1 *27928:X *3469:5 13.8 -2 *3469:5 *3469:8 37.2411 -3 *3469:8 *3469:19 48.9911 -4 *3469:19 *3469:29 45.6607 -5 *3469:29 *27931:C1 9.3 -*END - -*D_NET *3470 0.00184164 -*CONN -*I *27930:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27929:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27930:C1 0.000613264 -2 *27929:X 0.000613264 -3 *27930:C1 *27930:A1 5.46971e-05 -4 *27930:C1 *27945:B2 0.00018792 -5 *27930:C1 *3832:43 0.000108314 -6 *1563:26 *27930:C1 0.000264181 -*RES -1 *27929:X *27930:C1 36.9393 -*END - -*D_NET *3471 0.00703913 -*CONN -*I *27931:D1 I *D sky130_fd_sc_hd__a2111o_1 -*I *27930:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27931:D1 7.47649e-05 -2 *27930:X 0.00118352 -3 *3471:10 0.00125828 -4 *27931:D1 *3779:97 0.000260921 -5 *27931:D1 *3922:35 0.000259273 -6 *3471:10 *27923:B1 7.61504e-05 -7 *3471:10 *27923:B2 0.000115312 -8 *3471:10 *3503:28 0.00011071 -9 *3471:10 *3762:42 0.00179681 -10 *3471:10 *3961:45 3.5388e-05 -11 *3471:10 *5210:25 0.00038571 -12 *3471:10 *5646:118 7.6644e-05 -13 *3153:98 *3471:10 1.98839e-05 -14 *3174:99 *3471:10 0.00110005 -15 *3437:14 *3471:10 0.000285711 -*RES -1 *27930:X *3471:10 48.0857 -2 *3471:10 *27931:D1 11.8893 -*END - -*D_NET *3472 0.0012123 -*CONN -*I *27941:A2 I *D sky130_fd_sc_hd__o32a_1 -*I *27931:X O *D sky130_fd_sc_hd__a2111o_1 -*CAP -1 *27941:A2 0.000264152 -2 *27931:X 0.000264152 -3 *27941:A2 *3922:35 0.000175892 -4 *27941:A2 *5729:276 0.000166352 -5 *3170:83 *27941:A2 0.000284058 -6 *3205:83 *27941:A2 5.76973e-05 -*RES -1 *27931:X *27941:A2 33.1357 -*END - -*D_NET *3473 0.00994309 -*CONN -*I *27933:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27932:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27933:C1 8.98629e-05 -2 *27932:X 0.00307434 -3 *3473:19 0.0031642 -4 *27933:C1 *3828:61 0.000263794 -5 *3473:19 *27570:A1 3.85639e-05 -6 *3473:19 *27932:A2 0.000151638 -7 *3473:19 *3662:43 7.69776e-06 -8 *3473:19 *3987:58 0.000403817 -9 *3473:19 *5210:25 1.21258e-05 -10 *3473:19 *5691:93 4.37712e-06 -11 *25210:C1 *3473:19 0.000102819 -12 *25212:C *3473:19 0.000344444 -13 *27552:B1 *3473:19 0.00142601 -14 *29447:A *3473:19 0.000143984 -15 *1482:8 *3473:19 2.11419e-05 -16 *1545:28 *3473:19 0.000249243 -17 *3170:83 *3473:19 1.43349e-05 -18 *3173:110 *27933:C1 0.000265509 -19 *3176:175 *3473:19 2.01934e-05 -20 *3183:169 *3473:19 2.11419e-05 -21 *3205:98 *3473:19 0.000123847 -*RES -1 *27932:X *3473:19 48.5484 -2 *3473:19 *27933:C1 17.2643 -*END - -*D_NET *3474 0.000561793 -*CONN -*I *27940:B I *D sky130_fd_sc_hd__or3_1 -*I *27933:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27940:B 0.000171252 -2 *27933:X 0.000171252 -3 *27940:B *3844:75 0.000219289 -*RES -1 *27933:X *27940:B 20.7786 -*END - -*D_NET *3475 0.00261615 -*CONN -*I *27935:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27934:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27935:C1 0.000536626 -2 *27934:X 0.000536626 -3 *27935:C1 *25844:A1 6.05161e-06 -4 *27935:C1 *27908:A2 0.000339843 -5 *27935:C1 *27934:A1 4.49973e-05 -6 *27935:C1 *27934:B2 4.50639e-05 -7 *27935:C1 *27939:B 3.69047e-06 -8 *27935:C1 *28984:A 8.25843e-06 -9 *27935:C1 *4104:11 0.000135028 -10 *27935:C1 *5693:16 5.33005e-05 -11 *27935:C1 *5839:26 0.000487804 -12 *27935:C1 *5844:17 3.69047e-06 -13 *27934:B1 *27935:C1 1.21258e-05 -14 *1448:60 *27935:C1 0.000323754 -15 *3450:13 *27935:C1 7.92927e-05 -*RES -1 *27934:X *27935:C1 41.4036 -*END - -*D_NET *3476 0.00162975 -*CONN -*I *27939:B I *D sky130_fd_sc_hd__or4_1 -*I *27935:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27939:B 0.000377241 -2 *27935:X 0.000377241 -3 *27939:B *3480:11 5.80706e-06 -4 *27939:B *3688:25 0.000123133 -5 *27939:B *4104:11 5.83476e-06 -6 *27939:B *4104:23 0.000265613 -7 *27939:B *4142:34 0.000383928 -8 *27939:B *5651:189 8.72608e-05 -9 *27935:C1 *27939:B 3.69047e-06 -*RES -1 *27935:X *27939:B 35.5821 -*END - -*D_NET *3477 0.000503278 -*CONN -*I *27937:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27936:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27937:C1 0.000121067 -2 *27936:X 0.000121067 -3 *27937:C1 *27936:A2 2.286e-05 -4 *27937:C1 *27937:B2 0 -5 *27937:C1 *27939:C 7.7435e-05 -6 *27936:B1 *27937:C1 6.66848e-05 -7 *27937:B1 *27937:C1 9.41642e-05 -*RES -1 *27936:X *27937:C1 21.5107 -*END - -*D_NET *3478 0.002638 -*CONN -*I *27939:C I *D sky130_fd_sc_hd__or4_1 -*I *27937:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27939:C 0.000733548 -2 *27937:X 0.000733548 -3 *27939:C *27936:A2 5.90455e-05 -4 *27939:C *27937:A2 1.22507e-05 -5 *27939:C *27937:B2 1.0215e-05 -6 *27939:C *5856:19 0.000573334 -7 *27565:B1 *27939:C 0.000237122 -8 *27936:B1 *27939:C 4.27338e-05 -9 *27937:B1 *27939:C 0.000105471 -10 *27937:C1 *27939:C 7.7435e-05 -11 *27939:A *27939:C 5.33005e-05 -*RES -1 *27937:X *27939:C 31.4929 -*END - -*D_NET *3479 0.0101844 -*CONN -*I *27939:D I *D sky130_fd_sc_hd__or4_1 -*I *27938:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27939:D 6.02327e-05 -2 *27938:X 0.000139104 -3 *3479:8 0.00154876 -4 *3479:7 0.00162764 -5 *3479:8 *27924:A1 0.00152749 -6 *3479:8 *28260:D 8.97264e-05 -7 *3479:8 *28297:RESET_B 8.08022e-05 -8 *3479:8 *28310:D 9.39125e-05 -9 *3479:8 *3561:16 3.47856e-05 -10 *3479:8 *3684:21 0.000313323 -11 *3479:8 *3739:36 0.000224298 -12 *3479:8 *5007:34 6.43618e-05 -13 *3479:8 *5007:42 0.00157808 -14 *3479:8 *5712:17 0.000364175 -15 *25874:S *3479:8 0.000400698 -16 *27158:S *3479:8 0.000172301 -17 *27565:B1 *27939:D 0.000265453 -18 *27939:A *27939:D 0.000265453 -19 *29331:A *3479:8 4.71666e-05 -20 *1205:22 *3479:8 1.90936e-05 -21 *1744:112 *3479:7 0.00017754 -22 *2789:44 *3479:8 0.000545992 -23 *2894:106 *3479:8 0.000328357 -24 *2894:107 *3479:8 0.000215685 -*RES -1 *27938:X *3479:7 15.5679 -2 *3479:7 *3479:8 45.6607 -3 *3479:8 *27939:D 16.3893 -*END - -*D_NET *3480 0.0206852 -*CONN -*I *27940:C I *D sky130_fd_sc_hd__or3_1 -*I *27939:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27940:C 0 -2 *27939:X 0.00119809 -3 *3480:35 0.00126891 -4 *3480:23 0.00293466 -5 *3480:11 0.00286384 -6 *3480:11 *27936:A1 0.000221065 -7 *3480:11 *28312:D 6.82912e-05 -8 *3480:11 *4142:26 4.27821e-05 -9 *3480:11 *4142:34 1.4719e-05 -10 *3480:11 *5651:189 0.000144719 -11 *3480:11 *5866:17 0.000299797 -12 *3480:23 *25082:A1 0.000305149 -13 *3480:23 *27957:B2 0.000578038 -14 *3480:23 *27961:A2 6.8646e-06 -15 *3480:23 *27964:B1 3.90513e-05 -16 *3480:23 *3503:28 0.000108103 -17 *3480:23 *3762:42 0.000130307 -18 *3480:23 *4035:36 7.96402e-05 -19 *3480:23 *4064:56 2.42516e-05 -20 *3480:23 *4144:47 0.000136682 -21 *3480:23 *5196:47 8.85664e-07 -22 *3480:23 *5640:64 0.000112056 -23 *3480:23 *5653:97 0.000182188 -24 *3480:35 *27933:A2 0 -25 *3480:35 *27958:A1 0.000355861 -26 *3480:35 *27965:B 0.000192963 -27 *3480:35 *3485:14 0.0002562 -28 *3480:35 *3503:28 0.00146311 -29 *3480:35 *3766:71 0.000147837 -30 *3480:35 *3935:47 5.46971e-05 -31 *3480:35 *4035:59 2.46318e-05 -32 *3480:35 *5196:47 0.000926795 -33 *3480:35 *5210:13 0.000556691 -34 *3480:35 *5650:75 0.000429068 -35 *3480:35 *5653:61 0.000347289 -36 *6499:DIODE *3480:23 5.96516e-05 -37 *27574:C1 *3480:23 9.75173e-05 -38 *27933:B1 *3480:35 1.83053e-05 -39 *27939:B *3480:11 5.80706e-06 -40 *27945:A2 *3480:35 0.000351898 -41 *1185:106 *3480:23 0.00240564 -42 *1263:175 *3480:11 0.000426209 -43 *1263:175 *3480:23 0.000918365 -44 *1399:18 *3480:23 6.05161e-06 -45 *1408:60 *3480:35 0.000107449 -46 *1422:74 *3480:23 0.000328478 -47 *1864:114 *3480:35 7.83659e-05 -48 *2867:149 *3480:23 4.25213e-05 -49 *2875:134 *3480:11 0.000153193 -50 *2875:134 *3480:23 5.74499e-06 -51 *3115:19 *3480:11 0.000149216 -52 *3185:320 *3480:35 1.55559e-05 -*RES -1 *27939:X *3480:11 42.05 -2 *3480:11 *3480:23 48.9821 -3 *3480:23 *3480:35 49.9107 -4 *3480:35 *27940:C 9.3 -*END - -*D_NET *3481 0.00149543 -*CONN -*I *27941:A3 I *D sky130_fd_sc_hd__o32a_1 -*I *27940:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *27941:A3 0.000365489 -2 *27940:X 0.000365489 -3 *27941:A3 *3844:75 0.00030355 -4 *27941:A1 *27941:A3 0.00015487 -5 *3170:83 *27941:A3 0.000306031 -*RES -1 *27940:X *27941:A3 34.6714 -*END - -*D_NET *3482 0.0294196 -*CONN -*I *27942:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27941:X O *D sky130_fd_sc_hd__o32a_1 -*CAP -1 *27942:A1 0.000220807 -2 *27941:X 0.000200037 -3 *3482:38 0.00230171 -4 *3482:37 0.00340646 -5 *3482:19 0.00297314 -6 *3482:11 0.00184762 -7 *27942:A1 *27918:A1 9.58181e-05 -8 *27942:A1 *4263:9 0.000191315 -9 *27942:A1 *5599:128 0.000143439 -10 *3482:11 *27570:A1 1.21258e-05 -11 *3482:11 *27941:B2 5.65955e-05 -12 *3482:19 *25210:A1 7.29712e-05 -13 *3482:19 *25397:B2 0.000620151 -14 *3482:19 *27875:B2 1.90936e-05 -15 *3482:19 *27880:B2 0.000102658 -16 *3482:19 *27926:A1 0.000362673 -17 *3482:19 *5687:58 0.000129022 -18 *3482:19 *5691:93 4.33002e-05 -19 *3482:19 *5892:145 6.06099e-05 -20 *3482:37 *27850:B2 1.90936e-05 -21 *3482:37 *3506:19 0.000119298 -22 *3482:37 *5646:34 0.000151625 -23 *3482:37 *5738:115 5.11566e-05 -24 *3482:38 *30020:A 0.000118118 -25 *3482:38 *4263:9 1.02603e-05 -26 *3482:38 *4372:19 0.000480271 -27 *3482:38 *5624:81 4.61388e-05 -28 *25121:A2 *3482:38 1.94879e-05 -29 *25398:B1 *3482:38 0.000348357 -30 *27853:B1 *3482:38 9.1636e-05 -31 *27892:A2 *3482:38 0.000857331 -32 *27892:B1 *3482:37 0.000671032 -33 *27894:B1 *3482:38 1.496e-05 -34 *27904:B *3482:37 9.71152e-05 -35 *27904:C *3482:37 0.000658847 -36 *27904:C *3482:38 2.97924e-05 -37 *27917:B1 *3482:38 0.000577142 -38 *27926:B1 *3482:19 1.94879e-05 -39 *27927:A2 *3482:38 0.000496479 -40 *27928:A2 *3482:38 1.90936e-05 -41 *30021:A *3482:38 0.000219563 -42 *473:29 *3482:38 3.94642e-05 -43 *723:24 *27942:A1 1.84506e-05 -44 *723:24 *3482:38 8.6229e-06 -45 *1140:10 *3482:38 4.038e-06 -46 *1360:27 *3482:19 1.50738e-05 -47 *1363:85 *3482:19 3.43787e-05 -48 *1363:85 *3482:37 0.000247672 -49 *1430:65 *3482:19 6.67859e-05 -50 *1442:13 *3482:38 4.04359e-05 -51 *1476:132 *3482:19 0.000139765 -52 *1624:76 *3482:37 0.000873085 -53 *1713:26 *3482:38 0.000220315 -54 *1899:31 *3482:37 4.65519e-05 -55 *2845:31 *3482:37 0.000136676 -56 *2874:35 *3482:37 0.000135028 -57 *2895:79 *3482:19 5.65427e-05 -58 *3170:59 *3482:19 0.000481101 -59 *3170:68 *3482:19 5.62439e-05 -60 *3170:83 *3482:19 6.28667e-05 -61 *3174:78 *3482:19 0.000418144 -62 *3176:175 *3482:19 4.59552e-05 -63 *3178:157 *3482:19 0.000521805 -64 *3183:103 *3482:38 0.000113897 -65 *3183:105 *3482:38 0.000120415 -66 *3205:83 *3482:11 9.20785e-05 -67 *3205:98 *3482:11 6.05161e-06 -68 *3215:71 *3482:19 2.09897e-05 -69 *3424:26 *3482:37 0.000394659 -70 *3436:13 *3482:38 0.00161524 -71 *3441:14 *3482:19 4.32309e-05 -72 *3441:24 *3482:37 0.000406117 -73 *3469:8 *3482:38 0.00252611 -74 *3469:19 *3482:37 5.20646e-05 -75 *3469:29 *3482:19 0.00238394 -*RES -1 *27941:X *3482:11 17.3536 -2 *3482:11 *3482:19 49.1997 -3 *3482:19 *3482:37 43.0914 -4 *3482:37 *3482:38 58.1071 -5 *3482:38 *27942:A1 18.2464 -*END - -*D_NET *3483 0.000626925 -*CONN -*I *27943:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27942:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *27943:A1 0.000163334 -2 *27942:X 0.000163334 -3 *27943:A1 *27918:A1 0.000182605 -4 *723:24 *27943:A1 7.83659e-05 -5 *1140:10 *27943:A1 3.92854e-05 -*RES -1 *27942:X *27943:A1 30.4036 -*END - -*D_NET *3484 0.0221627 -*CONN -*I *27956:A I *D sky130_fd_sc_hd__or3_1 -*I *27944:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27956:A 0.000764953 -2 *27944:X 0.000657345 -3 *3484:31 0.00170085 -4 *3484:20 0.00227275 -5 *3484:10 0.0019942 -6 *27956:A *27948:A1 0.000194065 -7 *27956:A *27953:A2 4.58194e-05 -8 *27956:A *3487:10 0 -9 *27956:A *3700:72 0.000111921 -10 *27956:A *3724:91 1.21621e-05 -11 *27956:A *4014:24 0.000235791 -12 *27956:A *5848:63 2.09826e-05 -13 *27956:A *5890:42 0 -14 *3484:10 *5646:195 0.000979057 -15 *3484:20 *25142:A 1.22506e-05 -16 *3484:20 *28587:D 0.000144134 -17 *3484:20 *3762:29 0.000691741 -18 *3484:20 *3776:42 2.61731e-05 -19 *3484:20 *4014:18 0.000189666 -20 *3484:20 *4014:22 0.000186846 -21 *3484:20 *4014:24 0.000136205 -22 *3484:20 *5646:195 8.30088e-05 -23 *3484:20 *5715:98 0.000718599 -24 *3484:20 *5715:117 0.00100888 -25 *3484:20 *5848:82 0.000179031 -26 *3484:20 *5909:44 0.000230779 -27 *3484:31 *3724:91 0.00202478 -28 *3484:31 *3762:30 9.96098e-05 -29 *3484:31 *5715:117 0.000158299 -30 *3484:31 *5729:242 0.000383214 -31 *3484:31 *5848:82 1.81709e-05 -32 *25368:B1 *3484:20 7.83659e-05 -33 *25924:A *3484:20 0.00105985 -34 *27948:B1 *27956:A 0.000130366 -35 *29192:A *3484:20 0.000124534 -36 *29238:A *3484:20 0.000125724 -37 *1360:72 *27956:A 1.94879e-05 -38 *1360:84 *27956:A 0.00020834 -39 *1399:93 *27956:A 0.000262027 -40 *1399:96 *27956:A 0.00083712 -41 *1399:96 *3484:31 0.00202307 -42 *1412:17 *3484:31 3.04311e-05 -43 *1433:34 *3484:20 0.000155812 -44 *1448:15 *3484:31 9.41642e-05 -45 *1463:42 *3484:10 0.000148903 -46 *1558:48 *3484:20 0.000104036 -47 *1573:22 *3484:31 2.12591e-06 -48 *1647:29 *27956:A 6.05161e-06 -49 *2772:91 *3484:10 7.51095e-05 -50 *2772:91 *3484:20 0.00122398 -51 *2773:155 *3484:20 9.98387e-05 -52 *3170:106 *27956:A 7.20522e-05 -*RES -1 *27944:X *3484:10 24.9518 -2 *3484:10 *3484:20 47.5893 -3 *3484:20 *3484:31 46.0736 -4 *3484:31 *27956:A 33.8 -*END - -*D_NET *3485 0.0150648 -*CONN -*I *27946:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27945:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27946:C1 0.0016015 -2 *27945:X 0.00103805 -3 *3485:14 0.00263954 -4 *27946:C1 *24900:A 0.000145753 -5 *27946:C1 *25104:B2 4.65519e-05 -6 *27946:C1 *27573:A1 0.000472648 -7 *27946:C1 *27946:B2 0.000175892 -8 *27946:C1 *27955:D 0.000142232 -9 *27946:C1 *3542:27 9.13922e-05 -10 *27946:C1 *3715:32 0.000356699 -11 *27946:C1 *3936:51 0 -12 *27946:C1 *4023:16 0.000113835 -13 *27946:C1 *4026:26 0.000157519 -14 *27946:C1 *5196:47 0.00083767 -15 *27946:C1 *5630:110 0.000386 -16 *27946:C1 *5641:78 0.000595666 -17 *27946:C1 *5656:189 0.00038135 -18 *3485:14 *27945:A1 5.33005e-05 -19 *3485:14 *27964:B1 4.23887e-05 -20 *3485:14 *27965:B 0.000192963 -21 *3485:14 *3766:71 0.000160692 -22 *3485:14 *4035:52 0.00116585 -23 *3485:14 *4035:59 0.00116207 -24 *3485:14 *5196:47 0.00107751 -25 *3485:14 *5210:13 0.000556691 -26 *27958:B1 *3485:14 1.90936e-05 -27 *1423:37 *27946:C1 9.50278e-05 -28 *1435:34 *27946:C1 0.000470943 -29 *1488:14 *27946:C1 0.00047229 -30 *3413:41 *27946:C1 0.000157524 -31 *3480:35 *3485:14 0.0002562 -*RES -1 *27945:X *3485:14 49.9511 -2 *3485:14 *27946:C1 48.9245 -*END - -*D_NET *3486 0.000515054 -*CONN -*I *27956:B I *D sky130_fd_sc_hd__or3_1 -*I *27946:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27956:B 0.000196115 -2 *27946:X 0.000196115 -3 *27956:B *27953:A2 1.03105e-05 -4 *27946:A2 *27956:B 1.58163e-05 -5 *1665:20 *27956:B 9.66977e-05 -*RES -1 *27946:X *27956:B 21.3321 -*END - -*D_NET *3487 0.00532089 -*CONN -*I *27948:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27947:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27948:C1 0 -2 *27947:X 0.00116841 -3 *3487:10 0.00116841 -4 *3487:10 *25077:B2 0.000123617 -5 *3487:10 *3711:93 4.38243e-05 -6 *3487:10 *3762:30 0.000120982 -7 *3487:10 *3975:44 0.000425959 -8 *3487:10 *5196:25 0.000247489 -9 *3487:10 *5890:42 0.000716827 -10 *27948:B1 *3487:10 5.80706e-06 -11 *27956:A *3487:10 0 -12 *1412:17 *3487:10 8.68976e-05 -13 *1450:16 *3487:10 0.000538118 -14 *1483:68 *3487:10 1.78394e-05 -15 *1864:17 *3487:10 0.000144712 -16 *1864:23 *3487:10 0.000361669 -17 *3437:14 *3487:10 0.000150331 -*RES -1 *27947:X *3487:10 44.8357 -2 *3487:10 *27948:C1 9.3 -*END - -*D_NET *3488 0.0060307 -*CONN -*I *27955:A I *D sky130_fd_sc_hd__or4_1 -*I *27948:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27955:A 0.00158574 -2 *27948:X 0.00158574 -3 *27955:A *27948:B2 0.000128331 -4 *27955:A *3711:93 0.000284259 -5 *27955:A *3766:54 4.21517e-05 -6 *27955:A *3881:56 4.00349e-05 -7 *27955:A *5196:25 0.00020356 -8 *27955:A *5607:27 0.000175892 -9 *27955:A *5890:42 0.00068219 -10 *27955:A *5890:68 0.00026563 -11 *25166:A *27955:A 0.000187964 -12 *1435:33 *27955:A 4.43081e-05 -13 *1472:25 *27955:A 0.000389045 -14 *1685:40 *27955:A 4.00349e-05 -15 *3216:199 *27955:A 0.000375826 -*RES -1 *27948:X *27955:A 47.8295 -*END - -*D_NET *3489 0.00104549 -*CONN -*I *27950:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27949:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27950:C1 0.000176977 -2 *27949:X 0.000176977 -3 *27950:C1 *3910:76 2.59355e-05 -4 *27950:C1 *4077:34 5.71242e-05 -5 *1448:15 *27950:C1 0.000323923 -6 *1476:212 *27950:C1 5.55449e-05 -7 *3209:127 *27950:C1 0.000229011 -*RES -1 *27949:X *27950:C1 32.6893 -*END - -*D_NET *3490 0.00321923 -*CONN -*I *27955:B I *D sky130_fd_sc_hd__or4_1 -*I *27950:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27955:B 0.000571564 -2 *27950:X 0.000571564 -3 *27955:B *27948:B2 0.00017827 -4 *27955:B *3711:79 9.58181e-05 -5 *27955:B *4036:38 0.000711627 -6 *27955:B *5614:76 0.000940479 -7 *27955:B *5890:42 0.000149904 -*RES -1 *27950:X *27955:B 42.8679 -*END - -*D_NET *3491 0.000997306 -*CONN -*I *27952:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27951:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27952:C1 0.000251845 -2 *27951:X 0.000251845 -3 *27952:C1 *27952:B2 1.02504e-05 -4 *27952:C1 *3753:26 0.000125724 -5 *27952:C1 *3775:30 2.14474e-05 -6 *27952:C1 *5719:62 7.6644e-05 -7 *1423:13 *27952:C1 0.000259549 -*RES -1 *27951:X *27952:C1 31.8321 -*END - -*D_NET *3492 0.00308567 -*CONN -*I *27955:C I *D sky130_fd_sc_hd__or4_1 -*I *27952:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27955:C 0.000809953 -2 *27952:X 0.000809953 -3 *27955:C *27948:B2 5.94625e-05 -4 *27955:C *4036:38 0.000173083 -5 *27955:C *4131:73 0.000177815 -6 *27955:C *4142:56 0.000884032 -7 *1563:26 *27955:C 0.000171368 -*RES -1 *27952:X *27955:C 42.225 -*END - -*D_NET *3493 0.000832877 -*CONN -*I *27954:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27953:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27954:C1 0.000208087 -2 *27953:X 0.000208087 -3 *27954:C1 *27946:A1 0.000175892 -4 *27954:C1 *27954:B2 4.5534e-05 -5 *27954:C1 *4036:40 0.000147042 -6 *27954:C1 *4092:65 4.82355e-05 -*RES -1 *27953:X *27954:C1 31.3143 -*END - -*D_NET *3494 0.00141658 -*CONN -*I *27955:D I *D sky130_fd_sc_hd__or4_1 -*I *27954:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27955:D 0.000285212 -2 *27954:X 0.000285212 -3 *27955:D *3542:27 0.000318158 -4 *27955:D *4023:10 7.99093e-06 -5 *27955:D *5641:78 9.87186e-05 -6 *27955:D *5823:51 0.000143957 -7 *27955:D *5878:14 4.22135e-06 -8 *27946:C1 *27955:D 0.000142232 -9 *1423:37 *27955:D 0.000130881 -*RES -1 *27954:X *27955:D 34.4036 -*END - -*D_NET *3495 0.00208895 -*CONN -*I *27956:C I *D sky130_fd_sc_hd__or3_1 -*I *27955:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27956:C 0.000516686 -2 *27955:X 0.000516686 -3 *27956:C *27946:B2 3.09814e-05 -4 *27956:C *27948:A1 0.000195166 -5 *27956:C *27948:B2 0.000175892 -6 *27956:C *3766:54 9.78828e-05 -7 *27956:C *5643:84 9.11541e-06 -8 *27948:B1 *27956:C 0.000102859 -9 *1435:33 *27956:C 9.9462e-05 -10 *3216:199 *27956:C 0.000344225 -*RES -1 *27955:X *27956:C 45.975 -*END - -*D_NET *3496 0.0088475 -*CONN -*I *27966:B1 I *D sky130_fd_sc_hd__o221a_2 -*I *27956:X O *D sky130_fd_sc_hd__or3_1 -*CAP -1 *27966:B1 0 -2 *27956:X 4.43724e-05 -3 *3496:13 0.00133721 -4 *3496:7 0.00138158 -5 *3496:7 *27953:A2 1.34548e-05 -6 *3496:13 *24906:A 0.000231346 -7 *3496:13 *25040:A 5.33072e-05 -8 *3496:13 *27966:A1 3.73103e-05 -9 *3496:13 *3542:21 4.28365e-05 -10 *3496:13 *4012:39 0.000105594 -11 *3496:13 *5846:8 9.14383e-05 -12 *27966:A2 *3496:13 5.47566e-06 -13 *27966:C1 *3496:13 2.86379e-05 -14 *1327:19 *3496:13 0.000238371 -15 *1399:93 *3496:7 4.82947e-05 -16 *1647:29 *3496:7 0.000137561 -17 *1647:29 *3496:13 3.06511e-05 -18 *3170:92 *3496:13 0.00200961 -19 *3170:106 *3496:13 0.000191049 -20 *3174:102 *3496:13 0.00269629 -21 *3176:202 *3496:13 0.000123126 -*RES -1 *27956:X *3496:7 15.1571 -2 *3496:7 *3496:13 46.1205 -3 *3496:13 *27966:B1 9.3 -*END - -*D_NET *3497 0.00445609 -*CONN -*I *27958:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27957:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27958:C1 0.000658774 -2 *27957:X 0.000658774 -3 *27958:C1 *27578:A1 7.55804e-05 -4 *27958:C1 *3504:18 0.000147835 -5 *27958:C1 *3780:53 3.10819e-05 -6 *27958:C1 *3780:64 0.000862503 -7 *27958:C1 *5614:88 8.77177e-05 -8 *27958:C1 *5630:110 0.000303762 -9 *1423:37 *27958:C1 2.06112e-05 -10 *1435:34 *27958:C1 0.00160945 -*RES -1 *27957:X *27958:C1 48.975 -*END - -*D_NET *3498 0.000993614 -*CONN -*I *27965:B I *D sky130_fd_sc_hd__or4_1 -*I *27958:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27965:B 0.000215898 -2 *27958:X 0.000215898 -3 *27965:B *27958:A1 0.000175892 -4 *3480:35 *27965:B 0.000192963 -5 *3485:14 *27965:B 0.000192963 -*RES -1 *27958:X *27965:B 31.9214 -*END - -*D_NET *3499 0.00265288 -*CONN -*I *27960:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27959:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27960:C1 0.000989362 -2 *27959:X 0.000989362 -3 *27960:C1 *27960:A2 0.000289705 -4 *27960:C1 *27960:B2 1.33343e-05 -5 *27960:C1 *5687:14 5.33005e-05 -6 *27960:C1 *5878:35 0.000172647 -7 *3184:130 *27960:C1 0.000145164 -*RES -1 *27959:X *27960:C1 31.4929 -*END - -*D_NET *3500 0.00226069 -*CONN -*I *27965:C I *D sky130_fd_sc_hd__or4_1 -*I *27960:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27965:C 0.000591953 -2 *27960:X 0.000591953 -3 *27965:C *27960:A1 0.000135028 -4 *27965:C *27965:D 6.57032e-05 -5 *27965:C *3558:189 0.000593763 -6 *27965:C *3780:53 6.33204e-05 -7 *1435:34 *27965:C 3.2901e-05 -8 *3184:130 *27965:C 3.30337e-05 -9 *3185:341 *27965:C 0.00015304 -*RES -1 *27960:X *27965:C 38.4214 -*END - -*D_NET *3501 0.000330088 -*CONN -*I *27964:B1 I *D sky130_fd_sc_hd__a211o_1 -*I *27961:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27964:B1 6.00619e-05 -2 *27961:X 6.00619e-05 -3 *27964:B1 *4035:52 3.43988e-06 -4 *27964:B1 *5196:47 4.50033e-05 -5 *1422:74 *27964:B1 8.00806e-05 -6 *3480:23 *27964:B1 3.90513e-05 -7 *3485:14 *27964:B1 4.23887e-05 -*RES -1 *27961:X *27964:B1 29.5464 -*END - -*D_NET *3502 0.00636564 -*CONN -*I *27963:C1 I *D sky130_fd_sc_hd__a221o_2 -*I *27962:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27963:C1 0.00185851 -2 *27962:X 0.00185851 -3 *27963:C1 *27905:B2 3.77315e-05 -4 *27963:C1 *3790:53 0.000162002 -5 *27963:C1 *3875:51 0.000299623 -6 *27963:C1 *3875:63 1.44706e-05 -7 *27963:C1 *3884:112 5.05565e-05 -8 *27963:C1 *4088:65 2.04825e-05 -9 *27963:C1 *4129:61 0.000161572 -10 *27963:B1 *27963:C1 3.08382e-06 -11 *1253:105 *27963:C1 9.47441e-05 -12 *1273:47 *27963:C1 4.88232e-05 -13 *1273:68 *27963:C1 2.33863e-05 -14 *1327:98 *27963:C1 7.69776e-06 -15 *1420:22 *27963:C1 0 -16 *1441:99 *27963:C1 0.000105469 -17 *1455:55 *27963:C1 0.00015778 -18 *1485:23 *27963:C1 0.000689599 -19 *1535:39 *27963:C1 7.05143e-06 -20 *2850:50 *27963:C1 0.000136958 -21 *2856:48 *27963:C1 0.000278656 -22 *2856:56 *27963:C1 1.39726e-05 -23 *2864:40 *27963:C1 0.000176966 -24 *3196:59 *27963:C1 5.52302e-05 -25 *3216:59 *27963:C1 0.000102758 -*RES -1 *27962:X *27963:C1 42.8429 -*END - -*D_NET *3503 0.0329017 -*CONN -*I *27964:C1 I *D sky130_fd_sc_hd__a211o_1 -*I *27963:X O *D sky130_fd_sc_hd__a221o_2 -*CAP -1 *27964:C1 0.000342175 -2 *27963:X 0.00125847 -3 *3503:28 0.00269872 -4 *3503:27 0.00304495 -5 *3503:19 0.00242318 -6 *3503:11 0.00299324 -7 *27964:C1 *5640:63 0.000130654 -8 *27964:C1 *5653:70 0.000123194 -9 *27964:C1 *5729:252 1.94879e-05 -10 *27964:C1 *5839:47 0.000123194 -11 *27964:C1 *5909:44 0 -12 *3503:19 *27494:A1 0.000904498 -13 *3503:19 *27548:A1 7.69776e-06 -14 *3503:19 *5646:38 2.95495e-05 -15 *3503:19 *5706:196 0.000398307 -16 *3503:19 *5892:145 3.00664e-05 -17 *3503:27 *3762:61 0.00039962 -18 *3503:27 *4012:39 0.000127163 -19 *3503:27 *5467:14 4.98422e-05 -20 *3503:27 *5646:63 0.000602202 -21 *3503:28 *25082:A1 2.07339e-05 -22 *3503:28 *25210:B2 0.000530374 -23 *3503:28 *27958:A1 0.00035829 -24 *3503:28 *28158:D 0.000195205 -25 *3503:28 *3724:88 0.000171343 -26 *3503:28 *3762:42 7.83454e-05 -27 *3503:28 *3830:77 0.000100688 -28 *3503:28 *3857:49 0.000137731 -29 *3503:28 *3923:8 2.06178e-05 -30 *3503:28 *3935:47 5.31113e-05 -31 *3503:28 *4035:60 0.00076869 -32 *3503:28 *5196:47 5.04019e-05 -33 *3503:28 *5210:25 0.000387417 -34 *3503:28 *5646:118 9.91086e-05 -35 *3503:28 *5646:157 1.12323e-05 -36 *3503:28 *5650:75 0.000430639 -37 *3503:28 *5653:61 0.00025254 -38 *27881:C *3503:19 0.000101678 -39 *27945:A2 *3503:28 1.16418e-05 -40 *27958:A2 *3503:28 0.000909968 -41 *1281:40 *3503:11 9.80394e-05 -42 *1399:18 *3503:28 0.000400043 -43 *1408:60 *3503:28 0.000105924 -44 *1471:127 *3503:11 9.80394e-05 -45 *1619:25 *3503:19 0.000180536 -46 *1647:46 *27964:C1 1.94879e-05 -47 *1864:114 *3503:28 0.00011327 -48 *2850:54 *3503:11 0.00229919 -49 *2872:41 *3503:19 5.03803e-05 -50 *2891:30 *3503:19 0.000281306 -51 *2895:79 *3503:19 9.1157e-05 -52 *3153:38 *3503:19 4.04292e-05 -53 *3153:55 *3503:19 0.0001894 -54 *3153:80 *3503:27 0.000294751 -55 *3156:73 *3503:19 6.35864e-05 -56 *3174:83 *3503:28 0.000721792 -57 *3174:99 *3503:28 0.00224269 -58 *3176:110 *3503:19 6.28248e-05 -59 *3185:304 *3503:27 0.000371369 -60 *3192:60 *3503:19 0.00010682 -61 *3196:58 *3503:11 0.000344413 -62 *3205:72 *3503:19 0.00104305 -63 *3421:10 *3503:19 0.00138681 -64 *3437:14 *3503:27 0.000117089 -65 *3437:14 *3503:28 0.000101444 -66 *3471:10 *3503:28 0.00011071 -67 *3480:23 *3503:28 0.000108103 -68 *3480:35 *3503:28 0.00146311 -*RES -1 *27963:X *3503:11 46.8536 -2 *3503:11 *3503:19 47.6097 -3 *3503:19 *3503:27 13.0234 -4 *3503:27 *3503:28 75.4107 -5 *3503:28 *27964:C1 23.9208 -*END - -*D_NET *3504 0.00553883 -*CONN -*I *27965:D I *D sky130_fd_sc_hd__or4_1 -*I *27964:X O *D sky130_fd_sc_hd__a211o_1 -*CAP -1 *27965:D 9.34794e-05 -2 *27964:X 0.00100323 -3 *3504:18 0.00109671 -4 *3504:18 *27578:A1 6.05161e-06 -5 *3504:18 *27964:A1 0.000165206 -6 *3504:18 *3766:71 0.00014833 -7 *3504:18 *4026:37 9.58181e-05 -8 *3504:18 *4035:52 1.3409e-05 -9 *3504:18 *4035:59 0.000217196 -10 *3504:18 *4064:56 0.000109132 -11 *3504:18 *5614:88 0.000151136 -12 *3504:18 *5629:108 0.0011355 -13 *3504:18 *5839:47 0.000209828 -14 *27958:C1 *3504:18 0.000147835 -15 *27965:C *27965:D 6.57032e-05 -16 *1362:79 *3504:18 0.000880273 -*RES -1 *27964:X *3504:18 47.9429 -2 *3504:18 *27965:D 15.1571 -*END - -*D_NET *3505 0.0012492 -*CONN -*I *27966:B2 I *D sky130_fd_sc_hd__o221a_2 -*I *27965:X O *D sky130_fd_sc_hd__or4_1 -*CAP -1 *27966:B2 0.000390989 -2 *27965:X 0.000390989 -3 *27966:B2 *27965:A 0.000195823 -4 *27966:B2 *27966:A1 1.86552e-05 -5 *27966:B2 *3857:74 0.000120975 -6 *27966:C1 *27966:B2 9.07742e-06 -7 *3170:92 *27966:B2 0.000122689 -*RES -1 *27965:X *27966:B2 33.4259 -*END - -*D_NET *3506 0.0386382 -*CONN -*I *27968:B1 I *D sky130_fd_sc_hd__o22a_1 -*I *27966:X O *D sky130_fd_sc_hd__o221a_2 -*CAP -1 *27968:B1 0.00344993 -2 *27966:X 0.00667447 -3 *3506:25 0.00485215 -4 *3506:19 0.0080767 -5 *27968:B1 *29265:A 0.000151534 -6 *27968:B1 *4380:8 0.00029002 -7 *27968:B1 *5279:29 0.000343325 -8 *27968:B1 *5498:54 0 -9 *27968:B1 *5718:77 8.94491e-05 -10 *27968:B1 *5718:88 0 -11 *27968:B1 *5737:55 9.34324e-05 -12 *3506:19 *25086:A 0.000158398 -13 *3506:19 *25122:B2 0.000181227 -14 *3506:19 *3662:43 0.000138073 -15 *3506:19 *3686:83 0.000284869 -16 *3506:19 *3857:74 0.000348257 -17 *3506:19 *5500:65 0.000116155 -18 *3506:19 *5646:34 0.000180675 -19 *3506:19 *5661:64 9.34324e-05 -20 *3506:19 *5691:93 0.00301244 -21 *3506:19 *5848:27 8.55772e-05 -22 *3506:19 *5947:74 0.000438686 -23 *3506:25 *25391:B2 6.35819e-05 -24 *3506:25 *27901:A1 1.39158e-05 -25 *3506:25 *27902:A1 0.000533697 -26 *3506:25 *3778:77 0.000265114 -27 *3506:25 *3843:51 0.000499908 -28 *3506:25 *3880:50 4.73953e-05 -29 *3506:25 *5581:55 0.00156741 -30 *25295:A2 *3506:25 0.000781266 -31 *27966:A2 *3506:19 9.41642e-05 -32 *28812:D *27968:B1 0.000282472 -33 *461:19 *27968:B1 5.16399e-06 -34 *1217:24 *3506:19 8.55772e-05 -35 *1273:81 *3506:19 0 -36 *1273:203 *3506:19 0 -37 *1294:64 *27968:B1 0.000322731 -38 *1358:25 *3506:19 0.000340799 -39 *1360:20 *3506:19 0.000124653 -40 *1400:40 *3506:19 0.000125685 -41 *1421:26 *3506:25 4.29471e-05 -42 *1439:49 *3506:19 0.000277475 -43 *1440:38 *3506:19 0.000117665 -44 *1440:85 *3506:19 0.000124022 -45 *1442:14 *3506:25 0.00053955 -46 *1452:27 *27968:B1 0 -47 *1452:114 *3506:25 1.90936e-05 -48 *1470:64 *3506:19 0 -49 *1613:6 *3506:25 0.000781883 -50 *1615:14 *3506:19 0.00112565 -51 *1624:76 *3506:19 0.000456356 -52 *1689:21 *3506:19 0.000108889 -53 *1713:26 *3506:19 6.57893e-06 -54 *3176:175 *3506:19 0.000539447 -55 *3182:134 *3506:19 0 -56 *3184:130 *3506:19 0.000118144 -57 *3212:44 *3506:25 4.8817e-05 -58 *3482:37 *3506:19 0.000119298 -*RES -1 *27966:X *3506:19 49.0493 -2 *3506:19 *3506:25 47.0995 -3 *3506:25 *27968:B1 31.7729 -*END - -*D_NET *3507 0.00212449 -*CONN -*I *27968:B2 I *D sky130_fd_sc_hd__o22a_1 -*I *27967:X O *D sky130_fd_sc_hd__o21ba_1 -*CAP -1 *27968:B2 0.000422398 -2 *27967:X 0.000422398 -3 *27968:B2 *29581:A 0.00026694 -4 *27968:B2 *29649:A 0.000191715 -5 *27968:B2 *4380:8 9.04953e-05 -6 *27968:B2 *5500:41 0.000642605 -7 *27968:B2 *6337:17 8.79343e-05 -*RES -1 *27967:X *27968:B2 38.4214 -*END - -*D_NET *3508 0.00215719 -*CONN -*I *27971:B1 I *D sky130_fd_sc_hd__a31o_1 -*I *27969:X O *D sky130_fd_sc_hd__o21a_1 -*CAP -1 *27971:B1 0.000493031 -2 *27969:X 0.000493031 -3 *27971:B1 *28015:A2 0.000523049 -4 *27971:B1 *29008:A 0.000298617 -5 *27969:A1 *27971:B1 0.000329693 -6 *27971:A2 *27971:B1 1.97695e-05 -*RES -1 *27969:X *27971:B1 30.2429 -*END - -*D_NET *3509 0.00217818 -*CONN -*I *27971:A3 I *D sky130_fd_sc_hd__a31o_1 -*I *27970:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *27971:A3 0.000517719 -2 *27970:Y 0.000517719 -3 *27971:A3 *25512:A 0 -4 *27971:A3 *25512:B 0.000310292 -5 *27971:A3 *25513:A1 1.59312e-05 -6 *27971:A3 *27971:A1 0.000266479 -7 *27971:A3 *3583:115 2.7728e-05 -8 *27971:A3 *3583:126 8.99641e-05 -9 *27971:A3 *5420:6 0 -10 *27971:A3 *5545:8 0.000148196 -11 *27971:A3 *5672:27 3.01775e-05 -12 *25513:A2 *27971:A3 2.89016e-05 -13 *27971:A2 *27971:A3 4.13496e-05 -14 *28813:D *27971:A3 0.000183726 -*RES -1 *27970:Y *27971:A3 37.5821 -*END - -*D_NET *3510 0.0719205 -*CONN -*I *27980:S I *D sky130_fd_sc_hd__mux2_1 -*I *27974:S I *D sky130_fd_sc_hd__mux2_1 -*I *27973:S I *D sky130_fd_sc_hd__mux2_1 -*I *27977:S I *D sky130_fd_sc_hd__mux2_1 -*I *27975:S I *D sky130_fd_sc_hd__mux2_1 -*I *27976:S I *D sky130_fd_sc_hd__mux2_1 -*I *27979:S I *D sky130_fd_sc_hd__mux2_1 -*I *27978:S I *D sky130_fd_sc_hd__mux2_1 -*I *27972:X O *D sky130_fd_sc_hd__and2_4 -*CAP -1 *27980:S 0 -2 *27974:S 8.0681e-05 -3 *27973:S 2.52229e-05 -4 *27977:S 0 -5 *27975:S 0.000569482 -6 *27976:S 0.000157205 -7 *27979:S 1.11927e-05 -8 *27978:S 0.000191198 -9 *27972:X 9.23882e-05 -10 *3510:166 0.000999157 -11 *3510:156 0.00100527 -12 *3510:140 0.000708924 -13 *3510:137 0.00147729 -14 *3510:108 0.00247832 -15 *3510:91 0.00104719 -16 *3510:90 0.00445373 -17 *3510:65 0.00448311 -18 *3510:60 0.00090071 -19 *3510:41 0.00311123 -20 *3510:21 0.00524903 -21 *3510:16 0.0050157 -22 *3510:10 0.00291584 -23 *3510:7 0.00107856 -24 *27974:S *27974:A0 5.49489e-05 -25 *27974:S *28063:A 2.42516e-05 -26 *27975:S *25168:A0 0 -27 *27975:S *27975:A0 0.000939605 -28 *27975:S *27977:A1 0.000382566 -29 *27975:S *28849:A 0.000213926 -30 *27975:S *6169:113 0.000688857 -31 *3510:7 *27972:B 0.000178847 -32 *3510:10 *3583:45 0.000104236 -33 *3510:10 *6036:52 0.0024263 -34 *3510:10 *6036:59 9.56912e-05 -35 *3510:21 *26598:A2 0.00071291 -36 *3510:41 *26235:A 0.000183726 -37 *3510:41 *26598:A2 0.000300131 -38 *3510:41 *26644:A1 0.000133862 -39 *3510:60 *26637:B 0.000304534 -40 *3510:60 *5541:8 0.00216308 -41 *3510:60 *5567:14 0.00174146 -42 *3510:60 *5568:14 1.91414e-05 -43 *3510:60 *6147:14 0.000442006 -44 *3510:65 *27979:A0 6.57032e-05 -45 *3510:90 *27979:A0 0.000252808 -46 *3510:90 *29590:A 5.49489e-05 -47 *3510:90 *30992:A 0.00110514 -48 *3510:90 *5565:35 0 -49 *3510:90 *5673:51 0.000112577 -50 *3510:90 *6169:82 0.000489119 -51 *3510:90 *6169:92 0.000207221 -52 *3510:91 *5664:182 0.000265205 -53 *3510:108 *29605:A 0.000136887 -54 *3510:108 *29616:A 0 -55 *3510:108 *30984:A 6.42095e-05 -56 *3510:108 *30986:A 0.000507064 -57 *3510:108 *5557:6 0.000153047 -58 *3510:108 *5664:182 0.000294323 -59 *3510:108 *6158:24 0.000866632 -60 *3510:137 *26203:A 3.17684e-05 -61 *3510:137 *5356:11 9.41642e-05 -62 *3510:137 *5560:33 0.000376785 -63 *3510:137 *5564:35 0.000269753 -64 *3510:137 *5565:35 3.44847e-05 -65 *3510:137 *5566:41 0.00013507 -66 *3510:137 *5722:244 0.000456881 -67 *3510:137 *6169:92 0.000268172 -68 *3510:156 *3577:18 0.000241622 -69 *3510:156 *6169:92 0 -70 *3510:166 *27977:A0 5.17207e-05 -71 *3510:166 *27977:A1 1.08847e-05 -72 *3510:166 *28275:CLK 1.183e-05 -73 *3510:166 *28818:CLK 2.26775e-05 -74 *3510:166 *3577:18 0.000172152 -75 *3510:166 *3577:20 0.000197481 -76 wb_dat_o[16] *3510:108 1.07753e-05 -77 *24872:B *27975:S 0 -78 *26203:B *3510:137 2.59355e-05 -79 *26207:B *3510:90 5.38004e-05 -80 *26210:B *3510:137 0.000176226 -81 *26235:B *3510:41 3.97677e-05 -82 *26257:B *3510:137 5.95378e-05 -83 *26265:C1 *3510:137 1.7521e-05 -84 *26418:B *3510:41 2.59355e-05 -85 *26419:A *3510:21 5.65955e-05 -86 *26419:A *3510:41 2.8266e-06 -87 *26507:A1 *3510:21 5.33005e-05 -88 *26507:B1 *3510:21 6.595e-05 -89 *26509:C *3510:21 4.87953e-05 -90 *26522:A2 *3510:10 0.000277405 -91 *26539:D_N *3510:108 0.000112267 -92 *26544:C1 *3510:41 8.43535e-06 -93 *26605:A *3510:21 5.33005e-05 -94 *26605:C *3510:21 4.58194e-05 -95 *26635:A1 *3510:10 5.94639e-05 -96 *26640:A *3510:90 0.000563286 -97 *26643:A *3510:90 5.36703e-05 -98 *26644:A2 *3510:41 0.000180355 -99 *26650:A3 *3510:90 9.53224e-05 -100 *26699:D1 *3510:60 0.000287579 -101 *26708:C1 *3510:90 0.000104082 -102 *26741:A2 *3510:21 0.000305613 -103 *26742:D1 *3510:21 5.17614e-05 -104 *27973:A1 *27973:S 5.52238e-05 -105 *27976:A1 *27976:S 8.99899e-05 -106 *27976:A1 *3510:137 8.42163e-05 -107 *27980:A1 *3510:108 6.05161e-06 -108 *28268:D *3510:156 0 -109 *28268:D *3510:166 0 -110 *28818:D *3510:166 0.000342802 -111 *28820:D *3510:65 1.24368e-05 -112 *1358:69 *3510:156 7.87351e-06 -113 *1393:49 *3510:21 0.00224777 -114 *1393:69 *3510:41 4.60318e-05 -115 *1393:132 *3510:140 0.000143804 -116 *1393:132 *3510:156 0.000448353 -117 *1393:140 *3510:156 6.9634e-05 -118 *1771:29 *3510:41 0 -119 *1871:94 *27975:S 2.59355e-05 -120 *1883:64 *3510:10 0.000390568 -121 *1884:42 *3510:10 0.000340781 -122 *1884:46 *3510:10 0.0018964 -123 *1930:76 *3510:10 1.09026e-05 -124 *1953:203 *3510:21 0.000190111 -125 *2022:28 *3510:16 0.000316738 -126 *2043:33 *3510:60 0.000136958 -127 *2045:52 *3510:16 0.000315023 -128 *2047:58 *3510:60 2.42516e-05 -129 *2047:66 *3510:60 2.42516e-05 -130 *2099:49 *3510:41 2.8034e-05 -131 *2136:58 *3510:21 6.43196e-05 -132 *2142:31 *3510:90 0.000470856 -133 *2142:69 *3510:90 0.000405693 -134 *2144:46 *3510:60 0.000287579 -135 *2151:53 *3510:90 4.37712e-06 -136 *2151:56 *3510:90 0.000270135 -137 *2152:13 *3510:137 3.06878e-06 -138 *2159:65 *3510:90 1.08455e-05 -139 *2176:70 *3510:41 0.000305966 -140 *2177:25 *3510:41 5.07116e-05 -141 *2179:27 *3510:108 0 -142 *2179:27 *3510:137 0 -143 *2180:13 *3510:137 0.00015304 -144 *2204:85 *3510:137 2.07394e-05 -145 *2224:8 *27978:S 0.00025263 -146 *2240:146 *3510:60 0.00039229 -147 *2357:13 *3510:21 0.000135383 -148 *2471:14 *3510:90 0.000140349 -149 *2479:8 *27978:S 0.000254337 -150 *2489:10 *3510:90 0.000329884 -151 *2545:7 *3510:21 1.21258e-05 -152 *2580:8 *3510:90 0.00017969 -153 *2582:28 *3510:90 5.99756e-05 -154 *2584:12 *3510:41 5.33005e-05 -155 *2587:23 *3510:90 0.00140524 -156 *2667:11 *3510:90 8.62639e-05 -157 *3461:11 *3510:16 0.00237037 -*RES -1 *27972:X *3510:7 15.5679 -2 *3510:7 *3510:10 44.0893 -3 *3510:10 *3510:16 36.6071 -4 *3510:16 *3510:21 47.3929 -5 *3510:21 *3510:41 37.9286 -6 *3510:41 *27978:S 22.8179 -7 *3510:41 *3510:60 47.7143 -8 *3510:60 *3510:65 6.69643 -9 *3510:65 *27979:S 9.72857 -10 *3510:65 *3510:90 49.4685 -11 *3510:90 *3510:91 2.58929 -12 *3510:91 *3510:108 40 -13 *3510:108 *27976:S 12.4429 -14 *3510:108 *3510:137 45.6071 -15 *3510:137 *3510:140 6.44643 -16 *3510:140 *3510:156 17.1575 -17 *3510:156 *3510:166 15.3861 -18 *3510:166 *27975:S 31.8179 -19 *3510:166 *27977:S 13.8 -20 *3510:156 *27973:S 14.3357 -21 *3510:140 *27974:S 15.1571 -22 *3510:91 *27980:S 9.3 -*END - -*D_NET *3511 0.000630884 -*CONN -*I *27983:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27981:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *27983:A2 0.000119665 -2 *27981:Y 0.000119665 -3 *27983:A2 *27981:B 5.71472e-05 -4 *27983:A2 *27983:A1 3.51368e-05 -5 *27983:A2 *27986:C 3.67142e-05 -6 *27983:A2 *28014:A2 9.90367e-05 -7 *27983:A2 *4963:15 0.000142053 -8 *27983:A2 *5019:26 2.14658e-05 -*RES -1 *27981:Y *27983:A2 22.4393 -*END - -*D_NET *3512 0.00178434 -*CONN -*I *27983:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27982:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *27983:B1 0.000320747 -2 *27982:Y 0.000320747 -3 *27983:B1 *27982:A 0.000446597 -4 *27983:B1 *27982:B 2.14757e-05 -5 *27983:B1 *27983:A1 7.43578e-06 -6 *27983:B1 *27983:B2 9.80173e-05 -7 *27983:B1 *27984:B1_N 5.52302e-05 -8 *27983:B1 *27986:C 0.000271352 -9 *27983:B1 *28014:A2 6.94218e-05 -10 *27983:B1 *4963:15 7.61932e-05 -11 *287:27 *27983:B1 9.71197e-05 -*RES -1 *27982:Y *27983:B1 27.35 -*END - -*D_NET *3513 0.00272019 -*CONN -*I *27986:C I *D sky130_fd_sc_hd__or4b_4 -*I *27983:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27986:C 0.000656483 -2 *27983:X 0.000656483 -3 *27986:C *27983:A1 1.74352e-05 -4 *27986:C *27986:B 7.83587e-05 -5 *27986:C *27986:D_N 0.000135028 -6 *27986:C *27996:A2 3.71496e-05 -7 *27986:C *28014:A2 9.22103e-06 -8 *27986:C *28014:A3 5.52302e-05 -9 *27986:C *30367:A 0 -10 *27986:C *30579:A 2.79092e-05 -11 *27986:C *3514:8 0.000462102 -12 *27986:C *5763:163 0.000175892 -13 *27986:C *5895:15 0.000100831 -14 *27986:C *6036:95 0 -15 *27983:A2 *27986:C 3.67142e-05 -16 *27983:B1 *27986:C 0.000271352 -*RES -1 *27983:X *27986:C 49.8321 -*END - -*D_NET *3514 0.00285461 -*CONN -*I *28014:A3 I *D sky130_fd_sc_hd__a32o_1 -*I *27986:D_N I *D sky130_fd_sc_hd__or4b_4 -*I *27984:X O *D sky130_fd_sc_hd__a21bo_1 -*CAP -1 *28014:A3 9.53238e-05 -2 *27986:D_N 7.88359e-05 -3 *27984:X 0.000201754 -4 *3514:8 0.000375914 -5 *27986:D_N *5462:19 0.000238416 -6 *27986:D_N *5763:163 9.16074e-05 -7 *28014:A3 *5462:19 0.000140481 -8 *28014:A3 *5763:163 6.87574e-05 -9 *28014:A3 *6036:95 4.72818e-05 -10 *3514:8 *3532:10 0.000484567 -11 *3514:8 *5895:15 1.08359e-05 -12 *27986:A *27986:D_N 5.52302e-05 -13 *27986:A *28014:A3 0.000170385 -14 *27986:C *27986:D_N 0.000135028 -15 *27986:C *28014:A3 5.52302e-05 -16 *27986:C *3514:8 0.000462102 -17 *28014:A1 *28014:A3 0.000142856 -*RES -1 *27984:X *3514:8 24.8 -2 *3514:8 *27986:D_N 12.3 -3 *3514:8 *28014:A3 13.5321 -*END - -*D_NET *3515 0.00285863 -*CONN -*I *27986:B I *D sky130_fd_sc_hd__or4b_4 -*I *27985:Y O *D sky130_fd_sc_hd__a21boi_1 -*CAP -1 *27986:B 0.00037547 -2 *27985:Y 0.00037547 -3 *27986:B *28013:A1 9.90431e-05 -4 *27986:B *30579:A 0 -5 *27986:B *3532:10 0.000235449 -6 *27986:B *3578:56 0.000724711 -7 *27986:B *6036:95 0.000307336 -8 *27986:C *27986:B 7.83587e-05 -9 *1862:93 *27986:B 0.000662793 -*RES -1 *27985:Y *27986:B 41.2964 -*END - -*D_NET *3516 0.00796839 -*CONN -*I *28004:S I *D sky130_fd_sc_hd__mux2_1 -*I *28001:S I *D sky130_fd_sc_hd__mux2_1 -*I *27998:S I *D sky130_fd_sc_hd__mux2_1 -*I *27995:S I *D sky130_fd_sc_hd__mux2_1 -*I *27992:S I *D sky130_fd_sc_hd__mux2_1 -*I *27989:S I *D sky130_fd_sc_hd__mux2_1 -*I *28007:S I *D sky130_fd_sc_hd__mux2_1 -*I *28010:S I *D sky130_fd_sc_hd__mux2_1 -*I *27986:X O *D sky130_fd_sc_hd__or4b_4 -*CAP -1 *28004:S 2.23854e-05 -2 *28001:S 6.92589e-05 -3 *27998:S 0 -4 *27995:S 0 -5 *27992:S 9.4083e-05 -6 *27989:S 7.08908e-05 -7 *28007:S 0 -8 *28010:S 0.000161047 -9 *27986:X 0.000187746 -10 *3516:38 0.000220323 -11 *3516:36 0.000222352 -12 *3516:34 0.000249712 -13 *3516:30 0.000306973 -14 *3516:28 0.000371889 -15 *3516:20 0.000213139 -16 *3516:6 0.000367433 -17 *27989:S *27989:A0 5.52238e-05 -18 *27989:S *27995:A1 0.000102207 -19 *27989:S *5544:11 0.000170955 -20 *27992:S *27989:A0 0 -21 *27992:S *29527:A 0.000175519 -22 *28001:S *6180:30 5.41054e-05 -23 *28001:S *6377:21 0.000247771 -24 *28010:S *28010:A1 2.89016e-05 -25 *28010:S *3532:10 0.00036496 -26 *28010:S *5895:15 0.000363252 -27 *3516:6 *3532:10 0.000485654 -28 *3516:6 *5895:15 0.000333341 -29 *3516:20 *29061:A 5.33005e-05 -30 *3516:20 *5544:11 1.98839e-05 -31 *3516:28 *28004:A0 2.14658e-05 -32 *3516:28 *29061:A 0.000201734 -33 *3516:28 *3530:15 1.21258e-05 -34 *3516:28 *5544:11 0.000601217 -35 *3516:30 *28004:A0 4.87953e-05 -36 *3516:30 *5544:11 0.000431857 -37 *3516:34 *5544:11 0.000137983 -38 *3516:36 *27995:A0 4.18895e-05 -39 *3516:36 *27998:A0 0.000440711 -40 *3516:36 *27998:A1 6.58597e-05 -41 *3516:36 *5544:11 0.000366627 -42 *3516:38 *27995:A0 0.00010096 -43 *3516:38 *27995:A1 8.57535e-05 -44 *3516:38 *5544:11 0.000146523 -45 *28824:D *27989:S 2.58821e-05 -46 *28827:D *28001:S 0.000150618 -47 *28827:D *3516:30 4.13001e-05 -48 *28827:D *3516:34 1.07719e-05 -49 *28828:D *3516:28 2.40107e-05 -*RES -1 *27986:X *3516:6 20.3 -2 *3516:6 *28010:S 19.4429 -3 *3516:6 *3516:20 5.03571 -4 *3516:20 *28007:S 9.3 -5 *3516:20 *3516:28 6.30357 -6 *3516:28 *3516:30 4.23214 -7 *3516:30 *3516:34 1.78571 -8 *3516:34 *3516:36 6.69643 -9 *3516:36 *3516:38 2.58929 -10 *3516:38 *27989:S 12.3 -11 *3516:38 *27992:S 20.55 -12 *3516:36 *27995:S 9.3 -13 *3516:34 *27998:S 9.3 -14 *3516:30 *28001:S 21.4607 -15 *3516:28 *28004:S 9.72857 -*END - -*D_NET *3517 0.000819652 -*CONN -*I *27988:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27987:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27988:C1 8.87786e-05 -2 *27987:X 8.87786e-05 -3 *27988:C1 *27988:B1 0.000347361 -4 *27988:C1 *5674:16 2.44073e-05 -5 *27988:C1 *6378:62 0.000270326 -*RES -1 *27987:X *27988:C1 22.3321 -*END - -*D_NET *3518 0.00272593 -*CONN -*I *27989:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27988:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27989:A0 0.0011712 -2 *27988:X 0.0011712 -3 *27989:A0 *25542:S 0 -4 *27989:A0 *27989:A1 5.71472e-05 -5 *27989:A0 *29429:A 0 -6 *27989:A0 *4652:20 0 -7 *27989:A0 *5463:8 6.79416e-05 -8 *27989:A0 *5544:11 3.48705e-05 -9 *27989:A0 *6036:64 0 -10 *27989:A0 *6364:32 0 -11 *27989:A0 *6376:80 0 -12 *27989:A0 *6378:49 0.000158157 -13 *27989:A0 *6378:90 4.1331e-06 -14 *27989:S *27989:A0 5.52238e-05 -15 *27992:S *27989:A0 0 -16 *28823:D *27989:A0 0 -17 *28824:D *27989:A0 6.05161e-06 -*RES -1 *27988:X *27989:A0 47.9036 -*END - -*D_NET *3519 0.00202247 -*CONN -*I *27991:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27990:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27991:C1 0.000539812 -2 *27990:X 0.000539812 -3 *27991:C1 *25734:A1 0.000125615 -4 *27991:C1 *27987:A1 8.79595e-05 -5 *27991:C1 *27987:A2 0.000141094 -6 *27991:C1 *27990:A2 0.000316922 -7 *27991:C1 *27991:A1 2.27416e-05 -8 *27991:C1 *27991:B1 3.69047e-06 -9 *27991:C1 *27991:B2 3.9582e-05 -10 *27991:C1 *29673:A 5.48198e-05 -11 *286:17 *27991:C1 5.33005e-05 -12 *288:10 *27991:C1 9.71197e-05 -*RES -1 *27990:X *27991:C1 47.7071 -*END - -*D_NET *3520 0.00292106 -*CONN -*I *27992:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27991:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27992:A0 0.000864087 -2 *27991:X 0.000864087 -3 *27992:A0 *25734:A1 7.5779e-05 -4 *27992:A0 *29527:A 0.000360299 -5 *27992:A0 *30257:A 5.19842e-06 -6 *27992:A0 *6376:41 2.05938e-05 -7 *27992:A0 *6378:41 0.000341847 -8 *1880:17 *27992:A0 0.000389166 -*RES -1 *27991:X *27992:A0 45.0464 -*END - -*D_NET *3521 0.000472314 -*CONN -*I *27994:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27993:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27994:C1 0.000120226 -2 *27993:X 0.000120226 -3 *27994:C1 *27997:B2 2.96504e-05 -4 *27994:C1 *4885:31 5.52302e-05 -5 *27994:C1 *6376:80 0.000146983 -*RES -1 *27993:X *27994:C1 30.0821 -*END - -*D_NET *3522 0.00326416 -*CONN -*I *27995:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27994:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27995:A0 0.00093904 -2 *27994:X 0.00093904 -3 *27995:A0 *27994:A2 2.89114e-05 -4 *27995:A0 *27995:A1 5.71472e-05 -5 *27995:A0 *27998:A0 0.000625019 -6 *27995:A0 *27998:A1 7.41433e-05 -7 *27995:A0 *28202:CLK 0 -8 *27995:A0 *28203:CLK 0 -9 *27995:A0 *28976:A 9.49984e-05 -10 *27995:A0 *29429:A 0 -11 *27995:A0 *29527:A 0 -12 *27995:A0 *3523:16 0.000111159 -13 *27995:A0 *4652:20 4.60232e-06 -14 *27995:A0 *5684:8 2.84009e-05 -15 *27995:A0 *6364:32 0 -16 *27995:A0 *6378:101 0.000123126 -17 *27995:A0 *6378:106 4.28365e-05 -18 *287:27 *27995:A0 5.28885e-05 -19 *3516:36 *27995:A0 4.18895e-05 -20 *3516:38 *27995:A0 0.00010096 -*RES -1 *27994:X *27995:A0 48.4393 -*END - -*D_NET *3523 0.00276023 -*CONN -*I *27997:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27996:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *27997:C1 0 -2 *27996:X 0.000566251 -3 *3523:16 0.000566251 -4 *3523:16 *25734:A0 0.000118546 -5 *3523:16 *27990:A2 5.70959e-05 -6 *3523:16 *27997:B2 1.02504e-05 -7 *3523:16 *28976:A 0.000114289 -8 *3523:16 *28982:A 0.000181796 -9 *3523:16 *29429:A 0 -10 *3523:16 *4619:21 1.55885e-05 -11 *3523:16 *4652:20 0.000247699 -12 *3523:16 *5684:8 3.51442e-05 -13 *3523:16 *6376:80 3.55185e-05 -14 *3523:16 *6378:106 0 -15 *25734:S *3523:16 0.000700639 -16 *27995:A0 *3523:16 0.000111159 -*RES -1 *27996:X *3523:16 41.0679 -2 *3523:16 *27997:C1 9.3 -*END - -*D_NET *3524 0.0034329 -*CONN -*I *27998:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27997:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *27998:A0 0.00087974 -2 *27997:X 0.00087974 -3 *27998:A0 *27996:B2 0 -4 *27998:A0 *27998:A1 0.000442628 -5 *27998:A0 *5672:8 0 -6 *27998:A0 *5878:8 0 -7 *27998:A0 *5878:12 0 -8 *27995:A0 *27998:A0 0.000625019 -9 *29418:A *27998:A0 5.7616e-05 -10 *287:27 *27998:A0 0.000107449 -11 *3516:36 *27998:A0 0.000440711 -*RES -1 *27997:X *27998:A0 48.35 -*END - -*D_NET *3525 0.00145378 -*CONN -*I *28000:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *27999:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *28000:C1 0.000341696 -2 *27999:X 0.000341696 -3 *28000:C1 *27999:B2 8.72671e-05 -4 *28000:C1 *4586:37 2.13481e-06 -5 *28000:C1 *4652:20 0.000299934 -6 *28000:C1 *4885:31 4.58194e-05 -7 *28000:C1 *5674:63 6.84559e-05 -8 *28000:C1 *5674:76 8.52859e-05 -9 *28000:C1 *6376:121 0.000142856 -10 *28000:C1 *6377:63 9.54798e-06 -11 *28000:C1 *6377:87 1.1594e-05 -12 *30632:A *28000:C1 1.74899e-05 -*RES -1 *27999:X *28000:C1 34.2607 -*END - -*D_NET *3526 0.00362552 -*CONN -*I *28001:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28000:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *28001:A0 0.000782767 -2 *28000:X 0.000782767 -3 *28001:A0 *25543:A1 4.78647e-05 -4 *28001:A0 *27990:A2 5.52238e-05 -5 *28001:A0 *27996:A1 0 -6 *28001:A0 *28000:B1 0.000775875 -7 *28001:A0 *28002:A1 0 -8 *28001:A0 *28202:D 0.000302877 -9 *28001:A0 *28202:RESET_B 0.000123295 -10 *28001:A0 *4774:19 0 -11 *28001:A0 *5878:12 0 -12 *28001:A0 *6180:30 0.000563711 -13 *28001:A0 *6377:51 5.52238e-05 -14 *30370:A *28001:A0 0.000135921 -*RES -1 *28000:X *28001:A0 47.3143 -*END - -*D_NET *3527 0.000862323 -*CONN -*I *28003:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *28002:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *28003:C1 0.000218519 -2 *28002:X 0.000218519 -3 *28003:C1 *28002:A2 4.72818e-05 -4 *28003:C1 *5674:76 0.000137561 -5 *28003:C1 *6377:143 0.000120221 -6 *291:18 *28003:C1 0.000120221 -*RES -1 *28002:X *28003:C1 31.4214 -*END - -*D_NET *3528 0.00322256 -*CONN -*I *28004:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28003:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *28004:A0 0.00076437 -2 *28003:X 0.00076437 -3 *28004:A0 *25543:A0 0.000213269 -4 *28004:A0 *28004:A1 6.09476e-05 -5 *28004:A0 *29463:A 2.61337e-05 -6 *28004:A0 *3530:15 5.85768e-05 -7 *28004:A0 *5233:17 0.000890779 -8 *28004:A0 *5458:64 0 -9 *28004:A0 *6364:14 0.000271073 -10 *28828:D *28004:A0 6.05161e-06 -11 *287:27 *28004:A0 9.09249e-05 -12 *292:21 *28004:A0 5.80706e-06 -13 *1820:10 *28004:A0 0 -14 *3516:28 *28004:A0 2.14658e-05 -15 *3516:30 *28004:A0 4.87953e-05 -*RES -1 *28003:X *28004:A0 45.7786 -*END - -*D_NET *3529 0.00132547 -*CONN -*I *28006:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *28005:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *28006:C1 0.000334386 -2 *28005:X 0.000334386 -3 *28006:C1 *28002:A2 1.33594e-05 -4 *28006:C1 *28005:B2 0.000136388 -5 *28006:C1 *5674:76 1.66679e-05 -6 *28006:C1 *6376:121 0.000181796 -7 *28006:C1 *6376:138 0.000168334 -8 *291:18 *28006:C1 0.000140159 -*RES -1 *28005:X *28006:C1 33.1134 -*END - -*D_NET *3530 0.00440897 -*CONN -*I *28007:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28006:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *28007:A0 0 -2 *28006:X 0.00102882 -3 *3530:15 0.00102882 -4 *3530:15 *25603:A0 5.33005e-05 -5 *3530:15 *28008:B2 0.000137983 -6 *3530:15 *28009:C1 0.000117913 -7 *3530:15 *28014:A2 0 -8 *3530:15 *29061:A 0.000253513 -9 *3530:15 *29596:A 0.000144038 -10 *3530:15 *3532:10 0 -11 *3530:15 *5019:50 0 -12 *3530:15 *5233:17 0.000187198 -13 *3530:15 *5853:8 0.000245342 -14 *3530:15 *6374:61 0.000308581 -15 *28004:A0 *3530:15 5.85768e-05 -16 *28828:D *3530:15 0.0001827 -17 *287:27 *3530:15 0.000486989 -18 *292:21 *3530:15 0.000163062 -19 *3516:28 *3530:15 1.21258e-05 -*RES -1 *28006:X *3530:15 42.8714 -2 *3530:15 *28007:A0 9.3 -*END - -*D_NET *3531 0.00129817 -*CONN -*I *28009:C1 I *D sky130_fd_sc_hd__a221o_1 -*I *28008:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *28009:C1 0.000370453 -2 *28008:X 0.000370453 -3 *28009:C1 *28006:A2 4.46309e-05 -4 *28009:C1 *28008:A2 0.00010096 -5 *28009:C1 *28008:B1 1.81728e-05 -6 *28009:C1 *28008:B2 0.000137983 -7 *28009:C1 *4919:27 5.52302e-05 -8 *28009:C1 *5674:91 5.05027e-05 -9 *292:21 *28009:C1 3.18676e-05 -10 *3530:15 *28009:C1 0.000117913 -*RES -1 *28008:X *28009:C1 33.6 -*END - -*D_NET *3532 0.00419967 -*CONN -*I *28010:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28009:X O *D sky130_fd_sc_hd__a221o_1 -*CAP -1 *28010:A0 0 -2 *28009:X 0.000920541 -3 *3532:10 0.000920541 -4 *3532:10 *27982:B 7.27992e-05 -5 *3532:10 *27983:B2 0 -6 *3532:10 *27996:A2 0 -7 *3532:10 *28007:A1 0.000123288 -8 *3532:10 *28009:A2 1.26938e-05 -9 *3532:10 *28014:A2 0 -10 *3532:10 *30579:A 0 -11 *3532:10 *4686:14 7.55769e-05 -12 *3532:10 *4963:15 1.7606e-05 -13 *3532:10 *5019:50 7.2754e-05 -14 *3532:10 *5895:15 4.69061e-05 -15 *3532:10 *6374:61 0 -16 *3532:10 *6376:145 5.52238e-05 -17 *27986:B *3532:10 0.000235449 -18 *28010:S *3532:10 0.00036496 -19 *1821:18 *3532:10 0.000311111 -20 *3514:8 *3532:10 0.000484567 -21 *3516:6 *3532:10 0.000485654 -22 *3530:15 *3532:10 0 -*RES -1 *28009:X *3532:10 41.7286 -2 *3532:10 *28010:A0 9.3 -*END - -*D_NET *3533 0.00202188 -*CONN -*I *28013:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *28012:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *28013:B1 0.000591857 -2 *28012:X 0.000591857 -3 *28013:B1 *25734:A0 0.000143635 -4 *28013:B1 *27981:A 0.000212802 -5 *28013:B1 *27983:A1 0 -6 *28013:B1 *28012:A2 0.000190848 -7 *28013:B1 *28013:A1 0 -8 *28013:B1 *28014:A2 0 -9 *28013:B1 *28014:B2 1.76039e-05 -10 *28013:B1 *4686:14 0 -11 *28013:B1 *4974:12 0 -12 *28013:B1 *4996:8 4.39995e-05 -13 *28013:B1 *5019:29 6.19181e-05 -14 *28013:B1 *5690:7 0.000154027 -15 *28013:B1 *5926:9 1.33343e-05 -16 *292:21 *28013:B1 0 -*RES -1 *28012:X *28013:B1 39.1893 -*END - -*D_NET *3534 0.00144524 -*CONN -*I *28014:B1 I *D sky130_fd_sc_hd__a32o_1 -*I *28013:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *28014:B1 0.000364679 -2 *28013:X 0.000364679 -3 *28014:B1 *25733:A1 0.000144336 -4 *28014:B1 *28014:A2 8.68008e-05 -5 *28014:B1 *28014:B2 0.000122242 -6 *28014:B1 *5462:19 1.4024e-05 -7 *28014:B1 *5690:7 0.000315158 -8 *28014:B1 *6036:95 3.33174e-05 -*RES -1 *28013:X *28014:B1 34.6982 -*END - -*D_NET *3535 0.00717441 -*CONN -*I *28015:B1 I *D sky130_fd_sc_hd__o31a_1 -*I *28014:X O *D sky130_fd_sc_hd__a32o_1 -*CAP -1 *28015:B1 0 -2 *28014:X 3.92359e-05 -3 *3535:13 0.00186141 -4 *3535:7 0.00190065 -5 *3535:7 *5462:19 5.52238e-05 -6 *3535:13 *25601:A0 0 -7 *3535:13 *25733:A1 0 -8 *3535:13 *28015:A1 0.00010096 -9 *3535:13 *28690:CLK 0.000381318 -10 *3535:13 *29489:A 0.000179863 -11 *3535:13 *30001:A 0.000217658 -12 *3535:13 *3589:50 2.26973e-05 -13 *3535:13 *4089:8 4.8476e-05 -14 *3535:13 *4089:10 4.94362e-05 -15 *3535:13 *4182:8 3.80904e-05 -16 *3535:13 *5366:28 6.87574e-05 -17 *3535:13 *5716:6 2.84494e-05 -18 *3535:13 *5716:8 0.000197486 -19 *3535:13 *5716:14 6.09476e-05 -20 *3535:13 *5716:50 0 -21 *3535:13 *5716:265 0 -22 *3535:13 *5836:312 4.78056e-05 -23 *3535:13 *5930:11 0 -24 *3535:13 *6367:8 0.00069962 -25 *29490:A *3535:13 0.000150618 -26 *29524:A *3535:13 0.000206585 -27 *30368:A *3535:13 2.11419e-05 -28 *1174:15 *3535:13 0 -29 *1174:68 *3535:13 0.000136872 -30 *1822:11 *3535:13 0.000435497 -31 *1862:11 *3535:13 0.000225616 -*RES -1 *28014:X *3535:7 14.3357 -2 *3535:7 *3535:13 49.7321 -3 *3535:13 *28015:B1 9.3 -*END - -*D_NET *3536 0.00638079 -*CONN -*I *28018:S I *D sky130_fd_sc_hd__mux2_1 -*I *28020:S I *D sky130_fd_sc_hd__mux2_1 -*I *28021:S I *D sky130_fd_sc_hd__mux2_1 -*I *28017:S I *D sky130_fd_sc_hd__mux2_1 -*I *28019:S I *D sky130_fd_sc_hd__mux2_1 -*I *28016:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *28018:S 0.000232873 -2 *28020:S 0.00020565 -3 *28021:S 0 -4 *28017:S 0.000200006 -5 *28019:S 0 -6 *28016:Y 0.000142509 -7 *3536:31 0.000383705 -8 *3536:15 0.000418345 -9 *3536:10 0.000177483 -10 *3536:7 0.000512582 -11 *28017:S *28369:CLK 0 -12 *28017:S *3893:52 0.000371955 -13 *28017:S *3908:35 5.20023e-05 -14 *28017:S *5710:183 1.02504e-05 -15 *28018:S *3893:52 7.01607e-05 -16 *28018:S *3919:12 0.000148712 -17 *28018:S *4130:34 5.7661e-06 -18 *28018:S *5493:18 0 -19 *28020:S *3666:77 0.00023865 -20 *28020:S *3666:98 0.000142958 -21 *28020:S *3892:37 0.000113536 -22 *28020:S *5624:107 3.97677e-05 -23 *3536:7 *28017:A1 0.000125453 -24 *3536:7 *28836:D 0.000605198 -25 *3536:10 *28017:A1 0.000140383 -26 *3536:10 *3893:52 1.68854e-05 -27 *3536:10 *5493:14 5.48961e-05 -28 *3536:10 *5493:18 0 -29 *3536:31 *5624:107 0.000280305 -30 *29356:A *3536:7 0.000356028 -31 *30008:A *3536:15 0.000135028 -32 *30008:A *3536:31 0.000307343 -33 *564:19 *28020:S 9.60939e-05 -34 *564:19 *3536:15 0.000136951 -35 *564:19 *3536:31 0.000467223 -36 *1452:57 *28017:S 8.13056e-05 -37 *1471:64 *28020:S 6.21169e-05 -38 *1600:17 *28018:S 4.86671e-05 -*RES -1 *28016:Y *3536:7 19.675 -2 *3536:7 *3536:10 7.05357 -3 *3536:10 *28019:S 9.3 -4 *3536:10 *3536:15 1.35714 -5 *3536:15 *28017:S 23.2821 -6 *3536:15 *3536:31 6.85714 -7 *3536:31 *28021:S 9.3 -8 *3536:31 *28020:S 24.2286 -9 *3536:7 *28018:S 18.6036 -*END - -*D_NET *3537 0.0898127 -*CONN -*I *29126:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25561:S I *D sky130_fd_sc_hd__mux2_1 -*I *25271:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28445:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29126:A 0.000144024 -2 *25561:S 0 -3 *25271:B2 0.00143826 -4 *28445:Q 0.00112739 -5 *3537:74 0.00447504 -6 *3537:73 0.00473028 -7 *3537:50 0.00535273 -8 *3537:49 0.00365923 -9 *3537:47 0.00157744 -10 *3537:38 0.00277069 -11 *3537:35 0.00415375 -12 *3537:20 0.00423192 -13 *25271:B2 *30871:A 0.000209903 -14 *25271:B2 *4574:47 9.54798e-06 -15 *25271:B2 *4907:28 0.00200045 -16 *25271:B2 *5667:113 1.21859e-05 -17 *25271:B2 *6302:41 0.000446371 -18 *29126:A *26986:A1 1.58163e-05 -19 *29126:A *29973:A 0.000218318 -20 *29126:A *3887:97 5.32513e-05 -21 *29126:A *4134:6 0.000332629 -22 *29126:A *5912:54 5.33005e-05 -23 *3537:20 *26852:A0 0.000223599 -24 *3537:20 *28445:RESET_B 2.59355e-05 -25 *3537:20 *28709:CLK 5.66157e-05 -26 *3537:20 *3604:13 6.26078e-05 -27 *3537:20 *3604:17 0.00010982 -28 *3537:20 *3604:65 0.000381889 -29 *3537:20 *3835:36 0.000248493 -30 *3537:20 *3887:97 0.00027025 -31 *3537:20 *4056:8 0.000267377 -32 *3537:20 *4134:6 0.000107119 -33 *3537:35 *26954:A1 8.12055e-05 -34 *3537:35 *28969:A 0.000436736 -35 *3537:35 *3757:75 3.00664e-05 -36 *3537:35 *3926:29 0.000202425 -37 *3537:35 *3965:10 0.000336104 -38 *3537:35 *3991:52 0.000146448 -39 *3537:35 *4004:16 0.000522987 -40 *3537:35 *4056:13 0.000329157 -41 *3537:35 *4056:53 0.000287835 -42 *3537:35 *5651:378 3.59681e-05 -43 *3537:35 *5676:20 5.41794e-05 -44 *3537:35 *5682:11 9.41642e-05 -45 *3537:35 *5800:19 0.000687402 -46 *3537:35 *5829:68 0 -47 *3537:35 *5881:33 0.00068397 -48 *3537:38 *3915:19 0.000132096 -49 *3537:38 *3939:102 0.000900114 -50 *3537:38 *4138:18 0.00217125 -51 *3537:38 *5651:378 2.06112e-05 -52 *3537:38 *5800:68 4.26825e-05 -53 *3537:47 *27117:A 2.71309e-05 -54 *3537:47 *28881:A 0.000105127 -55 *3537:47 *3565:24 0.000547901 -56 *3537:47 *3928:8 0.000310806 -57 *3537:47 *3928:20 0.000798197 -58 *3537:47 *3939:102 0.000298482 -59 *3537:47 *4138:18 0.00322704 -60 *3537:47 *4138:31 0.000129301 -61 *3537:47 *5609:245 0.000578441 -62 *3537:47 *5692:31 0.000128412 -63 *3537:50 *25026:A1 0.000515582 -64 *3537:50 *4138:31 0.00251522 -65 *3537:50 *4138:40 0.00113586 -66 *3537:50 *4138:45 0.000364967 -67 *3537:50 *5604:121 0.00409674 -68 *3537:50 *5644:68 0.00267424 -69 *3537:50 *5658:107 0.000160643 -70 *3537:50 *5814:12 0.000719683 -71 *3537:50 *5814:21 0.00212818 -72 *3537:73 *3669:63 0.000792929 -73 *3537:73 *3939:11 1.94945e-05 -74 *3537:73 *5584:79 0.000102144 -75 *3537:73 *5631:20 4.32217e-05 -76 *3537:73 *5631:25 4.79414e-05 -77 *3537:73 *5631:26 8.1363e-05 -78 *3537:73 *5658:31 8.04067e-05 -79 *3537:73 *5667:348 1.93542e-05 -80 *3537:73 *5714:29 1.24922e-05 -81 *3537:74 *25007:B2 0.000129868 -82 *3537:74 *25017:A1 0.000372563 -83 *3537:74 *25526:B 0.000124447 -84 *3537:74 *27818:A1 0.000187678 -85 *3537:74 *3669:20 0.00138117 -86 *3537:74 *5631:20 0.000753829 -87 *3537:74 *5714:16 0 -88 *3537:74 *5751:199 0.000181604 -89 *3537:74 *5755:32 1.52774e-05 -90 *3537:74 *5873:114 4.64416e-05 -91 *25066:B1 *3537:50 0.000131211 -92 *25069:C *3537:50 0.000150263 -93 *27141:S *3537:47 4.73953e-05 -94 *27254:A2 *25271:B2 0.000732821 -95 *27423:B1 *3537:50 2.13802e-05 -96 *27423:C1 *3537:47 2.18863e-05 -97 *27445:B1 *3537:74 0.000110632 -98 *27603:A *3537:74 0 -99 *27637:B *3537:74 0 -100 *29156:A *3537:73 1.49255e-05 -101 *30372:A *3537:73 2.06178e-05 -102 *30807:A *3537:74 1.88048e-05 -103 *1246:90 *3537:73 0 -104 *1256:183 *3537:35 9.3166e-05 -105 *1268:74 *3537:50 1.90936e-05 -106 *1282:68 *25271:B2 0.00187115 -107 *1363:126 *3537:73 0.00127052 -108 *1363:127 *3537:50 0.00457341 -109 *1368:23 *3537:74 0.000135118 -110 *1826:117 *3537:73 0 -111 *1826:238 *3537:35 2.61978e-05 -112 *2765:18 *3537:38 8.6281e-05 -113 *2767:167 *3537:35 3.91961e-05 -114 *2779:10 *3537:20 1.3142e-05 -115 *2786:80 *3537:47 5.99199e-06 -116 *2786:89 *3537:73 0 -117 *2786:101 *3537:73 1.08399e-05 -118 *2790:29 *3537:74 3.75052e-05 -119 *2815:31 *25271:B2 3.09718e-05 -120 *2823:26 *25271:B2 0 -121 *2825:39 *3537:74 5.60507e-05 -122 *2827:72 *3537:74 0.000688377 -123 *2867:249 *3537:50 0.000261057 -124 *2871:393 *3537:50 0.000882092 -125 *2874:359 *3537:47 1.60861e-05 -126 *2885:33 *3537:74 3.81861e-05 -127 *2885:35 *3537:74 0.00355144 -128 *2885:58 *3537:73 0.000872668 -129 *3040:19 *3537:50 0.000819568 -130 *3040:31 *3537:73 0.00100389 -131 *3150:8 *3537:74 0 -132 *3150:38 *3537:74 0 -133 *3154:6 *3537:74 3.66444e-05 -134 *3154:13 *3537:74 0.000165402 -135 *3154:26 *3537:74 0.00025983 -136 *3154:41 *3537:74 4.21415e-05 -137 *3154:50 *3537:74 6.8597e-05 -138 *3154:65 *3537:74 3.75052e-05 -139 *3184:15 *3537:74 0.000100831 -140 *3184:43 *3537:74 0.000197057 -141 *3185:48 *3537:73 0.000121433 -142 *3204:15 *3537:74 0.000122446 -143 *3214:173 *3537:74 0.000173083 -*RES -1 *28445:Q *3537:20 44.3179 -2 *3537:20 *3537:35 47.6094 -3 *3537:35 *3537:38 32.8296 -4 *3537:38 *3537:47 47.1296 -5 *3537:47 *3537:49 3.41 -6 *3537:49 *3537:50 138.554 -7 *3537:50 *3537:73 43.4233 -8 *3537:73 *3537:74 83.9107 -9 *3537:74 *25271:B2 26.4929 -10 *3537:38 *25561:S 13.8 -11 *3537:20 *29126:A 18.7107 -*END - -*D_NET *3538 0.0902943 -*CONN -*I *29414:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25560:S I *D sky130_fd_sc_hd__mux2_1 -*I *6567:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25321:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28446:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29414:A 4.29478e-05 -2 *25560:S 0 -3 *6567:DIODE 0 -4 *25321:B2 6.04724e-05 -5 *28446:Q 3.65997e-05 -6 *3538:92 0.00143557 -7 *3538:76 0.00396762 -8 *3538:75 0.00431369 -9 *3538:59 0.0019001 -10 *3538:50 0.000696844 -11 *3538:39 0.00094235 -12 *3538:24 0.00298662 -13 *3538:22 0.00326886 -14 *3538:16 0.00665446 -15 *3538:14 0.00671332 -16 *3538:6 0.0018809 -17 *25321:B2 *3782:66 0.000170171 -18 *25321:B2 *3847:35 0.000171892 -19 *29414:A *5695:67 0.000135028 -20 *3538:6 *3582:41 9.10894e-05 -21 *3538:14 *30085:A 0.000388196 -22 *3538:14 *3582:8 0.000285773 -23 *3538:14 *3582:41 0.00145186 -24 *3538:14 *3718:79 0 -25 *3538:14 *3809:11 0.000263862 -26 *3538:14 *4030:18 0 -27 *3538:14 *5638:162 1.94945e-05 -28 *3538:14 *5668:31 0.000352373 -29 *3538:14 *5716:132 7.83587e-05 -30 *3538:16 *25627:A0 7.72916e-05 -31 *3538:16 *26957:A1 7.83659e-05 -32 *3538:16 *27393:A1 0.000103775 -33 *3538:16 *27735:B2 1.65348e-05 -34 *3538:16 *28123:D 0.00012465 -35 *3538:16 *3582:8 7.04909e-05 -36 *3538:16 *3666:144 0.00129067 -37 *3538:16 *3666:148 0.000270371 -38 *3538:16 *3796:118 0.000158515 -39 *3538:16 *3809:11 0.00023383 -40 *3538:16 *3822:24 0.000265243 -41 *3538:16 *3822:30 9.64063e-05 -42 *3538:16 *3822:32 0.0015039 -43 *3538:16 *3913:50 0.000804744 -44 *3538:16 *3913:52 0.000407164 -45 *3538:16 *4030:28 0.00346815 -46 *3538:16 *4030:36 0.00076342 -47 *3538:16 *4069:162 0 -48 *3538:16 *5589:95 0.000115555 -49 *3538:16 *5595:22 4.57473e-05 -50 *3538:16 *5595:32 1.98496e-05 -51 *3538:16 *5600:195 4.80563e-05 -52 *3538:16 *5627:251 0 -53 *3538:16 *5628:202 0.000105994 -54 *3538:16 *5632:71 0.000862162 -55 *3538:16 *5643:148 0.000520844 -56 *3538:16 *5652:204 0 -57 *3538:16 *5873:289 0.000152133 -58 *3538:22 *3666:122 0.000149729 -59 *3538:22 *3822:32 2.37006e-05 -60 *3538:22 *3913:52 0.00274471 -61 *3538:24 *26877:A0 0.000261023 -62 *3538:24 *29775:A 6.45442e-05 -63 *3538:24 *3666:122 0.0021788 -64 *3538:24 *3913:52 0.000352855 -65 *3538:24 *5638:30 0.000237349 -66 *3538:39 *31009:A 0.000756421 -67 *3538:39 *5591:16 7.48005e-05 -68 *3538:39 *5638:30 0.000155115 -69 *3538:39 *5717:168 9.25014e-06 -70 *3538:39 *5717:179 4.49293e-05 -71 *3538:50 *26851:A0 0.00090616 -72 *3538:59 *26851:A0 4.17702e-05 -73 *3538:59 *3887:97 7.60485e-05 -74 *3538:75 *3608:14 8.66954e-05 -75 *3538:75 *3887:97 0.000425182 -76 *3538:75 *3978:130 0.000204224 -77 *3538:75 *4032:8 1.76135e-05 -78 *3538:75 *4032:10 8.5284e-05 -79 *3538:75 *5584:194 0.00119137 -80 *3538:75 *5775:32 7.32159e-05 -81 *3538:75 *5781:10 0 -82 *3538:75 *5800:43 0.00146467 -83 *3538:75 *5905:16 8.45651e-05 -84 *3538:76 *27185:A0 7.49387e-06 -85 *3538:76 *27185:A1 0.00021527 -86 *3538:76 *27192:A1 0.000271345 -87 *3538:76 *27203:A1 4.72818e-05 -88 *3538:76 *27204:A 8.80158e-05 -89 *3538:76 *27204:B 0.000693242 -90 *3538:76 *29603:A 0.000332891 -91 *3538:76 *30841:A 0.000212324 -92 *3538:76 *5676:264 0.000115141 -93 *3538:92 *25726:A0 2.06112e-05 -94 *3538:92 *25727:A0 7.83659e-05 -95 *3538:92 *25736:S 0 -96 *3538:92 *26873:A0 6.20855e-06 -97 *3538:92 *26873:A1 0.000449294 -98 *3538:92 *3757:102 0.000395015 -99 *3538:92 *3796:177 0 -100 *3538:92 *4206:10 0.000102282 -101 *3538:92 *4206:29 0.000300064 -102 *3538:92 *4412:11 0.000906399 -103 *3538:92 *5438:9 5.57914e-05 -104 *3538:92 *5586:84 0 -105 *3538:92 *5586:98 0 -106 *3538:92 *5829:169 8.49285e-05 -107 mgmt_gpio_out[15] *3538:92 6.67187e-05 -108 mgmt_gpio_out[16] *3538:92 4.70981e-05 -109 *6608:DIODE *3538:16 9.27418e-05 -110 *25007:B1 *3538:39 0.000980596 -111 *25407:B1 *3538:39 9.41642e-05 -112 *25679:S *3538:92 0.000631519 -113 *25727:A1 *3538:92 7.8327e-05 -114 *27126:A *29414:A 0.000135028 -115 *27150:S *3538:75 3.47459e-05 -116 *27203:S *3538:76 0.000443183 -117 *27312:C *3538:39 0.000360657 -118 *27329:C *3538:39 2.22494e-05 -119 *27375:B2 *3538:22 0.000319562 -120 *27385:A2 *3538:16 0.00018553 -121 *27386:C1 *3538:16 2.56233e-05 -122 *27438:A2 *3538:16 8.41655e-05 -123 *27733:C *3538:16 0 -124 *29776:A *3538:24 0.0001275 -125 *29948:A *3538:75 0.000139412 -126 *29963:A *3538:76 0.0012887 -127 *30170:A *3538:92 2.00751e-05 -128 *30478:A *3538:16 0.000422412 -129 *244:118 *3538:92 0.000106517 -130 *244:127 *3538:92 2.14658e-05 -131 *244:175 *3538:92 0.000171375 -132 *244:193 *3538:92 0.000218734 -133 *1225:203 *3538:75 0.000523938 -134 *1252:134 *3538:22 0.000686227 -135 *1258:75 *3538:16 2.07728e-05 -136 *1265:228 *3538:39 0.000580334 -137 *1268:74 *3538:16 1.94879e-05 -138 *1697:44 *3538:16 1.88175e-05 -139 *1844:138 *3538:24 4.09646e-05 -140 *2756:10 *3538:6 9.96553e-05 -141 *2756:10 *3538:14 0.000423358 -142 *2758:90 *3538:24 0.00111817 -143 *2758:105 *3538:24 0.000111243 -144 *2760:157 *3538:16 0.00010604 -145 *2763:82 *3538:16 0.000188821 -146 *2763:185 *3538:16 0.000182608 -147 *2769:167 *3538:92 5.4826e-05 -148 *2782:146 *3538:16 0.000210214 -149 *2786:136 *3538:59 3.1312e-05 -150 *2786:136 *3538:75 4.46186e-06 -151 *2788:76 *3538:16 0.000155034 -152 *2788:158 *3538:16 0.000651578 -153 *2813:80 *3538:39 5.59013e-05 -154 *2844:220 *3538:16 9.25014e-06 -155 *2844:220 *3538:22 1.721e-05 -156 *2847:50 *3538:39 0.000121094 -157 *2853:224 *3538:16 0.000143845 -158 *2853:224 *3538:22 0.000144274 -159 *2853:236 *3538:16 0.00255936 -160 *2856:270 *3538:24 0.000856124 -161 *2864:293 *3538:16 0.00427653 -162 *2867:158 *3538:24 0.000751599 -163 *2867:184 *3538:24 2.54046e-05 -164 *2871:224 *3538:22 9.36607e-05 -165 *2875:46 *3538:39 0.00100161 -166 *2877:359 *3538:39 9.60939e-05 -167 *2880:118 *3538:22 0.000873154 -168 *2880:137 *3538:24 0.00128979 -169 *2883:168 *3538:22 0.000148031 -170 *2888:291 *3538:16 2.75113e-05 -171 *2956:8 *3538:16 4.04691e-06 -172 *3153:164 *3538:22 7.83659e-05 -173 *3164:35 *3538:39 0.000260574 -174 *3215:25 *3538:24 0.000110548 -*RES -1 *28446:Q *3538:6 15.1393 -2 *3538:6 *3538:14 32.7054 -3 *3538:14 *3538:16 173.652 -4 *3538:16 *3538:22 37.1696 -5 *3538:22 *3538:24 63.1161 -6 *3538:24 *3538:39 49.125 -7 *3538:39 *25321:B2 20.55 -8 *3538:6 *3538:50 13.25 -9 *3538:50 *6567:DIODE 9.3 -10 *3538:50 *3538:59 6.07143 -11 *3538:59 *3538:75 45.8122 -12 *3538:75 *3538:76 50.2321 -13 *3538:76 *3538:92 49.9821 -14 *3538:92 *25560:S 9.3 -15 *3538:59 *29414:A 15.1571 -*END - -*D_NET *3539 0.00649733 -*CONN -*I *28855:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28849:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28840:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28855:A 0.000270729 -2 *28849:A 0.00106256 -3 *28840:X 0.000656776 -4 *3539:7 0.00199007 -5 *28849:A *24872:A 7.54868e-05 -6 *28849:A *25447:A 2.70242e-05 -7 *28849:A *28275:CLK 5.60201e-05 -8 *28849:A *4158:46 0.000143375 -9 *28849:A *5356:11 0.000101545 -10 *28855:A *5356:11 0.00057758 -11 *28855:A *6169:92 0.000192563 -12 *3539:7 *25809:A0 1.83053e-05 -13 *3539:7 *5836:131 0.000269428 -14 *24872:B *28849:A 0 -15 *27973:A1 *28855:A 5.33005e-05 -16 *27975:S *28849:A 0.000213926 -17 *28267:D *28855:A 9.91224e-05 -18 *1393:140 *28855:A 0.000134892 -19 *1488:33 *3539:7 0.000166394 -20 *1743:54 *28849:A 0.000388235 -*RES -1 *28840:X *3539:7 25.0143 -2 *3539:7 *28849:A 40.1214 -3 *3539:7 *28855:A 21.7464 -*END - -*D_NET *3540 0.0293717 -*CONN -*I *28851:A I *D sky130_fd_sc_hd__clkbuf_8 -*I *28845:A I *D sky130_fd_sc_hd__clkbuf_8 -*I *28841:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28851:A 0.00080707 -2 *28845:A 0.00166153 -3 *28841:X 5.35717e-05 -4 *3540:23 0.00256768 -5 *3540:8 0.00304904 -6 *3540:7 0.00320169 -7 *28845:A *3841:68 0.000783802 -8 *28851:A *25240:B2 0.000249844 -9 *28851:A *4054:26 6.46173e-05 -10 *3540:8 *26894:A0 0.000101805 -11 *3540:8 *28483:CLK 0.000480745 -12 *3540:8 *28507:CLK 0.000613089 -13 *3540:8 *5707:44 0.00111488 -14 *3540:8 *5713:336 0.000289563 -15 *3540:23 *27346:B2 0.00055882 -16 *3540:23 *30173:A 0.000156673 -17 *3540:23 *3703:35 2.04825e-05 -18 *3540:23 *3755:8 0.000514805 -19 *3540:23 *3755:30 0.000332835 -20 *3540:23 *3797:85 3.75052e-05 -21 *3540:23 *3829:8 0 -22 *3540:23 *3885:26 0.000607558 -23 *3540:23 *4054:44 0 -24 *3540:23 *5609:189 3.46899e-05 -25 *3540:23 *5634:140 0.000884513 -26 *3540:23 *5777:9 0.000133066 -27 *25139:C *28845:A 1.90303e-05 -28 *25290:A2 *3540:23 8.65622e-05 -29 *25293:C *3540:8 0.000267665 -30 *25293:C *3540:23 0.000257124 -31 *25340:C1 *3540:23 0.000300083 -32 *25345:B *3540:23 2.60202e-05 -33 *27019:S *3540:23 0.000284492 -34 *29335:A *3540:8 1.53675e-05 -35 *282:21 *3540:8 0.000645002 -36 *282:34 *3540:8 5.51487e-05 -37 *1265:69 *3540:8 1.55559e-05 -38 *1275:16 *3540:8 0.000120169 -39 *1275:54 *3540:8 0.000140349 -40 *1287:184 *28851:A 0.00145224 -41 *1416:97 *3540:7 4.00276e-06 -42 *1456:116 *28845:A 0.000429169 -43 *1660:48 *3540:23 0.000114904 -44 *1700:37 *28845:A 0.00078451 -45 *2774:110 *3540:23 0.000212861 -46 *2779:107 *3540:23 0.000445925 -47 *2784:67 *28851:A 6.46173e-05 -48 *2875:302 *28851:A 0.000434003 -49 *2877:194 *3540:8 0.0011951 -50 *2877:194 *3540:23 6.81083e-05 -51 *2879:83 *3540:8 9.29969e-05 -52 *2879:83 *3540:23 0.000267473 -53 *2886:258 *28851:A 2.01997e-05 -54 *3162:20 *3540:23 0.00115597 -55 *3162:34 *3540:23 0 -56 *3216:203 *3540:23 0.000184463 -57 *3413:51 *3540:8 0.00189267 -*RES -1 *28841:X *3540:7 14.3357 -2 *3540:7 *3540:8 46.2679 -3 *3540:8 *28845:A 49.2107 -4 *3540:7 *3540:23 51.8839 -5 *3540:23 *28851:A 24.0012 -*END - -*D_NET *3541 0.0545674 -*CONN -*I *28868:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28862:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28859:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28865:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28842:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28868:A 0 -2 *28862:A 0 -3 *28859:A 0.000671694 -4 *28865:A 1.69531e-05 -5 *28842:X 0.000508686 -6 *3541:68 0.00182158 -7 *3541:66 0.00266113 -8 *3541:43 0.00182608 -9 *3541:38 0.0025363 -10 *3541:23 0.00330123 -11 *3541:13 0.00572568 -12 *3541:11 0.00349245 -13 *28859:A *28047:B 0.000841298 -14 *28859:A *3724:6 3.92002e-05 -15 *28859:A *3739:81 0.000953902 -16 *28859:A *5707:9 0.00034199 -17 *28865:A *3687:26 5.33005e-05 -18 *28865:A *5824:37 5.33005e-05 -19 *3541:11 *24935:B 0.000147836 -20 *3541:11 *3758:43 0.000935302 -21 *3541:11 *4076:72 0.000256905 -22 *3541:11 *5836:78 0.000185417 -23 *3541:13 *27311:A1 2.37452e-05 -24 *3541:13 *27583:A1 0.00066245 -25 *3541:13 *5808:53 0.000298483 -26 *3541:23 *27583:A1 2.20678e-05 -27 *3541:23 *27583:B2 0.000419679 -28 *3541:23 *3564:10 5.33005e-05 -29 *3541:23 *3687:26 0.00143747 -30 *3541:23 *5301:13 0.00022266 -31 *3541:23 *5824:37 0.00033577 -32 *3541:38 *25840:B 4.14686e-05 -33 *3541:38 *27565:B2 2.95076e-06 -34 *3541:38 *27909:A2 0.000685199 -35 *3541:38 *28303:CLK 0.000332173 -36 *3541:38 *3688:17 0 -37 *3541:38 *3702:26 2.4134e-05 -38 *3541:38 *3871:94 7.69776e-06 -39 *3541:38 *3947:61 2.26973e-05 -40 *3541:38 *4118:26 8.78746e-05 -41 *3541:38 *4142:34 0 -42 *3541:38 *4142:56 0 -43 *3541:38 *5688:242 0.000729189 -44 *3541:38 *5709:112 9.74684e-05 -45 *3541:38 *5890:124 3.88221e-05 -46 *3541:43 *25868:A0 3.97677e-05 -47 *3541:43 *27560:B2 0 -48 *3541:43 *27563:A1 0.00034395 -49 *3541:43 *27909:A2 4.2675e-05 -50 *3541:43 *27936:A1 0.000191444 -51 *3541:43 *30262:A 0.000175892 -52 *3541:43 *3561:16 6.79326e-05 -53 *3541:43 *3698:31 0 -54 *3541:43 *3713:71 0.000122402 -55 *3541:43 *3713:94 4.11173e-05 -56 *3541:43 *3883:31 0.000128129 -57 *3541:43 *5713:25 7.32173e-05 -58 *3541:66 *3765:30 0.00134561 -59 *3541:66 *5715:125 0.000127276 -60 *3541:68 *28337:D 0.000144089 -61 *3541:68 *28361:D 0.000174805 -62 *3541:68 *28371:D 0.000626532 -63 *3541:68 *28587:CLK 5.38072e-05 -64 *3541:68 *3765:30 2.85847e-05 -65 *3541:68 *4079:8 0.000197635 -66 *3541:68 *4079:15 0.000687068 -67 *3541:68 *5301:40 0 -68 *3541:68 *5669:30 0.000201663 -69 *3541:68 *5669:34 0.00114593 -70 *3541:68 *5669:39 0.000610592 -71 *3541:68 *5709:85 0.000540164 -72 *3541:68 *5709:87 0.000363688 -73 *3541:68 *5715:125 0.000141301 -74 *3541:68 *5824:90 0.00015134 -75 *25191:B1 *3541:38 4.34648e-05 -76 *25199:B1 *3541:68 0.000433075 -77 *27565:B1 *3541:38 1.0945e-05 -78 *27565:B1 *3541:43 0.000322493 -79 *27583:A2 *3541:23 0.000329705 -80 *27583:B1 *3541:23 0.000219711 -81 *29815:A *3541:68 0.000125369 -82 *30047:A *3541:38 5.94949e-05 -83 *30187:A *3541:38 0.000315172 -84 *30535:A *3541:38 1.28585e-05 -85 *1274:92 *3541:11 6.35819e-05 -86 *1288:48 *3541:13 0.00209264 -87 *1362:25 *3541:13 0.000298483 -88 *1406:45 *3541:11 0.000237198 -89 *1422:20 *3541:38 5.73777e-06 -90 *1422:28 *3541:38 0.000133199 -91 *1423:66 *28859:A 0.000476394 -92 *1449:44 *3541:13 0.000750939 -93 *1456:125 *3541:11 0.000135028 -94 *1464:8 *3541:66 6.25702e-05 -95 *1464:8 *3541:68 1.03904e-05 -96 *1464:38 *3541:68 0.000287615 -97 *1464:41 *3541:68 1.04048e-05 -98 *1464:69 *3541:68 2.1314e-05 -99 *1476:212 *3541:66 0.000251466 -100 *1476:216 *3541:66 0.00103205 -101 *1488:24 *3541:38 4.93379e-05 -102 *1518:15 *3541:38 0.000141019 -103 *1869:29 *3541:68 0.000320038 -104 *1871:12 *3541:68 0.000207835 -105 *1873:21 *3541:68 0.00139769 -106 *1873:32 *3541:38 4.43698e-05 -107 *2855:121 *3541:43 0.001068 -108 *2856:199 *3541:13 0.000921952 -109 *2856:199 *3541:23 0.0002083 -110 *2873:17 *3541:13 0.000381858 -111 *2874:278 *3541:13 0.000406528 -112 *3119:16 *3541:43 0.00195017 -113 *3136:10 *3541:23 5.74499e-06 -114 *3196:179 *3541:38 4.11218e-05 -*RES -1 *28842:X *3541:11 32.3536 -2 *3541:11 *3541:13 55.5714 -3 *3541:13 *3541:23 31.2143 -4 *3541:23 *28865:A 9.83571 -5 *3541:23 *3541:38 37.0893 -6 *3541:38 *3541:43 37.5179 -7 *3541:43 *28859:A 36.425 -8 *3541:43 *28862:A 9.3 -9 *3541:13 *3541:66 30.7143 -10 *3541:66 *3541:68 62.6607 -11 *3541:68 *28868:A 13.8 -*END - -*D_NET *3542 0.0288445 -*CONN -*I *28846:A I *D sky130_fd_sc_hd__clkbuf_8 -*I *28852:A I *D sky130_fd_sc_hd__clkbuf_8 -*I *28843:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28846:A 0 -2 *28852:A 0.00059897 -3 *28843:X 2.23854e-05 -4 *3542:42 0.0011055 -5 *3542:39 0.00239719 -6 *3542:27 0.00172647 -7 *3542:21 0.00225094 -8 *3542:5 0.00243753 -9 *28852:A *25187:B2 0.000121247 -10 *28852:A *3551:10 0.000352798 -11 *28852:A *3674:30 0.000400563 -12 *28852:A *3700:52 0.000214465 -13 *28852:A *3711:79 9.02021e-05 -14 *28852:A *4092:35 0.000234421 -15 *28852:A *5215:12 0.000116235 -16 *28852:A *5778:94 0.00116549 -17 *3542:21 *24885:B 6.05161e-06 -18 *3542:21 *24890:A_N 0.00012783 -19 *3542:21 *24890:B 0.000575728 -20 *3542:21 *3762:41 0.00014146 -21 *3542:21 *4065:53 6.72127e-06 -22 *3542:21 *5653:97 2.07158e-05 -23 *3542:21 *5857:18 4.11218e-05 -24 *3542:21 *5857:33 0.000176033 -25 *3542:21 *5867:5 0.000139202 -26 *3542:21 *5867:20 0.000546198 -27 *3542:27 *27946:B2 2.06112e-05 -28 *3542:27 *27953:A2 1.94945e-05 -29 *3542:27 *4023:10 0.000153562 -30 *3542:27 *4023:16 0.000617531 -31 *3542:27 *5823:58 0.00261968 -32 *3542:39 *24898:B 0.000359415 -33 *3542:39 *24927:A 0.000298483 -34 *3542:42 *3849:69 0.000179112 -35 *3542:42 *4070:50 2.89425e-05 -36 *3542:42 *5816:12 0.000333015 -37 *3542:42 *5816:24 0.000230589 -38 *24909:A *3542:42 0.000122681 -39 *24927:B *3542:39 5.33005e-05 -40 *27946:C1 *3542:27 9.13922e-05 -41 *27955:D *3542:27 0.000318158 -42 *28843:A *3542:21 0.00024556 -43 *28843:A *3542:39 0.000266976 -44 *282:38 *3542:39 9.41642e-05 -45 *1224:7 *3542:39 0.000135028 -46 *1224:19 *3542:42 0.000120169 -47 *1224:23 *3542:42 0.00040633 -48 *1227:9 *3542:42 0.000308482 -49 *1235:6 *3542:42 6.25005e-05 -50 *1235:17 *3542:42 0.000130377 -51 *1253:5 *3542:39 5.33005e-05 -52 *1253:12 *3542:39 0.000135028 -53 *1268:37 *3542:42 6.35819e-05 -54 *1276:47 *3542:42 8.41555e-06 -55 *1327:19 *3542:21 4.11218e-05 -56 *1482:8 *3542:42 0.000420436 -57 *1488:14 *3542:27 0.000474005 -58 *1488:24 *3542:21 1.28239e-05 -59 *3183:169 *3542:42 0.00274273 -60 *3216:184 *3542:27 0.00261917 -61 *3496:13 *3542:21 4.28365e-05 -*RES -1 *28843:X *3542:5 9.72857 -2 *3542:5 *3542:21 37.2841 -3 *3542:21 *3542:27 47.875 -4 *3542:27 *28852:A 32.425 -5 *3542:5 *3542:39 25.5714 -6 *3542:39 *3542:42 40.75 -7 *3542:42 *28846:A 9.3 -*END - -*D_NET *3543 0.00649507 -*CONN -*I *28856:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28850:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28844:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28856:A 0 -2 *28850:A 0.00159896 -3 *28844:X 0.000270924 -4 *3543:6 0.00186988 -5 *28850:A *25497:B 0.000164325 -6 *28850:A *27920:A1 0.000148911 -7 *28850:A *4039:8 0.000218741 -8 *28850:A *5697:11 0.000307902 -9 *28850:A *5778:122 0.000410943 -10 *28850:A *5833:175 0.00018569 -11 *3543:6 *4039:8 0.000112323 -12 *3543:6 *5762:41 0.000146474 -13 *3543:6 *5762:181 0.000328394 -14 *3543:6 *5762:193 0.000187026 -15 *3543:6 *5799:10 0.000231695 -16 *3543:6 *5799:12 0.000193878 -17 *3543:6 *5833:175 2.39779e-05 -18 *26522:A2 *28850:A 9.44309e-06 -19 *2114:43 *28850:A 8.55871e-05 -*RES -1 *28844:X *3543:6 23.0321 -2 *3543:6 *28850:A 42.0143 -3 *3543:6 *28856:A 13.8 -*END - -*D_NET *3544 0.00565261 -*CONN -*I *28847:A I *D sky130_fd_sc_hd__clkbuf_8 -*I *28845:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 *28847:A 0 -2 *28845:X 0.000848441 -3 *3544:9 0.000848441 -4 *3544:9 *4043:81 0.000242927 -5 *3544:9 *4129:74 9.65172e-05 -6 *3544:9 *5585:54 0.000330409 -7 *3544:9 *5585:56 0.000206416 -8 *3544:9 *5604:34 0.00034188 -9 *3544:9 *5687:73 9.41642e-05 -10 *25225:D *3544:9 8.40344e-05 -11 *1243:58 *3544:9 0.000142043 -12 *1603:10 *3544:9 0.00038886 -13 *2893:64 *3544:9 0.00202848 -*RES -1 *28845:X *3544:9 45.5143 -2 *3544:9 *28847:A 9.3 -*END - -*D_NET *3545 0.00493834 -*CONN -*I *28848:A I *D sky130_fd_sc_hd__clkbuf_8 -*I *28846:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 *28848:A 0 -2 *28846:X 0.000692566 -3 *3545:8 0.000692566 -4 *3545:8 *25394:B2 0.000105981 -5 *3545:8 *3907:76 0.000645789 -6 *25357:A2 *3545:8 0.000272672 -7 *1235:17 *3545:8 0.000170661 -8 *1253:34 *3545:8 0.00162924 -9 *1266:24 *3545:8 0.000463047 -10 *1279:44 *3545:8 0.000240591 -11 *1280:6 *3545:8 2.52223e-05 -*RES -1 *28846:X *3545:8 42.4071 -2 *3545:8 *28848:A 9.3 -*END - -*D_NET *3546 0.0343245 -*CONN -*I *28860:A I *D sky130_fd_sc_hd__clkbuf_8 -*I *28857:A I *D sky130_fd_sc_hd__clkbuf_8 -*I *28847:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 *28860:A 0.00153946 -2 *28857:A 0.00190547 -3 *28847:X 0.000762107 -4 *3546:36 0.00277407 -5 *3546:22 0.00410305 -6 *3546:10 0.0029158 -7 *3546:6 0.00271494 -8 *28857:A *27494:A1 5.99532e-05 -9 *28857:A *5661:64 0.00103952 -10 *28860:A *27696:A0 0.00042807 -11 *28860:A *28804:CLK 0.000181834 -12 *28860:A *5599:279 3.97677e-05 -13 *28860:A *5599:295 0.000178425 -14 *3546:6 *3841:68 8.26576e-05 -15 *3546:6 *4129:74 0.000636971 -16 *3546:6 *5585:56 3.38538e-05 -17 *3546:22 *28436:D 0.0001441 -18 *3546:22 *28436:RESET_B 0.000998759 -19 *3546:22 *28610:CLK 0.000260763 -20 *3546:22 *5622:48 1.90936e-05 -21 *3546:22 *5624:18 2.06112e-05 -22 *3546:22 *5646:34 0.000271042 -23 *3546:22 *5738:130 0.000160656 -24 *3546:22 *5869:27 0.000177545 -25 *3546:36 *5196:72 0.000760033 -26 *25283:C *3546:6 6.25562e-05 -27 *25412:B *3546:22 1.90936e-05 -28 *25412:C *28857:A 6.06291e-05 -29 *25413:B *3546:22 2.04825e-05 -30 *25413:D *3546:22 9.58126e-05 -31 *1218:38 *3546:6 0.00102868 -32 *1218:38 *3546:10 0.0017775 -33 *1243:66 *3546:6 0.000519808 -34 *1243:67 *3546:6 0.00107708 -35 *1243:67 *3546:10 0.00177772 -36 *1290:31 *28860:A 0.000767627 -37 *1363:98 *3546:36 0.000267443 -38 *1722:21 *28857:A 2.59355e-05 -39 *2848:51 *3546:22 1.13412e-05 -40 *2848:53 *28857:A 0.000155124 -41 *2877:32 *3546:36 0.000291184 -42 *2879:27 *28860:A 0.001095 -43 *2879:35 *28860:A 0.000473211 -44 *2879:35 *3546:36 0.00104501 -45 *3168:54 *3546:36 0.000332632 -46 *3178:139 *28860:A 5.70264e-05 -47 *3178:144 *3546:36 0.000493694 -48 *3194:70 *28860:A 5.21937e-05 -49 *3246:17 *28860:A 0.000553158 -50 *3441:24 *28857:A 5.60201e-05 -*RES -1 *28847:X *3546:6 37.9071 -2 *3546:6 *3546:10 28.3036 -3 *3546:10 *3546:22 41.6208 -4 *3546:22 *28857:A 32.1571 -5 *3546:6 *3546:36 33.3929 -6 *3546:36 *28860:A 42.2821 -*END - -*D_NET *3547 0.0280709 -*CONN -*I *28861:A I *D sky130_fd_sc_hd__clkbuf_8 -*I *28858:A I *D sky130_fd_sc_hd__clkbuf_8 -*I *28848:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 *28861:A 0.000723337 -2 *28858:A 0.00045965 -3 *28848:X 0.000609141 -4 *3547:25 0.00185484 -5 *3547:12 0.00433334 -6 *3547:11 0.00282396 -7 *28861:A *26827:B 0.000445497 -8 *28861:A *28430:D 0.000298483 -9 *28861:A *5606:33 0.000271398 -10 *3547:11 *3913:73 0.000295273 -11 *3547:12 *3768:42 0.00101825 -12 *3547:12 *3834:24 0.000522947 -13 *3547:12 *3834:33 1.35698e-05 -14 *3547:12 *3972:44 0 -15 *3547:12 *4052:36 0.000145399 -16 *3547:12 *4952:14 0.000123605 -17 *3547:12 *5583:58 9.92981e-05 -18 *3547:12 *5600:14 0.000165197 -19 *3547:12 *5691:108 2.00975e-05 -20 *3547:12 *5691:109 4.62813e-05 -21 *3547:12 *5694:57 0.000103267 -22 *3547:12 *5936:40 0.000245809 -23 *3547:12 *5936:70 0.000118769 -24 *3547:25 *28343:D 2.09897e-05 -25 *3547:25 *3641:28 1.90936e-05 -26 *3547:25 *3868:32 0.000306445 -27 *3547:25 *5483:151 6.52967e-05 -28 *3547:25 *5646:34 0.000127953 -29 *3547:25 *5691:109 3.5703e-05 -30 *25097:C1 *28861:A 0.000137983 -31 *25222:C1 *3547:12 0.000107232 -32 *25225:A *3547:11 0.000810525 -33 *25225:B *3547:11 1.64818e-05 -34 *25225:C *3547:11 0.000200863 -35 *25225:D *3547:11 5.83865e-05 -36 *25265:C1 *3547:12 3.87636e-05 -37 *27619:A2 *3547:12 0.000750019 -38 *27619:A2 *3547:25 8.56884e-05 -39 *27768:A2 *3547:12 0.000440434 -40 *30122:A *3547:25 0.000441662 -41 *1218:38 *3547:25 0.000303524 -42 *1326:35 *3547:25 0.000279536 -43 *1326:56 *3547:12 2.40731e-05 -44 *1418:15 *3547:25 3.64026e-05 -45 *1421:26 *28858:A 0.000301618 -46 *1421:26 *3547:25 0.00160772 -47 *1449:115 *28858:A 0.000385277 -48 *1455:96 *3547:11 5.33005e-05 -49 *1545:28 *3547:11 4.58129e-05 -50 *1578:18 *3547:12 0.00161178 -51 *1653:47 *3547:12 0.00268991 -52 *2776:65 *3547:25 0.000845568 -53 *2834:52 *3547:25 0.000102048 -54 *2834:59 *28858:A 0.000657921 -55 *2834:59 *3547:25 9.77264e-06 -56 *2848:44 *28861:A 0.000105687 -57 *2854:16 *3547:12 0.000298028 -58 *2859:28 *28861:A 0.000135028 -59 *2889:211 *3547:25 2.74858e-05 -60 *2893:72 *3547:12 1.0945e-05 -61 *3165:216 *3547:25 0.000138545 -*RES -1 *28848:X *3547:11 26.8 -2 *3547:11 *3547:12 64.1786 -3 *3547:12 *3547:25 43.853 -4 *3547:25 *28858:A 20.55 -5 *3547:12 *28861:A 27.4786 -*END - -*D_NET *3548 0.00293105 -*CONN -*I *25818:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25168:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27977:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *28849:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *25818:A1 0 -2 *25168:A0 0.000236315 -3 *27977:A1 0.000214192 -4 *28849:X 4.59294e-05 -5 *3548:17 0.00029109 -6 *3548:5 0.000314897 -7 *25168:A0 *24872:A 0.000300981 -8 *25168:A0 *27975:A0 0 -9 *27977:A1 *24872:A 3.0189e-05 -10 *27977:A1 *28275:CLK 0.000204387 -11 *3548:5 *4158:46 0.000182592 -12 *3548:17 *25818:A0 5.49489e-05 -13 *3548:17 *4158:46 0.000141306 -14 *3548:17 *5356:11 0.000226867 -15 *25169:A0 *25168:A0 5.65955e-05 -16 *27975:S *25168:A0 0 -17 *27975:S *27977:A1 0.000382566 -18 *28275:D *27977:A1 0.000100823 -19 *28818:D *27977:A1 2.89114e-05 -20 *1755:10 *3548:5 9.37376e-05 -21 *1755:10 *3548:17 1.38323e-05 -22 *3510:166 *27977:A1 1.08847e-05 -*RES -1 *28849:X *3548:5 11.0679 -2 *3548:5 *27977:A1 24.5321 -3 *3548:5 *3548:17 2.58929 -4 *3548:17 *25168:A0 22.9071 -5 *3548:17 *25818:A1 9.3 -*END - -*D_NET *3549 0.00217753 -*CONN -*I *28011:A1 I *D sky130_fd_sc_hd__o211a_2 -*I *28850:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28011:A1 0.000295536 -2 *28850:X 0.000295536 -3 *28011:A1 *3566:8 0.000260506 -4 *28011:A1 *5780:91 0.000502191 -5 *28011:A2 *28011:A1 0.000411883 -6 *1174:51 *28011:A1 0.000411883 -*RES -1 *28850:X *28011:A1 38.1804 -*END - -*D_NET *3550 0.00523547 -*CONN -*I *28853:A I *D sky130_fd_sc_hd__clkbuf_8 -*I *28851:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 *28853:A 0 -2 *28851:X 0.000683943 -3 *3550:11 0.000683943 -4 *3550:11 *3552:22 0.000175892 -5 *1558:14 *3550:11 8.8175e-05 -6 *2794:122 *3550:11 0.00168677 -7 *2886:335 *3550:11 0.000175892 -8 *3215:211 *3550:11 5.21937e-05 -9 *3241:14 *3550:11 0.00168866 -*RES -1 *28851:X *3550:11 43.8179 -2 *3550:11 *28853:A 9.3 -*END - -*D_NET *3551 0.00510654 -*CONN -*I *28854:A I *D sky130_fd_sc_hd__clkbuf_8 -*I *28852:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 *28854:A 0 -2 *28852:X 0.00114856 -3 *3551:10 0.00114856 -4 *3551:10 *25843:A1 0.00014576 -5 *3551:10 *28245:D 0.000102545 -6 *3551:10 *30446:A 0.00028802 -7 *3551:10 *3674:30 0.0004788 -8 *3551:10 *3688:17 0.000660165 -9 *3551:10 *3688:57 0 -10 *3551:10 *3688:69 0.000140321 -11 *3551:10 *3698:19 0.000286236 -12 *3551:10 *3698:78 2.16719e-05 -13 *3551:10 *5709:112 0 -14 *3551:10 *5761:77 0 -15 *3551:10 *5761:86 0 -16 *3551:10 *5761:97 0 -17 *3551:10 *5778:94 6.7224e-05 -18 *3551:10 *5839:53 0 -19 *3551:10 *5839:63 0 -20 *28852:A *3551:10 0.000352798 -21 *3413:25 *3551:10 0.000265883 -*RES -1 *28852:X *3551:10 47.05 -2 *3551:10 *28854:A 9.3 -*END - -*D_NET *3552 0.0391508 -*CONN -*I *28866:A I *D sky130_fd_sc_hd__clkbuf_8 -*I *28863:A I *D sky130_fd_sc_hd__clkbuf_8 -*I *28853:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 *28866:A 0 -2 *28863:A 0 -3 *28853:X 0 -4 *3552:44 0.00125582 -5 *3552:39 0.00528111 -6 *3552:30 0.000968034 -7 *3552:27 0.0018864 -8 *3552:22 0.00391487 -9 *3552:4 0.00702179 -10 *3552:22 *27140:A0 5.49489e-05 -11 *3552:22 *27140:A1 0.000231033 -12 *3552:22 *28702:D 5.74499e-06 -13 *3552:22 *3758:41 2.01997e-05 -14 *3552:22 *3901:79 2.09826e-05 -15 *3552:22 *3940:11 0.000135532 -16 *3552:22 *3940:15 2.12005e-05 -17 *3552:22 *5644:237 6.98953e-05 -18 *3552:22 *5836:284 0 -19 *3552:27 *25130:A1 0.000651004 -20 *3552:30 *27399:B1 0.00038538 -21 *3552:30 *30188:A 0.000417833 -22 *3552:30 *3771:22 0.000303541 -23 *3552:30 *3888:8 0.000187491 -24 *3552:30 *3888:10 0.000543192 -25 *3552:30 *3888:12 0.00149183 -26 *3552:30 *4109:46 0.00131553 -27 *3552:30 *4128:24 4.75241e-05 -28 *3552:30 *5832:8 0.000237969 -29 *3552:39 *28492:CLK 0.000289767 -30 *3552:39 *28492:RESET_B 0.000156838 -31 *3552:39 *28661:D 0.000210666 -32 *3552:39 *3822:24 0.000424029 -33 *3552:39 *4068:9 3.30337e-05 -34 *3552:44 *27422:B2 0.000271481 -35 *3552:44 *3705:61 0.000190333 -36 *3552:44 *5595:57 0.000223572 -37 *3552:44 *5644:71 0.000125243 -38 *3552:44 *5644:94 0.000316094 -39 *3552:44 *5650:329 0 -40 *3552:44 *5650:335 0.00182853 -41 *3552:44 *5777:223 0.00095247 -42 *3552:44 *5777:240 0.000971869 -43 *3552:44 *5814:12 0.000246796 -44 *3552:44 *5862:14 9.91086e-05 -45 *25130:A2 *3552:27 7.41177e-05 -46 *27140:S *3552:22 0.000709043 -47 *29540:A *3552:22 2.24195e-05 -48 *1225:119 *3552:22 0.000175892 -49 *1260:86 *3552:22 9.04754e-05 -50 *1262:66 *3552:27 0.000219289 -51 *1275:234 *3552:22 8.87536e-05 -52 *1292:65 *3552:22 0.000318035 -53 *1293:129 *3552:22 8.87461e-06 -54 *1506:17 *3552:44 0.000196015 -55 *1557:16 *3552:22 0.000182324 -56 *1633:29 *3552:22 0.000177815 -57 *1834:61 *3552:22 4.70487e-05 -58 *2774:92 *3552:22 0.000302665 -59 *2778:152 *3552:22 0.000438815 -60 *2782:147 *3552:39 0.00179614 -61 *2786:83 *3552:44 0.000203408 -62 *2874:305 *3552:30 0.000339419 -63 *2891:236 *3552:30 0.000414711 -64 *3177:136 *3552:30 0.000172134 -65 *3215:204 *3552:39 2.05612e-05 -66 *3215:211 *3552:22 0.000104109 -67 *3215:211 *3552:39 6.4161e-05 -68 *3550:11 *3552:22 0.000175892 -*RES -1 *28853:X *3552:4 9.3 -2 *3552:4 *3552:22 46.0996 -3 *3552:22 *3552:27 19.8393 -4 *3552:27 *3552:30 42.875 -5 *3552:30 *28863:A 9.3 -6 *3552:4 *3552:39 48.3214 -7 *3552:39 *3552:44 49.1964 -8 *3552:44 *28866:A 9.3 -*END - -*D_NET *3553 0.0250243 -*CONN -*I *28867:A I *D sky130_fd_sc_hd__clkbuf_8 -*I *28864:A I *D sky130_fd_sc_hd__clkbuf_8 -*I *28854:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 *28867:A 0.000658915 -2 *28864:A 0 -3 *28854:X 0 -4 *3553:26 0.00286517 -5 *3553:25 0.00222572 -6 *3553:17 0.00273673 -7 *3553:10 0.00298785 -8 *3553:4 0.000270584 -9 *3553:10 *28303:CLK 3.17163e-05 -10 *3553:10 *3585:14 0.000192214 -11 *3553:10 *3585:16 9.99989e-05 -12 *3553:10 *3684:64 2.59355e-05 -13 *3553:10 *3713:119 0.000600066 -14 *3553:17 *25847:A1 0.000139907 -15 *3553:17 *25848:A1 0.000399848 -16 *3553:17 *28245:D 7.9399e-05 -17 *3553:17 *30446:A 0.000487045 -18 *3553:17 *30529:A 0.000485543 -19 *3553:17 *3563:9 0.00012542 -20 *3553:17 *3740:31 0.000265734 -21 *3553:25 *3684:64 2.28598e-05 -22 *3553:26 *25767:A0 0.000266092 -23 *3553:26 *25767:A1 0.000788056 -24 *3553:26 *28249:D 0.000126769 -25 *3553:26 *28886:A 0.00131605 -26 *3553:26 *29059:A 4.75956e-06 -27 *3553:26 *3581:38 0.000979799 -28 *3553:26 *3581:45 0.000714097 -29 *3553:26 *3713:119 4.58835e-05 -30 *3553:26 *3713:130 0.000204051 -31 *3553:26 *5688:213 6.14499e-05 -32 *3553:26 *5761:131 0.000150625 -33 *3553:26 *5761:147 0.000765073 -34 *3553:26 *5780:8 3.2746e-05 -35 *26634:D *3553:26 0.000539833 -36 *26664:A2 *3553:26 0.000261235 -37 *26762:B *3553:26 0.000104974 -38 *26763:B *3553:26 0 -39 *26772:C *3553:26 0.000175519 -40 *26773:A2 *3553:26 4.31289e-05 -41 *28403:D *3553:26 5.01117e-05 -42 *28404:D *3553:26 2.72449e-05 -43 *29785:A *3553:10 1.58163e-05 -44 *29785:A *3553:25 1.58163e-05 -45 *1518:15 *3553:26 0.000248367 -46 *2045:8 *3553:26 2.84398e-05 -47 *2045:21 *3553:26 6.18306e-05 -48 *2045:35 *3553:26 0.0001566 -49 *2315:29 *3553:17 2.22999e-05 -50 *2529:8 *3553:17 5.52302e-05 -51 *2554:17 *3553:26 0.000550196 -52 *2608:26 *3553:26 0.00191233 -53 *3461:11 *28867:A 0.000599253 -*RES -1 *28854:X *3553:4 9.3 -2 *3553:4 *3553:10 17.25 -3 *3553:10 *3553:17 43.8214 -4 *3553:17 *28864:A 9.3 -5 *3553:4 *3553:25 5.03571 -6 *3553:25 *3553:26 78.1429 -7 *3553:26 *28867:A 25.425 -*END - -*D_NET *3554 0.00261676 -*CONN -*I *25794:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25809:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *28855:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *25794:A1 0.000235969 -2 *25809:A1 0.000457295 -3 *28855:X 0 -4 *3554:4 0.000693264 -5 *25794:A1 *3564:14 2.34204e-05 -6 *25809:A1 *25794:A0 3.63775e-05 -7 *25809:A1 *28063:B 5.01213e-05 -8 *25809:A1 *5356:11 2.41224e-05 -9 *25809:A1 *6169:107 0.000292701 -10 *27973:A1 *25809:A1 0.000139009 -11 *28254:D *25794:A1 8.23929e-05 -12 *1358:69 *25809:A1 0.000119987 -13 *1873:51 *25809:A1 0.000462099 -*RES -1 *28855:X *3554:4 9.3 -2 *3554:4 *25809:A1 28.0143 -3 *3554:4 *25794:A1 21.5143 -*END - -*D_NET *3555 0.00237582 -*CONN -*I *25566:A2 I *D sky130_fd_sc_hd__a22o_2 -*I *28856:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *25566:A2 0.000471798 -2 *28856:X 0.000471798 -3 *25566:A2 *28240:RESET_B 0.000120501 -4 *25566:A2 *4088:15 9.14834e-05 -5 *25566:A2 *5640:142 0.000479229 -6 *25566:A2 *5719:17 0.000374121 -7 *25566:A2 *5762:41 0.000366884 -*RES -1 *28856:X *25566:A2 30.1804 -*END - -*D_NET *3556 0.0210328 -*CONN -*I *28871:A I *D sky130_fd_sc_hd__clkbuf_8 -*I *28869:A I *D sky130_fd_sc_hd__clkbuf_8 -*I *28857:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 *28871:A 0.00112535 -2 *28869:A 0.00021478 -3 *28857:X 0 -4 *3556:29 0.00200952 -5 *3556:20 0.00203867 -6 *3556:5 0.00270806 -7 *28869:A *25310:B2 0.000758773 -8 *28869:A *3568:8 0.000345251 -9 *28869:A *3568:93 0.000408637 -10 *28871:A *3570:7 0.000137561 -11 *3556:20 *27858:B2 4.65519e-05 -12 *3556:20 *3663:56 0.0014242 -13 *3556:20 *3663:65 0.000244415 -14 *3556:20 *3853:72 0.00045834 -15 *3556:20 *4012:39 4.65519e-05 -16 *3556:20 *5657:49 4.88232e-05 -17 *3556:29 *25390:B2 0.000109154 -18 *3556:29 *3663:65 0.0019827 -19 *27856:B *3556:20 0.000244415 -20 *27856:B *3556:29 0.00025812 -21 *1363:85 *3556:20 0.000653199 -22 *1624:76 *3556:20 0.00180454 -23 *1624:85 *28871:A 0.00196511 -24 *1644:19 *3556:29 4.18834e-05 -25 *1713:26 *3556:20 0.000289312 -26 *1713:26 *3556:29 0.000101808 -27 *3153:55 *3556:29 0.001038 -28 *3153:80 *3556:29 0.000240494 -29 *3185:304 *3556:20 0 -30 *3194:108 *28871:A 0.000150002 -31 *3397:8 *3556:20 0.000103262 -32 *3441:14 *3556:29 1.08579e-05 -33 *3441:24 *3556:29 2.44268e-05 -*RES -1 *28857:X *3556:5 13.8 -2 *3556:5 *3556:20 43.8693 -3 *3556:20 *28869:A 16.8179 -4 *3556:5 *3556:29 31.3393 -5 *3556:29 *28871:A 31.6036 -*END - -*D_NET *3557 0.019364 -*CONN -*I *28870:A I *D sky130_fd_sc_hd__clkbuf_8 -*I *28872:A I *D sky130_fd_sc_hd__clkbuf_8 -*I *28858:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 *28870:A 0 -2 *28872:A 0 -3 *28858:X 0.000329088 -4 *3557:30 0.00113229 -5 *3557:15 0.00145556 -6 *3557:6 0.00291694 -7 *3557:6 *25396:A1 0.000214584 -8 *3557:6 *5629:37 0.000256693 -9 *3557:15 *25161:B2 0.000177545 -10 *3557:15 *25396:A1 0.000154992 -11 *3557:15 *3571:5 0.000353092 -12 *3557:15 *5738:82 4.43256e-05 -13 *3557:30 *25241:A2 0.000719211 -14 *3557:30 *3782:26 0.000396969 -15 *3557:30 *3988:44 0.000192492 -16 *3557:30 *5711:193 0.000339346 -17 *3557:30 *5717:74 0.00163664 -18 *1281:40 *3557:6 0.000696264 -19 *1281:40 *3557:15 0.000325918 -20 *1441:99 *3557:30 0.000190845 -21 *1560:16 *3557:30 4.13595e-05 -22 *2844:19 *3557:30 0.000749778 -23 *2850:54 *3557:15 0.00311317 -24 *2850:56 *3557:15 0.000100484 -25 *2871:24 *3557:30 1.32056e-05 -26 *2871:45 *3557:15 0.000642093 -27 *3205:55 *3557:30 0.000578065 -28 *3425:27 *3557:15 0.00259304 -*RES -1 *28858:X *3557:6 23.3357 -2 *3557:6 *3557:15 48.875 -3 *3557:15 *28872:A 9.3 -4 *3557:6 *3557:30 47.5357 -5 *3557:30 *28870:A 9.3 -*END - -*D_NET *3558 0.108003 -*CONN -*I *25551:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *6516:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6517:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6513:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6507:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6508:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6509:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6510:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6512:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6518:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6514:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6515:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *28165:CLK I *D sky130_fd_sc_hd__dfstp_4 -*I *28413:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 -*I *28408:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 -*I *28407:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 -*I *28079:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28080:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28169:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28086:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28096:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28081:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28093:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28859:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *25551:A1 2.28706e-05 -2 *6516:DIODE 0.000234447 -3 *6517:DIODE 0 -4 *6513:DIODE 0 -5 *6507:DIODE 0 -6 *6508:DIODE 0 -7 *6509:DIODE 0 -8 *6510:DIODE 0 -9 *6512:DIODE 0.000141556 -10 *6518:DIODE 0 -11 *6514:DIODE 0 -12 *6515:DIODE 6.17728e-05 -13 *28165:CLK 0 -14 *28413:CLK_N 0.00127541 -15 *28408:CLK_N 0.000147497 -16 *28407:CLK_N 0.000185479 -17 *28079:CLK 0.000140129 -18 *28080:CLK 2.25053e-05 -19 *28169:CLK 0.000126794 -20 *28086:CLK 0.000522316 -21 *28096:CLK 0.000788877 -22 *28081:CLK 6.7723e-05 -23 *28093:CLK 0.000149359 -24 *28859:X 0 -25 *3558:292 0.000327352 -26 *3558:285 0.000223783 -27 *3558:282 0.000356952 -28 *3558:276 0.000560855 -29 *3558:268 0.000493391 -30 *3558:252 0.000250701 -31 *3558:251 0.000384393 -32 *3558:231 0.000429499 -33 *3558:227 0.000549893 -34 *3558:224 0.00167709 -35 *3558:223 0.00369722 -36 *3558:213 0.00428671 -37 *3558:193 0.00797702 -38 *3558:191 0.0060552 -39 *3558:189 0.00332196 -40 *3558:187 0.00567822 -41 *3558:161 0.00163682 -42 *3558:150 0.000699888 -43 *3558:112 0.000999495 -44 *3558:88 0.00131384 -45 *3558:76 0.000674664 -46 *3558:71 0.00135186 -47 *3558:59 0.00136638 -48 *3558:58 0.00113669 -49 *3558:54 0.00144802 -50 *3558:38 0.00277072 -51 *3558:17 0.00389425 -52 *3558:4 0.00459333 -53 *6515:DIODE *25320:A1 1.99644e-05 -54 *6515:DIODE *3690:17 0 -55 *6515:DIODE *5625:8 0.000128154 -56 *6516:DIODE *5614:13 6.05161e-06 -57 *6516:DIODE *5625:8 0 -58 *6516:DIODE *5767:89 6.98302e-05 -59 *25551:A1 *25551:A0 2.44318e-05 -60 *28080:CLK *25466:B1 5.33005e-05 -61 *28086:CLK *25469:A1 4.35084e-05 -62 *28086:CLK *4165:122 0.000244567 -63 *28086:CLK *5836:176 8.85664e-07 -64 *28096:CLK *25546:S 3.09753e-05 -65 *28096:CLK *4163:76 0.00021484 -66 *28096:CLK *5316:23 2.30913e-05 -67 *28096:CLK *5728:72 0 -68 *28096:CLK *6169:144 0.00102855 -69 *28169:CLK *25469:A1 2.42516e-05 -70 *28408:CLK_N *25285:A1 4.96113e-05 -71 *28408:CLK_N *25441:A1 5.33005e-05 -72 *28408:CLK_N *5237:29 9.80904e-05 -73 *28413:CLK_N *25285:A1 0.000330436 -74 *28413:CLK_N *25807:A0 0 -75 *28413:CLK_N *28413:D 0.000302266 -76 *28413:CLK_N *4171:11 0.000150618 -77 *28413:CLK_N *5334:28 0 -78 *3558:17 *25801:A1 0.000176601 -79 *3558:17 *27566:B2 4.00349e-05 -80 *3558:17 *28138:D 0.000177254 -81 *3558:17 *28138:RESET_B 4.25954e-05 -82 *3558:17 *3871:17 2.79421e-05 -83 *3558:17 *3871:32 4.51726e-05 -84 *3558:17 *3947:68 0.000108726 -85 *3558:17 *4127:84 5.7473e-05 -86 *3558:17 *4487:24 6.42095e-05 -87 *3558:38 *28144:CLK 2.45626e-05 -88 *3558:38 *28144:D 0.000152763 -89 *3558:38 *5742:163 0.000158912 -90 *3558:38 *5901:57 9.02517e-05 -91 *3558:54 *25434:A 8.0786e-06 -92 *3558:54 *28148:D 0.000127414 -93 *3558:58 *25434:A 1.21258e-05 -94 *3558:58 *29545:A 0.000218679 -95 *3558:58 *5239:13 6.05161e-06 -96 *3558:59 *6169:126 0.000487899 -97 *3558:71 *28079:D 0 -98 *3558:71 *5317:19 0.000774283 -99 *3558:71 *5332:20 1.90936e-05 -100 *3558:71 *5545:59 3.34148e-05 -101 *3558:71 *5728:64 0.00023377 -102 *3558:71 *6169:126 4.30956e-05 -103 *3558:76 *24865:B 1.94945e-05 -104 *3558:76 *4167:14 0 -105 *3558:76 *5317:19 0.000706046 -106 *3558:88 *25469:A1 0.0001826 -107 *3558:88 *29550:A 8.71194e-05 -108 *3558:88 *4167:14 0.000100971 -109 *3558:88 *5316:23 6.68671e-05 -110 *3558:88 *5317:19 0 -111 *3558:112 *25469:A1 0.000104732 -112 *3558:112 *25470:A 9.41642e-05 -113 *3558:112 *25470:D_N 0.000150509 -114 *3558:112 *29550:A 1.32056e-05 -115 *3558:112 *4163:84 2.75938e-05 -116 *3558:112 *4192:58 8.86512e-05 -117 *3558:112 *5317:19 2.36643e-05 -118 *3558:150 *28413:D 1.36825e-05 -119 *3558:150 *5237:29 0.000241053 -120 *3558:150 *6169:126 0.000535519 -121 *3558:161 *25285:A1 1.52956e-05 -122 *3558:161 *28413:D 0.000365665 -123 *3558:161 *5237:29 0.000279805 -124 *3558:187 *28329:CLK 0.00023389 -125 *3558:187 *3739:81 0.000601889 -126 *3558:187 *3806:74 5.20359e-06 -127 *3558:187 *5686:15 3.06878e-06 -128 *3558:189 *27965:A 0.000236916 -129 *3558:189 *3728:5 0.000301438 -130 *3558:189 *3728:20 0.000410567 -131 *3558:189 *3828:77 0.0012487 -132 *3558:189 *3920:83 3.04311e-05 -133 *3558:189 *4132:65 0.00112103 -134 *3558:189 *5686:15 8.25843e-06 -135 *3558:193 *28467:CLK 1.58163e-05 -136 *3558:193 *28467:D 0.000189564 -137 *3558:193 *3664:7 0.000117552 -138 *3558:193 *4068:41 3.11789e-05 -139 *3558:193 *4132:65 0.00317498 -140 *3558:213 *25025:B2 0.000361377 -141 *3558:213 *28708:D 9.60939e-05 -142 *3558:213 *3939:51 4.28365e-05 -143 *3558:213 *4030:48 9.87983e-06 -144 *3558:213 *4137:33 3.03009e-05 -145 *3558:213 *5604:72 4.00349e-05 -146 *3558:213 *5627:181 0.000136845 -147 *3558:223 *27808:B2 2.17065e-05 -148 *3558:223 *28489:D 0.000141734 -149 *3558:223 *3787:44 0.000425959 -150 *3558:223 *3800:29 2.06112e-05 -151 *3558:223 *3864:63 2.996e-06 -152 *3558:223 *4072:50 0.000718715 -153 *3558:223 *4137:33 2.83129e-05 -154 *3558:223 *5529:46 5.52238e-05 -155 *3558:223 *5650:198 9.10327e-05 -156 *3558:224 *25012:A2 8.21706e-05 -157 *3558:224 *25014:B2 0.000420457 -158 *3558:224 *4124:102 0.00207631 -159 *3558:224 *4124:104 4.07656e-05 -160 *3558:224 *5528:47 4.2105e-05 -161 *3558:224 *5528:53 0.000912185 -162 *3558:224 *5529:52 0.00299841 -163 *3558:227 *5708:211 7.80417e-05 -164 *3558:231 *5708:211 0.000263524 -165 *3558:251 *26891:A0 0.00010326 -166 *3558:251 *28555:CLK 1.00375e-05 -167 *3558:251 *28555:D 2.09826e-05 -168 *3558:251 *5528:53 0.000329816 -169 *3558:276 *30834:A 1.07719e-05 -170 *3558:282 *25551:A0 7.43578e-06 -171 *3558:285 *28555:SET_B 0.00022117 -172 *3558:285 *5625:8 0 -173 *3558:285 *5767:89 3.34366e-05 -174 *3558:292 *5625:8 0 -175 *3558:292 *5767:89 0.000189448 -176 *25012:B1 *3558:224 0.000101545 -177 *25013:A2 *3558:224 2.11419e-05 -178 *25014:C1 *3558:224 0.000329335 -179 *25016:B *3558:224 7.83587e-05 -180 *25036:A0 *28413:CLK_N 0.0002877 -181 *25285:A0 *28413:CLK_N 8.94332e-05 -182 *25286:A0 *28413:CLK_N 0.000148911 -183 *25331:B1 *3558:189 2.41198e-05 -184 *25334:C *3558:189 0.000140936 -185 *25466:A2 *28080:CLK 1.58163e-05 -186 *25471:S *28169:CLK 0.000216755 -187 *25471:S *3558:112 0.000181803 -188 *25476:B1_N *3558:76 0.000164316 -189 *25477:B *28086:CLK 0.000184729 -190 *25515:B1 *3558:76 4.04359e-05 -191 *25541:A *28086:CLK 9.41642e-05 -192 *26975:S *3558:231 0.000168177 -193 *27373:C1 *3558:213 1.90936e-05 -194 *27457:B1 *3558:213 1.02821e-05 -195 *27458:A2 *3558:223 0.000111987 -196 *27965:C *3558:189 0.000593763 -197 *28081:D *28081:CLK 8.86129e-06 -198 *28081:D *3558:76 9.69399e-05 -199 *28081:RESET_B *28081:CLK 7.19623e-05 -200 *28081:RESET_B *3558:76 9.33521e-05 -201 *28094:RESET_B *3558:38 0.000164236 -202 *28165:D *3558:54 5.38242e-05 -203 *28165:D *3558:58 0.000216755 -204 *28165:SET_B *3558:54 0.000171603 -205 *28407:D *28407:CLK_N 0.000118843 -206 *28407:RESET_B *3558:58 9.60875e-05 -207 *28409:D *28413:CLK_N 0 -208 *28413:RESET_B *28413:CLK_N 0.000344352 -209 *29467:A *3558:59 0 -210 *29467:A *3558:71 0 -211 *29467:A *3558:150 0 -212 *30167:A *3558:17 4.95506e-05 -213 *30355:A *3558:17 0.000589595 -214 *30406:A *3558:224 0.000128161 -215 *30490:A *3558:17 0.000117919 -216 *30691:A *3558:292 2.62984e-05 -217 *373:10 *28086:CLK 0.000263524 -218 *389:8 *28096:CLK 0 -219 *399:17 *28086:CLK 4.18834e-05 -220 *399:18 *28086:CLK 0.000243687 -221 *429:31 *3558:71 0 -222 *429:31 *3558:76 0 -223 *448:11 *28096:CLK 6.81786e-05 -224 *623:22 *3558:76 1.69252e-05 -225 *1196:23 *3558:38 0.000371172 -226 *1196:23 *3558:54 0.000528744 -227 *1202:17 *3558:38 0.000600677 -228 *1205:22 *3558:17 0.000243698 -229 *1225:33 *3558:213 0 -230 *1258:123 *3558:227 0.000307343 -231 *1324:146 *28413:CLK_N 2.06178e-05 -232 *1339:36 *3558:224 0.000579046 -233 *1385:25 *3558:223 7.59802e-06 -234 *1393:165 *28407:CLK_N 4.00679e-05 -235 *1438:28 *3558:193 0.000403842 -236 *1603:76 *3558:59 9.25014e-06 -237 *1603:76 *3558:71 0.000149641 -238 *1731:19 *28093:CLK 5.37473e-05 -239 *1731:19 *3558:38 0.000534594 -240 *1740:22 *28079:CLK 0.000164152 -241 *1742:12 *28093:CLK 2.11419e-05 -242 *1746:17 *3558:38 6.09762e-05 -243 *1746:17 *3558:54 0.000560611 -244 *1752:15 *3558:71 1.09611e-05 -245 *1752:19 *28093:CLK 0.00010663 -246 *1766:24 *3558:71 2.26029e-05 -247 *1766:24 *3558:76 5.15952e-05 -248 *1778:25 *28096:CLK 0.000265205 -249 *1808:11 *28086:CLK 5.33005e-05 -250 *1872:40 *3558:17 4.08637e-05 -251 *1872:45 *3558:17 0.000343803 -252 *2758:105 *3558:213 2.16696e-06 -253 *2759:168 *3558:276 9.41642e-05 -254 *2764:166 *3558:213 0.000602373 -255 *2764:166 *3558:223 0.000140228 -256 *2764:209 *3558:223 0.000123176 -257 *2767:34 *3558:224 0.000187413 -258 *2767:34 *3558:227 7.48091e-05 -259 *2767:34 *3558:251 1.97381e-05 -260 *2768:175 *3558:282 9.41642e-05 -261 *2769:98 *3558:227 9.71197e-05 -262 *2772:171 *3558:251 0 -263 *2772:177 *3558:224 6.27233e-05 -264 *2772:183 *3558:224 1.18064e-05 -265 *2788:25 *3558:193 6.05161e-06 -266 *2853:202 *3558:213 0.000506281 -267 *2853:202 *3558:223 0.000563673 -268 *2860:209 *3558:213 2.01997e-05 -269 *2864:132 *3558:223 0.000424029 -270 *2866:48 *3558:213 4.1879e-05 -271 *2866:59 *3558:213 0.00138034 -272 *2872:168 *3558:213 0.00208937 -273 *2874:169 *3558:213 4.11218e-05 -274 *3119:16 *3558:17 0.000503614 -275 *3174:209 *3558:213 0.00029583 -276 *3192:107 *3558:17 0 -277 *3197:125 *3558:17 7.43301e-05 -278 *3206:207 *3558:223 7.69776e-06 -*RES -1 *28859:X *3558:4 9.3 -2 *3558:4 *3558:17 45.9464 -3 *3558:17 *3558:38 32.5625 -4 *3558:38 *28093:CLK 16.6482 -5 *3558:38 *3558:54 20.7232 -6 *3558:54 *3558:58 13.25 -7 *3558:58 *3558:59 7.41071 -8 *3558:59 *3558:71 20.8476 -9 *3558:71 *3558:76 15.8036 -10 *3558:76 *28081:CLK 10.6571 -11 *3558:76 *3558:88 13.625 -12 *3558:88 *28096:CLK 36.0679 -13 *3558:88 *3558:112 7.67857 -14 *3558:112 *28086:CLK 29.9964 -15 *3558:112 *28169:CLK 11.9071 -16 *3558:71 *28080:CLK 14.3357 -17 *3558:59 *28079:CLK 16.8 -18 *3558:58 *3558:150 7.94643 -19 *3558:150 *28407:CLK_N 17.2107 -20 *3558:150 *3558:161 5.51786 -21 *3558:161 *28408:CLK_N 16.8 -22 *3558:161 *28413:CLK_N 37.7286 -23 *3558:54 *28165:CLK 9.3 -24 *3558:4 *3558:187 27.25 -25 *3558:187 *3558:189 52.4911 -26 *3558:189 *3558:191 0.428571 -27 *3558:191 *3558:193 69.7411 -28 *3558:193 *3558:213 49.1386 -29 *3558:213 *3558:223 42.2864 -30 *3558:223 *3558:224 56.5893 -31 *3558:224 *3558:227 9.14286 -32 *3558:227 *3558:231 7.125 -33 *3558:231 *6515:DIODE 19.9429 -34 *3558:231 *6514:DIODE 9.3 -35 *3558:227 *6518:DIODE 9.3 -36 *3558:224 *3558:251 8.875 -37 *3558:251 *3558:252 1.35714 -38 *3558:252 *6512:DIODE 11.0679 -39 *3558:252 *6510:DIODE 9.3 -40 *3558:251 *6509:DIODE 9.3 -41 *3558:251 *3558:268 1.76786 -42 *3558:268 *6508:DIODE 9.3 -43 *3558:268 *3558:276 5.375 -44 *3558:276 *6507:DIODE 9.3 -45 *3558:276 *3558:282 3 -46 *3558:282 *3558:285 7.66071 -47 *3558:285 *6513:DIODE 13.8 -48 *3558:285 *3558:292 2.55357 -49 *3558:292 *6517:DIODE 13.8 -50 *3558:292 *6516:DIODE 17.0143 -51 *3558:282 *25551:A1 9.83571 -*END - -*D_NET *3559 0.0175301 -*CONN -*I *28875:A I *D sky130_fd_sc_hd__clkbuf_8 -*I *28873:A I *D sky130_fd_sc_hd__clkbuf_8 -*I *28860:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 *28875:A 0.000376309 -2 *28873:A 0 -3 *28860:X 1.69531e-05 -4 *3559:21 0.00166019 -5 *3559:14 0.00139808 -6 *3559:7 0.00269891 -7 *28875:A *28468:CLK 0.000229332 -8 *28875:A *3991:29 2.89016e-05 -9 *3559:7 *28804:CLK 5.33005e-05 -10 *3559:14 *28806:RESET_B 0.000323259 -11 *3559:21 *28806:RESET_B 0.000337495 -12 *3559:21 *3964:48 0.00107531 -13 *27318:C *3559:14 4.22135e-06 -14 *27597:A *3559:14 5.80706e-06 -15 *27608:A *3559:14 7.81998e-05 -16 *1246:76 *3559:21 0.000189264 -17 *1246:230 *3559:21 0.000204584 -18 *1490:26 *3559:14 0.00166633 -19 *1490:26 *3559:21 0.00311492 -20 *1864:141 *28875:A 7.49387e-06 -21 *2760:33 *28875:A 1.21258e-05 -22 *2780:6 *3559:21 0.000308657 -23 *2780:25 *3559:21 0.000149668 -24 *2787:112 *3559:14 1.43638e-05 -25 *2849:66 *3559:14 0.000209422 -26 *2849:79 *3559:14 0.000329941 -27 *2849:91 *3559:14 0.000350619 -28 *2856:270 *3559:7 5.33005e-05 -29 *2864:96 *3559:14 1.50904e-05 -30 *2871:194 *3559:21 1.97827e-05 -31 *2872:160 *3559:14 0.00120656 -32 *2892:132 *28875:A 5.33005e-05 -33 *2895:23 *3559:14 0.00126109 -34 *3152:9 *3559:14 7.72916e-05 -*RES -1 *28860:X *3559:7 14.3357 -2 *3559:7 *3559:14 46.9821 -3 *3559:14 *28873:A 9.3 -4 *3559:7 *3559:21 45.6071 -5 *3559:21 *28875:A 15.175 -*END - -*D_NET *3560 0.0131942 -*CONN -*I *28876:A I *D sky130_fd_sc_hd__clkbuf_8 -*I *28874:A I *D sky130_fd_sc_hd__clkbuf_8 -*I *28861:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 *28876:A 0.00117424 -2 *28874:A 0.000510147 -3 *28861:X 0.00110607 -4 *3560:21 0.00241912 -5 *3560:18 0.0018408 -6 *28874:A *28612:RESET_B 0.000197541 -7 *28874:A *3572:34 0.000255968 -8 *28874:A *3820:69 0.000105828 -9 *28874:A *3820:77 8.85664e-07 -10 *28874:A *5486:20 0 -11 *28874:A *5700:149 0.000234521 -12 *28874:A *5750:63 9.77038e-05 -13 *28876:A *28788:CLK 2.90548e-05 -14 *28876:A *28788:D 0.000157311 -15 *28876:A *5592:45 0.000167223 -16 *28876:A *5655:29 2.4626e-05 -17 *3560:18 *27161:A0 0.000118364 -18 *3560:18 *28736:CLK 6.96846e-05 -19 *3560:18 *29436:A 2.22424e-05 -20 *3560:18 *3797:38 0.000368957 -21 *3560:18 *3797:53 0 -22 *3560:18 *3989:66 0.000242907 -23 *3560:18 *5486:20 0.00015608 -24 *3560:18 *5873:153 0.000208159 -25 *3560:21 *5592:45 0.000108384 -26 *27136:S *3560:18 9.75668e-05 -27 *29437:A *3560:18 0.000100609 -28 *1244:128 *3560:18 2.33652e-05 -29 *1547:26 *3560:18 0.000505757 -30 *2784:59 *3560:18 0.000719684 -31 *2787:78 *3560:18 0.000159084 -32 *2859:28 *3560:18 0.000505128 -33 *2871:20 *28876:A 0.000153222 -34 *2874:32 *3560:18 9.73566e-05 -35 *2888:22 *3560:18 1.68854e-05 -36 *2889:211 *3560:18 4.11218e-05 -37 *3160:26 *3560:21 0.000765096 -38 *3185:264 *3560:18 2.90824e-05 -39 *3205:43 *3560:18 0.000364456 -*RES -1 *28861:X *3560:18 46.0665 -2 *3560:18 *3560:21 14.0714 -3 *3560:21 *28874:A 28.9786 -4 *3560:21 *28876:A 23.4071 -*END - -*D_NET *3561 0.053493 -*CONN -*I *28409:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 -*I *28414:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 -*I *28094:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28066:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 -*I *28085:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28082:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28078:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28166:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28069:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28095:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28068:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28084:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *25569:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *28083:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28097:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28168:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28167:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28077:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28862:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28409:CLK_N 0.000401576 -2 *28414:CLK_N 0 -3 *28094:CLK 0.000164528 -4 *28066:CLK_N 0 -5 *28085:CLK 0 -6 *28082:CLK 2.37855e-05 -7 *28078:CLK 0.000336583 -8 *28166:CLK 0 -9 *28069:CLK 0 -10 *28095:CLK 0.000656606 -11 *28068:CLK 0.00011787 -12 *28084:CLK 9.79317e-05 -13 *25569:A1 0.000564225 -14 *28083:CLK 0 -15 *28097:CLK 0.000237355 -16 *28168:CLK 0.000163358 -17 *28167:CLK 0 -18 *28077:CLK 0.000992735 -19 *28862:X 0.00153182 -20 *3561:170 0.00091774 -21 *3561:131 0.000419322 -22 *3561:115 0.000788637 -23 *3561:113 0.000774275 -24 *3561:104 0.000436422 -25 *3561:95 0.000720647 -26 *3561:93 0.000462614 -27 *3561:88 0.00182522 -28 *3561:59 0.00185353 -29 *3561:57 0.00103834 -30 *3561:53 0.000596913 -31 *3561:49 0.000994501 -32 *3561:41 0.00069464 -33 *3561:28 0.00142679 -34 *3561:24 0.00077503 -35 *3561:22 0.000318933 -36 *3561:20 0.00066251 -37 *3561:19 0.0023286 -38 *3561:16 0.00374198 -39 *25569:A1 *6036:13 0.000558836 -40 *28068:CLK *4187:43 0.000166485 -41 *28068:CLK *4187:48 7.43578e-06 -42 *28077:CLK *25817:A0 2.21751e-05 -43 *28077:CLK *4161:41 0.000310653 -44 *28077:CLK *4166:7 1.16832e-05 -45 *28077:CLK *5236:20 0.000269266 -46 *28078:CLK *29481:A 0.000604117 -47 *28078:CLK *29563:A 0.000147121 -48 *28078:CLK *5253:21 0.00030427 -49 *28078:CLK *5326:11 0.000147121 -50 *28084:CLK *4184:8 0 -51 *28084:CLK *4187:20 0 -52 *28095:CLK *28035:B 0 -53 *28097:CLK *4165:13 5.33005e-05 -54 *28097:CLK *5007:10 0.000332316 -55 *28168:CLK *25471:A1 5.58551e-05 -56 *28168:CLK *4546:20 7.56452e-06 -57 *28168:CLK *4759:8 9.07341e-06 -58 *28409:CLK_N *4171:11 0.000222491 -59 *3561:16 *25868:A0 0.0004003 -60 *3561:16 *25868:A1 0.000222244 -61 *3561:16 *28718:RESET_B 0.000120895 -62 *3561:16 *3685:20 4.24764e-05 -63 *3561:16 *3830:36 4.65158e-06 -64 *3561:16 *3975:22 0.0020361 -65 *3561:16 *4143:11 0 -66 *3561:16 *5007:34 6.64099e-05 -67 *3561:16 *5666:75 2.0283e-05 -68 *3561:16 *5713:25 0.000717488 -69 *3561:16 *5743:122 7.67219e-05 -70 *3561:19 *25036:A1 5.33005e-05 -71 *3561:19 *25776:A0 0.000593395 -72 *3561:19 *25776:A1 0.000128409 -73 *3561:19 *25805:A0 5.49489e-05 -74 *3561:19 *25839:A1 5.33005e-05 -75 *3561:19 *29454:A 0.00101974 -76 *3561:19 *3871:32 0.000177815 -77 *3561:19 *4159:9 0.000639517 -78 *3561:19 *4159:14 0.000221634 -79 *3561:19 *5686:77 0.000216755 -80 *3561:19 *5686:100 2.40107e-05 -81 *3561:19 *5838:11 5.74499e-06 -82 *3561:20 *25036:A1 9.91086e-05 -83 *3561:20 *29476:A 0.000325965 -84 *3561:20 *5350:8 3.13225e-05 -85 *3561:22 *28064:B 1.94879e-05 -86 *3561:22 *29476:A 0.000195291 -87 *3561:22 *4175:10 8.24637e-05 -88 *3561:24 *24867:A2 0.000121573 -89 *3561:24 *4175:10 0.000122927 -90 *3561:24 *5350:8 0.000159465 -91 *3561:28 *25348:A1 2.65576e-05 -92 *3561:28 *29481:A 1.4396e-05 -93 *3561:28 *4170:20 5.88158e-05 -94 *3561:28 *4175:10 0.000754052 -95 *3561:28 *5236:20 0.00077542 -96 *3561:28 *5350:8 2.48477e-05 -97 *3561:28 *6169:126 0 -98 *3561:49 *4192:14 0.000110029 -99 *3561:49 *5350:8 6.81786e-05 -100 *3561:53 *4160:38 2.30116e-06 -101 *3561:57 *24998:A 0.000100136 -102 *3561:57 *29485:A 0.000345257 -103 *3561:57 *5727:8 3.10885e-05 -104 *3561:57 *5727:20 1.94879e-05 -105 *3561:57 *5836:150 0.000257624 -106 *3561:59 *24998:A 2.30169e-05 -107 *3561:59 *5836:150 0.000164347 -108 *3561:88 *25459:A0 5.29459e-05 -109 *3561:88 *28169:D 6.24939e-05 -110 *3561:88 *3857:21 4.65519e-05 -111 *3561:88 *4194:51 0.000192732 -112 *3561:88 *5256:23 0.000333145 -113 *3561:88 *5467:14 5.00194e-05 -114 *3561:88 *5902:22 0 -115 *3561:93 *25459:A0 0.000360351 -116 *3561:93 *28169:D 0.000270803 -117 *3561:93 *5007:10 0.000419739 -118 *3561:93 *5304:13 0.000218741 -119 *3561:95 *4165:5 4.38128e-05 -120 *3561:95 *4165:13 9.60939e-05 -121 *3561:95 *5007:10 0.000173551 -122 *3561:104 *4164:82 0.000215448 -123 *3561:104 *4165:13 0.000424395 -124 *3561:131 *4163:17 2.28499e-05 -125 *3561:131 *4163:145 0.000137983 -126 *3561:131 *4187:48 6.26276e-05 -127 *25418:B *25569:A1 0.000127489 -128 *25494:B1 *25569:A1 0.000256434 -129 *25494:B1 *3561:104 0.00017309 -130 *25494:B1 *3561:113 6.2216e-05 -131 *25494:B1 *3561:115 4.00025e-05 -132 *25805:A1 *3561:19 0.000298483 -133 *25805:S *3561:19 4.10926e-05 -134 *25814:A1 *28078:CLK 0.000640564 -135 *25839:S *3561:19 6.05161e-06 -136 *25868:S *3561:16 0.000135028 -137 *25880:S *3561:16 2.09897e-05 -138 *27924:A2 *3561:16 9.72462e-05 -139 *27959:A2 *3561:16 0.000150985 -140 *28069:D *28097:CLK 0.000201843 -141 *28069:D *3561:93 6.26276e-05 -142 *28069:D *3561:95 0.000235329 -143 *28082:RESET_B *3561:88 0.000111835 -144 *28095:D *28095:CLK 6.61173e-05 -145 *28096:RESET_B *25569:A1 0.000150838 -146 *28096:RESET_B *3561:104 0.000263999 -147 *28096:RESET_B *3561:113 0.000147211 -148 *28096:RESET_B *3561:115 8.15095e-05 -149 *28097:D *28097:CLK 2.84109e-05 -150 *28167:RESET_B *3561:49 0.000423716 -151 *28407:RESET_B *28077:CLK 0.000265122 -152 *28407:RESET_B *3561:28 0.000361046 -153 *28408:RESET_B *28094:CLK 0.000176429 -154 *28409:RESET_B *28409:CLK_N 0.000148156 -155 *30278:A *3561:19 2.95726e-05 -156 *373:10 *3561:49 0.00018444 -157 *373:10 *3561:53 0.000741916 -158 *388:10 *28068:CLK 1.98839e-05 -159 *388:10 *28084:CLK 3.34366e-05 -160 *388:10 *28095:CLK 4.49203e-05 -161 *388:10 *3561:131 0.000229332 -162 *429:31 *28077:CLK 8.55871e-05 -163 *434:13 *3561:170 0.000142395 -164 *1173:20 *28168:CLK 0.000107093 -165 *1173:20 *3561:59 0.000362773 -166 *1173:22 *28168:CLK 8.6229e-06 -167 *1191:11 *3561:28 2.19185e-05 -168 *1191:65 *3561:22 0.000363296 -169 *1191:65 *3561:24 5.42764e-05 -170 *1191:107 *3561:20 0.000171375 -171 *1191:107 *3561:22 2.26824e-05 -172 *1192:25 *28168:CLK 6.09762e-05 -173 *1192:25 *3561:59 0.000246462 -174 *1197:15 *3561:19 0.000643647 -175 *1202:43 *28078:CLK 0.000427378 -176 *1202:43 *3561:170 1.73294e-05 -177 *1323:24 *28084:CLK 0.000152333 -178 *1323:57 *28094:CLK 8.55871e-05 -179 *1358:25 *3561:16 0 -180 *1732:29 *3561:104 7.11699e-05 -181 *1745:38 *3561:88 4.65519e-05 -182 *1752:19 *3561:49 0.000194691 -183 *1752:19 *3561:53 0.000729199 -184 *1778:16 *3561:93 9.25014e-06 -185 *3479:8 *3561:16 3.47856e-05 -186 *3541:43 *3561:16 6.79326e-05 -*RES -1 *28862:X *3561:16 48.5235 -2 *3561:16 *3561:19 46.9286 -3 *3561:19 *3561:20 4.375 -4 *3561:20 *3561:22 4.98214 -5 *3561:22 *3561:24 4.98214 -6 *3561:24 *3561:28 15.2321 -7 *3561:28 *28077:CLK 27.9786 -8 *3561:28 *3561:41 4.5 -9 *3561:41 *28167:CLK 9.3 -10 *3561:41 *3561:49 17.4464 -11 *3561:49 *3561:53 14.3393 -12 *3561:53 *3561:57 11.375 -13 *3561:57 *3561:59 5.74107 -14 *3561:59 *28168:CLK 17.483 -15 *3561:59 *3561:88 17.2938 -16 *3561:88 *3561:93 14.9107 -17 *3561:93 *3561:95 4.64286 -18 *3561:95 *28097:CLK 15.9964 -19 *3561:95 *3561:104 14.3393 -20 *3561:104 *28083:CLK 13.8 -21 *3561:104 *3561:113 2.48214 -22 *3561:113 *3561:115 1.03571 -23 *3561:115 *25569:A1 23.9964 -24 *3561:115 *3561:131 9.14286 -25 *3561:131 *28084:CLK 20.675 -26 *3561:131 *28068:CLK 12.3 -27 *3561:113 *28095:CLK 21.6393 -28 *3561:93 *28069:CLK 9.3 -29 *3561:88 *28166:CLK 13.8 -30 *3561:57 *3561:170 10.8036 -31 *3561:170 *28078:CLK 30.6393 -32 *3561:170 *28082:CLK 9.72857 -33 *3561:53 *28085:CLK 9.3 -34 *3561:49 *28066:CLK_N 13.8 -35 *3561:24 *28094:CLK 17.2107 -36 *3561:22 *28414:CLK_N 13.8 -37 *3561:20 *28409:CLK_N 21.3179 -*END - -*D_NET *3562 0.0182002 -*CONN -*I *28879:A I *D sky130_fd_sc_hd__clkbuf_8 -*I *28877:A I *D sky130_fd_sc_hd__clkbuf_8 -*I *28863:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 *28879:A 6.2986e-05 -2 *28877:A 0.000705171 -3 *28863:X 0 -4 *3562:25 0.00117809 -5 *3562:16 0.00193887 -6 *3562:5 0.0023488 -7 *3562:16 *27411:A1 0 -8 *3562:16 *27762:A2 0 -9 *3562:16 *3784:36 0.000114637 -10 *3562:16 *3784:54 0.000101545 -11 *3562:16 *3810:26 0 -12 *3562:16 *3810:47 0 -13 *3562:16 *3959:45 2.63501e-05 -14 *3562:16 *3959:63 0.000110026 -15 *3562:16 *4025:60 9.96264e-05 -16 *3562:16 *4088:26 0.000322801 -17 *3562:16 *4109:46 0.000107157 -18 *3562:16 *5613:22 2.13687e-05 -19 *3562:16 *5613:46 9.86249e-07 -20 *3562:16 *5891:18 2.11419e-05 -21 *3562:16 *5891:76 0.000735226 -22 *3562:25 *3784:36 0.000121549 -23 *3562:25 *5891:76 0.00348719 -24 *27281:A2 *3562:16 1.1984e-05 -25 *27411:A2 *3562:16 0 -26 *1447:78 *28877:A 0.000508159 -27 *1465:14 *3562:16 0.000170661 -28 *1672:16 *3562:16 0.00151645 -29 *1672:16 *3562:25 0.00347865 -30 *1672:32 *3562:16 0.000484393 -31 *2767:175 *28879:A 3.04394e-05 -32 *2844:328 *3562:16 3.81794e-07 -33 *2972:10 *3562:16 0.000495527 -34 *3177:136 *3562:16 0 -*RES -1 *28863:X *3562:5 13.8 -2 *3562:5 *3562:16 44.5124 -3 *3562:16 *28877:A 19.2821 -4 *3562:5 *3562:25 49.8571 -5 *3562:25 *28879:A 10.2464 -*END - -*D_NET *3563 0.0119319 -*CONN -*I *28878:A I *D sky130_fd_sc_hd__clkbuf_8 -*I *28880:A I *D sky130_fd_sc_hd__clkbuf_8 -*I *28864:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 *28878:A 0.000893601 -2 *28880:A 0.0015567 -3 *28864:X 0.00137364 -4 *3563:9 0.00382394 -5 *28878:A *3564:14 0.000178712 -6 *28880:A *29579:A 0.000218679 -7 *28880:A *30984:A 6.1684e-05 -8 *28880:A *3579:7 0.000177194 -9 *28880:A *5542:44 1.50181e-05 -10 *28880:A *5554:35 9.24395e-05 -11 *28880:A *5566:41 0.000308602 -12 *26266:D *28878:A 0.000906681 -13 *28257:D *28880:A 0.00010326 -14 *414:22 *28878:A 0 -15 *1323:109 *28880:A 0.00020042 -16 *1393:124 *28880:A 0.000154332 -17 *2145:29 *28878:A 0.00059433 -18 *2149:10 *28880:A 2.51343e-06 -19 *2152:16 *28878:A 0.000307728 -20 *2152:33 *28878:A 0.00027095 -21 *2183:33 *28878:A 3.45894e-05 -22 *2203:19 *28878:A 0.000531443 -23 *3553:17 *3563:9 0.00012542 -*RES -1 *28864:X *3563:9 28.8714 -2 *3563:9 *28880:A 44.3893 -3 *3563:9 *28878:A 45.1393 -*END - -*D_NET *3564 0.0398402 -*CONN -*I *25111:B2 I *D sky130_fd_sc_hd__a221o_2 -*I *28089:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28087:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28411:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 -*I *28412:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 -*I *28090:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28088:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28091:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28410:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 -*I *28092:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28865:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *25111:B2 0 -2 *28089:CLK 0 -3 *28087:CLK 0 -4 *28411:CLK_N 8.33584e-05 -5 *28412:CLK_N 0.000215151 -6 *28090:CLK 0.000622759 -7 *28088:CLK 9.39881e-05 -8 *28091:CLK 9.94877e-05 -9 *28410:CLK_N 0.000349158 -10 *28092:CLK 0.000314887 -11 *28865:X 0.000226383 -12 *3564:103 0.00226003 -13 *3564:71 0.000653823 -14 *3564:39 0.000448646 -15 *3564:28 0.000488674 -16 *3564:26 0.000378044 -17 *3564:24 0.000887213 -18 *3564:22 0.000725556 -19 *3564:19 0.000631371 -20 *3564:14 0.00135383 -21 *3564:11 0.00396689 -22 *3564:10 0.00551479 -23 *28090:CLK *25790:A0 0.00038907 -24 *28090:CLK *28045:B 0.000135028 -25 *28090:CLK *5742:207 7.53045e-05 -26 *28092:CLK *29470:A 0.000497199 -27 *28092:CLK *5238:13 6.05161e-06 -28 *28410:CLK_N *28410:D 7.8195e-05 -29 *28410:CLK_N *6169:113 0.0001117 -30 *28411:CLK_N *6169:113 0 -31 *28412:CLK_N *25790:A0 9.31209e-05 -32 *28412:CLK_N *6169:113 0 -33 *3564:10 *28303:RESET_B 0.00033341 -34 *3564:10 *3948:24 0.000469799 -35 *3564:11 *25847:A0 0.000111172 -36 *3564:11 *25847:S 4.87854e-05 -37 *3564:11 *25848:A1 0.00026546 -38 *3564:11 *25851:A1 0.000301438 -39 *3564:11 *25851:S 1.21258e-05 -40 *3564:11 *25860:A0 0.000266479 -41 *3564:11 *26237:B 2.59355e-05 -42 *3564:11 *28299:D 9.90431e-05 -43 *3564:11 *29438:A 0.000186669 -44 *3564:11 *3698:78 4.45618e-05 -45 *3564:11 *5209:30 4.55516e-05 -46 *3564:11 *5611:34 4.72487e-05 -47 *3564:11 *5688:225 9.60939e-05 -48 *3564:11 *5688:242 0.000137983 -49 *3564:11 *5709:112 0.000978883 -50 *3564:11 *5841:11 3.98431e-05 -51 *3564:11 *5841:13 6.87574e-05 -52 *3564:14 *25794:A0 0.000175512 -53 *3564:14 *26237:B 5.66157e-05 -54 *3564:14 *5728:10 0 -55 *3564:14 *5742:275 0 -56 *3564:22 *5575:8 0.00012401 -57 *3564:22 *5575:30 0.000364673 -58 *3564:26 *4174:17 0.000121573 -59 *3564:103 *25851:A1 0.000309273 -60 *3564:103 *27949:A1 0.000424029 -61 *3564:103 *3689:57 0.00244273 -62 *3564:103 *3698:78 2.79031e-05 -63 *3564:103 *3698:81 0.000124847 -64 *3564:103 *3700:52 0.000161227 -65 *3564:103 *3700:72 0.000269125 -66 *3564:103 *4152:60 1.21258e-05 -67 *3564:103 *5611:47 0.000143463 -68 *3564:103 *5890:42 0.000467939 -69 *25000:A0 *28092:CLK 6.06291e-05 -70 *25073:A0 *3564:71 0.000232178 -71 *25111:C1 *3564:103 4.28381e-05 -72 *25168:S *3564:71 5.49489e-05 -73 *25169:A0 *3564:71 0.000605605 -74 *25361:A2 *3564:103 0.000488564 -75 *25361:B1 *3564:10 6.37946e-05 -76 *25361:B1 *3564:103 5.52302e-05 -77 *25790:A1 *28090:CLK 2.28598e-05 -78 *25794:A1 *3564:14 2.34204e-05 -79 *25794:S *3564:14 0 -80 *25794:S *3564:19 0 -81 *26242:D *3564:14 0.000176907 -82 *26255:A_N *3564:14 0.000133568 -83 *26266:D *3564:14 0.0001008 -84 *28089:D *3564:19 0.000120246 -85 *28090:RESET_B *28090:CLK 0.000223564 -86 *28254:D *3564:14 0 -87 *28410:RESET_B *28091:CLK 8.40933e-05 -88 *28410:RESET_B *28410:CLK_N 9.27914e-05 -89 *28411:RESET_B *28092:CLK 3.14008e-05 -90 *28411:RESET_B *3564:22 9.56112e-07 -91 *28411:RESET_B *3564:24 0.000173577 -92 *28411:RESET_B *3564:26 3.98428e-05 -93 *28411:RESET_B *3564:28 0.000188787 -94 *28412:RESET_B *28411:CLK_N 1.25366e-05 -95 *28412:RESET_B *28412:CLK_N 9.60875e-05 -96 *28816:D *28411:CLK_N 0.000135869 -97 *28816:D *28412:CLK_N 0.000363238 -98 *28878:A *3564:14 0.000178712 -99 *29477:A *3564:24 6.8646e-06 -100 *29477:A *3564:26 7.09725e-05 -101 *29477:A *3564:28 6.8646e-06 -102 *30187:A *3564:11 5.52302e-05 -103 *30457:A *3564:11 0.000188586 -104 *30530:A *3564:11 0.000181189 -105 *399:18 *28092:CLK 0.000501335 -106 *414:22 *3564:14 0 -107 *414:22 *3564:19 9.25014e-06 -108 *593:19 *3564:71 0.000136014 -109 *1169:10 *28090:CLK 5.52302e-05 -110 *1169:10 *3564:22 0.000166361 -111 *1169:10 *3564:24 0.000329139 -112 *1191:76 *28088:CLK 9.71197e-05 -113 *1191:76 *28092:CLK 3.14008e-05 -114 *1191:76 *3564:28 0.000183364 -115 *1323:57 *28092:CLK 8.55871e-05 -116 *1324:113 *28088:CLK 7.95355e-05 -117 *1411:15 *3564:103 0.000549576 -118 *1730:87 *3564:22 0.000166411 -119 *1743:54 *3564:19 0.000135053 -120 *1760:13 *3564:22 7.79937e-05 -121 *1829:25 *28090:CLK 7.3237e-05 -122 *1871:81 *3564:71 2.59355e-05 -123 *1871:94 *28088:CLK 0.000134461 -124 *1871:94 *28412:CLK_N 0.000340059 -125 *1873:75 *28410:CLK_N 0.000333263 -126 *1874:36 *3564:22 3.80904e-05 -127 *1874:59 *28411:CLK_N 0.000101915 -128 *1874:59 *3564:71 2.84109e-05 -129 *2149:59 *3564:11 0.00187669 -130 *2183:33 *3564:14 0.000414762 -131 *3541:23 *3564:10 5.33005e-05 -*RES -1 *28865:X *3564:10 25.0321 -2 *3564:10 *3564:11 61.7321 -3 *3564:11 *3564:14 26.7857 -4 *3564:14 *3564:19 12.8929 -5 *3564:19 *3564:22 11.9107 -6 *3564:22 *3564:24 4.67857 -7 *3564:24 *3564:26 2.85714 -8 *3564:26 *3564:28 4.98214 -9 *3564:28 *28092:CLK 23.3893 -10 *3564:28 *3564:39 4.5 -11 *3564:39 *28410:CLK_N 17.6393 -12 *3564:39 *28091:CLK 11.0679 -13 *3564:26 *28088:CLK 16.3893 -14 *3564:24 *28090:CLK 25.4429 -15 *3564:22 *3564:71 18.5714 -16 *3564:71 *28412:CLK_N 20.675 -17 *3564:71 *28411:CLK_N 16.05 -18 *3564:19 *28087:CLK 9.3 -19 *3564:14 *28089:CLK 13.8 -20 *3564:10 *3564:103 47.5045 -21 *3564:103 *25111:B2 9.3 -*END - -*D_NET *3565 0.0178393 -*CONN -*I *28881:A I *D sky130_fd_sc_hd__clkbuf_8 -*I *28883:A I *D sky130_fd_sc_hd__clkbuf_8 -*I *28866:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 *28881:A 0.000462806 -2 *28883:A 0 -3 *28866:X 1.49752e-05 -4 *3565:24 0.0017068 -5 *3565:10 0.00133284 -6 *3565:5 0.00259181 -7 *28881:A *5605:171 9.41642e-05 -8 *3565:5 *5587:139 4.08637e-05 -9 *3565:5 *5703:45 5.33005e-05 -10 *3565:10 *25561:A0 0.000120018 -11 *3565:10 *27778:B2 2.11419e-05 -12 *3565:10 *3965:29 0.00190827 -13 *3565:10 *5587:139 0.000385089 -14 *3565:10 *5644:99 0.00196714 -15 *3565:10 *5650:335 6.07206e-05 -16 *3565:10 *5676:47 9.82436e-05 -17 *3565:10 *5703:45 0.000386121 -18 *3565:24 *27117:A 6.05161e-06 -19 *3565:24 *27378:A1 2.04825e-05 -20 *3565:24 *27775:B2 0.00019192 -21 *3565:24 *3928:8 0.000119736 -22 *3565:24 *3928:20 0.000768202 -23 *3565:24 *3939:94 0.000693787 -24 *3565:24 *4121:30 0.000100272 -25 *3565:24 *4121:62 0.000870722 -26 *3565:24 *5609:245 0.000150353 -27 *3565:24 *5628:121 1.90936e-05 -28 *3565:24 *5692:31 0.000189421 -29 *3565:24 *5879:98 1.65169e-05 -30 *25186:A2 *3565:10 1.90936e-05 -31 *27152:S *3565:10 1.94879e-05 -32 *27422:A2 *3565:24 1.94879e-05 -33 *27423:B1 *28881:A 8.83919e-05 -34 *27423:C1 *28881:A 0.00010825 -35 *27423:C1 *3565:24 0.000183532 -36 *29068:A *3565:10 0.000120708 -37 *1262:100 *28881:A 0.000546198 -38 *1293:173 *3565:24 1.90936e-05 -39 *1361:147 *3565:10 6.30931e-05 -40 *1506:17 *3565:10 0.000512532 -41 *1826:178 *28881:A 4.98232e-05 -42 *1826:178 *3565:24 0 -43 *2853:292 *28881:A 8.78763e-05 -44 *2872:376 *3565:24 4.11218e-05 -45 *2874:359 *3565:24 8.74295e-05 -46 *2883:220 *28881:A 0.000548122 -47 *3215:309 *3565:10 0.000281116 -48 *3537:47 *28881:A 0.000105127 -49 *3537:47 *3565:24 0.000547901 -*RES -1 *28866:X *3565:5 9.83571 -2 *3565:5 *3565:10 46.6964 -3 *3565:10 *28883:A 13.8 -4 *3565:5 *3565:24 47.1786 -5 *3565:24 *28881:A 38.9694 -*END - -*D_NET *3566 0.0086124 -*CONN -*I *28882:A I *D sky130_fd_sc_hd__clkbuf_8 -*I *28884:A I *D sky130_fd_sc_hd__clkbuf_8 -*I *28867:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 *28882:A 0.000271488 -2 *28884:A 0.000414739 -3 *28867:X 0.000449506 -4 *3566:8 0.00176507 -5 *3566:7 0.00152835 -6 *28882:A *28241:D 7.02611e-05 -7 *28884:A *25500:A2 0 -8 *28884:A *27972:A 0.000356022 -9 *28884:A *27972:B 0.000356022 -10 *28884:A *3583:10 6.72808e-05 -11 *28884:A *5721:20 0 -12 *28884:A *5778:123 0 -13 *3566:7 *25199:B2 0.000117543 -14 *3566:8 *28240:CLK 0.000290293 -15 *3566:8 *28241:CLK 0.000620547 -16 *3566:8 *5721:20 0 -17 *3566:8 *5780:79 0.000131216 -18 *3566:8 *5780:91 0.000215172 -19 *26497:A *3566:7 8.54775e-05 -20 *26497:B *3566:7 0.000175892 -21 *28011:A1 *3566:8 0.000260506 -22 *29889:A *28882:A 0.000227532 -23 *1816:16 *28884:A 0.000324506 -24 *1816:16 *3566:8 0.000815565 -25 *3461:11 *3566:7 6.94157e-05 -*RES -1 *28867:X *3566:7 21.3179 -2 *3566:7 *3566:8 31.0893 -3 *3566:8 *28884:A 25.2286 -4 *3566:8 *28882:A 18.9786 -*END - -*D_NET *3567 0.0247206 -*CONN -*I *28076:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28075:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28074:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28071:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28073:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28072:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28070:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *30828:A I *D sky130_fd_sc_hd__inv_2 -*I *24858:A I *D sky130_fd_sc_hd__inv_2 -*I *28868:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28076:CLK 0.000278707 -2 *28075:CLK 0 -3 *28074:CLK 3.51533e-05 -4 *28071:CLK 0.000340229 -5 *28073:CLK 0.000105023 -6 *28072:CLK 0 -7 *28070:CLK 0.000958669 -8 *30828:A 0.000408194 -9 *24858:A 0 -10 *28868:X 0.000554564 -11 *3567:84 0.000713754 -12 *3567:42 0.0014284 -13 *3567:39 0.000661548 -14 *3567:36 0.000535533 -15 *3567:35 0.000143667 -16 *3567:33 0.00197371 -17 *3567:32 0.00163913 -18 *3567:17 0.00128243 -19 *3567:8 0.00132833 -20 *28071:CLK *29520:A 0.000346605 -21 *28071:CLK *4177:5 9.40308e-05 -22 *28073:CLK *25595:A0 0.000187131 -23 *28073:CLK *5672:8 0 -24 *28076:CLK *25485:A0 0.000122923 -25 *28076:CLK *25486:A1 0.000139344 -26 *28076:CLK *28075:D 3.11088e-05 -27 *28076:CLK *5293:11 4.17702e-05 -28 *28076:CLK *5780:125 6.8283e-05 -29 *28076:CLK *5836:324 0.000160302 -30 *28076:CLK *5934:20 1.03904e-05 -31 *28076:CLK *5935:30 0.000440711 -32 *30828:A *25565:B 1.40415e-05 -33 *30828:A *3581:19 0.00026702 -34 *30828:A *3581:21 0.000128496 -35 *30828:A *3581:26 0.000266473 -36 *30828:A *5824:120 6.28356e-05 -37 *3567:8 *5709:76 1.84122e-05 -38 *3567:8 *5798:12 5.09858e-05 -39 *3567:17 *28067:CLK 0.000690894 -40 *3567:17 *4087:61 6.19181e-05 -41 *3567:17 *5779:8 6.09572e-05 -42 *3567:17 *5779:14 3.17067e-05 -43 *3567:17 *5798:12 0.00052463 -44 *3567:17 *5824:120 0 -45 *3567:32 *3581:19 0.000290572 -46 *3567:32 *5824:120 0.000118749 -47 *3567:33 *25500:A1 7.50697e-05 -48 *3567:33 *25854:B 0.000320031 -49 *3567:33 *28354:CLK 0.000216899 -50 *3567:33 *28844:A 0.000150618 -51 *3567:33 *29514:A 0.000197834 -52 *3567:33 *29888:A 0.000227729 -53 *3567:33 *4088:15 0.000337644 -54 *3567:33 *5366:8 0.000142307 -55 *3567:33 *5366:28 0.000159546 -56 *3567:33 *5672:8 0.000570235 -57 *3567:33 *5672:10 0.000250915 -58 *3567:33 *5672:27 0.000263663 -59 *3567:33 *5729:67 0.000132477 -60 *3567:33 *5729:83 2.41224e-05 -61 *3567:33 *5729:92 0.00109359 -62 *3567:33 *5779:99 0.000268521 -63 *3567:33 *5878:12 0.000113223 -64 *3567:33 *5878:14 0 -65 *3567:33 *6372:10 0.000360809 -66 *3567:39 *25595:A0 0.000197378 -67 *3567:39 *4178:9 0.00014852 -68 *3567:84 *25486:A0 2.12647e-05 -69 *3567:84 *25486:A1 5.35922e-05 -70 *3567:84 *5672:8 0.000166122 -71 *3567:84 *5878:12 5.65621e-05 -72 *25199:A2 *3567:8 0.000127446 -73 *25486:S *3567:84 0.000263634 -74 *28070:D *28070:CLK 0.000167678 -75 *28071:D *28071:CLK 7.22686e-05 -76 *28071:RESET_B *28071:CLK 0.000106913 -77 *28074:D *3567:84 0.000136727 -78 *28074:RESET_B *28071:CLK 6.50185e-05 -79 *28074:RESET_B *28074:CLK 8.60361e-05 -80 *28074:RESET_B *3567:36 0.000267137 -81 *1174:37 *3567:33 0.000514706 -82 *1174:51 *3567:33 0.000295832 -83 *1677:26 *3567:17 0.000248367 -84 *1869:29 *3567:8 0.000169572 -85 *1869:29 *3567:17 3.32442e-05 -*RES -1 *28868:X *3567:8 22.5143 -2 *3567:8 *24858:A 13.8 -3 *3567:8 *3567:17 24.3571 -4 *3567:17 *30828:A 18.925 -5 *3567:17 *3567:32 7.91071 -6 *3567:32 *3567:33 53.25 -7 *3567:33 *3567:35 4.5 -8 *3567:35 *3567:36 2.58929 -9 *3567:36 *3567:39 7.05357 -10 *3567:39 *3567:42 12.0179 -11 *3567:42 *28070:CLK 20.7464 -12 *3567:42 *28072:CLK 9.3 -13 *3567:39 *28073:CLK 16.3536 -14 *3567:36 *28071:CLK 18.4786 -15 *3567:35 *28074:CLK 10.2464 -16 *3567:33 *3567:84 13.4643 -17 *3567:84 *28075:CLK 9.3 -18 *3567:84 *28076:CLK 26.9786 -*END - -*D_NET *3568 0.0820445 -*CONN -*I *28942:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28948:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28949:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28951:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28950:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28940:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28377:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28952:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28954:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28955:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28953:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28869:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 *28942:A 0 -2 *28948:A 0 -3 *28949:A 0 -4 *28951:A 0.00166291 -5 *28950:A 0.000334539 -6 *28940:A 9.25941e-05 -7 *28377:CLK 0.00113076 -8 *28952:A 1.77691e-05 -9 *28954:A 0 -10 *28955:A 0 -11 *28953:A 0.000807358 -12 *28869:X 0 -13 *3568:178 0.00171045 -14 *3568:168 0.00266671 -15 *3568:136 0.00304994 -16 *3568:123 0.00106508 -17 *3568:110 0.00205155 -18 *3568:99 0.00356611 -19 *3568:93 0.00397584 -20 *3568:59 0.00218248 -21 *3568:56 0.00246917 -22 *3568:52 0.000378317 -23 *3568:49 0.00117006 -24 *3568:24 0.00219977 -25 *3568:20 0.000495438 -26 *3568:17 0.00366541 -27 *3568:8 0.00476642 -28 *3568:4 0.00155896 -29 *28377:CLK *3780:23 0.000245578 -30 *28377:CLK *5629:44 3.34295e-05 -31 *28940:A *26825:A0 4.13465e-05 -32 *28940:A *5505:42 9.65161e-05 -33 *28950:A *27968:A1 0.000193549 -34 *28950:A *5691:190 0.000252871 -35 *28950:A *6347:12 0.000444781 -36 *28951:A *28380:CLK 2.59355e-05 -37 *28951:A *3650:5 4.96113e-05 -38 *28951:A *3650:78 4.58194e-05 -39 *28951:A *3792:75 0.000502901 -40 *28951:A *4009:12 5.49544e-05 -41 *28951:A *5158:11 7.24613e-05 -42 *28951:A *5313:11 0.00018077 -43 *28951:A *5488:64 4.3825e-05 -44 *28951:A *6336:7 0.000135028 -45 *28952:A *28223:D 5.52302e-05 -46 *28952:A *29176:A 2.59355e-05 -47 *28953:A *25359:B1 0.000646246 -48 *28953:A *25399:A1 0.000147389 -49 *28953:A *28368:D 0.000107581 -50 *28953:A *3802:11 1.94879e-05 -51 *28953:A *5011:12 0.000108458 -52 *28953:A *5487:63 0.000207316 -53 *28953:A *5488:55 7.36771e-05 -54 *28953:A *5497:39 0.000475581 -55 *3568:8 *25310:B2 1.54142e-05 -56 *3568:17 *25909:A1 0.000101545 -57 *3568:17 *27526:A1 0 -58 *3568:17 *27526:C1 6.98141e-05 -59 *3568:17 *28355:RESET_B 0.000426249 -60 *3568:17 *28358:D 0 -61 *3568:17 *3788:8 6.60456e-06 -62 *3568:17 *3880:50 6.9327e-05 -63 *3568:17 *4088:79 0.000683256 -64 *3568:17 *5510:87 0.000138346 -65 *3568:17 *5510:98 9.27418e-05 -66 *3568:17 *5624:107 3.28169e-05 -67 *3568:17 *5735:27 0.000189178 -68 *3568:17 *5735:29 1.36251e-05 -69 *3568:17 *5738:59 0.000138186 -70 *3568:20 *25907:A0 8.6229e-06 -71 *3568:20 *5497:39 0.000322597 -72 *3568:24 *3802:11 8.6229e-06 -73 *3568:24 *5497:39 0.000189982 -74 *3568:49 *28366:D 0.00135523 -75 *3568:49 *28832:CLK 0.000246788 -76 *3568:49 *4968:26 5.15925e-05 -77 *3568:49 *5125:19 0.000342476 -78 *3568:49 *5665:127 3.29037e-05 -79 *3568:49 *5705:109 0.00116112 -80 *3568:52 *25660:A0 5.33005e-05 -81 *3568:52 *4969:15 0.000393936 -82 *3568:52 *5705:109 0.000307365 -83 *3568:56 *25761:A0 0.000833156 -84 *3568:56 *25761:A1 1.98839e-05 -85 *3568:56 *4968:26 0.000414092 -86 *3568:56 *4969:15 0.000314139 -87 *3568:56 *5705:109 0.000314139 -88 *3568:59 *25760:A0 8.71066e-05 -89 *3568:59 *25831:A0 0 -90 *3568:59 *28178:RESET_B 0 -91 *3568:59 *3654:78 0 -92 *3568:59 *3654:85 0 -93 *3568:59 *3666:98 6.81895e-05 -94 *3568:59 *5074:15 0 -95 *3568:59 *5430:12 0 -96 *3568:59 *5705:26 0 -97 *3568:59 *5732:13 0 -98 *3568:59 *5733:72 0 -99 *3568:59 *5733:90 0 -100 *3568:59 *5733:96 0 -101 *3568:93 *25310:A1 9.41642e-05 -102 *3568:93 *25310:B2 0.000855646 -103 *3568:93 *28399:CLK 0.000101859 -104 *3568:93 *28399:D 8.88486e-05 -105 *3568:93 *3647:9 0.000162839 -106 *3568:93 *3854:10 4.16984e-05 -107 *3568:99 *25385:B2 0 -108 *3568:99 *25959:A1 0.000163801 -109 *3568:99 *26855:A1 0.000319889 -110 *3568:99 *28395:RESET_B 0 -111 *3568:99 *29574:A 5.05056e-05 -112 *3568:99 *3853:45 0 -113 *3568:99 *3986:26 3.60007e-05 -114 *3568:99 *4200:23 0 -115 *3568:99 *5354:17 8.90816e-06 -116 *3568:110 *24922:B 0.000272242 -117 *3568:110 *24968:A 9.41642e-05 -118 *3568:110 *25260:B2 2.28598e-05 -119 *3568:110 *25951:A0 0.000382133 -120 *3568:110 *28443:CLK 9.71197e-05 -121 *3568:110 *3569:92 1.02936e-05 -122 *3568:110 *3639:17 0.000171289 -123 *3568:110 *3648:20 0.000168969 -124 *3568:110 *3875:35 0.000337914 -125 *3568:110 *3986:26 0.000261893 -126 *3568:110 *5624:136 5.49544e-05 -127 *3568:110 *5665:285 0.00030926 -128 *3568:110 *5749:23 3.01988e-05 -129 *3568:123 *25105:B2 5.49489e-05 -130 *3568:136 *25105:B2 0.000351127 -131 *3568:136 *27918:A1 9.41642e-05 -132 *3568:136 *27968:A1 0.000113402 -133 *3568:136 *28384:D 0.000218404 -134 *3568:136 *28392:D 0.000194947 -135 *3568:136 *29615:A 0.000135028 -136 *3568:136 *4311:12 0.000108986 -137 *3568:136 *5040:13 9.80363e-06 -138 *3568:136 *5737:55 0.00015063 -139 *3568:136 *6332:39 0.000720174 -140 *3568:136 *6338:23 3.19941e-05 -141 *3568:136 *6347:12 4.32522e-05 -142 *3568:168 *5655:65 6.90884e-05 -143 *3568:168 *6317:100 0 -144 *3568:178 *25330:A1 0 -145 *3568:178 *6317:100 6.87165e-05 -146 pll90_sel[0] *28953:A 0.000647944 -147 *24922:A *3568:110 5.33005e-05 -148 *24922:A *3568:136 2.84109e-05 -149 *24952:A *3568:136 2.84026e-05 -150 *24968:B *3568:110 0.000268396 -151 *25161:B1 *3568:168 0 -152 *25260:A2 *28940:A 0.0001399 -153 *25310:A2 *3568:93 0.000219711 -154 *25339:A2 *3568:99 1.3807e-05 -155 *25399:B1 *28953:A 0.000325916 -156 *25399:B1 *3568:20 0.000142903 -157 *25399:B1 *3568:24 0.000538654 -158 *25406:C1 *28950:A 1.48555e-05 -159 *25559:A0 *3568:59 0.000124868 -160 *25660:S *3568:49 8.32146e-05 -161 *25833:S *3568:59 0 -162 *25920:S *28953:A 0.000159327 -163 *26824:A *3568:110 0.000103267 -164 *27526:A2 *3568:17 0 -165 *27550:A1 *28951:A 0.000685327 -166 *27853:C1 *28377:CLK 0.000110945 -167 *27853:C1 *3568:8 1.90936e-05 -168 *27877:A2 *28377:CLK 3.57844e-05 -169 *27877:A2 *3568:8 9.60875e-05 -170 *27883:C1 *28377:CLK 7.80929e-05 -171 *27891:C *28377:CLK 9.09094e-05 -172 *28808:D *28950:A 5.71307e-05 -173 *28810:D *28951:A 0.000312394 -174 *28869:A *3568:8 0.000345251 -175 *28869:A *3568:93 0.000408637 -176 *29221:A *3568:59 0.000365863 -177 *29243:A *3568:17 0.000455399 -178 *29252:A *3568:168 0.00011333 -179 *29443:A *3568:59 0 -180 *30017:A *3568:99 0.000402616 -181 *30017:A *3568:110 0.000483374 -182 *30023:A *3568:136 9.41642e-05 -183 *30071:A *3568:93 0.00030355 -184 *30082:A *3568:17 6.36232e-05 -185 *568:21 *3568:59 0 -186 *569:11 *3568:56 0.00022963 -187 *572:19 *3568:59 6.2589e-06 -188 *627:15 *3568:59 0 -189 *716:16 *3568:24 0.000120865 -190 *723:24 *3568:136 0.000243036 -191 *1141:20 *3568:136 3.73412e-05 -192 *1227:44 *3568:99 0.000903371 -193 *1227:68 *3568:136 2.31833e-05 -194 *1254:7 *3568:110 9.60875e-05 -195 *1257:99 *3568:99 3.92089e-05 -196 *1263:50 *3568:99 0.000726921 -197 *1263:50 *3568:168 0.00140298 -198 *1263:50 *3568:178 0.00108169 -199 *1273:232 *3568:110 3.66315e-05 -200 *1273:246 *3568:110 2.34174e-05 -201 *1281:30 *3568:99 1.61405e-05 -202 *1294:6 *3568:110 3.22325e-05 -203 *1328:22 *3568:123 4.08637e-05 -204 *1328:22 *3568:136 0.000180186 -205 *1362:118 *3568:17 1.42738e-05 -206 *1407:89 *3568:99 0 -207 *1408:103 *3568:136 2.47753e-05 -208 *1441:99 *3568:178 0.00013921 -209 *1452:13 *3568:93 1.38323e-05 -210 *1452:27 *3568:93 1.38323e-05 -211 *1452:57 *3568:49 0.000116113 -212 *1471:56 *3568:49 5.55449e-05 -213 *1481:12 *3568:93 8.08521e-05 -214 *1535:13 *3568:110 0.000136951 -215 *1580:11 *28940:A 0.000137983 -216 *1587:16 *3568:17 0 -217 *1588:11 *3568:178 0.000155761 -218 *1600:9 *3568:59 6.72598e-05 -219 *1616:23 *28950:A 0.000434426 -220 *1657:19 *3568:93 0 -221 *1657:19 *3568:99 0 -222 *1657:19 *3568:168 0 -223 *1714:23 *3568:99 2.58693e-05 -224 *1716:11 *3568:99 0.00072719 -225 *1866:8 *3568:49 6.8646e-06 -226 *2778:79 *3568:178 9.58126e-05 -227 *2860:64 *3568:17 0.000368872 -228 *2871:39 *28377:CLK 0.000388655 -229 *2886:34 *3568:178 0.000136682 -230 *3104:13 *28951:A 0.000110029 -231 *3158:43 *3568:8 0.000470798 -232 *3158:43 *3568:93 0.00226124 -233 *3164:69 *3568:178 0.000341 -234 *3165:222 *3568:178 0.00126241 -235 *3185:268 *3568:178 0.000911734 -236 *3212:44 *28377:CLK 6.13772e-05 -237 *3212:51 *3568:17 0 -238 *3411:32 *28377:CLK 0.000501676 -239 *3411:32 *3568:8 2.06112e-05 -*RES -1 *28869:X *3568:4 9.3 -2 *3568:4 *3568:8 9.41964 -3 *3568:8 *3568:17 45.8351 -4 *3568:17 *3568:20 8.875 -5 *3568:20 *3568:24 7.94643 -6 *3568:24 *28953:A 37.2107 -7 *3568:24 *3568:49 46.6429 -8 *3568:49 *3568:52 8.32143 -9 *3568:52 *3568:56 16.125 -10 *3568:56 *3568:59 43.1786 -11 *3568:59 *28955:A 9.3 -12 *3568:52 *28954:A 9.3 -13 *3568:20 *28952:A 14.3357 -14 *3568:8 *28377:CLK 37.5054 -15 *3568:4 *3568:93 39.4911 -16 *3568:93 *3568:99 35.0982 -17 *3568:99 *3568:110 49.5179 -18 *3568:110 *28940:A 20.9964 -19 *3568:99 *3568:123 5.03571 -20 *3568:123 *3568:136 36.8571 -21 *3568:136 *28950:A 23.9429 -22 *3568:136 *28951:A 45.7643 -23 *3568:123 *28949:A 9.3 -24 *3568:93 *3568:168 19.2411 -25 *3568:168 *28948:A 13.8 -26 *3568:168 *3568:178 42.7321 -27 *3568:178 *28942:A 9.3 -*END - -*D_NET *3569 0.0544713 -*CONN -*I *28776:CLK I *D sky130_fd_sc_hd__dfstp_4 -*I *28773:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28789:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28775:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28774:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28790:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28808:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28800:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28787:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28788:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28870:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 *28776:CLK 0 -2 *28773:CLK 0.000353999 -3 *28789:CLK 0.000845433 -4 *28775:CLK 2.25053e-05 -5 *28774:CLK 6.27944e-05 -6 *28790:CLK 0.000361563 -7 *28808:CLK 0 -8 *28800:CLK 0.00034378 -9 *28787:CLK 3.70415e-05 -10 *28788:CLK 6.7123e-05 -11 *28870:X 0.000912981 -12 *3569:92 0.00206795 -13 *3569:86 0.00250576 -14 *3569:78 0.00289503 -15 *3569:73 0.00335201 -16 *3569:61 0.000680914 -17 *3569:57 0.000133711 -18 *3569:55 0.000932938 -19 *3569:53 0.000621999 -20 *3569:51 0.000977399 -21 *3569:26 0.00255033 -22 *3569:8 0.0023208 -23 *3569:5 0.00176775 -24 *28773:CLK *27377:A0 0.000160365 -25 *28773:CLK *29504:A 5.33005e-05 -26 *28773:CLK *5585:99 0.000169759 -27 *28773:CLK *6314:37 9.41642e-05 -28 *28774:CLK *25124:A1 7.71581e-05 -29 *28775:CLK *5581:25 1.58163e-05 -30 *28775:CLK *5625:29 5.33005e-05 -31 *28787:CLK *29035:A 5.33334e-05 -32 *28787:CLK *3872:43 8.22793e-06 -33 *28788:CLK *5592:45 0.000216125 -34 *28788:CLK *5655:29 0.000310524 -35 *28789:CLK *5625:29 0.000549842 -36 *28790:CLK *28790:D 0.000139019 -37 *28800:CLK *3820:79 2.95297e-05 -38 *28800:CLK *3872:43 0.000553111 -39 *28800:CLK *5687:114 1.44355e-05 -40 *3569:5 *28736:D 0.000433305 -41 *3569:5 *3572:34 5.33005e-05 -42 *3569:5 *3782:26 0.000366091 -43 *3569:5 *5634:26 0.000306971 -44 *3569:8 *3572:34 0.00016261 -45 *3569:8 *3820:77 0.000160888 -46 *3569:26 *29035:A 2.1479e-05 -47 *3569:26 *3572:34 0.000217487 -48 *3569:26 *3820:77 0.000226029 -49 *3569:51 *3572:34 8.17274e-05 -50 *3569:51 *5634:15 0.000937907 -51 *3569:51 *5667:113 0.00128062 -52 *3569:51 *5694:119 0.00126794 -53 *3569:53 *5667:113 0.000558722 -54 *3569:53 *5694:119 0.000550692 -55 *3569:55 *5667:113 0.00014297 -56 *3569:55 *5694:119 0.000135305 -57 *3569:57 *5667:113 5.15925e-05 -58 *3569:57 *5694:119 4.35621e-05 -59 *3569:61 *5667:113 0.000186691 -60 *3569:61 *5694:119 0.000188345 -61 *3569:73 *25124:A1 7.48091e-05 -62 *3569:73 *27244:A1 1.90431e-05 -63 *3569:73 *27397:A2 1.578e-05 -64 *3569:73 *28790:D 0.000324098 -65 *3569:73 *5599:39 9.83539e-06 -66 *3569:73 *5599:72 0.000241407 -67 *3569:73 *5694:119 2.3826e-05 -68 *3569:73 *5720:182 7.48931e-05 -69 *3569:73 *5720:191 9.86094e-06 -70 *3569:73 *5757:43 2.90276e-05 -71 *3569:78 *27594:A0 0.000732675 -72 *3569:78 *28794:RESET_B 0.000213492 -73 *3569:78 *5599:39 7.3456e-05 -74 *3569:78 *5745:83 0.000266479 -75 *3569:78 *6332:12 0.000127267 -76 *3569:86 *25260:B2 2.79509e-06 -77 *3569:86 *3639:8 0.000605198 -78 *3569:86 *5526:16 7.25293e-05 -79 *3569:92 *24922:B 0.0006859 -80 *3569:92 *25260:A1 3.52096e-05 -81 *3569:92 *25260:B2 0.000557417 -82 *3569:92 *25951:A0 0.000207127 -83 *3569:92 *25951:A1 0.000562836 -84 *3569:92 *28808:RESET_B 0.000193914 -85 *3569:92 *30239:A 0.000136958 -86 *3569:92 *3875:35 0.000785316 -87 *24968:B *3569:92 7.80417e-05 -88 *25260:A2 *3569:78 5.94896e-06 -89 *25260:A2 *3569:86 0.00121883 -90 *25261:C1 *3569:86 0.000137515 -91 *27240:B *3569:78 2.06178e-05 -92 *27248:A *28773:CLK 5.52238e-05 -93 *27248:B *28773:CLK 5.33005e-05 -94 *27249:A0 *28773:CLK 0.000141555 -95 *27671:A1 *28800:CLK 0 -96 *28774:D *28774:CLK 0.000171006 -97 *28774:D *3569:73 0.000137983 -98 *28794:D *3569:78 1.39711e-05 -99 *28800:D *28800:CLK 7.44888e-05 -100 *28808:D *3569:92 6.94952e-05 -101 *28876:A *28788:CLK 2.90548e-05 -102 *29186:A *28800:CLK 8.87461e-06 -103 *29186:A *3569:26 3.18486e-05 -104 *29834:A *3569:26 0.000213948 -105 *29896:A *3569:5 5.33005e-05 -106 *1228:73 *3569:26 0.000163666 -107 *1245:51 *28800:CLK 0.000443828 -108 *1245:51 *3569:26 1.31781e-06 -109 *1245:68 *3569:26 0.000872159 -110 *1294:19 *3569:92 0.00132644 -111 *1294:243 *3569:78 0.000226351 -112 *1536:21 *3569:26 0.00177668 -113 *1580:11 *3569:86 7.25293e-05 -114 *1717:14 *3569:78 0.0010896 -115 *2751:14 *3569:78 0.000580666 -116 *2751:16 *3569:78 0.00036379 -117 *2751:20 *3569:78 0.000142371 -118 *2758:23 *28787:CLK 5.52302e-05 -119 *2776:48 *28773:CLK 0.000167235 -120 *2817:11 *3569:78 2.22043e-05 -121 *2817:33 *3569:73 2.12863e-05 -122 *2817:33 *3569:78 8.3504e-05 -123 *2817:52 *3569:73 4.69164e-05 -124 *2844:19 *3569:51 4.13496e-05 -125 *2871:24 *3569:5 0.00271626 -126 *2871:24 *3569:51 0.00102671 -127 *2877:25 *28787:CLK 1.39726e-05 -128 *2891:24 *3569:26 0 -129 *3156:49 *3569:26 0.000117277 -130 *3205:158 *3569:26 0 -131 *3206:84 *28787:CLK 2.11419e-05 -132 *3206:84 *3569:26 0.000763151 -133 *3388:17 *3569:26 2.31214e-05 -134 *3568:110 *3569:92 1.02936e-05 -*RES -1 *28870:X *3569:5 36.1214 -2 *3569:5 *3569:8 6.75 -3 *3569:8 *28788:CLK 16.8 -4 *3569:8 *3569:26 20.032 -5 *3569:26 *28787:CLK 18.4332 -6 *3569:26 *28800:CLK 25.0357 -7 *3569:5 *3569:51 32.4286 -8 *3569:51 *3569:53 7.41071 -9 *3569:53 *3569:55 1.94643 -10 *3569:55 *3569:57 0.732143 -11 *3569:57 *3569:61 7.05357 -12 *3569:61 *3569:73 15.9107 -13 *3569:73 *3569:78 45.6607 -14 *3569:78 *3569:86 22.7054 -15 *3569:86 *3569:92 43.1875 -16 *3569:92 *28808:CLK 9.3 -17 *3569:73 *28790:CLK 18.4786 -18 *3569:61 *28774:CLK 11.0188 -19 *3569:57 *28775:CLK 14.3357 -20 *3569:55 *28789:CLK 24.5143 -21 *3569:53 *28773:CLK 22.9607 -22 *3569:51 *28776:CLK 13.8 -*END - -*D_NET *3570 0.0631677 -*CONN -*I *28947:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28895:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28958:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28938:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28917:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28885:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28906:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28642:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28946:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28871:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 *28947:A 0.000651023 -2 *28895:A 0 -3 *28958:A 0 -4 *28938:A 0.00120593 -5 *28917:A 0 -6 *28885:A 0.00119358 -7 *28906:A 2.01984e-05 -8 *28642:CLK 0.000241901 -9 *28946:A 0 -10 *28871:X 7.77596e-05 -11 *3570:97 0.00222397 -12 *3570:86 0.001193 -13 *3570:79 0.00129671 -14 *3570:57 0.00153615 -15 *3570:50 0.00236776 -16 *3570:45 0.000923643 -17 *3570:43 0.00313947 -18 *3570:37 0.00413704 -19 *3570:27 0.00209802 -20 *3570:11 0.00261161 -21 *3570:7 0.00117981 -22 *28885:A *28145:RESET_B 0 -23 *28885:A *28146:RESET_B 0 -24 *28885:A *28325:CLK 0 -25 *28885:A *28325:D 0.00031348 -26 *28885:A *28386:CLK 1.91414e-05 -27 *28885:A *28386:RESET_B 0.000108304 -28 *28885:A *29287:A 0.000126439 -29 *28885:A *3584:57 4.37992e-05 -30 *28885:A *3584:84 0.000445306 -31 *28885:A *3605:12 0 -32 *28885:A *3841:8 8.15095e-05 -33 *28885:A *3944:11 0.00071409 -34 *28885:A *4646:13 0.00014699 -35 *28885:A *5355:10 3.29594e-05 -36 *28885:A *5458:14 8.68489e-05 -37 *28885:A *5706:88 6.12335e-05 -38 *28906:A *5706:88 2.89114e-05 -39 *28938:A *24903:A 0.000148266 -40 *28938:A *24903:B 0.00022459 -41 *28938:A *25842:A1 9.60939e-05 -42 *28938:A *29910:A 4.58194e-05 -43 *28938:A *30473:A 0.000518464 -44 *28938:A *3936:33 5.27821e-05 -45 *28938:A *3936:35 0.000185104 -46 *28938:A *5719:98 0.000263524 -47 *28938:A *5807:8 0.00010096 -48 *28947:A *3646:9 5.33005e-05 -49 *28947:A *3775:30 4.05174e-06 -50 *28947:A *4087:26 0.00155572 -51 *28947:A *5718:183 0.000936495 -52 *3570:11 *27502:A1 0.000111046 -53 *3570:11 *27897:A1 0.000652223 -54 *3570:11 *28327:D 8.56047e-05 -55 *3570:11 *3645:7 8.09484e-05 -56 *3570:11 *4087:26 3.07961e-06 -57 *3570:11 *4153:62 0.000880513 -58 *3570:11 *5744:48 8.60509e-05 -59 *3570:11 *5744:76 0.000431172 -60 *3570:27 *24950:B 5.49489e-05 -61 *3570:27 *25095:B 6.8445e-06 -62 *3570:27 *25184:B2 0.000477582 -63 *3570:27 *3831:53 0.000274071 -64 *3570:27 *5744:76 0.00136584 -65 *3570:37 *27502:A1 2.23483e-06 -66 *3570:37 *28327:D 0.000161439 -67 *3570:37 *3775:30 4.21301e-05 -68 *3570:37 *4087:26 0.000629664 -69 *3570:43 *25800:A1 0.000597852 -70 *3570:43 *3831:83 9.71108e-05 -71 *3570:50 *25872:A0 5.75147e-05 -72 *3570:50 *25872:A1 0.000222666 -73 *3570:50 *28053:A 2.28499e-05 -74 *3570:50 *28053:B 5.33005e-05 -75 *3570:50 *28259:D 0.000137561 -76 *3570:50 *4163:93 0.000214104 -77 *3570:50 *4163:95 0.000462095 -78 *3570:50 *5706:78 0.000342302 -79 *3570:50 *5727:243 0.000743562 -80 *3570:57 *29582:A 0.00040429 -81 *3570:57 *29588:A 0.000148911 -82 *3570:57 *3605:40 0.000139056 -83 *3570:57 *4163:93 0.000247544 -84 *3570:57 *4646:13 5.52302e-05 -85 *3570:79 *25652:B 0.000105377 -86 *3570:79 *28163:CLK 0.00113994 -87 *3570:79 *28281:CLK 0.000166674 -88 *3570:79 *3605:96 0.000166347 -89 *3570:79 *3616:20 0.000192043 -90 *3570:79 *3616:22 0.000546912 -91 *3570:79 *3616:24 0.000397128 -92 *3570:79 *3857:21 8.7935e-05 -93 *3570:86 *25657:A1 6.86792e-05 -94 *3570:86 *3657:10 2.30043e-05 -95 *3570:86 *3871:17 0.000136958 -96 *3570:86 *3935:28 3.14048e-05 -97 *3570:86 *5545:50 0.000150259 -98 *3570:97 *24868:B 0.000138922 -99 *3570:97 *24876:A 8.78052e-05 -100 *3570:97 *25657:A1 2.44318e-05 -101 *3570:97 *3657:10 0.00016398 -102 *3570:97 *3871:17 5.52238e-05 -103 *3570:97 *4160:98 0.000178671 -104 *3570:97 *5838:14 0.00117943 -105 *3570:97 *5838:44 7.83659e-05 -106 *25184:A2 *3570:27 3.96694e-05 -107 *25184:B1 *3570:27 5.33005e-05 -108 *25330:A2 *3570:27 0.000308602 -109 *27530:B1 *28947:A 0.000148938 -110 *28871:A *3570:7 0.000137561 -111 *29703:A *28938:A 0.000172813 -112 *30519:A *28885:A 0 -113 *30534:A *28947:A 0.000295691 -114 *30534:A *3570:37 9.74231e-05 -115 *394:14 *3570:57 0.000125445 -116 *721:24 *28885:A 0.000291644 -117 *1173:82 *3570:86 6.30931e-05 -118 *1182:32 *28642:CLK 0.000490355 -119 *1182:32 *3570:27 1.18321e-05 -120 *1182:43 *3570:11 0.00146078 -121 *1182:43 *3570:27 0.00312397 -122 *1195:6 *3570:97 0.00242581 -123 *1259:8 *3570:97 0.000428361 -124 *1276:5 *3570:27 1.58163e-05 -125 *1276:21 *3570:27 3.77065e-05 -126 *1287:25 *3570:79 0.000431344 -127 *1287:25 *3570:86 0 -128 *1287:60 *28885:A 0 -129 *1360:44 *28642:CLK 4.69504e-05 -130 *1408:28 *3570:37 0 -131 *1416:53 *3570:27 0.000222813 -132 *1476:21 *28938:A 0.00014183 -133 *1504:7 *3570:27 2.08036e-05 -134 *1622:13 *3570:43 0.000113252 -135 *1622:17 *3570:43 0.00316661 -136 *1769:17 *28885:A 6.57032e-05 -137 *1769:17 *28906:A 2.59355e-05 -138 *1827:11 *28885:A 0 -139 *1827:36 *28885:A 0 -140 *1827:36 *3570:79 0.000392453 -141 *1827:54 *3570:79 0.000156514 -142 *1827:54 *3570:86 8.67371e-05 -143 *1830:33 *28885:A 0.000328348 -144 *1875:52 *3570:79 7.29646e-05 -145 *2853:90 *3570:11 5.15615e-05 -146 *2891:45 *3570:11 0.000371392 -147 *2895:61 *3570:27 0.000716359 -148 *3165:297 *3570:43 9.88255e-05 -149 *3209:102 *28947:A 2.59355e-05 -*RES -1 *28871:X *3570:7 15.1571 -2 *3570:7 *3570:11 30.3571 -3 *3570:11 *28946:A 9.3 -4 *3570:11 *3570:27 48.1696 -5 *3570:27 *28642:CLK 14.5768 -6 *3570:7 *3570:37 8.625 -7 *3570:37 *3570:43 49.8929 -8 *3570:43 *3570:45 4.5 -9 *3570:45 *3570:50 20.6964 -10 *3570:50 *3570:57 18.9286 -11 *3570:57 *28906:A 9.83571 -12 *3570:57 *28885:A 48.8893 -13 *3570:50 *3570:79 40.6964 -14 *3570:79 *3570:86 13.5536 -15 *3570:86 *28917:A 9.3 -16 *3570:86 *3570:97 41.8393 -17 *3570:97 *28938:A 31.1929 -18 *3570:79 *28958:A 9.3 -19 *3570:45 *28895:A 9.3 -20 *3570:37 *28947:A 35.1036 -*END - -*D_NET *3571 0.0548245 -*CONN -*I *28798:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28812:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28810:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28811:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28809:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28797:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28796:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28795:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28274:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *28273:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *28272:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *28271:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *28872:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 *28798:CLK 0 -2 *28812:CLK 0.000642327 -3 *28810:CLK 0 -4 *28811:CLK 0.000150187 -5 *28809:CLK 8.3373e-05 -6 *28797:CLK 0 -7 *28796:CLK 0.000241319 -8 *28795:CLK 0.000112089 -9 *28274:CLK 0.000159271 -10 *28273:CLK 0 -11 *28272:CLK 3.72704e-05 -12 *28271:CLK 0 -13 *28872:X 0.000146113 -14 *3571:110 0.000727815 -15 *3571:101 0.000301048 -16 *3571:89 0.00113081 -17 *3571:82 0.000982062 -18 *3571:60 0.00104836 -19 *3571:55 0.000834575 -20 *3571:53 0.00257374 -21 *3571:52 0.00243412 -22 *3571:38 0.000211931 -23 *3571:29 0.000268154 -24 *3571:22 0.00241409 -25 *3571:21 0.00258668 -26 *3571:15 0.00458112 -27 *3571:13 0.00560039 -28 *3571:5 0.00151619 -29 *28274:CLK *6114:24 0.0002599 -30 *28274:CLK *6125:8 0.000577062 -31 *28796:CLK *29571:A 9.59532e-06 -32 *28809:CLK *29394:A 2.84398e-05 -33 *28809:CLK *3791:126 0.00013833 -34 *28809:CLK *5485:102 0.00011921 -35 *28811:CLK *29577:A 0.000176429 -36 *28811:CLK *4617:23 7.43578e-06 -37 *28812:CLK *29581:A 1.24368e-05 -38 *28812:CLK *5279:29 0.000269782 -39 *28812:CLK *5599:125 5.26953e-05 -40 *3571:13 *27911:A1 6.74974e-05 -41 *3571:13 *3687:73 0.00325237 -42 *3571:13 *3801:56 9.41642e-05 -43 *3571:13 *3806:42 0.000646062 -44 *3571:15 *25556:S 0.000483225 -45 *3571:15 *25577:B 2.95726e-05 -46 *3571:15 *25649:A0 0.000357463 -47 *3571:15 *25708:A0 1.72894e-05 -48 *3571:15 *27911:A1 1.42135e-05 -49 *3571:15 *5442:10 0.000219401 -50 *3571:22 *25423:A1 4.23086e-05 -51 *3571:22 *25423:B1 0.00017096 -52 *3571:22 *25553:A1 0.0012581 -53 *3571:22 *4163:28 6.07328e-05 -54 *3571:22 *4164:55 0.000143804 -55 *3571:22 *5472:8 0.00136131 -56 *3571:22 *5541:8 0 -57 *3571:22 *5545:75 0.0004161 -58 *3571:22 *6114:24 0.000424681 -59 *3571:22 *6125:8 0.00196979 -60 *3571:22 *6125:14 0.000702841 -61 *3571:22 *6147:14 0.000113204 -62 *3571:29 *6114:24 0.000251319 -63 *3571:29 *6125:8 0.000571119 -64 *3571:38 *6114:24 0.000109809 -65 *3571:38 *6125:8 0.000194299 -66 *3571:53 *25617:A0 1.90936e-05 -67 *3571:53 *25617:A1 0.000136388 -68 *3571:53 *26822:A1 0.000211013 -69 *3571:53 *27527:A2 0.000188208 -70 *3571:53 *28220:CLK 0.000116448 -71 *3571:53 *28375:CLK 0.000104476 -72 *3571:53 *28375:D 3.82627e-05 -73 *3571:53 *3650:54 0.000336722 -74 *3571:53 *3671:6 1.85762e-05 -75 *3571:53 *3671:75 0.000296865 -76 *3571:53 *3779:12 0.000541753 -77 *3571:53 *4282:17 0.000204524 -78 *3571:53 *5698:36 0 -79 *3571:53 *5735:51 1.43665e-05 -80 *3571:53 *6323:10 0 -81 *3571:55 *25756:A1 0 -82 *3571:55 *27527:A2 9.97744e-05 -83 *3571:55 *6323:10 0 -84 *3571:60 *25756:A1 0 -85 *3571:60 *27527:A2 2.11543e-05 -86 *3571:60 *28795:RESET_B 9.23759e-05 -87 *3571:60 *29577:A 0.000108912 -88 *3571:60 *5485:102 6.86792e-05 -89 *3571:60 *5926:235 7.14629e-05 -90 *3571:89 *27869:A2 0.000108437 -91 *3571:89 *28381:RESET_B 4.27935e-05 -92 *3571:89 *28797:RESET_B 0.000189404 -93 *3571:89 *3791:126 0.000412068 -94 *3571:89 *4618:21 0.000170908 -95 *3571:89 *5200:25 6.57032e-05 -96 *3571:89 *5485:102 0.000388648 -97 *3571:89 *6323:5 0.000103977 -98 *3571:89 *6323:10 0.000210732 -99 *3571:101 *29394:A 8.1024e-05 -100 *3571:110 *29394:A 3.15961e-05 -101 *25423:A2 *3571:22 4.91171e-05 -102 *25479:B1 *3571:22 9.84606e-05 -103 *27576:A2 *3571:53 4.46843e-05 -104 *27576:C1 *3571:53 0.000154007 -105 *27891:C *3571:53 0.000176811 -106 *27911:A2 *3571:13 2.02052e-05 -107 *27911:B1 *3571:15 0.000212173 -108 *27912:C1 *3571:13 1.31459e-05 -109 *27912:C1 *3571:15 5.38593e-06 -110 *28271:D *3571:29 0.000102545 -111 *28274:D *28274:CLK 0.000104974 -112 *28795:D *28795:CLK 4.10274e-05 -113 *28795:D *3571:60 1.56e-05 -114 *28796:D *28796:CLK 0.000101877 -115 *28797:D *3571:89 7.27294e-05 -116 *28798:D *3571:53 0.00012709 -117 *28811:D *28811:CLK 8.80625e-05 -118 *28811:D *28812:CLK 0.000302079 -119 *28811:D *3571:110 0.000144609 -120 *29378:A *28812:CLK 3.7278e-05 -121 *30667:A *3571:22 0.000804785 -122 *30734:A *3571:22 0.000190051 -123 *30735:A *3571:22 9.92292e-05 -124 *30736:A *3571:22 9.89147e-05 -125 *30737:A *3571:22 0.000187622 -126 *458:10 *28809:CLK 5.41794e-05 -127 *458:10 *28812:CLK 0.000345842 -128 *458:10 *3571:101 0.000173804 -129 *458:10 *3571:110 0.000217583 -130 *466:15 *3571:53 0 -131 *565:17 *3571:53 1.68854e-05 -132 *1327:116 *3571:53 2.11419e-05 -133 *1408:26 *3571:53 5.75595e-05 -134 *1408:28 *3571:53 0.000690025 -135 *1498:13 *28796:CLK 0.000407601 -136 *1898:17 *28812:CLK 2.00751e-05 -137 *2850:56 *3571:5 0.000317783 -138 *2850:56 *3571:13 0.000354048 -139 *2850:72 *3571:13 0.000178796 -140 *2860:64 *3571:53 6.77303e-05 -141 *2865:62 *3571:53 9.60227e-05 -142 *2871:45 *3571:5 0.000137515 -143 *2871:45 *3571:13 6.47602e-05 -144 *2892:26 *3571:53 5.72597e-05 -145 *3557:15 *3571:5 0.000353092 -*RES -1 *28872:X *3571:5 15.175 -2 *3571:5 *3571:13 35.5 -3 *3571:13 *3571:15 64.8125 -4 *3571:15 *3571:21 10.1875 -5 *3571:21 *3571:22 77.8393 -6 *3571:22 *28271:CLK 13.8 -7 *3571:22 *3571:29 7.41071 -8 *3571:29 *28272:CLK 14.3357 -9 *3571:29 *3571:38 2.55357 -10 *3571:38 *28273:CLK 13.8 -11 *3571:38 *28274:CLK 21.2107 -12 *3571:5 *3571:52 4.5 -13 *3571:52 *3571:53 53.25 -14 *3571:53 *3571:55 2.55357 -15 *3571:55 *3571:60 13.9643 -16 *3571:60 *28795:CLK 11.2286 -17 *3571:60 *28796:CLK 23.8893 -18 *3571:55 *3571:82 4.5 -19 *3571:82 *28797:CLK 9.3 -20 *3571:82 *3571:89 26.3929 -21 *3571:89 *28809:CLK 16.3179 -22 *3571:89 *3571:101 2.25 -23 *3571:101 *28811:CLK 17.2107 -24 *3571:101 *3571:110 2.85714 -25 *3571:110 *28810:CLK 13.8 -26 *3571:110 *28812:CLK 26.0321 -27 *3571:53 *28798:CLK 13.8 -*END - -*D_NET *3572 0.053311 -*CONN -*I *28943:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28941:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28934:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28935:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28936:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28937:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28939:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28873:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 *28943:A 0 -2 *28941:A 0.000706332 -3 *28934:A 0 -4 *28935:A 0 -5 *28936:A 0 -6 *28937:A 0 -7 *28939:A 0.0003633 -8 *28873:X 0.00149962 -9 *3572:95 0.0011431 -10 *3572:84 0.00116625 -11 *3572:81 0.00231983 -12 *3572:72 0.00364004 -13 *3572:64 0.00229699 -14 *3572:55 0.00262818 -15 *3572:36 0.00312701 -16 *3572:34 0.00286483 -17 *3572:20 0.00256895 -18 *28939:A *3638:15 3.18676e-05 -19 *28939:A *3872:17 0.000871813 -20 *28941:A *28739:CLK 0.00021324 -21 *28941:A *3640:7 0.00014562 -22 *3572:20 *27039:A1 0.000396737 -23 *3572:20 *27759:A1 9.23258e-05 -24 *3572:20 *3886:47 9.41642e-05 -25 *3572:20 *5627:13 0.0011405 -26 *3572:20 *5700:132 0.000195775 -27 *3572:20 *5717:133 0.000592591 -28 *3572:20 *6306:102 1.02936e-05 -29 *3572:34 *28612:RESET_B 1.16212e-05 -30 *3572:34 *28668:D 0.000350075 -31 *3572:34 *28736:D 5.49489e-05 -32 *3572:34 *28739:D 6.47405e-05 -33 *3572:34 *3642:99 0 -34 *3572:34 *3820:69 0.00077475 -35 *3572:34 *3820:77 6.78949e-05 -36 *3572:34 *5442:51 5.51661e-05 -37 *3572:34 *5634:15 5.49489e-05 -38 *3572:34 *5634:26 1.51571e-05 -39 *3572:36 *28660:CLK 1.10856e-05 -40 *3572:36 *3873:34 2.39923e-05 -41 *3572:36 *5486:22 0.000565638 -42 *3572:55 *25004:S 5.52238e-05 -43 *3572:55 *26843:A1 8.25843e-06 -44 *3572:55 *28441:CLK 2.14658e-05 -45 *3572:55 *28441:D 0.000241404 -46 *3572:55 *30453:A 0.000393949 -47 *3572:55 *5720:137 0.000209063 -48 *3572:55 *6314:19 0.0002232 -49 *3572:55 *6316:7 0.000413519 -50 *3572:55 *6319:19 2.72695e-05 -51 *3572:55 *6350:35 0.000204102 -52 *3572:55 *6350:47 6.77968e-05 -53 *3572:55 *6386:94 2.46211e-05 -54 *3572:55 *6386:105 2.99314e-05 -55 *3572:64 *27233:A 0.00059766 -56 *3572:64 *28632:RESET_B 9.20464e-06 -57 *3572:64 *5680:257 0.000126794 -58 *3572:64 *5720:77 0.000160453 -59 *3572:64 *6330:17 2.79421e-05 -60 *3572:64 *6385:139 0 -61 *3572:72 *27440:A0 0.000597248 -62 *3572:72 *27461:A1 5.33005e-05 -63 *3572:72 *27461:A2 0.000340911 -64 *3572:72 *3636:8 0.000396047 -65 *3572:72 *5531:15 0.000363585 -66 *3572:72 *6330:17 0.000369588 -67 *3572:81 *26831:A0 8.00806e-05 -68 *3572:81 *28431:RESET_B 9.69119e-06 -69 *3572:81 *28432:D 4.57445e-05 -70 *3572:81 *28434:CLK 0.000268403 -71 *3572:81 *28434:RESET_B 0.000140933 -72 *3572:81 *28621:D 5.90206e-05 -73 *3572:81 *5503:8 0 -74 *3572:81 *5528:27 0 -75 *3572:81 *5531:15 0.000587169 -76 *3572:81 *5667:277 0 -77 *3572:81 *5752:82 0.000158106 -78 *3572:81 *5752:84 2.56694e-05 -79 *3572:84 *3634:13 5.52302e-05 -80 *3572:95 *27034:A1 0.00012501 -81 *3572:95 *28657:CLK 0.000175519 -82 *3572:95 *29808:A 6.09476e-05 -83 *3572:95 *3634:13 5.52238e-05 -84 *3572:95 *5528:27 0.000326724 -85 *3572:95 *5608:71 0.00028407 -86 *3572:95 *5667:277 0.000275541 -87 *25125:A2 *3572:36 0.000269302 -88 *25521:B1 *3572:64 0.000128852 -89 *27034:S *3572:95 0.000366675 -90 *27214:A1 *3572:64 0.000643479 -91 *27214:C1 *3572:55 0.000123728 -92 *27218:B1 *3572:55 0.000136388 -93 *27309:B *3572:20 4.13496e-05 -94 *27309:C *3572:20 9.90367e-05 -95 *28874:A *3572:34 0.000255968 -96 *29765:A *3572:34 0.000104979 -97 *29765:A *3572:36 0.000193431 -98 *29826:A *3572:34 1.46624e-05 -99 *29834:A *3572:20 0.000109896 -100 *29834:A *3572:34 1.11775e-05 -101 *29916:A *3572:81 0.000261467 -102 *30309:A *3572:95 0.000531645 -103 *30523:A *3572:64 0.000141761 -104 *30794:A *3572:20 4.46186e-06 -105 *371:15 *3572:55 3.49613e-05 -106 *1228:73 *3572:20 3.59374e-05 -107 *1228:73 *3572:34 8.55586e-05 -108 *1245:68 *3572:34 2.0587e-05 -109 *1245:68 *3572:36 0.000188388 -110 *1245:72 *28939:A 0.000871813 -111 *1245:72 *3572:36 0.0013401 -112 *1252:52 *3572:34 0.000110496 -113 *1264:83 *28939:A 5.33005e-05 -114 *1278:29 *3572:55 0.00223707 -115 *1328:83 *3572:36 0.00105918 -116 *1490:82 *3572:81 0.000388876 -117 *1490:82 *3572:95 0.0015102 -118 *1550:34 *3572:34 7.28643e-05 -119 *1801:51 *3572:20 0.000260574 -120 *2748:12 *3572:81 0 -121 *2748:20 *3572:81 0 -122 *2792:145 *3572:34 1.94945e-05 -123 *2798:60 *3572:55 0.000442809 -124 *2813:44 *3572:20 8.40933e-05 -125 *2831:17 *3572:64 0.000739275 -126 *2850:164 *3572:20 0.000428486 -127 *2856:25 *3572:20 0.000129778 -128 *2860:26 *3572:34 9.25014e-06 -129 *2860:26 *3572:36 0.000148911 -130 *2874:8 *3572:20 0.0001399 -131 *2874:20 *3572:20 0.000263146 -132 *2874:32 *3572:20 0.000136682 -133 *3019:21 *3572:72 5.33005e-05 -134 *3156:41 *3572:34 0.000239873 -135 *3158:13 *28941:A 1.65169e-05 -136 *3178:43 *3572:34 0.000236391 -137 *3195:34 *3572:20 2.86989e-05 -138 *3388:17 *3572:36 0.000116155 -139 *3569:5 *3572:34 5.33005e-05 -140 *3569:8 *3572:34 0.00016261 -141 *3569:26 *3572:34 0.000217487 -142 *3569:51 *3572:34 8.17274e-05 -*RES -1 *28873:X *3572:20 49.8714 -2 *3572:20 *3572:34 40.4238 -3 *3572:34 *3572:36 32 -4 *3572:36 *28939:A 25.9964 -5 *3572:36 *3572:55 47.3661 -6 *3572:55 *3572:64 18.8122 -7 *3572:64 *28937:A 9.3 -8 *3572:64 *3572:72 27.25 -9 *3572:72 *28936:A 9.3 -10 *3572:72 *3572:81 49.1607 -11 *3572:81 *3572:84 5.03571 -12 *3572:84 *28935:A 9.3 -13 *3572:84 *3572:95 48.2143 -14 *3572:95 *28934:A 9.3 -15 *3572:34 *28941:A 24.6036 -16 *3572:20 *28943:A 13.8 -*END - -*D_NET *3573 0.0434889 -*CONN -*I *28782:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28780:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28777:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28791:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28793:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28188:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28186:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28807:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28794:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28799:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28783:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28785:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28769:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28874:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 *28782:CLK 0.00015885 -2 *28780:CLK 0.000334928 -3 *28777:CLK 0 -4 *28791:CLK 0.000832503 -5 *28793:CLK 0.000339043 -6 *28188:CLK 8.91249e-05 -7 *28186:CLK 0 -8 *28807:CLK 0 -9 *28794:CLK 0.000368532 -10 *28799:CLK 0.000210264 -11 *28783:CLK 0.000617819 -12 *28785:CLK 0 -13 *28769:CLK 0.000573422 -14 *28874:X 0.0014742 -15 *3573:115 0.000637305 -16 *3573:95 0.000780021 -17 *3573:80 0.00183492 -18 *3573:46 0.000916822 -19 *3573:44 0.00139266 -20 *3573:42 0.00182963 -21 *3573:37 0.000334236 -22 *3573:34 0.000881026 -23 *3573:30 0.00168658 -24 *3573:25 0.00072517 -25 *3573:18 0.0011127 -26 *3573:16 0.00201707 -27 *28769:CLK *25046:B2 0.00105056 -28 *28769:CLK *30498:A 5.33005e-05 -29 *28769:CLK *5521:67 0.000106684 -30 *28769:CLK *5747:37 0.000104383 -31 *28769:CLK *6008:26 3.05333e-05 -32 *28769:CLK *6178:35 0.00030977 -33 *28769:CLK *6179:19 0.000106141 -34 *28769:CLK *6317:9 1.84368e-05 -35 *28769:CLK *6317:20 2.39356e-05 -36 *28780:CLK *27237:A 9.71197e-05 -37 *28780:CLK *27241:B1 0.000307349 -38 *28780:CLK *5707:244 0.000140933 -39 *28780:CLK *5707:251 0.00016801 -40 *28782:CLK *5608:28 0.000235208 -41 *28783:CLK *28783:RESET_B 4.50093e-05 -42 *28783:CLK *5609:33 0.000141858 -43 *28783:CLK *5858:23 2.57619e-05 -44 *28793:CLK *27090:B 2.44318e-05 -45 *28793:CLK *5667:230 0.000125443 -46 *28793:CLK *6319:70 0.000172309 -47 *28793:CLK *6384:8 0.000980799 -48 *28794:CLK *25952:A0 9.02017e-05 -49 *28794:CLK *28659:SET_B 8.08476e-06 -50 *28794:CLK *29742:A 0.000184745 -51 *28794:CLK *5504:56 0 -52 *28794:CLK *5526:16 8.78892e-05 -53 *28794:CLK *6350:26 0.000615779 -54 *28799:CLK *27092:A0 9.71197e-05 -55 *28799:CLK *28440:CLK 4.206e-05 -56 *28799:CLK *5485:121 0.000108103 -57 *28799:CLK *5747:37 2.46518e-05 -58 *3573:16 *25263:A1 0.000142979 -59 *3573:16 *27277:A_N 0.0001826 -60 *3573:16 *27277:B 0.000287148 -61 *3573:16 *3575:76 0.000754769 -62 *3573:16 *5442:51 0.000186997 -63 *3573:16 *5442:63 3.82242e-05 -64 *3573:16 *5530:44 0.000765734 -65 *3573:16 *5662:15 0.000682944 -66 *3573:16 *6304:24 0.000115978 -67 *3573:18 *3575:76 0.000763615 -68 *3573:18 *3575:78 0.000161985 -69 *3573:18 *5530:44 0.000911707 -70 *3573:25 *3575:78 0.000367272 -71 *3573:25 *5530:44 0.000105889 -72 *3573:25 *5969:19 1.21258e-05 -73 *3573:30 *27419:A0 9.41642e-05 -74 *3573:30 *29525:A 8.13345e-05 -75 *3573:30 *5746:196 0.00048066 -76 *3573:30 *5969:19 0.000103977 -77 *3573:34 *28767:RESET_B 0.000159863 -78 *3573:34 *29525:A 1.0945e-05 -79 *3573:34 *5746:176 0.000159446 -80 *3573:34 *5746:188 0.000501477 -81 *3573:34 *5746:196 0.000272645 -82 *3573:34 *6314:19 9.71277e-05 -83 *3573:34 *6318:30 0 -84 *3573:37 *26841:A1 0.000139187 -85 *3573:42 *25563:A1 0.000220981 -86 *3573:42 *6317:33 8.87557e-05 -87 *3573:42 *6317:49 0.000139951 -88 *3573:42 *6345:45 0.000135028 -89 *3573:44 *25563:A0 0.000163782 -90 *3573:44 *25563:A1 0.000470544 -91 *3573:44 *5747:37 0.000300493 -92 *3573:44 *5926:171 1.94879e-05 -93 *3573:44 *6317:27 2.34151e-05 -94 *3573:44 *6317:33 0.000129623 -95 *3573:46 *5680:276 6.91215e-06 -96 *3573:46 *5747:37 0.000492744 -97 *3573:46 *6008:26 5.65801e-06 -98 *3573:46 *6105:17 1.90936e-05 -99 *3573:80 *29539:A 5.94433e-06 -100 *3573:80 *6042:20 0.000135028 -101 *3573:95 *5718:77 0.000116676 -102 *3573:95 *6350:26 0.000170127 -103 *3573:115 *26841:A1 0.00026153 -104 *3573:115 *6319:70 0.000344232 -105 *3573:115 *6384:8 7.41811e-05 -106 *27237:B *28780:CLK 5.5473e-05 -107 *27241:A1 *28780:CLK 0.000181796 -108 *27241:A3 *28780:CLK 4.3057e-05 -109 *27241:B2 *28780:CLK 5.33005e-05 -110 *27243:A *3573:25 0.000143745 -111 *27299:B *3573:16 0.000135028 -112 *27327:B *3573:16 0.000178847 -113 *27327:C *3573:16 1.97836e-05 -114 *27594:S *3573:42 9.41642e-05 -115 *27594:S *3573:80 0.00119296 -116 *28772:D *28791:CLK 5.33005e-05 -117 *28772:D *3573:34 0.000259159 -118 *28799:D *28799:CLK 0.000271275 -119 *28799:D *3573:80 5.33005e-05 -120 *28799:D *3573:95 3.06511e-05 -121 *28807:D *3573:95 6.86693e-05 -122 *29706:A *28769:CLK 0.00014183 -123 *29739:A *3573:37 1.24368e-05 -124 *29739:A *3573:42 1.38323e-05 -125 *29761:A *3573:44 4.1611e-05 -126 *369:17 *28793:CLK 0.000195851 -127 *369:17 *3573:37 0.000184953 -128 *369:17 *3573:115 7.62321e-05 -129 *371:15 *28793:CLK 0.000392019 -130 *456:17 *3573:46 0.000129696 -131 *775:23 *3573:46 4.90655e-05 -132 *1178:33 *28791:CLK 3.97677e-05 -133 *1245:72 *28799:CLK 1.64634e-05 -134 *1245:72 *3573:95 8.68991e-05 -135 *1254:40 *28783:CLK 6.35082e-05 -136 *1271:23 *3573:25 1.24368e-05 -137 *1271:23 *3573:30 0.000143745 -138 *1273:246 *28794:CLK 0.000140481 -139 *1273:246 *3573:95 0.000386527 -140 *1294:243 *3573:80 6.99453e-05 -141 *1323:5 *28782:CLK 0.000303921 -142 *1797:11 *28188:CLK 0.000161723 -143 *2782:13 *28793:CLK 4.8843e-05 -144 *2782:170 *28793:CLK 6.96405e-05 -145 *2802:16 *3573:34 0.000116971 -146 *2813:11 *28780:CLK 2.28598e-05 -147 *2814:13 *28780:CLK 0.000688592 -148 *2818:8 *3573:30 0.00030181 -149 *2840:12 *28188:CLK 0.000147259 -150 *2840:53 *28188:CLK 2.06178e-05 -151 *2847:7 *3573:16 0.000159247 -152 *2847:19 *3573:16 5.52238e-05 -153 *2892:8 *3573:16 9.60875e-05 -154 *2892:17 *3573:16 0.000685899 -*RES -1 *28874:X *3573:16 48.175 -2 *3573:16 *3573:18 12.2679 -3 *3573:18 *3573:25 12.9107 -4 *3573:25 *3573:30 14 -5 *3573:30 *3573:34 17.375 -6 *3573:34 *3573:37 3.42857 -7 *3573:37 *3573:42 9.42857 -8 *3573:42 *3573:44 9.83929 -9 *3573:44 *3573:46 6.80357 -10 *3573:46 *28769:CLK 29.8893 -11 *3573:46 *28785:CLK 13.8 -12 *3573:44 *28783:CLK 21.6393 -13 *3573:42 *3573:80 24.7321 -14 *3573:80 *28799:CLK 18.9429 -15 *3573:80 *3573:95 14.6607 -16 *3573:95 *28794:CLK 28.3714 -17 *3573:95 *28807:CLK 9.3 -18 *3573:37 *28186:CLK 9.3 -19 *3573:34 *3573:115 5.875 -20 *3573:115 *28188:CLK 20.55 -21 *3573:115 *28793:CLK 21.7464 -22 *3573:30 *28791:CLK 23.2821 -23 *3573:25 *28777:CLK 9.3 -24 *3573:18 *28780:CLK 25.0143 -25 *3573:16 *28782:CLK 19.2643 -*END - -*D_NET *3574 0.0720299 -*CONN -*I *28930:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28928:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28944:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28945:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28926:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28594:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28931:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28932:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28933:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28929:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28875:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 *28930:A 0.00206386 -2 *28928:A 0 -3 *28944:A 0 -4 *28945:A 0 -5 *28926:A 0.000259999 -6 *28594:CLK 0.00134462 -7 *28931:A 3.39029e-05 -8 *28932:A 0.000499649 -9 *28933:A 0.000232891 -10 *28929:A 0.000314808 -11 *28875:X 0 -12 *3574:111 0.00126916 -13 *3574:86 0.00324988 -14 *3574:81 0.00217767 -15 *3574:75 0.00356897 -16 *3574:71 0.00208198 -17 *3574:66 0.00268163 -18 *3574:53 0.00123754 -19 *3574:43 0.00328305 -20 *3574:34 0.00456366 -21 *3574:28 0.00357546 -22 *3574:8 0.0016391 -23 *3574:5 0.000803024 -24 *28594:CLK *28594:D 0.00015573 -25 *28594:CLK *28594:SET_B 0.000444581 -26 *28594:CLK *5700:50 5.7661e-06 -27 *28926:A *26894:A0 0.000133417 -28 *28926:A *3625:7 0.000125355 -29 *28926:A *5627:75 0.000317258 -30 *28926:A *5661:210 8.55222e-06 -31 *28929:A *24854:A 0.000134345 -32 *28929:A *3991:29 4.90597e-05 -33 *28929:A *4082:80 4.46666e-05 -34 *28930:A *28623:D 9.38921e-05 -35 *28930:A *28623:RESET_B 0.000353196 -36 *28930:A *29037:A 0.000135028 -37 *28930:A *3991:32 7.39203e-06 -38 *28930:A *3991:34 2.04825e-05 -39 *28930:A *5756:60 0.000534436 -40 *28931:A *28560:D 2.59355e-05 -41 *28932:A *28183:CLK 0.000369151 -42 *28932:A *30420:A 6.17953e-05 -43 *28932:A *3631:5 2.51343e-06 -44 *28932:A *3631:10 1.40306e-05 -45 *28932:A *3631:42 0.00110396 -46 *28932:A *4111:38 0.000103253 -47 *28932:A *5467:18 1.90936e-05 -48 *28932:A *5606:81 1.18451e-05 -49 *3574:8 *5459:51 0.000202404 -50 *3574:8 *5757:134 0.00123825 -51 *3574:28 *26881:A0 0.000875097 -52 *3574:28 *27811:B2 6.06291e-05 -53 *3574:28 *5632:8 0.000263656 -54 *3574:34 *3682:50 0.000277721 -55 *3574:34 *5649:66 0.000112823 -56 *3574:43 *25030:A1 2.12087e-05 -57 *3574:43 *29929:A 0.000426985 -58 *3574:43 *3632:7 4.82497e-05 -59 *3574:43 *3864:39 8.97632e-06 -60 *3574:43 *4124:116 6.06291e-05 -61 *3574:53 *25012:A2 0.000821339 -62 *3574:53 *25020:B2 0.000614804 -63 *3574:53 *26980:A1 0.000149904 -64 *3574:53 *3630:10 0.000139796 -65 *3574:53 *4072:34 0.00111568 -66 *3574:53 *4124:104 7.16652e-05 -67 *3574:53 *4150:22 0.00033593 -68 *3574:53 *5467:18 0.00244862 -69 *3574:53 *5606:94 0.000563742 -70 *3574:66 *28708:RESET_B 0.000113285 -71 *3574:66 *3952:16 0.000216324 -72 *3574:66 *3952:18 6.52778e-05 -73 *3574:66 *3991:32 0.000624612 -74 *3574:66 *3991:34 1.721e-05 -75 *3574:66 *5757:134 3.63798e-05 -76 *3574:71 *28708:RESET_B 0.00029392 -77 *3574:71 *3627:5 0.000122591 -78 *3574:71 *3627:7 0.00032691 -79 *3574:71 *3627:12 9.41642e-05 -80 *3574:71 *3991:34 0.000141513 -81 *3574:75 *27373:A1 2.46855e-05 -82 *3574:75 *27373:B2 0.000548848 -83 *3574:75 *3627:12 0.000466816 -84 *3574:75 *5505:64 0.000499226 -85 *3574:75 *5627:38 0.000221815 -86 *3574:75 *5644:172 0.000136951 -87 *3574:81 *3644:7 0.000552103 -88 *3574:81 *5505:57 5.21189e-05 -89 *3574:81 *5505:64 9.41642e-05 -90 *3574:81 *5627:57 0.000243352 -91 *3574:86 *28483:SET_B 4.62147e-05 -92 *3574:86 *28507:D 0.000120975 -93 *3574:86 *4051:54 0.00145579 -94 *3574:86 *5505:57 5.37949e-05 -95 *3574:86 *5627:57 0.000226614 -96 *3574:86 *5627:75 8.78064e-05 -97 *3574:86 *5754:213 0.000518226 -98 *3574:86 *5754:219 0.000206736 -99 *3574:111 *27356:A1 0.000242706 -100 *3574:111 *3769:26 0.000961087 -101 *3574:111 *3820:79 0.000182185 -102 *3574:111 *3821:19 0.00155832 -103 *3574:111 *3872:43 0.000150618 -104 *3574:111 *3912:82 0.00135243 -105 *3574:111 *5754:130 4.44693e-05 -106 *3574:111 *5754:158 7.91281e-05 -107 *25030:A2 *3574:43 9.87617e-05 -108 *25220:A2 *3574:86 1.94879e-05 -109 *25337:A2 *28926:A 6.99877e-05 -110 *27373:A2 *3574:75 7.37323e-05 -111 *29335:A *28594:CLK 4.58194e-05 -112 *29872:A *28594:CLK 4.58194e-05 -113 *29872:A *3574:86 0.00011205 -114 *29930:A *28932:A 1.06693e-05 -115 *30372:A *28929:A 0.000137983 -116 *30372:A *3574:28 0.00064096 -117 *1262:172 *3574:75 2.18902e-05 -118 *1262:181 *3574:75 4.26001e-05 -119 *1262:191 *3574:75 5.89085e-05 -120 *1290:31 *3574:111 0.000962562 -121 *1290:132 *28929:A 7.78339e-05 -122 *1290:148 *3574:8 0.000572813 -123 *1290:148 *3574:66 0.000178024 -124 *1290:186 *3574:43 4.99764e-05 -125 *1292:234 *3574:43 0.000160383 -126 *1348:8 *28932:A 0.00130415 -127 *1348:8 *3574:53 0.00110344 -128 *1354:16 *3574:43 5.52238e-05 -129 *1540:14 *3574:86 0.00103591 -130 *2758:90 *3574:28 8.43535e-06 -131 *2772:189 *3574:43 0.000303368 -132 *2773:16 *3574:43 6.37327e-05 -133 *2780:161 *28926:A 0.000125355 -134 *2787:128 *3574:66 0 -135 *2788:39 *3574:71 0.00025849 -136 *2788:39 *3574:75 4.58194e-05 -137 *2853:164 *3574:111 0.000300166 -138 *2855:175 *3574:86 0.000261692 -139 *2855:302 *3574:34 0.000281099 -140 *2860:164 *3574:86 0.000523308 -141 *2877:187 *3574:86 2.09607e-05 -142 *2879:67 *28926:A 7.69776e-06 -143 *2879:67 *3574:86 0.000194407 -144 *2882:178 *3574:28 0.000265378 -145 *2883:168 *28930:A 1.50072e-05 -146 *2892:126 *28929:A 0.000192776 -147 *2892:132 *28929:A 5.52639e-05 -148 *2936:7 *3574:75 0.000678306 -149 *3009:9 *28933:A 4.00679e-05 -150 *3205:210 *3574:111 0.000140349 -151 *3215:44 *3574:111 0.000176204 -*RES -1 *28875:X *3574:5 13.8 -2 *3574:5 *3574:8 20.7143 -3 *3574:8 *28929:A 25.2821 -4 *3574:8 *3574:28 25.875 -5 *3574:28 *3574:34 38.7679 -6 *3574:34 *28933:A 12.3 -7 *3574:34 *3574:43 29.2679 -8 *3574:43 *28932:A 33.8893 -9 *3574:43 *3574:53 53.25 -10 *3574:53 *28931:A 14.3357 -11 *3574:5 *3574:66 10.0446 -12 *3574:66 *3574:71 13.8839 -13 *3574:71 *3574:75 33 -14 *3574:75 *3574:81 10.9464 -15 *3574:81 *3574:86 48.0893 -16 *3574:86 *28594:CLK 29.4429 -17 *3574:86 *28926:A 20.7643 -18 *3574:81 *28945:A 9.3 -19 *3574:75 *3574:111 48.0357 -20 *3574:111 *28944:A 13.8 -21 *3574:71 *28928:A 9.3 -22 *3574:66 *28930:A 38.5768 -*END - -*D_NET *3575 0.0521091 -*CONN -*I *28778:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28779:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28792:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28771:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28786:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28770:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28768:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28187:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28189:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28781:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28772:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28767:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28803:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28802:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28801:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28804:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28806:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28805:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28876:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 *28778:CLK 0 -2 *28779:CLK 0.000328022 -3 *28792:CLK 0.000191804 -4 *28771:CLK 0.000117774 -5 *28786:CLK 0.000884495 -6 *28770:CLK 0 -7 *28768:CLK 0.00015621 -8 *28187:CLK 2.37855e-05 -9 *28189:CLK 0.000383108 -10 *28781:CLK 0 -11 *28772:CLK 0 -12 *28767:CLK 0.000343208 -13 *28803:CLK 0.000261179 -14 *28802:CLK 0 -15 *28801:CLK 0.000467835 -16 *28804:CLK 0.000449111 -17 *28806:CLK 0 -18 *28805:CLK 0.000566654 -19 *28876:X 0.00030594 -20 *3575:141 0.0001622 -21 *3575:139 0.00100324 -22 *3575:137 0.000748479 -23 *3575:126 0.00057778 -24 *3575:113 0.000913913 -25 *3575:109 0.000972052 -26 *3575:108 0.000667661 -27 *3575:100 0.000218451 -28 *3575:83 0.000740237 -29 *3575:78 0.00115313 -30 *3575:76 0.00165161 -31 *3575:48 0.000467835 -32 *3575:46 0.000425269 -33 *3575:33 0.0010029 -34 *3575:23 0.00046289 -35 *3575:12 0.00225355 -36 *3575:8 0.00297944 -37 *28189:CLK *6042:20 0.000530254 -38 *28189:CLK *6345:45 0.000223195 -39 *28767:CLK *29607:A 0.000228253 -40 *28767:CLK *5694:133 0.000107754 -41 *28767:CLK *5713:203 9.62956e-06 -42 *28767:CLK *6314:37 4.91658e-05 -43 *28767:CLK *6317:49 0 -44 *28767:CLK *6317:70 0.000137561 -45 *28768:CLK *27225:A2 0.000203935 -46 *28768:CLK *5534:14 0.000186662 -47 *28768:CLK *6178:17 2.44318e-05 -48 *28768:CLK *6314:75 0.000227539 -49 *28771:CLK *5570:23 6.42095e-05 -50 *28771:CLK *5751:55 6.05161e-06 -51 *28779:CLK *3796:36 0.000526646 -52 *28779:CLK *5718:233 0.000528173 -53 *28779:CLK *6386:131 0.000139208 -54 *28779:CLK *6386:140 2.23592e-05 -55 *28786:CLK *27225:A1 0.000624094 -56 *28786:CLK *4574:37 0.000705876 -57 *28786:CLK *5515:32 4.25135e-05 -58 *28786:CLK *5570:23 0.000398304 -59 *28786:CLK *5969:10 1.79457e-05 -60 *28786:CLK *6104:19 3.28365e-05 -61 *28786:CLK *6314:51 0.000124872 -62 *28792:CLK *5713:203 0.000463867 -63 *28792:CLK *6350:47 9.71197e-05 -64 *28801:CLK *28802:D 8.93791e-05 -65 *28801:CLK *4030:48 0.000166503 -66 *28801:CLK *5630:14 0.000135028 -67 *28801:CLK *5754:43 1.98839e-05 -68 *28803:CLK *5638:30 0.000143446 -69 *28805:CLK *27460:C1 1.21258e-05 -70 *28805:CLK *29512:A 0.000178847 -71 *3575:8 *5592:25 0.000114453 -72 *3575:8 *5655:16 3.66327e-05 -73 *3575:12 *27301:A 0.00015977 -74 *3575:12 *5687:212 7.80413e-05 -75 *3575:12 *5717:142 6.5334e-05 -76 *3575:12 *5717:149 0.000208226 -77 *3575:33 *5638:30 0.000299249 -78 *3575:46 *4030:48 1.09026e-05 -79 *3575:46 *5630:14 0.000146474 -80 *3575:46 *5638:30 0.000372097 -81 *3575:76 *5530:44 5.12476e-05 -82 *3575:76 *5981:38 7.38652e-05 -83 *3575:76 *6302:33 0.000110702 -84 *3575:78 *27135:B 5.7836e-06 -85 *3575:78 *27235:A0 4.53515e-05 -86 *3575:78 *5530:44 0 -87 *3575:78 *6311:43 8.09146e-06 -88 *3575:83 *29607:A 0.000330628 -89 *3575:83 *6311:43 3.16397e-05 -90 *3575:100 *27235:A0 5.33433e-05 -91 *3575:100 *27235:S 0.00022266 -92 *3575:100 *5530:32 9.21804e-05 -93 *3575:100 *5530:44 7.03295e-05 -94 *3575:100 *6311:19 4.27935e-05 -95 *3575:100 *6311:43 9.41642e-05 -96 *3575:108 *5530:32 3.82242e-05 -97 *3575:109 *5718:233 0.000506544 -98 *3575:109 *5720:137 3.1532e-05 -99 *3575:109 *5720:148 2.32456e-05 -100 *3575:113 *5399:17 0.000338352 -101 *3575:113 *5718:56 6.79872e-05 -102 *3575:113 *5718:233 0.000259787 -103 *3575:137 *5997:10 0.000100617 -104 *3575:137 *6387:10 0.000228735 -105 *3575:139 *27225:A2 1.04707e-05 -106 *3575:139 *5718:14 0 -107 *3575:139 *5997:10 1.88482e-05 -108 *3575:141 *27225:A2 1.10632e-05 -109 *25521:A1 *28792:CLK 7.27579e-05 -110 *25521:B1 *28792:CLK 5.33005e-05 -111 *25533:A3 *3575:109 0.00010326 -112 *27214:C1 *3575:109 0 -113 *27235:A1 *3575:83 7.68659e-05 -114 *27240:B *3575:78 0.000585842 -115 *27254:A1 *3575:76 0.000385764 -116 *27254:A1 *3575:78 3.10885e-05 -117 *27259:C *28779:CLK 0.000298772 -118 *27261:C *3575:100 0.000298008 -119 *27261:C *3575:108 5.52238e-05 -120 *27262:B *28767:CLK 6.28248e-05 -121 *27270:A1 *28771:CLK 0.000134332 -122 *27270:A2 *28771:CLK 9.41642e-05 -123 *27270:A2 *3575:137 0.000106947 -124 *27270:B1 *3575:137 0.000130763 -125 *27270:B1 *3575:139 3.51442e-05 -126 *27296:C *3575:12 2.37761e-05 -127 *27300:C *3575:76 0.000117369 -128 *27330:B *3575:8 0.00026023 -129 *27330:C *3575:8 5.66971e-05 -130 *27721:A1 *28803:CLK 0.000124861 -131 *27820:B2 *28805:CLK 0.00018861 -132 *28187:D *28189:CLK 1.21258e-05 -133 *28187:D *3575:126 4.88631e-05 -134 *28187:D *3575:137 6.59657e-05 -135 *28772:D *3575:83 7.48091e-05 -136 *28786:D *28786:CLK 0.000229701 -137 *28801:D *28801:CLK 2.89016e-05 -138 *28860:A *28804:CLK 0.000181834 -139 *29739:A *28189:CLK 0.000230482 -140 *31016:A *28801:CLK 5.49544e-05 -141 *12:20 *28786:CLK 0.00125981 -142 *39:26 *28768:CLK 0.00016097 -143 *39:26 *3575:139 0.000139268 -144 *39:26 *3575:141 2.11419e-05 -145 *371:15 *3575:109 8.58822e-05 -146 *371:15 *3575:113 0.000403438 -147 *1117:13 *28189:CLK 0.000139913 -148 *1117:13 *3575:126 0.000128496 -149 *1117:13 *3575:137 0.000126572 -150 *1179:8 *3575:78 0.000169443 -151 *1179:10 *3575:78 0.000162118 -152 *1185:12 *3575:76 0 -153 *1273:130 *28803:CLK 7.34668e-05 -154 *1291:39 *3575:33 0.000201261 -155 *1624:33 *3575:83 0.000222666 -156 *1624:35 *28767:CLK 0.000116049 -157 *1624:35 *3575:83 0.000328532 -158 *1796:25 *28189:CLK 5.52302e-05 -159 *1797:11 *28189:CLK 2.89114e-05 -160 *1800:14 *28786:CLK 0.000121334 -161 *2787:17 *28779:CLK 0.000294097 -162 *2787:17 *3575:109 0.000170046 -163 *2787:37 *28779:CLK 0.000233792 -164 *2797:38 *28189:CLK 0.000247622 -165 *2797:38 *3575:113 5.26224e-05 -166 *2800:16 *28792:CLK 1.82657e-05 -167 *2813:34 *3575:76 0 -168 *2815:59 *3575:8 0.000175892 -169 *2830:11 *3575:108 3.97677e-05 -170 *2837:8 *28771:CLK 0.000136958 -171 *2839:117 *3575:78 3.73055e-05 -172 *2839:117 *3575:83 6.19639e-06 -173 *2840:53 *3575:83 6.54117e-05 -174 *2845:6 *3575:12 0.000420439 -175 *2845:6 *3575:76 5.60092e-05 -176 *2845:161 *3575:12 0.00134537 -177 *2847:19 *3575:76 5.55317e-05 -178 *2847:22 *3575:76 0.000207404 -179 *2847:33 *3575:8 5.19522e-06 -180 *2847:33 *3575:12 0.000231634 -181 *2847:33 *3575:76 1.15207e-05 -182 *2847:37 *3575:12 0 -183 *2848:178 *3575:33 1.31516e-05 -184 *2848:178 *3575:46 4.19791e-05 -185 *2856:270 *28804:CLK 0.000545415 -186 *2859:157 *3575:12 0.000911926 -187 *2859:157 *3575:23 9.98368e-05 -188 *2859:162 *28803:CLK 0.000176615 -189 *2859:162 *3575:46 4.68629e-05 -190 *2861:10 *3575:12 0.000138585 -191 *2864:12 *3575:76 0 -192 *2867:6 *3575:12 4.15526e-05 -193 *2867:158 *3575:12 0.000590533 -194 *2867:158 *3575:23 0.000188463 -195 *2871:20 *3575:8 0.000921883 -196 *2880:137 *28803:CLK 4.59185e-05 -197 *2885:8 *3575:76 0.000510939 -198 *2885:27 *3575:76 0.000181779 -199 *2938:11 *28801:CLK 0.000234727 -200 *3178:139 *28804:CLK 7.95355e-05 -201 *3183:40 *28803:CLK 0.000181543 -202 *3194:59 *3575:76 0.000409669 -203 *3194:70 *3575:33 0.000275873 -204 *3194:93 *28801:CLK 0.000166503 -205 *3316:17 *28801:CLK 0.000518237 -206 *3559:7 *28804:CLK 5.33005e-05 -207 *3573:16 *3575:76 0.000754769 -208 *3573:18 *3575:76 0.000763615 -209 *3573:18 *3575:78 0.000161985 -210 *3573:25 *3575:78 0.000367272 -*RES -1 *28876:X *3575:8 23.2375 -2 *3575:8 *3575:12 41.7946 -3 *3575:12 *28805:CLK 22.9607 -4 *3575:12 *3575:23 7.05357 -5 *3575:23 *28806:CLK 9.3 -6 *3575:23 *3575:33 11.8929 -7 *3575:33 *28804:CLK 23.5143 -8 *3575:33 *3575:46 4.98214 -9 *3575:46 *3575:48 4.5 -10 *3575:48 *28801:CLK 30.6571 -11 *3575:48 *28802:CLK 9.3 -12 *3575:46 *28803:CLK 20.9607 -13 *3575:8 *3575:76 30.2589 -14 *3575:76 *3575:78 14.3929 -15 *3575:78 *3575:83 15.3929 -16 *3575:83 *28767:CLK 26.6929 -17 *3575:83 *28772:CLK 9.3 -18 *3575:78 *3575:100 10.375 -19 *3575:100 *28781:CLK 9.3 -20 *3575:100 *3575:108 5.85714 -21 *3575:108 *3575:109 7.10714 -22 *3575:109 *3575:113 15.25 -23 *3575:113 *28189:CLK 29.1036 -24 *3575:113 *3575:126 1.35714 -25 *3575:126 *28187:CLK 9.72857 -26 *3575:126 *3575:137 15.0893 -27 *3575:137 *3575:139 2.40179 -28 *3575:139 *3575:141 0.276786 -29 *3575:141 *28768:CLK 18.8357 -30 *3575:141 *28770:CLK 13.8 -31 *3575:139 *28786:CLK 32.7486 -32 *3575:137 *28771:CLK 17.2107 -33 *3575:109 *28792:CLK 18.8714 -34 *3575:108 *28779:CLK 25.9607 -35 *3575:76 *28778:CLK 13.8 -*END - -*D_NET *3576 0.0708166 -*CONN -*I *28956:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28957:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28886:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28959:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28899:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28898:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28897:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28896:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28444:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28894:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28893:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28877:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 *28956:A 0 -2 *28957:A 0.000137748 -3 *28886:A 0.000439285 -4 *28959:A 0.00099823 -5 *28899:A 0.00133724 -6 *28898:A 0 -7 *28897:A 0.000821775 -8 *28896:A 0 -9 *28444:CLK 0.000292534 -10 *28894:A 9.4008e-05 -11 *28893:A 0.000757352 -12 *28877:X 2.52948e-05 -13 *3576:115 0.00245979 -14 *3576:111 0.00299504 -15 *3576:99 0.00322494 -16 *3576:76 0.00211742 -17 *3576:63 0.00257284 -18 *3576:57 0.00276609 -19 *3576:39 0.00265419 -20 *3576:31 0.00217691 -21 *3576:15 0.00198146 -22 *3576:10 0.00189997 -23 *3576:5 0.000827689 -24 *28444:CLK *3699:24 0.000904841 -25 *28444:CLK *5649:176 0.000111243 -26 *28886:A *29059:A 3.23542e-05 -27 *28886:A *3713:130 0.000863316 -28 *28886:A *5709:107 0 -29 *28893:A *28363:D 4.75812e-05 -30 *28893:A *3765:14 0 -31 *28893:A *4078:10 7.15687e-05 -32 *28893:A *4078:14 4.2871e-05 -33 *28893:A *4078:16 0.00198854 -34 *28893:A *5709:79 0.00126555 -35 *28893:A *5760:58 0.000136236 -36 *28893:A *5760:119 2.16719e-05 -37 *28893:A *5798:10 0.000129967 -38 *28893:A *5798:12 0 -39 *28894:A *25368:A1 0.000305929 -40 *28897:A *27083:A1 0.000315165 -41 *28897:A *28571:CLK 0.000522734 -42 *28897:A *28603:D 1.80039e-05 -43 *28897:A *28651:SET_B 1.02969e-05 -44 *28897:A *3596:40 0.000404174 -45 *28897:A *3596:49 0.000368465 -46 *28899:A *27065:A0 9.83442e-05 -47 *28899:A *27065:A1 0.000548274 -48 *28899:A *27415:B2 0.000374635 -49 *28899:A *3836:24 0.000128887 -50 *28899:A *5590:98 0.00134085 -51 *28899:A *5609:219 0.000178847 -52 *28899:A *5660:217 0.00175023 -53 *28899:A *5662:144 0.000118986 -54 *28957:A *29479:A 0.000393895 -55 *28957:A *5250:27 0.000391647 -56 *28959:A *26792:A1 0.000609026 -57 *28959:A *3658:7 0.000599293 -58 *28959:A *5709:107 9.0145e-05 -59 *3576:10 *25368:A1 0.000626675 -60 *3576:10 *4079:26 0.000139787 -61 *3576:15 *25189:A1 2.12087e-05 -62 *3576:15 *25189:B2 6.68086e-05 -63 *3576:15 *25925:A1 4.30382e-05 -64 *3576:15 *28372:D 2.97829e-05 -65 *3576:15 *29191:A 0.000129147 -66 *3576:15 *3766:40 5.10006e-05 -67 *3576:31 *5600:61 0.000754378 -68 *3576:39 *3699:24 0.000153673 -69 *3576:39 *5600:57 1.27529e-05 -70 *3576:39 *5600:61 2.04825e-05 -71 *3576:39 *5605:138 0.000579024 -72 *3576:57 *29059:A 0.000209222 -73 *3576:57 *5590:79 0.000956013 -74 *3576:57 *5649:176 0 -75 *3576:57 *5656:153 0 -76 *3576:63 *27083:A1 5.33005e-05 -77 *3576:63 *28651:D 6.34436e-05 -78 *3576:63 *3859:11 4.08637e-05 -79 *3576:63 *3859:29 0.00106521 -80 *3576:76 *27083:A1 8.57288e-05 -81 *3576:76 *28651:CLK 4.14545e-05 -82 *3576:76 *28651:D 0.000146055 -83 *3576:76 *28651:SET_B 0.000325342 -84 *3576:76 *3597:18 0.000421709 -85 *3576:76 *5584:131 0.000643184 -86 *3576:76 *5590:98 0.00090982 -87 *3576:76 *6247:34 2.90021e-05 -88 *3576:99 *25297:A1 0.000125355 -89 *3576:99 *28579:CLK 0.000385089 -90 *3576:99 *3655:10 0.000125186 -91 *3576:99 *3729:5 0.000109361 -92 *3576:99 *3729:38 0.000607494 -93 *3576:99 *4035:23 0.00034188 -94 *3576:99 *5605:149 9.41642e-05 -95 *3576:99 *5778:109 1.24368e-05 -96 *3576:111 *28233:RESET_B 0.000884013 -97 *3576:111 *28234:D 2.24075e-05 -98 *3576:111 *28234:RESET_B 0.000528991 -99 *3576:111 *28371:D 9.90367e-05 -100 *3576:111 *3948:17 6.09789e-05 -101 *3576:111 *5709:87 0.000176318 -102 *3576:111 *5758:63 0.000122689 -103 *3576:111 *5778:109 5.96516e-05 -104 *3576:115 *28230:CLK 5.33005e-05 -105 *3576:115 *28230:RESET_B 7.87346e-05 -106 *3576:115 *29479:A 0.000519805 -107 *3576:115 *3957:17 5.41609e-05 -108 *3576:115 *5250:8 0.00093329 -109 *3576:115 *5250:27 0.000509547 -110 *3576:115 *5709:102 0.000567589 -111 *3576:115 *5709:107 4.87953e-05 -112 *3576:115 *5760:8 0.000412318 -113 *3576:115 *5760:32 0.000200829 -114 *25189:B1 *3576:15 5.74499e-06 -115 *25257:A *28897:A 3.65141e-05 -116 *25373:A *3576:10 0.000105471 -117 *26763:B *28886:A 4.38511e-05 -118 *28405:D *28959:A 0.000152763 -119 *29732:A *3576:15 5.03772e-05 -120 *29861:A *28897:A 2.04825e-05 -121 *29861:A *3576:76 8.50152e-05 -122 *1225:119 *28899:A 0.000189314 -123 *1225:119 *3576:76 4.7079e-05 -124 *1235:159 *3576:39 0.000307973 -125 *1257:153 *28444:CLK 0.000902905 -126 *1257:153 *3576:39 0.000159625 -127 *1260:81 *28897:A 0.000240612 -128 *1265:112 *28897:A 0.000922023 -129 *1269:110 *3576:76 0.000140446 -130 *1405:83 *3576:15 9.60939e-05 -131 *1406:24 *28897:A 1.17968e-05 -132 *1434:33 *3576:99 0.000771386 -133 *1434:33 *3576:111 0.000345257 -134 *1447:78 *3576:5 5.33005e-05 -135 *1447:78 *3576:10 0.000794758 -136 *1464:56 *3576:39 1.53439e-05 -137 *1475:36 *3576:111 0.000239049 -138 *1509:10 *3576:15 0.000510642 -139 *1518:15 *28886:A 0.000207706 -140 *1626:27 *3576:57 0.00054559 -141 *1626:27 *3576:63 0.00030267 -142 *1672:32 *3576:57 0.000157309 -143 *1677:26 *28893:A 0.000129735 -144 *1688:16 *3576:39 0.000135028 -145 *2061:41 *28959:A 0.000257619 -146 *2718:19 *28959:A 5.52302e-05 -147 *2853:262 *3576:76 2.70899e-05 -148 *2879:118 *3576:76 0.000160762 -149 *3173:158 *3576:57 1.2012e-05 -150 *3215:248 *3576:31 0.000767035 -151 *3215:248 *3576:39 0.000123288 -152 *3215:254 *28894:A 0.000315179 -153 *3215:254 *3576:10 0.000362538 -154 *3389:20 *3576:31 0.000179097 -155 *3553:26 *28886:A 0.00131605 -*RES -1 *28877:X *3576:5 9.83571 -2 *3576:5 *3576:10 20.4464 -3 *3576:10 *3576:15 28.4286 -4 *3576:15 *28893:A 42.1571 -5 *3576:10 *28894:A 17.8714 -6 *3576:5 *3576:31 14.3393 -7 *3576:31 *3576:39 22.5268 -8 *3576:39 *28444:CLK 25.6125 -9 *3576:39 *3576:57 26.3045 -10 *3576:57 *28896:A 9.3 -11 *3576:57 *3576:63 16.5536 -12 *3576:63 *28897:A 42.4071 -13 *3576:63 *3576:76 27.7679 -14 *3576:76 *28898:A 13.8 -15 *3576:76 *28899:A 48.9071 -16 *3576:31 *3576:99 31.8929 -17 *3576:99 *3576:111 41.8393 -18 *3576:111 *3576:115 34.7679 -19 *3576:115 *28959:A 30.3893 -20 *3576:115 *28886:A 35.1214 -21 *3576:111 *28957:A 19.0857 -22 *3576:99 *28956:A 9.3 -*END - -*D_NET *3577 0.0193933 -*CONN -*I *28818:CLK I *D sky130_fd_sc_hd__dfxtp_2 -*I *28275:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *28267:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *28252:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *28815:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *28268:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *28250:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *28816:CLK I *D sky130_fd_sc_hd__dfxtp_2 -*I *28814:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *28265:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *28266:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *28264:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *28263:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *28278:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *28277:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *28276:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *28254:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *28878:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 *28818:CLK 0.000296941 -2 *28275:CLK 0.000381616 -3 *28267:CLK 2.23854e-05 -4 *28252:CLK 0 -5 *28815:CLK 0.000343014 -6 *28268:CLK 0 -7 *28250:CLK 0 -8 *28816:CLK 0.000114699 -9 *28814:CLK 0 -10 *28265:CLK 6.17105e-05 -11 *28266:CLK 0 -12 *28264:CLK 0.000116063 -13 *28263:CLK 0 -14 *28278:CLK 0 -15 *28277:CLK 0 -16 *28276:CLK 0.000148587 -17 *28254:CLK 0.000345701 -18 *28878:X 0.00087774 -19 *3577:114 0.000504475 -20 *3577:54 0.000334839 -21 *3577:52 0.000368454 -22 *3577:50 0.000368686 -23 *3577:48 0.00039442 -24 *3577:46 0.000278357 -25 *3577:44 0.000429463 -26 *3577:42 0.000278897 -27 *3577:40 0.000429941 -28 *3577:38 0.00039284 -29 *3577:37 0.000480057 -30 *3577:33 0.000840269 -31 *3577:27 0.000781693 -32 *3577:20 0.000572108 -33 *3577:18 0.000671213 -34 *3577:7 0.00146963 -35 *28276:CLK *5541:8 0 -36 *28276:CLK *6125:8 0.000397645 -37 *28815:CLK *28269:CLK 0.000197721 -38 *28815:CLK *5568:10 0.000211636 -39 *28815:CLK *6125:8 0.000579491 -40 *28818:CLK *27977:A0 1.12456e-05 -41 *28818:CLK *28063:B 5.49489e-05 -42 *28818:CLK *30979:A 2.19994e-05 -43 *3577:18 *6169:92 0 -44 *3577:20 *6169:92 0 -45 *3577:33 *5556:8 0.000141743 -46 *3577:38 *5541:8 0 -47 *3577:38 *6125:8 0.000361502 -48 *3577:40 *5541:8 0 -49 *3577:40 *6125:8 0.000386207 -50 *3577:42 *5541:8 0 -51 *3577:42 *6125:8 0.000189659 -52 *3577:44 *5541:8 0 -53 *3577:44 *6125:8 0.000387394 -54 *3577:46 *5541:8 0 -55 *3577:46 *6125:8 0.000385192 -56 *3577:48 *5541:8 0 -57 *3577:48 *6125:8 0.000194299 -58 *3577:50 *5541:8 0 -59 *3577:50 *6125:8 0.000385192 -60 *3577:52 *5541:8 0 -61 *3577:52 *6125:8 0.000386396 -62 *3577:54 *5541:8 0 -63 *3577:54 *6125:8 0.000576084 -64 *3577:114 *5541:8 0 -65 *3577:114 *5568:10 6.97972e-05 -66 *3577:114 *6125:8 0.000385192 -67 *27977:A1 *28275:CLK 0.000204387 -68 *28252:D *3577:33 0.000257882 -69 *28254:D *28254:CLK 0.000146026 -70 *28254:D *3577:7 0.000399594 -71 *28275:D *28275:CLK 0.000139025 -72 *28276:D *28276:CLK 4.1331e-06 -73 *28278:D *28276:CLK 8.1835e-05 -74 *28278:D *3577:54 0.000268313 -75 *28818:D *28275:CLK 4.33438e-05 -76 *28818:D *28818:CLK 1.89507e-06 -77 *28818:D *3577:20 2.00756e-05 -78 *28818:D *3577:27 2.09897e-05 -79 *28849:A *28275:CLK 5.60201e-05 -80 *1198:7 *3577:27 4.27935e-05 -81 *1198:7 *3577:33 0.000221815 -82 *1393:140 *28818:CLK 0 -83 *1665:29 *3577:7 0.000112584 -84 *1665:33 *28254:CLK 1.01108e-05 -85 *1743:54 *28275:CLK 8.95404e-05 -86 *3510:156 *3577:18 0.000241622 -87 *3510:166 *28275:CLK 1.183e-05 -88 *3510:166 *28818:CLK 2.26775e-05 -89 *3510:166 *3577:18 0.000172152 -90 *3510:166 *3577:20 0.000197481 -*RES -1 *28878:X *3577:7 20.9429 -2 *3577:7 *28254:CLK 13.8536 -3 *3577:7 *3577:18 9.9375 -4 *3577:18 *3577:20 3.16071 -5 *3577:20 *3577:27 5.84821 -6 *3577:27 *3577:33 12.1786 -7 *3577:33 *3577:37 6.67857 -8 *3577:37 *3577:38 4.67857 -9 *3577:38 *3577:40 4.98214 -10 *3577:40 *3577:42 2.55357 -11 *3577:42 *3577:44 4.98214 -12 *3577:44 *3577:46 4.98214 -13 *3577:46 *3577:48 2.55357 -14 *3577:48 *3577:50 4.98214 -15 *3577:50 *3577:52 4.98214 -16 *3577:52 *3577:54 7.41071 -17 *3577:54 *28276:CLK 18.7821 -18 *3577:54 *28277:CLK 13.8 -19 *3577:52 *28278:CLK 13.8 -20 *3577:50 *28263:CLK 13.8 -21 *3577:48 *28264:CLK 15.5679 -22 *3577:46 *28266:CLK 13.8 -23 *3577:44 *28265:CLK 14.7464 -24 *3577:42 *28814:CLK 13.8 -25 *3577:40 *28816:CLK 15.5679 -26 *3577:38 *28250:CLK 13.8 -27 *3577:37 *3577:114 4.98214 -28 *3577:114 *28268:CLK 13.8 -29 *3577:114 *28815:CLK 23.8 -30 *3577:33 *28252:CLK 9.3 -31 *3577:27 *28267:CLK 9.72857 -32 *3577:20 *28275:CLK 22.2911 -33 *3577:18 *28818:CLK 21.8398 -*END - -*D_NET *3578 0.0655562 -*CONN -*I *28902:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28901:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28891:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28892:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28888:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28889:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28903:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28890:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28506:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28879:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 *28902:A 0.00106393 -2 *28901:A 0.0007581 -3 *28891:A 0 -4 *28892:A 0 -5 *28888:A 0 -6 *28889:A 0.000559752 -7 *28903:A 0.00145466 -8 *28890:A 5.40719e-05 -9 *28506:CLK 0 -10 *28879:X 5.97809e-05 -11 *3578:118 0.00211756 -12 *3578:104 0.0019949 -13 *3578:96 0.000419037 -14 *3578:85 0.00250525 -15 *3578:75 0.00356488 -16 *3578:66 0.00183609 -17 *3578:56 0.00270946 -18 *3578:36 0.00442393 -19 *3578:27 0.00205588 -20 *3578:21 0.00129901 -21 *3578:8 0.00351255 -22 *3578:7 0.00163169 -23 *28889:A *28202:CLK 0.000441737 -24 *28889:A *5672:5 0.0002282 -25 *28889:A *5684:14 2.47833e-05 -26 *28890:A *5246:10 3.42426e-05 -27 *28901:A *27005:A1 0.000318071 -28 *28901:A *29666:A 0.000181796 -29 *28901:A *30328:A 0.000306317 -30 *28902:A *27187:A1 0.000345257 -31 *28902:A *28728:D 0.000269428 -32 *28902:A *3699:13 0.000152841 -33 *28902:A *5584:178 0.00114861 -34 *28902:A *5716:60 0.00206791 -35 *28902:A *5716:67 3.17148e-05 -36 *28902:A *5716:214 0.00010326 -37 *28902:A *5820:46 0.000126446 -38 *28903:A *26929:A0 0.00133091 -39 *28903:A *26929:A1 0.000653884 -40 *28903:A *28538:CLK 5.33005e-05 -41 *28903:A *28538:D 9.58181e-05 -42 *28903:A *5462:21 0.000606617 -43 *28903:A *5716:244 0.00044366 -44 *3578:7 *4096:25 2.79421e-05 -45 *3578:8 *4096:25 0.00181766 -46 *3578:21 *28506:D 0.000458393 -47 *3578:21 *3699:21 9.76689e-05 -48 *3578:21 *4089:8 0.00017795 -49 *3578:21 *4141:30 1.18064e-05 -50 *3578:21 *4350:116 0.000499517 -51 *3578:21 *5644:251 0.000244868 -52 *3578:21 *5716:50 4.35336e-05 -53 *3578:21 *5763:67 0.00048499 -54 *3578:27 *28510:D 0 -55 *3578:27 *28566:D 0 -56 *3578:27 *28999:A 0 -57 *3578:27 *30184:A 0 -58 *3578:27 *3589:71 0 -59 *3578:27 *4096:25 0.00014784 -60 *3578:27 *5688:10 0 -61 *3578:27 *5763:79 0.000198466 -62 *3578:27 *5763:87 0 -63 *3578:27 *5763:125 0 -64 *3578:36 *26960:A1 0 -65 *3578:36 *28518:RESET_B 0 -66 *3578:36 *28538:CLK 3.17148e-05 -67 *3578:36 *28542:D 0.000364551 -68 *3578:36 *28566:D 0 -69 *3578:36 *28999:A 0 -70 *3578:36 *4141:9 0 -71 *3578:36 *5709:10 0 -72 *3578:56 *26956:A1 5.52238e-05 -73 *3578:56 *27985:A1 0.000186662 -74 *3578:56 *27985:A2 5.71472e-05 -75 *3578:56 *28013:A1 0.000188586 -76 *3578:56 *28202:D 7.84126e-05 -77 *3578:56 *28538:CLK 0.000100831 -78 *3578:56 *30579:A 9.90431e-05 -79 *3578:56 *4141:9 0.000523831 -80 *3578:56 *4141:21 5.52302e-05 -81 *3578:56 *5684:14 1.2634e-05 -82 *3578:56 *5895:15 5.52302e-05 -83 *3578:56 *6036:95 0.000527988 -84 *3578:66 *4096:25 8.15095e-05 -85 *3578:66 *4096:32 0.000858593 -86 *3578:66 *5630:296 0 -87 *3578:75 *25905:A0 0.00107185 -88 *3578:75 *28614:D 0.0001409 -89 *3578:75 *4096:32 0.000570431 -90 *3578:75 *5630:292 0 -91 *3578:75 *5689:102 0 -92 *3578:75 *5689:190 0.000672966 -93 *3578:75 *5762:105 9.51805e-05 -94 *3578:85 *25905:A0 0.000243239 -95 *3578:85 *28586:D 0.00011729 -96 *3578:85 *28966:A 2.06178e-05 -97 *3578:85 *5669:232 0.000290286 -98 *3578:85 *5779:8 0.000686081 -99 *3578:85 *5780:91 0.000330124 -100 *3578:85 *5780:106 0.000344713 -101 *3578:96 *27605:B1 0.000850613 -102 *3578:104 *27605:B1 0.00034127 -103 *3578:118 *29357:A 0.000297971 -104 *3578:118 *3959:8 1.90936e-05 -105 *3578:118 *4128:10 0.000752453 -106 *3578:118 *4133:12 0.000415319 -107 *3578:118 *5914:64 0.000539465 -108 *3578:118 *6258:12 0.00034851 -109 *25734:S *3578:56 4.35306e-05 -110 *25900:A *3578:85 0.000466449 -111 *26920:S *3578:8 0 -112 *26920:S *3578:27 0 -113 *26948:S *3578:27 6.81083e-05 -114 *26951:S *3578:27 0.000443792 -115 *27659:C1 *3578:21 0.000220028 -116 *27986:B *3578:56 0.000724711 -117 *30194:A *3578:36 0 -118 *30200:A *3578:21 0.000351105 -119 *30231:A *3578:36 0 -120 *30764:A *3578:118 0.000110601 -121 *1174:23 *3578:21 0.000308752 -122 *1225:181 *28902:A 0.000309638 -123 *1283:9 *3578:8 4.63699e-05 -124 *1283:20 *3578:118 7.8327e-05 -125 *1288:112 *3578:66 5.59013e-05 -126 *1288:112 *3578:75 0.000235702 -127 *1288:112 *3578:104 0.000152095 -128 *1672:11 *28902:A 2.24079e-05 -129 *1834:14 *3578:118 0.000921873 -130 *1834:37 *28901:A 8.5765e-05 -131 *1834:37 *3578:118 0.000407894 -132 *1862:10 *3578:8 0.000172815 -133 *1862:93 *3578:56 0.000250387 -134 *1862:95 *3578:56 8.30851e-05 -135 *2759:68 *3578:66 2.74858e-05 -136 *2767:175 *3578:7 0.000136682 -137 *2767:188 *3578:8 0 -138 *2772:69 *28901:A 0.00168834 -139 *2772:85 *3578:85 7.84603e-05 -140 *2788:124 *28901:A 9.11494e-05 -141 *2788:124 *3578:118 0.000186641 -142 *3157:15 *3578:96 0.000544138 -143 *3157:15 *3578:104 0.000622426 -*RES -1 *28879:X *3578:7 15.1571 -2 *3578:7 *3578:8 24.7143 -3 *3578:8 *3578:21 45.8036 -4 *3578:21 *28506:CLK 9.3 -5 *3578:8 *3578:27 21.9821 -6 *3578:27 *28890:A 14.7464 -7 *3578:27 *3578:36 14.6964 -8 *3578:36 *28903:A 46.3714 -9 *3578:36 *3578:56 43.9286 -10 *3578:56 *28889:A 20.1036 -11 *3578:7 *3578:66 12.8036 -12 *3578:66 *3578:75 30.5536 -13 *3578:75 *3578:85 45.8571 -14 *3578:85 *28888:A 9.3 -15 *3578:75 *28892:A 9.3 -16 *3578:66 *3578:96 12.8393 -17 *3578:96 *28891:A 9.3 -18 *3578:96 *3578:104 10.7857 -19 *3578:104 *28901:A 33.3357 -20 *3578:104 *3578:118 38.9286 -21 *3578:118 *28902:A 49.3536 -*END - -*D_NET *3579 0.0158762 -*CONN -*I *28820:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *28256:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *28255:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *28821:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *28257:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *28817:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *28253:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *28270:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *28251:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *28269:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *28880:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 *28820:CLK 0.000221719 -2 *28256:CLK 0 -3 *28255:CLK 0 -4 *28821:CLK 0 -5 *28257:CLK 0 -6 *28817:CLK 0 -7 *28253:CLK 3.72884e-05 -8 *28270:CLK 3.72884e-05 -9 *28251:CLK 0.000127226 -10 *28269:CLK 0.000161237 -11 *28880:X 0.000220254 -12 *3579:76 0.000492932 -13 *3579:69 0.000588873 -14 *3579:62 0.000370915 -15 *3579:55 0.000161976 -16 *3579:18 0.00035 -17 *3579:16 0.000416726 -18 *3579:14 0.000447578 -19 *3579:8 0.000197101 -20 *3579:7 0.000433688 -21 *28269:CLK *5568:10 0.000386191 -22 *28269:CLK *6125:8 0.000100457 -23 *28820:CLK *5568:14 0.000975435 -24 *28820:CLK *6125:8 0.000965887 -25 *28820:CLK *6147:14 0.000116155 -26 *3579:8 *5568:10 0.000214409 -27 *3579:8 *6125:8 0.000387394 -28 *3579:14 *5568:10 0.000115721 -29 *3579:14 *6125:8 0.000191743 -30 *3579:16 *5568:10 0.0011653 -31 *3579:16 *6125:8 0.000626108 -32 *3579:16 *6147:14 0.000251371 -33 *3579:18 *5568:10 0.000224946 -34 *3579:18 *6125:8 0.000118337 -35 *3579:55 *5568:10 0.000211467 -36 *3579:55 *6125:8 0.000386396 -37 *3579:62 *5568:10 0.000110041 -38 *3579:62 *6125:8 0.000193095 -39 *3579:69 *5568:10 0.000540486 -40 *3579:69 *6125:8 0.00115231 -41 *3579:69 *6147:14 0.000116155 -42 *3579:76 *5568:10 1.86215e-05 -43 *3579:76 *5568:14 0.00111922 -44 *3579:76 *6125:8 0.00115898 -45 *3579:76 *6147:14 0.000230756 -46 *28255:D *3579:69 0.000149991 -47 *28255:D *3579:76 9.54798e-06 -48 *28815:CLK *28269:CLK 0.000197721 -49 *28880:A *3579:7 0.000177194 -*RES -1 *28880:X *3579:7 17.6214 -2 *3579:7 *3579:8 4.98214 -3 *3579:8 *3579:14 3.21429 -4 *3579:14 *3579:16 14.6964 -5 *3579:16 *3579:18 2.85714 -6 *3579:18 *28269:CLK 19.3179 -7 *3579:18 *28251:CLK 15.5679 -8 *3579:16 *28270:CLK 14.3357 -9 *3579:14 *28253:CLK 14.3357 -10 *3579:8 *28817:CLK 13.8 -11 *3579:7 *3579:55 4.98214 -12 *3579:55 *28257:CLK 13.8 -13 *3579:55 *3579:62 2.55357 -14 *3579:62 *28821:CLK 13.8 -15 *3579:62 *3579:69 14.6964 -16 *3579:69 *28255:CLK 13.8 -17 *3579:69 *3579:76 14.6964 -18 *3579:76 *28256:CLK 13.8 -19 *3579:76 *28820:CLK 26.0679 -*END - -*D_NET *3580 0.067603 -*CONN -*I *28918:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28916:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28922:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28921:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28919:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28555:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28920:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28923:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28925:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28924:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28881:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 *28918:A 0.000928702 -2 *28916:A 0 -3 *28922:A 0 -4 *28921:A 0 -5 *28919:A 8.54285e-05 -6 *28555:CLK 0.000630504 -7 *28920:A 2.30855e-05 -8 *28923:A 0.000379045 -9 *28925:A 0.000621432 -10 *28924:A 0.000845292 -11 *28881:X 0 -12 *3580:102 0.00321868 -13 *3580:94 0.00167849 -14 *3580:87 0.00425369 -15 *3580:57 0.00453711 -16 *3580:47 0.00446638 -17 *3580:42 0.000975596 -18 *3580:12 0.00184996 -19 *3580:11 0.00466532 -20 *3580:8 0.00486703 -21 *3580:5 0.000895719 -22 *28555:CLK *26891:A0 0.000556523 -23 *28555:CLK *26891:A1 0.000135028 -24 *28555:CLK *28481:CLK 4.53834e-05 -25 *28555:CLK *28545:RESET_B 0.000125724 -26 *28555:CLK *30043:A 0.00012401 -27 *28555:CLK *3786:57 4.08132e-05 -28 *28555:CLK *4151:32 0.000226021 -29 *28555:CLK *5528:53 1.40849e-05 -30 *28918:A *3615:7 5.33005e-05 -31 *28918:A *4671:11 4.10926e-05 -32 *28918:A *5702:147 0.00171442 -33 *28918:A *5702:160 0.00038021 -34 *28918:A *5911:115 0.000421074 -35 *28923:A *25181:B1 3.92854e-05 -36 *28923:A *28653:CLK 0.00054118 -37 *28923:A *28661:CLK 3.34366e-05 -38 *28923:A *3770:48 2.39876e-05 -39 *28923:A *3976:73 0.000296574 -40 *28923:A *4068:16 3.82108e-05 -41 *28923:A *5589:37 0.000165865 -42 *28923:A *5649:137 0.000182608 -43 *28924:A *3978:66 1.53472e-05 -44 *28924:A *5589:37 0.00125031 -45 *28924:A *5600:151 0.000234117 -46 *28924:A *5600:173 0.000136863 -47 *28924:A *5643:148 0.000511239 -48 *28924:A *5663:81 0.000512888 -49 *28925:A *28547:D 0.000632529 -50 *28925:A *3624:8 0.000502801 -51 *3580:8 *3785:44 0.000118971 -52 *3580:8 *3969:16 1.87004e-05 -53 *3580:8 *5635:147 0.00014833 -54 *3580:11 *28655:CLK 0.000517078 -55 *3580:11 *3863:21 9.41642e-05 -56 *3580:11 *4069:74 3.63898e-05 -57 *3580:11 *5600:173 2.59355e-05 -58 *3580:11 *5781:42 0.000137561 -59 *3580:12 *5589:37 0.00121597 -60 *3580:12 *5600:173 0.00117551 -61 *3580:42 *28655:CLK 0.000180271 -62 *3580:42 *3916:20 7.05447e-05 -63 *3580:47 *3619:7 1.92789e-05 -64 *3580:47 *3916:20 0.0016074 -65 *3580:57 *28513:D 5.56292e-05 -66 *3580:57 *28513:RESET_B 0.000128809 -67 *3580:57 *28521:CLK 0.000161326 -68 *3580:57 *28521:RESET_B 0.000292264 -69 *3580:57 *3619:7 0.000173588 -70 *3580:57 *4099:7 0.000109494 -71 *3580:57 *4112:11 3.53577e-06 -72 *3580:57 *5676:154 0.000359211 -73 *3580:87 *3850:38 0.00040452 -74 *3580:87 *3969:16 1.5942e-05 -75 *3580:94 *27378:A1 0.00100203 -76 *3580:94 *27772:A 2.14658e-05 -77 *3580:94 *27775:A1 0.00072011 -78 *3580:94 *3969:16 0.000137616 -79 *3580:94 *5658:130 0.000129913 -80 *3580:94 *5683:134 0.00011556 -81 *3580:102 *27436:B2 9.41642e-05 -82 *3580:102 *28703:CLK 9.20635e-06 -83 *3580:102 *28703:D 0.000153848 -84 *3580:102 *28703:RESET_B 0.000446635 -85 *3580:102 *3954:13 6.46535e-05 -86 *3580:102 *4671:11 2.42516e-05 -87 *27371:C1 *28924:A 0.000111243 -88 *27371:C1 *3580:12 0.000239229 -89 *27421:A2 *3580:94 5.33334e-05 -90 *27427:B1 *3580:8 0.000495611 -91 *29787:A *28923:A 3.13099e-05 -92 *30057:A *3580:57 6.76261e-05 -93 *30133:A *3580:102 3.63775e-05 -94 *849:17 *28918:A 0.000632201 -95 *1270:33 *28925:A 5.33005e-05 -96 *1282:210 *28925:A 0.000136052 -97 *1287:210 *3580:11 3.06878e-06 -98 *1390:15 *3580:47 0.000135739 -99 *1510:27 *3580:11 5.49544e-05 -100 *1549:38 *28925:A 9.60939e-05 -101 *2759:168 *28555:CLK 0.000100084 -102 *2770:27 *28555:CLK 0.000216304 -103 *2770:27 *28919:A 0.000335674 -104 *2772:164 *28555:CLK 0.000797195 -105 *2772:164 *28919:A 0.000344925 -106 *2772:171 *28555:CLK 0.000514962 -107 *2781:162 *3580:11 0.00031434 -108 *2791:66 *3580:8 0.00010326 -109 *2791:66 *3580:87 0.000778766 -110 *2791:71 *3580:8 0.000106954 -111 *2791:73 *3580:8 0.000857038 -112 *2882:260 *28925:A 0.000573448 -113 *2882:303 *3580:94 9.51744e-05 -114 *2883:220 *3580:8 3.34366e-05 -115 *2883:220 *3580:87 9.0573e-05 -116 *2885:138 *3580:8 0.000920596 -117 *2924:14 *28924:A 0.00142776 -118 *2932:34 *28924:A 0.000553784 -119 *2958:13 *28925:A 0.000342302 -120 *2980:10 *3580:94 0.000110536 -121 *2986:18 *3580:8 0.000367079 -122 *3174:225 *3580:47 0.00110038 -123 *3174:239 *3580:42 8.07951e-05 -124 *3174:239 *3580:47 0.000505499 -125 *3250:39 *28923:A 5.30491e-05 -126 *3250:39 *28924:A 7.47029e-06 -127 *3250:39 *3580:12 1.40849e-05 -128 *3367:43 *3580:94 0.00079504 -129 *3558:251 *28555:CLK 1.00375e-05 -*RES -1 *28881:X *3580:5 13.8 -2 *3580:5 *3580:8 26.1786 -3 *3580:8 *3580:11 46.9286 -4 *3580:11 *3580:12 15.9107 -5 *3580:12 *28924:A 42.9607 -6 *3580:12 *28925:A 30.3536 -7 *3580:11 *28923:A 25.9964 -8 *3580:8 *3580:42 9.35714 -9 *3580:42 *3580:47 26.1071 -10 *3580:47 *28920:A 9.72857 -11 *3580:47 *3580:57 46.5179 -12 *3580:57 *28555:CLK 36.1036 -13 *3580:57 *28919:A 18.175 -14 *3580:42 *28921:A 13.8 -15 *3580:5 *3580:87 14.6429 -16 *3580:87 *3580:94 41.7143 -17 *3580:94 *28922:A 9.3 -18 *3580:87 *3580:102 25.6071 -19 *3580:102 *28916:A 9.3 -20 *3580:102 *28918:A 30.7821 -*END - -*D_NET *3581 0.0874345 -*CONN -*I *28827:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28829:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28828:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28826:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28831:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *25561:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *6557:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *28830:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *6505:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6506:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *28819:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *28401:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28402:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28403:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28404:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28405:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28882:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 *28827:CLK 0.000201606 -2 *28829:CLK 0.000215649 -3 *28828:CLK 0.000145099 -4 *28826:CLK 0.000202253 -5 *28831:CLK 0 -6 *25561:A1 0.000209167 -7 *6557:DIODE 0 -8 *28830:CLK 2.93527e-05 -9 *6505:DIODE 0 -10 *6506:DIODE 0 -11 *28819:CLK 0.000558183 -12 *28401:CLK 0 -13 *28402:CLK 0 -14 *28403:CLK 0 -15 *28404:CLK 0 -16 *28405:CLK 0.000552663 -17 *28882:X 0.000414076 -18 *3581:182 0.000873568 -19 *3581:167 0.000715073 -20 *3581:165 0.00159103 -21 *3581:158 0.00228273 -22 *3581:146 0.00181139 -23 *3581:145 0.00209742 -24 *3581:138 0.0033294 -25 *3581:118 0.0071458 -26 *3581:76 0.00420176 -27 *3581:75 0.00364358 -28 *3581:45 0.00109162 -29 *3581:38 0.00122847 -30 *3581:33 0.00173869 -31 *3581:28 0.00112834 -32 *3581:26 0.00129262 -33 *3581:21 0.00130853 -34 *3581:19 0.000888823 -35 *3581:14 0.00531637 -36 *3581:11 0.00154777 -37 *25561:A1 *25561:A0 9.41642e-05 -38 *25561:A1 *5435:16 0.000219711 -39 *28405:CLK *28405:RESET_B 0.000185533 -40 *28405:CLK *6357:10 0.000222463 -41 *28819:CLK *5569:8 0.000246008 -42 *28827:CLK *28824:RESET_B 6.36254e-05 -43 *28828:CLK *5853:8 0 -44 *28828:CLK *6364:14 0.000319309 -45 *28828:CLK *6365:10 0.000128154 -46 *28829:CLK *28829:RESET_B 7.43578e-06 -47 *3581:11 *28352:CLK 8.55871e-05 -48 *3581:14 *28352:D 0.000105689 -49 *3581:14 *29818:A 6.49509e-05 -50 *3581:14 *4038:8 0.000362503 -51 *3581:19 *28844:A 5.52302e-05 -52 *3581:19 *5824:120 6.37792e-05 -53 *3581:19 *5824:128 5.30637e-06 -54 *3581:26 *25565:B 1.13406e-05 -55 *3581:26 *5697:16 3.29297e-05 -56 *3581:26 *6352:14 0.000705486 -57 *3581:26 *6352:31 8.64727e-05 -58 *3581:28 *5697:16 0.000220985 -59 *3581:33 *28402:RESET_B 0.000131765 -60 *3581:33 *4350:8 2.83916e-05 -61 *3581:33 *4350:87 2.05938e-05 -62 *3581:33 *5697:16 0.00046656 -63 *3581:33 *5697:23 0.000543924 -64 *3581:33 *5721:19 0.000226716 -65 *3581:33 *5760:32 0.000242913 -66 *3581:33 *5798:7 0.000389516 -67 *3581:33 *6354:7 5.33005e-05 -68 *3581:33 *6354:8 3.17148e-05 -69 *3581:38 *5721:19 0.000153138 -70 *3581:38 *5798:7 0.000126639 -71 *3581:118 *27077:A0 0 -72 *3581:118 *27750:B1 2.07592e-05 -73 *3581:118 *28598:CLK 4.58194e-05 -74 *3581:118 *28646:D 0.000426978 -75 *3581:118 *28966:A 8.94491e-05 -76 *3581:118 *30297:A 2.31796e-05 -77 *3581:118 *3590:12 6.74751e-05 -78 *3581:118 *3784:9 2.36199e-05 -79 *3581:118 *4109:36 0.000133151 -80 *3581:118 *5649:243 0.000204562 -81 *3581:118 *5669:19 7.37829e-05 -82 *3581:118 *5689:165 1.57685e-05 -83 *3581:118 *5824:128 0.000116787 -84 *3581:118 *5824:142 6.26177e-05 -85 *3581:118 *5836:300 1.95266e-05 -86 *3581:118 *5909:17 0.000219695 -87 *3581:138 *28598:CLK 1.02936e-05 -88 *3581:138 *28598:D 0.000138881 -89 *3581:138 *28628:CLK 2.09897e-05 -90 *3581:138 *28763:CLK 8.00454e-05 -91 *3581:138 *28763:D 0.000151181 -92 *3581:138 *28763:RESET_B 0.000383929 -93 *3581:138 *3600:42 3.82242e-05 -94 *3581:138 *3712:14 9.98188e-05 -95 *3581:138 *3758:7 0.000175892 -96 *3581:138 *3963:42 1.94879e-05 -97 *3581:138 *4002:20 1.94945e-05 -98 *3581:138 *4054:13 0.000158552 -99 *3581:138 *4080:22 0.00029168 -100 *3581:138 *4120:20 1.90936e-05 -101 *3581:138 *5631:88 0.00015307 -102 *3581:138 *5658:160 2.98258e-05 -103 *3581:138 *5768:52 0.000140552 -104 *3581:138 *5824:150 0 -105 *3581:138 *5824:213 0 -106 *3581:145 *5658:160 0.000777275 -107 *3581:145 *5803:27 0.000700481 -108 *3581:145 *6351:12 0.000698774 -109 *3581:146 *25186:B2 0.000341659 -110 *3581:146 *27389:B2 2.42516e-05 -111 *3581:146 *5910:47 0.00136218 -112 *3581:158 *25516:B1 0.000367389 -113 *3581:158 *28352:D 0.000142082 -114 *3581:158 *29818:A 6.74911e-05 -115 *3581:158 *5458:40 0 -116 *3581:158 *5878:14 1.59782e-05 -117 *3581:158 *6375:18 7.50697e-05 -118 *3581:158 *6377:8 0 -119 *3581:158 *6377:19 0 -120 *3581:158 *6378:11 6.58195e-05 -121 *3581:165 *25487:A1 0.000194553 -122 *3581:165 *25597:A0 0.000875206 -123 *3581:165 *28015:A2 0.000145443 -124 *3581:165 *5205:10 0.000135988 -125 *3581:165 *5284:8 6.59958e-05 -126 *3581:165 *5780:134 0 -127 *3581:165 *5878:12 0 -128 *3581:165 *5878:14 0.000268528 -129 *3581:165 *6359:8 7.80968e-05 -130 *3581:165 *6359:18 0.000163865 -131 *3581:165 *6375:18 1.76039e-05 -132 *3581:165 *6377:19 0 -133 *3581:165 *6377:21 0 -134 *25314:A2 *3581:138 7.46017e-05 -135 *25779:S *28830:CLK 8.07879e-05 -136 *25857:C1 *3581:158 0.00115249 -137 *25904:S *3581:118 0.000306317 -138 *26158:B *3581:76 0.000505335 -139 *26173:A *3581:26 4.53889e-05 -140 *26267:D1 *3581:76 0.0028459 -141 *26268:D_N *3581:76 3.2687e-05 -142 *26358:B *3581:76 0.000139331 -143 *26411:B1_N *3581:76 0.00038021 -144 *26509:A *3581:76 0.00045731 -145 *26511:A_N *3581:76 4.45513e-05 -146 *26522:A3 *3581:26 0.000221163 -147 *26552:A *3581:76 2.76645e-05 -148 *26552:B *3581:76 9.75679e-05 -149 *26552:C *3581:76 0.000221978 -150 *26554:B *3581:26 0.000287534 -151 *26622:A3 *3581:76 3.51056e-05 -152 *26631:C *3581:76 9.67754e-05 -153 *26672:C *3581:38 0.000150263 -154 *26675:A2 *3581:38 0.000114577 -155 *26728:D *3581:38 0.000190146 -156 *26757:A1 *3581:76 1.3016e-05 -157 *26757:A2 *3581:76 6.91503e-06 -158 *26757:B1 *3581:76 8.25843e-06 -159 *26757:C1 *3581:76 2.46449e-05 -160 *26763:B *28405:CLK 0.000175519 -161 *26763:B *3581:45 4.7024e-05 -162 *26791:C1 *3581:38 0 -163 *26791:C1 *3581:45 0 -164 *27703:A2 *3581:138 7.76331e-05 -165 *27703:A2 *3581:145 9.94194e-06 -166 *27750:A2 *3581:118 0.000519498 -167 *28404:D *3581:45 0.000101545 -168 *28819:D *28819:CLK 8.03949e-05 -169 *28826:D *28827:CLK 5.7599e-05 -170 *28826:D *3581:165 2.19684e-05 -171 *28827:D *28827:CLK 2.57897e-05 -172 *29337:A *3581:33 0.000321257 -173 *29558:A *3581:165 4.25716e-05 -174 *29803:A *28405:CLK 0.000164117 -175 *30338:A *3581:118 9.90367e-05 -176 *30828:A *3581:19 0.00026702 -177 *30828:A *3581:21 0.000128496 -178 *30828:A *3581:26 0.000266473 -179 *1433:23 *3581:118 2.63501e-05 -180 *1518:15 *3581:38 0.000101402 -181 *1518:15 *3581:45 0.000115473 -182 *1816:16 *28830:CLK 2.8833e-05 -183 *1820:10 *28828:CLK 0.000127971 -184 *1882:27 *3581:14 0.000681785 -185 *1882:27 *3581:158 0.000612392 -186 *1884:56 *3581:33 0.00146676 -187 *1884:56 *3581:76 0.000135028 -188 *1892:16 *3581:118 1.90936e-05 -189 *1950:87 *3581:76 0.00160127 -190 *2009:20 *3581:76 0.000135028 -191 *2062:14 *3581:33 0.0002131 -192 *2062:39 *3581:26 0.00027805 -193 *2062:39 *3581:28 0.000213131 -194 *2062:39 *3581:33 0.000413684 -195 *2089:29 *3581:38 0.000174228 -196 *2100:29 *3581:26 6.77427e-05 -197 *2161:35 *3581:76 0.000216755 -198 *2204:120 *3581:76 0.00389187 -199 *2284:5 *3581:76 0.000135028 -200 *2284:35 *3581:76 0.000124296 -201 *2300:7 *3581:76 0.000463642 -202 *2300:11 *3581:76 0.000720092 -203 *2440:8 *3581:26 0.00049537 -204 *2571:11 *3581:76 0.000118754 -205 *2608:26 *3581:38 3.51442e-05 -206 *2613:13 *3581:33 0.00039229 -207 *2708:10 *28405:CLK 9.24395e-05 -208 *2708:10 *3581:45 0 -209 *2773:139 *3581:138 1.04376e-05 -210 *2864:321 *3581:145 5.69386e-05 -211 *2879:156 *3581:138 4.19107e-05 -212 *2879:156 *3581:145 0.000552525 -213 *3164:333 *3581:138 2.8093e-05 -214 *3165:103 *3581:138 0 -215 *3226:14 *3581:138 0.000175892 -216 *3553:26 *3581:38 0.000979799 -217 *3553:26 *3581:45 0.000714097 -218 *3567:32 *3581:19 0.000290572 -*RES -1 *28882:X *3581:11 18.8893 -2 *3581:11 *3581:14 14.6429 -3 *3581:14 *3581:19 11.3571 -4 *3581:19 *3581:21 1.35714 -5 *3581:21 *3581:26 28.6607 -6 *3581:26 *3581:28 2.85714 -7 *3581:28 *3581:33 43.7143 -8 *3581:33 *3581:38 24.5893 -9 *3581:38 *3581:45 16.2321 -10 *3581:45 *28405:CLK 30.5321 -11 *3581:45 *28404:CLK 9.3 -12 *3581:38 *28403:CLK 13.8 -13 *3581:33 *28402:CLK 9.3 -14 *3581:28 *28401:CLK 13.8 -15 *3581:26 *3581:75 4.5 -16 *3581:75 *3581:76 88.0179 -17 *3581:76 *28819:CLK 18.0857 -18 *3581:76 *6506:DIODE 9.3 -19 *3581:21 *6505:DIODE 9.3 -20 *3581:19 *28830:CLK 19.3357 -21 *3581:14 *3581:118 43.4238 -22 *3581:118 *3581:138 43.7694 -23 *3581:138 *3581:145 25.9554 -24 *3581:145 *3581:146 30.1071 -25 *3581:146 *6557:DIODE 9.3 -26 *3581:146 *25561:A1 13.1214 -27 *3581:11 *3581:158 26.2321 -28 *3581:158 *28831:CLK 13.8 -29 *3581:158 *3581:165 34.4286 -30 *3581:165 *3581:167 4.5 -31 *3581:167 *28826:CLK 12.3179 -32 *3581:167 *3581:182 6.33929 -33 *3581:182 *28828:CLK 22.9786 -34 *3581:182 *28829:CLK 12.7107 -35 *3581:165 *28827:CLK 17.4071 -*END - -*D_NET *3582 0.0771272 -*CONN -*I *28913:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28914:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28915:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28908:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28905:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28904:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28910:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28912:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28911:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28909:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28907:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28900:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28883:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 *28913:A 0.000874842 -2 *28914:A 0.000373658 -3 *28915:A 0 -4 *28908:A 8.99859e-05 -5 *28905:A 2.25162e-05 -6 *28904:A 0.000701573 -7 *28910:A 0.000974764 -8 *28912:A 0 -9 *28911:A 0 -10 *28909:A 0 -11 *28907:A 0 -12 *28900:A 0 -13 *28883:X 0 -14 *3582:146 0.00260285 -15 *3582:134 0.00243426 -16 *3582:121 0.00154517 -17 *3582:90 0.0012044 -18 *3582:72 0.00186313 -19 *3582:57 0.00186313 -20 *3582:55 0.00312257 -21 *3582:44 0.00314577 -22 *3582:41 0.00242743 -23 *3582:31 0.00112527 -24 *3582:13 0.00141232 -25 *3582:8 0.00233443 -26 *3582:4 0.00147349 -27 *28904:A *28637:D 0.000634259 -28 *28904:A *29104:A 0.000208175 -29 *28904:A *3835:26 0.00106801 -30 *28904:A *4854:17 1.69961e-05 -31 *28904:A *4875:18 0.000206468 -32 *28904:A *4883:13 0.000833704 -33 *28904:A *4884:17 0.00107434 -34 *28904:A *5771:139 4.58194e-05 -35 *28904:A *6259:38 0.000185095 -36 *28905:A *5771:139 2.89114e-05 -37 *28908:A *26961:A1 0.000136951 -38 *28908:A *27152:A1 1.69961e-05 -39 *28908:A *3978:21 0.000223135 -40 *28908:A *5651:378 0.000392495 -41 *28910:A *26979:A1 0.000126446 -42 *28910:A *27124:A1 0.000730448 -43 *28910:A *29912:A 0.000312222 -44 *28910:A *3694:28 0.00026741 -45 *28910:A *4827:14 0.000229256 -46 *28910:A *5680:52 0.000393949 -47 *28910:A *5776:38 7.5317e-05 -48 *28910:A *5776:142 1.20719e-05 -49 *28910:A *5788:18 0.000377573 -50 *28910:A *5870:8 0 -51 *28910:A *5906:15 0.000520509 -52 *28910:A *6217:20 0.00077943 -53 *28910:A *6221:16 1.37292e-05 -54 *28913:A *28479:D 0.000167875 -55 *28913:A *3733:51 0.000377955 -56 *28913:A *3891:113 9.38156e-05 -57 *28913:A *5386:12 0.000122988 -58 *28913:A *5586:84 0.000221212 -59 *28913:A *5669:317 0.00185944 -60 *28913:A *5875:13 0.000221212 -61 *28913:A *5876:16 0.000106543 -62 *28914:A *30876:A 0.000222244 -63 *28914:A *3733:51 8.33655e-05 -64 *28914:A *5669:317 0.000477388 -65 *28914:A *5773:46 0.000397244 -66 *28914:A *5911:71 8.51806e-06 -67 *28914:A *5911:79 7.57819e-06 -68 *3582:8 *29233:A 2.89016e-05 -69 *3582:8 *3718:79 0.000466823 -70 *3582:8 *3900:21 0.000189275 -71 *3582:8 *5628:202 0.000292333 -72 *3582:8 *5638:162 2.11419e-05 -73 *3582:8 *5651:400 0.000385532 -74 *3582:13 *26887:A1 0.000354111 -75 *3582:13 *3606:5 0.000592363 -76 *3582:13 *4017:41 0.000438788 -77 *3582:13 *5795:181 0.000177815 -78 *3582:31 *26994:A1 7.83587e-05 -79 *3582:31 *27704:A1 0.000515585 -80 *3582:31 *27704:A2 3.18676e-05 -81 *3582:31 *28500:CLK 0.000430073 -82 *3582:31 *3599:8 0.000707102 -83 *3582:31 *3599:59 0.000195797 -84 *3582:31 *3606:5 2.14757e-05 -85 *3582:31 *3606:10 2.14658e-05 -86 *3582:31 *3691:8 0.000178025 -87 *3582:31 *3691:12 0.000320339 -88 *3582:31 *5629:217 0.000759806 -89 *3582:41 *3835:36 0 -90 *3582:41 *3900:6 8.03397e-05 -91 *3582:41 *3900:21 0.000185205 -92 *3582:41 *3900:99 1.01912e-05 -93 *3582:41 *5771:107 0.000332187 -94 *3582:41 *5771:116 0.000797866 -95 *3582:41 *5771:127 0 -96 *3582:44 *26954:A1 1.98839e-05 -97 *3582:44 *5695:55 0.000136727 -98 *3582:44 *5829:50 9.58632e-05 -99 *3582:44 *5863:60 0.000432194 -100 *3582:44 *6201:11 0.000977479 -101 *3582:55 *28504:CLK 0.000148045 -102 *3582:55 *28504:D 0.000221177 -103 *3582:55 *3608:5 6.47172e-06 -104 *3582:55 *3694:28 5.76777e-05 -105 *3582:55 *4827:14 0.00021559 -106 *3582:55 *5709:312 1.16733e-05 -107 *3582:55 *5709:327 0.000819232 -108 *3582:55 *5776:38 8.99641e-05 -109 *3582:55 *5829:207 9.44309e-06 -110 *3582:55 *6201:11 0.000243934 -111 *3582:72 *28756:CLK 0.000310314 -112 *3582:72 *28756:D 0.000241363 -113 *3582:72 *28756:RESET_B 0.000343251 -114 *3582:72 *29416:A 0.000103267 -115 *3582:72 *3611:10 9.90431e-05 -116 *3582:72 *4017:20 0 -117 *3582:72 *4019:14 6.9253e-05 -118 *3582:72 *4045:7 0.000223885 -119 *3582:72 *4136:60 0.000351156 -120 *3582:72 *4827:14 5.41794e-05 -121 *3582:72 *5776:142 0 -122 *3582:90 *28746:RESET_B 0 -123 *3582:90 *4875:18 0.000311901 -124 *3582:90 *5771:127 0 -125 *3582:90 *5771:139 0 -126 *3582:121 *3607:76 0.000454752 -127 *3582:121 *3967:18 5.03472e-05 -128 *3582:121 *5695:110 6.58294e-06 -129 *3582:121 *5774:128 0.000108511 -130 *3582:134 *26961:A1 0.000936724 -131 *3582:134 *28127:CLK 0.000165731 -132 *3582:134 *28607:CLK 0.000204065 -133 *3582:134 *29087:A 9.34707e-05 -134 *3582:134 *3692:32 9.71197e-05 -135 *3582:134 *3772:14 0.000526242 -136 *3582:134 *3930:70 1.11048e-05 -137 *3582:134 *4033:8 0.000478935 -138 *3582:134 *4033:14 0.000166957 -139 *3582:134 *4149:34 0.00077827 -140 *3582:134 *4206:36 5.33005e-05 -141 *3582:134 *4511:10 9.78596e-05 -142 *3582:134 *5651:378 0.000506155 -143 *3582:134 *5795:206 9.47909e-05 -144 *3582:134 *5800:68 0.000565999 -145 *3582:146 *27013:A1 2.23695e-05 -146 *3582:146 *28673:CLK 7.99111e-05 -147 *3582:146 *28673:D 0.000139913 -148 *3582:146 *29617:A 0.000118473 -149 *3582:146 *3692:32 0.000879019 -150 *3582:146 *3744:31 8.33274e-05 -151 *3582:146 *4069:32 0.000188668 -152 *3582:146 *4511:10 0.00169946 -153 *3582:146 *5801:19 7.38537e-05 -154 *25051:B1 *3582:121 6.79868e-05 -155 *25054:C1 *3582:134 0.000390936 -156 *25055:B *3582:134 0.000137883 -157 *29075:A *3582:8 5.33005e-05 -158 *29094:A *3582:72 0.000309024 -159 *29114:A *28904:A 0.00039281 -160 *29201:A *3582:31 0.000313205 -161 *29813:A *28910:A 6.12813e-05 -162 *29898:A *3582:44 0.000806205 -163 *29943:A *3582:8 0.000467426 -164 *29943:A *3582:121 0.000122591 -165 *29978:A *3582:44 0.000240625 -166 *30584:A *3582:72 0.000353086 -167 *1082:20 *28910:A 0.000730854 -168 *1288:127 *3582:31 0.000263048 -169 *1361:114 *3582:31 0.000393589 -170 *1826:226 *3582:121 8.30045e-05 -171 *2756:10 *3582:41 0.000123449 -172 *2756:10 *3582:90 0.000446385 -173 *2760:164 *3582:31 1.08359e-05 -174 *2762:16 *3582:41 0.000190098 -175 *2763:185 *3582:31 1.02936e-05 -176 *2771:65 *3582:13 0.000174583 -177 *2771:65 *3582:31 0.000846412 -178 *2772:14 *28913:A 0.000437915 -179 *2772:14 *28914:A 0.000546497 -180 *2776:231 *3582:8 0.0016398 -181 *2776:231 *3582:121 0.000135028 -182 *2784:133 *3582:31 0.000249933 -183 *2792:181 *3582:55 0.000146102 -184 *3185:229 *3582:121 2.16719e-05 -185 *3206:293 *3582:8 0.00030585 -186 *3538:6 *3582:41 9.10894e-05 -187 *3538:14 *3582:8 0.000285773 -188 *3538:14 *3582:41 0.00145186 -189 *3538:16 *3582:8 7.04909e-05 -*RES -1 *28883:X *3582:4 9.3 -2 *3582:4 *3582:8 34.8036 -3 *3582:8 *3582:13 14.5 -4 *3582:13 *3582:31 45.1071 -5 *3582:31 *28900:A 9.3 -6 *3582:13 *28907:A 9.3 -7 *3582:8 *3582:41 27.75 -8 *3582:41 *3582:44 28.0357 -9 *3582:44 *28909:A 9.3 -10 *3582:44 *3582:55 34.8929 -11 *3582:55 *3582:57 4.5 -12 *3582:57 *28911:A 9.3 -13 *3582:57 *3582:72 42.9107 -14 *3582:72 *28912:A 9.3 -15 *3582:55 *28910:A 45.6393 -16 *3582:41 *3582:90 16.2857 -17 *3582:90 *28904:A 44.4071 -18 *3582:90 *28905:A 9.83571 -19 *3582:4 *3582:121 20.5513 -20 *3582:121 *28908:A 13.1214 -21 *3582:121 *3582:134 42.1786 -22 *3582:134 *28915:A 9.3 -23 *3582:134 *3582:146 31.4643 -24 *3582:146 *28914:A 25.5143 -25 *3582:146 *28913:A 40.6929 -*END - -*D_NET *3583 0.0443951 -*CONN -*I *28317:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28304:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28824:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28822:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28823:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28825:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28315:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28813:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28320:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28321:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28324:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28323:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28322:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28316:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28318:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28319:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28400:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28406:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28884:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 *28317:CLK 0 -2 *28304:CLK 0 -3 *28824:CLK 3.74539e-05 -4 *28822:CLK 9.58769e-05 -5 *28823:CLK 0.000132915 -6 *28825:CLK 0.00016369 -7 *28315:CLK 0 -8 *28813:CLK 0.000339463 -9 *28320:CLK 3.95848e-05 -10 *28321:CLK 3.03372e-05 -11 *28324:CLK 8.61506e-05 -12 *28323:CLK 0 -13 *28322:CLK 0.000207662 -14 *28316:CLK 0.000402937 -15 *28318:CLK 0 -16 *28319:CLK 0 -17 *28400:CLK 0.00018981 -18 *28406:CLK 0.00191137 -19 *28884:X 0.000144836 -20 *3583:163 0.000303122 -21 *3583:160 0.000312593 -22 *3583:149 0.0011854 -23 *3583:133 0.000485363 -24 *3583:130 0.00135136 -25 *3583:126 0.000653875 -26 *3583:115 0.000602683 -27 *3583:106 0.000447541 -28 *3583:85 0.000554812 -29 *3583:80 0.000632903 -30 *3583:79 0.000712004 -31 *3583:68 0.0010055 -32 *3583:59 0.00083944 -33 *3583:45 0.000923414 -34 *3583:24 0.0031678 -35 *3583:20 0.00227334 -36 *3583:16 0.00144992 -37 *3583:11 0.000638316 -38 *3583:10 0.000242403 -39 *28321:CLK *28321:D 7.77751e-05 -40 *28322:CLK *25516:A1 9.91086e-05 -41 *28322:CLK *5779:74 0.000310307 -42 *28322:CLK *6376:14 5.66157e-05 -43 *28400:CLK *6352:14 6.21161e-05 -44 *28406:CLK *28336:CLK 0.00105765 -45 *28406:CLK *28406:RESET_B 8.79458e-05 -46 *28406:CLK *5780:18 0.000304394 -47 *28406:CLK *6358:7 0.000239202 -48 *28822:CLK *30257:A 0.000187019 -49 *28822:CLK *6376:41 6.63476e-05 -50 *28823:CLK *25593:A1 0.00014285 -51 *28823:CLK *30257:A 0.00017309 -52 *28823:CLK *6376:41 5.7234e-05 -53 *28824:CLK *6180:30 0.000127476 -54 *28824:CLK *6362:9 4.88631e-05 -55 *28825:CLK *29656:A 0.00040279 -56 *28825:CLK *5914:88 0.000796784 -57 *28825:CLK *6363:9 0.000244339 -58 *3583:10 *25500:A2 7.90803e-05 -59 *3583:10 *27972:B 0.000140933 -60 *3583:10 *5778:123 6.39901e-05 -61 *3583:20 *5719:32 0.000271701 -62 *3583:20 *6352:31 0.000724923 -63 *3583:24 *25765:B 0.000141763 -64 *3583:24 *25887:A0 7.83659e-05 -65 *3583:24 *28349:D 0.000473146 -66 *3583:24 *3656:84 7.35679e-05 -67 *3583:24 *3656:93 1.00375e-05 -68 *3583:24 *3656:104 2.14474e-05 -69 *3583:24 *3947:15 0.000485285 -70 *3583:24 *5231:21 0.000461489 -71 *3583:24 *5669:48 8.05006e-05 -72 *3583:24 *5688:158 0.000472264 -73 *3583:24 *5697:23 0 -74 *3583:24 *5719:32 0.00079761 -75 *3583:24 *5758:29 7.83587e-05 -76 *3583:24 *5758:42 0.000287452 -77 *3583:24 *5758:51 9.4724e-05 -78 *3583:24 *5758:123 0.000281743 -79 *3583:24 *5758:132 0.0004578 -80 *3583:24 *5780:61 0.000175786 -81 *3583:24 *6352:31 1.53472e-05 -82 *3583:45 *6036:59 0 -83 *3583:59 *5778:123 0 -84 *3583:59 *6376:175 5.86416e-05 -85 *3583:68 *5778:123 0 -86 *3583:68 *6378:22 6.84616e-05 -87 *3583:79 *28316:RESET_B 0.000264678 -88 *3583:79 *6378:22 2.3076e-05 -89 *3583:80 *25518:B1 7.50601e-05 -90 *3583:80 *25579:A 0 -91 *3583:85 *28319:RESET_B 1.21258e-05 -92 *3583:85 *29492:A 0 -93 *3583:85 *6376:14 4.79133e-05 -94 *3583:85 *6376:28 7.77652e-05 -95 *3583:106 *25579:A 0 -96 *3583:115 *25579:A 0 -97 *3583:126 *5420:6 0 -98 *3583:126 *5779:175 0 -99 *3583:130 *5779:165 0.000103004 -100 *3583:130 *6036:64 4.00025e-05 -101 *3583:130 *6369:10 0.000126439 -102 *3583:133 *28315:D 5.52238e-05 -103 *3583:149 *25593:A0 0 -104 *3583:149 *5779:181 0 -105 *3583:149 *6036:64 0.000892563 -106 *3583:160 *5779:181 0 -107 *3583:160 *6036:64 0.000179863 -108 *3583:163 *6180:30 0.000310298 -109 *3583:163 *6362:9 0.000200078 -110 *25513:A2 *28320:CLK 0.000181796 -111 *25513:A2 *3583:106 0 -112 *25513:A2 *3583:115 0 -113 *25535:A1 *3583:126 0 -114 *25896:S *3583:24 0.000264771 -115 *26344:B *3583:16 0.000185916 -116 *26344:B *3583:20 0.000223592 -117 *26347:B1 *3583:11 0.000105586 -118 *26347:B1 *3583:16 0.000180777 -119 *26399:A4 *28400:CLK 5.52238e-05 -120 *26449:B1 *3583:20 0.000290986 -121 *26497:B *3583:20 0.00014101 -122 *26553:B1 *3583:20 2.14378e-05 -123 *26587:A *3583:20 0.000140561 -124 *26635:B1 *3583:20 0.000148911 -125 *26692:A *28813:CLK 7.92879e-05 -126 *27971:A3 *3583:115 2.7728e-05 -127 *27971:A3 *3583:126 8.99641e-05 -128 *28304:D *3583:115 3.49075e-05 -129 *28304:D *3583:126 0.000114409 -130 *28316:D *28316:CLK 9.92131e-05 -131 *28316:D *3583:68 8.15095e-05 -132 *28316:D *3583:79 1.21258e-05 -133 *28317:D *3583:11 0.000348207 -134 *28317:D *3583:16 9.60875e-05 -135 *28320:D *28320:CLK 0.000181796 -136 *28324:D *3583:80 0 -137 *28823:D *3583:160 7.2754e-05 -138 *28884:A *3583:10 6.72808e-05 -139 *29249:A *28406:CLK 5.39166e-06 -140 *1172:18 *3583:115 0 -141 *1782:29 *28322:CLK 3.90513e-05 -142 *1782:29 *3583:80 0 -143 *1782:29 *3583:85 0 -144 *1783:10 *3583:16 0.000123288 -145 *1783:20 *3583:45 0 -146 *1816:8 *3583:130 0.000100969 -147 *1816:8 *3583:149 0.00057392 -148 *1816:12 *3583:59 0.000178101 -149 *1816:12 *3583:68 0.000187742 -150 *1816:12 *3583:85 0 -151 *1874:8 *28322:CLK 2.84398e-05 -152 *1880:18 *28813:CLK 0.000209574 -153 *1883:31 *3583:11 0.000113005 -154 *1883:64 *3583:20 1.81709e-05 -155 *1883:78 *3583:20 0.000389868 -156 *1884:23 *28321:CLK 7.77751e-05 -157 *1884:35 *3583:106 0 -158 *1884:35 *3583:115 0 -159 *1930:76 *3583:16 6.0521e-05 -160 *1930:76 *3583:45 0.000537433 -161 *2011:84 *28406:CLK 0 -162 *2114:29 *3583:16 2.17591e-05 -163 *2114:29 *3583:20 0.00020919 -164 *2114:43 *3583:20 0.000513966 -165 *2283:23 *3583:20 8.54279e-05 -166 *2293:16 *3583:16 0.000516003 -167 *2293:16 *3583:20 0.000295851 -168 *2293:20 *3583:20 0.00111617 -169 *2409:6 *28813:CLK 0.000134738 -170 *2556:6 *3583:20 0.00110035 -171 *3510:10 *3583:45 0.000104236 -*RES -1 *28884:X *3583:10 22.2107 -2 *3583:10 *3583:11 3.41071 -3 *3583:11 *3583:16 13.0714 -4 *3583:16 *3583:20 41.4911 -5 *3583:20 *3583:24 46.0625 -6 *3583:24 *28406:CLK 33.5679 -7 *3583:16 *28400:CLK 16.8179 -8 *3583:11 *3583:45 16.7143 -9 *3583:45 *28319:CLK 9.3 -10 *3583:45 *3583:59 14.0893 -11 *3583:59 *28318:CLK 13.8 -12 *3583:59 *3583:68 10.0893 -13 *3583:68 *28316:CLK 14.2643 -14 *3583:68 *3583:79 9.55357 -15 *3583:79 *3583:80 3.16071 -16 *3583:80 *3583:85 11.25 -17 *3583:85 *28322:CLK 23.2821 -18 *3583:85 *28323:CLK 9.3 -19 *3583:80 *28324:CLK 15.1571 -20 *3583:79 *3583:106 1.94643 -21 *3583:106 *28321:CLK 15.1571 -22 *3583:106 *3583:115 4.67857 -23 *3583:115 *28320:CLK 15.5679 -24 *3583:115 *3583:126 9.78571 -25 *3583:126 *3583:130 12.5179 -26 *3583:130 *3583:133 6.67857 -27 *3583:133 *28813:CLK 26.2821 -28 *3583:133 *28315:CLK 9.3 -29 *3583:130 *3583:149 21.9821 -30 *3583:149 *28825:CLK 22.1393 -31 *3583:149 *3583:160 9.17857 -32 *3583:160 *3583:163 7.5 -33 *3583:163 *28823:CLK 17.4071 -34 *3583:163 *28822:CLK 16.3536 -35 *3583:160 *28824:CLK 10.6571 -36 *3583:126 *28304:CLK 9.3 -37 *3583:10 *28317:CLK 9.3 -*END - -*D_NET *3584 0.0184838 -*CONN -*I *28290:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28289:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28162:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28160:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28387:CLK I *D sky130_fd_sc_hd__dfstp_4 -*I *28386:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28388:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28199:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28385:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28201:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28198:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28161:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28885:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28290:CLK 0.000114452 -2 *28289:CLK 0.000325645 -3 *28162:CLK 3.04135e-05 -4 *28160:CLK 0 -5 *28387:CLK 0.000275494 -6 *28386:CLK 0.000574483 -7 *28388:CLK 4.08138e-05 -8 *28199:CLK 0.00126228 -9 *28385:CLK 0 -10 *28201:CLK 6.26717e-05 -11 *28198:CLK 0.000238185 -12 *28161:CLK 0.000348864 -13 *28885:X 4.36033e-05 -14 *3584:117 0.000538451 -15 *3584:84 0.00104033 -16 *3584:60 0.0014287 -17 *3584:57 0.000446549 -18 *3584:50 0.000333301 -19 *3584:32 0.00102988 -20 *3584:20 0.00116886 -21 *3584:18 0.000506002 -22 *3584:13 0.000464024 -23 *3584:8 0.000445394 -24 *3584:7 0.000305224 -25 *28161:CLK *25547:A1 0.000318309 -26 *28161:CLK *25732:A0 0.000536146 -27 *28161:CLK *28385:D 2.56601e-05 -28 *28162:CLK *25943:A0 5.33005e-05 -29 *28198:CLK *29644:A 2.33029e-05 -30 *28199:CLK *25548:A0 0.000605185 -31 *28199:CLK *28199:RESET_B 0.000258786 -32 *28199:CLK *6036:13 0.000101823 -33 *28199:CLK *6036:27 0.000378506 -34 *28201:CLK *28198:D 3.69697e-05 -35 *28201:CLK *4183:13 1.59689e-05 -36 *28289:CLK *28289:RESET_B 0.000139019 -37 *28289:CLK *5458:24 0.000119886 -38 *28290:CLK *3831:28 4.58194e-05 -39 *28386:CLK *25944:A0 8.07951e-05 -40 *28386:CLK *28146:D 7.43578e-06 -41 *28386:CLK *28386:D 5.23142e-05 -42 *28386:CLK *28386:RESET_B 6.26774e-05 -43 *28386:CLK *3841:8 3.34295e-05 -44 *28387:CLK *28386:RESET_B 0.000137983 -45 *28387:CLK *3841:13 2.00576e-05 -46 *3584:8 *5458:14 9.25014e-06 -47 *3584:8 *5705:16 9.83148e-05 -48 *3584:13 *28160:D 7.48091e-05 -49 *3584:13 *5461:7 0.00025664 -50 *3584:13 *5698:310 3.69047e-06 -51 *3584:13 *5705:16 6.26559e-05 -52 *3584:18 *5461:7 0.000210732 -53 *3584:20 *25547:A1 0.000262558 -54 *3584:20 *28385:D 9.73818e-05 -55 *3584:32 *28198:RESET_B 4.12921e-05 -56 *3584:32 *29644:A 3.61463e-05 -57 *3584:32 *4208:5 2.88018e-06 -58 *3584:32 *5732:168 1.65169e-05 -59 *3584:32 *6103:34 9.31011e-05 -60 *3584:50 *25547:A1 0.000184222 -61 *3584:50 *28385:D 0.000101047 -62 *3584:60 *6036:27 0.000224655 -63 *3584:84 *25944:A0 3.57844e-05 -64 *3584:117 *5458:14 0.000181297 -65 *3584:117 *5705:16 1.5942e-05 -66 *28084:RESET_B *28199:CLK 1.90936e-05 -67 *28885:A *28386:CLK 1.91414e-05 -68 *28885:A *3584:57 4.37992e-05 -69 *28885:A *3584:84 0.000445306 -70 *29399:A *28161:CLK 0 -71 *29449:A *28289:CLK 5.33005e-05 -72 *29449:A *28290:CLK 8.89289e-05 -73 *29449:A *3584:117 1.76135e-05 -74 *721:24 *3584:50 4.58192e-05 -75 *721:24 *3584:57 0.000102055 -76 *1287:60 *3584:50 6.60301e-05 -77 *1287:60 *3584:57 7.44269e-05 -78 *1287:60 *3584:84 0.000124975 -79 *1430:50 *28201:CLK 6.42242e-05 -80 *1810:36 *28199:CLK 2.04825e-05 -81 *1827:11 *28161:CLK 0 -82 *1829:50 *28290:CLK 0.000173804 -83 *1829:50 *3584:8 0.000325342 -84 *1829:50 *3584:13 0.000173804 -85 *1829:50 *3584:117 0.000260377 -86 *1862:42 *28388:CLK 0.000113061 -87 *1864:70 *28388:CLK 0.000113061 -88 *1899:39 *3584:7 5.33005e-05 -*RES -1 *28885:X *3584:7 14.3357 -2 *3584:7 *3584:8 4.375 -3 *3584:8 *3584:13 11.3929 -4 *3584:13 *3584:18 8.85714 -5 *3584:18 *3584:20 3.46429 -6 *3584:20 *28161:CLK 22.925 -7 *3584:20 *3584:32 12.8393 -8 *3584:32 *28198:CLK 12.2107 -9 *3584:32 *28201:CLK 19.9429 -10 *3584:18 *3584:50 4.98214 -11 *3584:50 *28385:CLK 13.8 -12 *3584:50 *3584:57 3.3125 -13 *3584:57 *3584:60 3.81733 -14 *3584:60 *28199:CLK 31.6996 -15 *3584:60 *28388:CLK 18.7011 -16 *3584:57 *3584:84 5.74107 -17 *3584:84 *28386:CLK 23.3 -18 *3584:84 *28387:CLK 17.5321 -19 *3584:13 *28160:CLK 9.3 -20 *3584:8 *28162:CLK 14.3357 -21 *3584:7 *3584:117 3.46429 -22 *3584:117 *28289:CLK 20.0857 -23 *3584:117 *28290:CLK 16.9964 -*END - -*D_NET *3585 0.0093315 -*CONN -*I *28230:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28249:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28246:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28247:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28248:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28245:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28303:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28886:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28230:CLK 0.00033512 -2 *28249:CLK 5.6289e-05 -3 *28246:CLK 0.000119593 -4 *28247:CLK 0 -5 *28248:CLK 2.52056e-05 -6 *28245:CLK 0 -7 *28303:CLK 0.000312213 -8 *28886:X 0.000129391 -9 *3585:46 0.000558148 -10 *3585:41 0.000972048 -11 *3585:16 0.000386199 -12 *3585:14 0.000288335 -13 *3585:11 0.000606545 -14 *3585:7 0.000801453 -15 *28230:CLK *28249:RESET_B 4.99763e-05 -16 *28230:CLK *4092:21 5.80706e-06 -17 *28230:CLK *5824:28 0.000179553 -18 *28230:CLK *5833:65 0 -19 *28246:CLK *3713:130 0.000128146 -20 *28246:CLK *5709:107 6.97972e-05 -21 *28303:CLK *3713:119 4.16691e-05 -22 *28303:CLK *5709:112 0.000759543 -23 *3585:7 *30785:A 6.05161e-06 -24 *3585:11 *28247:D 0.000181803 -25 *3585:11 *28247:SET_B 5.95691e-05 -26 *3585:11 *3948:24 0.000138015 -27 *3585:11 *4092:21 0.000250915 -28 *3585:14 *3713:119 1.09232e-05 -29 *3585:14 *3713:130 9.62144e-05 -30 *3585:14 *5709:112 0.000570766 -31 *3585:16 *5709:112 0.000187019 -32 *3585:41 *25785:A0 0.000197991 -33 *3585:41 *28249:RESET_B 0.000167197 -34 *3585:41 *3948:24 0.000169037 -35 *3585:41 *4092:21 0.000262494 -36 *3585:41 *5824:28 1.18451e-05 -37 *3585:46 *28249:RESET_B 6.12326e-06 -38 *3585:46 *5688:213 0.00015733 -39 *29249:A *28230:CLK 0.000177272 -40 *29346:A *28248:CLK 5.52302e-05 -41 *30047:A *28303:CLK 0 -42 *30225:A *3585:41 3.48139e-05 -43 *2483:45 *28246:CLK 8.25843e-06 -44 *2483:45 *28249:CLK 2.59355e-05 -45 *2483:45 *3585:46 2.22618e-05 -46 *3541:38 *28303:CLK 0.000332173 -47 *3553:10 *28303:CLK 3.17163e-05 -48 *3553:10 *3585:14 0.000192214 -49 *3553:10 *3585:16 9.99989e-05 -50 *3576:115 *28230:CLK 5.33005e-05 -*RES -1 *28886:X *3585:7 15.1571 -2 *3585:7 *3585:11 13.5357 -3 *3585:11 *3585:14 11.9107 -4 *3585:14 *3585:16 2.55357 -5 *3585:16 *28303:CLK 23.6393 -6 *3585:16 *28245:CLK 13.8 -7 *3585:14 *28248:CLK 14.3357 -8 *3585:11 *28247:CLK 9.3 -9 *3585:7 *3585:41 8.32143 -10 *3585:41 *3585:46 9.26786 -11 *3585:46 *28246:CLK 20.8893 -12 *3585:46 *28249:CLK 10.5679 -13 *3585:41 *28230:CLK 22.3536 -*END - -*D_NET *3586 0.0112455 -*CONN -*I *28301:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28302:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28300:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28296:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28299:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28294:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28307:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28306:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28305:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28887:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28301:CLK 2.55135e-05 -2 *28302:CLK 8.27038e-05 -3 *28300:CLK 4.64851e-05 -4 *28296:CLK 8.14851e-05 -5 *28299:CLK 0.000161346 -6 *28294:CLK 0 -7 *28307:CLK 0.000223821 -8 *28306:CLK 0 -9 *28305:CLK 0.0003071 -10 *28887:X 0 -11 *3586:73 0.000185056 -12 *3586:64 0.000376128 -13 *3586:56 0.000385635 -14 *3586:16 0.000388758 -15 *3586:14 0.000810091 -16 *3586:10 0.000705781 -17 *3586:7 0.000470974 -18 *3586:4 0.000159803 -19 *28296:CLK *3697:31 0.000215583 -20 *28296:CLK *5715:162 0.000215583 -21 *28299:CLK *3658:10 0.000172528 -22 *28299:CLK *3740:30 0.000329101 -23 *28301:CLK *25850:A1 6.05161e-06 -24 *28301:CLK *29431:A 1.21258e-05 -25 *28301:CLK *5824:37 2.59355e-05 -26 *28302:CLK *25850:A1 0.000148189 -27 *28302:CLK *30529:A 0.000197262 -28 *28305:CLK *24961:A 4.58194e-05 -29 *28305:CLK *5778:49 8.91726e-05 -30 *28305:CLK *5850:10 0.00017309 -31 *28307:CLK *24869:B 0 -32 *28307:CLK *5778:49 1.55475e-05 -33 *28307:CLK *5850:10 0.000168782 -34 *3586:10 *3658:10 2.84398e-05 -35 *3586:10 *3700:8 0.000151984 -36 *3586:10 *3740:30 0.000431305 -37 *3586:10 *6358:27 0 -38 *3586:14 *29041:A 5.52238e-05 -39 *3586:14 *5669:111 0.00015733 -40 *3586:14 *5761:7 9.71197e-05 -41 *3586:14 *5778:80 0.000258915 -42 *3586:16 *5778:49 9.52467e-05 -43 *3586:16 *5850:10 0.000183245 -44 *3586:64 *25860:A0 1.37292e-05 -45 *3586:64 *3697:8 0.000110854 -46 *3586:64 *3697:31 0.000306163 -47 *3586:64 *5715:149 2.87566e-05 -48 *3586:64 *5715:162 0.000112323 -49 *3586:64 *5841:22 0 -50 *3586:73 *30529:A 5.84171e-05 -51 *3586:73 *3697:8 3.67356e-05 -52 *26258:C *3586:14 0.000186577 -53 *29980:A *3586:10 0 -54 *30058:A *28302:CLK 2.14378e-05 -55 *30058:A *3586:64 0.000123288 -56 *30058:A *3586:73 0.00019384 -57 *30135:A *28307:CLK 0.000271352 -58 *30457:A *3586:64 0 -59 *30480:A *28305:CLK 0.000167119 -60 *1195:6 *28307:CLK 0 -61 *1873:35 *3586:7 0.000703595 -62 *1873:35 *3586:56 0.000268396 -63 *3165:348 *3586:7 0.000703595 -64 *3165:348 *3586:56 0.000255062 -*RES -1 *28887:X *3586:4 9.3 -2 *3586:4 *3586:7 11.1964 -3 *3586:7 *3586:10 10.0893 -4 *3586:10 *3586:14 14.6071 -5 *3586:14 *3586:16 2.55357 -6 *3586:16 *28305:CLK 20.6929 -7 *3586:16 *28306:CLK 13.8 -8 *3586:14 *28307:CLK 18.9429 -9 *3586:10 *28294:CLK 9.3 -10 *3586:7 *28299:CLK 18.7107 -11 *3586:4 *3586:56 7.08929 -12 *3586:56 *28296:CLK 16.6571 -13 *3586:56 *3586:64 6.80357 -14 *3586:64 *28300:CLK 14.3357 -15 *3586:64 *3586:73 2.55357 -16 *3586:73 *28302:CLK 16.8893 -17 *3586:73 *28301:CLK 14.3357 -*END - -*D_NET *3587 0.0038984 -*CONN -*I *28241:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28240:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28888:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28241:CLK 0.000165 -2 *28240:CLK 0.000288197 -3 *28888:X 0.000397179 -4 *3587:7 0.000850376 -5 *28240:CLK *28240:D 6.57032e-05 -6 *28240:CLK *5719:25 3.30526e-05 -7 *25566:B2 *3587:7 0.000270394 -8 *1816:16 *28240:CLK 0.000288571 -9 *1816:16 *28241:CLK 0.000629089 -10 *3566:8 *28240:CLK 0.000290293 -11 *3566:8 *28241:CLK 0.000620547 -*RES -1 *28888:X *3587:7 18.8536 -2 *3587:7 *28240:CLK 20.5857 -3 *3587:7 *28241:CLK 21.8179 -*END - -*D_NET *3588 0.00372023 -*CONN -*I *28202:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28203:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28889:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28202:CLK 0.000125335 -2 *28203:CLK 0.00106386 -3 *28889:X 0 -4 *3588:5 0.0011892 -5 *28202:CLK *29429:A 2.49484e-05 -6 *28202:CLK *5672:5 0.000236756 -7 *28203:CLK *28203:RESET_B 0.000570349 -8 *28203:CLK *29429:A 3.92854e-05 -9 *28203:CLK *4212:8 2.87631e-05 -10 *27995:A0 *28202:CLK 0 -11 *27995:A0 *28203:CLK 0 -12 *28889:A *28202:CLK 0.000441737 -*RES -1 *28889:X *3588:5 13.8 -2 *3588:5 *28203:CLK 27.6036 -3 *3588:5 *28202:CLK 18.7643 -*END - -*D_NET *3589 0.0239725 -*CONN -*I *28514:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28518:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28538:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28542:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28530:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28532:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28562:CLK I *D sky130_fd_sc_hd__dfstp_4 -*I *28747:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28743:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28486:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28566:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28690:CLK I *D sky130_fd_sc_hd__dfstp_4 -*I *28735:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28531:CLK I *D sky130_fd_sc_hd__dfstp_4 -*I *28534:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28510:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28890:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28514:CLK 3.5445e-05 -2 *28518:CLK 0.000360167 -3 *28538:CLK 0.000476056 -4 *28542:CLK 0.000309936 -5 *28530:CLK 0 -6 *28532:CLK 0 -7 *28562:CLK 0.000302029 -8 *28747:CLK 0.000166497 -9 *28743:CLK 6.42814e-05 -10 *28486:CLK 0 -11 *28566:CLK 0 -12 *28690:CLK 0.000133615 -13 *28735:CLK 0 -14 *28531:CLK 0 -15 *28534:CLK 0 -16 *28510:CLK 0.000196951 -17 *28890:X 0 -18 *3589:135 0.00123947 -19 *3589:132 0.00100329 -20 *3589:121 0.00103526 -21 *3589:114 0.000312745 -22 *3589:107 0.000344681 -23 *3589:79 0.000411795 -24 *3589:78 0.000652834 -25 *3589:71 0.000638133 -26 *3589:50 0.00111193 -27 *3589:23 0.000768411 -28 *3589:18 0.000689164 -29 *3589:17 0.00132258 -30 *3589:11 0.000401099 -31 *3589:9 0.000921524 -32 *3589:4 0.000471312 -33 *28510:CLK *3907:108 0.000139751 -34 *28510:CLK *5832:8 0.000101804 -35 *28518:CLK *28518:RESET_B 0 -36 *28518:CLK *4963:15 2.60724e-05 -37 *28538:CLK *28518:RESET_B 0 -38 *28538:CLK *28538:D 0.000505674 -39 *28538:CLK *5462:19 0.000240374 -40 *28538:CLK *5462:21 0.000558839 -41 *28538:CLK *6036:95 7.48091e-05 -42 *28542:CLK *28542:D 2.59355e-05 -43 *28542:CLK *5544:11 0.000597493 -44 *28542:CLK *5832:46 0.000449208 -45 *28562:CLK *4148:10 0.000166269 -46 *28562:CLK *5763:110 0.000173804 -47 *28562:CLK *5790:9 0.000378428 -48 *28562:CLK *5836:312 0.000476702 -49 *28690:CLK *5930:11 0.000140331 -50 *28743:CLK *4148:10 0.000196509 -51 *28743:CLK *5689:81 0.000194914 -52 *28747:CLK *26924:A0 5.33005e-05 -53 *3589:9 *28566:D 6.05161e-06 -54 *3589:9 *4148:10 0.000120655 -55 *3589:9 *5689:56 8.05006e-05 -56 *3589:9 *5914:80 0.000112969 -57 *3589:11 *28566:D 0.000266156 -58 *3589:11 *5914:80 0.000210261 -59 *3589:17 *26948:A1 0.000221634 -60 *3589:17 *5709:20 0.000137983 -61 *3589:17 *5914:80 0.00012104 -62 *3589:18 *26948:A0 6.4974e-06 -63 *3589:18 *28531:D 0 -64 *3589:18 *5832:8 0 -65 *3589:23 *28531:D 0 -66 *3589:23 *3920:20 0.000190798 -67 *3589:23 *5832:8 0 -68 *3589:50 *28735:D 0.000108229 -69 *3589:50 *5930:11 6.27272e-06 -70 *3589:71 *4109:14 0 -71 *3589:71 *4109:20 0.000215876 -72 *3589:71 *5688:10 5.27732e-05 -73 *3589:71 *5763:119 0.000150625 -74 *3589:71 *5763:125 2.31791e-05 -75 *3589:78 *5688:25 0.000107974 -76 *3589:79 *4148:10 0.000557844 -77 *3589:79 *5688:25 4.40513e-06 -78 *3589:79 *5689:70 0.000331898 -79 *3589:79 *5689:81 3.14003e-05 -80 *3589:79 *5763:97 0.000124822 -81 *3589:79 *5763:110 4.80729e-05 -82 *3589:107 *5246:10 7.12595e-05 -83 *3589:107 *5715:17 8.5896e-05 -84 *3589:107 *5833:194 2.89114e-05 -85 *3589:114 *5715:17 1.17968e-05 -86 *3589:121 *30193:A 0 -87 *3589:121 *4148:10 0 -88 *3589:121 *5833:200 0 -89 *3589:132 *30193:A 0 -90 *3589:132 *4109:14 0 -91 *3589:135 *26933:A0 2.89016e-05 -92 *26933:S *28514:CLK 9.90431e-05 -93 *26933:S *3589:135 0.000405727 -94 *26948:S *3589:11 5.33005e-05 -95 *26948:S *3589:17 0.000152095 -96 *26951:S *3589:71 0 -97 *28903:A *28538:CLK 5.33005e-05 -98 *29348:A *28538:CLK 0.000142157 -99 *29354:A *3589:107 0 -100 *29354:A *3589:114 0 -101 *29354:A *3589:121 0 -102 *29778:A *3589:107 0.000266479 -103 *29859:A *3589:18 0.000144512 -104 *29859:A *3589:23 0.000177948 -105 *30185:A *3589:23 6.65398e-05 -106 *30218:A *3589:135 0.000161448 -107 *1823:12 *3589:18 2.04558e-05 -108 *1823:12 *3589:23 2.59093e-05 -109 *1862:93 *3589:135 0.000134155 -110 *2763:219 *28747:CLK 9.92131e-05 -111 *2767:188 *28510:CLK 9.95963e-05 -112 *2770:156 *3589:114 0 -113 *3535:13 *28690:CLK 0.000381318 -114 *3535:13 *3589:50 2.26973e-05 -115 *3578:27 *3589:71 0 -116 *3578:36 *28538:CLK 3.17148e-05 -117 *3578:56 *28538:CLK 0.000100831 -*RES -1 *28890:X *3589:4 9.3 -2 *3589:4 *3589:9 15.2679 -3 *3589:9 *3589:11 5.875 -4 *3589:11 *3589:17 10.3929 -5 *3589:17 *3589:18 2.55357 -6 *3589:18 *3589:23 17.0357 -7 *3589:23 *28510:CLK 23.4429 -8 *3589:23 *28534:CLK 9.3 -9 *3589:18 *28531:CLK 13.8 -10 *3589:17 *3589:50 9.01752 -11 *3589:50 *28735:CLK 13.8 -12 *3589:50 *28690:CLK 18.7821 -13 *3589:11 *28566:CLK 9.3 -14 *3589:9 *3589:71 18.8393 -15 *3589:71 *28486:CLK 9.3 -16 *3589:71 *3589:78 7.5 -17 *3589:78 *3589:79 7.41071 -18 *3589:79 *28743:CLK 16.3536 -19 *3589:79 *28747:CLK 16.8 -20 *3589:78 *28562:CLK 23.1571 -21 *3589:4 *3589:107 9.33929 -22 *3589:107 *28532:CLK 13.8 -23 *3589:107 *3589:114 2.55357 -24 *3589:114 *28530:CLK 13.8 -25 *3589:114 *3589:121 2.55357 -26 *3589:121 *28542:CLK 24.6036 -27 *3589:121 *3589:132 14.0357 -28 *3589:132 *3589:135 13.6607 -29 *3589:135 *28538:CLK 30.0143 -30 *3589:135 *28518:CLK 19.8536 -31 *3589:132 *28514:CLK 10.2464 -*END - -*D_NET *3590 0.0156047 -*CONN -*I *28706:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28550:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28526:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28582:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28590:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28646:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28494:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28891:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28706:CLK 0 -2 *28550:CLK 0.00037871 -3 *28526:CLK 0.000645552 -4 *28582:CLK 0 -5 *28590:CLK 0.000251673 -6 *28646:CLK 0 -7 *28494:CLK 0.00146514 -8 *28891:X 0.000394163 -9 *3590:62 0.000458377 -10 *3590:45 0.00108725 -11 *3590:12 0.00174132 -12 *3590:10 0.000596044 -13 *3590:8 0.000173652 -14 *3590:7 0.000861653 -15 *28494:CLK *28494:RESET_B 8.79458e-05 -16 *28494:CLK *4070:39 4.85033e-05 -17 *28494:CLK *5640:167 0.000255278 -18 *28494:CLK *5649:243 0.000507411 -19 *28494:CLK *5836:300 0 -20 *28526:CLK *28526:D 0.000416483 -21 *28550:CLK *30315:A 2.82057e-05 -22 *28550:CLK *30334:A 1.33343e-05 -23 *28550:CLK *3680:8 5.74562e-05 -24 *28550:CLK *3680:19 0.000639072 -25 *28550:CLK *4109:20 0.000173083 -26 *28550:CLK *4109:36 7.49735e-05 -27 *28550:CLK *5643:282 9.41642e-05 -28 *28550:CLK *5689:85 0.00023624 -29 *28590:CLK *28590:RESET_B 2.29097e-05 -30 *28590:CLK *5762:105 0.000119527 -31 *28590:CLK *5762:116 8.37359e-05 -32 *3590:8 *4135:18 1.11654e-05 -33 *3590:8 *5833:191 0.000291644 -34 *3590:10 *4135:18 5.66564e-05 -35 *3590:12 *28646:D 3.47737e-05 -36 *3590:12 *4135:18 5.44074e-05 -37 *3590:12 *5836:300 0 -38 *3590:45 *28526:D 0.000342302 -39 *3590:45 *5833:191 0.000382591 -40 *3590:62 *3706:22 0.000216304 -41 *3590:62 *5643:282 0.000216304 -42 *25384:C *3590:7 0.00051022 -43 *1433:23 *3590:8 0.000339081 -44 *1433:23 *3590:10 0.00017309 -45 *1433:23 *3590:12 0.00032469 -46 *1433:23 *3590:45 0.000393374 -47 *1834:49 *28494:CLK 0.000259921 -48 *2775:117 *28590:CLK 0.000292766 -49 *3179:186 *28494:CLK 0.000307286 -50 *3179:186 *3590:12 0.000418825 -51 *3581:118 *3590:12 6.74751e-05 -*RES -1 *28891:X *3590:7 18.8536 -2 *3590:7 *3590:8 4.375 -3 *3590:8 *3590:10 2.25 -4 *3590:10 *3590:12 7.71429 -5 *3590:12 *28494:CLK 34.3357 -6 *3590:12 *28646:CLK 13.8 -7 *3590:10 *28590:CLK 19.675 -8 *3590:8 *28582:CLK 13.8 -9 *3590:7 *3590:45 13.1964 -10 *3590:45 *28526:CLK 18.1036 -11 *3590:45 *3590:62 11.8571 -12 *3590:62 *28550:CLK 29.9071 -13 *3590:62 *28706:CLK 9.3 -*END - -*D_NET *3591 0.0193549 -*CONN -*I *28602:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28474:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28578:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28352:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28586:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28614:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28351:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28350:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28478:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28892:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28602:CLK 0 -2 *28474:CLK 0.000256498 -3 *28578:CLK 4.84563e-05 -4 *28352:CLK 0.000392979 -5 *28586:CLK 0.000392624 -6 *28614:CLK 0 -7 *28351:CLK 0.000188052 -8 *28350:CLK 0.000813943 -9 *28478:CLK 0.000671335 -10 *28892:X 0 -11 *3591:60 0.000936924 -12 *3591:59 0.00129811 -13 *3591:54 0.00148404 -14 *3591:51 0.000567036 -15 *3591:10 0.00154196 -16 *3591:8 0.000402531 -17 *3591:6 0.000210398 -18 *3591:5 0.000490422 -19 *28350:CLK *28350:RESET_B 2.29097e-05 -20 *28350:CLK *4048:5 3.32631e-05 -21 *28350:CLK *4048:22 2.79421e-05 -22 *28350:CLK *5762:54 9.49999e-05 -23 *28350:CLK *5762:70 5.03485e-05 -24 *28350:CLK *5762:163 1.58163e-05 -25 *28351:CLK *28351:D 2.60969e-05 -26 *28351:CLK *28351:RESET_B 8.25613e-05 -27 *28351:CLK *5762:70 9.31011e-05 -28 *28351:CLK *5762:163 8.55871e-05 -29 *28352:CLK *28352:RESET_B 3.02581e-06 -30 *28352:CLK *4038:7 0.000144376 -31 *28474:CLK *3868:74 6.5185e-05 -32 *28474:CLK *5762:250 0.00019868 -33 *28478:CLK *25403:A1 0.000260574 -34 *28478:CLK *5600:61 3.17067e-05 -35 *28478:CLK *5641:123 0.000600307 -36 *28478:CLK *5762:163 8.15095e-05 -37 *28578:CLK *28578:SET_B 6.74911e-05 -38 *28578:CLK *5799:10 4.38511e-05 -39 *28586:CLK *25905:A1 1.91414e-05 -40 *28586:CLK *28354:D 0.00011062 -41 *28586:CLK *28578:SET_B 4.80729e-05 -42 *28586:CLK *5457:22 0.000126864 -43 *28586:CLK *5762:181 0.000168939 -44 *28586:CLK *5762:193 0.000360809 -45 *28586:CLK *5762:209 0.000247786 -46 *28586:CLK *5762:222 0.000102545 -47 *28586:CLK *5780:106 9.41642e-05 -48 *28586:CLK *5833:175 0.000786356 -49 *3591:10 *5762:163 0.000117189 -50 *3591:51 *29146:A 3.7671e-05 -51 *3591:51 *3764:7 0.000163305 -52 *3591:51 *3920:31 0.000249216 -53 *3591:51 *5709:38 0.000188817 -54 *3591:54 *3868:74 2.21225e-05 -55 *3591:54 *4011:52 0.000387788 -56 *3591:59 *27001:A1 0.000689881 -57 *3591:60 *28354:D 0.000187771 -58 *3591:60 *28578:SET_B 0.000388107 -59 *3591:60 *5799:10 2.38063e-05 -60 *27028:S *3591:51 0.000128154 -61 *1235:165 *28478:CLK 9.90115e-06 -62 *1235:165 *3591:6 9.4736e-05 -63 *1235:165 *3591:8 0.000194448 -64 *1235:165 *3591:10 7.57869e-05 -65 *1235:165 *3591:51 0.000166921 -66 *1288:104 *28350:CLK 4.87953e-05 -67 *1883:29 *3591:59 9.93316e-05 -68 *2772:77 *3591:59 1.82549e-05 -69 *2772:85 *3591:59 0.00010082 -70 *2775:129 *28478:CLK 0.000317067 -71 *2775:129 *3591:6 0.000166976 -72 *2775:129 *3591:8 0.000370037 -73 *2775:129 *3591:10 3.53786e-05 -74 *2775:129 *3591:51 9.30022e-05 -75 *3220:16 *28474:CLK 0.000118903 -76 *3220:16 *3591:54 0.000435366 -77 *3389:13 *28478:CLK 4.11173e-05 -78 *3389:20 *28478:CLK 0.000109425 -79 *3413:16 *28478:CLK 0.000365887 -80 *3413:16 *3591:10 3.34366e-05 -81 *3581:11 *28352:CLK 8.55871e-05 -*RES -1 *28892:X *3591:5 13.8 -2 *3591:5 *3591:6 2.25 -3 *3591:6 *3591:8 4.98214 -4 *3591:8 *3591:10 2.25 -5 *3591:10 *28478:CLK 31.0009 -6 *3591:10 *28350:CLK 25.8357 -7 *3591:8 *28351:CLK 17.6214 -8 *3591:6 *28614:CLK 13.8 -9 *3591:5 *3591:51 15.8036 -10 *3591:51 *3591:54 10.0893 -11 *3591:54 *3591:59 23.0893 -12 *3591:59 *3591:60 5.58929 -13 *3591:60 *28586:CLK 27.3179 -14 *3591:60 *28352:CLK 20.4964 -15 *3591:59 *28578:CLK 15.4429 -16 *3591:54 *28474:CLK 19.2643 -17 *3591:51 *28602:CLK 9.3 -*END - -*D_NET *3592 0.0147799 -*CONN -*I *28354:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28353:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28243:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28364:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28362:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28361:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28893:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28354:CLK 0.000321887 -2 *28353:CLK 0 -3 *28243:CLK 0.000435869 -4 *28364:CLK 0.000260312 -5 *28362:CLK 0 -6 *28361:CLK 0.000448639 -7 *28893:X 0.000326336 -8 *3592:50 0.000671501 -9 *3592:35 0.000922492 -10 *3592:10 0.00050373 -11 *3592:8 0.000604113 -12 *3592:7 0.000752054 -13 *28243:CLK *26553:A1 9.67387e-05 -14 *28243:CLK *28243:RESET_B 0.000131191 -15 *28243:CLK *29042:A 5.33005e-05 -16 *28243:CLK *5697:11 0.000529176 -17 *28243:CLK *5778:122 9.67754e-05 -18 *28354:CLK *28844:A 0.000150618 -19 *28354:CLK *4088:15 6.531e-05 -20 *28354:CLK *5729:67 0.000934997 -21 *28361:CLK *25897:A0 9.24082e-05 -22 *28361:CLK *4079:15 0.000316391 -23 *28361:CLK *5778:113 0.000362538 -24 *28361:CLK *5878:14 0.000362538 -25 *28364:CLK *28364:RESET_B 2.24079e-05 -26 *28364:CLK *5688:143 0.000758955 -27 *28364:CLK *5833:11 4.65258e-05 -28 *28364:CLK *5833:16 0.000193356 -29 *3592:8 *3659:14 0.000168631 -30 *3592:8 *5778:113 0.0010461 -31 *3592:8 *5878:14 0.00105364 -32 *3592:10 *5778:113 0.000162825 -33 *3592:10 *5878:14 0.000173076 -34 *3592:35 *5778:113 0.000303796 -35 *3592:35 *5778:122 1.42331e-05 -36 *3592:35 *5878:14 0.000358345 -37 *3592:50 *4088:15 1.46717e-05 -38 *3592:50 *5697:11 0.000122943 -39 *3592:50 *5729:60 0.000243152 -40 *3592:50 *5729:67 0.000187026 -41 *3592:50 *5778:122 4.40513e-06 -42 *3592:50 *5798:12 0 -43 *3592:50 *5878:14 0.000291709 -44 *25566:B2 *28354:CLK 0.000165269 -45 *25566:B2 *3592:50 0.000197276 -46 *25915:S *28364:CLK 0.000216755 -47 *1393:39 *3592:7 0.000229359 -48 *1677:26 *28354:CLK 0.000149646 -49 *3567:33 *28354:CLK 0.000216899 -*RES -1 *28893:X *3592:7 18.0321 -2 *3592:7 *3592:8 13.4821 -3 *3592:8 *3592:10 2.25 -4 *3592:10 *28361:CLK 25.175 -5 *3592:10 *28362:CLK 13.8 -6 *3592:8 *28364:CLK 21.7464 -7 *3592:7 *3592:35 4.67857 -8 *3592:35 *28243:CLK 24.6214 -9 *3592:35 *3592:50 10.1964 -10 *3592:50 *28353:CLK 13.8 -11 *3592:50 *28354:CLK 25.7643 -*END - -*D_NET *3593 0.0136945 -*CONN -*I *28606:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28670:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28370:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28579:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28360:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28694:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28894:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28606:CLK 5.84343e-05 -2 *28670:CLK 5.62966e-05 -3 *28370:CLK 4.26984e-05 -4 *28579:CLK 0.000497367 -5 *28360:CLK 0.00023472 -6 *28694:CLK 8.38108e-05 -7 *28894:X 0 -8 *3593:51 0.000538683 -9 *3593:27 0.000654421 -10 *3593:16 0.00191809 -11 *3593:6 0.00170779 -12 *3593:5 0.000478918 -13 *28360:CLK *28360:D 1.72894e-05 -14 *28360:CLK *4035:9 1.37613e-05 -15 *28579:CLK *25770:A1 7.6644e-05 -16 *28579:CLK *3776:33 0.000599678 -17 *28579:CLK *4012:22 3.57975e-05 -18 *28579:CLK *5196:8 6.07037e-07 -19 *28579:CLK *5729:35 0.00113632 -20 *28606:CLK *28670:D 9.25014e-06 -21 *28606:CLK *4141:34 7.06079e-05 -22 *28670:CLK *4027:40 1.90303e-05 -23 *28694:CLK *3790:81 0.000320024 -24 *28694:CLK *5600:61 0.000320024 -25 *3593:6 *3790:81 0.000216057 -26 *3593:6 *5600:61 0.000225307 -27 *3593:16 *4035:9 6.14471e-05 -28 *3593:16 *4035:90 0.00024123 -29 *3593:27 *5196:8 4.43698e-05 -30 *3593:27 *5729:35 0.00031588 -31 *3593:51 *27032:A0 5.41794e-05 -32 *3593:51 *28670:D 0.000218128 -33 *3593:51 *3790:81 0.000167684 -34 *3593:51 *3790:102 0.000190554 -35 *3593:51 *4025:60 8.55871e-05 -36 *3593:51 *4141:34 0.000203617 -37 *3593:51 *5600:61 0.000800662 -38 *3593:51 *5642:172 0.000175892 -39 *25368:C1 *28579:CLK 0.000385104 -40 *1405:73 *28579:CLK 0.000111243 -41 *1405:73 *3593:27 9.12446e-05 -42 *1405:96 *28670:CLK 0.000129901 -43 *1434:33 *28579:CLK 0.000189564 -44 *1682:12 *28606:CLK 0.000138946 -45 *1682:12 *3593:51 0.000219835 -46 *3389:20 *3593:51 0.000152711 -47 *3576:99 *28579:CLK 0.000385089 -*RES -1 *28894:X *3593:5 13.8 -2 *3593:5 *3593:6 2.85714 -3 *3593:6 *28694:CLK 17.8714 -4 *3593:6 *3593:16 21.4643 -5 *3593:16 *28360:CLK 12.2107 -6 *3593:16 *3593:27 8.57143 -7 *3593:27 *28579:CLK 32.3179 -8 *3593:27 *28370:CLK 14.3357 -9 *3593:5 *3593:51 24.0714 -10 *3593:51 *28670:CLK 15.175 -11 *3593:51 *28606:CLK 15.7464 -*END - -*D_NET *3594 0.0168372 -*CONN -*I *28717:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28164:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28291:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28259:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28137:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28136:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28135:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28895:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28717:CLK 0.000410568 -2 *28164:CLK 0.000554439 -3 *28291:CLK 0.000191704 -4 *28259:CLK 0.000428519 -5 *28137:CLK 0 -6 *28136:CLK 0.000177379 -7 *28135:CLK 0.000251524 -8 *28895:X 0.000367655 -9 *3594:66 0.00122478 -10 *3594:53 0.00100603 -11 *3594:19 0.000740272 -12 *3594:13 0.000764902 -13 *3594:8 0.000997829 -14 *3594:7 0.00103799 -15 *28135:CLK *27487:A1 0.000377438 -16 *28135:CLK *3736:25 0.000216755 -17 *28136:CLK *3945:34 8.48807e-05 -18 *28136:CLK *5712:117 1.24368e-05 -19 *28164:CLK *28164:D 5.56679e-05 -20 *28259:CLK *27523:A1 0.000193216 -21 *28259:CLK *4140:66 3.69047e-06 -22 *28291:CLK *25698:A0 5.50052e-05 -23 *28291:CLK *28291:D 0.000182811 -24 *28717:CLK *3830:36 0.000761813 -25 *28717:CLK *4126:39 0.000475343 -26 *28717:CLK *4194:111 3.14163e-05 -27 *28717:CLK *5007:31 0.000130253 -28 *3594:7 *5706:170 1.15172e-05 -29 *3594:7 *5727:243 4.87953e-05 -30 *3594:8 *4113:36 0.000271053 -31 *3594:8 *5739:252 0.00027978 -32 *3594:13 *27860:A2 1.81709e-05 -33 *3594:13 *4113:36 0.000381888 -34 *3594:13 *5653:171 2.18517e-05 -35 *3594:13 *5666:138 0.000105471 -36 *3594:13 *5739:252 0.000193513 -37 *3594:19 *3945:34 0.000109132 -38 *3594:19 *4103:24 0.000197721 -39 *3594:53 *4113:36 0.000322504 -40 *3594:53 *5739:252 0.000420548 -41 *3594:66 *25837:A0 0.000142244 -42 *3594:66 *3830:36 0.000489443 -43 *3594:66 *4126:39 0.000290751 -44 *3594:66 *5007:31 1.32178e-05 -45 *25467:A2 *28717:CLK 9.60939e-05 -46 *25834:A *3594:19 1.33343e-05 -47 *27885:B1 *3594:13 0.000167871 -48 *434:13 *28164:CLK 3.04941e-05 -49 *1476:75 *3594:7 6.57032e-05 -50 *1769:16 *3594:7 1.40017e-05 -51 *1844:49 *28291:CLK 0.000165461 -52 *1844:49 *3594:53 0.00014436 -53 *2882:96 *28136:CLK 4.58194e-05 -54 *2894:99 *28259:CLK 0 -55 *3156:124 *3594:19 0.000199428 -56 *3164:125 *3594:53 1.94879e-05 -57 *3164:146 *3594:53 0.000189207 -58 *3165:312 *28291:CLK 0.000181658 -59 *3165:312 *3594:53 0.000132866 -60 *3194:129 *3594:13 0.000359069 -61 *3196:119 *3594:13 0.000314316 -62 *3196:119 *3594:53 1.87004e-05 -63 *3212:94 *28135:CLK 0.000379152 -64 *3414:20 *3594:53 0.000125355 -65 *3455:17 *3594:53 0.000122877 -*RES -1 *28895:X *3594:7 18.0321 -2 *3594:7 *3594:8 3.76786 -3 *3594:8 *3594:13 16.8214 -4 *3594:13 *3594:19 15.375 -5 *3594:19 *28135:CLK 25.4607 -6 *3594:19 *28136:CLK 12.3 -7 *3594:13 *28137:CLK 9.3 -8 *3594:8 *28259:CLK 20.5143 -9 *3594:7 *3594:53 18.3929 -10 *3594:53 *28291:CLK 14.9071 -11 *3594:53 *3594:66 11.3036 -12 *3594:66 *28164:CLK 19.9964 -13 *3594:66 *28717:CLK 25.1929 -*END - -*D_NET *3595 0.0141041 -*CONN -*I *28710:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28630:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28731:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28490:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28603:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28896:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28710:CLK 0.000852001 -2 *28630:CLK 5.74615e-05 -3 *28731:CLK 0 -4 *28490:CLK 0.000151096 -5 *28603:CLK 0.000338995 -6 *28896:X 0 -7 *3595:22 0.00119925 -8 *3595:18 0.00144988 -9 *3595:6 0.000932472 -10 *3595:5 0.00110121 -11 *28603:CLK *28630:RESET_B 7.25873e-06 -12 *28603:CLK *3758:41 5.00902e-05 -13 *28603:CLK *3823:17 0.000237916 -14 *28603:CLK *5836:257 0.000303705 -15 *28710:CLK *25145:A2 4.04292e-05 -16 *28710:CLK *4076:52 1.00037e-05 -17 *28710:CLK *5650:280 0.000482909 -18 *28710:CLK *5662:144 2.59355e-05 -19 *28710:CLK *6258:12 0.000168691 -20 *3595:6 *28630:D 0.000113635 -21 *3595:6 *3758:41 0.000102015 -22 *3595:6 *3823:17 9.25014e-06 -23 *3595:18 *28630:D 9.26621e-05 -24 *3595:22 *28731:D 0.000118035 -25 *3595:22 *28731:RESET_B 9.33825e-05 -26 *25146:A2 *28710:CLK 0.000170815 -27 *26847:A *28490:CLK 0.000193093 -28 *27308:A2 *28603:CLK 0.000182806 -29 *1269:98 *28710:CLK 0.00130362 -30 *1269:98 *3595:6 0.000217305 -31 *1287:184 *28603:CLK 3.07351e-06 -32 *1558:33 *28630:CLK 1.07719e-05 -33 *1558:33 *3595:18 5.20359e-06 -34 *1558:33 *3595:22 7.66627e-05 -35 *1558:48 *28490:CLK 0.000154005 -36 *1558:48 *3595:22 1.02197e-05 -37 *1672:32 *28490:CLK 0.000169123 -38 *2780:196 *28603:CLK 0.000414435 -39 *2780:196 *28710:CLK 0.00230684 -40 *2780:196 *3595:6 0.000549156 -41 *2845:300 *28710:CLK 5.33005e-05 -42 *2871:318 *28603:CLK 0.000302791 -43 *2886:295 *28603:CLK 4.25594e-05 -*RES -1 *28896:X *3595:5 13.8 -2 *3595:5 *3595:6 7.41071 -3 *3595:6 *28603:CLK 24.0321 -4 *3595:6 *3595:18 8.32143 -5 *3595:18 *3595:22 12.3571 -6 *3595:22 *28490:CLK 22.6214 -7 *3595:22 *28731:CLK 9.3 -8 *3595:18 *28630:CLK 10.6929 -9 *3595:5 *28710:CLK 45.425 -*END - -*D_NET *3596 0.012972 -*CONN -*I *28571:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28595:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28491:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28667:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28654:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28634:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28897:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28571:CLK 0.000572336 -2 *28595:CLK 0 -3 *28491:CLK 0.000177907 -4 *28667:CLK 0 -5 *28654:CLK 0.000504288 -6 *28634:CLK 0.000378655 -7 *28897:X 0.000470662 -8 *3596:49 0.000707896 -9 *3596:40 0.000468292 -10 *3596:18 0.00101702 -11 *3596:13 0.000411863 -12 *3596:7 0.00090327 -13 *28571:CLK *28603:D 0.000125355 -14 *28634:CLK *4069:112 9.49949e-05 -15 *28654:CLK *28654:D 0.000112823 -16 *28654:CLK *3862:21 1.03807e-05 -17 *3596:7 *3768:19 9.58181e-05 -18 *3596:13 *28667:D 4.32258e-05 -19 *3596:13 *4146:84 0.000110029 -20 *3596:18 *4146:84 0.000109263 -21 *3596:49 *5777:32 0.000101444 -22 *25251:C *3596:7 0.000142014 -23 *28897:A *28571:CLK 0.000522734 -24 *28897:A *3596:40 0.000404174 -25 *28897:A *3596:49 0.000368465 -26 *1256:95 *28634:CLK 0.000463268 -27 *1256:95 *3596:18 0.000146316 -28 *1260:81 *28571:CLK 0.000532984 -29 *1260:81 *3596:13 0.000331309 -30 *1260:81 *3596:40 0.000449825 -31 *1260:81 *3596:49 0.000377715 -32 *1261:11 *3596:18 0.000178425 -33 *1265:112 *3596:13 9.8778e-05 -34 *1265:112 *3596:40 1.27784e-05 -35 *1271:162 *28634:CLK 0.000976398 -36 *1271:162 *3596:18 0.000139415 -37 *1272:40 *28491:CLK 0.000212474 -38 *1549:38 *28491:CLK 0.000136428 -39 *1558:33 *28571:CLK 0.000753128 -40 *1700:20 *28634:CLK 0.000208424 -41 *2775:173 *28634:CLK 0.0001014 -*RES -1 *28897:X *3596:7 19.2643 -2 *3596:7 *3596:13 11.4821 -3 *3596:13 *3596:18 8.625 -4 *3596:18 *28634:CLK 26.675 -5 *3596:18 *28654:CLK 19.5857 -6 *3596:13 *28667:CLK 9.3 -7 *3596:7 *3596:40 5.89286 -8 *3596:40 *28491:CLK 18.0321 -9 *3596:40 *3596:49 4.98214 -10 *3596:49 *28595:CLK 13.8 -11 *3596:49 *28571:CLK 28.425 -*END - -*D_NET *3597 0.0160675 -*CONN -*I *28574:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28683:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28702:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28651:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28898:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28574:CLK 0.00168897 -2 *28683:CLK 0 -3 *28702:CLK 8.89751e-05 -4 *28651:CLK 0.000803972 -5 *28898:X 8.76534e-05 -6 *3597:18 0.00118152 -7 *3597:11 0.000604826 -8 *3597:7 0.00209288 -9 *28574:CLK *28574:RESET_B 0.000117398 -10 *28574:CLK *28635:D 6.0038e-05 -11 *28574:CLK *28686:RESET_B 3.43988e-06 -12 *28574:CLK *3914:34 0.00146377 -13 *28574:CLK *5627:103 0.00159665 -14 *28574:CLK *5645:156 1.32138e-05 -15 *28574:CLK *5704:18 2.47761e-06 -16 *28574:CLK *5792:28 2.26973e-05 -17 *28574:CLK *5879:66 0.00037398 -18 *28574:CLK *5879:74 0.000174546 -19 *28651:CLK *3859:11 0.000124459 -20 *28651:CLK *5584:131 0.000913752 -21 *28651:CLK *5777:32 0.000562155 -22 *28651:CLK *5777:46 0.000120189 -23 *28651:CLK *6269:12 0.000103244 -24 *3597:11 *5627:103 0.000425374 -25 *3597:18 *5584:131 0.000144656 -26 *3597:18 *5777:46 6.057e-07 -27 *25085:A2 *28574:CLK 0.000106961 -28 *25093:A2 *28702:CLK 4.58194e-05 -29 *25243:B1 *28574:CLK 3.16367e-05 -30 *25243:C1 *28574:CLK 0 -31 *27413:A2 *3597:7 1.90303e-05 -32 *29307:A *28574:CLK 7.29646e-05 -33 *30211:A *28574:CLK 0.000194005 -34 *30366:A *28574:CLK 0.000232715 -35 *1225:119 *3597:18 8.7098e-05 -36 *1256:135 *28574:CLK 5.98111e-06 -37 *1258:33 *28574:CLK 8.09868e-06 -38 *1261:199 *28574:CLK 0 -39 *1262:66 *3597:18 0.000177545 -40 *1286:51 *28574:CLK 1.50065e-05 -41 *1406:24 *28651:CLK 8.1621e-05 -42 *1562:19 *28574:CLK 4.38296e-05 -43 *2774:74 *28574:CLK 9.83721e-06 -44 *2853:262 *3597:11 0.000165123 -45 *2853:262 *3597:18 2.12005e-05 -46 *2885:178 *3597:11 0.000100481 -47 *2885:178 *3597:18 6.81459e-05 -48 *2893:24 *28574:CLK 2.11419e-05 -49 *3162:18 *28574:CLK 0.000231184 -50 *3162:20 *28574:CLK 0.000669845 -51 *3162:20 *3597:11 0.000423659 -52 *3576:76 *28651:CLK 4.14545e-05 -53 *3576:76 *3597:18 0.000421709 -*RES -1 *28898:X *3597:7 14.7464 -2 *3597:7 *3597:11 13.5 -3 *3597:11 *3597:18 12.8036 -4 *3597:18 *28651:CLK 33.0143 -5 *3597:18 *28702:CLK 15.175 -6 *3597:11 *28683:CLK 9.3 -7 *3597:7 *28574:CLK 49.778 -*END - -*D_NET *3598 0.0251166 -*CONN -*I *28596:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28684:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28699:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28492:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28629:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28675:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28588:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28635:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28686:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28899:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28596:CLK 0.000155948 -2 *28684:CLK 0 -3 *28699:CLK 0.00116066 -4 *28492:CLK 0.000607272 -5 *28629:CLK 0.00132218 -6 *28675:CLK 0.000183059 -7 *28588:CLK 0.000111369 -8 *28635:CLK 0 -9 *28686:CLK 0.000301257 -10 *28899:X 4.36033e-05 -11 *3598:88 0.00116066 -12 *3598:77 0.000772328 -13 *3598:75 0.000546063 -14 *3598:43 0.00184911 -15 *3598:21 0.00095539 -16 *3598:20 0.000765502 -17 *3598:18 0.000982531 -18 *3598:7 0.000907321 -19 *28492:CLK *4003:30 0.000904749 -20 *28492:CLK *5605:170 2.04825e-05 -21 *28492:CLK *5629:166 0.000136247 -22 *28492:CLK *5645:136 0.000170654 -23 *28588:CLK *5764:274 0.000120327 -24 *28588:CLK *5873:321 0.000125355 -25 *28596:CLK *28596:D 5.21937e-05 -26 *28629:CLK *27057:A1 0.000748529 -27 *28629:CLK *27758:B1 5.01213e-05 -28 *28629:CLK *28629:RESET_B 0.00063576 -29 *28629:CLK *28675:SET_B 5.83304e-05 -30 *28629:CLK *3898:32 5.31158e-05 -31 *28629:CLK *5655:217 9.87494e-05 -32 *28629:CLK *5663:155 1.71482e-05 -33 *28675:CLK *3809:32 0.000139499 -34 *28675:CLK *3898:32 5.52302e-05 -35 *28686:CLK *3836:21 0.000216755 -36 *28686:CLK *5590:98 6.66329e-05 -37 *28686:CLK *5628:150 0.000185244 -38 *28686:CLK *5642:158 4.1518e-05 -39 *28686:CLK *5688:65 4.46186e-06 -40 *28686:CLK *5873:321 0.000168915 -41 *28686:CLK *6247:18 0.000346365 -42 *28699:CLK *27758:B2 0.000209822 -43 *28699:CLK *28684:RESET_B 0.000527481 -44 *28699:CLK *28699:SET_B 0 -45 *28699:CLK *3937:7 5.82566e-05 -46 *28699:CLK *5765:58 0.000124949 -47 *3598:18 *3846:33 2.10272e-05 -48 *3598:18 *3886:79 0.000169317 -49 *3598:18 *4003:20 4.53834e-05 -50 *3598:18 *5593:15 0 -51 *3598:18 *5629:166 2.56614e-05 -52 *3598:18 *5646:232 0.000602045 -53 *3598:18 *5655:217 4.53834e-05 -54 *3598:18 *5824:213 0 -55 *3598:21 *28635:D 9.77069e-05 -56 *3598:21 *5628:137 0.000208593 -57 *3598:21 *5764:274 0.000111951 -58 *3598:21 *5873:321 0.000700335 -59 *3598:43 *28675:SET_B 0.000292256 -60 *3598:43 *4003:20 0.000584746 -61 *3598:43 *5655:217 0.000891704 -62 *3598:75 *3886:79 1.84099e-05 -63 *3598:75 *4003:30 0.000415616 -64 *3598:75 *5629:166 6.77437e-05 -65 *3598:75 *5645:136 0.000334979 -66 *3598:77 *4003:30 0.000383082 -67 *3598:77 *5645:136 0.000390086 -68 *26978:S *28629:CLK 0.000425221 -69 *27340:B1 *28492:CLK 0.000305314 -70 *27415:C1 *28699:CLK 0 -71 *1262:80 *28492:CLK 0.000135405 -72 *1519:26 *28629:CLK 0.00034188 -73 *1523:7 *28675:CLK 0.000151537 -74 *2784:88 *3598:18 5.00194e-05 -75 *2794:122 *28699:CLK 0.000208114 -76 *2860:287 *28699:CLK 6.13757e-06 -77 *2866:323 *3598:7 5.33005e-05 -78 *2891:270 *3598:18 0 -79 *3153:221 *28699:CLK 0.000118144 -80 *3177:117 *28596:CLK 0.000149031 -81 *3215:211 *28492:CLK 0.000173936 -82 *3230:6 *28629:CLK 0.000130762 -83 *3230:6 *3598:43 1.08524e-05 -84 *3552:39 *28492:CLK 0.000289767 -*RES -1 *28899:X *3598:7 14.3357 -2 *3598:7 *3598:18 11.4753 -3 *3598:18 *3598:20 4.5 -4 *3598:20 *3598:21 14.0893 -5 *3598:21 *28686:CLK 26.3893 -6 *3598:21 *28635:CLK 9.3 -7 *3598:20 *28588:CLK 11.8893 -8 *3598:18 *3598:43 11.9643 -9 *3598:43 *28675:CLK 18.175 -10 *3598:43 *28629:CLK 38.2107 -11 *3598:7 *3598:75 6.5 -12 *3598:75 *3598:77 5.28571 -13 *3598:77 *28492:CLK 31.2286 -14 *3598:77 *3598:88 4.5 -15 *3598:88 *28699:CLK 36.55 -16 *3598:88 *28684:CLK 9.3 -17 *3598:75 *28596:CLK 16.8 -*END - -*D_NET *3599 0.0170467 -*CONN -*I *28500:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28580:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28476:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28524:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28729:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28753:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28628:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28900:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28500:CLK 0.000792498 -2 *28580:CLK 0 -3 *28476:CLK 0 -4 *28524:CLK 0 -5 *28729:CLK 0.000244286 -6 *28753:CLK 0 -7 *28628:CLK 0.000686231 -8 *28900:X 0.000513628 -9 *3599:59 0.000854623 -10 *3599:39 0.000295532 -11 *3599:22 0.000958393 -12 *3599:16 0.000836698 -13 *3599:11 0.0010544 -14 *3599:8 0.00111687 -15 *28500:CLK *26994:A1 7.83587e-05 -16 *28500:CLK *28500:D 8.25843e-06 -17 *28500:CLK *28500:RESET_B 0.000105471 -18 *28500:CLK *3691:8 0 -19 *28500:CLK *5590:161 0.00015209 -20 *28500:CLK *5656:353 2.87555e-06 -21 *28628:CLK *27679:B2 9.21418e-06 -22 *28628:CLK *27747:A2 7.49162e-06 -23 *28628:CLK *3822:104 0.0010414 -24 *28628:CLK *4002:20 0.000168583 -25 *28628:CLK *5663:155 0.000140793 -26 *28628:CLK *5777:178 0.000111851 -27 *28729:CLK *4119:8 0.00020212 -28 *3599:11 *28476:D 8.85712e-05 -29 *3599:11 *28476:RESET_B 8.79458e-05 -30 *3599:11 *3808:8 2.42516e-05 -31 *3599:11 *3899:14 0.000129147 -32 *3599:11 *5651:400 0.000637195 -33 *3599:11 *5651:410 0.000282932 -34 *3599:16 *25628:A0 0.000558984 -35 *3599:16 *28524:D 0.000122887 -36 *3599:16 *4002:18 0.000301675 -37 *3599:16 *5651:410 0.00019867 -38 *3599:22 *28524:D 5.55405e-05 -39 *3599:22 *4002:18 3.85632e-05 -40 *3599:22 *4002:20 0.000221227 -41 *3599:39 *28524:D 6.05161e-06 -42 *25314:B1 *28628:CLK 0.000406211 -43 *29227:A *3599:16 0.000110694 -44 *1697:50 *28729:CLK 0.000209448 -45 *1697:50 *3599:39 6.94952e-05 -46 *1826:251 *3599:16 9.31209e-05 -47 *2760:164 *28500:CLK 0.000671892 -48 *2760:164 *3599:8 0.000331064 -49 *2760:164 *3599:59 0.000186547 -50 *2761:80 *28628:CLK 0.000154094 -51 *2772:63 *28729:CLK 0.00013027 -52 *2772:63 *3599:39 3.65133e-05 -53 *2784:133 *3599:8 9.41642e-05 -54 *2791:199 *28729:CLK 0.000200406 -55 *2856:364 *28628:CLK 6.35224e-05 -56 *3164:346 *28628:CLK 1.34741e-05 -57 *3226:8 *28628:CLK 0.000265973 -58 *3226:8 *3599:16 3.22786e-05 -59 *3226:8 *3599:22 0.000488297 -60 *3581:138 *28628:CLK 2.09897e-05 -61 *3582:31 *28500:CLK 0.000430073 -62 *3582:31 *3599:8 0.000707102 -63 *3582:31 *3599:59 0.000195797 -*RES -1 *28900:X *3599:8 23.2286 -2 *3599:8 *3599:11 16.5357 -3 *3599:11 *3599:16 18.1607 -4 *3599:16 *3599:22 8.07143 -5 *3599:22 *28628:CLK 33.1036 -6 *3599:22 *28753:CLK 13.8 -7 *3599:16 *3599:39 5.85714 -8 *3599:39 *28729:CLK 25.0857 -9 *3599:39 *28524:CLK 9.3 -10 *3599:11 *28476:CLK 9.3 -11 *3599:8 *3599:59 2.55357 -12 *3599:59 *28580:CLK 13.8 -13 *3599:59 *28500:CLK 30.4161 -*END - -*D_NET *3600 0.0224973 -*CONN -*I *28570:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28124:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28707:CLK I *D sky130_fd_sc_hd__dfstp_4 -*I *28611:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28522:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28678:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28126:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28763:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28558:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28755:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28598:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28523:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28901:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28570:CLK 0.000691771 -2 *28124:CLK 0.000296081 -3 *28707:CLK 0.000256273 -4 *28611:CLK 0 -5 *28522:CLK 0 -6 *28678:CLK 0.000213035 -7 *28126:CLK 0 -8 *28763:CLK 0.000426423 -9 *28558:CLK 0 -10 *28755:CLK 0.000392437 -11 *28598:CLK 8.29662e-05 -12 *28523:CLK 2.57117e-05 -13 *28901:X 0.000357037 -14 *3600:103 0.000643115 -15 *3600:100 0.000868365 -16 *3600:93 0.000361517 -17 *3600:45 0.00094803 -18 *3600:42 0.00114358 -19 *3600:35 0.000474872 -20 *3600:31 0.000437407 -21 *3600:30 0.000729643 -22 *3600:26 0.000741286 -23 *3600:17 0.000810701 -24 *3600:8 0.000486025 -25 *28124:CLK *25342:A1 3.27919e-05 -26 *28124:CLK *25342:B2 2.84269e-05 -27 *28570:CLK *28570:SET_B 0.000171983 -28 *28570:CLK *3712:5 0.000137983 -29 *28570:CLK *3712:72 9.27827e-05 -30 *28570:CLK *5716:77 0.000175021 -31 *28598:CLK *28598:D 7.43578e-06 -32 *28678:CLK *5584:163 0.000137931 -33 *28678:CLK *5589:74 2.49484e-05 -34 *28678:CLK *5810:115 9.91086e-05 -35 *28707:CLK *27609:B1 1.18064e-05 -36 *28707:CLK *5640:238 0.000515822 -37 *28707:CLK *5641:180 4.22135e-06 -38 *28707:CLK *5879:32 4.98496e-06 -39 *28707:CLK *5881:54 0.000331073 -40 *28755:CLK *25085:A1 0.000167966 -41 *28755:CLK *28558:RESET_B 3.29297e-05 -42 *28755:CLK *5585:8 0.000181021 -43 *28763:CLK *5768:52 0.00054557 -44 *3600:8 *3901:27 4.48113e-05 -45 *3600:17 *28678:RESET_B 1.08359e-05 -46 *3600:17 *3901:27 6.66577e-05 -47 *3600:26 *28678:RESET_B 7.622e-05 -48 *3600:30 *5810:115 2.89114e-05 -49 *3600:31 *5584:163 0.000563479 -50 *3600:31 *5589:74 0.000296281 -51 *3600:35 *3998:25 0.000169444 -52 *3600:35 *5584:163 0.000543554 -53 *3600:35 *5589:74 0.00012803 -54 *3600:42 *28598:D 6.12335e-05 -55 *3600:45 *25085:A1 1.74909e-05 -56 *3600:45 *28558:RESET_B 0.00092564 -57 *3600:45 *28598:RESET_B 2.06112e-05 -58 *3600:45 *3693:21 2.11419e-05 -59 *3600:45 *5585:8 0.000345042 -60 *3600:45 *5725:24 0.00013624 -61 *3600:93 *26938:A0 0.000327179 -62 *3600:93 *28678:RESET_B 8.02764e-05 -63 *3600:93 *3836:16 0 -64 *3600:93 *3901:27 6.8646e-06 -65 *3600:100 *26938:A0 0.00017913 -66 *3600:100 *5716:77 9.25014e-06 -67 *3600:103 *26992:A1 4.13595e-05 -68 *25202:B1 *3600:45 0.000170654 -69 *25342:A2 *28124:CLK 7.37323e-05 -70 *25342:B1 *28124:CLK 6.72851e-05 -71 *25342:B1 *3600:103 6.05161e-06 -72 *30265:A *3600:35 0.00012378 -73 *30441:A *28707:CLK 0.000161693 -74 *1256:154 *28755:CLK 0.00039015 -75 *1286:85 *28124:CLK 0.000424029 -76 *1288:112 *28523:CLK 3.67142e-05 -77 *1288:127 *3600:17 7.83659e-05 -78 *1361:68 *28570:CLK 0.000556923 -79 *1361:68 *3600:103 0.000154912 -80 *1393:25 *28755:CLK 0.000124677 -81 *1519:13 *28755:CLK 0.00021015 -82 *1697:55 *28523:CLK 5.33334e-05 -83 *2757:11 *28124:CLK 0.000464819 -84 *2757:11 *3600:103 0.000257619 -85 *2759:68 *3600:26 7.43578e-06 -86 *2759:68 *3600:30 0.00013872 -87 *2768:107 *28707:CLK 2.59355e-05 -88 *2771:93 *3600:45 0.00019421 -89 *2772:69 *3600:8 0.000387418 -90 *2784:133 *3600:26 0.000165123 -91 *3162:8 *28570:CLK 0.000184271 -92 *3162:8 *3600:8 6.19181e-05 -93 *3162:8 *3600:17 8.18871e-05 -94 *3162:8 *3600:93 0.000346073 -95 *3162:8 *3600:100 0.0001853 -96 *3581:118 *28598:CLK 4.58194e-05 -97 *3581:138 *28598:CLK 1.02936e-05 -98 *3581:138 *28763:CLK 8.00454e-05 -99 *3581:138 *3600:42 3.82242e-05 -*RES -1 *28901:X *3600:8 19.2643 -2 *3600:8 *28523:CLK 14.7464 -3 *3600:8 *3600:17 3.00893 -4 *3600:17 *3600:26 11.6161 -5 *3600:26 *3600:30 9.55357 -6 *3600:30 *3600:31 7.41071 -7 *3600:31 *3600:35 11.6071 -8 *3600:35 *28598:CLK 10.6571 -9 *3600:35 *3600:42 2.58929 -10 *3600:42 *3600:45 19.5 -11 *3600:45 *28755:CLK 24.7643 -12 *3600:45 *28558:CLK 13.8 -13 *3600:42 *28763:CLK 17.2286 -14 *3600:31 *28126:CLK 13.8 -15 *3600:30 *28678:CLK 17.9607 -16 *3600:26 *28522:CLK 9.3 -17 *3600:17 *3600:93 7.91964 -18 *3600:93 *28611:CLK 13.8 -19 *3600:93 *3600:100 2.55357 -20 *3600:100 *3600:103 7.08929 -21 *3600:103 *28707:CLK 25.9429 -22 *3600:103 *28124:CLK 17.2286 -23 *3600:100 *28570:CLK 29.4964 -*END - -*D_NET *3601 0.0174006 -*CONN -*I *28737:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28728:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28744:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28682:CLK I *D sky130_fd_sc_hd__dfstp_4 -*I *28498:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28502:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28759:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28499:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28572:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28902:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28737:CLK 6.16677e-05 -2 *28728:CLK 0 -3 *28744:CLK 8.13678e-05 -4 *28682:CLK 0.000241653 -5 *28498:CLK 0.000247672 -6 *28502:CLK 0 -7 *28759:CLK 0.00029682 -8 *28499:CLK 0.000101162 -9 *28572:CLK 0.000493443 -10 *28902:X 0.00051522 -11 *3601:76 0.000492836 -12 *3601:62 0.000587567 -13 *3601:55 0.000632055 -14 *3601:50 0.00103457 -15 *3601:32 0.000974054 -16 *3601:24 0.000422944 -17 *3601:10 0.00089891 -18 *3601:8 0.000765211 -19 *28498:CLK *28498:D 0.000231996 -20 *28499:CLK *5669:265 3.34295e-05 -21 *28499:CLK *5708:17 5.96827e-05 -22 *28499:CLK *5863:77 3.47641e-06 -23 *28572:CLK *27146:A1 0.000136951 -24 *28572:CLK *27609:A2 6.57815e-05 -25 *28572:CLK *30438:A 6.95299e-05 -26 *28572:CLK *3822:108 0 -27 *28572:CLK *4002:18 0.000740811 -28 *28572:CLK *4132:33 0.000159968 -29 *28572:CLK *5586:11 0.000260574 -30 *28572:CLK *5629:182 0.000101545 -31 *28572:CLK *5820:46 1.36181e-05 -32 *28682:CLK *28744:D 3.34366e-05 -33 *28682:CLK *3998:13 0.000168733 -34 *28682:CLK *4037:18 0.000512133 -35 *28682:CLK *5769:32 5.66157e-05 -36 *28737:CLK *28737:D 1.92789e-05 -37 *28737:CLK *5820:46 4.87854e-05 -38 *28737:CLK *5870:21 9.68931e-05 -39 *28744:CLK *28744:D 0.000177821 -40 *28744:CLK *5790:9 0.000103977 -41 *28759:CLK *28759:SET_B 3.02581e-06 -42 *28759:CLK *4050:7 0.000235378 -43 *28759:CLK *5725:17 0.000175979 -44 *28759:CLK *5863:77 5.03772e-05 -45 *3601:8 *3686:27 0.000100381 -46 *3601:8 *4002:18 0.00016853 -47 *3601:8 *4054:8 7.15687e-05 -48 *3601:10 *3686:27 1.53146e-05 -49 *3601:10 *4002:18 0.000372981 -50 *3601:10 *5820:46 0.000129318 -51 *3601:24 *5716:121 0.000325367 -52 *3601:24 *5820:46 9.71197e-05 -53 *3601:32 *5708:17 1.78353e-05 -54 *3601:32 *5863:77 4.63127e-05 -55 *3601:50 *28728:D 1.92905e-05 -56 *3601:50 *5584:178 3.64513e-05 -57 *3601:50 *5708:9 0.000188369 -58 *3601:50 *5708:17 3.66984e-05 -59 *3601:50 *5725:17 0 -60 *3601:55 *4031:18 0.000159079 -61 *3601:55 *5584:178 0.000764684 -62 *3601:55 *6247:6 0.000569347 -63 *3601:55 *6269:12 0.000128905 -64 *3601:62 *3907:101 9.8126e-05 -65 *3601:62 *5772:22 0.000124813 -66 *3601:62 *6247:6 0.000400327 -67 *3601:76 *5769:32 0.000134471 -68 *3601:76 *5772:22 8.79776e-05 -69 *3601:76 *5790:9 0.00015733 -70 *3601:76 *6247:6 0.000175512 -71 *29847:A *28572:CLK 0 -72 *30459:A *3601:50 0 -73 *1225:181 *3601:8 0.000100121 -74 *1286:85 *28572:CLK 0 -75 *1672:11 *3601:55 0.000682951 -76 *2764:35 *3601:24 0.000220842 -77 *2764:43 *3601:24 0.000303972 -78 *2791:233 *28499:CLK 8.07153e-05 -79 *2791:233 *3601:32 7.47376e-05 -80 *2791:233 *3601:50 6.09057e-05 -81 *2792:26 *3601:10 4.32957e-05 -*RES -1 *28902:X *3601:8 22.1036 -2 *3601:8 *3601:10 4.98214 -3 *3601:10 *28572:CLK 26.2286 -4 *3601:10 *3601:24 16.1071 -5 *3601:24 *28499:CLK 16.3536 -6 *3601:24 *3601:32 2.25 -7 *3601:32 *28759:CLK 20.6393 -8 *3601:32 *3601:50 13.0179 -9 *3601:50 *3601:55 19.4286 -10 *3601:55 *28502:CLK 13.8 -11 *3601:55 *3601:62 5.28571 -12 *3601:62 *28498:CLK 18.5679 -13 *3601:62 *3601:76 9.75 -14 *3601:76 *28682:CLK 25.7107 -15 *3601:76 *28744:CLK 11.4786 -16 *3601:50 *28728:CLK 9.3 -17 *3601:8 *28737:CLK 15.5679 -*END - -*D_NET *3602 0.0157071 -*CONN -*I *28209:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28754:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28175:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28176:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28208:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28751:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28554:CLK I *D sky130_fd_sc_hd__dfstp_4 -*I *28727:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28638:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28200:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28174:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28903:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28209:CLK 0 -2 *28754:CLK 0.000238663 -3 *28175:CLK 0.000274438 -4 *28176:CLK 0.000442364 -5 *28208:CLK 0 -6 *28751:CLK 0 -7 *28554:CLK 0.000316083 -8 *28727:CLK 0 -9 *28638:CLK 8.78636e-05 -10 *28200:CLK 9.6545e-05 -11 *28174:CLK 5.62771e-05 -12 *28903:X 0 -13 *3602:89 0.000362605 -14 *3602:40 0.000302668 -15 *3602:35 0.00102392 -16 *3602:33 0.00065008 -17 *3602:24 0.000500676 -18 *3602:22 0.000403433 -19 *3602:21 0.000598713 -20 *3602:19 0.000396363 -21 *3602:8 0.000748293 -22 *3602:4 0.000694034 -23 *28174:CLK *28174:D 8.07313e-05 -24 *28174:CLK *5588:19 9.99644e-06 -25 *28174:CLK *5769:172 0.000186662 -26 *28174:CLK *5769:187 0 -27 *28175:CLK *28208:D 0 -28 *28175:CLK *5460:8 0 -29 *28175:CLK *5879:10 0 -30 *28176:CLK *24838:A 5.33005e-05 -31 *28176:CLK *28208:RESET_B 9.62151e-05 -32 *28176:CLK *29483:A 4.88531e-05 -33 *28176:CLK *5769:152 0.000167998 -34 *28176:CLK *5770:27 0.000875897 -35 *28200:CLK *28174:D 9.39925e-05 -36 *28200:CLK *28200:D 2.59355e-05 -37 *28554:CLK *28638:D 9.43763e-05 -38 *28638:CLK *5246:10 6.05161e-06 -39 *28754:CLK *28754:D 0.000140933 -40 *28754:CLK *3822:110 0.000128571 -41 *28754:CLK *5748:6 7.13188e-05 -42 *3602:8 *4037:8 0 -43 *3602:8 *5879:10 5.75803e-05 -44 *3602:8 *5879:19 3.15645e-05 -45 *3602:8 *5984:11 5.33882e-05 -46 *3602:19 *28208:D 0 -47 *3602:19 *5879:10 4.75903e-05 -48 *3602:22 *28208:D 7.32955e-05 -49 *3602:24 *28174:D 0.000128233 -50 *3602:24 *28200:RESET_B 0.000113815 -51 *3602:24 *28208:D 7.77751e-05 -52 *3602:24 *5460:8 4.43256e-05 -53 *3602:33 *25544:S 0.000194807 -54 *3602:33 *25731:A0 1.91317e-05 -55 *3602:35 *25544:S 0.000106392 -56 *3602:35 *25731:A0 0.000346988 -57 *3602:35 *27169:A1 5.84171e-05 -58 *3602:35 *5792:23 0.000195555 -59 *3602:35 *5828:17 4.29471e-05 -60 *3602:35 *6192:22 8.07879e-05 -61 *3602:40 *27169:A1 0.000106542 -62 *3602:89 *5462:21 1.98839e-05 -63 *3602:89 *5769:144 0.000400867 -64 *25687:A1 *28175:CLK 0.000534229 -65 *25731:S *3602:33 0.000146474 -66 *27196:S *28754:CLK 0.000171375 -67 *27196:S *3602:8 0.00014784 -68 *27196:S *3602:89 0.000399841 -69 *29905:A *28200:CLK 0.000161859 -70 *29905:A *3602:24 0.00016061 -71 *30267:A *28554:CLK 5.52302e-05 -72 *30267:A *3602:40 0.000175519 -73 *30755:A *3602:33 0.000172729 -74 *56:13 *28176:CLK 0.000158219 -75 *247:17 *28175:CLK 0.000200471 -76 *1835:6 *3602:35 0 -77 *1835:6 *3602:40 0 -78 *1835:16 *3602:24 0.000268403 -79 *1862:82 *28638:CLK 0.000201127 -80 *1862:82 *3602:35 0 -81 *2764:46 *28638:CLK 0.00020455 -82 *2766:88 *28554:CLK 0.000911479 -83 *2786:156 *3602:40 0.00016345 -*RES -1 *28903:X *3602:4 9.3 -2 *3602:4 *3602:8 13.4107 -3 *3602:8 *28174:CLK 15.9964 -4 *3602:8 *3602:19 2.25 -5 *3602:19 *3602:21 4.5 -6 *3602:21 *3602:22 3 -7 *3602:22 *3602:24 7.51786 -8 *3602:24 *28200:CLK 12.3 -9 *3602:24 *3602:33 9.48214 -10 *3602:33 *3602:35 12.2679 -11 *3602:35 *3602:40 10.0536 -12 *3602:40 *28638:CLK 21.3893 -13 *3602:40 *28727:CLK 9.3 -14 *3602:35 *28554:CLK 22.55 -15 *3602:33 *28751:CLK 13.8 -16 *3602:22 *28208:CLK 9.3 -17 *3602:21 *28176:CLK 22.1571 -18 *3602:19 *28175:CLK 21.4071 -19 *3602:4 *3602:89 4.96429 -20 *3602:89 *28754:CLK 24.6393 -21 *3602:89 *28209:CLK 9.3 -*END - -*D_NET *3603 0.02593 -*CONN -*I *28637:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28451:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28450:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28645:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28177:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28210:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28211:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28556:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28564:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28643:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28745:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28508:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28548:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28760:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28691:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28484:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28445:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28904:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28637:CLK 0.000118038 -2 *28451:CLK 2.40608e-05 -3 *28450:CLK 0.000185606 -4 *28645:CLK 0 -5 *28177:CLK 0.000396863 -6 *28210:CLK 0.00032149 -7 *28211:CLK 0 -8 *28556:CLK 0 -9 *28564:CLK 0 -10 *28643:CLK 0 -11 *28745:CLK 0 -12 *28508:CLK 0 -13 *28548:CLK 0.000266933 -14 *28760:CLK 0.000458786 -15 *28691:CLK 0.000118133 -16 *28484:CLK 0.000322922 -17 *28445:CLK 0.000306026 -18 *28904:X 0 -19 *3603:164 0.000744442 -20 *3603:155 0.000746409 -21 *3603:152 0.000459031 -22 *3603:136 0.000839344 -23 *3603:129 0.000428766 -24 *3603:95 0.000825746 -25 *3603:83 0.000700009 -26 *3603:66 0.000766 -27 *3603:62 0.000415255 -28 *3603:58 0.000483881 -29 *3603:43 0.000709449 -30 *3603:37 0.000409364 -31 *3603:35 0.000607989 -32 *3603:30 0.000484913 -33 *3603:6 0.000783579 -34 *3603:5 0.000262663 -35 *28177:CLK *25688:A1 0 -36 *28177:CLK *28177:D 4.48113e-05 -37 *28177:CLK *5771:50 0 -38 *28177:CLK *5828:17 0 -39 *28177:CLK *5893:10 3.75052e-05 -40 *28177:CLK *5893:46 4.53611e-05 -41 *28177:CLK *5927:14 2.96981e-05 -42 *28210:CLK *28210:D 0.000360776 -43 *28210:CLK *28210:RESET_B 4.96155e-05 -44 *28445:CLK *29702:A 0.000239712 -45 *28445:CLK *3691:8 0.000337327 -46 *28445:CLK *4402:16 5.41009e-05 -47 *28445:CLK *5803:10 0 -48 *28445:CLK *5881:43 0.000236756 -49 *28450:CLK *28450:RESET_B 0 -50 *28450:CLK *29925:A 0.00014285 -51 *28450:CLK *5680:18 0 -52 *28450:CLK *5893:81 6.40275e-05 -53 *28450:CLK *5897:13 1.98839e-05 -54 *28451:CLK *5897:13 7.17235e-05 -55 *28484:CLK *28484:D 2.83284e-06 -56 *28484:CLK *29157:A 1.21955e-05 -57 *28484:CLK *5772:83 0 -58 *28548:CLK *28760:D 0.000220449 -59 *28548:CLK *5736:24 0.000384996 -60 *28548:CLK *5824:153 5.66564e-05 -61 *28637:CLK *28556:D 0.000200034 -62 *28691:CLK *30266:A 7.43578e-06 -63 *28691:CLK *30455:A 5.5671e-05 -64 *28691:CLK *3686:27 7.50601e-05 -65 *28691:CLK *3822:110 0.000149904 -66 *28760:CLK *26915:A1 6.54263e-05 -67 *28760:CLK *28760:D 5.30637e-06 -68 *28760:CLK *29458:A 4.58194e-05 -69 *28760:CLK *3907:16 0.000157662 -70 *28760:CLK *4028:7 2.18792e-05 -71 *28760:CLK *5748:6 0.000708946 -72 *3603:6 *3691:8 0.000330767 -73 *3603:6 *4402:16 7.9343e-05 -74 *3603:30 *3691:8 0.000220671 -75 *3603:35 *28556:D 0.000282136 -76 *3603:35 *28556:RESET_B 4.50774e-05 -77 *3603:35 *3691:7 5.33005e-05 -78 *3603:37 *28556:D 5.30637e-06 -79 *3603:43 *30455:A 0.000127039 -80 *3603:58 *3686:27 6.85604e-05 -81 *3603:58 *3835:26 0.000218734 -82 *3603:58 *5772:63 0 -83 *3603:62 *28643:SET_B 0.000183344 -84 *3603:62 *30455:A 7.18845e-05 -85 *3603:62 *5748:6 0.000210486 -86 *3603:62 *5905:48 0.000298341 -87 *3603:66 *30455:A 0.00014636 -88 *3603:66 *5748:6 0.000351484 -89 *3603:83 *29458:A 0.000206204 -90 *3603:83 *5896:17 7.31177e-05 -91 *3603:95 *28745:D 2.27416e-05 -92 *3603:95 *3686:27 0 -93 *3603:95 *5709:272 8.35129e-05 -94 *3603:95 *5795:44 9.58632e-05 -95 *3603:95 *5863:66 0.000146254 -96 *3603:95 *5863:77 1.00887e-05 -97 *3603:95 *5896:17 0.000105163 -98 *3603:129 *5702:16 0.000353521 -99 *3603:129 *5771:30 0 -100 *3603:129 *5893:10 0.000172499 -101 *3603:136 *5771:30 0 -102 *3603:136 *5771:39 0 -103 *3603:136 *5771:50 0 -104 *3603:136 *5893:10 8.17615e-05 -105 *3603:152 *28556:D 0.000224584 -106 *3603:152 *29082:A 4.27935e-05 -107 *3603:152 *3691:7 5.33005e-05 -108 *3603:155 *29113:A 0.000182071 -109 *3603:155 *4883:13 0.000116086 -110 *3603:164 *25742:S 0 -111 *3603:164 *26857:A0 0 -112 *3603:164 *28450:RESET_B 0 -113 *3603:164 *29113:A 9.74588e-05 -114 *3603:164 *29925:A 0.000139122 -115 *3603:164 *4043:21 5.5474e-05 -116 *3603:164 *4883:13 5.48488e-05 -117 *3603:164 *5803:10 0 -118 *3603:164 *5829:19 2.50022e-05 -119 *3603:164 *5893:81 0 -120 *3603:164 *5927:14 0.000100061 -121 *3603:164 *6011:17 0 -122 *26857:S *3603:164 0 -123 *29413:A *3603:95 0.000219366 -124 *30710:A *28450:CLK 0.000184745 -125 *30710:A *28451:CLK 9.90431e-05 -126 *552:14 *28451:CLK 2.44318e-05 -127 *783:17 *3603:164 4.18834e-05 -128 *1225:181 *28548:CLK 0.000397908 -129 *1286:101 *28548:CLK 0.000170581 -130 *1863:14 *28760:CLK 0.000541963 -131 *2770:134 *28484:CLK 0.000554609 -132 *2780:297 *3603:6 9.80415e-05 -133 *2780:297 *3603:30 0.000230219 -134 *2780:297 *3603:58 9.25014e-06 -135 *2786:147 *28637:CLK 7.84241e-05 -136 *2786:147 *28691:CLK 0.000178847 -137 *2786:147 *3603:35 0.000360455 -138 *2786:147 *3603:37 7.48091e-05 -139 *2786:147 *3603:43 0.000772579 -140 *2786:147 *3603:152 0.000120126 -141 *2794:95 *3603:95 0.000548248 -*RES -1 *28904:X *3603:5 13.8 -2 *3603:5 *3603:6 4.52679 -3 *3603:6 *28445:CLK 22.5589 -4 *3603:6 *28484:CLK 21.8086 -5 *3603:5 *3603:30 7.66071 -6 *3603:30 *3603:35 8.07143 -7 *3603:35 *3603:37 1.35714 -8 *3603:37 *3603:43 9.30357 -9 *3603:43 *28691:CLK 22.0143 -10 *3603:43 *3603:58 14.2857 -11 *3603:58 *3603:62 11.5893 -12 *3603:62 *3603:66 9.17857 -13 *3603:66 *28760:CLK 31.1036 -14 *3603:66 *3603:83 3.82143 -15 *3603:83 *3603:95 22.6607 -16 *3603:95 *28548:CLK 27.925 -17 *3603:95 *28508:CLK 9.3 -18 *3603:83 *28745:CLK 9.3 -19 *3603:62 *28643:CLK 13.8 -20 *3603:58 *28564:CLK 9.3 -21 *3603:37 *28556:CLK 9.3 -22 *3603:35 *3603:129 11.6071 -23 *3603:129 *28211:CLK 13.8 -24 *3603:129 *3603:136 2.85714 -25 *3603:136 *28210:CLK 21.3179 -26 *3603:136 *28177:CLK 20.9071 -27 *3603:30 *3603:152 4.23214 -28 *3603:152 *3603:155 9.48214 -29 *3603:155 *28645:CLK 13.8 -30 *3603:155 *3603:164 14.3393 -31 *3603:164 *28450:CLK 22.6214 -32 *3603:164 *28451:CLK 10.6571 -33 *3603:152 *28637:CLK 12.7107 -*END - -*D_NET *3604 0.023838 -*CONN -*I *28730:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28453:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28452:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28454:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28685:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28533:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28565:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28537:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28709:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28762:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28746:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28446:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28447:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28501:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28905:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28730:CLK 0.000374222 -2 *28453:CLK 2.12181e-05 -3 *28452:CLK 0.000494523 -4 *28454:CLK 0.000211098 -5 *28685:CLK 0 -6 *28533:CLK 6.44174e-05 -7 *28565:CLK 0 -8 *28537:CLK 0 -9 *28709:CLK 0.00031751 -10 *28762:CLK 0 -11 *28746:CLK 0.000195401 -12 *28446:CLK 0 -13 *28447:CLK 0.000458053 -14 *28501:CLK 0.000317468 -15 *28905:X 0 -16 *3604:127 0.000815531 -17 *3604:124 0.000608183 -18 *3604:110 0.0010149 -19 *3604:92 0.000822884 -20 *3604:65 0.000602848 -21 *3604:30 0.00061194 -22 *3604:18 0.000591414 -23 *3604:17 0.000582772 -24 *3604:13 0.000345114 -25 *3604:12 0.000488642 -26 *3604:8 0.000520639 -27 *3604:7 0.00099668 -28 *3604:4 0.000755142 -29 *28447:CLK *4812:13 0.000107568 -30 *28447:CLK *5709:272 0.000322741 -31 *28447:CLK *5771:77 8.83295e-05 -32 *28447:CLK *5803:10 0.000171355 -33 *28447:CLK *5863:60 0.000625424 -34 *28452:CLK *28450:D 5.81083e-05 -35 *28452:CLK *4230:17 5.49981e-05 -36 *28452:CLK *4231:14 0.00013747 -37 *28452:CLK *4803:18 0.00015732 -38 *28453:CLK *5462:33 2.14658e-05 -39 *28453:CLK *5879:237 1.58163e-05 -40 *28454:CLK *26859:A1 6.13118e-05 -41 *28454:CLK *28453:RESET_B 9.71197e-05 -42 *28454:CLK *5781:7 5.52238e-05 -43 *28454:CLK *6285:21 3.69047e-06 -44 *28501:CLK *3848:14 0.000746494 -45 *28501:CLK *5795:181 0.000563706 -46 *28501:CLK *5903:28 0.000157568 -47 *28533:CLK *28637:D 0.000135028 -48 *28533:CLK *4854:17 2.14658e-05 -49 *28533:CLK *6259:38 8.85631e-05 -50 *28709:CLK *3887:97 3.83163e-05 -51 *28709:CLK *4134:6 0.000741267 -52 *28709:CLK *5683:57 9.60939e-05 -53 *28709:CLK *5829:50 0.000213257 -54 *28709:CLK *5829:68 0.000397389 -55 *28746:CLK *3848:14 0.00031345 -56 *28746:CLK *4875:18 5.52238e-05 -57 *28746:CLK *5903:28 0.000304915 -58 *3604:7 *5771:139 8.53173e-05 -59 *3604:7 *5771:187 2.97829e-05 -60 *3604:8 *4030:18 0 -61 *3604:8 *5695:55 0 -62 *3604:12 *4030:18 0 -63 *3604:13 *28762:D 3.23539e-05 -64 *3604:17 *26852:A0 8.55871e-05 -65 *3604:17 *27189:A1 9.41642e-05 -66 *3604:18 *3848:14 0.000379325 -67 *3604:18 *5903:28 0.000374141 -68 *3604:30 *28446:D 0.000140086 -69 *3604:30 *5863:60 0.000128628 -70 *3604:30 *6274:8 4.18747e-05 -71 *3604:65 *28762:D 0.000493584 -72 *3604:65 *4056:8 1.39726e-05 -73 *3604:92 *26953:A1 6.82827e-05 -74 *3604:92 *29074:A 9.58324e-05 -75 *3604:92 *5695:50 0 -76 *3604:92 *5787:9 4.49768e-05 -77 *3604:92 *5905:41 6.35819e-05 -78 *3604:92 *6261:17 0.000160143 -79 *3604:110 *29074:A 0.000215039 -80 *3604:110 *29104:A 0.000160119 -81 *3604:110 *3835:10 1.58163e-05 -82 *3604:110 *3848:95 0 -83 *3604:110 *4043:32 0 -84 *3604:110 *4875:18 9.49949e-05 -85 *3604:110 *5695:37 0 -86 *3604:110 *5824:170 0.000135028 -87 *3604:124 *29074:A 0.000308152 -88 *3604:124 *5462:33 0.00015732 -89 *3604:124 *5879:237 5.38242e-05 -90 *3604:124 *5897:13 7.02611e-05 -91 *3604:124 *6262:14 0.000129706 -92 *3604:124 *6268:23 2.89114e-05 -93 *3604:127 *4230:17 8.28448e-05 -94 *3604:127 *4231:14 0.000183127 -95 *30709:A *28454:CLK 0.000265453 -96 *785:21 *28454:CLK 3.97677e-05 -97 *1286:117 *28709:CLK 7.61932e-05 -98 *2756:10 *3604:8 0.000263198 -99 *2756:10 *3604:12 9.57064e-05 -100 *2756:10 *3604:92 0.000113492 -101 *2756:10 *3604:110 0.000378516 -102 *2756:57 *28454:CLK 0.00073856 -103 *2764:30 *28501:CLK 0.000135028 -104 *2779:10 *28501:CLK 6.86693e-05 -105 *2793:14 *28709:CLK 2.44318e-05 -106 *3537:20 *28709:CLK 5.66157e-05 -107 *3537:20 *3604:13 6.26078e-05 -108 *3537:20 *3604:17 0.00010982 -109 *3537:20 *3604:65 0.000381889 -*RES -1 *28905:X *3604:4 9.3 -2 *3604:4 *3604:7 9.14286 -3 *3604:7 *3604:8 6.80357 -4 *3604:8 *3604:12 6.75 -5 *3604:12 *3604:13 1.76786 -6 *3604:13 *3604:17 9.55357 -7 *3604:17 *3604:18 4.98214 -8 *3604:18 *28501:CLK 24.9964 -9 *3604:18 *3604:30 8.32143 -10 *3604:30 *28447:CLK 31.0679 -11 *3604:30 *28446:CLK 9.3 -12 *3604:17 *28746:CLK 19.675 -13 *3604:13 *28762:CLK 9.3 -14 *3604:12 *3604:65 9.16071 -15 *3604:65 *28709:CLK 29.4964 -16 *3604:65 *28537:CLK 9.3 -17 *3604:8 *28565:CLK 13.8 -18 *3604:7 *3604:92 10.6725 -19 *3604:92 *28533:CLK 15.5679 -20 *3604:92 *3604:110 24.9464 -21 *3604:110 *28685:CLK 9.3 -22 *3604:110 *3604:124 18.5714 -23 *3604:124 *3604:127 7.05357 -24 *3604:127 *28454:CLK 21.3179 -25 *3604:127 *28452:CLK 21.1214 -26 *3604:124 *28453:CLK 9.83571 -27 *3604:4 *28730:CLK 14.675 -*END - -*D_NET *3605 0.0189045 -*CONN -*I *28163:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28326:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28147:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28280:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28179:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28213:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28145:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28146:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28331:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28131:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28325:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28906:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28163:CLK 0.000702582 -2 *28326:CLK 1.40355e-05 -3 *28147:CLK 0 -4 *28280:CLK 0.000614705 -5 *28179:CLK 0 -6 *28213:CLK 0 -7 *28145:CLK 2.91597e-05 -8 *28146:CLK 0.000423021 -9 *28331:CLK 0 -10 *28131:CLK 0 -11 *28325:CLK 0.000260179 -12 *28906:X 6.59538e-05 -13 *3605:96 0.0008537 -14 *3605:74 0.00077045 -15 *3605:47 0.00067247 -16 *3605:45 0.000273048 -17 *3605:44 0.000507081 -18 *3605:40 0.000796183 -19 *3605:35 0.000705161 -20 *3605:28 0.000129337 -21 *3605:12 0.000601628 -22 *3605:7 0.000466268 -23 *28145:CLK *5007:16 1.92905e-05 -24 *28146:CLK *28146:D 9.25014e-06 -25 *28146:CLK *5304:13 0 -26 *28146:CLK *5542:12 0 -27 *28146:CLK *5739:37 5.62995e-05 -28 *28146:CLK *5902:22 0.000110113 -29 *28163:CLK *25825:A0 0.000344225 -30 *28163:CLK *25825:A1 5.52238e-05 -31 *28163:CLK *5614:114 0.000263524 -32 *28163:CLK *5742:102 0.000180777 -33 *28280:CLK *25540:A1 7.12588e-05 -34 *28280:CLK *25652:B 0.000185722 -35 *28280:CLK *3857:21 7.44798e-05 -36 *28280:CLK *4195:10 0.000520729 -37 *28280:CLK *4195:19 0.000568819 -38 *28280:CLK *4195:179 0.000166923 -39 *28280:CLK *4759:8 3.55632e-05 -40 *28325:CLK *28145:RESET_B 0.000195555 -41 *28325:CLK *28146:RESET_B 0.00015134 -42 *28325:CLK *28325:D 0.000267377 -43 *28326:CLK *25655:A0 2.89114e-05 -44 *28326:CLK *25655:A1 5.52302e-05 -45 *3605:12 *25655:A0 0 -46 *3605:12 *28145:RESET_B 0.000165254 -47 *3605:40 *4163:93 7.33786e-06 -48 *3605:40 *4646:13 2.89114e-05 -49 *3605:44 *25459:A1 0.00022266 -50 *3605:44 *25697:A1 4.82865e-05 -51 *3605:44 *4163:93 0.00030575 -52 *3605:45 *25540:A1 0.000164781 -53 *3605:45 *4195:179 0.000172607 -54 *3605:47 *25540:A1 0.000399473 -55 *3605:47 *29521:A 0.00014849 -56 *3605:47 *4195:179 0.000241716 -57 *3605:47 *5542:12 0 -58 *3605:74 *25540:A1 0.000400157 -59 *3605:74 *4195:179 0.000396356 -60 *25825:S *28163:CLK 0.000159852 -61 *28885:A *28325:CLK 0 -62 *28885:A *3605:12 0 -63 *30519:A *28325:CLK 0.000188947 -64 *30519:A *3605:12 0.00016686 -65 *394:14 *3605:12 9.93163e-05 -66 *409:10 *28163:CLK 9.0065e-05 -67 *409:10 *3605:12 2.8266e-06 -68 *409:10 *3605:28 5.3553e-05 -69 *409:10 *3605:35 3.65078e-05 -70 *409:10 *3605:96 0.000110946 -71 *437:23 *28163:CLK 6.3429e-05 -72 *1287:25 *28163:CLK 0.000963928 -73 *1287:51 *3605:44 0.0001399 -74 *1430:50 *28146:CLK 0.000164023 -75 *1430:59 *28146:CLK 2.79736e-05 -76 *1430:59 *3605:47 0.000163382 -77 *1769:17 *3605:7 9.90431e-05 -78 *1795:26 *28280:CLK 0.000602478 -79 *1827:36 *3605:12 0.000219397 -80 *1827:36 *3605:28 7.6028e-05 -81 *1827:36 *3605:35 5.38243e-05 -82 *1827:36 *3605:96 2.94962e-05 -83 *3570:57 *3605:40 0.000139056 -84 *3570:79 *28163:CLK 0.00113994 -85 *3570:79 *3605:96 0.000166347 -*RES -1 *28906:X *3605:7 14.7464 -2 *3605:7 *3605:12 8.98214 -3 *3605:12 *28325:CLK 21.0679 -4 *3605:12 *28131:CLK 13.8 -5 *3605:7 *3605:28 1.94643 -6 *3605:28 *28331:CLK 13.8 -7 *3605:28 *3605:35 1.64286 -8 *3605:35 *3605:40 10.6964 -9 *3605:40 *3605:44 11.6071 -10 *3605:44 *3605:45 2.25 -11 *3605:45 *3605:47 7.41071 -12 *3605:47 *28146:CLK 22.2821 -13 *3605:47 *28145:CLK 14.3357 -14 *3605:45 *28213:CLK 13.8 -15 *3605:44 *3605:74 5.82143 -16 *3605:74 *28179:CLK 13.8 -17 *3605:74 *28280:CLK 30.6214 -18 *3605:40 *28147:CLK 9.3 -19 *3605:35 *3605:96 3.82143 -20 *3605:96 *28326:CLK 14.3357 -21 *3605:96 *28163:CLK 36.2286 -*END - -*D_NET *3606 0.00763976 -*CONN -*I *28477:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28676:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28677:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28463:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28195:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28907:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28477:CLK 1.17005e-05 -2 *28676:CLK 0.000267815 -3 *28677:CLK 7.79215e-05 -4 *28463:CLK 0.000222967 -5 *28195:CLK 0 -6 *28907:X 0.00048539 -7 *3606:39 0.000437065 -8 *3606:19 0.000612004 -9 *3606:10 0.00058985 -10 *3606:5 0.000921675 -11 *28463:CLK *28677:D 0.000310292 -12 *28463:CLK *3678:18 4.75428e-05 -13 *28463:CLK *5628:212 6.05161e-06 -14 *28463:CLK *5803:14 0.00017309 -15 *28463:CLK *5820:31 1.76039e-05 -16 *28477:CLK *5777:131 2.44318e-05 -17 *28676:CLK *3899:14 7.77652e-05 -18 *28676:CLK *4970:17 5.5474e-05 -19 *28676:CLK *5651:400 0.000140933 -20 *28676:CLK *5777:131 0.000117553 -21 *28676:CLK *5910:42 4.86911e-05 -22 *28677:CLK *28677:D 0.000218685 -23 *28677:CLK *28677:RESET_B 6.86792e-05 -24 *28677:CLK *5716:132 1.39841e-05 -25 *3606:10 *3770:28 6.09476e-05 -26 *3606:10 *5629:217 0.000177821 -27 *3606:19 *26913:A1 4.56383e-05 -28 *3606:19 *5716:124 0.000123295 -29 *3606:19 *5716:192 7.29667e-05 -30 *3606:19 *5910:42 6.0911e-05 -31 *3606:19 *6351:12 0 -32 *3606:39 *25723:A0 6.09476e-05 -33 *3606:39 *5910:42 6.84481e-05 -34 *25723:A1 *3606:10 0.000264166 -35 *25723:A1 *3606:19 0.000122226 -36 *27708:D *3606:10 5.16596e-05 -37 *27708:D *3606:19 9.79648e-05 -38 *1853:38 *3606:39 6.43445e-05 -39 *2757:21 *28676:CLK 0.000173515 -40 *2759:43 *28676:CLK 0.000375729 -41 *2764:35 *28463:CLK 1.98839e-05 -42 *2779:67 *3606:39 0.000123706 -43 *3153:320 *28477:CLK 2.89114e-05 -44 *3153:320 *28676:CLK 6.42095e-05 -45 *3582:13 *3606:5 0.000592363 -46 *3582:31 *3606:5 2.14757e-05 -47 *3582:31 *3606:10 2.14658e-05 -*RES -1 *28907:X *3606:5 15.5857 -2 *3606:5 *3606:10 10.1429 -3 *3606:10 *28195:CLK 13.8 -4 *3606:10 *3606:19 11.9107 -5 *3606:19 *28463:CLK 23.9607 -6 *3606:19 *28677:CLK 11.4786 -7 *3606:5 *3606:39 13.0714 -8 *3606:39 *28676:CLK 26.8179 -9 *3606:39 *28477:CLK 9.83571 -*END - -*D_NET *3607 0.0197735 -*CONN -*I *28732:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28196:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28125:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28713:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28687:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28599:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28669:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28591:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28525:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28543:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28908:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28732:CLK 0.000190029 -2 *28196:CLK 0.000284881 -3 *28125:CLK 0.000207411 -4 *28713:CLK 2.25053e-05 -5 *28687:CLK 0 -6 *28599:CLK 0 -7 *28669:CLK 0.000921649 -8 *28591:CLK 4.91187e-05 -9 *28525:CLK 0.000229604 -10 *28543:CLK 0.000154869 -11 *28908:X 0 -12 *3607:98 0.000935493 -13 *3607:87 0.000784553 -14 *3607:76 0.000731042 -15 *3607:30 0.000373697 -16 *3607:29 0.0011835 -17 *3607:26 0.000548694 -18 *3607:22 0.000460875 -19 *3607:13 0.000524423 -20 *3607:5 0.000882476 -21 *28125:CLK *27740:A1 6.05161e-06 -22 *28125:CLK *5655:264 0.000142531 -23 *28196:CLK *28196:D 0.000238039 -24 *28196:CLK *28196:RESET_B 0.000128809 -25 *28196:CLK *4205:10 0.000175892 -26 *28196:CLK *5695:183 5.33005e-05 -27 *28196:CLK *5914:27 0.000212646 -28 *28525:CLK *26937:B 6.81459e-05 -29 *28525:CLK *27727:A1 0.000216755 -30 *28525:CLK *5668:50 0.000323605 -31 *28543:CLK *28543:D 7.20884e-05 -32 *28591:CLK *5582:183 2.22891e-05 -33 *28669:CLK *28669:RESET_B 0.000333128 -34 *28669:CLK *5668:50 4.29696e-05 -35 *28669:CLK *5795:206 0.000211507 -36 *28713:CLK *26961:A1 5.33005e-05 -37 *28713:CLK *3796:163 1.58163e-05 -38 *28732:CLK *25724:A0 0.000190682 -39 *28732:CLK *28196:D 0.000194811 -40 *28732:CLK *28196:RESET_B 0.000295707 -41 *28732:CLK *3718:63 2.89016e-05 -42 *28732:CLK *3770:21 9.90431e-05 -43 *28732:CLK *4205:10 5.52302e-05 -44 *28732:CLK *5914:18 2.04825e-05 -45 *3607:13 *28543:RESET_B 1.39711e-05 -46 *3607:13 *3969:14 9.67203e-05 -47 *3607:13 *4149:10 0.00014183 -48 *3607:13 *5774:37 7.84272e-05 -49 *3607:22 *5695:110 9.25025e-05 -50 *3607:26 *27015:A0 0.000132147 -51 *3607:26 *3939:102 2.20983e-05 -52 *3607:26 *5627:237 7.40526e-05 -53 *3607:26 *5639:199 0.000159346 -54 *3607:26 *5650:352 0.000154204 -55 *3607:26 *5695:110 2.39876e-05 -56 *3607:26 *5774:62 5.33072e-05 -57 *3607:26 *5873:281 1.90936e-05 -58 *3607:29 *28669:RESET_B 0.00059044 -59 *3607:29 *5795:206 0.000592363 -60 *3607:30 *5668:50 0.000225919 -61 *3607:76 *3939:102 1.67878e-05 -62 *3607:76 *3969:14 0.00022195 -63 *3607:76 *5695:110 2.1599e-05 -64 *3607:76 *5905:9 0.000224048 -65 *3607:76 *5905:16 0.000158552 -66 *3607:98 *25629:A0 8.45492e-06 -67 *3607:98 *3991:71 1.90936e-05 -68 *3607:98 *4017:33 6.57276e-05 -69 *3607:98 *5680:77 0.000417887 -70 *3607:98 *5680:89 1.0562e-05 -71 *3607:98 *5682:63 7.10717e-05 -72 *3607:98 *5695:99 4.11218e-05 -73 *3607:98 *5905:16 0 -74 *25051:B1 *3607:76 0 -75 *25194:A2 *28591:CLK 0.000131824 -76 *25629:S *3607:98 2.11068e-05 -77 *26946:A *3607:76 0.000122665 -78 *26946:A *3607:87 0.000180169 -79 *26946:A *3607:98 0.000114613 -80 *27779:C1 *3607:26 4.33002e-05 -81 *1288:152 *28543:CLK 8.33968e-05 -82 *1288:152 *3607:13 8.60982e-05 -83 *1293:181 *3607:13 4.36621e-05 -84 *1293:181 *3607:76 6.94742e-05 -85 *1826:226 *3607:76 1.81805e-05 -86 *1853:38 *3607:76 0.000146508 -87 *1853:38 *3607:87 0.000147652 -88 *1853:38 *3607:98 0.000107894 -89 *2767:142 *3607:76 0.000104646 -90 *2771:64 *28732:CLK 1.56e-05 -91 *2772:46 *28543:CLK 0.000194122 -92 *2772:46 *3607:13 0.000582586 -93 *2791:45 *3607:76 2.83129e-05 -94 *3153:313 *28125:CLK 0.000228566 -95 *3185:229 *3607:22 0.000194472 -96 *3185:229 *3607:26 0.000404912 -97 *3185:229 *3607:76 8.78763e-05 -98 *3206:293 *3607:76 0.00012091 -99 *3215:309 *28525:CLK 0.000319644 -100 *3215:309 *28669:CLK 5.15925e-05 -101 *3215:309 *3607:30 0.000235169 -102 *3582:121 *3607:76 0.000454752 -*RES -1 *28908:X *3607:5 13.8 -2 *3607:5 *3607:13 13.0893 -3 *3607:13 *28543:CLK 13.1214 -4 *3607:13 *3607:22 7.05357 -5 *3607:22 *3607:26 14.3393 -6 *3607:26 *3607:29 10.375 -7 *3607:29 *3607:30 3.16071 -8 *3607:30 *28525:CLK 20.3536 -9 *3607:30 *28591:CLK 15.175 -10 *3607:29 *28669:CLK 25.2464 -11 *3607:26 *28599:CLK 9.3 -12 *3607:22 *28687:CLK 13.8 -13 *3607:5 *3607:76 14.11 -14 *3607:76 *28713:CLK 14.3357 -15 *3607:76 *3607:87 3.08929 -16 *3607:87 *28125:CLK 18.4429 -17 *3607:87 *3607:98 14.3393 -18 *3607:98 *28196:CLK 16.8179 -19 *3607:98 *28732:CLK 24.3893 -*END - -*D_NET *3608 0.0177931 -*CONN -*I *28504:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28688:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28641:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28750:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28639:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28535:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28748:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28711:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28464:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28505:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28503:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28909:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28504:CLK 0.000184811 -2 *28688:CLK 0.000100036 -3 *28641:CLK 0.000392703 -4 *28750:CLK 0.000201867 -5 *28639:CLK 0.000356387 -6 *28535:CLK 0 -7 *28748:CLK 4.91581e-05 -8 *28711:CLK 0 -9 *28464:CLK 0.000529317 -10 *28505:CLK 0 -11 *28503:CLK 0.000129052 -12 *28909:X 0 -13 *3608:89 0.000895443 -14 *3608:78 0.000789086 -15 *3608:66 0.000681988 -16 *3608:59 0.000353326 -17 *3608:21 0.000549558 -18 *3608:14 0.00137142 -19 *3608:12 0.000614017 -20 *3608:10 0.000537144 -21 *3608:5 0.000753449 -22 *3608:4 0.000430457 -23 *28464:CLK *5820:85 0.000200507 -24 *28503:CLK *26916:A0 5.33334e-05 -25 *28503:CLK *27773:B2 0.000174812 -26 *28503:CLK *3978:130 8.91822e-05 -27 *28503:CLK *5640:285 6.70055e-05 -28 *28504:CLK *5709:312 0.00020349 -29 *28639:CLK *27194:A0 0.000283257 -30 *28639:CLK *4818:9 0.000250442 -31 *28639:CLK *5676:23 0.000104534 -32 *28639:CLK *5682:11 9.31011e-05 -33 *28639:CLK *5912:54 0.00057215 -34 *28641:CLK *28641:D 0.00020544 -35 *28641:CLK *28987:A 6.86693e-05 -36 *28641:CLK *4004:46 0 -37 *28641:CLK *5824:170 0.000366539 -38 *28688:CLK *27071:A1 2.89114e-05 -39 *28688:CLK *5702:202 5.98502e-05 -40 *28688:CLK *5905:18 0.000158832 -41 *28748:CLK *5709:312 0.000100074 -42 *28748:CLK *5863:32 6.30084e-05 -43 *28750:CLK *28750:D 4.87854e-05 -44 *3608:5 *5829:207 0.000268049 -45 *3608:10 *5829:207 0.000308913 -46 *3608:10 *5905:16 5.92441e-05 -47 *3608:12 *28748:D 9.74484e-05 -48 *3608:12 *3939:104 0 -49 *3608:12 *5683:83 0.000171027 -50 *3608:12 *5905:16 0.000116145 -51 *3608:14 *29054:A 0.000376167 -52 *3608:14 *5584:194 6.60156e-05 -53 *3608:14 *5683:83 0.000124529 -54 *3608:14 *5775:32 1.94945e-05 -55 *3608:14 *5905:16 0.000194408 -56 *3608:21 *29054:A 0.000123288 -57 *3608:21 *4017:33 0.000110341 -58 *3608:21 *5640:285 0.000765697 -59 *3608:21 *5641:211 0.000450999 -60 *3608:21 *5669:296 0.000218679 -61 *3608:21 *5716:133 0.000162047 -62 *3608:21 *5905:16 5.41797e-06 -63 *3608:59 *5682:26 0.000148196 -64 *3608:59 *5682:28 0.00028402 -65 *3608:59 *5776:20 0.000135659 -66 *3608:66 *5682:26 2.31791e-05 -67 *3608:66 *5776:20 6.03863e-05 -68 *3608:66 *5776:167 1.28166e-05 -69 *3608:66 *5905:16 0 -70 *3608:78 *28750:D 7.48091e-05 -71 *3608:78 *5776:167 3.71496e-05 -72 *3608:89 *26953:A1 4.64488e-06 -73 *3608:89 *4817:18 0 -74 *3608:89 *5364:13 0 -75 *3608:89 *5702:202 4.46186e-06 -76 *3608:89 *5776:167 0 -77 *3608:89 *5905:18 0.000621663 -78 *27126:A *3608:12 6.94941e-05 -79 *27126:A *3608:14 4.86298e-05 -80 *29329:A *3608:14 5.85517e-05 -81 *29978:A *3608:10 2.53112e-06 -82 *972:21 *28750:CLK 3.97657e-05 -83 *974:20 *28688:CLK 5.52302e-05 -84 *2792:62 *3608:14 0.000121614 -85 *3538:75 *3608:14 8.66954e-05 -86 *3582:55 *28504:CLK 0.000148045 -87 *3582:55 *3608:5 6.47172e-06 -*RES -1 *28909:X *3608:4 9.3 -2 *3608:4 *3608:5 3.41071 -3 *3608:5 *3608:10 9.14286 -4 *3608:10 *3608:12 5.28571 -5 *3608:12 *3608:14 10.4464 -6 *3608:14 *3608:21 17.375 -7 *3608:21 *28503:CLK 21.4964 -8 *3608:21 *28505:CLK 9.3 -9 *3608:14 *28464:CLK 20.4071 -10 *3608:12 *28711:CLK 13.8 -11 *3608:10 *28748:CLK 15.5679 -12 *3608:5 *3608:59 10.0893 -13 *3608:59 *28535:CLK 13.8 -14 *3608:59 *3608:66 2.85714 -15 *3608:66 *28639:CLK 25.0143 -16 *3608:66 *3608:78 7.5 -17 *3608:78 *28750:CLK 12.2107 -18 *3608:78 *3608:89 12.8214 -19 *3608:89 *28641:CLK 31.8 -20 *3608:89 *28688:CLK 16.5857 -21 *3608:4 *28504:CLK 14.2643 -*END - -*D_NET *3609 0.0214768 -*CONN -*I *28559:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28738:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28740:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28758:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28749:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28536:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28456:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28457:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28455:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28697:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28693:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28910:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28559:CLK 0 -2 *28738:CLK 0.000147284 -3 *28740:CLK 3.90682e-05 -4 *28758:CLK 0.000489479 -5 *28749:CLK 0.000434972 -6 *28536:CLK 0.00018911 -7 *28456:CLK 0 -8 *28457:CLK 0.000194165 -9 *28455:CLK 0.000483929 -10 *28697:CLK 0 -11 *28693:CLK 0.000271919 -12 *28910:X 0.000139067 -13 *3609:94 0.000674547 -14 *3609:93 0.000564095 -15 *3609:82 0.00106552 -16 *3609:77 0.000511424 -17 *3609:64 0.000559193 -18 *3609:45 0.000417986 -19 *3609:30 0.00134333 -20 *3609:11 0.000665825 -21 *3609:9 0.00117709 -22 *3609:5 0.000505061 -23 *28455:CLK *28455:D 0.000261178 -24 *28455:CLK *4925:25 3.83918e-05 -25 *28457:CLK *5453:13 2.03618e-05 -26 *28457:CLK *5880:24 9.76689e-05 -27 *28457:CLK *5893:81 4.46839e-05 -28 *28536:CLK *24832:A 0.000184607 -29 *28536:CLK *28987:A 0.000105559 -30 *28536:CLK *3839:7 0.000345257 -31 *28536:CLK *5702:212 0.000137983 -32 *28536:CLK *6222:14 0.000188439 -33 *28693:CLK *3887:97 0.000160501 -34 *28693:CLK *5683:32 3.79828e-05 -35 *28693:CLK *6267:17 0.000683661 -36 *28693:CLK *6284:17 9.74668e-05 -37 *28738:CLK *4818:9 6.91157e-05 -38 *28738:CLK *5191:15 0.000102676 -39 *28738:CLK *5775:109 0 -40 *28738:CLK *6221:16 0.000314115 -41 *28740:CLK *5187:15 2.59355e-05 -42 *28749:CLK *5913:15 0.000114802 -43 *28758:CLK *27183:A0 0.000227532 -44 *28758:CLK *27192:S 0.000306716 -45 *28758:CLK *4033:8 0.000423905 -46 *28758:CLK *5912:28 0.000128161 -47 *3609:5 *27124:A1 0.000343777 -48 *3609:9 *27124:A1 0.000157389 -49 *3609:9 *27124:S 0.000246321 -50 *3609:11 *27124:S 0.000210742 -51 *3609:11 *28697:RESET_B 2.51837e-05 -52 *3609:11 *6267:17 0.000478089 -53 *3609:30 *24832:A 0 -54 *3609:30 *26862:A1 4.56899e-05 -55 *3609:30 *30574:A 0.000338167 -56 *3609:30 *4802:10 4.35479e-05 -57 *3609:30 *4817:18 0.000298912 -58 *3609:30 *5181:22 0 -59 *3609:30 *5364:13 0 -60 *3609:30 *5680:30 1.57541e-05 -61 *3609:30 *5680:40 8.50795e-06 -62 *3609:45 *24832:A 1.10997e-05 -63 *3609:45 *26862:A1 5.05056e-05 -64 *3609:45 *28455:D 4.43256e-05 -65 *3609:45 *28455:RESET_B 0.000158902 -66 *3609:45 *6242:23 0.000360643 -67 *3609:64 *4034:42 5.20232e-05 -68 *3609:64 *6218:16 0 -69 *3609:77 *28987:A 0.000292006 -70 *3609:77 *29912:A 8.36572e-05 -71 *3609:77 *3839:7 0.000397918 -72 *3609:77 *5702:212 7.32955e-05 -73 *3609:82 *5680:52 0 -74 *3609:82 *5682:264 0.000176005 -75 *3609:82 *5775:109 0.000112346 -76 *3609:82 *5775:124 3.63304e-05 -77 *3609:82 *5775:128 3.34366e-05 -78 *3609:93 *5913:15 0.000120114 -79 *3609:94 *4818:9 0.000193833 -80 *3609:94 *6221:16 2.53587e-05 -81 *26864:S *28457:CLK 2.68764e-05 -82 *29154:A *28457:CLK 6.444e-05 -83 *29162:A *3609:5 0.000654909 -84 *29162:A *3609:9 0.000564328 -85 *29967:A *3609:77 5.52238e-05 -86 *29981:A *28693:CLK 5.62995e-05 -87 *30010:A *3609:30 1.01075e-05 -88 *869:15 *28749:CLK 1.34703e-05 -89 *974:20 *3609:64 0.000100996 -90 *1026:19 *28693:CLK 0.000101169 -91 *1026:19 *3609:11 0.000249955 -92 *1082:20 *28740:CLK 6.68577e-05 -93 *1083:15 *3609:64 0.000389947 -94 *2756:93 *28457:CLK 2.83284e-06 -95 *2769:187 *28738:CLK 0.00012401 -96 *2769:187 *28758:CLK 0.000284209 -97 *2769:187 *3609:94 0.000287487 -*RES -1 *28910:X *3609:5 15.5857 -2 *3609:5 *3609:9 5.89286 -3 *3609:9 *3609:11 11.2143 -4 *3609:11 *28693:CLK 27.2464 -5 *3609:11 *28697:CLK 9.3 -6 *3609:9 *3609:30 20.3571 -7 *3609:30 *28455:CLK 15.9071 -8 *3609:30 *3609:45 14.0893 -9 *3609:45 *28457:CLK 22.3179 -10 *3609:45 *28456:CLK 9.3 -11 *3609:5 *3609:64 14.2857 -12 *3609:64 *28536:CLK 24.2643 -13 *3609:64 *3609:77 6.30357 -14 *3609:77 *3609:82 15.5 -15 *3609:82 *28749:CLK 14.675 -16 *3609:82 *3609:93 7.91071 -17 *3609:93 *3609:94 3.76786 -18 *3609:94 *28758:CLK 34.3357 -19 *3609:94 *28740:CLK 14.7643 -20 *3609:93 *28738:CLK 18.4429 -21 *3609:77 *28559:CLK 9.3 -*END - -*D_NET *3610 0.00448955 -*CONN -*I *28756:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28742:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28911:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28756:CLK 0.000295688 -2 *28742:CLK 0.0010182 -3 *28911:X 0.0001703 -4 *3610:7 0.00148419 -5 *28742:CLK *28742:D 0.000371603 -6 *28742:CLK *29962:A 0 -7 *28742:CLK *3991:72 0 -8 *28742:CLK *4019:14 0.000187301 -9 *28742:CLK *4827:14 7.66543e-05 -10 *28742:CLK *4829:13 5.01117e-05 -11 *28742:CLK *5703:21 0.000217958 -12 *28742:CLK *5829:221 3.87671e-05 -13 *28742:CLK *5863:25 2.97829e-05 -14 *28756:CLK *28756:RESET_B 2.89114e-05 -15 *28756:CLK *3991:72 0 -16 *28756:CLK *4017:20 4.1331e-06 -17 *28756:CLK *4827:14 2.90068e-05 -18 *28756:CLK *4829:13 1.52978e-05 -19 *3610:7 *4829:13 9.71197e-05 -20 *30584:A *3610:7 6.42095e-05 -21 *3582:72 *28756:CLK 0.000310314 -*RES -1 *28911:X *3610:7 15.9786 -2 *3610:7 *28742:CLK 32.1393 -3 *3610:7 *28756:CLK 20.05 -*END - -*D_NET *3611 0.013853 -*CONN -*I *28173:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28207:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28172:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28206:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28766:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28205:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28171:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28204:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28912:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28173:CLK 0.000187235 -2 *28207:CLK 0.000490214 -3 *28172:CLK 0 -4 *28206:CLK 0.000385424 -5 *28766:CLK 0.000594075 -6 *28205:CLK 0 -7 *28171:CLK 0.000157764 -8 *28204:CLK 0.000687411 -9 *28912:X 0.00038947 -10 *3611:79 0.000911241 -11 *3611:72 0.000441416 -12 *3611:57 0.000969754 -13 *3611:14 0.00112597 -14 *3611:12 0.000415329 -15 *3611:10 0.00135961 -16 *3611:5 0.00139718 -17 *28173:CLK *28173:RESET_B 0 -18 *28173:CLK *5588:93 4.46186e-06 -19 *28173:CLK *5588:98 0.000157913 -20 *28204:CLK *25679:A0 7.68553e-05 -21 *28204:CLK *30361:A 0 -22 *28204:CLK *3783:150 0 -23 *28207:CLK *25683:A0 2.69359e-05 -24 *28207:CLK *28207:D 0.000107974 -25 *28766:CLK *27183:A1 0.000427374 -26 *28766:CLK *28766:D 0.000432026 -27 *28766:CLK *5776:64 0.00126787 -28 *3611:10 *25681:A0 0 -29 *3611:10 *3783:150 0 -30 *3611:12 *25681:A0 0 -31 *3611:12 *28205:D 0 -32 *3611:57 *25682:A0 0 -33 *3611:57 *3783:150 0.000125724 -34 *3611:57 *5588:98 0.000121606 -35 *3611:57 *5776:109 0.000334053 -36 *3611:57 *5776:115 0 -37 *3611:72 *5588:98 0.000167106 -38 *3611:72 *5776:115 0 -39 *3611:79 *28172:D 6.63383e-05 -40 *3611:79 *28173:RESET_B 0 -41 *3611:79 *30538:A 0.000148911 -42 *3611:79 *5588:98 0.000134838 -43 *3611:79 *5776:115 0 -44 *3611:79 *5776:124 0 -45 *29745:A *3611:10 2.16719e-05 -46 *29745:A *3611:12 1.76039e-05 -47 *29954:A *3611:10 4.79842e-05 -48 *30269:A *28766:CLK 0.000183726 -49 *30362:A *28204:CLK 0.000315165 -50 *30699:A *28204:CLK 5.56943e-05 -51 *3582:72 *3611:10 9.90431e-05 -*RES -1 *28912:X *3611:5 13.5321 -2 *3611:5 *3611:10 15.1071 -3 *3611:10 *3611:12 2.55357 -4 *3611:12 *3611:14 4.98214 -5 *3611:14 *28204:CLK 26.8893 -6 *3611:14 *28171:CLK 16.3893 -7 *3611:12 *28205:CLK 13.8 -8 *3611:10 *28766:CLK 33.925 -9 *3611:5 *3611:57 14.3393 -10 *3611:57 *28206:CLK 19.0143 -11 *3611:57 *3611:72 4.375 -12 *3611:72 *28172:CLK 13.8 -13 *3611:72 *3611:79 5.58929 -14 *3611:79 *28207:CLK 19.9964 -15 *3611:79 *28173:CLK 17.8714 -*END - -*D_NET *3612 0.018315 -*CONN -*I *28170:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28573:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28764:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28479:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28581:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28605:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28509:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28197:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28589:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28541:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28465:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28613:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28913:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28170:CLK 0.000406405 -2 *28573:CLK 0.000370305 -3 *28764:CLK 0.000259336 -4 *28479:CLK 0.000156967 -5 *28581:CLK 2.03441e-05 -6 *28605:CLK 3.28144e-05 -7 *28509:CLK 0.00018215 -8 *28197:CLK 0.000177178 -9 *28589:CLK 0.000215392 -10 *28541:CLK 3.82418e-05 -11 *28465:CLK 8.16427e-05 -12 *28613:CLK 0.000306716 -13 *28913:X 2.90092e-05 -14 *3612:94 0.00146391 -15 *3612:84 0.00111018 -16 *3612:49 0.00102669 -17 *3612:39 0.000750943 -18 *3612:35 0.000566224 -19 *3612:33 0.00046314 -20 *3612:22 0.000319151 -21 *3612:20 0.000302972 -22 *3612:19 0.000518366 -23 *3612:9 0.000676853 -24 *3612:6 0.000805552 -25 *28170:CLK *28170:D 0.000228558 -26 *28170:CLK *3783:10 0.000107343 -27 *28170:CLK *5586:84 9.25014e-06 -28 *28170:CLK *5680:106 0.000360669 -29 *28170:CLK *5681:10 0 -30 *28170:CLK *5696:26 0.000451148 -31 *28197:CLK *27022:A1 6.05161e-06 -32 *28197:CLK *28197:D 4.91708e-05 -33 *28197:CLK *28197:RESET_B 1.04232e-05 -34 *28465:CLK *28465:D 7.49251e-05 -35 *28465:CLK *4222:21 9.90916e-05 -36 *28479:CLK *25051:B2 4.85049e-06 -37 *28479:CLK *28479:D 3.69047e-06 -38 *28509:CLK *28509:D 6.62575e-05 -39 *28573:CLK *27004:A1 0.000386581 -40 *28573:CLK *28573:D 0.000279659 -41 *28573:CLK *28573:RESET_B 6.45693e-05 -42 *28573:CLK *3796:173 8.87787e-05 -43 *28573:CLK *3796:176 9.41642e-05 -44 *28573:CLK *3811:15 5.03772e-05 -45 *28573:CLK *5589:135 0.00026367 -46 *28581:CLK *5773:173 5.7661e-06 -47 *28589:CLK *25381:A2 2.33644e-05 -48 *28589:CLK *3757:13 6.80108e-05 -49 *28589:CLK *4399:14 0.000101209 -50 *28589:CLK *4511:19 9.8045e-05 -51 *28589:CLK *5680:106 0.000344039 -52 *28605:CLK *25727:A0 3.14163e-05 -53 *28605:CLK *4206:29 9.60939e-05 -54 *28613:CLK *28613:D 0.000116787 -55 *28613:CLK *28613:RESET_B 3.29169e-05 -56 *28764:CLK *28764:D 1.92789e-05 -57 *28764:CLK *4045:50 0 -58 *28764:CLK *5925:26 4.57535e-05 -59 *3612:6 *5586:84 7.83587e-05 -60 *3612:6 *5696:26 7.83587e-05 -61 *3612:9 *28613:D 0.000125453 -62 *3612:9 *4412:11 0.000218679 -63 *3612:20 *3796:173 5.10822e-05 -64 *3612:20 *5589:135 0.000286128 -65 *3612:20 *5911:64 0 -66 *3612:22 *5589:135 0.000217155 -67 *3612:22 *5911:64 0 -68 *3612:33 *4206:29 1.437e-05 -69 *3612:33 *5589:135 0.000219843 -70 *3612:33 *5911:64 0 -71 *3612:35 *5589:135 0.000535069 -72 *3612:35 *5911:64 0 -73 *3612:39 *5589:135 0.000148903 -74 *3612:39 *5911:64 0 -75 *3612:49 *28541:D 5.33005e-05 -76 *3612:49 *4147:20 0.000161473 -77 *3612:49 *5680:106 0.00029823 -78 *3612:84 *5773:173 4.58194e-05 -79 *3612:94 *28479:D 0.000168058 -80 *3612:94 *28479:RESET_B 6.26774e-05 -81 *3612:94 *5773:173 0.000301827 -82 *26883:A *3612:84 5.33005e-05 -83 *540:15 *28465:CLK 1.69175e-05 -84 *540:15 *28764:CLK 0.000100163 -85 *890:10 *28509:CLK 4.55132e-05 -86 *938:9 *28170:CLK 0.000228558 -87 *1288:194 *28465:CLK 0 -88 *1288:194 *28764:CLK 0 -89 *1288:194 *3612:20 2.24646e-05 -90 *1288:194 *3612:22 7.06313e-05 -91 *2759:147 *3612:49 0.000114658 -92 *2759:149 *28589:CLK 7.56527e-05 -93 *2759:149 *3612:49 1.82241e-05 -94 *2763:145 *28509:CLK 0.000132113 -95 *2763:145 *3612:39 3.25247e-05 -96 *2764:89 *28170:CLK 0.000121629 -97 *2767:142 *3612:33 3.47529e-05 -98 *2767:142 *3612:35 0.000168224 -99 *2774:244 *28197:CLK 3.18676e-05 -100 *2774:244 *3612:49 2.06178e-05 -101 *3378:8 *28764:CLK 0 -*RES -1 *28913:X *3612:6 14.8357 -2 *3612:6 *3612:9 9.14286 -3 *3612:9 *28613:CLK 13.4429 -4 *3612:9 *3612:19 4.5 -5 *3612:19 *3612:20 3.76786 -6 *3612:20 *3612:22 2.85714 -7 *3612:22 *28465:CLK 16.1036 -8 *3612:22 *3612:33 2.85714 -9 *3612:33 *3612:35 7.10714 -10 *3612:35 *3612:39 6.44643 -11 *3612:39 *28541:CLK 10.0321 -12 *3612:39 *3612:49 14.0357 -13 *3612:49 *28589:CLK 20.3 -14 *3612:49 *28197:CLK 16.8357 -15 *3612:35 *28509:CLK 17.6214 -16 *3612:33 *28605:CLK 14.7464 -17 *3612:20 *3612:84 5.44643 -18 *3612:84 *28581:CLK 9.72857 -19 *3612:84 *3612:94 12.875 -20 *3612:94 *28479:CLK 11.6393 -21 *3612:94 *28764:CLK 23.2286 -22 *3612:19 *28573:CLK 25.1929 -23 *3612:6 *28170:CLK 26.7286 -*END - -*D_NET *3613 0.0166566 -*CONN -*I *28557:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28493:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28459:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28567:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28597:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28583:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28673:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28495:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28914:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28557:CLK 0.000119063 -2 *28493:CLK 0 -3 *28459:CLK 0.000216099 -4 *28567:CLK 0.000441047 -5 *28597:CLK 0.000187033 -6 *28583:CLK 0.000860793 -7 *28673:CLK 0.000148545 -8 *28495:CLK 0.000720501 -9 *28914:X 0 -10 *3613:58 0.000810082 -11 *3613:56 0.000233669 -12 *3613:29 0.00117025 -13 *3613:20 0.000588022 -14 *3613:7 0.00168174 -15 *3613:5 0.000952535 -16 *3613:4 0.000346678 -17 *28459:CLK *25712:A1 0.000273658 -18 *28459:CLK *26988:A1 0.000260152 -19 *28459:CLK *5801:19 6.57032e-05 -20 *28495:CLK *30876:A 0.000373807 -21 *28495:CLK *4071:11 4.82947e-05 -22 *28495:CLK *5435:16 4.65158e-06 -23 *28495:CLK *5863:143 7.83385e-05 -24 *28557:CLK *25381:B2 0.000122935 -25 *28557:CLK *28557:D 3.43708e-05 -26 *28567:CLK *25712:S 0.000387347 -27 *28567:CLK *28567:D 6.61546e-05 -28 *28567:CLK *28567:RESET_B 0.000150563 -29 *28567:CLK *3707:13 0.000162607 -30 *28567:CLK *4214:20 0.000219451 -31 *28583:CLK *26923:A1 0.000125724 -32 *28583:CLK *26959:A1 9.73694e-05 -33 *28583:CLK *28509:D 4.15914e-05 -34 *28583:CLK *28583:D 7.32272e-05 -35 *28583:CLK *28583:RESET_B 5.54183e-05 -36 *28583:CLK *28673:D 5.41797e-06 -37 *28583:CLK *3733:15 4.38243e-05 -38 *28583:CLK *5669:317 0 -39 *28583:CLK *5847:12 1.87142e-05 -40 *28583:CLK *5911:71 0.000112448 -41 *28597:CLK *5795:221 0.000230304 -42 *28597:CLK *5873:257 5.33005e-05 -43 *28673:CLK *27013:A1 0.00019867 -44 *28673:CLK *28673:D 7.02611e-05 -45 *3613:5 *25712:A0 7.95355e-05 -46 *3613:5 *26866:A1 4.58194e-05 -47 *3613:7 *25712:A0 2.59355e-05 -48 *3613:7 *30876:A 0.000224084 -49 *3613:20 *28673:D 1.30747e-05 -50 *3613:20 *28673:RESET_B 0.000301209 -51 *3613:20 *5607:115 0.000120506 -52 *3613:20 *5773:23 0.000277378 -53 *3613:20 *5873:257 0.000439375 -54 *3613:20 *5911:71 5.91696e-05 -55 *3613:29 *27107:A1 4.33742e-05 -56 *3613:29 *28673:D 2.55512e-05 -57 *3613:29 *5873:257 0.000163301 -58 *3613:29 *5911:71 1.11048e-05 -59 *3613:56 *25712:A0 0.000185297 -60 *3613:56 *5589:135 2.58997e-05 -61 *3613:58 *25712:A0 0.000327413 -62 *3613:58 *3707:13 3.40456e-05 -63 *3613:58 *3718:157 6.79672e-06 -64 *30154:A *28583:CLK 0.000218554 -65 *791:11 *28557:CLK 0.000108213 -66 *792:24 *28583:CLK 6.9156e-05 -67 *792:24 *28597:CLK 0.000260797 -68 *890:10 *28583:CLK 7.83659e-05 -69 *900:15 *28567:CLK 0.000211727 -70 *1269:154 *28557:CLK 0.000178847 -71 *2764:94 *28567:CLK 0.000162607 -72 *2764:94 *3613:56 0.000241838 -73 *2764:94 *3613:58 0.00039968 -74 *2770:7 *28459:CLK 5.96516e-05 -75 *2772:14 *28583:CLK 0.000285664 -76 *2774:244 *28583:CLK 9.87983e-06 -77 *2775:82 *3613:20 3.25078e-05 -78 *3378:8 *28495:CLK 0 -79 *3582:146 *28673:CLK 7.99111e-05 -*RES -1 *28914:X *3613:4 9.3 -2 *3613:4 *3613:5 2.58929 -3 *3613:5 *3613:7 7.51786 -4 *3613:7 *28495:CLK 27.675 -5 *3613:7 *3613:20 13.7321 -6 *3613:20 *28673:CLK 17.6214 -7 *3613:20 *3613:29 2.70536 -8 *3613:29 *28583:CLK 31.7554 -9 *3613:29 *28597:CLK 18.8536 -10 *3613:5 *3613:56 7.66071 -11 *3613:56 *3613:58 5.28571 -12 *3613:58 *28567:CLK 25.6214 -13 *3613:58 *28459:CLK 19.2643 -14 *3613:56 *28493:CLK 13.8 -15 *3613:4 *28557:CLK 21.7107 -*END - -*D_NET *3614 0.00626927 -*CONN -*I *28679:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28607:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28127:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28527:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28915:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28679:CLK 0 -2 *28607:CLK 0.000424816 -3 *28127:CLK 7.55648e-05 -4 *28527:CLK 0.000238255 -5 *28915:X 0.000137823 -6 *3614:22 0.0007909 -7 *3614:19 0.00048415 -8 *3614:7 0.000569708 -9 *28127:CLK *4033:14 0.000164016 -10 *28527:CLK *28527:D 7.43578e-06 -11 *28527:CLK *5654:157 6.57032e-05 -12 *28527:CLK *5676:87 0.000420324 -13 *28607:CLK *28607:D 2.59355e-05 -14 *28607:CLK *28607:RESET_B 0.00013612 -15 *28607:CLK *3694:63 2.89114e-05 -16 *28607:CLK *3772:7 9.41642e-05 -17 *28607:CLK *4033:14 0.000210231 -18 *3614:7 *4069:32 0.000178425 -19 *3614:19 *5676:87 0.000485583 -20 *25055:A *3614:22 0.000139631 -21 *25055:B *3614:22 6.05161e-06 -22 *25055:D *3614:22 6.42095e-05 -23 *25631:S *28607:CLK 0.000252426 -24 *2792:85 *28527:CLK 0.000422038 -25 *2792:85 *3614:19 0.000477048 -26 *3582:134 *28127:CLK 0.000165731 -27 *3582:134 *28607:CLK 0.000204065 -*RES -1 *28915:X *3614:7 15.5679 -2 *3614:7 *28527:CLK 20.7464 -3 *3614:7 *3614:19 11 -4 *3614:19 *3614:22 9.14286 -5 *3614:22 *28127:CLK 16.05 -6 *3614:22 *28607:CLK 23.7643 -7 *3614:19 *28679:CLK 9.3 -*END - -*D_NET *3615 0.0188639 -*CONN -*I *28529:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28575:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28487:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28551:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28703:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28519:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28671:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28663:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28916:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28529:CLK 0.000887403 -2 *28575:CLK 0 -3 *28487:CLK 0 -4 *28551:CLK 0 -5 *28703:CLK 0.00112033 -6 *28519:CLK 0.000282264 -7 *28671:CLK 0.000388454 -8 *28663:CLK 0.00106856 -9 *28916:X 4.36033e-05 -10 *3615:80 0.00109244 -11 *3615:54 0.00168466 -12 *3615:52 0.00101061 -13 *3615:12 0.00183478 -14 *3615:10 0.000675487 -15 *3615:8 0.000545126 -16 *3615:7 0.000332028 -17 *28519:CLK *28487:RESET_B 0.000231421 -18 *28519:CLK *3954:8 0.000107938 -19 *28519:CLK *4110:16 6.5279e-05 -20 *28519:CLK *5637:19 6.63006e-05 -21 *28529:CLK *27786:A1 8.54704e-05 -22 *28529:CLK *27786:B2 1.65953e-05 -23 *28529:CLK *28511:D 0.000115358 -24 *28529:CLK *29384:A 0.000156092 -25 *28529:CLK *3720:19 4.04292e-05 -26 *28529:CLK *4320:16 0 -27 *28529:CLK *5639:165 0 -28 *28529:CLK *5873:31 0.000821487 -29 *28663:CLK *27096:A0 0.000126187 -30 *28663:CLK *28663:RESET_B 0.000347696 -31 *28663:CLK *3876:16 7.0127e-05 -32 *28663:CLK *5594:38 1.32091e-05 -33 *28663:CLK *5863:161 0 -34 *28663:CLK *5873:59 0.000267111 -35 *28663:CLK *5892:40 0.000170575 -36 *28671:CLK *27096:A0 3.01728e-05 -37 *28671:CLK *27096:A1 1.32056e-05 -38 *28671:CLK *28671:D 0.000157426 -39 *28703:CLK *28703:D 0.000441636 -40 *28703:CLK *28703:RESET_B 0.000198839 -41 *3615:8 *5873:31 0.000188967 -42 *3615:10 *5873:31 5.66157e-05 -43 *3615:12 *27096:A0 0.000247588 -44 *3615:12 *5683:299 0.000151156 -45 *3615:12 *5873:31 0.000445948 -46 *3615:12 *5873:59 0.00014576 -47 *3615:12 *5953:11 0.000143208 -48 *3615:52 *5637:19 3.09794e-05 -49 *3615:52 *5637:43 2.07394e-05 -50 *3615:54 *28551:RESET_B 0.000128809 -51 *3615:54 *3681:11 0.000177545 -52 *3615:54 *5637:43 0.000340608 -53 *3615:54 *5645:220 4.82947e-05 -54 *3615:80 *5873:31 0.000511648 -55 *27096:S *28671:CLK 0 -56 *27786:A2 *28663:CLK 6.1684e-05 -57 *27786:A2 *3615:12 6.67492e-05 -58 *28918:A *3615:7 5.33005e-05 -59 *29903:A *28529:CLK 2.50824e-05 -60 *794:23 *28529:CLK 1.90936e-05 -61 *882:10 *3615:12 0.000147121 -62 *2771:11 *28529:CLK 9.70752e-05 -63 *2771:11 *3615:8 0.000177004 -64 *2771:11 *3615:10 4.70792e-05 -65 *2771:11 *3615:12 0.000216376 -66 *2771:11 *3615:80 0.000493562 -67 *2792:96 *28703:CLK 0.000153047 -68 *2844:399 *28703:CLK 0.00015134 -69 *3580:102 *28703:CLK 9.20635e-06 -*RES -1 *28916:X *3615:7 14.3357 -2 *3615:7 *3615:8 2.55357 -3 *3615:8 *3615:10 0.732143 -4 *3615:10 *3615:12 11.6607 -5 *3615:12 *28663:CLK 29.4964 -6 *3615:12 *28671:CLK 19.8357 -7 *3615:10 *28519:CLK 19.8179 -8 *3615:8 *3615:52 9.875 -9 *3615:52 *3615:54 10.3929 -10 *3615:54 *28703:CLK 34.3893 -11 *3615:54 *28551:CLK 9.3 -12 *3615:52 *28487:CLK 9.3 -13 *3615:7 *3615:80 6.80357 -14 *3615:80 *28575:CLK 13.8 -15 *3615:80 *28529:CLK 29.7107 -*END - -*D_NET *3616 0.0202295 -*CONN -*I *28328:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28330:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28332:CLK I *D sky130_fd_sc_hd__dfstp_4 -*I *28239:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28144:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28149:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28148:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28238:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28279:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28133:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28237:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28281:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28143:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28917:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28328:CLK 0 -2 *28330:CLK 0.000141506 -3 *28332:CLK 0.00015965 -4 *28239:CLK 6.88347e-05 -5 *28144:CLK 2.46993e-05 -6 *28149:CLK 3.72107e-05 -7 *28148:CLK 0.000408981 -8 *28238:CLK 3.76009e-05 -9 *28279:CLK 0 -10 *28133:CLK 0.000371044 -11 *28237:CLK 0 -12 *28281:CLK 7.09846e-05 -13 *28143:CLK 5.31854e-05 -14 *28917:X 0 -15 *3616:122 0.000497481 -16 *3616:99 0.000646411 -17 *3616:88 0.000568146 -18 *3616:67 0.000854428 -19 *3616:59 0.000653562 -20 *3616:50 0.000247454 -21 *3616:24 0.00022815 -22 *3616:22 0.000764726 -23 *3616:20 0.00031015 -24 *3616:11 0.000416658 -25 *3616:7 0.000721508 -26 *3616:4 0.000697597 -27 *28133:CLK *28133:RESET_B 2.29097e-05 -28 *28143:CLK *28143:D 6.86693e-05 -29 *28144:CLK *5901:57 2.59355e-05 -30 *28148:CLK *25439:A1 0.000304476 -31 *28148:CLK *28144:D 9.41642e-05 -32 *28148:CLK *28148:D 7.61932e-05 -33 *28148:CLK *3935:16 1.07719e-05 -34 *28148:CLK *4161:10 1.34436e-05 -35 *28148:CLK *5865:29 0 -36 *28149:CLK *28144:D 0.000137983 -37 *28238:CLK *28238:D 0.000136958 -38 *28238:CLK *4159:56 0.000136958 -39 *28239:CLK *28239:D 6.86792e-05 -40 *28239:CLK *30277:A 9.0145e-05 -41 *28239:CLK *5742:332 1.39726e-05 -42 *28330:CLK *5699:41 0.000125246 -43 *28332:CLK *24871:A2 1.76039e-05 -44 *28332:CLK *25879:A0 9.25014e-06 -45 *28332:CLK *30277:A 9.46929e-05 -46 *28332:CLK *3710:18 9.29618e-05 -47 *28332:CLK *5742:332 5.91336e-05 -48 *3616:7 *3871:17 0.00022152 -49 *3616:11 *28143:RESET_B 0.000102386 -50 *3616:11 *28149:RESET_B 0.000103395 -51 *3616:67 *4161:10 4.29471e-05 -52 *3616:67 *5865:53 1.78394e-05 -53 *3616:99 *24871:A1 9.91086e-05 -54 *3616:99 *24921:A 9.96264e-05 -55 *3616:99 *5889:28 7.6644e-05 -56 *3616:122 *25657:A1 0.000120954 -57 *3616:122 *3871:17 6.57815e-05 -58 *3616:122 *3935:28 0.000107985 -59 *24870:B1 *28143:CLK 6.86693e-05 -60 *24870:B1 *3616:11 0.000362605 -61 *25430:B *3616:67 0 -62 *25439:A0 *28148:CLK 0.000504616 -63 *28165:SET_B *28148:CLK 0.00027587 -64 *30276:A *28148:CLK 1.98839e-05 -65 *495:15 *28133:CLK 0.000250865 -66 *1173:82 *28148:CLK 2.68527e-05 -67 *1195:6 *3616:11 6.03343e-05 -68 *1195:6 *3616:50 5.81709e-05 -69 *1195:6 *3616:59 8.05625e-05 -70 *1195:6 *3616:88 7.81463e-05 -71 *1195:6 *3616:99 0.000318194 -72 *1231:17 *28148:CLK 6.86792e-05 -73 *1231:17 *28149:CLK 6.57815e-05 -74 *1251:21 *3616:67 0.00114159 -75 *1287:25 *3616:11 1.21432e-05 -76 *1324:37 *3616:67 0.000960943 -77 *1427:143 *28330:CLK 0.000187839 -78 *1739:26 *28133:CLK 0.000365275 -79 *1827:36 *28281:CLK 0.000166674 -80 *1827:36 *3616:24 0.000181596 -81 *1827:54 *3616:20 0.000181793 -82 *1827:54 *3616:22 0.000538289 -83 *1827:54 *3616:24 0.000212429 -84 *1827:61 *28332:CLK 4.81011e-05 -85 *1827:61 *3616:11 0.000210812 -86 *1827:61 *3616:50 0.000139765 -87 *1827:61 *3616:59 0.000192207 -88 *1827:61 *3616:88 0.000173044 -89 *1827:61 *3616:99 0.000926778 -90 *1829:34 *3616:122 0.000210137 -91 *1868:49 *3616:122 4.33647e-05 -92 *3165:314 *28330:CLK 7.6662e-05 -93 *3196:143 *3616:122 5.52238e-05 -94 *3558:38 *28144:CLK 2.45626e-05 -95 *3570:79 *28281:CLK 0.000166674 -96 *3570:79 *3616:20 0.000192043 -97 *3570:79 *3616:22 0.000546912 -98 *3570:79 *3616:24 0.000397128 -*RES -1 *28917:X *3616:4 9.3 -2 *3616:4 *3616:7 8.73214 -3 *3616:7 *3616:11 12.6786 -4 *3616:11 *28143:CLK 10.6571 -5 *3616:11 *3616:20 7.05357 -6 *3616:20 *3616:22 7.41071 -7 *3616:22 *3616:24 5.28571 -8 *3616:24 *28281:CLK 16.05 -9 *3616:24 *28237:CLK 13.8 -10 *3616:22 *28133:CLK 22.1393 -11 *3616:20 *28279:CLK 13.8 -12 *3616:7 *3616:50 1.94643 -13 *3616:50 *28238:CLK 15.1571 -14 *3616:50 *3616:59 2.70536 -15 *3616:59 *3616:67 13.749 -16 *3616:67 *28148:CLK 29.4786 -17 *3616:67 *28149:CLK 10.6571 -18 *3616:59 *3616:88 2.40179 -19 *3616:88 *28144:CLK 14.3357 -20 *3616:88 *3616:99 16.7679 -21 *3616:99 *28239:CLK 11.0679 -22 *3616:99 *28332:CLK 22.6214 -23 *3616:4 *3616:122 16.5179 -24 *3616:122 *28330:CLK 21.8 -25 *3616:122 *28328:CLK 9.3 -*END - -*D_NET *3617 0.0237603 -*CONN -*I *28191:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28458:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28190:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28528:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28511:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28461:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28193:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28462:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28549:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28194:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28516:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28517:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28460:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28496:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28192:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28918:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28191:CLK 4.22799e-05 -2 *28458:CLK 0.000611896 -3 *28190:CLK 0 -4 *28528:CLK 0 -5 *28511:CLK 6.79897e-05 -6 *28461:CLK 8.34277e-05 -7 *28193:CLK 2.1635e-05 -8 *28462:CLK 8.09888e-05 -9 *28549:CLK 5.00685e-05 -10 *28194:CLK 0 -11 *28516:CLK 0.00035311 -12 *28517:CLK 0 -13 *28460:CLK 4.92602e-05 -14 *28496:CLK 0.000641419 -15 *28192:CLK 0.000104906 -16 *28918:X 0.000364473 -17 *3617:144 0.000675382 -18 *3617:130 0.000482281 -19 *3617:125 0.000578552 -20 *3617:121 0.000274578 -21 *3617:110 0.000406351 -22 *3617:97 0.000418469 -23 *3617:63 0.000503161 -24 *3617:56 0.000380101 -25 *3617:49 0.000738696 -26 *3617:18 0.000968235 -27 *3617:16 0.000366446 -28 *3617:14 0.000953943 -29 *3617:12 0.000538302 -30 *3617:7 0.000727267 -31 *28191:CLK *28191:D 4.58194e-05 -32 *28191:CLK *4124:43 4.58194e-05 -33 *28192:CLK *30873:A 0.000338359 -34 *28193:CLK *28193:D 2.74484e-05 -35 *28458:CLK *28190:D 0.000113849 -36 *28458:CLK *3718:157 9.16208e-05 -37 *28458:CLK *4744:15 7.89895e-05 -38 *28460:CLK *4217:15 8.42903e-06 -39 *28461:CLK *28461:D 7.02611e-05 -40 *28461:CLK *4218:18 0.000178847 -41 *28462:CLK *5954:15 5.56305e-05 -42 *28496:CLK *25717:A0 2.88188e-05 -43 *28496:CLK *28616:RESET_B 0.00016968 -44 *28511:CLK *3733:59 0.000171526 -45 *28516:CLK *28519:D 0.000406263 -46 *28516:CLK *6207:19 6.86693e-05 -47 *28549:CLK *28549:D 0.000136958 -48 *28549:CLK *3679:16 4.35421e-05 -49 *3617:7 *5702:160 0.000467849 -50 *3617:12 *30873:A 0.000169446 -51 *3617:12 *5766:105 9.99811e-05 -52 *3617:14 *30873:A 0.000840958 -53 *3617:14 *5766:93 0.000100823 -54 *3617:14 *5766:105 0.000256842 -55 *3617:14 *6001:17 4.50033e-05 -56 *3617:16 *26908:A1 7.83587e-05 -57 *3617:16 *30873:A 0.000257564 -58 *3617:18 *26908:A1 0.000267814 -59 *3617:18 *30873:A 0.000492085 -60 *3617:49 *26932:A1 4.04969e-05 -61 *3617:49 *4108:13 0.000317217 -62 *3617:56 *26932:A1 5.87889e-05 -63 *3617:56 *28517:D 0.00016587 -64 *3617:63 *6207:19 6.42095e-05 -65 *3617:97 *25720:S 7.6644e-05 -66 *3617:97 *28193:D 7.6644e-05 -67 *3617:97 *5911:115 6.95625e-05 -68 *3617:110 *5911:115 1.91317e-05 -69 *3617:121 *25718:A0 2.68654e-05 -70 *3617:121 *25718:A1 0.000142571 -71 *3617:125 *25718:A0 0.000197984 -72 *3617:125 *25718:A1 3.32442e-05 -73 *3617:130 *25718:A0 5.33005e-05 -74 *3617:130 *28528:D 6.45628e-05 -75 *3617:130 *4124:19 0.000166071 -76 *3617:130 *4215:14 0.000356208 -77 *3617:130 *6004:15 0.000129147 -78 *25719:S *28193:CLK 5.64097e-05 -79 *30118:A *28516:CLK 0.000493754 -80 *1260:184 *28516:CLK 0.000153231 -81 *1275:164 *28516:CLK 3.08858e-05 -82 *1853:77 *28458:CLK 6.74751e-05 -83 *1853:94 *28458:CLK 0.000211056 -84 *1853:94 *3617:144 5.59013e-05 -85 *1857:17 *3617:110 0.000121573 -86 *2759:149 *3617:12 0.000172896 -87 *2759:149 *3617:14 0.000227218 -88 *2759:149 *3617:16 9.65075e-05 -89 *2759:149 *3617:18 1.73191e-05 -90 *2759:149 *3617:97 0.000426424 -91 *2759:149 *3617:110 0.000426905 -92 *2759:149 *3617:121 9.65075e-05 -93 *2761:213 *28192:CLK 0.00033763 -94 *2761:213 *3617:18 0.000195202 -95 *2763:25 *28458:CLK 0.000288317 -96 *2763:29 *28458:CLK 5.27506e-05 -97 *2763:42 *3617:49 4.27934e-05 -98 *2763:42 *3617:56 9.32245e-05 -99 *2763:42 *3617:63 0.000197991 -100 *2764:108 *3617:56 0.000183215 -101 *2764:108 *3617:63 9.7478e-05 -102 *2764:120 *3617:56 4.38058e-05 -103 *2767:18 *3617:56 6.607e-06 -104 *2767:118 *28458:CLK 0.000154144 -105 *2768:220 *28516:CLK 6.57032e-05 -106 *2768:220 *3617:63 7.02611e-05 -107 *2769:30 *28458:CLK 3.77322e-05 -108 *2771:171 *28516:CLK 0.000240829 -109 *2772:152 *3617:110 0.000115987 -110 *2772:152 *3617:121 0.000262947 -111 *2772:160 *3617:12 2.37761e-05 -112 *2772:160 *3617:97 3.87755e-05 -113 *2772:160 *3617:110 2.00368e-05 -114 *2773:46 *3617:125 1.17968e-05 -115 *2773:46 *3617:144 5.29944e-05 -116 *2775:14 *28511:CLK 0.000113068 -117 *2775:18 *28511:CLK 2.96768e-05 -118 *2775:18 *28516:CLK 2.82119e-05 -119 *2776:207 *28458:CLK 0.00028096 -120 *2776:207 *3617:125 0.000148189 -121 *2776:207 *3617:144 0.000173097 -*RES -1 *28918:X *3617:7 18.4429 -2 *3617:7 *3617:12 4.42857 -3 *3617:12 *3617:14 11.0536 -4 *3617:14 *3617:16 3.46429 -5 *3617:16 *3617:18 6.5 -6 *3617:18 *28192:CLK 18.175 -7 *3617:18 *28496:CLK 21.2286 -8 *3617:16 *28460:CLK 14.7063 -9 *3617:14 *3617:49 16.3214 -10 *3617:49 *28517:CLK 13.8 -11 *3617:49 *3617:56 4.98214 -12 *3617:56 *3617:63 8.94643 -13 *3617:63 *28516:CLK 29.1929 -14 *3617:63 *28194:CLK 9.3 -15 *3617:56 *28549:CLK 15.1571 -16 *3617:12 *28462:CLK 15.5545 -17 *3617:7 *3617:97 5.58929 -18 *3617:97 *28193:CLK 14.367 -19 *3617:97 *3617:110 6.25 -20 *3617:110 *28461:CLK 15.9964 -21 *3617:110 *3617:121 4.375 -22 *3617:121 *3617:125 3.39286 -23 *3617:125 *3617:130 13.6786 -24 *3617:130 *28511:CLK 20.55 -25 *3617:130 *28528:CLK 9.3 -26 *3617:125 *3617:144 2.25 -27 *3617:144 *28190:CLK 13.8 -28 *3617:144 *28458:CLK 29.2193 -29 *3617:121 *28191:CLK 14.7464 -*END - -*D_NET *3618 0.0157462 -*CONN -*I *28553:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28616:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28577:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28609:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28497:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28513:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28545:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28569:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28481:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28919:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28553:CLK 0 -2 *28616:CLK 0.000319453 -3 *28577:CLK 0.000135186 -4 *28609:CLK 0 -5 *28497:CLK 0 -6 *28513:CLK 5.90167e-05 -7 *28545:CLK 0.00015327 -8 *28569:CLK 0 -9 *28481:CLK 0.000601014 -10 *28919:X 0.000388255 -11 *3618:84 0.000951228 -12 *3618:74 0.000707996 -13 *3618:69 0.00044696 -14 *3618:43 0.000257474 -15 *3618:39 0.000356432 -16 *3618:12 0.000737174 -17 *3618:8 0.000632667 -18 *3618:7 0.000809069 -19 *28481:CLK *28555:D 0 -20 *28481:CLK *4072:32 0.000664086 -21 *28545:CLK *4099:24 2.59355e-05 -22 *28577:CLK *24853:A 0.000153193 -23 *28577:CLK *28577:D 6.96806e-05 -24 *28616:CLK *28577:D 0.000355301 -25 *28616:CLK *5767:166 0.000193705 -26 *28616:CLK *5847:44 9.07311e-05 -27 *3618:8 *5676:172 0.000417891 -28 *3618:8 *5767:60 6.84922e-05 -29 *3618:12 *5767:60 9.69119e-06 -30 *3618:39 *26990:A1 0.000149337 -31 *3618:39 *28497:D 6.32914e-05 -32 *3618:39 *28497:RESET_B 0.000128809 -33 *3618:39 *4073:5 8.12055e-05 -34 *3618:39 *4073:22 0.0002361 -35 *3618:39 *5767:81 0.000140933 -36 *3618:43 *28497:D 2.12005e-05 -37 *3618:43 *4073:22 6.94952e-05 -38 *3618:69 *28553:D 5.53458e-05 -39 *3618:69 *3683:9 0.000270998 -40 *3618:69 *5767:125 0.000108642 -41 *3618:69 *5836:40 5.7139e-05 -42 *3618:74 *26972:A1 0.00010326 -43 *3618:74 *3683:9 0.000192679 -44 *3618:74 *5528:55 2.95485e-05 -45 *3618:74 *5601:97 2.16719e-05 -46 *3618:74 *5836:40 0.000221628 -47 *3618:84 *24853:A 0.000313982 -48 *3618:84 *3722:7 0.000175892 -49 *3618:84 *5528:55 1.12578e-05 -50 *24989:A2 *3618:74 1.94945e-05 -51 *25061:B1 *28616:CLK 0.000490024 -52 *26998:S *28616:CLK 1.17968e-05 -53 *28555:CLK *28481:CLK 4.53834e-05 -54 *30051:A *3618:74 0.000147159 -55 *30051:A *3618:84 0.000174682 -56 *1274:141 *28513:CLK 0.000170661 -57 *1274:141 *28545:CLK 0.000377573 -58 *1275:139 *28545:CLK 2.89016e-05 -59 *1371:51 *28513:CLK 0.000162125 -60 *1371:51 *28545:CLK 0.000380995 -61 *1371:51 *28616:CLK 0.000118315 -62 *1490:164 *3618:74 0.000102726 -63 *1490:164 *3618:84 9.62555e-05 -64 *2759:168 *28481:CLK 1.09232e-05 -65 *2759:168 *3618:8 0.000629811 -66 *2759:168 *3618:12 0.000195547 -67 *2759:168 *3618:69 0.000368096 -68 *2764:129 *3618:43 9.58181e-05 -69 *2770:27 *3618:39 0.000265447 -70 *2772:164 *28481:CLK 0.000199842 -71 *2772:164 *3618:12 0 -72 *2772:171 *28481:CLK 0.000374394 -73 *2775:44 *3618:74 0.000175519 -74 *2776:177 *28616:CLK 1.96885e-05 -75 *3381:13 *28616:CLK 2.86824e-05 -*RES -1 *28919:X *3618:7 17.6214 -2 *3618:7 *3618:8 8.01786 -3 *3618:8 *3618:12 3.08929 -4 *3618:12 *28481:CLK 34.0254 -5 *3618:12 *28569:CLK 13.8 -6 *3618:8 *3618:39 13.25 -7 *3618:39 *3618:43 5.85714 -8 *3618:43 *28545:CLK 19.3179 -9 *3618:43 *28513:CLK 16.05 -10 *3618:39 *28497:CLK 9.3 -11 *3618:7 *3618:69 12.1964 -12 *3618:69 *3618:74 11.6607 -13 *3618:74 *28609:CLK 13.8 -14 *3618:74 *3618:84 14.9821 -15 *3618:84 *28577:CLK 12.3 -16 *3618:84 *28616:CLK 27.8357 -17 *3618:69 *28553:CLK 9.3 -*END - -*D_NET *3619 0.0119789 -*CONN -*I *28521:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28689:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28615:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28471:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28600:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28920:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28521:CLK 0.000361732 -2 *28689:CLK 0.000500303 -3 *28615:CLK 0 -4 *28471:CLK 0 -5 *28600:CLK 0.000127164 -6 *28920:X 2.23854e-05 -7 *3619:14 0.000838762 -8 *3619:9 0.000946149 -9 *3619:7 0.0015072 -10 *3619:5 0.00115647 -11 *28600:CLK *5635:104 0.000381845 -12 *28600:CLK *5650:329 0.000381845 -13 *28689:CLK *3785:16 0.000360256 -14 *28689:CLK *3785:25 0.000962468 -15 *28689:CLK *3969:16 7.00549e-05 -16 *28689:CLK *3969:20 1.28259e-05 -17 *28689:CLK *5631:47 9.28e-05 -18 *28689:CLK *5683:184 0.00131806 -19 *3619:7 *28615:D 5.06983e-05 -20 *3619:7 *28615:RESET_B 0.000107003 -21 *3619:9 *28615:D 8.17663e-05 -22 *3619:14 *25066:A1 0.000370533 -23 *3619:14 *5635:104 0.00053103 -24 *3619:14 *5644:71 1.08359e-05 -25 *3619:14 *5650:329 0.000917474 -26 *2786:87 *3619:14 6.057e-07 -27 *2872:237 *3619:9 4.58194e-05 -28 *2872:237 *3619:14 0.000362299 -29 *3176:254 *28689:CLK 0.000106304 -30 *3580:47 *3619:7 1.92789e-05 -31 *3580:57 *28521:CLK 0.000161326 -32 *3580:57 *3619:7 0.000173588 -*RES -1 *28920:X *3619:5 9.72857 -2 *3619:5 *3619:7 12.0357 -3 *3619:7 *3619:9 3.82143 -4 *3619:9 *3619:14 23.5714 -5 *3619:14 *28600:CLK 18.7821 -6 *3619:14 *28471:CLK 13.8 -7 *3619:9 *28615:CLK 9.3 -8 *3619:7 *28689:CLK 37.8536 -9 *3619:5 *28521:CLK 14.7643 -*END - -*D_NET *3620 0.00532386 -*CONN -*I *28576:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28655:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28921:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28576:CLK 0.000479034 -2 *28655:CLK 0.00124285 -3 *28921:X 0 -4 *3620:4 0.00172188 -5 *28576:CLK *5676:110 6.05161e-06 -6 *28576:CLK *5767:178 9.41642e-05 -7 *28655:CLK *28655:D 6.57032e-05 -8 *28655:CLK *3839:39 0.000301438 -9 *28655:CLK *3863:21 9.60939e-05 -10 *28655:CLK *5627:205 7.27549e-05 -11 *1287:210 *28655:CLK 0.000328653 -12 *2792:96 *28576:CLK 0.000136875 -13 *2844:399 *28576:CLK 4.11218e-05 -14 *2866:296 *28576:CLK 3.98897e-05 -15 *3580:11 *28655:CLK 0.000517078 -16 *3580:42 *28655:CLK 0.000180271 -*RES -1 *28921:X *3620:4 9.3 -2 *3620:4 *28655:CLK 32.7464 -3 *3620:4 *28576:CLK 25.3 -*END - -*D_NET *3621 0.00406652 -*CONN -*I *28695:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28631:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28922:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28695:CLK 0.000171859 -2 *28631:CLK 0.000205955 -3 *28922:X 0.00046476 -4 *3621:7 0.000842574 -5 *28631:CLK *4147:46 0.00050898 -6 *28695:CLK *4147:46 0.000409791 -7 *27743:D *28631:CLK 0.000507259 -8 *27743:D *28695:CLK 0.000318303 -9 *1506:18 *28695:CLK 4.65944e-05 -10 *2882:303 *3621:7 0.00059044 -*RES -1 *28922:X *3621:7 19.675 -2 *3621:7 *28631:CLK 20.6036 -3 *3621:7 *28695:CLK 19.3893 -*END - -*D_NET *3622 0.00402814 -*CONN -*I *28661:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28653:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28923:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28661:CLK 0.000152596 -2 *28653:CLK 0.000192975 -3 *28923:X 0.000261148 -4 *3622:7 0.000606719 -5 *28653:CLK *3978:66 1.53262e-05 -6 *28653:CLK *5589:37 0.000441281 -7 *28661:CLK *3770:48 3.03663e-05 -8 *28661:CLK *4107:39 0.000250983 -9 *3622:7 *5649:137 9.41642e-05 -10 *27701:C1 *28653:CLK 6.53083e-05 -11 *27701:C1 *28661:CLK 0.000367468 -12 *28923:A *28653:CLK 0.00054118 -13 *28923:A *28661:CLK 3.34366e-05 -14 *1267:163 *3622:7 0.000596644 -15 *2853:251 *3622:7 0.000378543 -*RES -1 *28923:X *3622:7 21.3179 -2 *3622:7 *28653:CLK 20.9071 -3 *3622:7 *28661:CLK 18.7821 -*END - -*D_NET *3623 0.0186888 -*CONN -*I *28123:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28563:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28539:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28540:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28752:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28924:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28123:CLK 0 -2 *28563:CLK 0.000250001 -3 *28539:CLK 0.000615246 -4 *28540:CLK 0 -5 *28752:CLK 0.00156451 -6 *28924:X 0 -7 *3623:52 0.000505902 -8 *3623:33 0.000622836 -9 *3623:12 0.00247106 -10 *3623:4 0.00115486 -11 *28539:CLK *6654:DIODE 2.14757e-05 -12 *28539:CLK *28539:D 1.24368e-05 -13 *28539:CLK *3899:40 0.000263186 -14 *28539:CLK *3912:35 6.48314e-06 -15 *28539:CLK *4069:89 0.000546264 -16 *28539:CLK *5660:166 0.000385366 -17 *28563:CLK *3822:32 0.000556021 -18 *28752:CLK *27683:A1 2.35215e-05 -19 *28752:CLK *3664:57 0.000165754 -20 *28752:CLK *3677:38 0.000220443 -21 *28752:CLK *3678:76 0 -22 *28752:CLK *3739:131 0.000165754 -23 *28752:CLK *3820:98 0.000194325 -24 *28752:CLK *3912:35 2.01997e-05 -25 *28752:CLK *3912:58 0.0001722 -26 *28752:CLK *5582:20 0.000542655 -27 *28752:CLK *5582:56 0.000109844 -28 *28752:CLK *5645:104 4.32734e-05 -29 *28752:CLK *5764:156 0 -30 *28752:CLK *5892:74 2.09554e-05 -31 *28752:CLK *5892:81 5.56564e-05 -32 *3623:12 *3678:76 6.09762e-05 -33 *3623:12 *3820:98 2.79625e-05 -34 *3623:12 *3899:40 0.00018011 -35 *3623:12 *3912:35 4.53578e-05 -36 *3623:12 *3912:58 0.00010876 -37 *3623:12 *4133:133 0.000347187 -38 *3623:12 *5643:148 0.00191243 -39 *3623:33 *3899:40 1.01912e-05 -40 *3623:33 *3912:35 5.58875e-06 -41 *3623:52 *3822:32 0.000584187 -42 *3623:52 *5643:148 8.17274e-05 -43 *25182:B1 *28539:CLK 0.000306311 -44 *27351:B1 *28752:CLK 6.80387e-06 -45 *1242:88 *28752:CLK 6.05161e-06 -46 *1261:25 *28539:CLK 9.62476e-05 -47 *1261:41 *28752:CLK 1.07167e-05 -48 *1286:26 *28539:CLK 1.94945e-05 -49 *1286:26 *28752:CLK 0 -50 *1494:5 *3623:12 0.00136652 -51 *1494:5 *3623:52 9.41642e-05 -52 *1635:14 *28752:CLK 5.34121e-05 -53 *2788:22 *28563:CLK 0.000561095 -54 *2788:22 *3623:52 0.000576365 -55 *2794:141 *28752:CLK 0.000810429 -56 *2794:172 *28563:CLK 4.82947e-05 -57 *2874:212 *28563:CLK 3.04394e-05 -58 *2891:165 *28752:CLK 0.000462315 -59 *2913:8 *28752:CLK 1.94945e-05 -60 *2917:16 *3623:12 0.000123288 -61 *3233:26 *28752:CLK 2.26973e-05 -*RES -1 *28924:X *3623:4 9.3 -2 *3623:4 *3623:12 31.0268 -3 *3623:12 *28752:CLK 34.3037 -4 *3623:12 *3623:33 0.276786 -5 *3623:33 *28540:CLK 13.8 -6 *3623:33 *28539:CLK 29.55 -7 *3623:4 *3623:52 13.1607 -8 *3623:52 *28563:CLK 22.1571 -9 *3623:52 *28123:CLK 13.8 -*END - -*D_NET *3624 0.00424405 -*CONN -*I *28547:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28475:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28925:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28547:CLK 0.000191753 -2 *28475:CLK 0.0004765 -3 *28925:X 0.000468346 -4 *3624:8 0.0011366 -5 *28475:CLK *28475:D 5.33005e-05 -6 *28475:CLK *28475:SET_B 1.94945e-05 -7 *28475:CLK *5645:108 3.63814e-05 -8 *28547:CLK *3807:49 0.000175892 -9 *28925:A *3624:8 0.000502801 -10 *1266:104 *28547:CLK 0.000424029 -11 *1266:104 *3624:8 6.81895e-05 -12 *1270:41 *3624:8 9.25014e-06 -13 *1568:15 *28475:CLK 0.000333309 -14 *2871:305 *28475:CLK 9.60337e-06 -15 *2871:305 *3624:8 2.81881e-05 -16 *3242:6 *28475:CLK 0.000162573 -17 *3242:6 *3624:8 0.00014784 -*RES -1 *28925:X *3624:8 20.8 -2 *3624:8 *28475:CLK 22.7464 -3 *3624:8 *28547:CLK 18.0321 -*END - -*D_NET *3625 0.00434171 -*CONN -*I *28483:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28507:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28926:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28483:CLK 0.00020542 -2 *28507:CLK 0.000205464 -3 *28926:X 0.00057368 -4 *3625:7 0.000984564 -5 *28926:A *3625:7 0.000125355 -6 *282:34 *28483:CLK 0.000304708 -7 *282:34 *28507:CLK 0.000611374 -8 *2780:161 *3625:7 7.43258e-05 -9 *2879:83 *28483:CLK 0.000155518 -10 *3162:34 *28483:CLK 7.47029e-06 -11 *3540:8 *28483:CLK 0.000480745 -12 *3540:8 *28507:CLK 0.000613089 -*RES -1 *28926:X *3625:7 20.0857 -2 *3625:7 *28507:CLK 21.8179 -3 *3625:7 *28483:CLK 20.3 -*END - -*D_NET *3626 0.0180088 -*CONN -*I *28134:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28282:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28293:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28292:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28262:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28309:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28308:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28283:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28333:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28927:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28134:CLK 0 -2 *28282:CLK 0.000481403 -3 *28293:CLK 0.000207393 -4 *28292:CLK 0.000151953 -5 *28262:CLK 0.000506012 -6 *28309:CLK 0.000103823 -7 *28308:CLK 0.000349198 -8 *28283:CLK 0.000357191 -9 *28333:CLK 3.21639e-05 -10 *28927:X 0 -11 *3626:87 0.0010172 -12 *3626:70 0.000840347 -13 *3626:68 0.0013293 -14 *3626:35 0.00128066 -15 *3626:34 0.00158154 -16 *3626:21 0.000829715 -17 *3626:8 0.000533104 -18 *3626:4 0.000588807 -19 *28262:CLK *25822:B 7.62726e-05 -20 *28262:CLK *3710:15 2.14658e-05 -21 *28282:CLK *25451:A1 0.000204398 -22 *28282:CLK *25646:B 9.41642e-05 -23 *28282:CLK *28282:D 0.00017191 -24 *28282:CLK *4157:45 0.000144784 -25 *28282:CLK *5797:11 0.000144784 -26 *28282:CLK *5812:8 0.000242566 -27 *28283:CLK *29886:A 2.59355e-05 -28 *28292:CLK *5806:8 0.000381852 -29 *28293:CLK *29884:A 0.000333436 -30 *28293:CLK *5209:39 0.000249294 -31 *28308:CLK *28308:D 0.0001399 -32 *28308:CLK *29415:A 0.000116749 -33 *28309:CLK *5742:268 0.000115857 -34 *3626:8 *5719:98 0.000115334 -35 *3626:21 *29886:A 4.97178e-05 -36 *3626:21 *5719:98 0.000349362 -37 *3626:34 *25863:A1 2.05938e-05 -38 *3626:34 *29886:A 5.66157e-05 -39 *3626:34 *5719:98 0.000109659 -40 *3626:35 *28308:RESET_B 0.000164973 -41 *3626:35 *29415:A 7.39272e-05 -42 *3626:35 *3701:19 2.95726e-05 -43 *3626:68 *25450:A1 9.78828e-05 -44 *3626:68 *25450:A2 9.83051e-06 -45 *3626:68 *3858:19 0.000102075 -46 *3626:68 *4117:25 1.34195e-05 -47 *3626:68 *5666:55 1.94945e-05 -48 *3626:68 *5686:118 0 -49 *3626:70 *24903:B 0.000241198 -50 *3626:70 *25639:A0 3.92854e-05 -51 *3626:70 *30781:A 7.63945e-05 -52 *3626:70 *3711:21 0 -53 *3626:70 *3858:19 1.52978e-05 -54 *3626:70 *4117:25 1.65558e-05 -55 *3626:70 *5686:118 0 -56 *3626:70 *5778:49 0 -57 *3626:70 *5806:8 0.000140368 -58 *3626:70 *5807:8 4.57445e-05 -59 *3626:87 *25450:A1 0.000126827 -60 *3626:87 *25646:B 0.000342739 -61 *3626:87 *28134:D 9.20338e-05 -62 *3626:87 *3858:7 9.89622e-05 -63 *3626:87 *3858:19 0.000181796 -64 *3626:87 *4156:60 0.000256242 -65 *24961:B *3626:34 9.69403e-05 -66 *25822:A *28262:CLK 0.000338725 -67 *25822:A *3626:35 0.000263524 -68 *29887:A *3626:21 0 -69 *30147:A *28309:CLK 9.91086e-05 -70 *1195:6 *3626:21 0 -71 *1207:11 *3626:8 0.000129332 -72 *1207:11 *3626:68 4.37451e-05 -73 *1427:161 *28282:CLK 4.87854e-05 -74 *1427:161 *3626:87 4.43256e-05 -75 *1488:33 *28283:CLK 2.50047e-05 -76 *1757:23 *28282:CLK 0.000136958 -77 *1759:11 *28333:CLK 9.15186e-06 -78 *1759:11 *3626:8 0 -79 *1759:11 *3626:21 0 -80 *1829:26 *28292:CLK 0.00019323 -81 *1829:26 *3626:70 0.00032219 -82 *1862:36 *28282:CLK 0.000245867 -83 *1862:36 *28309:CLK 9.91086e-05 -84 *1875:15 *28333:CLK 0 -85 *1875:15 *3626:21 4.34472e-05 -86 *1875:15 *3626:34 0.000195156 -87 *1875:29 *3626:68 0.000135142 -*RES -1 *28927:X *3626:4 9.3 -2 *3626:4 *3626:8 8.58036 -3 *3626:8 *28333:CLK 14.6125 -4 *3626:8 *3626:21 5.0625 -5 *3626:21 *28283:CLK 17.9429 -6 *3626:21 *3626:34 11 -7 *3626:34 *3626:35 10.3929 -8 *3626:35 *28308:CLK 14.2643 -9 *3626:35 *28309:CLK 21.0143 -10 *3626:34 *28262:CLK 16.3179 -11 *3626:4 *3626:68 11.0357 -12 *3626:68 *3626:70 12.2679 -13 *3626:70 *28292:CLK 18.7821 -14 *3626:70 *28293:CLK 19.2643 -15 *3626:68 *3626:87 16.9464 -16 *3626:87 *28282:CLK 39.3536 -17 *3626:87 *28134:CLK 9.3 -*END - -*D_NET *3627 0.0219635 -*CONN -*I *28623:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28482:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28468:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28701:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28472:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28649:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28734:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28485:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28708:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28928:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28623:CLK 7.89919e-05 -2 *28482:CLK 0.00033512 -3 *28468:CLK 0.000580402 -4 *28701:CLK 0 -5 *28472:CLK 0.000172674 -6 *28649:CLK 0.000572715 -7 *28734:CLK 0 -8 *28485:CLK 0 -9 *28708:CLK 0.000180518 -10 *28928:X 0.000137579 -11 *3627:57 0.000508806 -12 *3627:52 0.00101004 -13 *3627:50 0.000721966 -14 *3627:33 0.000938247 -15 *3627:23 0.000424384 -16 *3627:12 0.00103752 -17 *3627:7 0.00136712 -18 *3627:5 0.0004922 -19 *28468:CLK *28468:D 0.000167133 -20 *28468:CLK *28468:RESET_B 4.27935e-05 -21 *28468:CLK *3665:11 5.52238e-05 -22 *28472:CLK *27139:A1 8.00806e-05 -23 *28472:CLK *4082:80 0.000121592 -24 *28482:CLK *4927:15 0.000356963 -25 *28649:CLK *3965:70 0.000346765 -26 *28649:CLK *3965:79 0.000182111 -27 *28649:CLK *5667:40 6.74751e-05 -28 *28708:CLK *28708:D 9.77069e-05 -29 *28708:CLK *5643:298 0.000217022 -30 *3627:33 *28485:D 2.89114e-05 -31 *3627:50 *25118:A1 2.07371e-05 -32 *3627:50 *25118:B2 0.00026695 -33 *3627:50 *26893:A1 6.13706e-05 -34 *3627:50 *3939:51 0.000649536 -35 *3627:50 *3946:18 6.28948e-05 -36 *3627:50 *3965:82 4.80941e-05 -37 *3627:52 *3965:82 7.12778e-05 -38 *3627:52 *3991:29 3.47641e-06 -39 *3627:57 *3965:82 0.00011866 -40 *3627:57 *3991:29 0.000763663 -41 *3627:57 *4186:26 0.000550174 -42 *3627:57 *5583:134 0.000135028 -43 *25118:B1 *3627:50 6.18255e-05 -44 *28875:A *28468:CLK 0.000229332 -45 *1225:33 *28623:CLK 0.000242913 -46 *1250:234 *3627:33 0.000177815 -47 *1262:181 *3627:12 1.27625e-05 -48 *1292:202 *28649:CLK 0.000182578 -49 *1490:26 *3627:12 0.000867208 -50 *1490:26 *3627:23 0.000197378 -51 *1864:141 *28468:CLK 0.000509886 -52 *2760:26 *3627:12 0.000504112 -53 *2760:26 *3627:23 0.000188128 -54 *2760:33 *28468:CLK 0.000262223 -55 *2760:33 *3627:50 9.25014e-06 -56 *2760:33 *3627:52 0.000142376 -57 *2780:6 *28649:CLK 0.000314105 -58 *2780:6 *3627:33 0.00072296 -59 *2787:128 *28623:CLK 0.000242913 -60 *2788:39 *3627:5 1.56e-05 -61 *2791:117 *3627:12 0.000341561 -62 *2860:209 *3627:33 0.000141163 -63 *2867:193 *28649:CLK 0.00108913 -64 *2867:193 *3627:33 0.00072296 -65 *2874:169 *3627:50 0.000324053 -66 *2892:132 *28472:CLK 0.000345768 -67 *3153:164 *28708:CLK 2.84109e-05 -68 *3174:188 *3627:52 2.70725e-06 -69 *3574:71 *3627:5 0.000122591 -70 *3574:71 *3627:7 0.00032691 -71 *3574:71 *3627:12 9.41642e-05 -72 *3574:75 *3627:12 0.000466816 -*RES -1 *28928:X *3627:5 11.0679 -2 *3627:5 *3627:7 3.41071 -3 *3627:7 *3627:12 21.625 -4 *3627:12 *28708:CLK 18.0321 -5 *3627:12 *3627:23 7.05357 -6 *3627:23 *28485:CLK 9.3 -7 *3627:23 *3627:33 16.2321 -8 *3627:33 *28734:CLK 13.8 -9 *3627:33 *28649:CLK 31.6036 -10 *3627:7 *3627:50 15.25 -11 *3627:50 *3627:52 2.25 -12 *3627:52 *3627:57 16 -13 *3627:57 *28472:CLK 22.9786 -14 *3627:57 *28701:CLK 9.3 -15 *3627:52 *28468:CLK 27.0679 -16 *3627:50 *28482:CLK 19.8179 -17 *3627:5 *28623:CLK 21.4607 -*END - -*D_NET *3628 0.0107139 -*CONN -*I *28640:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28696:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28721:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28722:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28473:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28929:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28640:CLK 0.000395514 -2 *28696:CLK 0 -3 *28721:CLK 0.000985558 -4 *28722:CLK 0.000547836 -5 *28473:CLK 0.000206867 -6 *28929:X 6.93199e-05 -7 *3628:14 0.000811379 -8 *3628:12 0.00112418 -9 *3628:10 0.000512054 -10 *3628:5 0.000894941 -11 *28473:CLK *24854:A 0.000197616 -12 *28473:CLK *3991:11 0.000107938 -13 *28640:CLK *27133:A0 0.000119662 -14 *28640:CLK *5678:18 0.000792603 -15 *28640:CLK *5757:134 7.4826e-05 -16 *28721:CLK *27163:A1 6.81459e-05 -17 *28721:CLK *28721:D 1.0546e-05 -18 *28721:CLK *28721:RESET_B 0.00017535 -19 *28721:CLK *3990:10 0.000309474 -20 *3628:10 *24854:A 0.000225694 -21 *3628:10 *5650:31 0.000233656 -22 *3628:12 *24854:A 0.0001861 -23 *3628:12 *3952:18 0 -24 *3628:12 *5650:31 0.000149488 -25 *3628:14 *24854:A 0.000136618 -26 *27162:S *28722:CLK 6.02142e-05 -27 *27163:S *28473:CLK 0.000120972 -28 *27163:S *3628:12 8.6229e-06 -29 *27163:S *3628:14 0.000145241 -30 *29712:A *28473:CLK 1.46717e-05 -31 *29712:A *28721:CLK 5.49544e-05 -32 *2786:112 *28473:CLK 0.000298483 -33 *2790:92 *28473:CLK 0.000121573 -34 *2998:30 *28640:CLK 0.00104409 -35 *3170:167 *3628:5 8.43386e-05 -36 *3170:167 *3628:10 0.00042539 -*RES -1 *28929:X *3628:5 10.2464 -2 *3628:5 *3628:10 11.8929 -3 *3628:10 *3628:12 2.55357 -4 *3628:12 *3628:14 1.94643 -5 *3628:14 *28473:CLK 19.9607 -6 *3628:14 *28722:CLK 19.9964 -7 *3628:12 *28721:CLK 30.6393 -8 *3628:10 *28696:CLK 13.8 -9 *3628:5 *28640:CLK 32.0857 -*END - -*D_NET *3629 0.0136566 -*CONN -*I *28489:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28712:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28488:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28930:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28489:CLK 0.000840642 -2 *28712:CLK 0.001316 -3 *28488:CLK 0.000556817 -4 *28930:X 0 -5 *3629:18 0.0022557 -6 *3629:4 0.000655873 -7 *28488:CLK *28488:D 5.56679e-05 -8 *28488:CLK *3721:68 0.000145239 -9 *28489:CLK *27458:A1 0.000240708 -10 *28489:CLK *3878:43 0.000236099 -11 *28489:CLK *3956:17 2.08792e-05 -12 *28489:CLK *5602:76 0.000515011 -13 *28489:CLK *5652:95 4.10843e-05 -14 *28712:CLK *28712:D 0.000337088 -15 *28712:CLK *5505:67 0.000314311 -16 *28712:CLK *5505:69 0.00239035 -17 *28712:CLK *5627:162 1.58163e-05 -18 *3629:18 *3878:43 0.000392997 -19 *3629:18 *5654:52 0.000101444 -20 *27450:B1 *28488:CLK 6.26276e-05 -21 *27473:B1 *28489:CLK 0.000502801 -22 *27809:C1 *28488:CLK 3.12046e-05 -23 *30417:A *28488:CLK 0.000127446 -24 *30428:A *28712:CLK 0.00034188 -25 *2792:108 *28489:CLK 0.000243627 -26 *2792:108 *3629:18 0.000392997 -27 *2859:331 *28489:CLK 0.000150625 -28 *2861:39 *28488:CLK 5.33005e-05 -29 *2861:42 *28488:CLK 5.58941e-05 -30 *2883:158 *28488:CLK 0.000139847 -31 *2883:163 *28488:CLK 0.000113604 -32 *2883:168 *28488:CLK 6.05161e-06 -33 *2889:162 *28488:CLK 0.000190995 -34 *3165:27 *28488:CLK 0.00073595 -35 *3177:61 *28489:CLK 7.60306e-05 -*RES -1 *28930:X *3629:4 9.3 -2 *3629:4 *28488:CLK 32.9071 -3 *3629:4 *3629:18 9.48214 -4 *3629:18 *28712:CLK 43.4964 -5 *3629:18 *28489:CLK 39.6571 -*END - -*D_NET *3630 0.0220502 -*CONN -*I *28552:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28544:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28568:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28601:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28561:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28480:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28560:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28512:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28931:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28552:CLK 0.000122194 -2 *28544:CLK 3.89418e-05 -3 *28568:CLK 0.000852808 -4 *28601:CLK 0 -5 *28561:CLK 0.000155071 -6 *28480:CLK 0 -7 *28560:CLK 9.33985e-05 -8 *28512:CLK 0 -9 *28931:X 0.000636239 -10 *3630:67 0.00107353 -11 *3630:55 0.000676494 -12 *3630:51 0.00107921 -13 *3630:48 0.000991281 -14 *3630:41 0.000284263 -15 *3630:25 0.0014463 -16 *3630:10 0.0016516 -17 *3630:7 0.000902893 -18 *28552:CLK *30379:A 0.000315023 -19 *28552:CLK *3682:9 1.58163e-05 -20 *28561:CLK *3696:5 9.60939e-05 -21 *28561:CLK *3889:62 1.19409e-05 -22 *28561:CLK *5639:98 0.000258289 -23 *28561:CLK *5656:261 9.15842e-06 -24 *28568:CLK *24994:A1 0.000177821 -25 *28568:CLK *25013:B2 9.41642e-05 -26 *28568:CLK *3708:11 0.000334714 -27 *28568:CLK *5529:52 0.000260574 -28 *28568:CLK *5656:261 0.000166983 -29 *3630:7 *28560:D 0.000257619 -30 *3630:10 *26980:A1 7.83587e-05 -31 *3630:10 *4124:102 3.86879e-05 -32 *3630:10 *4124:104 0.000267554 -33 *3630:25 *25012:A1 0.000334219 -34 *3630:25 *25012:A2 0.000158415 -35 *3630:25 *28512:D 1.39841e-05 -36 *3630:25 *28512:RESET_B 0.000136958 -37 *3630:25 *3812:24 0.00144126 -38 *3630:25 *4098:13 6.13757e-06 -39 *3630:25 *5602:53 0.000136895 -40 *3630:25 *5602:100 0.00022414 -41 *3630:41 *26980:A1 0.000157849 -42 *3630:41 *4124:102 0.000185433 -43 *3630:41 *4150:22 0.000259608 -44 *3630:48 *30379:A 0.000117039 -45 *3630:48 *4150:22 0.000342481 -46 *3630:51 *26890:A1 1.11479e-05 -47 *3630:51 *28544:D 5.21937e-05 -48 *3630:51 *28552:RESET_B 0.000207989 -49 *3630:55 *26890:A1 7.31195e-06 -50 *3630:67 *5639:104 0.000213761 -51 *3630:67 *5656:261 0.000885601 -52 *25012:B1 *3630:25 1.56869e-05 -53 *25013:B1 *28568:CLK 0.000102973 -54 *25016:B *3630:25 2.59355e-05 -55 *26964:A *3630:25 6.54117e-05 -56 *30398:A *3630:25 0.000128154 -57 *1274:172 *3630:25 7.77919e-05 -58 *2763:69 *3630:55 0.000125355 -59 *2772:177 *28552:CLK 0.000307579 -60 *2772:177 *3630:41 0.000175526 -61 *2772:177 *3630:48 0.000449269 -62 *2773:8 *28561:CLK 0.000295701 -63 *2773:8 *28568:CLK 0.000175519 -64 *2773:8 *3630:67 0.000894759 -65 *2776:139 *3630:25 0.00179126 -66 *3574:53 *3630:10 0.000139796 -*RES -1 *28931:X *3630:7 20.9071 -2 *3630:7 *3630:10 8.875 -3 *3630:10 *3630:25 45.2054 -4 *3630:25 *28512:CLK 9.3 -5 *3630:10 *28560:CLK 10.6571 -6 *3630:7 *3630:41 6.25 -7 *3630:41 *28480:CLK 13.8 -8 *3630:41 *3630:48 5.89286 -9 *3630:48 *3630:51 12.8393 -10 *3630:51 *3630:55 7.91071 -11 *3630:55 *28561:CLK 18.9429 -12 *3630:55 *3630:67 11.3571 -13 *3630:67 *28601:CLK 13.8 -14 *3630:67 *28568:CLK 29.4607 -15 *3630:51 *28544:CLK 10.0321 -16 *3630:48 *28552:CLK 18.4071 -*END - -*D_NET *3631 0.0110295 -*CONN -*I *28183:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28217:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28520:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28593:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28592:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28932:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28183:CLK 0.000130041 -2 *28217:CLK 0 -3 *28520:CLK 0.000309051 -4 *28593:CLK 0.000406283 -5 *28592:CLK 0.000162144 -6 *28932:X 0.000570752 -7 *3631:42 0.000508708 -8 *3631:12 0.00075068 -9 *3631:10 0.00115928 -10 *3631:5 0.0016174 -11 *28520:CLK *28520:D 8.55871e-05 -12 *28520:CLK *4111:11 1.03807e-05 -13 *28592:CLK *28592:D 7.20217e-06 -14 *28593:CLK *27016:A1 9.60939e-05 -15 *28593:CLK *5606:78 2.42516e-05 -16 *28593:CLK *5678:85 5.33005e-05 -17 *28593:CLK *5678:97 0.000135028 -18 *3631:10 *30865:A 0.000463861 -19 *3631:10 *3734:48 3.63775e-05 -20 *3631:10 *4124:116 3.69697e-05 -21 *3631:12 *4124:116 0.000251695 -22 *3631:42 *4111:38 5.4115e-05 -23 *25024:C1 *3631:42 0.000198698 -24 *25706:A1 *28183:CLK 0.000146392 -25 *25706:A1 *3631:42 0.000126258 -26 *26935:S *3631:10 1.39841e-05 -27 *27017:S *28593:CLK 5.96516e-05 -28 *28932:A *28183:CLK 0.000369151 -29 *28932:A *3631:5 2.51343e-06 -30 *28932:A *3631:10 1.40306e-05 -31 *28932:A *3631:42 0.00110396 -32 *29930:A *28183:CLK 0.000126416 -33 *30410:A *3631:12 4.31193e-05 -34 *1326:72 *28183:CLK 5.3771e-05 -35 *1326:72 *3631:42 0.000466101 -36 *1339:26 *28592:CLK 0.000223599 -37 *1339:26 *3631:12 0.000222021 -38 *2773:17 *28593:CLK 0.000105569 -39 *2776:117 *28592:CLK 0.000225314 -40 *2776:117 *3631:10 6.91157e-05 -41 *2776:117 *3631:12 0.000590667 -*RES -1 *28932:X *3631:5 15.175 -2 *3631:5 *3631:10 13.0536 -3 *3631:10 *3631:12 7.71429 -4 *3631:12 *28592:CLK 18.0143 -5 *3631:12 *28593:CLK 20.5143 -6 *3631:10 *28520:CLK 17.5321 -7 *3631:5 *3631:42 19.8036 -8 *3631:42 *28217:CLK 13.8 -9 *3631:42 *28183:CLK 18.7821 -*END - -*D_NET *3632 0.0101357 -*CONN -*I *28757:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28733:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28741:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28933:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28757:CLK 0.000915177 -2 *28733:CLK 0 -3 *28741:CLK 0.00102804 -4 *28933:X 0.00019394 -5 *3632:8 0.00114244 -6 *3632:7 0.00122352 -7 *28741:CLK *28741:D 0.000185608 -8 *28741:CLK *3682:50 0.000697746 -9 *28741:CLK *5663:27 9.94873e-05 -10 *28741:CLK *5675:67 1.05952e-05 -11 *28741:CLK *5679:46 0.000499454 -12 *28757:CLK *28757:D 1.40415e-05 -13 *28757:CLK *3682:48 6.11567e-05 -14 *28757:CLK *3682:50 0.000720975 -15 *28757:CLK *5639:90 0.000297353 -16 *28757:CLK *5645:72 0.00148904 -17 *28757:CLK *5678:71 3.39603e-05 -18 *3632:8 *3682:50 0.000324126 -19 *3632:8 *5645:72 7.58841e-05 -20 *3632:8 *5663:27 7.52134e-05 -21 *27456:C1 *28757:CLK 0.00034454 -22 *1304:39 *28741:CLK 0.000129259 -23 *2760:51 *28757:CLK 0 -24 *2760:69 *28757:CLK 1.89602e-05 -25 *2845:392 *3632:8 0.000112466 -26 *2855:298 *28741:CLK 0.000136958 -27 *2855:310 *28757:CLK 0.000135968 -28 *3164:249 *28757:CLK 0.000121549 -29 *3574:43 *3632:7 4.82497e-05 -*RES -1 *28933:X *3632:7 15.9786 -2 *3632:7 *3632:8 4.375 -3 *3632:8 *28741:CLK 32.2107 -4 *3632:8 *28733:CLK 13.8 -5 *3632:7 *28757:CLK 37.983 -*END - -*D_NET *3633 0.0216533 -*CONN -*I *28214:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28180:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28181:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28216:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28585:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28584:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28182:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28656:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28765:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28672:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28725:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28608:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28934:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28214:CLK 0 -2 *28180:CLK 3.27275e-05 -3 *28181:CLK 0.000268253 -4 *28216:CLK 0.000314285 -5 *28585:CLK 0.000449223 -6 *28584:CLK 4.22799e-05 -7 *28182:CLK 6.35394e-05 -8 *28656:CLK 5.78186e-05 -9 *28765:CLK 0.000687699 -10 *28672:CLK 0 -11 *28725:CLK 0.000915573 -12 *28608:CLK 0 -13 *28934:X 7.25446e-05 -14 *3633:113 0.000424288 -15 *3633:111 0.000222409 -16 *3633:86 0.000544074 -17 *3633:77 0.000290467 -18 *3633:73 0.000765667 -19 *3633:62 0.000460601 -20 *3633:33 0.00140809 -21 *3633:27 0.00149795 -22 *3633:13 0.000484455 -23 *3633:9 0.000757885 -24 *3633:5 0.000637054 -25 *28180:CLK *28214:D 2.59355e-05 -26 *28181:CLK *29598:A 0.00012501 -27 *28181:CLK *29960:A 1.92789e-05 -28 *28181:CLK *3865:17 4.19016e-05 -29 *28181:CLK *5468:25 0.000773015 -30 *28181:CLK *5675:119 0.000148273 -31 *28181:CLK *5981:19 2.59355e-05 -32 *28182:CLK *5687:221 2.79421e-05 -33 *28216:CLK *28216:RESET_B 0.000426985 -34 *28216:CLK *29450:A 8.17663e-05 -35 *28216:CLK *3734:23 0.000122591 -36 *28584:CLK *25704:A0 4.58194e-05 -37 *28584:CLK *29944:A 4.58194e-05 -38 *28585:CLK *24981:A1 0.000115467 -39 *28585:CLK *24981:B2 0.000196269 -40 *28585:CLK *28585:D 6.57032e-05 -41 *28585:CLK *3735:9 1.58163e-05 -42 *28585:CLK *3735:34 8.15095e-05 -43 *28585:CLK *4046:38 9.0145e-05 -44 *28656:CLK *5700:260 4.58194e-05 -45 *28725:CLK *27106:A0 0.000177596 -46 *28725:CLK *28725:D 0.000232864 -47 *28725:CLK *4007:6 0.000126416 -48 *28725:CLK *5592:25 2.51343e-06 -49 *28725:CLK *5602:52 0.000126416 -50 *28765:CLK *3889:66 6.81083e-05 -51 *28765:CLK *3981:42 7.6644e-05 -52 *3633:5 *30460:A 7.32272e-05 -53 *3633:9 *24980:A2 4.87953e-05 -54 *3633:9 *28608:D 5.33005e-05 -55 *3633:9 *30460:A 9.31011e-05 -56 *3633:13 *28608:D 2.84109e-05 -57 *3633:27 *24980:A1 1.21258e-05 -58 *3633:27 *24980:A2 7.02611e-05 -59 *3633:27 *24980:B2 2.13481e-06 -60 *3633:33 *28672:D 5.21937e-05 -61 *3633:33 *3889:66 0.000808688 -62 *3633:33 *3981:42 0.000806966 -63 *3633:62 *5602:53 0.000101738 -64 *3633:73 *28182:D 0.000328022 -65 *3633:77 *24981:A1 0.00020212 -66 *3633:77 *24981:B2 0.000198698 -67 *3633:77 *3734:23 0.000218679 -68 *3633:86 *24981:A1 0.000191877 -69 *3633:86 *24981:B2 0.000198705 -70 *3633:111 *4334:15 0.000295844 -71 *3633:111 *5468:25 2.21972e-05 -72 *3633:113 *5468:25 0.00038501 -73 *24980:B1 *3633:27 6.37237e-05 -74 *24981:C1 *3633:27 0.000138881 -75 *27089:S *28181:CLK 0.00010206 -76 *557:11 *28181:CLK 9.25014e-06 -77 *557:11 *3633:111 0.000332238 -78 *557:11 *3633:113 0.000370894 -79 *1339:26 *3633:13 0.00015134 -80 *1339:26 *3633:62 0.000433075 -81 *1339:26 *3633:73 0.000745522 -82 *1347:19 *28765:CLK 0.000274581 -83 *1347:19 *3633:9 0.000133399 -84 *2776:109 *3633:13 0.000153054 -85 *2776:109 *3633:62 0.000426247 -86 *2776:109 *3633:73 0.000379645 -87 *2776:117 *3633:73 1.17921e-05 -88 *3348:17 *28765:CLK 7.07155e-06 -*RES -1 *28934:X *3633:5 10.6571 -2 *3633:5 *3633:9 11.6071 -3 *3633:9 *3633:13 8.21429 -4 *3633:13 *28608:CLK 9.3 -5 *3633:13 *3633:27 9.71429 -6 *3633:27 *3633:33 18.375 -7 *3633:33 *28725:CLK 30.6571 -8 *3633:33 *28672:CLK 9.3 -9 *3633:27 *28765:CLK 22.675 -10 *3633:9 *3633:62 5.58929 -11 *3633:62 *28656:CLK 14.7464 -12 *3633:62 *3633:73 14.3393 -13 *3633:73 *3633:77 9.23214 -14 *3633:77 *28182:CLK 14.7464 -15 *3633:77 *3633:86 2.55357 -16 *3633:86 *28584:CLK 14.7464 -17 *3633:86 *28585:CLK 22.2286 -18 *3633:73 *28216:CLK 15.5857 -19 *3633:5 *3633:111 8.875 -20 *3633:111 *3633:113 4.98214 -21 *3633:113 *28181:CLK 24.175 -22 *3633:113 *28180:CLK 14.3357 -23 *3633:111 *28214:CLK 13.8 -*END - -*D_NET *3634 0.0136359 -*CONN -*I *28657:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28215:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28185:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28219:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28621:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28432:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28433:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28434:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28128:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28935:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28657:CLK 4.96837e-05 -2 *28215:CLK 0.000144278 -3 *28185:CLK 3.48127e-05 -4 *28219:CLK 0 -5 *28621:CLK 0 -6 *28432:CLK 0 -7 *28433:CLK 0 -8 *28434:CLK 0.000135252 -9 *28128:CLK 7.07808e-05 -10 *28935:X 0.000231747 -11 *3634:82 0.000750503 -12 *3634:70 0.000732497 -13 *3634:63 0.000294737 -14 *3634:38 0.000404819 -15 *3634:34 0.000403785 -16 *3634:25 0.000614405 -17 *3634:13 0.00121539 -18 *3634:5 0.00104976 -19 *28128:CLK *28128:RESET_B 6.33385e-05 -20 *28128:CLK *3981:7 8.85532e-05 -21 *28185:CLK *28219:D 4.87953e-05 -22 *28215:CLK *28215:D 2.59355e-05 -23 *28215:CLK *5592:24 0.000178786 -24 *28434:CLK *5531:15 9.93105e-05 -25 *3634:13 *28128:RESET_B 8.78895e-05 -26 *3634:13 *3981:7 0.000218544 -27 *3634:25 *28128:D 5.83233e-05 -28 *3634:25 *3796:14 2.44318e-05 -29 *3634:25 *5240:8 0.000571143 -30 *3634:25 *5714:5 1.92905e-05 -31 *3634:34 *28621:D 6.05161e-06 -32 *3634:34 *3796:14 0.000136951 -33 *3634:34 *5714:5 2.39999e-05 -34 *3634:38 *5752:77 4.58194e-05 -35 *3634:38 *5926:136 0.000146474 -36 *3634:63 *5675:132 0.000322453 -37 *3634:63 *5694:217 0 -38 *3634:70 *28219:D 3.97356e-05 -39 *3634:70 *5529:31 0.000113877 -40 *3634:70 *5675:123 0.00022117 -41 *3634:70 *5675:132 0.000165254 -42 *3634:70 *5694:217 0 -43 *3634:82 *27089:A1 0.000338351 -44 *3634:82 *28215:RESET_B 6.13491e-05 -45 *3634:82 *5529:31 0.000315262 -46 *3634:82 *5592:24 0.000165123 -47 *3634:82 *5675:119 0.000742967 -48 *3634:82 *5675:123 0.000148911 -49 *29792:A *3634:34 0.000171375 -50 *29792:A *3634:38 0.000126439 -51 *30320:A *28185:CLK 4.87953e-05 -52 *244:65 *28434:CLK 6.26559e-05 -53 *244:65 *3634:34 0.000171375 -54 *244:65 *3634:38 0.000164434 -55 *1307:23 *3634:25 0.000542371 -56 *1339:24 *28215:CLK 5.91336e-05 -57 *1490:82 *28657:CLK 0.000175519 -58 *1490:82 *3634:13 0.000151333 -59 *1826:93 *28434:CLK 9.47456e-05 -60 *1826:93 *3634:25 0.000322185 -61 *1826:93 *3634:38 0.00022753 -62 *2776:10 *3634:13 0.000153047 -63 *3572:81 *28434:CLK 0.000268403 -64 *3572:84 *3634:13 5.52302e-05 -65 *3572:95 *28657:CLK 0.000175519 -66 *3572:95 *3634:13 5.52238e-05 -*RES -1 *28935:X *3634:5 11.8893 -2 *3634:5 *3634:13 19.8214 -3 *3634:13 *28128:CLK 11.0679 -4 *3634:13 *3634:25 24.5357 -5 *3634:25 *3634:34 13.0357 -6 *3634:34 *3634:38 12.8571 -7 *3634:38 *28434:CLK 18.6393 -8 *3634:38 *28433:CLK 13.8 -9 *3634:34 *28432:CLK 9.3 -10 *3634:25 *28621:CLK 9.3 -11 *3634:5 *3634:63 8.57143 -12 *3634:63 *28219:CLK 13.8 -13 *3634:63 *3634:70 4.98214 -14 *3634:70 *28185:CLK 14.7464 -15 *3634:70 *3634:82 20.4821 -16 *3634:82 *28215:CLK 12.7107 -17 *3634:82 *28657:CLK 20.55 -*END - -*D_NET *3635 0.0203592 -*CONN -*I *28431:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28724:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28726:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28624:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28625:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28664:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28705:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28681:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28129:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28665:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28680:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28936:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28431:CLK 0.000548118 -2 *28724:CLK 0 -3 *28726:CLK 0.000284269 -4 *28624:CLK 0.000200357 -5 *28625:CLK 8.16577e-05 -6 *28664:CLK 0 -7 *28705:CLK 0.00062689 -8 *28681:CLK 5.43703e-05 -9 *28129:CLK 0.00021233 -10 *28665:CLK 0.000319547 -11 *28680:CLK 7.50527e-05 -12 *28936:X 0 -13 *3635:92 0.000568569 -14 *3635:81 0.000673144 -15 *3635:68 0.000540756 -16 *3635:33 0.000615143 -17 *3635:31 0.000597408 -18 *3635:12 0.000608973 -19 *3635:10 0.000764585 -20 *3635:8 0.000213747 -21 *3635:7 0.00128909 -22 *3635:4 0.00141639 -23 *28129:CLK *28129:RESET_B 0.000336426 -24 *28129:CLK *30969:A 0.000194942 -25 *28129:CLK *5426:19 5.33005e-05 -26 *28129:CLK *5752:35 0.000395597 -27 *28431:CLK *5492:10 0.000128146 -28 *28431:CLK *5531:15 0.000733491 -29 *28624:CLK *3826:26 4.87854e-05 -30 *28624:CLK *3878:42 0.000112428 -31 *28624:CLK *3878:43 0.000157596 -32 *28624:CLK *5585:115 0.000124861 -33 *28624:CLK *5678:176 0.00019009 -34 *28624:CLK *5970:43 2.52223e-05 -35 *28625:CLK *28625:D 3.08382e-06 -36 *28625:CLK *5530:32 4.87854e-05 -37 *28665:CLK *28665:D 5.75517e-05 -38 *28665:CLK *6380:112 1.00375e-05 -39 *28681:CLK *3878:22 4.58194e-05 -40 *28705:CLK *27097:A1 0.000145239 -41 *28705:CLK *28705:D 0.000252495 -42 *28705:CLK *28705:RESET_B 2.51837e-05 -43 *28705:CLK *5667:230 1.33343e-05 -44 *28726:CLK *27052:A1 6.05161e-06 -45 *28726:CLK *28624:RESET_B 0.000147715 -46 *28726:CLK *3796:14 0.000135391 -47 *28726:CLK *3877:23 0.000168526 -48 *28726:CLK *5714:5 0.000121099 -49 *28726:CLK *5752:66 3.17148e-05 -50 *3635:7 *5531:15 0.00124951 -51 *3635:8 *3878:24 0.000329101 -52 *3635:8 *4907:10 9.52535e-05 -53 *3635:8 *4907:17 0 -54 *3635:10 *3878:24 0.000164058 -55 *3635:10 *4907:10 8.78085e-05 -56 *3635:12 *3878:24 0.000169451 -57 *3635:12 *4907:10 7.8657e-05 -58 *3635:31 *24973:A1 0.000113359 -59 *3635:31 *3878:22 0.00071381 -60 *3635:31 *3878:24 2.84892e-05 -61 *3635:31 *4907:10 0.000751351 -62 *3635:33 *24973:A1 4.27842e-06 -63 *3635:33 *30969:A 2.45832e-05 -64 *3635:33 *6380:112 2.93853e-05 -65 *3635:68 *3878:24 0.000466394 -66 *3635:68 *4907:17 0 -67 *3635:81 *24991:A1 0 -68 *3635:81 *3878:24 0.000160967 -69 *3635:81 *3878:42 0.000194705 -70 *3635:81 *4907:17 0 -71 *3635:92 *3800:13 0.000454388 -72 *3635:92 *3826:26 8.25897e-05 -73 *3635:92 *5752:69 5.33005e-05 -74 *24991:A2 *3635:81 5.59013e-05 -75 *30674:A *28129:CLK 0.000177821 -76 *30674:A *28665:CLK 0.000203034 -77 *19:25 *28665:CLK 7.46461e-05 -78 *26:17 *28665:CLK 0.000318092 -79 *26:17 *3635:31 5.5764e-05 -80 *26:17 *3635:33 0.0001672 -81 *1300:8 *28624:CLK 3.34639e-05 -82 *1317:13 *3635:81 4.71941e-05 -83 *1342:14 *28431:CLK 0.000128146 -84 *1346:7 *28726:CLK 4.87953e-05 -85 *1366:13 *28680:CLK 1.33343e-05 -86 *2782:183 *28680:CLK 3.2738e-05 -87 *3020:15 *28705:CLK 0.000348347 -*RES -1 *28936:X *3635:4 9.3 -2 *3635:4 *3635:7 16.5357 -3 *3635:7 *3635:8 4.375 -4 *3635:8 *3635:10 2.25 -5 *3635:10 *3635:12 2.25 -6 *3635:12 *28680:CLK 15.175 -7 *3635:12 *3635:31 22.6964 -8 *3635:31 *3635:33 2.25 -9 *3635:33 *28665:CLK 21.2107 -10 *3635:33 *28129:CLK 21.7286 -11 *3635:31 *28681:CLK 14.7464 -12 *3635:10 *28705:CLK 26.2464 -13 *3635:8 *28664:CLK 13.8 -14 *3635:7 *3635:68 6.19643 -15 *3635:68 *28625:CLK 15.175 -16 *3635:68 *3635:81 9.17857 -17 *3635:81 *28624:CLK 23.925 -18 *3635:81 *3635:92 6.28571 -19 *3635:92 *28726:CLK 25.1929 -20 *3635:92 *28724:CLK 9.3 -21 *3635:4 *28431:CLK 27.05 -*END - -*D_NET *3636 0.0172829 -*CONN -*I *28704:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28617:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28633:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28632:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28647:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28648:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28937:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28704:CLK 0.000207482 -2 *28617:CLK 0.00106507 -3 *28633:CLK 0 -4 *28632:CLK 1.16062e-05 -5 *28647:CLK 0 -6 *28648:CLK 0.000630645 -7 *28937:X 0.000402516 -8 *3636:41 0.00119876 -9 *3636:16 0.00156974 -10 *3636:14 0.00102864 -11 *3636:13 0.00062695 -12 *3636:8 0.00102531 -13 *28617:CLK *28617:RESET_B 0.000137515 -14 *28617:CLK *28633:D 2.87452e-05 -15 *28617:CLK *5680:257 0.000226852 -16 *28617:CLK *5751:199 0 -17 *28617:CLK *5970:43 8.55871e-05 -18 *28617:CLK *6310:8 0 -19 *28617:CLK *6310:26 9.969e-05 -20 *28632:CLK *28632:D 5.52302e-05 -21 *28648:CLK *27222:A 0.000116371 -22 *28648:CLK *27225:A1 9.8961e-05 -23 *28648:CLK *27273:A1_N 4.98947e-05 -24 *28648:CLK *28648:RESET_B 2.14658e-05 -25 *28648:CLK *5753:28 0.000227532 -26 *28648:CLK *6105:17 0.000407891 -27 *28704:CLK *27062:A1 5.52302e-05 -28 *28704:CLK *27252:A 2.20608e-05 -29 *28704:CLK *5530:32 0.000516721 -30 *28704:CLK *5675:284 0.000120708 -31 *3636:8 *27252:A 0.000124067 -32 *3636:13 *5530:32 0.000555481 -33 *3636:14 *27256:A1 1.85844e-05 -34 *3636:16 *27256:A1 6.49159e-05 -35 *3636:16 *27273:A1_N 6.89325e-05 -36 *3636:16 *28793:D 0.000144556 -37 *3636:16 *5680:257 0 -38 *3636:16 *6330:17 2.18262e-05 -39 *3636:16 *6385:122 0.000127325 -40 *3636:16 *6385:139 5.41794e-05 -41 *3636:41 *28779:RESET_B 8.74903e-05 -42 *27061:S *28704:CLK 4.23378e-05 -43 *27061:S *3636:8 0.000259301 -44 *27223:A *28648:CLK 0.000221296 -45 *27223:A *3636:16 1.1594e-05 -46 *27223:C *28648:CLK 0.000375052 -47 *27256:A2 *3636:16 5.25862e-06 -48 *27256:B1 *3636:14 0.000129876 -49 *27256:B1 *3636:16 0.000120708 -50 *27267:A *28704:CLK 5.49544e-05 -51 *27268:B1 *28617:CLK 0.000123295 -52 *27273:B1 *3636:16 0.000143766 -53 *27462:S *3636:16 1.57821e-05 -54 *28782:D *28617:CLK 9.60939e-05 -55 *30523:A *28632:CLK 5.52302e-05 -56 *14:24 *28648:CLK 0.000266473 -57 *1264:92 *3636:16 0 -58 *1796:25 *3636:16 1.61382e-05 -59 *2782:170 *3636:16 0 -60 *2784:26 *3636:16 0.0002257 -61 *2802:16 *3636:16 0.000493895 -62 *2803:20 *28648:CLK 0.000117902 -63 *2811:15 *3636:16 0.000129822 -64 *2824:14 *28617:CLK 0.000553187 -65 *2827:16 *3636:14 9.54536e-05 -66 *2827:16 *3636:41 0.000258495 -67 *2832:15 *28617:CLK 0.000112606 -68 *2849:15 *28617:CLK 2.59355e-05 -69 *3019:8 *28617:CLK 0.00163215 -70 *3572:72 *3636:8 0.000396047 -*RES -1 *28937:X *3636:8 21.0857 -2 *3636:8 *3636:13 14.4643 -3 *3636:13 *3636:14 1.94643 -4 *3636:14 *3636:16 19.25 -5 *3636:16 *28648:CLK 28.6571 -6 *3636:16 *28647:CLK 13.8 -7 *3636:14 *28632:CLK 14.3357 -8 *3636:13 *3636:41 7.96429 -9 *3636:41 *28633:CLK 9.3 -10 *3636:41 *28617:CLK 45.1571 -11 *3636:8 *28704:CLK 19.5857 -*END - -*D_NET *3637 0.0275873 -*CONN -*I *28314:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28313:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28295:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28297:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28261:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28298:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28312:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28718:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28311:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28310:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28715:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28138:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28139:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28258:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28334:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28260:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28938:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28314:CLK 0.000351397 -2 *28313:CLK 0 -3 *28295:CLK 0 -4 *28297:CLK 0.00058553 -5 *28261:CLK 0 -6 *28298:CLK 7.30568e-05 -7 *28312:CLK 0.000486466 -8 *28718:CLK 8.00317e-05 -9 *28311:CLK 0.000121569 -10 *28310:CLK 0 -11 *28715:CLK 0 -12 *28138:CLK 0 -13 *28139:CLK 0.00031542 -14 *28258:CLK 0 -15 *28334:CLK 0.000149329 -16 *28260:CLK 0.000158012 -17 *28938:X 0.000109175 -18 *3637:134 0.000836711 -19 *3637:125 0.000395364 -20 *3637:116 0.000798381 -21 *3637:111 0.000378245 -22 *3637:96 0.000592028 -23 *3637:93 0.000374541 -24 *3637:59 0.000873551 -25 *3637:40 0.000527696 -26 *3637:29 0.00119111 -27 *3637:26 0.0013411 -28 *3637:22 0.000400871 -29 *3637:17 0.00059081 -30 *3637:10 0.000551826 -31 *3637:8 0.00026144 -32 *3637:7 0.000609934 -33 *28139:CLK *3688:35 0.000165966 -34 *28139:CLK *5728:205 0 -35 *28260:CLK *25876:B 8.39541e-05 -36 *28260:CLK *3830:36 3.43988e-06 -37 *28260:CLK *4487:24 0.000102053 -38 *28260:CLK *5686:38 0.00020522 -39 *28297:CLK *28262:RESET_B 0.000333551 -40 *28297:CLK *3830:36 0.000563077 -41 *28297:CLK *3871:73 0.000111411 -42 *28297:CLK *4105:20 0.000228197 -43 *28298:CLK *27924:A1 0.000265453 -44 *28298:CLK *4117:32 4.51611e-05 -45 *28311:CLK *25865:A0 8.40933e-05 -46 *28312:CLK *25869:A1 0.000148303 -47 *28312:CLK *28295:D 0.00022266 -48 *28312:CLK *5686:136 0.000403351 -49 *28314:CLK *25869:A0 0.000384667 -50 *28314:CLK *25869:A1 5.52238e-05 -51 *28314:CLK *28314:RESET_B 3.66245e-05 -52 *28314:CLK *29002:A 0.000265634 -53 *28314:CLK *4142:26 0.000101761 -54 *28314:CLK *5666:36 2.16719e-05 -55 *28314:CLK *5712:10 0.000182861 -56 *28334:CLK *4065:10 0.000191644 -57 *28334:CLK *5077:11 7.43578e-06 -58 *28334:CLK *5623:13 6.86792e-05 -59 *28718:CLK *5545:30 8.57058e-05 -60 *3637:7 *3936:35 1.98839e-05 -61 *3637:8 *5712:10 0.000317208 -62 *3637:10 *5712:10 0.000324243 -63 *3637:17 *3896:56 1.94945e-05 -64 *3637:17 *4066:33 0.000188798 -65 *3637:17 *4142:26 2.84659e-05 -66 *3637:17 *5007:34 9.18917e-05 -67 *3637:17 *5712:10 9.25014e-06 -68 *3637:17 *5742:332 0.00011163 -69 *3637:22 *3697:33 0.000547265 -70 *3637:22 *4066:33 2.42516e-05 -71 *3637:22 *4103:40 0.000101665 -72 *3637:22 *5742:332 4.58194e-05 -73 *3637:26 *3697:33 0.000230562 -74 *3637:26 *4103:40 0.000105024 -75 *3637:29 *25876:B 0.000343526 -76 *3637:29 *25881:A0 5.33005e-05 -77 *3637:29 *27155:A1 4.38942e-05 -78 *3637:29 *5838:31 7.19774e-05 -79 *3637:40 *25881:A1 5.41794e-05 -80 *3637:40 *28258:RESET_B 4.46186e-06 -81 *3637:40 *3830:36 0.000124458 -82 *3637:40 *4487:24 3.17148e-05 -83 *3637:40 *5077:11 6.45628e-05 -84 *3637:40 *5623:13 0.000146821 -85 *3637:40 *5686:38 2.31791e-05 -86 *3637:40 *5686:61 1.82809e-05 -87 *3637:59 *27155:A0 0.000512894 -88 *3637:59 *27155:A1 4.30357e-05 -89 *3637:59 *3688:35 3.71247e-05 -90 *3637:59 *3984:33 1.33116e-05 -91 *3637:59 *4142:26 7.69776e-06 -92 *3637:96 *24895:A 0.000473753 -93 *3637:96 *25868:A1 0.000322531 -94 *3637:96 *28293:RESET_B 1.68581e-05 -95 *3637:96 *28313:RESET_B 0.000342302 -96 *3637:96 *29884:A 3.14178e-05 -97 *3637:96 *4144:35 8.43535e-06 -98 *3637:96 *5693:70 4.58194e-05 -99 *3637:111 *24895:B 0.000104587 -100 *3637:111 *5545:30 8.04144e-05 -101 *3637:111 *5693:56 2.03842e-05 -102 *3637:116 *24895:B 0.00010992 -103 *3637:116 *25838:A0 0.00014734 -104 *3637:116 *3830:36 0.000599202 -105 *3637:116 *5693:37 0.000245134 -106 *3637:116 *5693:56 6.57032e-05 -107 *3637:125 *3830:36 0.00019384 -108 *3637:125 *5693:37 9.97648e-05 -109 *3637:134 *3830:36 0.000730571 -110 *3637:134 *3871:73 7.4826e-05 -111 *3637:134 *5693:32 0.00037972 -112 *3637:134 *5693:37 0.000103457 -113 *25865:S *28311:CLK 0.000178847 -114 *25869:S *28314:CLK 0.000135028 -115 *27924:A2 *28298:CLK 0.000135028 -116 *30167:A *28139:CLK 0.000140707 -117 *30167:A *3637:59 2.31791e-05 -118 *30253:A *3637:22 0.000348418 -119 *30255:A *28314:CLK 0.000134862 -120 *400:14 *28311:CLK 4.02038e-05 -121 *1247:12 *3637:40 3.82242e-05 -122 *1872:58 *28718:CLK 0.000138686 -123 *1872:58 *3637:111 0.000159301 -124 *1877:66 *28718:CLK 9.10306e-06 -125 *1886:18 *28311:CLK 0.000137561 -126 *1888:27 *28260:CLK 2.47666e-05 -127 *1888:58 *3637:40 0.00032925 -128 *2874:101 *28314:CLK 3.34366e-05 -129 *2874:101 *3637:8 0.000324736 -130 *2874:101 *3637:10 0.000335208 -131 *2874:101 *3637:17 0.000154317 -132 *2874:102 *3637:7 9.58181e-05 -133 *3196:145 *28334:CLK 0.000193359 -*RES -1 *28938:X *3637:7 15.1571 -2 *3637:7 *3637:8 4.375 -3 *3637:8 *3637:10 4.375 -4 *3637:10 *3637:17 11.6071 -5 *3637:17 *3637:22 12.8571 -6 *3637:22 *3637:26 7.66071 -7 *3637:26 *3637:29 12.4286 -8 *3637:29 *28260:CLK 17.8714 -9 *3637:29 *3637:40 13.3929 -10 *3637:40 *28334:CLK 22.2107 -11 *3637:40 *28258:CLK 9.3 -12 *3637:26 *3637:59 12.4286 -13 *3637:59 *28139:CLK 19.3179 -14 *3637:59 *28138:CLK 13.8 -15 *3637:22 *28715:CLK 13.8 -16 *3637:17 *28310:CLK 9.3 -17 *3637:10 *28311:CLK 17.2286 -18 *3637:8 *3637:93 4.5 -19 *3637:93 *3637:96 14.0714 -20 *3637:96 *28718:CLK 16.2241 -21 *3637:96 *3637:111 8.125 -22 *3637:111 *3637:116 13.5714 -23 *3637:116 *28312:CLK 24.1929 -24 *3637:116 *3637:125 2.55357 -25 *3637:125 *28298:CLK 16.3893 -26 *3637:125 *3637:134 9.53571 -27 *3637:134 *28261:CLK 13.8 -28 *3637:134 *28297:CLK 28.3179 -29 *3637:111 *28295:CLK 9.3 -30 *3637:93 *28313:CLK 9.3 -31 *3637:7 *28314:CLK 24.0679 -*END - -*D_NET *3638 0.0285817 -*CONN -*I *28437:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28442:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28662:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28105:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28104:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28644:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28438:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28441:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28622:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28627:CLK I *D sky130_fd_sc_hd__dfstp_4 -*I *28439:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28440:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28659:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28674:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28393:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28939:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28437:CLK 0 -2 *28442:CLK 2.74228e-05 -3 *28662:CLK 2.37855e-05 -4 *28105:CLK 0 -5 *28104:CLK 9.94741e-05 -6 *28644:CLK 0 -7 *28438:CLK 0.000133721 -8 *28441:CLK 3.90727e-05 -9 *28622:CLK 0.000307319 -10 *28627:CLK 0 -11 *28439:CLK 3.65151e-05 -12 *28440:CLK 0.000273206 -13 *28659:CLK 0 -14 *28674:CLK 0.000345815 -15 *28393:CLK 0.000208273 -16 *28939:X 0 -17 *3638:122 0.000364568 -18 *3638:117 0.00119708 -19 *3638:105 0.00136268 -20 *3638:100 0.000702406 -21 *3638:95 0.000706944 -22 *3638:64 0.00062678 -23 *3638:60 0.00062674 -24 *3638:49 0.000601348 -25 *3638:17 0.000985762 -26 *3638:15 0.0014405 -27 *3638:11 0.00151589 -28 *3638:7 0.000623511 -29 *3638:4 0.000713183 -30 *28104:CLK *29838:A 1.94879e-05 -31 *28104:CLK *30946:A 0.000168303 -32 *28104:CLK *30947:A 0 -33 *28104:CLK *5516:45 2.86824e-05 -34 *28104:CLK *5517:10 1.65271e-05 -35 *28104:CLK *5521:22 1.94945e-05 -36 *28393:CLK *28393:D 0.000141828 -37 *28438:CLK *5485:121 0.00050218 -38 *28439:CLK *27484:S 5.52302e-05 -39 *28439:CLK *4796:30 1.98839e-05 -40 *28440:CLK *5746:23 0 -41 *28441:CLK *5005:14 5.52302e-05 -42 *28441:CLK *6319:19 2.59355e-05 -43 *28622:CLK *28622:RESET_B 5.7248e-05 -44 *28622:CLK *3820:18 9.91086e-05 -45 *28622:CLK *5448:21 0.000403099 -46 *28622:CLK *5970:51 0.000223112 -47 *28622:CLK *6317:73 0.000156851 -48 *28674:CLK *5745:61 8.94822e-05 -49 *28674:CLK *6332:29 5.33005e-05 -50 *3638:7 *5485:121 0.000435839 -51 *3638:11 *4952:13 2.03618e-05 -52 *3638:11 *5485:121 0.000218679 -53 *3638:11 *5746:31 5.20298e-05 -54 *3638:15 *28659:D 0.000189863 -55 *3638:15 *28659:SET_B 1.34754e-05 -56 *3638:17 *28393:D 0.000449268 -57 *3638:17 *28393:RESET_B 0.000131765 -58 *3638:17 *28659:SET_B 2.89016e-05 -59 *3638:17 *3987:8 5.33005e-05 -60 *3638:49 *3847:25 6.60584e-05 -61 *3638:49 *4952:13 0.000131079 -62 *3638:49 *5718:77 0.000195653 -63 *3638:49 *5746:31 4.5147e-05 -64 *3638:60 *27484:S 0.000185939 -65 *3638:60 *3847:25 5.18401e-05 -66 *3638:64 *5005:14 0.00102774 -67 *3638:64 *5448:21 0.000192269 -68 *3638:64 *5687:166 0 -69 *3638:95 *5757:31 0.000865444 -70 *3638:95 *5757:176 4.5541e-05 -71 *3638:100 *28442:RESET_B 9.71197e-05 -72 *3638:100 *4740:33 1.90936e-05 -73 *3638:100 *5502:41 3.73468e-05 -74 *3638:100 *5757:176 0.000309936 -75 *3638:105 *28442:RESET_B 0.000141942 -76 *3638:105 *29029:A 0.000186379 -77 *3638:105 *29111:A 0 -78 *3638:105 *5502:41 4.35367e-05 -79 *3638:117 *28662:D 0.000636542 -80 *3638:117 *28662:RESET_B 3.57315e-05 -81 *3638:117 *29111:A 0 -82 *3638:122 *26825:A0 2.79421e-05 -83 *3638:122 *28662:RESET_B 3.48705e-05 -84 *3638:122 *30946:A 0.00016298 -85 *3638:122 *4881:17 0.000235164 -86 *3638:122 *5517:10 4.76179e-05 -87 *3638:122 *5745:177 5.74499e-06 -88 *27594:A1 *3638:49 9.02021e-05 -89 *28799:CLK *28440:CLK 4.206e-05 -90 *28939:A *3638:15 3.18676e-05 -91 *30679:A *3638:105 0.000142732 -92 *30679:A *3638:117 0.000147332 -93 *30685:A *3638:105 0.000623411 -94 *30686:A *28440:CLK 9.84673e-05 -95 *30686:A *3638:95 0.000549205 -96 *30686:A *3638:100 0.000165402 -97 *282:15 *28674:CLK 0.000525473 -98 *761:17 *3638:122 0.000605185 -99 *977:15 *3638:95 0.000173373 -100 *1240:130 *28440:CLK 2.12647e-05 -101 *1244:23 *28674:CLK 5.33005e-05 -102 *1245:72 *28440:CLK 6.09762e-05 -103 *1245:72 *3638:60 0 -104 *1245:76 *28440:CLK 0.000269956 -105 *1264:83 *28438:CLK 0.00043289 -106 *1264:83 *3638:7 0.000435839 -107 *1264:83 *3638:11 1.39841e-05 -108 *1264:83 *3638:15 0.000139068 -109 *1278:29 *28441:CLK 1.02821e-05 -110 *1826:61 *28439:CLK 4.58976e-05 -111 *1900:30 *28674:CLK 0 -112 *2780:94 *3638:105 0.000870011 -113 *2782:27 *28438:CLK 2.42516e-05 -114 *2782:27 *3638:15 3.61629e-06 -115 *2784:26 *3638:49 4.30603e-05 -116 *2784:30 *28439:CLK 9.71197e-05 -117 *2798:60 *28441:CLK 8.46829e-05 -118 *2798:60 *3638:60 9.87983e-06 -119 *2798:60 *3638:64 0.00102967 -120 *2839:71 *28622:CLK 7.67702e-05 -121 *2839:71 *3638:64 4.78552e-05 -122 *3412:25 *28440:CLK 1.24368e-05 -123 *3412:41 *28440:CLK 6.3629e-05 -124 *3572:55 *28441:CLK 2.14658e-05 -*RES -1 *28939:X *3638:4 9.3 -2 *3638:4 *3638:7 8.73214 -3 *3638:7 *3638:11 7.82143 -4 *3638:11 *3638:15 12.6607 -5 *3638:15 *3638:17 9.57143 -6 *3638:17 *28393:CLK 13.1214 -7 *3638:17 *28674:CLK 25.9429 -8 *3638:15 *28659:CLK 9.3 -9 *3638:11 *28440:CLK 24.0321 -10 *3638:7 *3638:49 7.41071 -11 *3638:49 *28439:CLK 15.1571 -12 *3638:49 *3638:60 9.48214 -13 *3638:60 *3638:64 17.0357 -14 *3638:64 *28627:CLK 13.8 -15 *3638:64 *28622:CLK 23.3179 -16 *3638:60 *28441:CLK 10.6571 -17 *3638:4 *28438:CLK 14.3536 -18 *3638:4 *3638:95 17.7143 -19 *3638:95 *3638:100 9.82143 -20 *3638:100 *3638:105 18.4821 -21 *3638:105 *28644:CLK 13.8 -22 *3638:105 *3638:117 17.6786 -23 *3638:117 *3638:122 13.0357 -24 *3638:122 *28104:CLK 16.05 -25 *3638:122 *28105:CLK 13.8 -26 *3638:117 *28662:CLK 9.72857 -27 *3638:100 *28442:CLK 9.72857 -28 *3638:95 *28437:CLK 13.8 -*END - -*D_NET *3639 0.0190283 -*CONN -*I *28626:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28391:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28122:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28103:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28428:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28429:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28112:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28443:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28940:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28626:CLK 0.000904428 -2 *28391:CLK 0 -3 *28122:CLK 0 -4 *28103:CLK 0 -5 *28428:CLK 1.40568e-05 -6 *28429:CLK 0.000187304 -7 *28112:CLK 0.000630063 -8 *28443:CLK 6.60296e-05 -9 *28940:X 0.000275536 -10 *3639:83 0.00137581 -11 *3639:74 0.000678552 -12 *3639:50 0.000430822 -13 *3639:33 0.00121615 -14 *3639:30 0.000954588 -15 *3639:17 0.00107679 -16 *3639:8 0.0008955 -17 *28112:CLK *28111:SET_B 0.000149721 -18 *28112:CLK *29028:A 6.42095e-05 -19 *28112:CLK *29038:A 9.90367e-05 -20 *28112:CLK *30943:A 0 -21 *28112:CLK *5504:33 5.62995e-05 -22 *28112:CLK *5680:308 3.80079e-05 -23 *28112:CLK *5745:16 0.000252119 -24 *28112:CLK *6071:10 6.19181e-05 -25 *28428:CLK *5521:22 2.89016e-05 -26 *28429:CLK *29029:A 9.60875e-05 -27 *28429:CLK *5502:10 0 -28 *28429:CLK *5523:68 5.7836e-06 -29 *28626:CLK *25231:A 0.000348207 -30 *28626:CLK *25958:A1 9.77185e-05 -31 *28626:CLK *28626:SET_B 2.51837e-05 -32 *28626:CLK *3816:7 2.44318e-05 -33 *28626:CLK *3844:25 6.05161e-06 -34 *28626:CLK *4873:22 0.000245186 -35 *28626:CLK *5505:42 0.000168444 -36 *28626:CLK *5749:32 8.0729e-05 -37 *28626:CLK *5749:47 4.13595e-05 -38 *3639:8 *26825:A0 0.000354013 -39 *3639:17 *25590:B 4.22135e-06 -40 *3639:17 *28443:D 5.90722e-06 -41 *3639:30 *25260:A1 0.000405052 -42 *3639:30 *5505:41 5.33072e-05 -43 *3639:33 *5680:278 2.97829e-05 -44 *3639:33 *5680:282 0.000325916 -45 *3639:33 *5680:308 8.86413e-05 -46 *3639:50 *28112:SET_B 1.28366e-05 -47 *3639:50 *3875:35 4.23642e-05 -48 *3639:50 *5502:10 0 -49 *3639:50 *5511:23 0 -50 *3639:74 *26825:A0 0.000203163 -51 *3639:74 *5505:42 0 -52 *3639:74 *6320:29 3.20153e-05 -53 *3639:83 *5505:42 0 -54 *3639:83 *5602:13 0.000123594 -55 pll_trim[22] *28429:CLK 0.000189121 -56 pll_trim[22] *3639:50 0.000349557 -57 *25164:C1 *28626:CLK 9.12695e-05 -58 *25260:B1 *3639:17 0.000170952 -59 *25260:B1 *3639:30 3.04885e-05 -60 *25261:C1 *3639:8 0.000563309 -61 *29817:A *28626:CLK 0.000148189 -62 *29817:A *3639:83 2.78729e-05 -63 *244:28 *28428:CLK 5.52238e-05 -64 *282:21 *28626:CLK 0 -65 *1244:23 *3639:17 0.00038907 -66 *1254:116 *3639:17 0.000160482 -67 *1257:120 *28626:CLK 0.000347174 -68 *1271:16 *28626:CLK 5.05082e-05 -69 *1273:246 *3639:17 2.22891e-05 -70 *1277:53 *28626:CLK 0.000422886 -71 *1500:11 *28626:CLK 9.90431e-05 -72 *1900:17 *28626:CLK 0.000376583 -73 *1900:26 *28626:CLK 2.74584e-05 -74 *1900:26 *3639:83 0.000184809 -75 *1900:30 *3639:8 0.000352298 -76 *1900:30 *3639:74 0.000450934 -77 *1900:30 *3639:83 0.000565237 -78 *2780:90 *3639:30 0.000804099 -79 *3568:110 *28443:CLK 9.71197e-05 -80 *3568:110 *3639:17 0.000171289 -81 *3569:86 *3639:8 0.000605198 -*RES -1 *28940:X *3639:8 24.3536 -2 *3639:8 *3639:17 21.3393 -3 *3639:17 *28443:CLK 10.675 -4 *3639:17 *3639:30 23.0893 -5 *3639:30 *3639:33 6.60714 -6 *3639:33 *28112:CLK 27.9071 -7 *3639:33 *3639:50 9.17857 -8 *3639:50 *28429:CLK 17.5321 -9 *3639:50 *28428:CLK 14.3357 -10 *3639:30 *28103:CLK 9.3 -11 *3639:8 *3639:74 5.89286 -12 *3639:74 *28122:CLK 13.8 -13 *3639:74 *3639:83 14.3393 -14 *3639:83 *28391:CLK 9.3 -15 *3639:83 *28626:CLK 42.4429 -*END - -*D_NET *3640 0.0135194 -*CONN -*I *28739:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28723:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28341:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28344:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28618:CLK I *D sky130_fd_sc_hd__dfstp_4 -*I *28619:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28941:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28739:CLK 0.000244825 -2 *28723:CLK 0 -3 *28341:CLK 0.000198509 -4 *28344:CLK 0.000627641 -5 *28618:CLK 2.38696e-05 -6 *28619:CLK 0.000299222 -7 *28941:X 0 -8 *3640:29 0.00101443 -9 *3640:28 0.000255022 -10 *3640:8 0.000772572 -11 *3640:7 0.00168441 -12 *3640:4 0.00141301 -13 *28341:CLK *5442:27 5.33005e-05 -14 *28341:CLK *5442:51 0.000134174 -15 *28344:CLK *25311:A1 0.000753165 -16 *28344:CLK *28344:D 0.00038 -17 *28344:CLK *28344:SET_B 7.64254e-05 -18 *28344:CLK *3997:19 0.000143488 -19 *28344:CLK *4053:12 0.000286522 -20 *28618:CLK *6463:DIODE 5.52238e-05 -21 *28618:CLK *25164:A1 9.71197e-05 -22 *28618:CLK *5745:146 2.14757e-05 -23 *28619:CLK *5581:39 0.000241492 -24 *28619:CLK *5713:146 0.000136958 -25 *28619:CLK *6225:92 0.000726757 -26 *3640:29 *3997:19 0.000286624 -27 *25289:A2 *28344:CLK 0.000111931 -28 *25289:B1 *28344:CLK 0.000132889 -29 *28941:A *28739:CLK 0.00021324 -30 *28941:A *3640:7 0.00014562 -31 *1250:74 *3640:8 5.9684e-05 -32 *1250:74 *3640:28 5.32046e-05 -33 *1250:79 *28619:CLK 9.92896e-05 -34 *1250:79 *3640:8 0.000412158 -35 *1250:163 *3640:7 9.20581e-06 -36 *1277:53 *28341:CLK 0.00039867 -37 *1294:128 *28619:CLK 0.000198494 -38 *1294:128 *3640:8 0.000743008 -39 *1294:147 *3640:8 0.000218254 -40 *1294:147 *3640:28 0.000110543 -41 *1581:11 *28341:CLK 9.41642e-05 -42 *1592:20 *28341:CLK 0.000131898 -43 *1607:7 *28344:CLK 5.33005e-05 -44 *2892:17 *28341:CLK 1.98839e-05 -45 *3158:13 *28739:CLK 0.000107938 -46 *3212:21 *28344:CLK 8.8047e-05 -47 *3212:21 *3640:29 0.000191734 -*RES -1 *28941:X *3640:4 9.3 -2 *3640:4 *3640:7 17.3571 -3 *3640:7 *3640:8 12.875 -4 *3640:8 *28619:CLK 23.4607 -5 *3640:8 *28618:CLK 14.7464 -6 *3640:7 *3640:28 6.14286 -7 *3640:28 *3640:29 5.46429 -8 *3640:29 *28344:CLK 25.9964 -9 *3640:29 *28341:CLK 24.5321 -10 *3640:28 *28723:CLK 9.3 -11 *3640:4 *28739:CLK 14.2643 -*END - -*D_NET *3641 0.013485 -*CONN -*I *28650:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28698:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28430:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28343:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28340:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28342:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28942:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28650:CLK 0.000308237 -2 *28698:CLK 0 -3 *28430:CLK 0 -4 *28343:CLK 0.000228132 -5 *28340:CLK 0.000678063 -6 *28342:CLK 0.000213388 -7 *28942:X 0.000304969 -8 *3641:61 0.000700872 -9 *3641:28 0.00150834 -10 *3641:18 0.000774588 -11 *3641:8 0.000579412 -12 *3641:7 0.000891189 -13 *28340:CLK *25374:B2 0.000383029 -14 *28340:CLK *28340:D 0.000396491 -15 *28340:CLK *5711:193 0.000105712 -16 *28340:CLK *5861:69 3.24434e-05 -17 *28342:CLK *27905:A1 3.47641e-06 -18 *28342:CLK *30121:A 9.80968e-05 -19 *28343:CLK *3989:20 0.000464471 -20 *28650:CLK *25411:A1 0.000143536 -21 *28650:CLK *4052:20 0.000166649 -22 *3641:8 *28430:D 6.36092e-05 -23 *3641:8 *30121:A 0.00011062 -24 *3641:8 *4052:20 0.000212175 -25 *3641:18 *5585:70 9.78543e-05 -26 *3641:28 *25269:A1 0 -27 *3641:28 *5585:70 0.000149684 -28 *3641:61 *25358:B2 0.00029863 -29 *3641:61 *26828:A0 1.90936e-05 -30 *3641:61 *28430:D 1.71638e-05 -31 *3641:61 *4052:20 0.000662277 -32 *25097:B1 *28343:CLK 5.10774e-05 -33 *25389:A2 *28340:CLK 1.90936e-05 -34 *25389:C1 *3641:28 0.00034188 -35 *25411:C1 *28650:CLK 2.70725e-06 -36 *25411:C1 *3641:61 3.9489e-05 -37 *27619:A2 *28340:CLK 4.27782e-05 -38 *27619:A2 *3641:28 1.80537e-05 -39 *30109:A *3641:61 3.57768e-05 -40 *1218:38 *3641:28 2.06112e-05 -41 *1271:104 *28342:CLK 5.71459e-05 -42 *1289:44 *28340:CLK 0.000515488 -43 *1289:44 *28343:CLK 0.000152515 -44 *1417:15 *28340:CLK 0 -45 *1421:9 *28343:CLK 0.000219289 -46 *1449:101 *3641:28 2.66789e-06 -47 *1592:19 *28650:CLK 0.000136951 -48 *1607:8 *28342:CLK 0.000130773 -49 *1607:8 *3641:8 0.00011062 -50 *1700:65 *28340:CLK 4.29471e-05 -51 *1700:65 *28343:CLK 4.52779e-05 -52 *1700:65 *3641:28 9.21053e-05 -53 *2834:41 *28340:CLK 0.000117054 -54 *2855:32 *3641:28 0.000540163 -55 *2874:32 *28342:CLK 7.55769e-05 -56 *2878:138 *28342:CLK 4.6392e-05 -57 *3153:17 *28650:CLK 0.000299031 -58 *3160:48 *28340:CLK 5.33005e-05 -59 *3170:21 *28650:CLK 0.00014288 -60 *3192:33 *3641:7 0.000382744 -61 *3209:52 *28343:CLK 0.000119303 -62 *3547:25 *3641:28 1.90936e-05 -*RES -1 *28942:X *3641:7 17.6214 -2 *3641:7 *3641:8 5.58929 -3 *3641:8 *28342:CLK 19.0857 -4 *3641:8 *3641:18 7.5 -5 *3641:18 *3641:28 17.2956 -6 *3641:28 *28340:CLK 30.2554 -7 *3641:28 *28343:CLK 20.5411 -8 *3641:18 *28430:CLK 9.3 -9 *3641:7 *3641:61 9.23214 -10 *3641:61 *28698:CLK 13.8 -11 *3641:61 *28650:CLK 21.925 -*END - -*D_NET *3642 0.0257925 -*CONN -*I *28700:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28660:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28668:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28652:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28719:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28720:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28612:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28736:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28658:CLK I *D sky130_fd_sc_hd__dfstp_4 -*I *28761:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28943:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28700:CLK 0 -2 *28660:CLK 0.000357738 -3 *28668:CLK 0.000130062 -4 *28652:CLK 0 -5 *28719:CLK 0.000710926 -6 *28720:CLK 0 -7 *28612:CLK 0.00021209 -8 *28736:CLK 0.000285293 -9 *28658:CLK 8.07391e-05 -10 *28761:CLK 0 -11 *28943:X 0 -12 *3642:99 0.000697837 -13 *3642:84 0.00114043 -14 *3642:46 0.0012204 -15 *3642:29 0.000982266 -16 *3642:23 0.000248125 -17 *3642:22 0.00145813 -18 *3642:18 0.00103203 -19 *3642:8 0.000753768 -20 *3642:4 0.00106959 -21 *28612:CLK *3797:35 0.000228752 -22 *28612:CLK *5591:42 0.000591456 -23 *28612:CLK *5717:61 2.37302e-05 -24 *28660:CLK *27138:A0 8.6765e-05 -25 *28660:CLK *29031:A 0.000193331 -26 *28660:CLK *3938:17 2.83129e-05 -27 *28660:CLK *5700:149 2.8922e-05 -28 *28660:CLK *5757:48 2.58189e-05 -29 *28660:CLK *6319:25 0.000341372 -30 *28668:CLK *28668:D 2.59355e-05 -31 *28719:CLK *29135:A 0.000248943 -32 *28719:CLK *3933:17 0.000469968 -33 *28719:CLK *4051:43 0.00127471 -34 *28719:CLK *5635:50 1.41029e-05 -35 *28719:CLK *5750:196 4.96241e-05 -36 *28736:CLK *25375:A2 4.00349e-05 -37 *3642:8 *27759:A1 0.000168386 -38 *3642:8 *3782:66 0.000207133 -39 *3642:8 *3847:25 0.000176903 -40 *3642:18 *28652:D 6.43326e-05 -41 *3642:18 *28652:RESET_B 4.27935e-05 -42 *3642:18 *3782:66 0.000208259 -43 *3642:22 *28720:D 0.000564332 -44 *3642:22 *3989:66 0.000175892 -45 *3642:22 *5657:29 7.40839e-05 -46 *3642:23 *4051:43 2.68558e-05 -47 *3642:29 *28658:D 0.000166067 -48 *3642:29 *4051:43 0.000374455 -49 *3642:46 *3987:21 0.000144988 -50 *3642:46 *3992:25 7.64454e-05 -51 *3642:46 *5591:42 0.000423685 -52 *3642:46 *5750:130 8.6229e-06 -53 *3642:84 *28668:RESET_B 2.48016e-05 -54 *3642:84 *3796:60 0.000105014 -55 *3642:84 *3796:62 0.000155812 -56 *3642:84 *5757:76 6.51414e-05 -57 *3642:84 *5757:94 0.000314738 -58 *3642:84 *5873:120 7.19623e-05 -59 *3642:99 *28668:D 0 -60 *3642:99 *3847:25 0.000301622 -61 *3642:99 *5442:51 0.000155088 -62 *3642:99 *6319:25 0.000215963 -63 *27091:S *3642:46 0.00019849 -64 *27313:A *3642:84 0.000167386 -65 *27322:B1 *28719:CLK 0.000184126 -66 *27322:B1 *3642:23 7.11841e-05 -67 *27759:C1 *3642:46 0.000187258 -68 *29437:A *3642:29 0.000111457 -69 *1244:128 *28736:CLK 0.000555479 -70 *1245:68 *28660:CLK 4.43299e-05 -71 *1250:72 *28719:CLK 1.50087e-05 -72 *1446:12 *3642:46 0.00012267 -73 *1547:26 *28719:CLK 0.000170661 -74 *1550:34 *28660:CLK 0.000337892 -75 *1550:34 *3642:99 0.000124774 -76 *1582:25 *28736:CLK 1.02504e-05 -77 *2777:59 *3642:8 0.00015877 -78 *2777:59 *3642:18 8.89561e-05 -79 *2782:92 *3642:8 0.000335196 -80 *2784:57 *28736:CLK 7.19833e-05 -81 *2784:59 *28736:CLK 0 -82 *2792:145 *28668:CLK 8.34234e-05 -83 *2834:31 *28668:CLK 7.12001e-05 -84 *2834:31 *3642:84 0.000104731 -85 *2860:26 *28660:CLK 2.09897e-05 -86 *2867:25 *28719:CLK 0.000154793 -87 *2872:21 *28612:CLK 5.28305e-05 -88 *2872:21 *3642:46 0.000129039 -89 *2874:32 *28658:CLK 4.53889e-05 -90 *2874:32 *3642:46 0.000185684 -91 *2886:26 *3642:23 0.000122682 -92 *2886:26 *3642:29 4.51549e-05 -93 *2886:34 *3642:22 1.58163e-05 -94 *2894:26 *28719:CLK 0.000101001 -95 *2939:10 *3642:84 0.000312335 -96 *2958:56 *3642:84 8.80556e-05 -97 *3156:41 *3642:8 0.000264749 -98 *3185:264 *3642:18 4.34472e-05 -99 *3185:264 *3642:23 0.000134771 -100 *3192:31 *3642:18 0.000302804 -101 *3192:31 *3642:22 0.000244874 -102 *3192:33 *3642:22 0.0012489 -103 *3196:42 *28668:CLK 0.00018742 -104 *3196:42 *3642:84 4.58194e-05 -105 *3197:19 *3642:8 7.95888e-05 -106 *3214:21 *3642:8 0.000263108 -107 *3214:36 *3642:46 4.26759e-05 -108 *3560:18 *28736:CLK 6.96846e-05 -109 *3572:34 *3642:99 0 -110 *3572:36 *28660:CLK 1.10856e-05 -*RES -1 *28943:X *3642:4 9.3 -2 *3642:4 *3642:8 16.9286 -3 *3642:8 *28761:CLK 13.8 -4 *3642:8 *3642:18 13.2321 -5 *3642:18 *3642:22 21.875 -6 *3642:22 *3642:23 2.55357 -7 *3642:23 *3642:29 10.0179 -8 *3642:29 *28658:CLK 10.6571 -9 *3642:29 *3642:46 25.125 -10 *3642:46 *28736:CLK 25.7107 -11 *3642:46 *28612:CLK 15.5857 -12 *3642:23 *28720:CLK 13.8 -13 *3642:22 *28719:CLK 33.6214 -14 *3642:18 *28652:CLK 9.3 -15 *3642:4 *3642:84 24.7857 -16 *3642:84 *28668:CLK 13.1393 -17 *3642:84 *3642:99 12.875 -18 *3642:99 *28660:CLK 26.2786 -19 *3642:99 *28700:CLK 13.8 -*END - -*D_NET *3643 0.0186211 -*CONN -*I *28620:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28470:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28469:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28466:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28692:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28944:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28620:CLK 0.000710899 -2 *28470:CLK 0.00170581 -3 *28469:CLK 0.000469786 -4 *28466:CLK 0.00110589 -5 *28692:CLK 0 -6 *28944:X 0.000298901 -7 *3643:49 0.0025451 -8 *3643:26 0.00194137 -9 *3643:13 0.000524468 -10 *3643:7 0.000586068 -11 *28466:CLK *25407:A1 6.05161e-06 -12 *28466:CLK *26875:A0 7.29712e-05 -13 *28466:CLK *27670:A 9.34384e-05 -14 *28466:CLK *28466:D 0.000162957 -15 *28466:CLK *3660:22 1.76569e-05 -16 *28466:CLK *3666:18 0.000203218 -17 *28466:CLK *5196:78 7.14469e-05 -18 *28466:CLK *5873:164 1.08894e-05 -19 *28469:CLK *29508:A 0.000135028 -20 *28469:CLK *3666:18 0.000593929 -21 *28469:CLK *5754:27 0.000340155 -22 *28470:CLK *28470:D 1.97559e-05 -23 *28470:CLK *28470:RESET_B 0.000367001 -24 *28470:CLK *3783:121 0.000118144 -25 *28620:CLK *3820:79 7.30957e-06 -26 *28620:CLK *3847:64 1.34436e-05 -27 *28620:CLK *4133:108 9.75027e-06 -28 *28620:CLK *5657:198 0.0012551 -29 *28620:CLK *5700:77 0.000241899 -30 *3643:13 *25259:A1 1.65169e-05 -31 *3643:13 *5657:198 0.000290986 -32 *3643:26 *25259:A1 6.57032e-05 -33 *3643:26 *3820:79 0.000118189 -34 *3643:26 *3872:43 0.000742861 -35 *3643:49 *5657:198 0.000389591 -36 *1242:230 *3643:13 0.000113934 -37 *1242:230 *3643:26 7.38616e-05 -38 *1246:62 *28620:CLK 4.68462e-05 -39 *1273:122 *3643:7 0.000385277 -40 *1290:31 *3643:26 0.000513933 -41 *1536:27 *28466:CLK 0 -42 *2780:43 *28466:CLK 7.75521e-05 -43 *2870:65 *28466:CLK 0.000328346 -44 *2886:175 *28620:CLK 0.000103065 -45 *2895:34 *28466:CLK 0.000136581 -46 *2895:34 *28469:CLK 5.51417e-05 -47 *2895:210 *28620:CLK 0.000824176 -48 *2895:210 *3643:13 0.000292708 -49 *2895:210 *3643:49 0.000378626 -50 *3315:11 *28466:CLK 3.87371e-05 -*RES -1 *28944:X *3643:7 17.6214 -2 *3643:7 *3643:13 9.64286 -3 *3643:13 *28692:CLK 9.3 -4 *3643:13 *3643:26 20.625 -5 *3643:26 *28466:CLK 36.8893 -6 *3643:26 *28469:CLK 20.925 -7 *3643:7 *3643:49 4.98214 -8 *3643:49 *28470:CLK 32.7286 -9 *3643:49 *28620:CLK 37.736 -*END - -*D_NET *3644 0.00923305 -*CONN -*I *28636:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28467:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28515:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28546:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28604:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28945:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28636:CLK 0.000627167 -2 *28467:CLK 0.00024172 -3 *28515:CLK 0 -4 *28546:CLK 0.000402767 -5 *28604:CLK 6.27416e-05 -6 *28945:X 0.000425278 -7 *3644:37 0.000947192 -8 *3644:30 0.000135482 -9 *3644:10 0.000781836 -10 *3644:7 0.000798784 -11 *28467:CLK *3963:109 0.00035583 -12 *28546:CLK *28546:SET_B 0.000124221 -13 *28546:CLK *3673:10 4.2629e-05 -14 *28546:CLK *3874:37 0.000605779 -15 *28546:CLK *4115:47 6.57032e-05 -16 *28604:CLK *3963:119 0.00011258 -17 *28636:CLK *28636:D 3.03993e-05 -18 *28636:CLK *3834:11 8.80543e-05 -19 *3644:10 *3963:109 0.000125466 -20 *3644:10 *5754:179 0.000774061 -21 *3644:30 *5754:179 7.40526e-05 -22 *1438:28 *28467:CLK 2.59355e-05 -23 *2784:67 *28467:CLK 2.44031e-05 -24 *2784:67 *28604:CLK 0.000172607 -25 *2784:67 *3644:10 2.04866e-05 -26 *2784:67 *3644:30 2.15258e-05 -27 *2784:67 *3644:37 7.30037e-05 -28 *3197:46 *28604:CLK 2.29078e-05 -29 *3197:46 *3644:10 0.000818283 -30 *3197:46 *3644:30 0.00011117 -31 *3197:46 *3644:37 8.37095e-05 -32 *3197:52 *28467:CLK 0.000446729 -33 *3197:52 *3644:37 2.2628e-05 -34 *3558:193 *28467:CLK 1.58163e-05 -35 *3574:81 *3644:7 0.000552103 -*RES -1 *28945:X *3644:7 19.2643 -2 *3644:7 *3644:10 11.2857 -3 *3644:10 *28604:CLK 16.05 -4 *3644:10 *28546:CLK 23.1036 -5 *3644:7 *3644:30 1.64286 -6 *3644:30 *28515:CLK 13.8 -7 *3644:30 *3644:37 1.94643 -8 *3644:37 *28467:CLK 20.7643 -9 *3644:37 *28636:CLK 21.1214 -*END - -*D_NET *3645 0.0130171 -*CONN -*I *28666:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28389:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28156:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28155:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28157:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28946:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28666:CLK 0.000541169 -2 *28389:CLK 0.000449187 -3 *28156:CLK 0 -4 *28155:CLK 0.000179098 -5 *28157:CLK 0.000267972 -6 *28946:X 0 -7 *3645:38 0.00109223 -8 *3645:20 0.000460206 -9 *3645:7 0.00109531 -10 *3645:4 0.000648101 -11 *28155:CLK *27502:A1 0.000431443 -12 *28155:CLK *27897:A1 0.000378142 -13 *28157:CLK *3779:59 0.000516623 -14 *28157:CLK *3919:63 0.000134606 -15 *28389:CLK *25410:B2 5.54183e-05 -16 *28389:CLK *27850:A1 0.000663542 -17 *28389:CLK *5500:57 0.000884938 -18 *28666:CLK *25302:B2 0 -19 *28666:CLK *27850:A1 0.000139946 -20 *28666:CLK *3971:59 9.68098e-05 -21 *28666:CLK *5500:57 0.000131324 -22 *3645:7 *3831:53 0.00109033 -23 *3645:7 *4153:62 0.000463867 -24 *3645:7 *5744:76 0.00122005 -25 *3645:20 *3779:59 0.000163753 -26 *3645:38 *3831:53 0.000327935 -27 *3645:38 *5744:76 0.00034127 -28 *25302:A2 *28666:CLK 8.7636e-06 -29 *25302:B1 *28666:CLK 0 -30 *29301:A *28157:CLK 0.000122065 -31 *30274:A *28389:CLK 0.000107018 -32 *1411:97 *28155:CLK 2.79421e-05 -33 *1411:97 *3645:20 5.45582e-05 -34 *2845:80 *28157:CLK 0.00010663 -35 *2845:80 *3645:20 0.000155723 -36 *2883:39 *28666:CLK 0.000135392 -37 *2883:53 *28666:CLK 6.22404e-05 -38 *2894:55 *3645:7 0.000374598 -39 *3457:28 *28389:CLK 7.96587e-06 -40 *3570:11 *3645:7 8.09484e-05 -*RES -1 *28946:X *3645:4 9.3 -2 *3645:4 *3645:7 22.6964 -3 *3645:7 *28157:CLK 20.9071 -4 *3645:7 *3645:20 9.76786 -5 *3645:20 *28155:CLK 24.2286 -6 *3645:20 *28156:CLK 9.3 -7 *3645:4 *3645:38 7.91071 -8 *3645:38 *28389:CLK 25.7643 -9 *3645:38 *28666:CLK 23.3357 -*END - -*D_NET *3646 0.0201156 -*CONN -*I *28158:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28159:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28329:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28379:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28378:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28376:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28327:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28947:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28158:CLK 0.000214577 -2 *28159:CLK 0.000324924 -3 *28329:CLK 0.000641053 -4 *28379:CLK 2.3932e-05 -5 *28378:CLK 0 -6 *28376:CLK 2.04426e-05 -7 *28327:CLK 0.000605235 -8 *28947:X 0.000104941 -9 *3646:68 0.000599659 -10 *3646:49 0.00109694 -11 *3646:22 0.00109836 -12 *3646:20 0.000709279 -13 *3646:9 0.00118969 -14 *3646:5 0.000686241 -15 *28158:CLK *28378:RESET_B 1.21258e-05 -16 *28158:CLK *30279:A 2.59355e-05 -17 *28158:CLK *3818:15 0.000185006 -18 *28158:CLK *3818:43 2.59355e-05 -19 *28159:CLK *27556:A1 0.000421074 -20 *28159:CLK *3752:39 3.69047e-06 -21 *28159:CLK *3779:97 4.08637e-05 -22 *28159:CLK *5650:122 0.000166538 -23 *28327:CLK *3687:52 1.94879e-05 -24 *28327:CLK *4186:20 8.77408e-05 -25 *28327:CLK *5717:108 0.000887119 -26 *28329:CLK *28329:D 7.02611e-05 -27 *28329:CLK *3775:30 0.00127326 -28 *28329:CLK *3806:74 0.000102327 -29 *28376:CLK *27880:A1 2.59355e-05 -30 *28379:CLK *3749:108 2.59355e-05 -31 *3646:5 *5691:10 9.41642e-05 -32 *3646:5 *5694:31 1.98839e-05 -33 *3646:9 *5694:31 0.000168089 -34 *3646:20 *3687:52 8.42062e-05 -35 *3646:20 *3775:30 2.06178e-05 -36 *3646:20 *3857:49 0.000191499 -37 *3646:20 *5614:97 2.83129e-05 -38 *3646:20 *5685:333 3.0577e-05 -39 *3646:20 *5718:183 1.90936e-05 -40 *3646:22 *3687:52 0.00128258 -41 *3646:49 *3775:30 0.00118253 -42 *3646:49 *3818:32 0.000102184 -43 *3646:49 *5718:183 0.000257524 -44 *3646:49 *5718:206 0.000114045 -45 *3646:68 *3765:30 1.19011e-05 -46 *3646:68 *5650:122 0.000134646 -47 *3646:68 *5691:10 6.54117e-05 -48 *27568:A2 *3646:49 0.00023034 -49 *28947:A *3646:9 5.33005e-05 -50 *1362:90 *28158:CLK 0.00020489 -51 *1362:96 *28159:CLK 0.000163305 -52 *1362:96 *3646:68 5.54204e-05 -53 *1408:36 *28329:CLK 0.000160692 -54 *1430:59 *28327:CLK 0.000412364 -55 *1476:42 *28379:CLK 2.59355e-05 -56 *1476:132 *28376:CLK 5.33005e-05 -57 *1603:15 *3646:9 9.01775e-06 -58 *2853:114 *28329:CLK 0.00128116 -59 *2853:114 *3646:49 0.000381505 -60 *2880:211 *28327:CLK 1.94879e-05 -61 *2880:211 *3646:20 9.23689e-05 -62 *2880:211 *3646:22 0.00129374 -63 *2888:117 *28327:CLK 0.00085306 -64 *2888:121 *28327:CLK 1.95062e-05 -65 *3209:102 *3646:9 2.42516e-05 -66 *3209:102 *3646:49 5.22809e-05 -67 *3558:187 *28329:CLK 0.00023389 -*RES -1 *28947:X *3646:5 10.6571 -2 *3646:5 *3646:9 10.375 -3 *3646:9 *3646:20 8.82009 -4 *3646:20 *3646:22 17.125 -5 *3646:22 *28327:CLK 33.4049 -6 *3646:22 *28376:CLK 14.3357 -7 *3646:20 *28378:CLK 13.8 -8 *3646:9 *3646:49 15.6071 -9 *3646:49 *28379:CLK 14.3357 -10 *3646:49 *28329:CLK 35.2643 -11 *3646:5 *3646:68 6.44643 -12 *3646:68 *28159:CLK 20.6929 -13 *3646:68 *28158:CLK 18.4429 -*END - -*D_NET *3647 0.0145872 -*CONN -*I *28436:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28610:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28396:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28397:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28399:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28948:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28436:CLK 9.81855e-05 -2 *28610:CLK 0.000694987 -3 *28396:CLK 7.70845e-05 -4 *28397:CLK 0.000249267 -5 *28399:CLK 4.05265e-05 -6 *28948:X 0 -7 *3647:39 0.00155962 -8 *3647:9 0.00064645 -9 *3647:8 0.00116412 -10 *3647:5 0.00149683 -11 *28396:CLK *3854:10 2.24079e-05 -12 *28397:CLK *28397:D 3.05155e-05 -13 *28397:CLK *3996:21 0 -14 *28399:CLK *28399:D 7.53354e-05 -15 *28399:CLK *3854:10 1.50012e-05 -16 *28436:CLK *28436:RESET_B 2.12005e-05 -17 *28610:CLK *25097:A1 0.000600714 -18 *28610:CLK *25330:A1 0 -19 *28610:CLK *28436:RESET_B 6.05161e-06 -20 *28610:CLK *3777:11 0.000158323 -21 *28610:CLK *3777:51 5.71399e-05 -22 *3647:8 *5605:33 0.00116067 -23 *3647:9 *28399:RESET_B 4.46854e-05 -24 *3647:9 *3854:10 0.000269649 -25 *3647:39 *5605:33 0.000575959 -26 *3647:39 *5711:193 0.000137402 -27 *25282:D *3647:8 0.000121716 -28 *25282:D *3647:39 9.09249e-05 -29 *25413:D *28610:CLK 5.49544e-05 -30 *1235:52 *3647:8 0.00120854 -31 *1257:69 *3647:39 0.000153984 -32 *1263:50 *28610:CLK 0.000107425 -33 *1504:8 *28436:CLK 4.41457e-05 -34 *1504:8 *3647:8 4.14142e-05 -35 *1504:8 *3647:39 0.000401816 -36 *1504:16 *3647:8 0.000208359 -37 *1587:36 *28397:CLK 4.19624e-06 -38 *1588:18 *28396:CLK 6.57032e-05 -39 *1657:19 *28397:CLK 0.00015865 -40 *1668:15 *28436:CLK 5.49544e-05 -41 *1721:35 *28436:CLK 9.63528e-05 -42 *1721:35 *3647:39 0.000836616 -43 *2848:51 *28436:CLK 4.96113e-05 -44 *2848:51 *28610:CLK 0.000342302 -45 *3178:43 *28396:CLK 0.000219289 -46 *3178:43 *3647:9 0.000466119 -47 *3314:41 *28610:CLK 0.000132495 -48 *3546:22 *28610:CLK 0.000260763 -49 *3568:93 *28399:CLK 0.000101859 -50 *3568:93 *3647:9 0.000162839 -*RES -1 *28948:X *3647:5 13.8 -2 *3647:5 *3647:8 24.6607 -3 *3647:8 *3647:9 9.16071 -4 *3647:9 *28399:CLK 11.0679 -5 *3647:9 *28397:CLK 22.6929 -6 *3647:8 *28396:CLK 11.4786 -7 *3647:5 *3647:39 19.7054 -8 *3647:39 *28610:CLK 30.8277 -9 *3647:39 *28436:CLK 16.2375 -*END - -*D_NET *3648 0.0235224 -*CONN -*I *28398:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28449:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28448:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28395:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28394:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28390:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28098:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28100:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28113:CLK I *D sky130_fd_sc_hd__dfstp_4 -*I *28101:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28111:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28102:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28392:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28949:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28398:CLK 0.000404109 -2 *28449:CLK 3.99336e-05 -3 *28448:CLK 0.000363296 -4 *28395:CLK 0 -5 *28394:CLK 0 -6 *28390:CLK 0 -7 *28098:CLK 0.000208395 -8 *28100:CLK 3.98225e-05 -9 *28113:CLK 0.000344786 -10 *28101:CLK 1.40355e-05 -11 *28111:CLK 0.000414736 -12 *28102:CLK 0.000271731 -13 *28392:CLK 0.000274019 -14 *28949:X 0.000270896 -15 *3648:114 0.00104462 -16 *3648:107 0.00115632 -17 *3648:98 0.000324559 -18 *3648:91 0.000755585 -19 *3648:75 0.00102903 -20 *3648:30 0.00106249 -21 *3648:26 0.000594294 -22 *3648:24 0.000649268 -23 *3648:22 0.000325149 -24 *3648:20 0.0013514 -25 *3648:11 0.000936928 -26 *3648:7 0.00112997 -27 *28098:CLK *25594:A0 0.000368613 -28 *28098:CLK *28390:D 5.33005e-05 -29 *28098:CLK *5698:179 8.6229e-06 -30 *28101:CLK *5680:308 5.52302e-05 -31 *28111:CLK *5511:13 1.66856e-05 -32 *28111:CLK *5521:22 0.000102207 -33 *28113:CLK *25596:A0 0.000730585 -34 *28113:CLK *25596:A1 7.25013e-05 -35 *28113:CLK *5675:245 5.50052e-05 -36 *28392:CLK *28392:D 5.49489e-05 -37 *28392:CLK *3986:26 6.05161e-06 -38 *28398:CLK *25338:B 5.49544e-05 -39 *28398:CLK *25955:A0 3.68407e-05 -40 *28398:CLK *25955:A1 0.000339346 -41 *28398:CLK *25957:A0 0.000339346 -42 *28398:CLK *5599:91 0.000687324 -43 *28448:CLK *28449:D 8.25843e-06 -44 *28448:CLK *4001:27 8.92099e-05 -45 *28448:CLK *5581:46 7.83659e-05 -46 *28448:CLK *5618:139 0.000298754 -47 *28448:CLK *5711:153 4.04359e-05 -48 *28448:CLK *5738:176 0.000175892 -49 *3648:7 *6338:23 0.000342302 -50 *3648:11 *28100:D 0.000416514 -51 *3648:11 *28392:SET_B 6.26774e-05 -52 *3648:11 *30239:A 5.37709e-05 -53 *3648:11 *3986:26 0.000125355 -54 *3648:11 *5620:46 0.000174593 -55 *3648:20 *25341:B2 4.19624e-06 -56 *3648:20 *5040:13 0 -57 *3648:22 *25600:A1 2.06178e-05 -58 *3648:22 *5040:13 0 -59 *3648:22 *5926:204 4.3656e-05 -60 *3648:24 *4311:12 0 -61 *3648:24 *5040:13 0 -62 *3648:24 *5675:244 7.70035e-05 -63 *3648:26 *4311:12 0 -64 *3648:26 *5040:13 0 -65 *3648:30 *28102:SET_B 0.000101534 -66 *3648:30 *4260:22 1.08413e-05 -67 *3648:30 *4311:12 0 -68 *3648:30 *5040:13 0 -69 *3648:30 *5504:33 1.91807e-05 -70 *3648:30 *5507:23 0 -71 *3648:75 *28390:D 3.63775e-05 -72 *3648:75 *3791:148 1.78802e-05 -73 *3648:75 *3996:8 0.000132016 -74 *3648:91 *25150:B 1.94879e-05 -75 *3648:91 *28100:D 6.81083e-05 -76 *3648:91 *5354:17 0.000119909 -77 *3648:91 *5618:12 0.000388514 -78 *3648:91 *5620:44 3.27359e-05 -79 *3648:91 *5620:46 0.000372088 -80 *3648:98 *28395:D 2.83284e-06 -81 *3648:98 *5618:10 0.000359565 -82 *3648:98 *5618:12 1.1594e-05 -83 *3648:98 *5620:44 0.000122812 -84 *3648:98 *5691:157 0 -85 *3648:107 *25957:A0 1.52978e-05 -86 *3648:107 *28395:D 3.67235e-05 -87 *3648:107 *5618:10 0.000156888 -88 *3648:114 *25338:B 1.98839e-05 -89 *3648:114 *28449:D 2.72887e-05 -90 *3648:114 *28449:RESET_B 2.54558e-06 -91 *3648:114 *4201:10 0.000442936 -92 *3648:114 *5738:223 3.35852e-05 -93 *25338:A *28398:CLK 3.63775e-05 -94 *25949:S *3648:20 1.47526e-05 -95 *29152:A *28448:CLK 1.98839e-05 -96 *29183:A *28113:CLK 5.66971e-05 -97 *29183:A *3648:22 6.015e-05 -98 *29183:A *3648:24 1.50179e-05 -99 *29183:A *3648:91 1.69115e-05 -100 *30017:A *3648:20 0.000153443 -101 *30017:A *3648:22 4.13122e-06 -102 *30017:A *3648:91 0 -103 *30068:A *28098:CLK 0.000169546 -104 *244:28 *28102:CLK 0.000106654 -105 *244:28 *28111:CLK 0.000552875 -106 *1263:62 *3648:91 7.37499e-05 -107 *1263:64 *3648:91 0.000172607 -108 *1281:30 *28398:CLK 0.000101191 -109 *1281:30 *3648:114 0.000344413 -110 *1294:30 *28392:CLK 9.95006e-05 -111 *1294:30 *3648:11 3.45322e-05 -112 *1498:49 *28113:CLK 0.000214338 -113 *1624:43 *3648:91 0.000254712 -114 *1656:11 *28398:CLK 1.34548e-05 -115 *1721:14 *3648:98 0 -116 *1815:49 *28101:CLK 2.89114e-05 -117 *1900:40 *3648:20 3.35781e-05 -118 *3146:17 *3648:24 0 -119 *3146:17 *3648:75 0.000226867 -120 *3568:110 *3648:20 0.000168969 -*RES -1 *28949:X *3648:7 17.2107 -2 *3648:7 *3648:11 12.6786 -3 *3648:11 *28392:CLK 14.3536 -4 *3648:11 *3648:20 11.3036 -5 *3648:20 *3648:22 3.46429 -6 *3648:22 *3648:24 1.94643 -7 *3648:24 *3648:26 2.85714 -8 *3648:26 *3648:30 10.3929 -9 *3648:30 *28102:CLK 13.0321 -10 *3648:30 *28111:CLK 17.675 -11 *3648:26 *28101:CLK 14.3357 -12 *3648:24 *28113:CLK 23.8 -13 *3648:22 *28100:CLK 14.3357 -14 *3648:20 *3648:75 14.8036 -15 *3648:75 *28098:CLK 23.8179 -16 *3648:75 *28390:CLK 9.3 -17 *3648:7 *3648:91 14.0893 -18 *3648:91 *28394:CLK 13.8 -19 *3648:91 *3648:98 4.98214 -20 *3648:98 *28395:CLK 13.8 -21 *3648:98 *3648:107 6.75 -22 *3648:107 *3648:114 10.5536 -23 *3648:114 *28448:CLK 24.9607 -24 *3648:114 *28449:CLK 10.0321 -25 *3648:107 *28398:CLK 20.5679 -*END - -*D_NET *3649 0.0170341 -*CONN -*I *28382:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28384:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28110:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28108:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28109:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28106:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28121:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28107:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28099:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28950:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28382:CLK 0.000347225 -2 *28384:CLK 0 -3 *28110:CLK 0.000172803 -4 *28108:CLK 0.00013905 -5 *28109:CLK 0 -6 *28106:CLK 0 -7 *28121:CLK 0.000519467 -8 *28107:CLK 1.40568e-05 -9 *28099:CLK 0.000277542 -10 *28950:X 0.000311037 -11 *3649:79 0.00117718 -12 *3649:42 0.000696056 -13 *3649:37 0.000499051 -14 *3649:32 0.000461512 -15 *3649:12 0.000413778 -16 *3649:10 0.000232516 -17 *3649:8 0.000475004 -18 *3649:7 0.00133286 -19 *28099:CLK *25609:A0 0.000198849 -20 *28099:CLK *25609:A1 8.18698e-05 -21 *28099:CLK *30935:A 0 -22 *28099:CLK *30938:A 0.000750337 -23 *28099:CLK *5592:81 0.00100691 -24 *28099:CLK *5737:128 0 -25 *28107:CLK *5737:128 2.89016e-05 -26 *28108:CLK *27549:A1 2.89016e-05 -27 *28108:CLK *27549:A2 4.3619e-05 -28 *28108:CLK *5778:261 0.000425325 -29 *28108:CLK *6334:39 4.48389e-05 -30 *28110:CLK *25612:A1 1.02821e-05 -31 *28110:CLK *28110:D 7.16452e-05 -32 *28110:CLK *28110:RESET_B 9.39411e-05 -33 *28110:CLK *5483:120 0.0001863 -34 *28110:CLK *5680:308 4.87854e-05 -35 *28121:CLK *5592:95 0.000290076 -36 *28382:CLK *25939:A0 0.000166813 -37 *28382:CLK *3805:47 4.06087e-07 -38 *28382:CLK *3820:38 0.000193421 -39 *28382:CLK *5488:79 4.22135e-06 -40 *3649:7 *5926:204 0.00038021 -41 *3649:8 *25609:A0 7.30826e-05 -42 *3649:8 *5519:49 0.000261157 -43 *3649:10 *25609:A0 0.000144795 -44 *3649:10 *5737:128 0 -45 *3649:12 *25609:A0 0.00018949 -46 *3649:12 *5737:128 0 -47 *3649:37 *28109:SET_B 2.81497e-05 -48 *3649:37 *5494:49 0.000235871 -49 *3649:37 *5500:7 7.3237e-05 -50 *3649:37 *5778:261 0.000700524 -51 *3649:37 *6334:39 9.74583e-05 -52 *3649:42 *28109:D 0 -53 *3649:42 *5494:49 5.33433e-05 -54 *3649:42 *5524:14 9.15621e-06 -55 *3649:42 *5524:23 3.47641e-06 -56 *3649:42 *5778:261 3.67257e-05 -57 *3649:79 *25406:B2 9.56446e-06 -58 *3649:79 *28384:D 1.18544e-05 -59 *3649:79 *3805:47 2.58148e-05 -60 *3649:79 *3820:38 0.000139189 -61 *3649:79 *5501:23 0.000185747 -62 *3649:79 *5519:49 0.000250738 -63 *3649:79 *5737:77 3.41747e-05 -64 *3649:79 *6337:17 0.000688845 -65 *3649:79 *6347:12 4.92374e-05 -66 pll_trim[8] *28121:CLK 0.000122935 -67 pll_trim[8] *3649:42 0.000178406 -68 pll_trim[9] *28121:CLK 0 -69 pll_trim[9] *3649:42 0 -70 *25406:C1 *3649:79 8.44271e-06 -71 *25941:S *3649:79 2.88045e-05 -72 *30023:A *3649:79 0.000524672 -73 *244:20 *28107:CLK 5.52238e-05 -74 *1624:43 *28382:CLK 0.000170473 -75 *1714:23 *28382:CLK 0.000288218 -76 *3104:13 *28108:CLK 6.05161e-06 -77 *3104:13 *3649:8 0.000400458 -78 *3104:13 *3649:10 0.000164053 -79 *3104:13 *3649:37 2.89016e-05 -80 *3104:13 *3649:79 0.000631054 -*RES -1 *28950:X *3649:7 17.6214 -2 *3649:7 *3649:8 5.58929 -3 *3649:8 *3649:10 2.85714 -4 *3649:10 *3649:12 2.55357 -5 *3649:12 *28099:CLK 25.7464 -6 *3649:12 *28107:CLK 14.3357 -7 *3649:10 *3649:32 4.5 -8 *3649:32 *3649:37 11.3571 -9 *3649:37 *3649:42 8.30357 -10 *3649:42 *28121:CLK 21.7643 -11 *3649:42 *28106:CLK 13.8 -12 *3649:37 *28109:CLK 9.3 -13 *3649:32 *28108:CLK 13.5321 -14 *3649:8 *28110:CLK 18.4429 -15 *3649:7 *3649:79 21.375 -16 *3649:79 *28384:CLK 13.8 -17 *3649:79 *28382:CLK 22.2286 -*END - -*D_NET *3650 0.0228698 -*CONN -*I *28380:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28381:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28120:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28119:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28117:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28115:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28118:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28116:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28114:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28383:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28223:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28375:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28359:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28220:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28224:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28222:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28951:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28380:CLK 4.22502e-05 -2 *28381:CLK 0 -3 *28120:CLK 0 -4 *28119:CLK 0 -5 *28117:CLK 0.000147808 -6 *28115:CLK 0.000402069 -7 *28118:CLK 0 -8 *28116:CLK 0 -9 *28114:CLK 0.000313714 -10 *28383:CLK 0 -11 *28223:CLK 0 -12 *28375:CLK 9.20955e-05 -13 *28359:CLK 0 -14 *28220:CLK 0.000747444 -15 *28224:CLK 0 -16 *28222:CLK 0.00071467 -17 *28951:X 2.4955e-05 -18 *3650:129 0.000606688 -19 *3650:122 7.71196e-05 -20 *3650:106 0.000458456 -21 *3650:102 0.000982161 -22 *3650:96 0.00108808 -23 *3650:91 0.000872879 -24 *3650:85 0.000806506 -25 *3650:78 0.000279707 -26 *3650:54 0.00044319 -27 *3650:42 0.00113514 -28 *3650:38 0.000463847 -29 *3650:28 0.000548327 -30 *3650:13 0.00117862 -31 *3650:10 0.000585553 -32 *3650:5 0.000300505 -33 *28114:CLK *5160:37 0.000188586 -34 *28114:CLK *5498:7 2.89016e-05 -35 *28114:CLK *5592:104 0.000241037 -36 *28114:CLK *5734:53 0.000578035 -37 *28115:CLK *28117:D 1.90936e-05 -38 *28115:CLK *5204:15 5.62995e-05 -39 *28115:CLK *5778:245 5.14497e-05 -40 *28115:CLK *5778:261 0.000121315 -41 *28117:CLK *28117:D 0.000165159 -42 *28117:CLK *5011:20 6.07411e-05 -43 *28117:CLK *5483:96 3.47641e-06 -44 *28117:CLK *5510:20 2.06178e-05 -45 *28117:CLK *5691:201 2.04825e-05 -46 *28220:CLK *28220:RESET_B 1.84523e-06 -47 *28220:CLK *5041:23 6.39787e-05 -48 *28222:CLK *27527:A2 5.33005e-05 -49 *28222:CLK *28222:D 5.4832e-05 -50 *28222:CLK *28383:D 4.48128e-05 -51 *28222:CLK *3661:10 0.000175892 -52 *28222:CLK *4282:17 0.000225103 -53 *28375:CLK *25910:A1 1.83136e-05 -54 *3650:10 *5200:25 0.0005807 -55 *3650:10 *5488:79 0.000489512 -56 *3650:13 *27527:A2 0.000350734 -57 *3650:13 *28383:D 7.27549e-05 -58 *3650:13 *6385:70 0.000137561 -59 *3650:28 *25132:B2 2.06178e-05 -60 *3650:28 *27527:A2 2.95642e-05 -61 *3650:28 *5313:11 1.09239e-05 -62 *3650:38 *25132:B2 4.24752e-05 -63 *3650:38 *25758:A1 0 -64 *3650:38 *28223:D 0.000170385 -65 *3650:38 *3884:88 0.000335866 -66 *3650:42 *28223:D 0.000139491 -67 *3650:85 *27593:A2 7.79781e-06 -68 *3650:85 *27869:A2 7.95959e-05 -69 *3650:85 *29577:A 3.48235e-05 -70 *3650:85 *5200:25 0.00036431 -71 *3650:91 *27869:A1 9.47364e-06 -72 *3650:91 *27869:A2 0.000233062 -73 *3650:91 *5200:25 3.10885e-05 -74 *3650:91 *5518:62 0 -75 *3650:91 *5519:49 2.87968e-05 -76 *3650:91 *5520:48 3.96255e-06 -77 *3650:91 *5926:221 6.36238e-05 -78 *3650:91 *6055:23 0 -79 *3650:96 *5518:62 0 -80 *3650:102 *5510:20 5.52302e-05 -81 *3650:102 *5734:86 0 -82 *3650:106 *28117:D 6.80359e-05 -83 *3650:106 *5235:22 5.53573e-05 -84 *3650:106 *5734:53 9.71197e-05 -85 *3650:122 *28117:D 2.49484e-05 -86 *3650:122 *5235:22 1.08359e-05 -87 *3650:122 *5510:20 3.34366e-05 -88 *3650:129 *28117:D 5.74823e-05 -89 *3650:129 *5510:20 0.000135528 -90 pll_trim[5] *3650:91 0.000385757 -91 pll_trim[5] *3650:96 0.000365296 -92 *25754:S *3650:28 0.000206231 -93 *25754:S *3650:38 9.54798e-06 -94 *25755:S *3650:38 0.000177194 -95 *25755:S *3650:42 7.24711e-05 -96 *27550:A1 *28380:CLK 4.08637e-05 -97 *27550:A1 *3650:5 9.83442e-05 -98 *27550:A1 *3650:78 8.2607e-05 -99 *27571:B1 *3650:96 4.15161e-05 -100 *27869:B1 *3650:85 5.58875e-06 -101 *28951:A *28380:CLK 2.59355e-05 -102 *28951:A *3650:5 4.96113e-05 -103 *28951:A *3650:78 4.58194e-05 -104 *29996:A *3650:38 0.000149464 -105 *182:17 *28114:CLK 0.000231947 -106 *244:20 *3650:96 5.52238e-05 -107 *244:20 *3650:102 0.000381329 -108 *460:33 *3650:85 0.000120159 -109 *471:12 *3650:10 4.45524e-05 -110 *471:12 *3650:91 5.19755e-05 -111 *759:16 *3650:28 5.04793e-05 -112 *1139:12 *3650:91 2.88484e-05 -113 *1408:26 *28220:CLK 0.000254165 -114 *1408:26 *28375:CLK 0.000191373 -115 *1408:26 *3650:54 0.000697937 -116 *1471:37 *3650:38 7.27549e-05 -117 *1498:13 *28117:CLK 5.52302e-05 -118 *1865:13 *3650:38 3.97677e-05 -119 *3571:53 *28220:CLK 0.000116448 -120 *3571:53 *28375:CLK 0.000104476 -121 *3571:53 *3650:54 0.000336722 -*RES -1 *28951:X *3650:5 10.2464 -2 *3650:5 *3650:10 16.7143 -3 *3650:10 *3650:13 6.30357 -4 *3650:13 *28222:CLK 19.7464 -5 *3650:13 *3650:28 7.35714 -6 *3650:28 *28224:CLK 13.8 -7 *3650:28 *3650:38 14.0179 -8 *3650:38 *3650:42 5.85714 -9 *3650:42 *28220:CLK 24.5857 -10 *3650:42 *3650:54 9.53571 -11 *3650:54 *28359:CLK 13.8 -12 *3650:54 *28375:CLK 16.8893 -13 *3650:38 *28223:CLK 9.3 -14 *3650:10 *28383:CLK 9.3 -15 *3650:5 *3650:78 0.946429 -16 *3650:78 *3650:85 10.25 -17 *3650:85 *3650:91 10.9107 -18 *3650:91 *3650:96 10.0179 -19 *3650:96 *3650:102 15.625 -20 *3650:102 *3650:106 7.39286 -21 *3650:106 *28114:CLK 20.2464 -22 *3650:106 *28116:CLK 9.3 -23 *3650:102 *3650:122 0.732143 -24 *3650:122 *28118:CLK 13.8 -25 *3650:122 *3650:129 1.79464 -26 *3650:129 *28115:CLK 19.7286 -27 *3650:129 *28117:CLK 17.6482 -28 *3650:96 *28119:CLK 9.3 -29 *3650:91 *28120:CLK 13.8 -30 *3650:85 *28381:CLK 13.8 -31 *3650:78 *28380:CLK 10.2643 -*END - -*D_NET *3651 0.0164625 -*CONN -*I *28357:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28369:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28835:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28833:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28836:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28355:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28358:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28356:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28221:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28365:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28368:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28952:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28357:CLK 2.3184e-05 -2 *28369:CLK 0.00050803 -3 *28835:CLK 0.000145483 -4 *28833:CLK 0.000386162 -5 *28836:CLK 0 -6 *28355:CLK 2.93508e-05 -7 *28358:CLK 0.000244685 -8 *28356:CLK 0 -9 *28221:CLK 4.01359e-05 -10 *28365:CLK 0 -11 *28368:CLK 0.000570112 -12 *28952:X 4.36033e-05 -13 *3651:87 0.000642083 -14 *3651:80 0.000292439 -15 *3651:75 0.000946105 -16 *3651:65 0.000567773 -17 *3651:57 0.000394621 -18 *3651:44 0.000506973 -19 *3651:37 0.000254775 -20 *3651:10 0.000885935 -21 *3651:8 0.000611816 -22 *3651:7 0.000381035 -23 *28221:CLK *25756:A0 7.24711e-05 -24 *28221:CLK *28221:D 9.77423e-05 -25 *28355:CLK *25907:A0 6.47405e-05 -26 *28357:CLK *25907:A1 8.33813e-05 -27 *28358:CLK *27505:A1 0.000266057 -28 *28358:CLK *5619:58 4.00679e-05 -29 *28368:CLK *25178:B2 0 -30 *28368:CLK *28368:D 6.61546e-05 -31 *28368:CLK *28368:RESET_B 0.000117997 -32 *28368:CLK *3792:33 5.20798e-05 -33 *28368:CLK *3884:53 2.45832e-05 -34 *28368:CLK *5484:15 7.02611e-05 -35 *28368:CLK *5484:64 0 -36 *28368:CLK *5738:37 0 -37 *28369:CLK *25658:B 2.21517e-05 -38 *28369:CLK *28369:D 7.02611e-05 -39 *28369:CLK *28369:RESET_B 7.32272e-05 -40 *28369:CLK *3666:98 1.90936e-05 -41 *28369:CLK *3791:75 0.000139515 -42 *28369:CLK *3908:35 6.20855e-06 -43 *28369:CLK *3918:16 0.000509208 -44 *28369:CLK *5125:19 0.000162886 -45 *28369:CLK *5710:183 0 -46 *28833:CLK *28833:D 0.000126927 -47 *28833:CLK *28834:D 3.14048e-05 -48 *28833:CLK *3919:12 9.41642e-05 -49 *28835:CLK *25658:B 1.05524e-05 -50 *28835:CLK *3893:52 0.000166269 -51 *28835:CLK *3908:35 6.85164e-05 -52 *28835:CLK *4130:34 0.000139913 -53 *3651:7 *5126:11 5.33005e-05 -54 *3651:8 *25087:A 9.22222e-06 -55 *3651:8 *29176:A 0 -56 *3651:8 *4946:14 0.000132586 -57 *3651:8 *5017:14 0.000403302 -58 *3651:8 *5126:11 1.55485e-05 -59 *3651:8 *5705:139 1.76771e-05 -60 *3651:10 *25087:A 0.000174852 -61 *3651:10 *25109:A 2.21972e-05 -62 *3651:10 *3792:33 2.92155e-05 -63 *3651:10 *4946:14 2.50022e-05 -64 *3651:10 *5705:139 0.000140283 -65 *3651:37 *5017:14 0.000140159 -66 *3651:37 *5126:11 5.67819e-05 -67 *3651:44 *3788:8 0.000171806 -68 *3651:44 *5013:14 8.42484e-06 -69 *3651:44 *5017:14 0.00015428 -70 *3651:44 *5126:11 0.000194065 -71 *3651:44 *5619:58 2.2355e-05 -72 *3651:57 *3788:8 0.000145241 -73 *3651:57 *5126:11 1.0945e-05 -74 *3651:57 *5484:64 0 -75 *3651:65 *25907:A0 0.000451278 -76 *3651:65 *25907:A1 0.000268396 -77 *3651:65 *28020:A1 0.000180777 -78 *3651:65 *5497:39 1.01075e-05 -79 *3651:75 *30556:A 3.7143e-05 -80 *3651:75 *5074:15 0.000201834 -81 *3651:80 *30556:A 0.000186276 -82 *3651:80 *3893:52 0.000132394 -83 *3651:80 *3908:35 3.5609e-05 -84 *3651:87 *3893:52 0.000176639 -85 *3651:87 *3908:35 5.09849e-05 -86 *25178:B1 *28368:CLK 0 -87 *25178:B1 *3651:10 2.27016e-05 -88 *25399:B1 *28355:CLK 6.47405e-05 -89 *25399:B1 *3651:65 0.000261679 -90 *25907:S *28357:CLK 9.58181e-05 -91 *25907:S *3651:65 0.000184745 -92 *27505:B1 *28358:CLK 9.71197e-05 -93 *28017:S *28369:CLK 0 -94 *30571:A *28833:CLK 0.000245962 -95 *716:16 *28221:CLK 1.34631e-05 -96 *1395:84 *28835:CLK 2.14658e-05 -97 *1452:57 *28369:CLK 0 -98 *1457:51 *3651:75 0.000659416 -99 *1457:51 *3651:80 0.000342682 -100 *1471:56 *28369:CLK 0.000247049 -101 *1600:17 *28833:CLK 0.000263524 -102 *1600:17 *3651:65 9.91883e-05 -103 *2745:75 *28368:CLK 5.91452e-05 -104 *3061:15 *28358:CLK 3.53176e-05 -105 *3062:10 *28358:CLK 1.96616e-05 -*RES -1 *28952:X *3651:7 14.3357 -2 *3651:7 *3651:8 5.89286 -3 *3651:8 *3651:10 7.10714 -4 *3651:10 *28368:CLK 24.8714 -5 *3651:10 *28365:CLK 13.8 -6 *3651:8 *28221:CLK 15.1571 -7 *3651:7 *3651:37 1.94643 -8 *3651:37 *28356:CLK 13.8 -9 *3651:37 *3651:44 5.28571 -10 *3651:44 *28358:CLK 18.8714 -11 *3651:44 *3651:57 6.44643 -12 *3651:57 *3651:65 14.1339 -13 *3651:65 *28355:CLK 14.6839 -14 *3651:65 *3651:75 4.538 -15 *3651:75 *3651:80 5.75611 -16 *3651:80 *28836:CLK 13.8 -17 *3651:80 *3651:87 2.55357 -18 *3651:87 *28833:CLK 22.55 -19 *3651:87 *28835:CLK 17.8179 -20 *3651:75 *28369:CLK 29.8261 -21 *3651:57 *28357:CLK 10.2464 -*END - -*D_NET *3652 0.0239873 -*CONN -*I *28425:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28427:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28426:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28154:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28366:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28832:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28367:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28152:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28151:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28150:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28153:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28423:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28422:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28420:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28419:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28421:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28424:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28953:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28425:CLK 0 -2 *28427:CLK 0.000280976 -3 *28426:CLK 3.82418e-05 -4 *28154:CLK 0.000107353 -5 *28366:CLK 0 -6 *28832:CLK 0.00051095 -7 *28367:CLK 0 -8 *28152:CLK 0 -9 *28151:CLK 0.000278881 -10 *28150:CLK 2.25053e-05 -11 *28153:CLK 0 -12 *28423:CLK 0.000375331 -13 *28422:CLK 4.06336e-05 -14 *28420:CLK 6.71784e-05 -15 *28419:CLK 0.000255775 -16 *28421:CLK 0 -17 *28424:CLK 4.81621e-05 -18 *28953:X 0.000239441 -19 *3652:136 0.000962012 -20 *3652:130 0.000939557 -21 *3652:100 0.000384348 -22 *3652:97 0.000504554 -23 *3652:87 0.00085475 -24 *3652:82 0.000458368 -25 *3652:79 0.000890625 -26 *3652:68 0.000997253 -27 *3652:38 0.000761657 -28 *3652:31 0.000630082 -29 *3652:26 0.00097915 -30 *3652:16 0.000843913 -31 *3652:15 0.000355146 -32 *3652:11 0.000555747 -33 *3652:10 0.000847912 -34 *3652:6 0.000457757 -35 *28150:CLK *3792:33 1.58163e-05 -36 *28150:CLK *5510:59 5.33005e-05 -37 *28151:CLK *28152:D 0.000141316 -38 *28151:CLK *6225:17 7.16747e-05 -39 *28154:CLK *28154:D 0.000350143 -40 *28154:CLK *5730:133 0.00021023 -41 *28419:CLK *28419:D 9.74935e-05 -42 *28419:CLK *5487:10 0 -43 *28419:CLK *5519:19 1.33343e-05 -44 *28419:CLK *5519:25 4.13595e-05 -45 *28420:CLK *4332:25 2.85321e-05 -46 *28423:CLK *25921:A1 1.70865e-05 -47 *28423:CLK *28423:D 4.87953e-05 -48 *28424:CLK *5493:55 0 -49 *28424:CLK *5495:57 5.58941e-05 -50 *28427:CLK *28427:D 0.000358977 -51 *28832:CLK *25661:A1 8.17748e-05 -52 *28832:CLK *28366:D 0.000245196 -53 *28832:CLK *3805:47 0.000141278 -54 *28832:CLK *5493:14 0 -55 *28832:CLK *5705:122 3.47641e-06 -56 *3652:6 *5011:12 6.38394e-05 -57 *3652:6 *5487:63 5.96181e-05 -58 *3652:6 *5497:39 4.60236e-05 -59 *3652:10 *5496:10 1.75989e-05 -60 *3652:10 *5497:39 5.60766e-05 -61 *3652:15 *28424:RESET_B 8.27532e-05 -62 *3652:15 *5730:102 9.60939e-05 -63 *3652:16 *5495:57 7.6644e-05 -64 *3652:26 *28422:RESET_B 9.23759e-05 -65 *3652:26 *5592:104 0.000357054 -66 *3652:31 *5730:94 3.10885e-05 -67 *3652:38 *28420:RESET_B 0 -68 *3652:38 *5235:14 1.10422e-05 -69 *3652:38 *5730:87 1.1594e-05 -70 *3652:38 *5730:94 0.000334023 -71 *3652:68 *5487:63 4.19624e-06 -72 *3652:68 *5493:55 0 -73 *3652:68 *5495:57 0.00018408 -74 *3652:79 *5487:63 4.32177e-05 -75 *3652:79 *5491:86 0 -76 *3652:79 *5495:57 0.000756913 -77 *3652:82 *4945:15 0.00014285 -78 *3652:87 *4945:15 0.000440718 -79 *3652:87 *5493:14 0 -80 *3652:87 *5698:114 0 -81 *3652:97 *28152:D 0.000139907 -82 *3652:97 *4244:7 0.000316281 -83 *3652:97 *5493:14 0 -84 *3652:97 *5698:114 0 -85 *3652:97 *5730:142 3.00174e-05 -86 *3652:100 *28152:D 0.00015995 -87 *3652:130 *5078:20 0 -88 *3652:130 *5488:55 0 -89 *3652:130 *5491:86 0 -90 *3652:130 *5495:57 0.000189273 -91 *3652:136 *28154:D 0.000140933 -92 *3652:136 *4257:15 0.000161974 -93 *3652:136 *5078:20 1.26352e-05 -94 *3652:136 *5493:14 0 -95 *3652:136 *5730:133 0.00014285 -96 pll_div[1] *3652:38 0 -97 pll_div[3] *3652:87 9.54798e-06 -98 pll_sel[1] *3652:26 0.000242973 -99 pll_sel[1] *3652:31 4.01768e-05 -100 *25618:S *28419:CLK 0.000390641 -101 *25618:S *28420:CLK 5.50052e-05 -102 *25921:S *3652:6 0 -103 *26817:A *28423:CLK 5.04589e-05 -104 *26817:A *3652:79 0 -105 *244:20 *28427:CLK 0.000469561 -106 *244:20 *3652:11 0.000177738 -107 *244:20 *3652:15 1.56e-05 -108 *460:33 *3652:136 0.000238994 -109 *469:10 *3652:6 3.0193e-05 -110 *469:10 *3652:10 0.000205323 -111 *470:14 *3652:10 0.000193234 -112 *506:24 *28151:CLK 7.83805e-05 -113 *506:24 *3652:100 0.000163121 -114 *701:15 *28419:CLK 0.000390641 -115 *702:20 *28151:CLK 7.2394e-05 -116 *1430:29 *28832:CLK 4.22135e-06 -117 *1471:56 *3652:130 0.000177085 -118 *1471:56 *3652:136 0 -119 *1616:46 *3652:82 6.89649e-05 -120 *1616:46 *3652:87 0.000233895 -121 *1825:34 *28423:CLK 2.89016e-05 -122 *1825:34 *3652:79 0.00022989 -123 *1831:18 *28832:CLK 7.60944e-05 -124 *1831:20 *28832:CLK 6.26079e-05 -125 *1831:26 *28832:CLK 9.30125e-06 -126 *2745:8 *28419:CLK 0.00016002 -127 *3568:49 *28832:CLK 0.000246788 -*RES -1 *28953:X *3652:6 18.175 -2 *3652:6 *3652:10 10.0893 -3 *3652:10 *3652:11 4.64286 -4 *3652:11 *3652:15 6.67857 -5 *3652:15 *3652:16 1.03571 -6 *3652:16 *28424:CLK 14.5321 -7 *3652:16 *3652:26 14.8929 -8 *3652:26 *3652:31 7.08929 -9 *3652:31 *28421:CLK 13.8 -10 *3652:31 *3652:38 7.10714 -11 *3652:38 *28419:CLK 29.8179 -12 *3652:38 *28420:CLK 15.0679 -13 *3652:26 *28422:CLK 10.0321 -14 *3652:15 *3652:68 2.55357 -15 *3652:68 *28423:CLK 18.7643 -16 *3652:68 *3652:79 10.4464 -17 *3652:79 *3652:82 5.85714 -18 *3652:82 *3652:87 13.7143 -19 *3652:87 *28153:CLK 13.8 -20 *3652:87 *3652:97 13.2321 -21 *3652:97 *3652:100 6.75 -22 *3652:100 *28150:CLK 14.3357 -23 *3652:100 *28151:CLK 18.6571 -24 *3652:97 *28152:CLK 9.3 -25 *3652:82 *28367:CLK 9.3 -26 *3652:79 *3652:130 11.9107 -27 *3652:130 *3652:136 17.7679 -28 *3652:136 *28832:CLK 28.4607 -29 *3652:136 *28366:CLK 9.3 -30 *3652:130 *28154:CLK 12.7107 -31 *3652:11 *28426:CLK 10.0321 -32 *3652:10 *28427:CLK 16.0321 -33 *3652:6 *28425:CLK 13.8 -*END - -*D_NET *3653 0.0242933 -*CONN -*I *28834:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28225:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28714:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28716:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28229:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28228:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28227:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28417:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28435:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28416:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28415:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28418:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28226:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28954:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28834:CLK 0.000193433 -2 *28225:CLK 0 -3 *28714:CLK 0.000166983 -4 *28716:CLK 0.000211586 -5 *28229:CLK 0 -6 *28228:CLK 2.56851e-05 -7 *28227:CLK 1.40355e-05 -8 *28417:CLK 9.2795e-05 -9 *28435:CLK 0.000686922 -10 *28416:CLK 2.04425e-05 -11 *28415:CLK 5.13816e-05 -12 *28418:CLK 0.000206105 -13 *28226:CLK 0.00014119 -14 *28954:X 0.000352095 -15 *3653:122 0.000779024 -16 *3653:56 0.000483289 -17 *3653:54 0.000254553 -18 *3653:52 0.000854672 -19 *3653:48 0.000672089 -20 *3653:44 0.000581245 -21 *3653:42 0.000716211 -22 *3653:26 0.00123729 -23 *3653:22 0.000741265 -24 *3653:17 0.000697599 -25 *3653:15 0.000345809 -26 *3653:14 0.000782532 -27 *28226:CLK *28226:D 5.71472e-05 -28 *28226:CLK *29433:A 5.52238e-05 -29 *28226:CLK *3792:51 6.15446e-05 -30 *28227:CLK *28227:D 5.52302e-05 -31 *28227:CLK *5303:9 2.89114e-05 -32 *28228:CLK *4244:7 5.33433e-05 -33 *28228:CLK *4276:17 3.67257e-05 -34 *28415:CLK *29464:A 1.39841e-05 -35 *28415:CLK *5028:9 9.71197e-05 -36 *28415:CLK *5518:33 2.89016e-05 -37 *28416:CLK *4332:25 2.59355e-05 -38 *28417:CLK *26808:B 4.17702e-05 -39 *28417:CLK *26823:A0 3.92049e-05 -40 *28417:CLK *28417:D 0.000344562 -41 *28417:CLK *28417:RESET_B 6.05161e-06 -42 *28418:CLK *26818:A1 9.59924e-05 -43 *28418:CLK *28415:D 0.000161693 -44 *28418:CLK *28422:D 0.000232399 -45 *28435:CLK *25618:A1 8.19176e-05 -46 *28435:CLK *26815:A1 0.000184349 -47 *28435:CLK *28417:D 0.000181114 -48 *28435:CLK *28435:D 1.21843e-05 -49 *28435:CLK *29172:A 0.000139677 -50 *28435:CLK *29571:A 0.000341534 -51 *28435:CLK *30139:A 6.05161e-06 -52 *28435:CLK *30963:A 0.000136248 -53 *28435:CLK *3791:36 0.000258482 -54 *28435:CLK *5069:46 0.000605235 -55 *28435:CLK *5705:67 1.83518e-05 -56 *28435:CLK *5979:21 8.48826e-05 -57 *28714:CLK *28714:RESET_B 0.000146533 -58 *28714:CLK *5705:36 3.34366e-05 -59 *28714:CLK *5705:44 0.000160396 -60 *28714:CLK *5705:227 0.000317779 -61 *28716:CLK *5698:87 0.000236871 -62 *28716:CLK *5731:61 0.000284038 -63 *28834:CLK *3804:14 0.000167001 -64 *28834:CLK *5619:76 0.000177253 -65 *28834:CLK *5665:108 0.000270139 -66 *3653:14 *25660:A0 9.60939e-05 -67 *3653:14 *3815:26 0.000373423 -68 *3653:14 *4801:19 0.000193922 -69 *3653:14 *4969:15 9.41642e-05 -70 *3653:14 *5011:12 0.000204826 -71 *3653:14 *5691:293 0.000116529 -72 *3653:15 *25759:B 0.000113275 -73 *3653:15 *28225:D 6.26276e-05 -74 *3653:15 *5619:76 0.000385049 -75 *3653:15 *5665:108 9.92016e-05 -76 *3653:17 *28225:D 0.000203306 -77 *3653:17 *5619:76 0.000207776 -78 *3653:22 *28225:D 9.76689e-05 -79 *3653:22 *28284:D 7.86916e-05 -80 *3653:22 *5041:54 2.15339e-05 -81 *3653:22 *5619:76 9.0145e-05 -82 *3653:22 *5705:44 4.99212e-05 -83 *3653:26 *28226:D 0.000214133 -84 *3653:26 *29433:A 1.89507e-06 -85 *3653:26 *4258:16 0.000125917 -86 *3653:26 *4964:10 0.00011333 -87 *3653:26 *5041:54 7.29712e-05 -88 *3653:26 *5698:106 5.278e-05 -89 *3653:26 *5705:44 2.9208e-05 -90 *3653:42 *28226:D 8.52803e-05 -91 *3653:42 *29231:A 5.11018e-05 -92 *3653:42 *3792:51 0.000123577 -93 *3653:42 *5778:31 2.52553e-05 -94 *3653:44 *4276:17 1.15313e-05 -95 *3653:44 *5778:31 5.18437e-05 -96 *3653:48 *28418:D 6.96099e-05 -97 *3653:48 *5488:34 0.000230624 -98 *3653:48 *5710:112 2.45832e-05 -99 *3653:48 *5778:16 2.68544e-05 -100 *3653:48 *5778:31 5.20505e-05 -101 *3653:52 *26808:B 5.50052e-05 -102 *3653:52 *26823:A0 8.35129e-05 -103 *3653:52 *28417:D 0.00022197 -104 *3653:52 *5698:256 0.000169917 -105 *3653:54 *5698:256 1.94945e-05 -106 *3653:56 *26818:A1 7.79554e-05 -107 *3653:56 *28415:D 8.6229e-06 -108 *3653:56 *28418:D 0.000120722 -109 *3653:56 *4383:16 0.000128599 -110 *3653:56 *5698:256 5.05056e-05 -111 *3653:122 *5705:44 0.00109083 -112 *25106:B *3653:22 1.59145e-05 -113 *25618:S *28416:CLK 5.33005e-05 -114 *26808:A *28417:CLK 0.000189241 -115 *29309:A *3653:44 6.87975e-06 -116 *29309:A *3653:48 3.33467e-05 -117 *30015:A *3653:26 0 -118 *244:20 *28418:CLK 0.000230482 -119 *753:13 *28435:CLK 0.000155602 -120 *755:18 *28435:CLK 1.48029e-05 -121 *760:20 *28435:CLK 0.00025548 -122 *1427:8 *28714:CLK 0.000193833 -123 *1427:8 *3653:122 0.00108669 -124 *1430:43 *28714:CLK 5.90774e-05 -125 *1471:56 *28435:CLK 0.000344341 -126 *2745:24 *3653:52 8.6229e-06 -127 *2745:33 *3653:52 0.000160893 -128 *2745:33 *3653:54 1.08716e-05 -129 *2745:33 *3653:56 8.6229e-06 -*RES -1 *28954:X *3653:14 27.0143 -2 *3653:14 *3653:15 7.10714 -3 *3653:15 *3653:17 3.82143 -4 *3653:17 *3653:22 8.82143 -5 *3653:22 *3653:26 13.7321 -6 *3653:26 *28226:CLK 12.05 -7 *3653:26 *3653:42 11.8929 -8 *3653:42 *3653:44 2.55357 -9 *3653:44 *3653:48 11.9107 -10 *3653:48 *3653:52 9.08036 -11 *3653:52 *3653:54 0.276786 -12 *3653:54 *3653:56 4.67857 -13 *3653:56 *28418:CLK 19.1393 -14 *3653:56 *28415:CLK 15.175 -15 *3653:54 *28416:CLK 14.3357 -16 *3653:52 *28435:CLK 38.0733 -17 *3653:48 *28417:CLK 12.7107 -18 *3653:44 *28227:CLK 14.3357 -19 *3653:42 *28228:CLK 14.7464 -20 *3653:22 *28229:CLK 13.8 -21 *3653:17 *3653:122 18.8929 -22 *3653:122 *28716:CLK 20.0857 -23 *3653:122 *28714:CLK 20.175 -24 *3653:15 *28225:CLK 9.3 -25 *3653:14 *28834:CLK 23.9607 -*END - -*D_NET *3654 0.0207357 -*CONN -*I *28141:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28130:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28132:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28235:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28140:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28142:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28218:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28184:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28236:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28178:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28212:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28285:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28288:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28287:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28286:CLK I *D sky130_fd_sc_hd__dfstp_4 -*I *28284:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28955:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28141:CLK 0 -2 *28130:CLK 0 -3 *28132:CLK 0.000267812 -4 *28235:CLK 0 -5 *28140:CLK 0.000184932 -6 *28142:CLK 0.000209476 -7 *28218:CLK 0 -8 *28184:CLK 0.000194135 -9 *28236:CLK 0 -10 *28178:CLK 0 -11 *28212:CLK 6.81205e-05 -12 *28285:CLK 0 -13 *28288:CLK 0.000269342 -14 *28287:CLK 9.45794e-05 -15 *28286:CLK 0.00048513 -16 *28284:CLK 0.000166752 -17 *28955:X 0.000194026 -18 *3654:137 0.000739414 -19 *3654:123 0.000576876 -20 *3654:110 0.000340039 -21 *3654:88 0.000587336 -22 *3654:87 0.000602678 -23 *3654:85 0.000339064 -24 *3654:78 0.000480938 -25 *3654:63 0.000446792 -26 *3654:61 0.000856157 -27 *3654:59 0.000756591 -28 *3654:20 0.000748882 -29 *3654:18 0.000408111 -30 *3654:16 0.000559936 -31 *3654:13 0.000240737 -32 *3654:6 0.000831514 -33 *28132:CLK *25732:A1 4.87953e-05 -34 *28132:CLK *28201:D 2.89114e-05 -35 *28132:CLK *6058:26 0.000197028 -36 *28140:CLK *28140:RESET_B 7.32955e-05 -37 *28140:CLK *5732:56 0.000170955 -38 *28142:CLK *29609:A 6.05161e-06 -39 *28184:CLK *29609:A 0.000126639 -40 *28184:CLK *4183:22 0.000174805 -41 *28212:CLK *4183:24 0 -42 *28284:CLK *25831:A1 9.90431e-05 -43 *28284:CLK *5698:90 7.98494e-05 -44 *28284:CLK *5698:106 4.38128e-05 -45 *28287:CLK *28287:D 0.000227539 -46 *28288:CLK *25833:A1 0.000445984 -47 *28288:CLK *28288:D 8.07313e-05 -48 *28288:CLK *28288:RESET_B 2.51837e-05 -49 *28288:CLK *29993:A 9.46929e-05 -50 *28288:CLK *4131:29 6.05161e-06 -51 *28288:CLK *5063:17 0.000216547 -52 *3654:6 *5698:285 0.000565193 -53 *3654:13 *5698:285 9.91086e-05 -54 *3654:16 *25833:A0 6.76272e-05 -55 *3654:16 *28141:D 0.000200413 -56 *3654:18 *25833:A0 0.000120236 -57 *3654:18 *28141:D 0.000148189 -58 *3654:18 *29993:A 0 -59 *3654:18 *5698:90 0.000131491 -60 *3654:18 *5698:285 9.72343e-05 -61 *3654:20 *5698:90 0.000100969 -62 *3654:59 *28141:D 0.000101157 -63 *3654:59 *5733:117 1.13406e-05 -64 *3654:63 *28178:D 7.77652e-05 -65 *3654:63 *28178:RESET_B 4.96155e-05 -66 *3654:63 *28212:D 7.32272e-05 -67 *3654:63 *4202:8 0.000162947 -68 *3654:78 *28178:RESET_B 0.000226021 -69 *3654:78 *5732:18 0.00012401 -70 *3654:78 *5732:36 3.34366e-05 -71 *3654:85 *25547:A1 0.000462842 -72 *3654:85 *5732:36 0.000738471 -73 *3654:85 *5732:48 5.66157e-05 -74 *3654:88 *28218:RESET_B 4.27935e-05 -75 *3654:88 *29609:A 0.000418677 -76 *3654:110 *25547:A1 0.000173804 -77 *3654:110 *5732:48 0.000166269 -78 *3654:123 *25547:A1 0.000123738 -79 *3654:137 *25547:A1 0.000531682 -80 *3654:137 *28130:D 5.21937e-05 -81 *3654:137 *28130:RESET_B 5.83233e-05 -82 *25556:A0 *28132:CLK 0.000201127 -83 *25648:S *3654:6 0.000310314 -84 *25695:S *3654:123 0.000177141 -85 *25695:S *3654:137 0.0001934 -86 *25829:S *28286:CLK 9.71197e-05 -87 *25831:S *28284:CLK 8.00409e-05 -88 *29259:A *28212:CLK 0.000125717 -89 *30030:A *3654:18 0.000117189 -90 *30030:A *3654:20 9.25014e-06 -91 *627:15 *28286:CLK 0.000181803 -92 *1287:60 *3654:137 0.000593119 -93 *1323:17 *3654:13 0.000177815 -94 *1430:46 *28184:CLK 0 -95 *1430:46 *28212:CLK 0.000173097 -96 *1876:8 *3654:6 9.45668e-05 -97 *1876:8 *3654:13 3.0285e-05 -98 *1876:26 *28284:CLK 1.36251e-05 -99 *1876:26 *3654:18 5.41054e-05 -100 *1876:26 *3654:20 9.53126e-05 -101 *3568:59 *3654:78 0 -102 *3568:59 *3654:85 0 -*RES -1 *28955:X *3654:6 21.2107 -2 *3654:6 *3654:13 8.03571 -3 *3654:13 *3654:16 7.05357 -4 *3654:16 *3654:18 7.41071 -5 *3654:18 *3654:20 2.55357 -6 *3654:20 *28284:CLK 17.7107 -7 *3654:20 *28286:CLK 22.1393 -8 *3654:18 *28287:CLK 15.9786 -9 *3654:16 *28288:CLK 22.9607 -10 *3654:13 *28285:CLK 9.3 -11 *3654:6 *3654:59 10.5893 -12 *3654:59 *3654:61 4.64286 -13 *3654:61 *3654:63 7.92857 -14 *3654:63 *28212:CLK 20.55 -15 *3654:63 *28178:CLK 9.3 -16 *3654:61 *3654:78 9.17857 -17 *3654:78 *28236:CLK 13.8 -18 *3654:78 *3654:85 10.1429 -19 *3654:85 *3654:87 4.5 -20 *3654:87 *3654:88 7.92857 -21 *3654:88 *28184:CLK 22.7286 -22 *3654:88 *28218:CLK 9.3 -23 *3654:87 *28142:CLK 12.7107 -24 *3654:85 *3654:110 2.25 -25 *3654:110 *28140:CLK 18.0321 -26 *3654:110 *3654:123 3.21429 -27 *3654:123 *28235:CLK 13.8 -28 *3654:123 *3654:137 18.3036 -29 *3654:137 *28132:CLK 24.3893 -30 *3654:137 *28130:CLK 9.3 -31 *3654:59 *28141:CLK 9.3 -*END - -*D_NET *3655 0.0212098 -*CONN -*I *28374:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *28373:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28372:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28363:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28242:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28234:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28337:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28371:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28587:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *28339:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28956:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28374:CLK 0 -2 *28373:CLK 1.78108e-05 -3 *28372:CLK 0.000143821 -4 *28363:CLK 0.000331147 -5 *28242:CLK 0 -6 *28234:CLK 7.14051e-05 -7 *28337:CLK 0.00012381 -8 *28371:CLK 0.00019709 -9 *28587:CLK 0.000788498 -10 *28339:CLK 0.000678977 -11 *28956:X 0.000293042 -12 *3655:107 0.00100803 -13 *3655:100 0.000984183 -14 *3655:79 0.000858295 -15 *3655:70 0.000615533 -16 *3655:24 0.00165519 -17 *3655:20 0.000446569 -18 *3655:18 0.000288807 -19 *3655:17 0.00097323 -20 *3655:10 0.000901085 -21 *28234:CLK *28234:RESET_B 0.000136951 -22 *28337:CLK *28337:D 0.000238795 -23 *28337:CLK *28337:SET_B 0.000144156 -24 *28339:CLK *28339:D 7.24871e-05 -25 *28339:CLK *28339:RESET_B 4.83849e-05 -26 *28339:CLK *5833:57 5.97935e-05 -27 *28363:CLK *25780:A1 0.00010209 -28 *28363:CLK *28234:D 0.000126439 -29 *28363:CLK *3765:14 0.000125264 -30 *28363:CLK *5709:85 0.000748729 -31 *28371:CLK *25115:A1 6.05161e-06 -32 *28371:CLK *25885:A0 0.000345257 -33 *28372:CLK *28372:SET_B 5.72899e-05 -34 *28372:CLK *4077:7 4.58194e-05 -35 *28373:CLK *25928:A0 2.45626e-05 -36 *28373:CLK *5669:39 4.18895e-05 -37 *28587:CLK *28339:RESET_B 0.000286046 -38 *28587:CLK *28587:SET_B 0.000148327 -39 *28587:CLK *5758:78 0.000946441 -40 *3655:10 *4035:23 0.000261801 -41 *3655:10 *4078:16 5.72316e-05 -42 *3655:10 *5641:96 0.000301016 -43 *3655:10 *5878:14 0.000170519 -44 *3655:17 *25926:A1 2.28598e-05 -45 *3655:17 *25929:A0 0.000555465 -46 *3655:18 *3752:14 9.25014e-06 -47 *3655:18 *4087:22 0.000121456 -48 *3655:18 *5688:176 8.32242e-05 -49 *3655:18 *5688:181 0.000164554 -50 *3655:20 *3752:14 0.000196269 -51 *3655:20 *5688:181 0.000187019 -52 *3655:24 *3752:14 0.000495012 -53 *3655:24 *3775:28 8.49698e-05 -54 *3655:24 *5688:181 9.25014e-06 -55 *3655:70 *25928:A0 0.000257619 -56 *3655:70 *4087:20 0 -57 *3655:70 *4087:22 0.00017452 -58 *3655:70 *5669:39 0.000264428 -59 *3655:70 *5688:176 0.000317984 -60 *3655:79 *25770:S 0.000198429 -61 *3655:79 *29341:A 2.22043e-05 -62 *3655:79 *3762:17 5.9297e-05 -63 *3655:79 *3767:8 0.000367334 -64 *3655:79 *5824:8 1.90936e-05 -65 *3655:79 *5833:40 4.12053e-05 -66 *3655:100 *29341:A 0.000239247 -67 *3655:100 *5688:173 2.26973e-05 -68 *3655:100 *5824:8 0.000215106 -69 *3655:100 *5824:84 1.04707e-05 -70 *3655:107 *28242:SET_B 2.29097e-05 -71 *3655:107 *28372:SET_B 0.000144024 -72 *3655:107 *5758:178 3.69047e-06 -73 *3655:107 *5758:196 9.02017e-05 -74 *25245:C1 *28587:CLK 0.000274538 -75 *25885:S *28371:CLK 0.000136958 -76 *25926:S *3655:17 0.000263524 -77 *29741:A *3655:24 0.000311028 -78 *1464:38 *28587:CLK 5.533e-05 -79 *1464:38 *3655:17 4.58194e-05 -80 *1464:69 *3655:100 7.71153e-06 -81 *1873:12 *3655:79 0.000364905 -82 *1873:21 *3655:17 0.000263524 -83 *3413:25 *3655:100 3.57889e-05 -84 *3541:68 *28587:CLK 5.38072e-05 -85 *3576:99 *3655:10 0.000125186 -*RES -1 *28956:X *3655:10 25.6036 -2 *3655:10 *3655:17 16.5714 -3 *3655:17 *3655:18 3.16071 -4 *3655:18 *3655:20 2.55357 -5 *3655:20 *3655:24 11 -6 *3655:24 *28339:CLK 17.1393 -7 *3655:24 *28587:CLK 34.0679 -8 *3655:20 *28371:CLK 18.0321 -9 *3655:18 *28337:CLK 17.3536 -10 *3655:17 *3655:70 12.125 -11 *3655:70 *3655:79 17.0507 -12 *3655:79 *28234:CLK 15.1571 -13 *3655:79 *3655:100 15.2104 -14 *3655:100 *28242:CLK 9.3 -15 *3655:100 *3655:107 8.35714 -16 *3655:107 *28363:CLK 28.7821 -17 *3655:107 *28372:CLK 11.8893 -18 *3655:70 *28373:CLK 9.83571 -19 *3655:10 *28374:CLK 9.3 -*END - -*D_NET *3656 0.0199103 -*CONN -*I *28244:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28347:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28345:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28348:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28349:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *28338:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28233:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28232:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *28231:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28336:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28335:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28346:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *28957:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28244:CLK 0.000157601 -2 *28347:CLK 0.000117106 -3 *28345:CLK 0.000318901 -4 *28348:CLK 5.10659e-05 -5 *28349:CLK 0 -6 *28338:CLK 0 -7 *28233:CLK 0.000137494 -8 *28232:CLK 0 -9 *28231:CLK 6.03739e-05 -10 *28336:CLK 0.000447098 -11 *28335:CLK 0.000289775 -12 *28346:CLK 0.000267299 -13 *28957:X 0.00017088 -14 *3656:116 0.000614462 -15 *3656:104 0.000802663 -16 *3656:93 0.000368101 -17 *3656:84 0.000301107 -18 *3656:47 0.000725369 -19 *3656:42 0.000426842 -20 *3656:38 0.00045084 -21 *3656:35 0.0001044 -22 *3656:22 0.000626004 -23 *3656:11 0.000882341 -24 *3656:8 0.000577772 -25 *28231:CLK *25767:S 7.02611e-05 -26 *28231:CLK *28231:D 2.59355e-05 -27 *28231:CLK *28405:RESET_B 6.05161e-06 -28 *28233:CLK *28233:D 6.91207e-05 -29 *28233:CLK *3948:17 8.5353e-05 -30 *28233:CLK *5938:31 0.000184229 -31 *28244:CLK *6036:52 0.000558289 -32 *28244:CLK *6353:10 7.64841e-05 -33 *28244:CLK *6353:12 0.000344357 -34 *28335:CLK *25768:A1 4.46186e-06 -35 *28335:CLK *28335:D 0.000108535 -36 *28335:CLK *4350:8 0.000360102 -37 *28335:CLK *5250:7 1.33343e-05 -38 *28335:CLK *5250:27 0.000118871 -39 *28336:CLK *25766:A1 7.43854e-05 -40 *28336:CLK *25883:A1 0.000226605 -41 *28336:CLK *28231:D 5.66157e-05 -42 *28336:CLK *29040:A 0.000260574 -43 *28336:CLK *5715:195 0.000224577 -44 *28336:CLK *5780:18 3.75052e-05 -45 *28345:CLK *28345:D 5.75147e-05 -46 *28346:CLK *29810:A 3.88914e-05 -47 *28347:CLK *25895:A0 9.41642e-05 -48 *28347:CLK *25895:A1 5.56594e-05 -49 *28347:CLK *5688:158 0.000136958 -50 *28348:CLK *28348:D 9.60875e-05 -51 *3656:8 *5697:23 0.000200406 -52 *3656:8 *5780:61 0.000253915 -53 *3656:11 *29810:A 2.575e-05 -54 *3656:22 *4350:8 0.000797469 -55 *3656:22 *5250:27 0.00032189 -56 *3656:38 *28338:D 0.000522613 -57 *3656:38 *29595:A 0.000522613 -58 *3656:42 *28232:D 5.33005e-05 -59 *3656:42 *5938:31 0.000592064 -60 *3656:47 *25883:A1 0.000360816 -61 *3656:47 *28231:D 0.000353995 -62 *3656:47 *28232:D 0.000222666 -63 *3656:84 *5697:23 0.000325965 -64 *3656:84 *5780:61 0.00012401 -65 *3656:93 *28349:D 0.00012783 -66 *3656:93 *5697:23 0.000371601 -67 *3656:104 *28349:D 0.000126439 -68 *3656:104 *5688:158 0.000272672 -69 *3656:104 *5697:23 9.62638e-05 -70 *3656:116 *28345:D 4.85033e-05 -71 *3656:116 *28345:RESET_B 6.05161e-06 -72 *3656:116 *6036:46 3.4323e-06 -73 *3656:116 *6036:52 0.000135242 -74 *3656:116 *6353:12 0.000148196 -75 *25896:S *3656:8 1.58163e-05 -76 *26587:A *3656:104 0.000248493 -77 *28406:CLK *28336:CLK 0.00105765 -78 *29584:A *3656:93 9.91086e-05 -79 *29750:A *3656:42 2.44318e-05 -80 *29750:A *3656:47 0.000132889 -81 *1677:26 *3656:42 0.000145196 -82 *2483:28 *28233:CLK 0.000195194 -83 *2483:28 *3656:42 0.000590342 -84 *3583:24 *3656:84 7.35679e-05 -85 *3583:24 *3656:93 1.00375e-05 -86 *3583:24 *3656:104 2.14474e-05 -*RES -1 *28957:X *3656:8 17.7107 -2 *3656:8 *3656:11 7.5 -3 *3656:11 *28346:CLK 12.6214 -4 *3656:11 *3656:22 14.9464 -5 *3656:22 *28335:CLK 21.0857 -6 *3656:22 *3656:35 4.5 -7 *3656:35 *3656:38 9.55357 -8 *3656:38 *3656:42 12.75 -9 *3656:42 *3656:47 11.7679 -10 *3656:47 *28336:CLK 26.8714 -11 *3656:47 *28231:CLK 15.1571 -12 *3656:42 *28232:CLK 9.3 -13 *3656:38 *28233:CLK 18.1214 -14 *3656:35 *28338:CLK 9.3 -15 *3656:8 *3656:84 4.375 -16 *3656:84 *28349:CLK 13.8 -17 *3656:84 *3656:93 5.51786 -18 *3656:93 *28348:CLK 14.7464 -19 *3656:93 *3656:104 10.0893 -20 *3656:104 *28345:CLK 13.0321 -21 *3656:104 *3656:116 9.44643 -22 *3656:116 *28347:CLK 16.3893 -23 *3656:116 *28244:CLK 20.9071 -*END - -*D_NET *3657 0.0104016 -*CONN -*I *28927:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28958:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28927:A 0 -2 *28958:X 0.000482094 -3 *3657:10 0.00152772 -4 *3657:7 0.00200982 -5 *3657:7 *3935:28 2.32287e-05 -6 *3657:7 *5743:60 9.41642e-05 -7 *3657:10 *24868:B 0.000137336 -8 *3657:10 *24871:B1_N 0.000327064 -9 *3657:10 *24874:A_N 0.000116112 -10 *3657:10 *24876:A 7.87814e-05 -11 *3657:10 *24925:B 2.86754e-05 -12 *3657:10 *24933:B 5.49065e-05 -13 *3657:10 *24933:C_N 0.000703523 -14 *3657:10 *4160:98 0.000182694 -15 *3657:10 *5805:38 5.24359e-05 -16 *3657:10 *5838:14 0.00118186 -17 *3657:10 *5838:44 6.91157e-05 -18 *25826:S *3657:10 0.000108516 -19 *29892:A *3657:10 0.000502026 -20 *1173:82 *3657:10 0.000608104 -21 *1173:96 *3657:10 5.78002e-05 -22 *1195:6 *3657:10 1.45616e-05 -23 *1259:8 *3657:10 0.00129161 -24 *1287:14 *3657:10 5.87225e-05 -25 *1287:25 *3657:10 7.69776e-06 -26 *1827:54 *3657:7 0.000265447 -27 *1875:35 *3657:10 0.000230558 -28 *3570:86 *3657:10 2.30043e-05 -29 *3570:97 *3657:10 0.00016398 -*RES -1 *28958:X *3657:7 19.675 -2 *3657:7 *3657:10 49.5536 -3 *3657:10 *28927:A 9.3 -*END - -*D_NET *3658 0.00872507 -*CONN -*I *28887:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28959:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *28887:A 0 -2 *28959:X 0.000328763 -3 *3658:10 0.00149615 -4 *3658:7 0.00182491 -5 *3658:10 *28299:D 5.33882e-05 -6 *3658:10 *3740:30 9.12894e-05 -7 *3658:10 *5545:30 0 -8 *3658:10 *5824:50 0.000101545 -9 *3658:10 *6358:27 0 -10 *26413:B2 *3658:10 0.000601904 -11 *26441:D_N *3658:10 0 -12 *26609:B *3658:10 0.000105638 -13 *26614:B *3658:10 4.9275e-05 -14 *28299:CLK *3658:10 0.000172528 -15 *28959:A *3658:7 0.000599293 -16 *2104:118 *3658:10 0.000427163 -17 *2104:124 *3658:10 0.00113295 -18 *2198:23 *3658:10 0 -19 *2654:10 *3658:10 0.00171184 -20 *3586:10 *3658:10 2.84398e-05 -*RES -1 *28959:X *3658:7 19.675 -2 *3658:7 *3658:10 48.9464 -3 *3658:10 *28887:A 9.3 -*END - -*D_NET *3659 0.0402529 -*CONN -*I *28841:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *25566:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *28841:A 0.00528214 -2 *25566:X 0.00669792 -3 *3659:14 0.0119801 -4 *28841:A *25343:A1 2.06352e-05 -5 *28841:A *27946:B2 8.65987e-06 -6 *28841:A *4069:132 0 -7 *28841:A *5584:103 2.11419e-05 -8 *28841:A *5589:14 0 -9 *28841:A *5610:54 0.000127359 -10 *28841:A *5641:70 0 -11 *28841:A *5848:20 4.99344e-06 -12 *3659:14 *3766:40 0.000116627 -13 *3659:14 *3766:53 0.00112044 -14 *3659:14 *4013:17 0.000319585 -15 *3659:14 *4013:18 0.000124637 -16 *3659:14 *4013:26 0.000197779 -17 *3659:14 *4026:24 0.000119987 -18 *3659:14 *4026:26 0.000570235 -19 *3659:14 *4035:23 0.000153094 -20 *3659:14 *4036:17 0.000266517 -21 *3659:14 *4036:25 0.000254682 -22 *3659:14 *4038:8 0.000291236 -23 *3659:14 *4105:78 0.000643572 -24 *3659:14 *5457:22 0.00304105 -25 *3659:14 *5640:98 0.000232961 -26 *3659:14 *5640:120 0.00018699 -27 *3659:14 *5641:78 0.000176806 -28 *3659:14 *5641:102 0.00233332 -29 *3659:14 *5709:76 0.000227888 -30 *3659:14 *5762:27 0.000160306 -31 *3659:14 *5823:51 0.0024446 -32 *3659:14 *5878:14 0.000551009 -33 *25293:D *28841:A 2.11419e-05 -34 *27946:A2 *28841:A 0.000222981 -35 *29732:A *3659:14 0.00013235 -36 *1266:64 *28841:A 0.000184989 -37 *1274:59 *28841:A 0 -38 *1281:103 *28841:A 6.84495e-05 -39 *1396:120 *28841:A 0.000474021 -40 *1423:37 *3659:14 0.000263541 -41 *1435:33 *3659:14 0.000314985 -42 *1485:39 *28841:A 3.6467e-05 -43 *1511:18 *28841:A 0 -44 *1665:20 *28841:A 0.000504534 -45 *1665:28 *28841:A 0.00014511 -46 *1677:26 *3659:14 0 -47 *2780:184 *28841:A 1.37469e-05 -48 *3176:202 *28841:A 2.57471e-05 -49 *3592:8 *3659:14 0.000168631 -*RES -1 *25566:X *3659:14 48.4892 -2 *3659:14 *28841:A 28.2357 -*END - -*D_NET *3660 0.00377451 -*CONN -*I *27670:A I *D sky130_fd_sc_hd__or2_1 -*I *29184:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27333:A1 I *D sky130_fd_sc_hd__o221a_1 -*I *25407:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28466:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *27670:A 0.000128448 -2 *29184:A 0 -3 *27333:A1 9.15565e-05 -4 *25407:A1 0.000339292 -5 *28466:Q 5.85756e-05 -6 *3660:24 9.15565e-05 -7 *3660:22 0.000251119 -8 *3660:9 0.000520539 -9 *25407:A1 *26875:A0 0.00021084 -10 *25407:A1 *28466:D 9.60939e-05 -11 *25407:A1 *29035:A 1.69221e-05 -12 *25407:A1 *5196:78 0.000576511 -13 *3660:9 *28466:D 1.15238e-05 -14 *3660:22 *26875:A0 0.000120543 -15 *27333:A2 *27333:A1 0.000345257 -16 *27671:A3 *27670:A 0.000137912 -17 *27671:A3 *3660:22 8.6229e-06 -18 *28466:CLK *25407:A1 6.05161e-06 -19 *28466:CLK *27670:A 9.34384e-05 -20 *28466:CLK *3660:22 1.76569e-05 -21 *1182:26 *27333:A1 0.000345257 -22 *1536:27 *25407:A1 0 -23 *1536:27 *27670:A 3.58934e-05 -24 *1536:27 *3660:22 3.45158e-05 -25 *1723:27 *25407:A1 2.95076e-06 -26 *2780:25 *27670:A 2.89016e-05 -27 *2875:46 *25407:A1 1.7845e-05 -28 *2882:31 *27670:A 5.52238e-05 -29 *2883:29 *3660:22 6.57603e-05 -30 *3165:201 *25407:A1 6.56976e-05 -*RES -1 *28466:Q *3660:9 10.4875 -2 *3660:9 *25407:A1 26.9607 -3 *3660:9 *3660:22 6.75 -4 *3660:22 *3660:24 4.5 -5 *3660:24 *27333:A1 12.7107 -6 *3660:24 *29184:A 9.3 -7 *3660:22 *27670:A 17.1929 -*END - -*D_NET *3661 0.0333613 -*CONN -*I *25272:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27917:A1 I *D sky130_fd_sc_hd__o221a_1 -*I *27548:A1 I *D sky130_fd_sc_hd__o221a_1 -*I *29176:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28222:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *25272:B2 0.000537881 -2 *27917:A1 0.000141812 -3 *27548:A1 0.00121611 -4 *29176:A 0.00145495 -5 *28222:Q 0.000432488 -6 *3661:36 0.00197197 -7 *3661:31 0.00419954 -8 *3661:10 0.00493504 -9 *25272:B2 *3788:49 0.000382943 -10 *25272:B2 *3973:83 1.33343e-05 -11 *25272:B2 *5706:242 0.000266301 -12 *27548:A1 *25933:A0 2.37302e-05 -13 *27548:A1 *25933:A1 0.000223246 -14 *27548:A1 *27858:B2 0.000194506 -15 *27548:A1 *27883:B2 0.000345257 -16 *27548:A1 *27917:C1 5.33005e-05 -17 *27548:A1 *5698:36 0.000389748 -18 *27548:A1 *5699:147 4.09646e-05 -19 *27548:A1 *5706:196 2.06178e-05 -20 *27548:A1 *5738:100 1.31781e-06 -21 *27917:A1 *27917:C1 9.58181e-05 -22 *29176:A *25398:A1 5.96243e-05 -23 *29176:A *28223:D 0.000130045 -24 *29176:A *3671:28 0.000322823 -25 *29176:A *3801:56 0.000607772 -26 *29176:A *3801:109 0.000563481 -27 *29176:A *4946:14 1.55885e-05 -28 *29176:A *5126:11 4.46186e-06 -29 *29176:A *5484:64 0.000111921 -30 *29176:A *5619:76 0.00210852 -31 *29176:A *5705:139 8.68976e-05 -32 *29176:A *5710:183 0.000579116 -33 *3661:10 *26822:A1 4.1686e-05 -34 *3661:10 *28222:RESET_B 0.000138399 -35 *3661:10 *3671:6 4.75956e-06 -36 *3661:10 *3671:75 4.47619e-05 -37 *3661:10 *5735:51 0.000210275 -38 *3661:10 *6323:10 0.000525655 -39 *3661:31 *25398:A1 0.000194991 -40 *3661:31 *25758:A0 0.0003826 -41 *3661:31 *25940:A0 9.09604e-05 -42 *3661:31 *29430:A 0.000370052 -43 *3661:31 *3792:75 2.59024e-05 -44 *3661:31 *3801:109 0.000639394 -45 *3661:31 *4617:23 0.000160526 -46 *3661:31 *5488:79 0.000429522 -47 *3661:31 *5691:229 4.38296e-05 -48 *3661:31 *5706:242 0.000137427 -49 *3661:31 *5933:17 0 -50 *3661:31 *6324:17 0.000240428 -51 *3661:36 *25294:A1 0.00012026 -52 *3661:36 *4139:53 9.22222e-06 -53 *3661:36 *5718:88 2.06178e-05 -54 *3661:36 *5718:95 0.000935136 -55 *25272:C1 *25272:B2 4.97573e-06 -56 *25294:A2 *3661:36 0.00025175 -57 *25933:S *27548:A1 0.000142011 -58 *27883:B1 *27548:A1 0.00046681 -59 *27883:B1 *27917:A1 0.000332399 -60 *27892:B1 *3661:36 0.000935136 -61 *27901:B1 *25272:B2 0.000246989 -62 *27917:A2 *27917:A1 5.33005e-05 -63 *27917:B2 *27917:A1 4.32623e-05 -64 *28222:CLK *3661:10 0.000175892 -65 *28952:A *29176:A 2.59355e-05 -66 *30034:A *29176:A 5.33005e-05 -67 *473:29 *3661:31 0.000369758 -68 *564:19 *29176:A 8.53089e-05 -69 *1163:17 *29176:A 5.28827e-05 -70 *1263:122 *3661:31 8.96255e-06 -71 *1264:17 *3661:31 6.2641e-05 -72 *1264:22 *3661:31 0.000448064 -73 *1264:162 *3661:31 0.000265669 -74 *1452:27 *25272:B2 0.000223182 -75 *1471:43 *29176:A 0.00105832 -76 *1624:76 *3661:36 0.000173258 -77 *1713:26 *3661:31 8.85341e-05 -78 *1713:26 *3661:36 0.000226869 -79 *1725:8 *25272:B2 6.68069e-05 -80 *1831:18 *29176:A 0.000269726 -81 *1897:18 *27548:A1 0.000219711 -82 *2844:37 *27548:A1 0.000263046 -83 *2860:64 *25272:B2 0.000175936 -84 *2860:64 *3661:31 0.000145187 -85 *3145:14 *27548:A1 4.2797e-05 -86 *3183:103 *3661:36 2.19497e-05 -87 *3212:44 *3661:36 4.23791e-05 -88 *3460:21 *3661:31 1.32965e-05 -89 *3503:19 *27548:A1 7.69776e-06 -90 *3651:8 *29176:A 0 -*RES -1 *28222:Q *3661:10 26.2368 -2 *3661:10 *29176:A 42.2372 -3 *3661:10 *3661:31 14.6126 -4 *3661:31 *3661:36 27.0082 -5 *3661:36 *27548:A1 43.5892 -6 *3661:36 *27917:A1 13.1393 -7 *3661:31 *25272:B2 24.1492 -*END - -*D_NET *3662 0.0431893 -*CONN -*I *25214:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27570:A1 I *D sky130_fd_sc_hd__o221a_1 -*I *27941:B2 I *D sky130_fd_sc_hd__o32a_1 -*I *30033:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28223:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *25214:B2 1.93904e-05 -2 *27570:A1 0.00159644 -3 *27941:B2 1.56718e-05 -4 *30033:A 3.81592e-05 -5 *28223:Q 0.000134153 -6 *3662:79 0.0025866 -7 *3662:43 0.00233734 -8 *3662:34 0.00549486 -9 *3662:30 0.003935 -10 *3662:19 0.00341809 -11 *3662:8 0.00185783 -12 *25214:B2 *5687:73 1.28809e-05 -13 *27570:A1 *25668:A1 1.74782e-05 -14 *27570:A1 *27552:B2 0.000558746 -15 *27570:A1 *3922:11 1.58163e-05 -16 *30033:A *25757:A0 0.000136682 -17 *30033:A *3801:109 0.000136682 -18 *3662:8 *29995:A 9.41642e-05 -19 *3662:8 *5320:8 0.000120708 -20 *3662:19 *27540:A 0.000354664 -21 *3662:19 *27906:B2 8.7892e-05 -22 *3662:19 *29549:A 2.26327e-05 -23 *3662:19 *3789:20 1.1942e-05 -24 *3662:19 *3789:32 0.000808215 -25 *3662:19 *3792:75 0.0001326 -26 *3662:19 *3792:80 0.000770018 -27 *3662:19 *3792:82 0.000141359 -28 *3662:19 *3817:11 0.00030583 -29 *3662:19 *3845:47 3.4323e-06 -30 *3662:19 *3880:50 4.32957e-05 -31 *3662:19 *5320:8 0.00140911 -32 *3662:19 *5699:147 0 -33 *3662:19 *5738:85 0.00010326 -34 *3662:19 *5738:100 1.24469e-05 -35 *3662:30 *25665:A1 0.000270236 -36 *3662:30 *3792:82 0.00205737 -37 *3662:30 *3841:25 0 -38 *3662:30 *3960:86 0.000153072 -39 *3662:30 *4074:52 0.000184726 -40 *3662:30 *4153:62 0.000141969 -41 *3662:30 *5936:78 7.6644e-05 -42 *3662:34 *3776:90 0.000508637 -43 *3662:34 *3779:59 0 -44 *3662:34 *3780:34 0 -45 *3662:34 *3923:32 0 -46 *3662:34 *5196:47 1.48354e-05 -47 *3662:34 *5629:89 2.01997e-05 -48 *3662:34 *5687:58 2.52905e-05 -49 *3662:34 *5744:114 0.000496336 -50 *3662:43 *3776:54 0.000319146 -51 *3662:43 *3857:74 0.000374797 -52 *3662:43 *5691:93 0.000761583 -53 *3662:79 *3875:73 0.000242006 -54 *3662:79 *5623:66 2.06112e-05 -55 *3662:79 *5655:150 0.000136733 -56 *3662:79 *5687:58 0.000128021 -57 *3662:79 *5687:73 0.000300213 -58 *25214:B1 *25214:B2 1.90325e-05 -59 *25214:B1 *3662:79 6.05161e-06 -60 *25303:B *3662:79 2.01997e-05 -61 *25414:C *3662:79 0.000156591 -62 *27552:B1 *3662:43 1.20192e-05 -63 *27559:A *27570:A1 0.000755824 -64 *27568:A2 *3662:34 0.00137517 -65 *27903:B1 *3662:30 0.000237923 -66 *27926:B1 *3662:34 2.25686e-05 -67 *471:12 *3662:8 0.000118986 -68 *471:12 *3662:19 0.00128237 -69 *1277:33 *3662:79 2.63501e-05 -70 *1448:160 *3662:79 1.90936e-05 -71 *1545:28 *3662:43 8.17706e-06 -72 *2852:212 *3662:34 0.000322306 -73 *2855:39 *3662:30 0.000128349 -74 *2871:45 *3662:19 0.000196262 -75 *3067:12 *3662:19 0 -76 *3145:14 *3662:19 0.000277891 -77 *3145:14 *3662:30 0.00195715 -78 *3170:83 *27570:A1 6.98302e-05 -79 *3170:83 *3662:43 2.04825e-05 -80 *3176:69 *3662:79 0.00182712 -81 *3182:134 *3662:34 8.57736e-06 -82 *3183:167 *3662:79 0.000180066 -83 *3205:83 *27570:A1 0.000218958 -84 *3205:83 *27941:B2 2.59355e-05 -85 *3214:78 *3662:34 0.000677124 -86 *3473:19 *27570:A1 3.85639e-05 -87 *3473:19 *3662:43 7.69776e-06 -88 *3482:11 *27570:A1 1.21258e-05 -89 *3482:11 *27941:B2 5.65955e-05 -90 *3506:19 *3662:43 0.000138073 -*RES -1 *28223:Q *3662:8 16.3893 -2 *3662:8 *30033:A 15.1571 -3 *3662:8 *3662:19 47.8661 -4 *3662:19 *3662:30 49.4069 -5 *3662:30 *3662:34 9.28813 -6 *3662:34 *3662:43 12.8396 -7 *3662:43 *27941:B2 14.3357 -8 *3662:43 *27570:A1 36.7643 -9 *3662:34 *3662:79 49.8304 -10 *3662:79 *25214:B2 9.85804 -*END - -*D_NET *3663 0.0475564 -*CONN -*I *27592:A1 I *D sky130_fd_sc_hd__o221a_2 -*I *27966:A1 I *D sky130_fd_sc_hd__o221a_2 -*I *25121:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *29995:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28224:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *27592:A1 0.000880291 -2 *27966:A1 0.000235822 -3 *25121:B2 3.21835e-05 -4 *29995:A 0.00121878 -5 *28224:Q 0.000471495 -6 *3663:73 0.002661 -7 *3663:65 0.00337665 -8 *3663:62 0.00214298 -9 *3663:56 0.00160733 -10 *3663:42 0.00217305 -11 *3663:10 0.00253502 -12 *25121:B2 *25121:A1 0.000140933 -13 *27592:A1 *25082:B2 0.00114172 -14 *27592:A1 *3724:88 2.62977e-05 -15 *27592:A1 *3936:51 0.000596625 -16 *27592:A1 *4012:39 0.000885491 -17 *27592:A1 *4036:40 4.04359e-05 -18 *27592:A1 *5795:113 0.000219289 -19 *27966:A1 *3762:42 4.43299e-05 -20 *27966:A1 *5646:157 4.26759e-05 -21 *29995:A *25398:A1 0.000515705 -22 *29995:A *25757:A0 0.000129981 -23 *29995:A *3801:109 9.49939e-05 -24 *29995:A *5016:17 0.000957419 -25 *29995:A *5618:142 2.41649e-05 -26 *29995:A *5691:260 0.000571874 -27 *3663:10 *25132:B2 0.000119673 -28 *3663:10 *25940:A0 3.37161e-05 -29 *3663:10 *25940:A1 0.000135028 -30 *3663:10 *27853:B2 2.47697e-05 -31 *3663:10 *28224:D 9.41642e-05 -32 *3663:10 *4009:31 9.06015e-06 -33 *3663:10 *4010:21 0.000383168 -34 *3663:10 *6225:48 0.000175892 -35 *3663:42 *25398:A1 0.000237748 -36 *3663:42 *27853:B2 8.85664e-07 -37 *3663:42 *4000:17 1.721e-05 -38 *3663:42 *4381:19 0.000655855 -39 *3663:42 *5167:14 0.000234031 -40 *3663:56 *3843:51 2.04825e-05 -41 *3663:65 *3762:61 0.00172929 -42 *3663:65 *5653:61 0.000192268 -43 *3663:73 *25218:B2 0 -44 *3663:73 *27940:A 0.00017309 -45 *3663:73 *4012:39 0.00208663 -46 *3663:73 *5694:42 0.000322546 -47 *3663:73 *5729:276 0.00078938 -48 *25393:C1 *3663:56 0.000139491 -49 *25757:S *29995:A 5.52302e-05 -50 *25906:A *29995:A 9.67087e-05 -51 *25940:S *3663:10 9.60939e-05 -52 *27592:A2 *27592:A1 7.95355e-05 -53 *27853:A2 *3663:42 1.94945e-05 -54 *27856:B *3663:62 0.000134708 -55 *27856:B *3663:65 6.35096e-05 -56 *27856:D *3663:65 8.6229e-06 -57 *27867:B1 *3663:62 0.000115935 -58 *27881:C *3663:65 2.83181e-05 -59 *27892:B1 *3663:62 4.21347e-05 -60 *27904:D *3663:65 0.000162641 -61 *27928:C1 *3663:42 2.15298e-05 -62 *27928:C1 *3663:56 3.32746e-05 -63 *27941:A1 *3663:73 0.00021151 -64 *27966:A2 *27966:A1 5.40608e-05 -65 *27966:B2 *27966:A1 1.86552e-05 -66 *27966:C1 *27966:A1 3.37545e-05 -67 *29996:A *29995:A 0.000187077 -68 *30138:A *3663:42 0.000183614 -69 *716:16 *3663:10 0.000261806 -70 *1257:99 *3663:10 9.39125e-05 -71 *1257:99 *3663:42 2.04825e-05 -72 *1264:17 *3663:10 0.000167919 -73 *1358:25 *27966:A1 4.79453e-05 -74 *1363:85 *3663:56 0.000211563 -75 *1363:85 *3663:62 0.000147748 -76 *1395:61 *25121:B2 7.48091e-05 -77 *1624:55 *3663:56 0 -78 *1624:76 *3663:56 0.000225618 -79 *1713:13 *3663:65 0.000152676 -80 *1898:8 *3663:42 0.000257342 -81 *2855:39 *3663:56 0.000137561 -82 *3145:7 *27592:A1 5.33005e-05 -83 *3153:80 *3663:65 0.000925533 -84 *3153:98 *3663:65 3.34366e-05 -85 *3153:98 *3663:73 0.000572013 -86 *3165:254 *3663:62 0.00020908 -87 *3173:158 *27966:A1 4.65519e-05 -88 *3174:83 *3663:65 0 -89 *3184:130 *27966:A1 0.000425683 -90 *3205:83 *3663:65 0.000105686 -91 *3205:83 *3663:73 0.00135676 -92 *3214:112 *27592:A1 4.23907e-05 -93 *3394:18 *3663:65 0.00020611 -94 *3397:8 *3663:42 0.000332955 -95 *3397:8 *3663:56 0.00271964 -96 *3437:14 *27592:A1 0.000864563 -97 *3437:14 *3663:73 0.0019511 -98 *3441:14 *3663:65 0.000142103 -99 *3496:13 *27966:A1 3.73103e-05 -100 *3556:20 *3663:56 0.0014242 -101 *3556:20 *3663:65 0.000244415 -102 *3556:29 *3663:65 0.0019827 -103 *3662:8 *29995:A 9.41642e-05 -*RES -1 *28224:Q *3663:10 29.8714 -2 *3663:10 *29995:A 42.3893 -3 *3663:10 *3663:42 24.2679 -4 *3663:42 *25121:B2 15.1571 -5 *3663:42 *3663:56 46.9107 -6 *3663:56 *3663:62 14.4286 -7 *3663:62 *3663:65 49.5357 -8 *3663:65 *3663:73 36.9192 -9 *3663:73 *27966:A1 25.9441 -10 *3663:73 *27592:A1 27.2658 -*END - -*D_NET *3664 0.0300728 -*CONN -*I *27353:A1 I *D sky130_fd_sc_hd__o221a_1 -*I *27694:A1 I *D sky130_fd_sc_hd__o211a_1 -*I *29866:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25327:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28467:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *27353:A1 0 -2 *27694:A1 0 -3 *29866:A 4.77561e-05 -4 *25327:A1 0.00123606 -5 *28467:Q 3.41387e-05 -6 *3664:57 0.00120535 -7 *3664:48 0.00269509 -8 *3664:27 0.00160878 -9 *3664:16 0.00449396 -10 *3664:7 0.00336332 -11 *25327:A1 *25288:B2 0.000875641 -12 *25327:A1 *25327:B2 5.80335e-06 -13 *29866:A *26876:A0 0.000178847 -14 *3664:16 *25357:A1 0 -15 *3664:16 *3758:54 4.29471e-05 -16 *3664:16 *3834:11 7.90714e-05 -17 *3664:16 *3834:24 1.14368e-05 -18 *3664:16 *3872:79 0.000207569 -19 *3664:16 *3963:109 4.37712e-06 -20 *3664:16 *3977:24 0.000542839 -21 *3664:16 *5604:20 4.54329e-06 -22 *3664:16 *5604:57 0 -23 *3664:16 *5626:49 3.82437e-05 -24 *3664:16 *5627:57 1.79144e-05 -25 *3664:16 *5652:12 0.000122091 -26 *3664:27 *3977:24 0.000218325 -27 *3664:27 *5652:12 0.000209717 -28 *3664:48 *3739:128 4.46689e-05 -29 *3664:48 *3820:91 4.28365e-05 -30 *3664:48 *3977:24 4.15183e-05 -31 *3664:48 *5582:20 0.00074232 -32 *3664:48 *5596:26 6.90381e-06 -33 *3664:48 *5652:12 3.49187e-05 -34 *3664:48 *5700:50 0 -35 *3664:57 *3739:128 0.0017856 -36 *3664:57 *3739:131 0.00133346 -37 *3664:57 *3820:91 0.00170599 -38 *3664:57 *3820:98 3.88819e-05 -39 *3664:57 *3821:19 0.00047339 -40 *3664:57 *4041:19 0.000144038 -41 *3664:57 *5582:20 0.000316812 -42 *3664:57 *5582:31 1.90936e-05 -43 *6591:DIODE *3664:16 1.40441e-05 -44 *25327:B1 *25327:A1 0.000138375 -45 *25362:B1 *3664:16 0.000134119 -46 *26876:S *29866:A 0.000178847 -47 *28752:CLK *3664:57 0.000165754 -48 *1250:232 *3664:48 9.0841e-05 -49 *1252:37 *25327:A1 0.000114596 -50 *1262:135 *3664:16 1.79144e-05 -51 *1271:161 *3664:16 6.05863e-05 -52 *1289:19 *3664:16 4.11218e-05 -53 *1358:19 *3664:48 0.000570488 -54 *1408:65 *25327:A1 0.000397603 -55 *1438:28 *3664:7 6.03191e-05 -56 *1457:117 *25327:A1 0.000716368 -57 *1642:37 *3664:48 2.01997e-05 -58 *2794:141 *3664:57 0.000178802 -59 *2886:188 *3664:48 8.99852e-05 -60 *2891:138 *3664:48 0.000588809 -61 *2891:165 *3664:48 0.000189149 -62 *2907:13 *3664:57 3.10885e-05 -63 *2978:36 *3664:16 0.00212369 -64 *3184:118 *3664:16 0 -65 *3413:51 *3664:16 5.82951e-05 -66 *3558:193 *3664:7 0.000117552 -*RES -1 *28467:Q *3664:7 14.9518 -2 *3664:7 *3664:16 25.6923 -3 *3664:16 *25327:A1 34.6214 -4 *3664:7 *3664:27 2.85714 -5 *3664:27 *29866:A 15.5679 -6 *3664:27 *3664:48 19.6072 -7 *3664:48 *27694:A1 13.8 -8 *3664:48 *3664:57 45.6071 -9 *3664:57 *27353:A1 9.3 -*END - -*D_NET *3665 0.0155562 -*CONN -*I *29722:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27375:A1 I *D sky130_fd_sc_hd__o221a_1 -*I *27719:A1 I *D sky130_fd_sc_hd__o221a_1 -*I *25229:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28468:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29722:A 0 -2 *27375:A1 0.00052942 -3 *27719:A1 2.3932e-05 -4 *25229:B2 0.000341681 -5 *28468:Q 0.000440646 -6 *3665:38 0.00162532 -7 *3665:16 0.000505793 -8 *3665:15 0.00141379 -9 *3665:11 0.000618353 -10 *25229:B2 *25229:A1 1.02504e-05 -11 *25229:B2 *5627:18 0.000955272 -12 *27719:A1 *28468:RESET_B 2.59355e-05 -13 *27719:A1 *5936:29 2.59355e-05 -14 *3665:11 *26877:A0 4.25652e-05 -15 *3665:11 *5718:315 8.45314e-05 -16 *3665:15 *5583:126 0.000137983 -17 *3665:15 *5597:7 5.52302e-05 -18 *3665:16 *5627:18 0.000365785 -19 *3665:38 *5627:18 1.04707e-05 -20 *3665:38 *5627:38 0.00055423 -21 *27375:A2 *27375:A1 1.58163e-05 -22 *27375:B1 *27375:A1 0.000424908 -23 *28468:CLK *3665:11 5.52238e-05 -24 *1252:134 *25229:B2 1.27529e-05 -25 *1252:134 *3665:16 1.45742e-05 -26 *1252:134 *3665:38 4.12572e-05 -27 *1527:6 *3665:38 0.00289149 -28 *1864:141 *3665:11 5.33005e-05 -29 *2791:117 *3665:11 0.000169327 -30 *2864:257 *3665:38 0.000176806 -31 *2880:108 *27375:A1 8.25843e-06 -32 *2880:118 *27375:A1 1.15172e-05 -33 *2880:129 *3665:38 0.000160692 -34 *2936:11 *3665:38 0.00224223 -35 *3153:140 *25229:B2 0.000135968 -36 *3153:143 *3665:38 0.000109432 -37 *3293:34 *25229:B2 0.00091986 -38 *3293:34 *3665:16 0.000345692 -*RES -1 *28468:Q *3665:11 25.7286 -2 *3665:11 *3665:15 7.5 -3 *3665:15 *3665:16 4.98214 -4 *3665:16 *25229:B2 26.3714 -5 *3665:16 *27719:A1 14.3357 -6 *3665:15 *3665:38 44.75 -7 *3665:38 *27375:A1 15.9071 -8 *3665:11 *29722:A 9.3 -*END - -*D_NET *3666 0.111227 -*CONN -*I *27744:A1 I *D sky130_fd_sc_hd__o221a_2 -*I *27396:A1 I *D sky130_fd_sc_hd__o221a_2 -*I *25179:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *24857:A I *D sky130_fd_sc_hd__inv_2 -*I *29709:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28469:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27744:A1 0.000745748 -2 *27396:A1 3.56129e-05 -3 *25179:A1 0 -4 *24857:A 0 -5 *29709:A 0.000178738 -6 *28469:Q 0 -7 *3666:148 0.000989113 -8 *3666:144 0.00102506 -9 *3666:126 0.00213934 -10 *3666:125 0.00139731 -11 *3666:122 0.00141671 -12 *3666:119 0.00357655 -13 *3666:98 0.00233757 -14 *3666:77 0.00448106 -15 *3666:75 0.00277014 -16 *3666:68 0.00540867 -17 *3666:52 0.00683399 -18 *3666:40 0.00410467 -19 *3666:35 0.00425757 -20 *3666:18 0.00642172 -21 *3666:17 0.00421685 -22 *3666:15 0.00225238 -23 *3666:5 0.000195995 -24 *27744:A1 *3939:51 6.82306e-05 -25 *29709:A *26878:A0 0.000260152 -26 *29709:A *5598:49 0.000209767 -27 *3666:15 *5598:49 4.69976e-05 -28 *3666:18 *28469:D 0.000154354 -29 *3666:18 *5754:27 2.72695e-05 -30 *3666:18 *5754:34 6.13757e-06 -31 *3666:35 *25336:A1 0.000103713 -32 *3666:35 *3829:36 7.75234e-05 -33 *3666:35 *3867:80 0.000742613 -34 *3666:35 *5196:72 0.000320958 -35 *3666:35 *5467:14 0.000682734 -36 *3666:35 *5517:30 0.000482106 -37 *3666:35 *5936:70 0.000403032 -38 *3666:40 *5694:43 0.000444879 -39 *3666:52 *25669:A1 0.000673055 -40 *3666:52 *3762:42 0.000170996 -41 *3666:52 *3818:43 0.000302665 -42 *3666:52 *5685:9 1.98839e-05 -43 *3666:52 *5687:37 0.000233558 -44 *3666:52 *5694:43 5.74499e-06 -45 *3666:68 *3736:62 0.000202657 -46 *3666:68 *3830:70 0 -47 *3666:68 *3971:23 4.81668e-05 -48 *3666:68 *4116:51 0.000753309 -49 *3666:68 *5666:124 0.000120064 -50 *3666:68 *5687:37 4.63219e-05 -51 *3666:75 *27495:B2 2.75651e-06 -52 *3666:75 *3724:33 0.000975064 -53 *3666:75 *3840:27 4.60232e-06 -54 *3666:75 *3909:31 0.00102479 -55 *3666:75 *3958:34 0.000188276 -56 *3666:77 *27862:A2 0.000141032 -57 *3666:77 *3802:38 0.000145277 -58 *3666:77 *3892:37 0.000227713 -59 *3666:77 *3892:47 0.00226213 -60 *3666:77 *3909:19 0.00124225 -61 *3666:77 *3958:34 0.000427297 -62 *3666:77 *3973:41 6.30931e-05 -63 *3666:98 *25658:B 7.69776e-06 -64 *3666:98 *25831:A0 0 -65 *3666:98 *28019:A1 0.000262656 -66 *3666:98 *29171:A 5.52238e-05 -67 *3666:98 *29206:A 2.48858e-05 -68 *3666:98 *29359:A 4.54529e-05 -69 *3666:98 *3671:28 0.000389698 -70 *3666:98 *3791:75 2.83129e-05 -71 *3666:98 *3804:17 0.00110535 -72 *3666:98 *3804:58 0.000193281 -73 *3666:98 *3892:37 0.000276053 -74 *3666:98 *5052:46 0.000335458 -75 *3666:98 *5074:15 0 -76 *3666:119 *5467:18 2.33614e-05 -77 *3666:119 *5598:49 5.42019e-05 -78 *3666:119 *5653:19 0.000437501 -79 *3666:122 *25278:B2 3.22265e-05 -80 *3666:122 *3822:32 0.00165599 -81 *3666:125 *27372:A1 0.000178425 -82 *3666:126 *4030:48 0.0039703 -83 *3666:144 *3913:50 0.000957133 -84 *3666:144 *5632:53 0.000401815 -85 *3666:148 *5632:53 2.81098e-05 -86 *3666:148 *5632:71 0.000347485 -87 *25107:C1 *3666:35 0.000102221 -88 *25179:B1 *3666:52 0.00032691 -89 *25268:C1 *3666:77 0.000145753 -90 *25281:A2_N *3666:98 5.56995e-05 -91 *25668:S *3666:52 0.000630615 -92 *25834:A *3666:68 0 -93 *27373:C1 *3666:125 9.41642e-05 -94 *27384:C1 *3666:148 0.000127446 -95 *27396:B2 *27396:A1 0.000137561 -96 *27396:B2 *27744:A1 0.00080167 -97 *27486:A2 *3666:77 0.000235468 -98 *27493:D *3666:77 9.49928e-05 -99 *27521:A2 *3666:68 0 -100 *27535:A2 *3666:77 0.000190693 -101 *27744:A2 *27744:A1 9.71197e-05 -102 *27890:B *3666:77 7.5779e-05 -103 *28020:S *3666:77 0.00023865 -104 *28020:S *3666:98 0.000142958 -105 *28369:CLK *3666:98 1.90936e-05 -106 *28466:CLK *3666:18 0.000203218 -107 *28469:CLK *3666:18 0.000593929 -108 *29356:A *3666:98 9.41642e-05 -109 *510:26 *3666:52 7.04017e-05 -110 *564:19 *3666:98 5.65833e-05 -111 *1218:37 *3666:35 0.000948813 -112 *1252:134 *3666:122 0.000686227 -113 *1276:120 *27744:A1 0.00135825 -114 *1279:75 *3666:40 0.00013238 -115 *1281:64 *3666:40 0.000219711 -116 *1282:210 *27396:A1 0.000137561 -117 *1282:210 *27744:A1 0.000707308 -118 *1286:168 *3666:144 9.41642e-05 -119 *1289:27 *3666:35 1.90936e-05 -120 *1291:39 *29709:A 1.98839e-05 -121 *1362:152 *3666:98 0.00141105 -122 *1416:67 *3666:18 0.00175974 -123 *1423:86 *3666:68 0.000131537 -124 *1457:51 *3666:98 0.000269999 -125 *1470:90 *3666:52 0.00129272 -126 *1471:64 *3666:77 0.0011865 -127 *1471:64 *3666:98 3.38196e-06 -128 *1471:86 *3666:77 0.000480047 -129 *1484:23 *3666:35 0 -130 *1844:26 *3666:68 2.98389e-05 -131 *2758:64 *3666:15 1.71589e-05 -132 *2758:64 *3666:119 2.45832e-05 -133 *2767:66 *3666:144 4.82947e-05 -134 *2778:120 *3666:35 2.04825e-05 -135 *2788:22 *3666:126 0.00396369 -136 *2844:277 *27744:A1 2.98805e-05 -137 *2845:188 *3666:119 7.53529e-06 -138 *2848:178 *3666:119 0.00096549 -139 *2848:191 *3666:122 0.00144338 -140 *2853:141 *3666:35 8.83488e-05 -141 *2853:164 *3666:35 0.000199798 -142 *2853:224 *3666:126 0.000655131 -143 *2853:224 *3666:144 0.000656629 -144 *2855:90 *3666:68 0.00016292 -145 *2859:95 *3666:68 1.28958e-05 -146 *2859:161 *3666:119 3.40789e-05 -147 *2859:162 *3666:122 0 -148 *2860:132 *3666:68 1.13072e-05 -149 *2866:167 *3666:68 0 -150 *2871:194 *3666:119 0 -151 *2877:58 *3666:68 4.28315e-05 -152 *2880:137 *3666:122 4.29535e-05 -153 *2882:173 *29709:A 0.000514892 -154 *2882:173 *3666:15 2.26973e-05 -155 *2883:168 *3666:122 0.000146142 -156 *2889:254 *3666:77 6.8194e-05 -157 *2889:272 *3666:68 0.000238954 -158 *2891:60 *3666:68 0.000108085 -159 *2894:269 *3666:144 0.000257911 -160 *2895:34 *3666:18 6.62861e-05 -161 *2978:36 *3666:119 1.77895e-05 -162 *3064:13 *3666:77 0.000174763 -163 *3145:13 *3666:52 0.000216755 -164 *3153:164 *3666:122 8.00806e-05 -165 *3174:99 *3666:52 0.000170289 -166 *3176:24 *3666:119 9.22204e-05 -167 *3176:62 *3666:40 0.000832244 -168 *3179:123 *27744:A1 0.000916862 -169 *3292:11 *27744:A1 5.52302e-05 -170 *3293:14 *27744:A1 0.000457088 -171 *3406:11 *3666:75 7.57673e-05 -172 *3538:16 *3666:144 0.00129067 -173 *3538:16 *3666:148 0.000270371 -174 *3538:22 *3666:122 0.000149729 -175 *3538:24 *3666:122 0.0021788 -176 *3568:59 *3666:98 6.81895e-05 -*RES -1 *28469:Q *3666:5 13.8 -2 *3666:5 *29709:A 19.6571 -3 *3666:5 *3666:15 0.732143 -4 *3666:15 *3666:17 4.5 -5 *3666:17 *3666:18 49.8214 -6 *3666:18 *3666:35 34.9363 -7 *3666:35 *3666:40 27.7857 -8 *3666:40 *3666:52 43.1607 -9 *3666:52 *3666:68 44.0098 -10 *3666:68 *3666:75 18.0804 -11 *3666:75 *3666:77 63.875 -12 *3666:77 *3666:98 45.6527 -13 *3666:98 *24857:A 9.3 -14 *3666:40 *25179:A1 9.3 -15 *3666:15 *3666:119 19.6125 -16 *3666:119 *3666:122 49.4018 -17 *3666:122 *3666:125 6.26786 -18 *3666:125 *3666:126 51.7321 -19 *3666:126 *3666:144 44.5804 -20 *3666:144 *3666:148 11.4554 -21 *3666:148 *27396:A1 10.6571 -22 *3666:148 *27744:A1 45.9071 -*END - -*D_NET *3667 0.041981 -*CONN -*I *27417:A1 I *D sky130_fd_sc_hd__o221a_4 -*I *25093:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *29654:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27768:B2 I *D sky130_fd_sc_hd__o32a_1 -*I *28470:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27417:A1 0 -2 *25093:A1 0.000339406 -3 *29654:A 0 -4 *27768:B2 0.000436467 -5 *28470:Q 0 -6 *3667:65 0.00157228 -7 *3667:55 0.00320117 -8 *3667:53 0.00323885 -9 *3667:41 0.00271375 -10 *3667:28 0.00172414 -11 *3667:5 0.00071741 -12 *25093:A1 *27754:A2 1.02821e-05 -13 *25093:A1 *5590:87 8.44271e-06 -14 *27768:B2 *4015:26 0.000718685 -15 *27768:B2 *4015:31 0.000214172 -16 *27768:B2 *5687:114 0.000343166 -17 *3667:28 *3963:119 0.000219711 -18 *3667:28 *4015:31 0.000119236 -19 *3667:28 *5448:40 2.06178e-05 -20 *3667:28 *5638:62 2.37575e-05 -21 *3667:41 *25273:B2 0.000793386 -22 *3667:41 *25336:A1 6.31544e-05 -23 *3667:41 *27316:B2 2.16129e-07 -24 *3667:41 *27634:B2 0.000436327 -25 *3667:41 *3673:23 8.42484e-06 -26 *3667:41 *3768:42 0.00017013 -27 *3667:41 *3946:50 9.58181e-05 -28 *3667:41 *3963:119 0.000221787 -29 *3667:41 *5517:30 0.000317868 -30 *3667:41 *5600:86 0.00034022 -31 *3667:53 *25378:A1 1.01075e-05 -32 *3667:53 *3712:38 1.97773e-05 -33 *3667:53 *3768:27 0.000100107 -34 *3667:53 *3768:42 0.00014833 -35 *3667:53 *5650:67 9.44616e-05 -36 *3667:53 *5936:111 0.000938425 -37 *3667:55 *29044:A 6.09762e-05 -38 *3667:55 *3768:22 0.00022857 -39 *3667:55 *3768:27 0.000235924 -40 *3667:55 *4054:66 8.93148e-05 -41 *3667:55 *4069:112 2.49484e-05 -42 *3667:55 *5764:22 0.000655248 -43 *3667:65 *25344:A1 4.96663e-05 -44 *3667:65 *28595:D 0.000122682 -45 *3667:65 *28651:SET_B 0.000192956 -46 *3667:65 *3768:19 0.000913108 -47 *3667:65 *3768:58 0.00057482 -48 *3667:65 *4146:79 0.000141552 -49 *3667:65 *5590:87 0.000108516 -50 *3667:65 *5764:22 1.53191e-05 -51 *3667:65 *5777:32 0.00122894 -52 *3667:65 *6269:12 0.000108889 -53 *25093:A2 *25093:A1 8.80543e-05 -54 *25133:C1 *3667:41 0.000246132 -55 *25223:B1 *3667:41 5.58356e-05 -56 *25223:C1 *3667:41 0.000237139 -57 *25258:B1 *3667:28 8.60225e-05 -58 *25273:B1 *3667:41 2.95495e-05 -59 *25317:A2 *3667:55 7.15449e-05 -60 *25362:B1 *3667:53 0.000179506 -61 *25362:B1 *3667:55 0.000157807 -62 *27292:C1 *3667:53 0.000935193 -63 *27316:A2 *3667:41 0.000624477 -64 *27316:C1 *3667:41 1.83125e-05 -65 *27417:A2 *25093:A1 5.33005e-05 -66 *27417:B1 *25093:A1 0.000568536 -67 *27417:C1 *25093:A1 0.000139594 -68 *27768:B1 *27768:B2 5.52302e-05 -69 *29655:A *27768:B2 0.000169243 -70 *29655:A *3667:28 0.000128784 -71 *1178:69 *3667:53 0.000221634 -72 *1242:88 *3667:55 7.83587e-05 -73 *1250:11 *3667:53 7.67767e-05 -74 *1256:6 *3667:41 5.17015e-05 -75 *1256:6 *3667:53 0.000336785 -76 *1256:6 *3667:55 0.00197844 -77 *1256:31 *3667:41 5.00841e-06 -78 *1256:76 *3667:55 2.06112e-05 -79 *1260:81 *3667:65 0.000605336 -80 *1260:86 *25093:A1 0.000538073 -81 *1265:95 *3667:55 1.43455e-05 -82 *1272:40 *3667:65 4.58764e-05 -83 *1277:138 *27768:B2 1.721e-05 -84 *1281:103 *3667:55 6.69937e-05 -85 *1281:125 *3667:55 0.000171139 -86 *1290:8 *3667:41 2.03618e-05 -87 *1290:8 *3667:53 0.000480234 -88 *1363:108 *3667:28 6.42095e-05 -89 *1414:10 *25093:A1 0.000137561 -90 *1491:39 *3667:41 2.1069e-05 -91 *1496:11 *3667:28 0.000159169 -92 *1496:11 *3667:41 0.0017911 -93 *1536:27 *3667:28 8.21056e-05 -94 *1555:20 *3667:55 0.00130215 -95 *1606:11 *3667:53 0.000115218 -96 *1654:20 *3667:41 0.000159322 -97 *1654:20 *3667:53 6.66983e-05 -98 *1663:16 *3667:55 3.1423e-05 -99 *2758:37 *27768:B2 0.000176898 -100 *2775:174 *3667:55 0 -101 *2779:107 *3667:55 0.000318743 -102 *2779:121 *3667:55 0.00231814 -103 *2779:132 *3667:55 0.00121956 -104 *2781:98 *3667:65 8.92035e-05 -105 *2781:119 *3667:65 0.000988944 -106 *2784:65 *3667:28 2.22043e-05 -107 *2855:151 *3667:53 8.00806e-05 -108 *2970:25 *25093:A1 1.34631e-05 -109 *3184:72 *3667:41 4.58194e-05 -110 *3315:11 *27768:B2 1.98839e-05 -111 *3316:17 *27768:B2 5.33433e-05 -*RES -1 *28470:Q *3667:5 13.8 -2 *3667:5 *27768:B2 27.4429 -3 *3667:5 *3667:28 17.4939 -4 *3667:28 *29654:A 9.3 -5 *3667:28 *3667:41 49.5 -6 *3667:41 *3667:53 45.7054 -7 *3667:53 *3667:55 65.0893 -8 *3667:55 *3667:65 43.6161 -9 *3667:65 *25093:A1 28.9429 -10 *3667:65 *27417:A1 9.3 -*END - -*D_NET *3668 0.0175806 -*CONN -*I *25050:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27438:A1 I *D sky130_fd_sc_hd__o221a_2 -*I *27793:A1 I *D sky130_fd_sc_hd__o221a_2 -*I *30171:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28471:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25050:A1 0.00139931 -2 *27438:A1 0 -3 *27793:A1 0.000502265 -4 *30171:A 7.10774e-05 -5 *28471:Q 0.000191476 -6 *3668:49 0.00146928 -7 *3668:34 0.0018935 -8 *3668:11 0.00158382 -9 *25050:A1 *25050:B1 0.00103994 -10 *25050:A1 *3863:37 3.06376e-05 -11 *25050:A1 *4134:78 3.58086e-05 -12 *25050:A1 *5631:47 0.000440049 -13 *25050:A1 *5649:290 2.42516e-05 -14 *27793:A1 *27125:A0 6.05161e-06 -15 *27793:A1 *27793:C1 0.0002529 -16 *27793:A1 *4149:77 0.00125186 -17 *3668:11 *3930:94 0.000308434 -18 *3668:34 *25065:A1 7.38556e-05 -19 *3668:34 *27087:A1 0.000244262 -20 *3668:34 *3930:86 0.000340661 -21 *3668:34 *3930:94 0.000524154 -22 *3668:34 *5635:120 6.46747e-05 -23 *3668:34 *5658:113 0.00188481 -24 *3668:34 *5658:124 0.000157727 -25 *3668:34 *5718:387 0.000109696 -26 *3668:34 *5777:259 4.83813e-05 -27 *3668:34 *5873:220 7.07702e-05 -28 *3668:49 *25065:A1 7.56271e-05 -29 *3668:49 *5658:124 0.000171368 -30 *25010:B1 *30171:A 0.000262504 -31 *25010:B1 *3668:11 8.27532e-05 -32 *25050:A2 *25050:A1 1.24368e-05 -33 *27438:B2 *3668:49 9.25014e-06 -34 *27744:A2 *3668:34 7.50906e-05 -35 *27775:A2 *25050:A1 0.000282385 -36 *27793:B2 *27793:A1 0.00023783 -37 *30106:A *27793:A1 7.52248e-05 -38 *30229:A *3668:34 0.000115446 -39 *1246:104 *3668:34 1.3409e-05 -40 *1246:111 *3668:34 0.000368981 -41 *1252:207 *25050:A1 1.78708e-05 -42 *2872:237 *3668:34 4.53834e-05 -43 *2892:177 *3668:11 2.89016e-05 -44 *2998:7 *25050:A1 1.58163e-05 -45 *3176:234 *3668:11 7.41713e-05 -46 *3176:254 *25050:A1 0.000161141 -47 *3176:254 *3668:11 0.000159028 -48 *3176:254 *3668:34 0.000704801 -49 *3177:72 *30171:A 0.000260574 -50 *3177:72 *3668:11 9.41642e-05 -51 *3327:15 *27793:A1 0.000216755 -*RES -1 *28471:Q *3668:11 23.8536 -2 *3668:11 *30171:A 11.8893 -3 *3668:11 *3668:34 46.0319 -4 *3668:34 *27793:A1 27.925 -5 *3668:34 *3668:49 6.75 -6 *3668:49 *27438:A1 9.3 -7 *3668:49 *25050:A1 40.3893 -*END - -*D_NET *3669 0.0179429 -*CONN -*I *25026:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30371:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27460:A1 I *D sky130_fd_sc_hd__o221a_1 -*I *27818:A1 I *D sky130_fd_sc_hd__a211o_1 -*I *28472:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25026:A1 0.000727616 -2 *30371:A 5.17141e-05 -3 *27460:A1 0.000182669 -4 *27818:A1 0.000458035 -5 *28472:Q 0 -6 *3669:63 0.00158198 -7 *3669:20 0.00179453 -8 *3669:9 0.00134767 -9 *3669:4 0.000996489 -10 *25026:A1 *28485:RESET_B 0.000744444 -11 *25026:A1 *5631:26 0 -12 *25026:A1 *5756:10 0.000359045 -13 *25026:A1 *5814:21 0.00034622 -14 *27460:A1 *27460:C1 2.51343e-06 -15 *27460:A1 *6343:22 0.00010096 -16 *27818:A1 *27818:A2 1.7859e-05 -17 *27818:A1 *27818:C1 5.52238e-05 -18 *27818:A1 *5631:20 8.51784e-05 -19 *30371:A *26881:A0 0.000221894 -20 *3669:9 *26881:A0 0.000178425 -21 *3669:9 *5755:243 5.79358e-05 -22 *3669:20 *25017:A1 0.000215343 -23 *3669:20 *28696:D 6.10272e-05 -24 *3669:20 *29711:A 0.000217453 -25 *3669:20 *3968:20 0 -26 *3669:20 *4649:20 2.16689e-05 -27 *3669:63 *28472:D 9.60875e-05 -28 *3669:63 *3939:11 2.11419e-05 -29 *3669:63 *5584:79 8.84757e-05 -30 *3669:63 *5658:31 3.54176e-05 -31 *3669:63 *5667:348 3.06068e-05 -32 *25026:C1 *25026:A1 9.41642e-05 -33 *27460:A2 *27460:A1 5.04841e-06 -34 *27460:B1 *27818:A1 4.22135e-06 -35 *27460:B2 *27460:A1 9.61857e-05 -36 *27460:B2 *27818:A1 3.10819e-05 -37 *27460:B2 *3669:20 0.00158159 -38 *27811:A2 *30371:A 0.000180591 -39 *27811:A2 *3669:9 0.000180124 -40 *27818:B1 *27818:A1 0.000115743 -41 *29156:A *3669:63 2.96081e-05 -42 *30408:A *3669:20 6.27272e-06 -43 *1252:146 *25026:A1 5.55213e-05 -44 *1252:166 *25026:A1 0.000248872 -45 *1290:179 *3669:20 4.06693e-05 -46 *1290:179 *3669:63 6.05161e-06 -47 *1350:13 *25026:A1 0.000178847 -48 *1844:138 *3669:20 2.47508e-05 -49 *2777:94 *25026:A1 7.28485e-05 -50 *2786:89 *25026:A1 5.30523e-05 -51 *2786:101 *3669:9 0.000136721 -52 *2786:101 *3669:20 5.50996e-05 -53 *2786:101 *3669:63 0.00139272 -54 *2791:139 *3669:20 0.00019645 -55 *2845:392 *3669:20 1.90936e-05 -56 *2885:58 *3669:20 3.07572e-06 -57 *3018:30 *3669:20 2.59045e-05 -58 *3040:19 *25026:A1 0.000118823 -59 *3040:31 *3669:20 4.19624e-06 -60 *3185:48 *25026:A1 1.05524e-05 -61 *3537:50 *25026:A1 0.000515582 -62 *3537:73 *3669:63 0.000792929 -63 *3537:74 *27818:A1 0.000187678 -64 *3537:74 *3669:20 0.00138117 -*RES -1 *28472:Q *3669:4 9.3 -2 *3669:4 *3669:9 12.7143 -3 *3669:9 *3669:20 37.3214 -4 *3669:20 *27818:A1 21.425 -5 *3669:20 *27460:A1 17.1214 -6 *3669:9 *30371:A 11.4786 -7 *3669:4 *3669:63 32.3265 -8 *3669:63 *25026:A1 35.5946 -*END - -*D_NET *3670 0.0396041 -*CONN -*I *27482:A1 I *D sky130_fd_sc_hd__o221a_2 -*I *27842:B2 I *D sky130_fd_sc_hd__o32a_1 -*I *30141:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24971:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28473:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27482:A1 0.000390405 -2 *27842:B2 0.000919764 -3 *30141:A 3.61798e-05 -4 *24971:B2 0 -5 *28473:Q 2.36895e-05 -6 *3670:54 0.00221745 -7 *3670:47 0.00246067 -8 *3670:24 0.0019753 -9 *3670:14 0.00360732 -10 *3670:12 0.00168937 -11 *3670:8 0.000174233 -12 *3670:7 0.00165777 -13 *27482:A1 *3798:15 0.000554338 -14 *27482:A1 *4121:77 0.000274489 -15 *27482:A1 *5589:166 5.84171e-05 -16 *27842:B2 *3735:71 0.000125731 -17 *27842:B2 *5535:46 6.19181e-05 -18 *27842:B2 *5910:123 0.00107996 -19 *30141:A *26882:A0 0.000137983 -20 *3670:7 *5755:51 5.52238e-05 -21 *3670:8 *5633:41 0.00010964 -22 *3670:12 *5633:41 5.41797e-06 -23 *3670:14 *5633:41 0.00234492 -24 *3670:14 *5680:241 0.000369284 -25 *3670:14 *5714:29 0.000100717 -26 *3670:24 *4086:68 0.000125555 -27 *3670:24 *5196:95 6.57253e-05 -28 *3670:24 *5631:13 0.000146474 -29 *3670:24 *5649:8 0.000125555 -30 *3670:24 *5680:241 0.000175454 -31 *3670:47 *27811:B1 0.000109389 -32 *3670:47 *27811:B2 7.24353e-05 -33 *3670:47 *3968:20 0.000133659 -34 *3670:47 *4649:20 0.000276245 -35 *3670:47 *5589:166 0.00121167 -36 *3670:47 *5633:41 0.000952167 -37 *3670:47 *5680:232 0.000584556 -38 *3670:54 *5589:166 0.000218019 -39 *3670:54 *5633:41 0.000530595 -40 *3670:54 *5633:47 0.00109918 -41 *3670:54 *5680:232 0.00015806 -42 *25017:B1 *3670:14 0.000174805 -43 *27266:B *3670:24 9.41642e-05 -44 *27482:A2 *27482:A1 1.3701e-05 -45 *27601:A *3670:14 0.00146115 -46 *27660:B *3670:24 8.86413e-05 -47 *27805:B1 *3670:24 0.000291957 -48 *27813:A2 *30141:A 0.000136958 -49 *1185:54 *3670:14 0 -50 *1185:72 *3670:54 0 -51 *1246:90 *3670:47 0.000116031 -52 *1252:166 *3670:54 4.58835e-05 -53 *1331:15 *3670:14 0.000989499 -54 *2763:71 *27482:A1 6.96405e-05 -55 *2832:15 *3670:24 0.000195629 -56 *2832:27 *3670:24 1.98839e-05 -57 *2832:116 *3670:14 0.000215706 -58 *2832:129 *3670:14 0.000168939 -59 *2832:147 *3670:14 0.000392994 -60 *2845:392 *3670:47 4.13589e-05 -61 *2859:299 *3670:8 0.000218726 -62 *2859:299 *3670:12 2.04825e-05 -63 *2859:299 *3670:14 0.000219558 -64 *2859:299 *3670:47 0.00246794 -65 *2882:188 *27482:A1 0.00012401 -66 *2882:188 *3670:54 0.00142632 -67 *3019:8 *3670:14 0.00019322 -68 *3019:8 *3670:24 0.00150592 -69 *3039:13 *27482:A1 0.000124471 -70 *3040:19 *27482:A1 0.000229727 -71 *3040:19 *3670:47 0.00039561 -72 *3040:19 *3670:54 0.000230499 -73 *3147:27 *3670:24 0.000220754 -74 *3150:38 *3670:24 0.000583036 -75 *3160:97 *3670:14 1.90936e-05 -76 *3163:40 *3670:24 8.40933e-05 -77 *3168:11 *3670:24 0.000237948 -78 *3176:234 *3670:54 4.58807e-05 -79 *3185:48 *3670:47 5.00194e-05 -80 *3205:235 *27482:A1 0.000221634 -81 *3212:11 *3670:24 5.33005e-05 -*RES -1 *28473:Q *3670:7 14.3357 -2 *3670:7 *3670:8 2.85714 -3 *3670:8 *3670:12 0.8125 -4 *3670:12 *3670:14 51.125 -5 *3670:14 *3670:24 47.3304 -6 *3670:24 *24971:B2 13.8 -7 *3670:8 *30141:A 15.1571 -8 *3670:7 *3670:47 46.8505 -9 *3670:47 *3670:54 31.3654 -10 *3670:54 *27842:B2 35.2464 -11 *3670:54 *27482:A1 35.5143 -*END - -*D_NET *3671 0.0342661 -*CONN -*I *27867:A1 I *D sky130_fd_sc_hd__o221a_1 -*I *27504:A1 I *D sky130_fd_sc_hd__o221a_1 -*I *25398:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *29355:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28220:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *27867:A1 0.000948891 -2 *27504:A1 0.000485505 -3 *25398:A1 0.00135083 -4 *29355:A 7.95192e-05 -5 *28220:Q 2.52621e-05 -6 *3671:77 0.00193063 -7 *3671:75 0.00196411 -8 *3671:28 0.00264874 -9 *3671:8 0.00392005 -10 *3671:6 0.00149314 -11 *25398:A1 *25398:B2 0.000418973 -12 *25398:A1 *25758:A0 0.000388362 -13 *25398:A1 *27505:A1 0.000108863 -14 *25398:A1 *30039:A 0.000231912 -15 *25398:A1 *3792:75 4.65519e-05 -16 *25398:A1 *3801:109 0.000124229 -17 *25398:A1 *5200:25 6.57603e-05 -18 *25398:A1 *5624:94 5.2808e-05 -19 *25398:A1 *5665:66 7.54233e-05 -20 *25398:A1 *6324:17 6.74751e-05 -21 *27504:A1 *27504:C1 5.33005e-05 -22 *27504:A1 *5717:81 0.000269741 -23 *27504:A1 *5717:89 0.000228861 -24 *27867:A1 *25279:B2 0 -25 *27867:A1 *27540:A 0.000729531 -26 *27867:A1 *3817:11 9.58632e-05 -27 *27867:A1 *3817:22 9.58689e-05 -28 *27867:A1 *3843:51 0.00107088 -29 *27867:A1 *5717:81 0.000327338 -30 *27867:A1 *5718:121 0.000273891 -31 *29355:A *5624:107 0.000440711 -32 *3671:6 *5735:51 3.10819e-05 -33 *3671:28 *25658:B 5.52238e-05 -34 *3671:28 *25760:A1 0.00014285 -35 *3671:28 *25907:A0 0.000224208 -36 *3671:28 *30570:A 0.000908716 -37 *3671:28 *3806:8 6.23918e-05 -38 *3671:28 *3806:33 7.69776e-06 -39 *3671:28 *3908:35 3.03145e-05 -40 *3671:28 *5710:84 0 -41 *3671:28 *5710:86 0 -42 *3671:28 *5710:190 0.000150793 -43 *3671:75 *28359:RESET_B 0.000292299 -44 *3671:75 *3779:41 4.97121e-06 -45 *3671:75 *3884:89 0.000665989 -46 *3671:75 *3919:51 5.41797e-06 -47 *3671:75 *5313:11 0.00111 -48 *3671:75 *5619:58 8.02709e-05 -49 *3671:75 *5698:36 0.000194881 -50 *3671:75 *5735:8 0.000102184 -51 *3671:75 *6323:10 0.000379095 -52 *3671:77 *27576:A1 5.08481e-05 -53 *3671:77 *3884:89 2.66087e-05 -54 *3671:77 *3919:51 0.000219477 -55 *3671:77 *5698:36 0.000446239 -56 *25906:A *25398:A1 0.000175529 -57 *27867:A2 *27867:A1 2.40787e-05 -58 *27867:B1 *27867:A1 1.07719e-05 -59 *29176:A *25398:A1 5.96243e-05 -60 *29176:A *3671:28 0.000322823 -61 *29995:A *25398:A1 0.000515705 -62 *29996:A *25398:A1 0.000136676 -63 *30548:A *3671:75 0.000301388 -64 *1163:17 *29355:A 0.000440711 -65 *1263:122 *25398:A1 0.000392575 -66 *1263:122 *3671:28 0.00220075 -67 *1452:57 *3671:28 0.000147074 -68 *1471:37 *25398:A1 0.000308872 -69 *1471:43 *3671:28 0.00158281 -70 *1471:64 *3671:28 0.000332724 -71 *1831:18 *3671:28 5.33005e-05 -72 *1897:19 *27867:A1 3.28674e-05 -73 *1897:19 *3671:77 7.22551e-05 -74 *3411:20 *27867:A1 0.000763636 -75 *3571:53 *3671:6 1.85762e-05 -76 *3571:53 *3671:75 0.000296865 -77 *3661:10 *3671:6 4.75956e-06 -78 *3661:10 *3671:75 4.47619e-05 -79 *3661:31 *25398:A1 0.000194991 -80 *3663:42 *25398:A1 0.000237748 -81 *3666:98 *3671:28 0.000389698 -*RES -1 *28220:Q *3671:6 14.3804 -2 *3671:6 *3671:8 3.41 -3 *3671:8 *3671:28 46.6043 -4 *3671:28 *29355:A 18.0321 -5 *3671:8 *25398:A1 45.2231 -6 *3671:6 *3671:75 44.3929 -7 *3671:75 *3671:77 12.7768 -8 *3671:77 *27504:A1 21.7643 -9 *3671:77 *27867:A1 38.8714 -*END - -*D_NET *3672 0.0151668 -*CONN -*I *27526:A1 I *D sky130_fd_sc_hd__o221a_1 -*I *27892:A1 I *D sky130_fd_sc_hd__o221a_1 -*I *25294:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *29246:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28221:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27526:A1 0.000399447 -2 *27892:A1 0.000231113 -3 *25294:A1 6.43981e-05 -4 *29246:A 8.09412e-05 -5 *28221:Q 0.000937669 -6 *3672:45 0.00201233 -7 *3672:21 0.00271341 -8 *3672:8 0.00225276 -9 *27526:A1 *28358:D 0 -10 *27526:A1 *3919:46 2.89016e-05 -11 *27526:A1 *4140:47 5.52238e-05 -12 *27892:A1 *4129:38 1.61888e-05 -13 *27892:A1 *4129:42 1.04232e-05 -14 *27892:A1 *4139:53 0.000139631 -15 *3672:8 *25755:A0 1.32429e-05 -16 *3672:8 *28221:RESET_B 0 -17 *3672:8 *28358:D 0 -18 *3672:8 *28358:RESET_B 6.41099e-05 -19 *3672:8 *3801:45 0.000284979 -20 *3672:8 *5705:148 0.000303362 -21 *3672:8 *5705:162 0.000132091 -22 *3672:21 *25909:A0 0.000402495 -23 *3672:21 *29019:A 0.000100261 -24 *3672:21 *5313:11 6.28435e-05 -25 *3672:21 *5711:47 0.000329858 -26 *3672:21 *5735:8 0.000257619 -27 *3672:45 *27853:A1 0.00023621 -28 *3672:45 *27853:B2 1.72111e-05 -29 *3672:45 *27927:B2 0.000121411 -30 *3672:45 *3788:49 5.84171e-05 -31 *3672:45 *3853:72 0.000229079 -32 *3672:45 *3973:83 2.04825e-05 -33 *3672:45 *4139:53 0.000339346 -34 *3672:45 *4371:19 0.000100454 -35 *3672:45 *5706:196 4.21103e-05 -36 *3672:45 *5738:172 1.30598e-05 -37 *25909:S *3672:21 6.57032e-05 -38 *27526:A2 *27526:A1 1.41706e-05 -39 *27853:B1 *3672:45 5.30637e-06 -40 *27853:C1 *3672:45 0.000238438 -41 *27892:A2 *27892:A1 1.83136e-05 -42 *30034:A *3672:8 1.90936e-05 -43 *30082:A *27526:A1 0 -44 *716:16 *3672:8 5.52238e-05 -45 *1362:136 *3672:8 4.50045e-05 -46 *1471:43 *3672:8 2.45832e-05 -47 *1624:76 *25294:A1 0.00012026 -48 *1865:8 *3672:21 0.000123589 -49 *1893:24 *3672:8 3.20809e-05 -50 *3061:15 *27526:A1 0.000596881 -51 *3061:15 *3672:8 0.000579466 -52 *3082:13 *3672:8 0 -53 *3178:62 *3672:45 0.000224775 -54 *3178:69 *3672:45 0.000289019 -55 *3421:10 *3672:45 0.000523519 -56 *3568:17 *27526:A1 0 -57 *3661:36 *25294:A1 0.00012026 -*RES -1 *28221:Q *3672:8 32.675 -2 *3672:8 *3672:21 29.5 -3 *3672:21 *29246:A 10.9786 -4 *3672:21 *3672:45 38.5 -5 *3672:45 *25294:A1 20.0857 -6 *3672:45 *27892:A1 12.6214 -7 *3672:8 *27526:A1 22.3536 -*END - -*D_NET *3673 0.0180382 -*CONN -*I *29187:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27297:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25362:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27628:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28546:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *29187:A 0.000107638 -2 *27297:B2 0.000721465 -3 *25362:B2 0 -4 *27628:B2 0 -5 *28546:Q 0.000399057 -6 *3673:23 0.00144549 -7 *3673:19 0.00162775 -8 *3673:15 0.000483479 -9 *3673:11 0.00106844 -10 *3673:10 0.000552457 -11 *27297:B2 *26965:A0 4.23556e-05 -12 *27297:B2 *3907:45 0.000316638 -13 *27297:B2 *3907:51 0.000129971 -14 *27297:B2 *5604:57 5.32956e-05 -15 *29187:A *26965:A1 0.000315614 -16 *3673:10 *28546:SET_B 0.000191369 -17 *3673:10 *3783:110 2.07441e-05 -18 *3673:11 *26965:A1 1.98839e-05 -19 *3673:11 *3946:30 4.70078e-05 -20 *3673:15 *3946:30 0.000154793 -21 *3673:15 *3946:45 9.75089e-05 -22 *3673:23 *25209:B2 0.00044717 -23 *3673:23 *25273:A2 1.90936e-05 -24 *3673:23 *25273:B2 1.721e-05 -25 *3673:23 *25336:A1 0.000195467 -26 *3673:23 *27628:A1 9.83388e-05 -27 *3673:23 *3783:121 0.000565537 -28 *3673:23 *3844:59 0.000424513 -29 *3673:23 *5600:86 8.94773e-05 -30 *3673:23 *6247:36 7.14336e-05 -31 *3673:23 *6269:12 0.000137113 -32 *6633:DIODE *3673:15 8.4644e-05 -33 *25223:A2 *3673:23 0.000634685 -34 *25362:A2 *3673:15 2.84109e-05 -35 *25362:B1 *3673:15 1.38323e-05 -36 *25362:B1 *3673:19 0.000505335 -37 *26965:S *3673:10 9.25014e-06 -38 *27298:B1 *27297:B2 0.000223463 -39 *28546:CLK *3673:10 4.2629e-05 -40 *1228:47 *3673:23 0.00092039 -41 *1439:23 *29187:A 0.000150551 -42 *1439:23 *3673:11 0.000170379 -43 *1439:23 *3673:15 0.00084473 -44 *1439:23 *3673:19 0.000242398 -45 *1642:20 *27297:B2 0.000260983 -46 *1642:20 *3673:10 0.000303613 -47 *1654:20 *3673:23 0.0017848 -48 *2775:187 *3673:10 0.000247131 -49 *2779:140 *27297:B2 4.87854e-05 -50 *2781:88 *3673:23 9.32003e-06 -51 *2866:70 *27297:B2 0.000276749 -52 *3178:144 *3673:23 2.84494e-05 -53 *3178:149 *3673:23 9.66977e-05 -54 *3184:71 *3673:23 0.000307484 -55 *3184:118 *27297:B2 0 -56 *3306:6 *27297:B2 0.000934782 -57 *3667:41 *3673:23 8.42484e-06 -*RES -1 *28546:Q *3673:10 25.5768 -2 *3673:10 *3673:11 1.76786 -3 *3673:11 *3673:15 8.76786 -4 *3673:15 *3673:19 9.55357 -5 *3673:19 *3673:23 47.1607 -6 *3673:23 *27628:B2 9.3 -7 *3673:15 *25362:B2 9.3 -8 *3673:11 *27297:B2 39.1036 -9 *3673:10 *29187:A 12.7286 -*END - -*D_NET *3674 0.0120481 -*CONN -*I *25252:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27546:B2 I *D sky130_fd_sc_hd__a221o_2 -*I *29427:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27908:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28296:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *25252:A1 0.000887432 -2 *27546:B2 0.000119542 -3 *29427:A 0.000189077 -4 *27908:A1 0.000520109 -5 *28296:Q 0 -6 *3674:30 0.00223345 -7 *3674:7 0.000792329 -8 *3674:4 0.00130962 -9 *25252:A1 *24889:A1 0 -10 *25252:A1 *25252:B2 2.84269e-05 -11 *25252:A1 *25786:A0 0.00022459 -12 *25252:A1 *3984:76 0.00127432 -13 *25252:A1 *4101:22 0.000174411 -14 *25252:A1 *4350:31 7.83659e-05 -15 *25252:A1 *5215:12 0.000147913 -16 *25252:A1 *5250:8 0.000120621 -17 *25252:A1 *5669:81 0.000475627 -18 *27546:B2 *27546:B1 7.49396e-05 -19 *27908:A1 *24961:A 0.000393943 -20 *27908:A1 *27908:A2 7.66879e-05 -21 *27908:A1 *28296:SET_B 3.51442e-05 -22 *27908:A1 *3698:19 0 -23 *27908:A1 *3701:32 0.000226973 -24 *27908:A1 *4143:72 0.000272498 -25 *29427:A *28296:SET_B 0.000148381 -26 *29427:A *3698:19 9.84309e-05 -27 *29427:A *5709:112 9.71197e-05 -28 *29427:A *5841:11 9.71197e-05 -29 *3674:7 *5778:94 4.80865e-05 -30 *3674:30 *5778:94 3.32708e-05 -31 *25187:A2 *25252:A1 0.00016524 -32 *25252:C1 *25252:A1 2.49484e-05 -33 *28852:A *3674:30 0.000400563 -34 *29683:A *25252:A1 0.000220441 -35 *1287:97 *27908:A1 0.000123448 -36 *1422:100 *25252:A1 0.00010326 -37 *1473:56 *25252:A1 8.99641e-05 -38 *1483:48 *25252:A1 0.000185646 -39 *3192:134 *27908:A1 7.73146e-05 -40 *3551:10 *3674:30 0.0004788 -*RES -1 *28296:Q *3674:4 9.3 -2 *3674:4 *3674:7 5.65179 -3 *3674:7 *27908:A1 26.6036 -4 *3674:7 *29427:A 18.8179 -5 *3674:4 *3674:30 15.1161 -6 *3674:30 *27546:B2 11.6438 -7 *3674:30 *25252:A1 44.9964 -*END - -*D_NET *3675 0.00556862 -*CONN -*I *30245:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25191:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27565:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27935:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28297:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30245:A 0.000537636 -2 *25191:B2 5.05567e-05 -3 *27565:A1 0.000104554 -4 *27935:A1 0.000139918 -5 *28297:Q 0 -6 *3675:19 0.000323008 -7 *3675:13 0.000350535 -8 *3675:5 0.000759079 -9 *25191:B2 *28985:A 2.14757e-05 -10 *25191:B2 *5719:86 8.70693e-05 -11 *25191:B2 *5836:104 0.000138881 -12 *27565:A1 *4104:23 4.83253e-05 -13 *27935:A1 *27935:A2 9.11597e-05 -14 *27935:A1 *27935:B1 2.95693e-05 -15 *27935:A1 *4104:23 0.000223469 -16 *30245:A *27908:B1 1.10632e-05 -17 *30245:A *28984:A 2.06112e-05 -18 *30245:A *3871:94 0.000260574 -19 *30245:A *4142:34 6.27129e-05 -20 *30245:A *4143:41 3.02799e-05 -21 *30245:A *5007:42 0.000295076 -22 *30245:A *5651:189 2.66789e-06 -23 *30245:A *5693:16 9.72793e-05 -24 *30245:A *5836:104 5.73644e-05 -25 *3675:13 *27908:B1 0.000123464 -26 *3675:13 *27935:B1 0.000114847 -27 *3675:13 *28984:A 0.00022817 -28 *3675:13 *28985:A 0.000178307 -29 *3675:13 *5694:7 5.49489e-05 -30 *3675:13 *5719:86 6.63805e-05 -31 *3675:19 *27935:A2 9.05976e-06 -32 *27565:B1 *27565:A1 5.49544e-05 -33 *27934:B1 *30245:A 0.000111654 -34 *30535:A *3675:19 0.000122875 -35 *1488:24 *27565:A1 0.000161391 -36 *1488:24 *3675:19 4.75969e-05 -37 *1665:29 *30245:A 0.000260574 -38 *1878:8 *30245:A 0.000155166 -39 *1878:13 *30245:A 0.000136364 -*RES -1 *28297:Q *3675:5 13.8 -2 *3675:5 *3675:13 10.3929 -3 *3675:13 *3675:19 11.0714 -4 *3675:19 *27935:A1 12.9429 -5 *3675:19 *27565:A1 11.8893 -6 *3675:13 *25191:B2 11.0679 -7 *3675:5 *30245:A 28.2454 -*END - -*D_NET *3676 0.0180055 -*CONN -*I *25103:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27964:A1 I *D sky130_fd_sc_hd__a211o_1 -*I *27579:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30241:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28298:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *25103:A1 0.000117749 -2 *27964:A1 0.000622161 -3 *27579:A1 0.000710508 -4 *30241:A 0.000300557 -5 *28298:Q 6.95458e-05 -6 *3676:34 0.00136638 -7 *3676:17 0.00262586 -8 *3676:5 0.00165898 -9 *25103:A1 *27577:B2 5.33005e-05 -10 *27579:A1 *24889:S 0.000148182 -11 *27579:A1 *3702:39 3.06878e-06 -12 *27579:A1 *3975:31 0.00138636 -13 *27579:A1 *4066:46 0.000207939 -14 *27579:A1 *4118:50 8.6229e-06 -15 *27579:A1 *4131:56 1.02969e-05 -16 *27579:A1 *5719:201 0.000163475 -17 *27964:A1 *27964:A2 5.33005e-05 -18 *27964:A1 *3728:31 0.000236118 -19 *27964:A1 *3832:43 0.000263698 -20 *27964:A1 *5653:70 9.41642e-05 -21 *27964:A1 *5839:47 6.91594e-05 -22 *30241:A *28312:D 0.000288262 -23 *30241:A *5839:26 2.4065e-05 -24 *30241:A *5866:17 0.000387966 -25 *3676:5 *5699:17 0.00014183 -26 *3676:17 *25867:A1 9.41642e-05 -27 *3676:17 *4117:32 6.41853e-05 -28 *3676:17 *5667:25 0.000966651 -29 *3676:17 *5699:17 0.000251275 -30 *3676:17 *5832:27 0.000595423 -31 *3676:34 *3832:43 0.000186375 -32 *3676:34 *5667:25 0.00162301 -33 *3676:34 *5832:27 0.000712635 -34 *25867:S *3676:17 0.000135028 -35 *27579:B1 *27579:A1 9.41642e-05 -36 *1422:52 *3676:34 3.34295e-05 -37 *1423:37 *25103:A1 2.59355e-05 -38 *1423:54 *25103:A1 2.05612e-05 -39 *1563:26 *27964:A1 8.44271e-06 -40 *1563:26 *3676:34 7.95834e-05 -41 *2864:230 *27579:A1 0.000251371 -42 *2878:120 *3676:17 0.000556982 -43 *2878:120 *3676:34 0.000167436 -44 *3413:41 *27579:A1 0.000620845 -45 *3450:13 *30241:A 0.00034122 -46 *3504:18 *27964:A1 0.000165206 -*RES -1 *28298:Q *3676:5 10.6571 -2 *3676:5 *30241:A 26.4964 -3 *3676:5 *3676:17 25.1786 -4 *3676:17 *27579:A1 38.425 -5 *3676:17 *3676:34 23.1964 -6 *3676:34 *27964:A1 25.2107 -7 *3676:34 *25103:A1 15.5679 -*END - -*D_NET *3677 0.0285476 -*CONN -*I *30507:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27686:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25291:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27344:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28547:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *30507:A 0.000136893 -2 *27686:A1 0.000145866 -3 *25291:A1 0.00107716 -4 *27344:A1 0.00124958 -5 *28547:Q 0 -6 *3677:48 0.00175118 -7 *3677:38 0.0026028 -8 *3677:11 0.0037179 -9 *3677:4 0.000822298 -10 *25291:A1 *26921:A0 0.000424029 -11 *25291:A1 *26921:A1 0.000627926 -12 *25291:A1 *5651:132 0.00276864 -13 *27344:A1 *25343:A1 6.79105e-05 -14 *27344:A1 *27344:B2 9.02017e-05 -15 *27344:A1 *27347:A1 5.33005e-05 -16 *27344:A1 *28475:SET_B 0.000259491 -17 *27344:A1 *3833:20 2.95642e-05 -18 *27344:A1 *3846:34 8.19176e-05 -19 *27344:A1 *3924:73 2.16719e-05 -20 *27686:A1 *27686:A2 0.000123295 -21 *27686:A1 *3820:91 0.000168224 -22 *27686:A1 *4104:50 0.000218685 -23 *30507:A *26966:A1 5.96516e-05 -24 *30507:A *3835:45 5.33005e-05 -25 *3677:11 *28475:SET_B 4.71513e-05 -26 *3677:11 *28547:SET_B 2.66634e-05 -27 *3677:38 *3756:18 0.00125584 -28 *3677:38 *3756:20 0.000390495 -29 *3677:38 *3821:19 0.00251956 -30 *3677:38 *5582:20 0.000368563 -31 *3677:38 *5582:31 1.79226e-05 -32 *3677:38 *5582:56 0.000323885 -33 *3677:38 *5582:57 0.000135968 -34 *3677:38 *5645:104 8.69554e-05 -35 *3677:38 *5650:250 0.000389928 -36 *3677:38 *5702:84 0.000576942 -37 *3677:48 *27349:A1 0.000376363 -38 *3677:48 *27363:B2 2.51923e-05 -39 *3677:48 *3756:20 0.000253727 -40 *3677:48 *3821:19 0.000697549 -41 *3677:48 *3872:79 0.000364239 -42 *3677:48 *5582:20 0.000130991 -43 *3677:48 *5652:12 4.22135e-06 -44 *25249:C1 *27344:A1 1.00023e-05 -45 *25291:A2 *25291:A1 2.59355e-05 -46 *26921:S *3677:48 0.000507908 -47 *27344:B1 *27344:A1 5.90008e-05 -48 *27345:C1 *27344:A1 9.41642e-05 -49 *27347:A2 *27344:A1 2.97782e-05 -50 *27694:A2 *3677:48 2.59355e-05 -51 *28752:CLK *3677:38 0.000220443 -52 *1261:41 *3677:38 0 -53 *1266:104 *27344:A1 0.000146511 -54 *1266:104 *3677:11 8.55871e-05 -55 *1272:47 *30507:A 1.69175e-05 -56 *1272:47 *3677:11 2.10145e-05 -57 *1272:139 *30507:A 5.5671e-05 -58 *1275:15 *25291:A1 1.40034e-05 -59 *1286:26 *3677:38 0.000722533 -60 *1511:39 *27686:A1 0.000216755 -61 *1609:17 *25291:A1 0.000488723 -62 *2780:158 *3677:48 0.000190055 -63 *2871:298 *27344:A1 0.000595905 -64 *2871:298 *3677:11 0.000147846 -65 *2872:260 *3677:11 2.06178e-05 -66 *2877:313 *27344:A1 0 -67 *2882:206 *27344:A1 3.91734e-05 -68 *2892:197 *27344:A1 0.000216755 -69 *2958:13 *30507:A 3.45225e-05 -70 *2958:13 *3677:11 1.81887e-05 -*RES -1 *28547:Q *3677:4 9.3 -2 *3677:4 *3677:11 14.905 -3 *3677:11 *27344:A1 26.4846 -4 *3677:11 *3677:38 44.8531 -5 *3677:38 *3677:48 32.7679 -6 *3677:48 *25291:A1 36.9429 -7 *3677:38 *27686:A1 27.2286 -8 *3677:4 *30507:A 12.4161 -*END - -*D_NET *3678 0.0544895 -*CONN -*I *29122:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27369:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25235:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27698:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28548:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29122:A 0.000133636 -2 *27369:A1 0 -3 *25235:A1 0 -4 *27698:B2 0.000204233 -5 *28548:Q 3.14135e-05 -6 *3678:89 0.00121352 -7 *3678:82 0.00178844 -8 *3678:76 0.00291703 -9 *3678:60 0.00374176 -10 *3678:47 0.00246111 -11 *3678:23 0.00232527 -12 *3678:18 0.0025738 -13 *3678:7 0.00167928 -14 *27698:B2 *27697:A1 9.81123e-05 -15 *27698:B2 *27698:A1 0.000113035 -16 *27698:B2 *5607:82 0.000136676 -17 *27698:B2 *5702:29 9.50088e-05 -18 *29122:A *3691:8 0.000212627 -19 *29122:A *5771:81 0.000104236 -20 *29122:A *5795:163 5.4684e-05 -21 *3678:7 *28548:RESET_B 9.90431e-05 -22 *3678:7 *5771:91 8.57023e-05 -23 *3678:18 *26967:A1 0 -24 *3678:18 *27003:A0 7.6644e-05 -25 *3678:18 *28580:RESET_B 0.000148705 -26 *3678:18 *3691:8 0.00222704 -27 *3678:18 *3691:12 6.46092e-05 -28 *3678:18 *4081:17 0.000654345 -29 *3678:18 *4081:18 6.03344e-05 -30 *3678:18 *5628:202 8.32036e-05 -31 *3678:18 *5639:229 7.69776e-06 -32 *3678:18 *5663:179 0.000334028 -33 *3678:18 *5777:111 0.000230233 -34 *3678:18 *5803:10 0 -35 *3678:18 *5803:14 0 -36 *3678:18 *5820:31 0.000397859 -37 *3678:23 *27699:B1 6.13706e-05 -38 *3678:23 *3951:18 0 -39 *3678:23 *4081:18 0.000805191 -40 *3678:23 *4081:22 0.000583799 -41 *3678:23 *4081:34 6.11286e-05 -42 *3678:23 *5607:82 0.000538148 -43 *3678:23 *5633:103 0.000120316 -44 *3678:47 *27367:A 0.000269521 -45 *3678:47 *28629:RESET_B 4.00349e-05 -46 *3678:47 *3821:19 6.057e-07 -47 *3678:47 *3963:42 0.000177924 -48 *3678:47 *3963:60 0.000149888 -49 *3678:47 *4081:34 0.00025295 -50 *3678:47 *4120:30 0.000654514 -51 *3678:60 *25230:A2 5.20298e-05 -52 *3678:60 *25237:A1 0.00019214 -53 *3678:60 *27359:A2 0.000150544 -54 *3678:60 *30388:A 0.000204525 -55 *3678:60 *3691:34 0.00014468 -56 *3678:60 *3730:21 0.000431685 -57 *3678:60 *3874:76 0.000475074 -58 *3678:60 *3912:11 0.00015956 -59 *3678:60 *4068:15 0.000217673 -60 *3678:60 *4068:65 0.000211803 -61 *3678:60 *5589:44 6.13706e-05 -62 *3678:76 *27360:B2 0.000323948 -63 *3678:76 *3691:34 0.00027661 -64 *3678:76 *3691:39 0.000495017 -65 *3678:76 *3730:21 6.70972e-05 -66 *3678:76 *3796:70 0.000175236 -67 *3678:76 *3912:58 6.28248e-05 -68 *3678:76 *5645:104 0 -69 *3678:76 *5764:144 0.000271232 -70 *3678:76 *5764:146 0.000286913 -71 *3678:76 *5764:156 0.000312114 -72 *3678:82 *4133:133 0.00157961 -73 *3678:82 *5643:122 0.0008352 -74 *3678:82 *5643:148 0.000755548 -75 *3678:82 *5655:201 0.000359831 -76 *25182:B1 *3678:76 0.000101738 -77 *25235:A2 *3678:89 5.33005e-05 -78 *25238:A2 *3678:60 1.90936e-05 -79 *27336:C1 *3678:76 0.000125731 -80 *27358:A2 *3678:60 0.000113132 -81 *27368:B1 *3678:47 0.000102545 -82 *27369:B1 *3678:47 0.000260152 -83 *27371:C1 *3678:76 0 -84 *27698:C1 *27698:B2 4.75761e-05 -85 *27708:C *3678:18 0.000132914 -86 *27708:C *3678:23 3.04078e-05 -87 *28463:CLK *3678:18 4.75428e-05 -88 *28752:CLK *3678:76 0 -89 *1235:116 *3678:89 0.000306163 -90 *1261:41 *3678:76 0.000478708 -91 *1261:139 *3678:76 0.001628 -92 *1261:163 *3678:76 0.000105899 -93 *1261:168 *3678:60 0.000532359 -94 *1272:63 *3678:76 0.00120661 -95 *1286:101 *3678:7 0.000184745 -96 *1293:149 *3678:47 9.02021e-05 -97 *1484:13 *3678:89 8.25843e-06 -98 *1507:20 *3678:89 0.00356025 -99 *1556:23 *3678:60 2.89698e-05 -100 *1643:15 *3678:89 0.00355583 -101 *2760:163 *3678:23 0.00155587 -102 *2772:63 *3678:18 0.000196519 -103 *2852:142 *3678:47 3.06878e-06 -104 *2875:302 *3678:76 0.000137142 -105 *2885:175 *3678:60 0.00019985 -106 *2886:244 *3678:82 0.000361553 -107 *2888:213 *3678:76 2.95746e-06 -108 *2889:92 *3678:76 0.000216639 -109 *2891:165 *3678:76 4.65519e-05 -110 *2895:316 *3678:47 0.000139187 -111 *2922:20 *3678:60 4.28365e-05 -112 *2922:20 *3678:76 0.000605497 -113 *2932:6 *3678:60 0.000986387 -114 *2933:12 *3678:60 0.000455743 -115 *3179:167 *3678:47 0.000279761 -116 *3314:26 *3678:89 0.000307878 -117 *3623:12 *3678:76 6.09762e-05 -*RES -1 *28548:Q *3678:7 15.5679 -2 *3678:7 *3678:18 42.8661 -3 *3678:18 *3678:23 31.9554 -4 *3678:23 *27698:B2 22.3937 -5 *3678:23 *3678:47 31.5179 -6 *3678:47 *3678:60 41.9554 -7 *3678:60 *3678:76 43.5491 -8 *3678:76 *3678:82 29.4107 -9 *3678:82 *3678:89 49.4643 -10 *3678:89 *25235:A1 9.3 -11 *3678:47 *27369:A1 9.3 -12 *3678:7 *29122:A 17.6036 -*END - -*D_NET *3679 0.0453283 -*CONN -*I *24848:A I *D sky130_fd_sc_hd__inv_2 -*I *29697:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27738:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27388:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25182:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28549:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *24848:A 0.000232202 -2 *29697:A 0 -3 *27738:A1 0 -4 *27388:A1 2.30826e-05 -5 *25182:A1 0 -6 *28549:Q 0 -7 *3679:89 0.00186076 -8 *3679:84 0.00203272 -9 *3679:59 0.0011938 -10 *3679:55 0.00194225 -11 *3679:39 0.00132014 -12 *3679:33 0.00126197 -13 *3679:29 0.00203157 -14 *3679:19 0.00133585 -15 *3679:16 0.00258753 -16 *3679:4 0.00297404 -17 *24848:A *25320:A1 0.000820967 -18 *24848:A *30834:A 0.000101028 -19 *3679:16 *28549:D 0.00187581 -20 *3679:16 *5630:230 7.36162e-05 -21 *3679:16 *5766:93 8.46044e-06 -22 *3679:29 *4085:27 0.000363975 -23 *3679:29 *4086:28 0.000362268 -24 *3679:29 *5589:123 0.000921384 -25 *3679:29 *5643:192 0.000562839 -26 *3679:33 *27738:B2 1.22027e-05 -27 *3679:33 *5605:171 0.00203374 -28 *3679:33 *5643:192 0.00178753 -29 *3679:39 *27388:A2 1.46576e-05 -30 *3679:39 *31010:A 0.00059743 -31 *3679:39 *3705:61 0.000191663 -32 *3679:39 *3926:44 9.58727e-05 -33 *3679:39 *4030:36 0.000145239 -34 *3679:55 *3822:18 0.000212169 -35 *3679:55 *3861:21 0.000839801 -36 *3679:55 *3861:32 3.23658e-05 -37 *3679:55 *3874:54 4.58194e-05 -38 *3679:55 *5633:152 4.84427e-05 -39 *3679:55 *5649:137 0 -40 *3679:59 *27342:B2 2.72545e-05 -41 *3679:59 *28653:RESET_B 0.000671991 -42 *3679:59 *3848:40 0.000127466 -43 *3679:59 *3952:68 9.41642e-05 -44 *3679:59 *6351:26 0.00326406 -45 *3679:84 *28460:RESET_B 1.53472e-05 -46 *3679:84 *29729:A 0.000210423 -47 *3679:89 *25550:A0 0 -48 *3679:89 *25716:A1 0.000131247 -49 *3679:89 *28460:RESET_B 2.26973e-05 -50 *3679:89 *28569:D 0.000126439 -51 *3679:89 *29729:A 0.000110093 -52 *3679:89 *30872:A 0.000347354 -53 *3679:89 *4688:15 1.90936e-05 -54 *3679:89 *5614:13 5.29648e-05 -55 *3679:89 *5625:8 0 -56 *3679:89 *5659:22 0 -57 *3679:89 *5659:24 0.000367409 -58 *3679:89 *5681:27 9.41642e-05 -59 *3679:89 *5767:81 0.000150618 -60 *3679:89 *5767:89 2.14474e-05 -61 *25182:B1 *3679:59 5.52238e-05 -62 *27342:C1 *3679:59 0.001458 -63 *27388:B1 *3679:55 2.59355e-05 -64 *27427:A2 *3679:55 0.000341579 -65 *27435:B1 *3679:29 0.000178425 -66 *28549:CLK *3679:16 4.35421e-05 -67 *29698:A *3679:19 5.33005e-05 -68 *29698:A *3679:29 0.000505335 -69 *30692:A *3679:89 0.000251655 -70 *30693:A *3679:89 0.000311479 -71 *244:65 *3679:16 0.000130576 -72 *1262:97 *3679:39 7.93145e-05 -73 *1262:97 *3679:55 1.21258e-05 -74 *1262:100 *3679:29 0.000142531 -75 *1271:221 *3679:33 2.44318e-05 -76 *1274:106 *3679:59 1.98839e-05 -77 *1526:8 *3679:55 0.000653885 -78 *2759:168 *24848:A 1.41029e-05 -79 *2761:188 *3679:84 0.000261307 -80 *2763:42 *3679:16 0.000128868 -81 *2768:190 *3679:89 0.000649839 -82 *2768:204 *3679:89 0.00127509 -83 *2768:205 *3679:84 0.000529507 -84 *2768:220 *3679:84 0.000164554 -85 *2769:66 *24848:A 0.000409889 -86 *2770:46 *24848:A 0.00024189 -87 *2787:161 *3679:39 0.000760333 -88 *2787:161 *3679:55 9.41642e-05 -89 *2883:226 *3679:55 4.82947e-05 -90 *2886:335 *3679:59 0.000332932 -91 *2892:242 *3679:19 1.98839e-05 -92 *2892:242 *3679:29 2.12005e-05 -93 *2894:303 *3679:59 0.000105594 -94 *3164:295 *3679:16 7.53309e-05 -95 *3179:128 *3679:33 7.37323e-05 -96 *3179:154 *3679:33 3.71677e-05 -*RES -1 *28549:Q *3679:4 9.3 -2 *3679:4 *3679:16 46.3214 -3 *3679:16 *3679:19 5.03571 -4 *3679:19 *3679:29 36.9464 -5 *3679:29 *3679:33 21.9107 -6 *3679:33 *3679:39 23.1786 -7 *3679:39 *3679:55 34.5424 -8 *3679:55 *3679:59 47.9196 -9 *3679:59 *25182:A1 9.3 -10 *3679:39 *27388:A1 9.72857 -11 *3679:33 *27738:A1 9.3 -12 *3679:19 *29697:A 9.3 -13 *3679:4 *3679:84 15.7768 -14 *3679:84 *3679:89 49.6875 -15 *3679:89 *24848:A 29.05 -*END - -*D_NET *3680 0.0234461 -*CONN -*I *30315:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27761:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25094:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27402:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28550:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *30315:A 0.000113547 -2 *27761:A1 0 -3 *25094:A1 0.000469084 -4 *27402:A1 4.60693e-05 -5 *28550:Q 0.00060108 -6 *3680:34 0.00143457 -7 *3680:32 0.00257122 -8 *3680:19 0.00221539 -9 *3680:8 0.000616543 -10 *3680:7 0.000767578 -11 *25094:A1 *3706:35 0.000162223 -12 *27402:A1 *27402:B2 3.17016e-05 -13 *30315:A *3706:22 0.00024362 -14 *30315:A *4109:20 8.97582e-05 -15 *30315:A *5671:7 5.03772e-05 -16 *30315:A *5891:92 9.71197e-05 -17 *3680:7 *28550:D 0.000180765 -18 *3680:7 *28550:RESET_B 0.000130739 -19 *3680:8 *3706:22 0.000123288 -20 *3680:19 *28706:D 3.69047e-06 -21 *3680:19 *30334:A 1.02504e-05 -22 *3680:19 *3706:22 0.000219583 -23 *3680:19 *5643:282 0.000182432 -24 *3680:19 *5651:410 6.13757e-06 -25 *3680:19 *5689:85 1.27784e-05 -26 *3680:32 *3706:35 0.000132474 -27 *3680:32 *3901:63 0.000136356 -28 *3680:32 *4135:18 0.00252561 -29 *3680:32 *4135:45 0.000119574 -30 *3680:32 *4148:24 0.00301438 -31 *3680:32 *5643:269 7.45992e-05 -32 *3680:32 *5650:297 7.05695e-05 -33 *3680:32 *5704:18 7.05319e-05 -34 *3680:34 *27400:B2 2.09826e-05 -35 *3680:34 *27765:B2 0.000279845 -36 *3680:34 *3706:35 0.000400781 -37 *3680:34 *4122:28 1.39429e-05 -38 *3680:34 *4135:45 5.94788e-05 -39 *25094:B1 *25094:A1 2.06342e-05 -40 *27402:B1 *25094:A1 0.000763937 -41 *27404:A2 *3680:32 2.01997e-05 -42 *27409:A *3680:32 9.53605e-05 -43 *27605:A2 *3680:32 0.000116155 -44 *27766:B *3680:19 9.32491e-05 -45 *28550:CLK *30315:A 2.82057e-05 -46 *28550:CLK *3680:8 5.74562e-05 -47 *28550:CLK *3680:19 0.000639072 -48 *1235:181 *3680:7 3.06878e-06 -49 *1274:221 *25094:A1 1.59935e-05 -50 *1433:29 *27402:A1 2.89016e-05 -51 *1698:8 *25094:A1 0.000804429 -52 *1698:8 *3680:34 0.000723821 -53 *1698:25 *3680:32 0.000109425 -54 *1698:25 *3680:34 0.00143846 -55 *2872:299 *3680:34 0.000494905 -56 *2882:246 *25094:A1 0.000168164 -57 *2882:246 *3680:34 0.000296666 -58 *3314:25 *3680:32 0.000229275 -*RES -1 *28550:Q *3680:7 20.9071 -2 *3680:7 *3680:8 1.64286 -3 *3680:8 *3680:19 20.9821 -4 *3680:19 *3680:32 49.7124 -5 *3680:32 *3680:34 28.9643 -6 *3680:34 *27402:A1 14.7643 -7 *3680:34 *25094:A1 28.1571 -8 *3680:8 *27761:A1 13.8 -9 *3680:7 *30315:A 17.9071 -*END - -*D_NET *3681 0.0128086 -*CONN -*I *27784:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27425:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30132:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25043:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28551:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27784:A1 0.000122337 -2 *27425:A1 0.000144316 -3 *30132:A 0 -4 *25043:B2 0.000511324 -5 *28551:Q 0.000369573 -6 *3681:52 0.0038398 -7 *3681:17 0.000832987 -8 *3681:11 0.00426438 -9 *25043:B2 *25043:A1 9.41642e-05 -10 *25043:B2 *5589:123 0.000147537 -11 *25043:B2 *5594:27 0.000245716 -12 *25043:B2 *5954:15 0.000307715 -13 *27425:A1 *27784:B2 0.000110029 -14 *27784:A1 *27784:B2 7.80794e-05 -15 *27784:A1 *3891:29 9.60939e-05 -16 *27784:A1 *4214:20 3.62456e-05 -17 *3681:11 *5702:147 2.47495e-06 -18 *3681:11 *5863:161 2.01732e-05 -19 *3681:17 *28487:D 2.11419e-05 -20 *3681:17 *4125:24 0 -21 *3681:17 *5639:165 1.83861e-05 -22 *3681:17 *5683:244 5.35289e-05 -23 *3681:17 *5863:161 0.000159272 -24 *3681:17 *5954:15 2.36643e-05 -25 *3681:52 *29314:A 0.000114731 -26 *3681:52 *3891:29 1.23776e-05 -27 *3681:52 *4214:20 9.60337e-06 -28 *3681:52 *5639:158 2.82126e-05 -29 *25043:A2 *25043:B2 2.15824e-05 -30 *27425:A2 *27425:A1 2.62395e-05 -31 *27784:C1 *3681:52 0.000179017 -32 *27791:A *27425:A1 0.000154345 -33 *27791:A *27784:A1 8.86898e-05 -34 *29651:A *25043:B2 0.000274948 -35 *29651:A *3681:17 5.49489e-05 -36 *1274:161 *25043:B2 2.14757e-05 -37 *1304:16 *3681:17 0 -38 *1365:16 *25043:B2 1.75416e-05 -39 *2770:97 *3681:52 3.83681e-05 -40 *3378:8 *3681:52 8.99952e-05 -41 *3615:54 *3681:11 0.000177545 -*RES -1 *28551:Q *3681:11 19.5899 -2 *3681:11 *3681:17 13.5796 -3 *3681:17 *25043:B2 21.9607 -4 *3681:17 *30132:A 9.3 -5 *3681:11 *3681:52 21.4635 -6 *3681:52 *27425:A1 12.7286 -7 *3681:52 *27784:A1 12.6214 -*END - -*D_NET *3682 0.0353073 -*CONN -*I *25013:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30384:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27803:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27450:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28552:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25013:A1 0.000708309 -2 *30384:A 4.26984e-05 -3 *27803:A1 0.000261934 -4 *27450:B2 0.000247591 -5 *28552:Q 0.00170054 -6 *3682:63 0.000786542 -7 *3682:50 0.00148883 -8 *3682:48 0.00209302 -9 *3682:25 0.00193835 -10 *3682:21 0.00192007 -11 *3682:15 0.00124753 -12 *3682:9 0.00188818 -13 *25013:A1 *3708:11 1.56e-05 -14 *25013:A1 *3761:53 0.00012401 -15 *27450:B2 *3695:26 8.56752e-05 -16 *27803:A1 *3981:53 7.9908e-05 -17 *27803:A1 *5660:35 0.00018996 -18 *3682:9 *28544:D 1.01403e-05 -19 *3682:9 *28552:RESET_B 8.79458e-05 -20 *3682:21 *25011:A1 5.66157e-05 -21 *3682:21 *27026:A1 0.000324971 -22 *3682:21 *3786:68 0.00187964 -23 *3682:21 *3812:24 7.64454e-05 -24 *3682:21 *5386:12 0.00013713 -25 *3682:21 *5602:61 2.09897e-05 -26 *3682:21 *5602:100 0.000383214 -27 *3682:25 *3695:26 0.000690215 -28 *3682:25 *3748:37 0.00015134 -29 *3682:48 *28757:D 0.000154661 -30 *3682:48 *3812:31 8.00766e-05 -31 *3682:48 *3826:57 7.78876e-05 -32 *3682:48 *5639:90 0.000299183 -33 *3682:48 *5645:72 9.99931e-06 -34 *3682:48 *5678:71 1.09611e-05 -35 *3682:48 *5679:35 0.000120245 -36 *3682:50 *3826:57 0 -37 *3682:50 *3877:41 1.4396e-05 -38 *3682:50 *3903:42 0.0013421 -39 *3682:50 *5642:34 8.0874e-05 -40 *3682:50 *5645:47 0.00124609 -41 *3682:50 *5645:71 0.00151224 -42 *3682:50 *5660:35 0.000132548 -43 *3682:50 *5679:46 1.81709e-05 -44 *25013:B1 *25013:A1 0.000549884 -45 *25020:B1 *3682:21 1.65169e-05 -46 *27450:B1 *27450:B2 1.98839e-05 -47 *27456:B1 *3682:48 1.4363e-05 -48 *27456:C1 *3682:48 0.000348379 -49 *27797:C1 *3682:50 2.68445e-05 -50 *28552:CLK *3682:9 1.58163e-05 -51 *28741:CLK *3682:50 0.000697746 -52 *28757:CLK *3682:48 6.11567e-05 -53 *28757:CLK *3682:50 0.000720975 -54 *30381:A *3682:15 2.59355e-05 -55 *244:65 *3682:21 0.00290888 -56 *1268:95 *25013:A1 0.000257549 -57 *1268:95 *3682:15 0.000252988 -58 *1268:95 *3682:63 0.000119618 -59 *1268:123 *25013:A1 0.000148903 -60 *1270:88 *25013:A1 0.000169437 -61 *1272:160 *3682:25 0.000153054 -62 *1304:39 *3682:50 0.000310089 -63 *1320:10 *25013:A1 1.5942e-05 -64 *1320:15 *25013:A1 0 -65 *1334:22 *25013:A1 0.000640137 -66 *1334:22 *3682:15 0.000249613 -67 *1334:22 *3682:63 0.000126439 -68 *1355:20 *3682:48 8.6051e-05 -69 *2763:108 *3682:15 3.69047e-06 -70 *2776:139 *3682:21 0.000331457 -71 *2855:298 *3682:50 0.000648564 -72 *2855:302 *3682:48 0.000118136 -73 *2855:302 *3682:50 0.000266633 -74 *2855:310 *3682:48 0.000131496 -75 *2859:316 *27450:B2 0.000137561 -76 *2859:331 *27450:B2 9.66977e-05 -77 *3164:249 *3682:48 2.58826e-05 -78 *3164:249 *3682:50 0.00012635 -79 *3164:258 *3682:48 0.000415082 -80 *3177:59 *3682:48 0.000167821 -81 *3177:61 *3682:48 0.000229713 -82 *3179:66 *27803:A1 0.00018173 -83 *3179:66 *3682:50 0.000151565 -84 *3574:34 *3682:50 0.000277721 -85 *3632:8 *3682:50 0.000324126 -*RES -1 *28552:Q *3682:9 31.6036 -2 *3682:9 *3682:15 13.5179 -3 *3682:15 *3682:21 49.5714 -4 *3682:21 *3682:25 20.125 -5 *3682:25 *27450:B2 13.9607 -6 *3682:25 *3682:48 29.1116 -7 *3682:48 *3682:50 49.5268 -8 *3682:50 *27803:A1 27.9429 -9 *3682:9 *3682:63 1.64286 -10 *3682:63 *30384:A 14.3357 -11 *3682:63 *25013:A1 28.425 -*END - -*D_NET *3683 0.00909066 -*CONN -*I *30059:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24989:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27464:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27835:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28553:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30059:A 2.06112e-05 -2 *24989:B2 8.0925e-05 -3 *27464:A1 0.000712306 -4 *27835:A1 0 -5 *28553:Q 0.00105403 -6 *3683:13 0.00153779 -7 *3683:11 0.00111687 -8 *3683:9 0.0012851 -9 *27464:A1 *27835:B1 2.59355e-05 -10 *27464:A1 *27835:B2 3.08382e-06 -11 *27464:A1 *5836:45 0.000218637 -12 *30059:A *5836:40 3.99614e-06 -13 *3683:9 *26972:A1 5.52302e-05 -14 *3683:9 *5836:40 0.000154629 -15 *3683:11 *5836:40 0.000267529 -16 *3683:13 *5836:40 0.00113876 -17 *25064:A2 *27464:A1 9.41642e-05 -18 *27464:A2 *27464:A1 3.28686e-05 -19 *27835:A2 *3683:13 1.46576e-05 -20 *1275:142 *24989:B2 0.000150625 -21 *1315:7 *24989:B2 2.59355e-05 -22 *1371:51 *24989:B2 0.000148911 -23 *2892:220 *27464:A1 8.00806e-05 -24 *3022:11 *27464:A1 9.41642e-05 -25 *3164:287 *27464:A1 0.000135028 -26 *3165:55 *27464:A1 7.83659e-05 -27 *3179:96 *3683:13 7.88752e-05 -28 *3179:108 *27464:A1 5.74499e-06 -29 *3179:108 *3683:13 1.21258e-05 -30 *3618:69 *3683:9 0.000270998 -31 *3618:74 *3683:9 0.000192679 -*RES -1 *28553:Q *3683:9 22.7107 -2 *3683:9 *3683:11 3.41071 -3 *3683:11 *3683:13 14.0893 -4 *3683:13 *27835:A1 9.3 -5 *3683:13 *27464:A1 30.7107 -6 *3683:11 *24989:B2 20.7821 -7 *3683:9 *30059:A 9.72857 -*END - -*D_NET *3684 0.0505591 -*CONN -*I *25371:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30540:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27487:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27860:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28294:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25371:B2 0 -2 *30540:A 0 -3 *27487:A1 0.00124771 -4 *27860:A1 0.000411698 -5 *28294:Q 9.02999e-05 -6 *3684:72 0.0018272 -7 *3684:69 0.00187866 -8 *3684:64 0.00236278 -9 *3684:21 0.00938731 -10 *3684:8 0.00782591 -11 *3684:7 0.00249963 -12 *27487:A1 *27487:A2 5.33005e-05 -13 *27487:A1 *28136:RESET_B 8.17274e-05 -14 *27487:A1 *28137:D 2.55889e-05 -15 *27487:A1 *3957:27 6.34436e-05 -16 *27487:A1 *3957:43 9.41642e-05 -17 *27487:A1 *3960:7 7.37323e-05 -18 *27487:A1 *5493:31 7.79305e-05 -19 *27487:A1 *5661:80 9.41642e-05 -20 *27487:A1 *5740:55 0.000578268 -21 *27860:A1 *25835:A0 1.60105e-05 -22 *27860:A1 *27495:A1 0.000342777 -23 *27860:A1 *27860:A2 0.000298795 -24 *27860:A1 *27860:B2 1.08048e-05 -25 *27860:A1 *5651:76 0.000536412 -26 *3684:7 *25841:A0 0.00018372 -27 *3684:8 *3697:31 4.37813e-05 -28 *3684:8 *5007:42 1.95544e-05 -29 *3684:21 *27564:B2 0.000435589 -30 *3684:21 *27924:A1 0.000154703 -31 *3684:21 *3685:20 0.00228767 -32 *3684:21 *3697:31 8.50795e-06 -33 *3684:21 *3805:59 0.00462327 -34 *3684:21 *3882:47 0 -35 *3684:21 *3896:26 0.0008389 -36 *3684:21 *3896:56 0.00065536 -37 *3684:21 *4064:37 2.32453e-05 -38 *3684:21 *4103:40 0.000411845 -39 *3684:21 *4143:41 0.000273579 -40 *3684:21 *5007:42 9.60337e-06 -41 *3684:21 *5386:11 3.33004e-05 -42 *3684:21 *5839:26 5.60891e-05 -43 *3684:64 *28302:D 0.000153153 -44 *3684:64 *3697:31 0.000171732 -45 *3684:64 *5007:42 0.000152886 -46 *3684:64 *5715:149 0.000779783 -47 *3684:64 *6358:12 0.000864387 -48 *3684:69 *4092:21 0.000121573 -49 *3684:72 *25233:A1 0.000868251 -50 *3684:72 *25233:B2 0.000304394 -51 *3684:72 *25787:A0 0.000192619 -52 *3684:72 *25846:A 4.85178e-05 -53 *25114:B *3684:72 0.000137983 -54 *25233:A2 *3684:72 0.000424029 -55 *25233:B1 *3684:72 0.000194101 -56 *25252:C1 *3684:72 0.000670967 -57 *25784:S *3684:69 1.02504e-05 -58 *26440:A1 *3684:64 0 -59 *26715:A2 *3684:64 0 -60 *28135:CLK *27487:A1 0.000377438 -61 *29683:A *3684:72 0.000596344 -62 *29785:A *3684:64 8.71576e-05 -63 *30036:A *3684:72 0.000301438 -64 *30058:A *3684:64 1.5942e-05 -65 *30541:A *3684:8 0.000120348 -66 *1362:48 *3684:72 0.000136951 -67 *1422:100 *3684:72 4.27437e-05 -68 *1472:25 *3684:21 4.73953e-05 -69 *1473:56 *3684:72 4.27338e-05 -70 *1483:13 *3684:72 0.000135028 -71 *1870:29 *3684:64 2.59355e-05 -72 *1870:29 *3684:69 0.000123288 -73 *1878:13 *3684:21 0.00021493 -74 *2834:109 *3684:21 0.000807829 -75 *2871:105 *27487:A1 6.07649e-05 -76 *2874:101 *3684:21 0.00042 -77 *2882:96 *27860:A1 0.000354651 -78 *2894:107 *3684:21 0.000287952 -79 *3165:297 *3684:21 0 -80 *3196:179 *3684:21 4.86178e-05 -81 *3212:94 *27487:A1 0.000104277 -82 *3212:94 *27860:A1 7.46485e-05 -83 *3413:25 *3684:21 0 -84 *3457:10 *27487:A1 0.000578464 -85 *3457:10 *27860:A1 0.000160422 -86 *3479:8 *3684:21 0.000313323 -87 *3553:10 *3684:64 2.59355e-05 -88 *3553:25 *3684:64 2.28598e-05 -*RES -1 *28294:Q *3684:7 15.5679 -2 *3684:7 *3684:8 2.25 -3 *3684:8 *3684:21 35.9755 -4 *3684:21 *27860:A1 26.8804 -5 *3684:21 *27487:A1 37.5232 -6 *3684:8 *30540:A 13.8 -7 *3684:7 *3684:64 46.3571 -8 *3684:64 *3684:69 10.6429 -9 *3684:69 *3684:72 40.8036 -10 *3684:72 *25371:B2 9.3 -*END - -*D_NET *3685 0.0480337 -*CONN -*I *25332:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30473:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27885:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27516:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28295:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25332:B2 0.000167707 -2 *30473:A 0.000218898 -3 *27885:A1 0.000441771 -4 *27516:A1 0.000123875 -5 *28295:Q 0 -6 *3685:89 0.00336853 -7 *3685:80 0.00507773 -8 *3685:66 0.00368761 -9 *3685:42 0.00211109 -10 *3685:22 0.0015277 -11 *3685:20 0.00324909 -12 *3685:5 0.00236852 -13 *25332:B2 *5640:53 0.00020489 -14 *27516:A1 *27516:A2 0.000177545 -15 *27516:A1 *3739:48 0.000121871 -16 *27885:A1 *27885:A2 0.000171737 -17 *30473:A *3936:35 0.000852304 -18 *3685:20 *3697:57 0.000172542 -19 *3685:20 *3739:36 0.000538971 -20 *3685:20 *3749:105 0.00025533 -21 *3685:20 *3896:56 8.45694e-05 -22 *3685:20 *4064:37 0.00043931 -23 *3685:20 *4144:35 9.9974e-05 -24 *3685:20 *5007:42 0.000174123 -25 *3685:20 *5706:38 0.000248569 -26 *3685:20 *5712:10 3.08002e-05 -27 *3685:20 *5743:122 0.000216564 -28 *3685:22 *3697:57 0.000181541 -29 *3685:22 *3739:48 0.00164956 -30 *3685:22 *5727:281 0.000256457 -31 *3685:42 *4144:35 8.25098e-05 -32 *3685:42 *5007:42 0.000235092 -33 *3685:42 *5712:10 8.33559e-05 -34 *3685:66 *25869:A0 3.33847e-05 -35 *3685:66 *3936:35 0.00029449 -36 *3685:66 *3936:51 0.000674218 -37 *3685:66 *4142:26 3.69697e-05 -38 *3685:66 *5666:36 0.000265031 -39 *3685:66 *5743:122 7.6644e-05 -40 *3685:80 *25081:B2 0.00161119 -41 *3685:80 *27578:A1 7.01963e-05 -42 *3685:80 *3699:24 7.83587e-05 -43 *3685:80 *3881:54 1.59454e-05 -44 *3685:80 *4064:56 0.00186097 -45 *3685:80 *5878:35 5.03616e-05 -46 *3685:89 *24934:B 9.58181e-05 -47 *3685:89 *3758:43 7.55769e-05 -48 *3685:89 *5640:53 5.20359e-06 -49 *3685:89 *5795:106 0.00141819 -50 *25098:B *3685:89 0.000725562 -51 *27516:B1 *27516:A1 0.000216755 -52 *27517:C1 *27516:A1 8.25843e-06 -53 *27578:A2 *3685:66 1.94879e-05 -54 *27581:A *3685:80 0.000139215 -55 *27581:B *3685:80 0.000469523 -56 *27581:C *3685:80 5.52238e-05 -57 *27588:C1 *3685:66 4.29471e-05 -58 *27885:B1 *27885:A1 5.33005e-05 -59 *27915:D *3685:22 0.000100823 -60 *28938:A *30473:A 0.000518464 -61 *374:18 *3685:20 0.000456467 -62 *1253:23 *3685:80 0.000177815 -63 *1288:10 *3685:89 1.21258e-05 -64 *1399:11 *3685:80 0.000167155 -65 *1419:11 *25332:B2 0.00038021 -66 *1423:54 *3685:66 0.00010946 -67 *1430:90 *3685:80 7.6644e-05 -68 *1460:25 *3685:80 0.000135028 -69 *1476:21 *30473:A 0.000169759 -70 *1476:21 *3685:66 0.00100476 -71 *1576:8 *3685:89 1.53191e-05 -72 *2778:120 *3685:89 2.04825e-05 -73 *2848:123 *3685:66 2.11419e-05 -74 *2874:85 *3685:20 6.19639e-06 -75 *2874:101 *3685:20 6.07037e-07 -76 *2874:102 *3685:66 0.00154042 -77 *2882:142 *3685:66 9.11102e-06 -78 *2894:99 *3685:20 5.70494e-05 -79 *2894:99 *3685:22 0.00058953 -80 *2894:106 *3685:20 9.01724e-06 -81 *2895:172 *3685:66 4.11173e-05 -82 *3115:19 *3685:66 1.46617e-05 -83 *3133:11 *3685:80 2.14757e-05 -84 *3156:133 *3685:20 0.000816429 -85 *3194:116 *27885:A1 0.000217699 -86 *3194:129 *27885:A1 9.60939e-05 -87 *3196:119 *27885:A1 9.41642e-05 -88 *3212:99 *3685:20 1.93118e-05 -89 *3428:14 *27885:A1 1.81887e-05 -90 *3454:18 *27516:A1 0.000121871 -91 *3454:18 *3685:22 0.00165199 -92 *3561:16 *3685:20 4.24764e-05 -93 *3684:21 *3685:20 0.00228767 -*RES -1 *28295:Q *3685:5 13.8 -2 *3685:5 *3685:20 34.7593 -3 *3685:20 *3685:22 30.4821 -4 *3685:22 *27516:A1 17.6214 -5 *3685:22 *27885:A1 21.3179 -6 *3685:5 *3685:42 7.66071 -7 *3685:42 *30473:A 17.6393 -8 *3685:42 *3685:66 46.955 -9 *3685:66 *3685:80 48.2321 -10 *3685:80 *3685:89 48.2857 -11 *3685:89 *25332:B2 13.5321 -*END - -*D_NET *3686 0.0975645 -*CONN -*I *25365:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27617:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27298:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *29144:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28554:Q O *D sky130_fd_sc_hd__dfstp_4 -*CAP -1 *25365:A1 0 -2 *27617:B2 0 -3 *27298:A1 0 -4 *29144:A 0.000440312 -5 *28554:Q 0 -6 *3686:135 0.00211128 -7 *3686:109 0.00294924 -8 *3686:89 0.000874579 -9 *3686:83 0.0112019 -10 *3686:72 0.011643 -11 *3686:67 0.00279617 -12 *3686:50 0.00471486 -13 *3686:36 0.00352674 -14 *3686:27 0.00277579 -15 *3686:4 0.0020857 -16 *29144:A *28754:D 0.000163519 -17 *29144:A *29679:A 0.000139913 -18 *29144:A *3822:110 0 -19 *29144:A *5748:6 0.000177643 -20 *3686:27 *27206:A1 0 -21 *3686:27 *28643:SET_B 0.000124525 -22 *3686:27 *28737:RESET_B 0.000227263 -23 *3686:27 *29458:A 5.11653e-05 -24 *3686:27 *3822:110 0.00118944 -25 *3686:27 *3835:26 0.000285223 -26 *3686:27 *4054:8 0 -27 *3686:27 *5795:36 5.29921e-05 -28 *3686:27 *5820:46 9.8958e-05 -29 *3686:36 *28499:SET_B 0.000375752 -30 *3686:36 *3781:16 0.00117117 -31 *3686:36 *3822:108 0.00172793 -32 *3686:36 *4028:8 0.000117109 -33 *3686:36 *4132:33 0.00013668 -34 *3686:36 *5768:127 0.000392741 -35 *3686:36 *5768:143 0.000272035 -36 *3686:36 *5769:57 3.10885e-05 -37 *3686:50 *4043:58 1.88578e-05 -38 *3686:50 *4083:31 0.000252438 -39 *3686:50 *5582:103 0.000199493 -40 *3686:50 *5593:20 1.55479e-05 -41 *3686:50 *5593:33 0.000477588 -42 *3686:50 *5638:136 0.000649171 -43 *3686:50 *5640:176 2.86703e-05 -44 *3686:50 *5641:165 9.69882e-05 -45 *3686:50 *5641:243 9.04651e-06 -46 *3686:67 *27032:A1 0.000385699 -47 *3686:67 *29969:A 0.000958996 -48 *3686:67 *30188:A 0.000136676 -49 *3686:67 *3732:43 0.000511762 -50 *3686:67 *4096:37 0.000178425 -51 *3686:67 *4096:48 0.000140088 -52 *3686:67 *5643:250 0.000135028 -53 *3686:67 *5644:250 0.000266663 -54 *3686:72 *29969:A 0.000112621 -55 *3686:72 *3725:18 5.01117e-05 -56 *3686:72 *4040:20 0 -57 *3686:83 *25102:A 0.000130975 -58 *3686:83 *25122:B2 0.00113724 -59 *3686:83 *25297:A1 0.000318272 -60 *3686:83 *25378:A1 2.04745e-05 -61 *3686:83 *3724:88 0.00293081 -62 *3686:83 *3725:18 8.79397e-05 -63 *3686:83 *3738:41 0.000352016 -64 *3686:83 *3762:41 0.000342079 -65 *3686:83 *3828:77 0.000300044 -66 *3686:83 *3920:51 0.00014854 -67 *3686:83 *3920:63 0.000169604 -68 *3686:83 *4027:11 0.00045309 -69 *3686:83 *4040:20 0 -70 *3686:83 *5604:57 0.000147168 -71 *3686:83 *5607:27 0.00137563 -72 *3686:83 *5607:39 0.000344309 -73 *3686:83 *5607:47 0.000337934 -74 *3686:83 *5808:53 0.000317785 -75 *3686:83 *5848:63 0.000295237 -76 *3686:83 *5890:24 0.000259079 -77 *3686:83 *5909:44 0.00312319 -78 *3686:89 *3797:68 1.31516e-05 -79 *3686:89 *4132:65 2.17647e-05 -80 *3686:109 *3834:24 6.6089e-05 -81 *3686:109 *4044:14 0.00135342 -82 *3686:109 *4051:54 1.85661e-05 -83 *3686:109 *4132:65 9.76435e-06 -84 *3686:109 *5661:210 0.000276504 -85 *3686:135 *27617:A1 0.000121149 -86 *3686:135 *27617:A2 1.57155e-05 -87 *3686:135 *28546:D 5.76524e-05 -88 *3686:135 *3797:65 0.00231742 -89 *3686:135 *3987:35 2.06579e-05 -90 *3686:135 *4044:14 0.00136196 -91 *3686:135 *4051:49 2.06112e-05 -92 *3686:135 *4051:54 0 -93 *3686:135 *4115:30 1.90936e-05 -94 *3686:135 *4115:47 0.000108816 -95 *3686:135 *5635:50 2.21972e-05 -96 *3686:135 *5655:174 8.00964e-05 -97 *24924:A *3686:83 0.000167426 -98 *25122:A2 *3686:83 0.00104184 -99 *27074:S *3686:27 0 -100 *27298:B1 *3686:89 4.50149e-05 -101 *27319:B1 *3686:135 3.08382e-06 -102 *27332:D *3686:135 0.000141297 -103 *27617:B1 *3686:135 3.37161e-05 -104 *27617:C1 *3686:135 0.000174296 -105 *27750:A2 *3686:50 0.0018525 -106 *27756:C1 *3686:67 2.07878e-05 -107 *27946:A2 *3686:83 0.0011261 -108 *28691:CLK *3686:27 7.50601e-05 -109 *30189:A *3686:67 0.000178847 -110 *976:31 *3686:27 5.59013e-05 -111 *1244:174 *3686:135 0.00231877 -112 *1246:62 *3686:135 8.65987e-06 -113 *1249:34 *3686:83 0.00069848 -114 *1250:11 *3686:83 0.000374453 -115 *1250:232 *3686:83 2.35215e-05 -116 *1253:34 *3686:83 0 -117 *1279:44 *3686:83 3.13926e-05 -118 *1281:103 *3686:83 0.00288183 -119 *1286:55 *3686:50 0.00108468 -120 *1288:77 *3686:72 2.56694e-05 -121 *1291:31 *3686:135 9.24378e-05 -122 *1358:19 *3686:83 7.4416e-05 -123 *1358:25 *3686:83 7.91975e-05 -124 *1360:18 *3686:83 0.00010283 -125 *1403:26 *3686:83 0.000146512 -126 *1416:89 *3686:109 1.28445e-05 -127 *1434:76 *3686:83 0.000264018 -128 *1438:28 *3686:89 5.49544e-05 -129 *1438:28 *3686:109 0.000259267 -130 *1439:49 *3686:83 0.000611861 -131 *1443:12 *3686:83 0.000111243 -132 *1450:28 *3686:83 0.000173572 -133 *1540:14 *3686:89 3.46512e-05 -134 *1647:29 *3686:83 0.000362853 -135 *1687:9 *3686:83 0.000121787 -136 *1689:8 *3686:83 0.00035904 -137 *1700:6 *3686:50 0.00077374 -138 *2766:79 *3686:27 0.000295784 -139 *2769:227 *3686:27 0.000113283 -140 *2774:31 *3686:50 0.000470798 -141 *2775:187 *3686:109 3.75845e-05 -142 *2780:297 *3686:27 0 -143 *2791:199 *3686:50 0.000236151 -144 *2791:233 *3686:36 0.00108204 -145 *2794:95 *3686:27 0 -146 *2856:157 *3686:83 7.14091e-06 -147 *2859:187 *3686:109 0.000216755 -148 *2870:21 *3686:83 5.92246e-05 -149 *2877:179 *3686:83 1.7754e-05 -150 *2877:179 *3686:135 0.00128367 -151 *2879:67 *3686:109 0.000521547 -152 *2882:46 *3686:135 3.2687e-05 -153 *3168:31 *3686:135 2.04745e-05 -154 *3176:49 *3686:135 4.88232e-05 -155 *3179:186 *3686:50 2.04825e-05 -156 *3185:92 *3686:109 1.27131e-05 -157 *3215:254 *3686:72 0.0001399 -158 *3299:29 *3686:109 4.69992e-05 -159 *3312:19 *3686:50 0.0003629 -160 *3413:16 *3686:83 0.00093253 -161 *3461:26 *3686:83 0.000162961 -162 *3506:19 *3686:83 0.000284869 -163 *3601:8 *3686:27 0.000100381 -164 *3601:10 *3686:27 1.53146e-05 -165 *3603:58 *3686:27 6.85604e-05 -166 *3603:95 *3686:27 0 -*RES -1 *28554:Q *3686:4 9.3 -2 *3686:4 *29144:A 27.2107 -3 *3686:4 *3686:27 48.4821 -4 *3686:27 *3686:36 47.7321 -5 *3686:36 *3686:50 47.8759 -6 *3686:50 *3686:67 45.1071 -7 *3686:67 *3686:72 10.8929 -8 *3686:72 *3686:83 48.6937 -9 *3686:83 *3686:89 9.32964 -10 *3686:89 *27298:A1 9.3 -11 *3686:89 *3686:109 39.1835 -12 *3686:109 *3686:135 49.6268 -13 *3686:135 *27617:B2 9.3 -14 *3686:72 *25365:A1 13.8 -*END - -*D_NET *3687 0.0572988 -*CONN -*I *25233:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27543:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27911:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *29431:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28301:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *25233:B2 0.000348237 -2 *27543:B2 0 -3 *27911:B2 0 -4 *29431:A 0.00115261 -5 *28301:Q 0 -6 *3687:73 0.00119534 -7 *3687:58 0.00219083 -8 *3687:54 0.00173662 -9 *3687:52 0.00169706 -10 *3687:42 0.00261558 -11 *3687:40 0.00566964 -12 *3687:26 0.00555854 -13 *3687:4 0.00235292 -14 *25233:B2 *3763:20 0.000213642 -15 *25233:B2 *3975:34 8.27772e-05 -16 *25233:B2 *3975:44 0.00014734 -17 *25233:B2 *5719:38 0.000319404 -18 *29431:A *25849:A1 7.48091e-05 -19 *29431:A *25850:A1 0.000177815 -20 *29431:A *28301:D 4.87854e-05 -21 *29431:A *28301:SET_B 9.51514e-06 -22 *29431:A *5824:37 6.39231e-05 -23 *3687:26 *27583:B2 0.000340857 -24 *3687:26 *3975:34 0.000120039 -25 *3687:26 *5669:101 3.14163e-05 -26 *3687:26 *5719:38 2.0892e-05 -27 *3687:26 *5824:37 0.000150493 -28 *3687:40 *3753:26 0.000228537 -29 *3687:40 *3947:33 1.00541e-05 -30 *3687:40 *4065:53 0.000222457 -31 *3687:40 *4113:116 0 -32 *3687:40 *5719:55 0.000116148 -33 *3687:40 *6036:46 0.000222486 -34 *3687:42 *28329:D 0.000347466 -35 *3687:42 *3766:93 0.000148911 -36 *3687:42 *3775:30 7.47029e-06 -37 *3687:42 *5686:185 0.00107728 -38 *3687:42 *6036:44 2.71328e-05 -39 *3687:42 *6036:46 4.85826e-05 -40 *3687:52 *6036:44 0.000249478 -41 *3687:58 *3806:61 0.000800341 -42 *3687:73 *27911:A1 9.79734e-06 -43 *3687:73 *3804:58 8.23597e-06 -44 *25088:B1 *3687:42 4.46689e-05 -45 *25233:B1 *25233:B2 0.000304394 -46 *25361:B1 *3687:26 0.000222438 -47 *26715:A1 *29431:A 4.25716e-05 -48 *26715:A2 *29431:A 0.00012401 -49 *27554:C1 *3687:42 0.000331579 -50 *27582:A2 *3687:26 3.43988e-06 -51 *27888:A2 *3687:73 1.90936e-05 -52 *27911:A2 *3687:73 1.01912e-05 -53 *27911:B1 *3687:73 5.56564e-05 -54 *27912:C1 *3687:73 5.93521e-05 -55 *27951:A2 *3687:40 0.00010283 -56 *28301:CLK *29431:A 1.21258e-05 -57 *28327:CLK *3687:52 1.94879e-05 -58 *28865:A *3687:26 5.33005e-05 -59 *30452:A *3687:52 0.000127446 -60 *1263:216 *3687:40 0 -61 *1408:28 *3687:42 0.0002164 -62 *1408:28 *3687:52 6.72385e-05 -63 *1408:28 *3687:54 0.00184012 -64 *1408:28 *3687:58 0.00256479 -65 *1473:33 *3687:26 0.000149347 -66 *1873:32 *3687:40 3.97815e-05 -67 *2856:97 *3687:52 0.000325011 -68 *2859:128 *3687:40 0.000659545 -69 *2859:128 *3687:42 0.000472188 -70 *2864:230 *3687:40 0 -71 *2871:45 *3687:73 0.00269645 -72 *2872:135 *3687:40 0.000414158 -73 *2872:135 *3687:42 0.00184606 -74 *2877:112 *3687:42 0.00239566 -75 *2878:75 *3687:52 6.81425e-06 -76 *2880:211 *3687:42 0.000228857 -77 *2880:211 *3687:52 0.000505063 -78 *2880:211 *3687:54 0.000119913 -79 *2880:215 *3687:54 0.00157137 -80 *2880:215 *3687:58 0.00163692 -81 *2880:217 *3687:58 5.81538e-05 -82 *2891:81 *3687:42 0.000763416 -83 *2891:81 *3687:52 0.000692724 -84 *3101:17 *3687:40 0 -85 *3136:10 *3687:26 4.82712e-05 -86 *3136:12 *3687:40 0.000222486 -87 *3212:68 *3687:73 2.09826e-05 -88 *3541:23 *3687:26 0.00143747 -89 *3571:13 *3687:73 0.00325237 -90 *3646:20 *3687:52 8.42062e-05 -91 *3646:22 *3687:52 0.00128258 -92 *3684:72 *25233:B2 0.000304394 -*RES -1 *28301:Q *3687:4 9.3 -2 *3687:4 *29431:A 33.7464 -3 *3687:4 *3687:26 31.5268 -4 *3687:26 *3687:40 29.3412 -5 *3687:40 *3687:42 57.7679 -6 *3687:42 *3687:52 27.3571 -7 *3687:52 *3687:54 24.5625 -8 *3687:54 *3687:58 38.625 -9 *3687:58 *3687:73 49.4952 -10 *3687:73 *27911:B2 9.3 -11 *3687:54 *27543:B2 13.8 -12 *3687:26 *25233:B2 24.9696 -*END - -*D_NET *3688 0.0355928 -*CONN -*I *25204:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *29417:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27922:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27568:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28302:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25204:B2 0 -2 *29417:A 0.000258479 -3 *27922:B2 0 -4 *27568:B2 0.00141685 -5 *28302:Q 9.45967e-05 -6 *3688:78 0.0017497 -7 *3688:69 0.00242768 -8 *3688:57 0.00125134 -9 *3688:35 0.00197012 -10 *3688:31 0.00206038 -11 *3688:25 0.00198309 -12 *3688:17 0.00189255 -13 *3688:7 0.00182604 -14 *27568:B2 *27567:B2 2.59355e-05 -15 *27568:B2 *3723:25 0.000326681 -16 *29417:A *25850:A1 0.000144951 -17 *3688:7 *5715:149 0.000227532 -18 *3688:17 *25367:A1 0.000307343 -19 *3688:17 *25849:A1 0.000127208 -20 *3688:17 *27907:A2 7.9923e-05 -21 *3688:17 *3698:19 0.000183233 -22 *3688:17 *3698:78 0.000384995 -23 *3688:17 *4105:20 0.000161922 -24 *3688:17 *4142:34 0.000755015 -25 *3688:17 *4142:56 0.000180001 -26 *3688:17 *5761:77 0.000101545 -27 *3688:17 *5761:86 0.000109295 -28 *3688:17 *5761:97 0.000214342 -29 *3688:17 *5839:53 0 -30 *3688:25 *27565:B2 0.000332731 -31 *3688:25 *27935:B1 1.90979e-05 -32 *3688:25 *4065:53 0.000303972 -33 *3688:25 *4142:34 1.44954e-05 -34 *3688:25 *5651:189 3.48282e-05 -35 *3688:31 *25865:A0 3.06673e-05 -36 *3688:31 *27560:B2 8.89385e-05 -37 *3688:31 *27936:A1 0 -38 *3688:31 *28311:RESET_B 0.000127892 -39 *3688:31 *3698:31 0.000234062 -40 *3688:31 *3713:39 4.06087e-07 -41 *3688:31 *3723:8 0.000299668 -42 *3688:31 *3723:25 0.000488825 -43 *3688:31 *3883:31 0.000110225 -44 *3688:31 *3883:34 2.30314e-05 -45 *3688:31 *3984:73 0.000150803 -46 *3688:31 *4142:17 4.65519e-05 -47 *3688:31 *5657:114 0.000861455 -48 *3688:31 *5657:125 0.00220294 -49 *3688:35 *3698:40 2.65075e-05 -50 *3688:35 *3723:25 0 -51 *3688:35 *3727:20 5.53757e-05 -52 *3688:35 *3984:33 0.000232836 -53 *3688:35 *4159:56 3.95113e-05 -54 *3688:35 *4160:98 3.10108e-05 -55 *3688:35 *4161:80 0.000165626 -56 *3688:35 *5657:114 0.00018919 -57 *3688:35 *5691:61 0.000119179 -58 *3688:57 *5761:97 5.41794e-05 -59 *3688:57 *5839:53 0 -60 *3688:69 *5709:107 0.000289738 -61 *3688:69 *5709:112 0.000893226 -62 *3688:78 *25785:A0 0.000258942 -63 *3688:78 *25788:A0 0.000820294 -64 *3688:78 *25788:A1 0.000111511 -65 *3688:78 *3754:8 0.000125731 -66 *3688:78 *3947:33 0.000136958 -67 *3688:78 *3948:23 0.000178847 -68 *3688:78 *4077:29 0.00012401 -69 *3688:78 *5669:57 5.33005e-05 -70 *3688:78 *5669:81 1.54142e-05 -71 *3688:78 *5688:213 4.59075e-05 -72 *6624:DIODE *27568:B2 1.13412e-05 -73 *25204:B1 *3688:78 1.97387e-05 -74 *25254:A2 *3688:78 9.60939e-05 -75 *25328:B1 *3688:78 5.33005e-05 -76 *25867:S *3688:31 0.000373053 -77 *25874:S *3688:31 0.000132851 -78 *26795:A *3688:69 0 -79 *27565:B1 *3688:31 0 -80 *27567:B1 *27568:B2 0.000136951 -81 *27568:C1 *27568:B2 0.000252192 -82 *27938:C1 *3688:35 0.00030562 -83 *27939:B *3688:25 0.000123133 -84 *28139:CLK *3688:35 0.000165966 -85 *29346:A *3688:69 0.000359773 -86 *29785:A *3688:69 0 -87 *30535:A *3688:17 0.000372348 -88 *1456:64 *3688:31 0.000112501 -89 *1473:70 *3688:78 0.000219711 -90 *1518:15 *3688:69 0.000206726 -91 *1573:22 *3688:78 0.000137983 -92 *1828:42 *27568:B2 3.69047e-06 -93 *1828:47 *3688:35 0 -94 *1870:7 *3688:78 1.32552e-05 -95 *1873:32 *3688:17 3.34783e-05 -96 *1886:18 *3688:31 9.03127e-05 -97 *1886:38 *3688:31 7.77768e-05 -98 *1886:47 *3688:31 0.000732873 -99 *2544:30 *3688:69 0 -100 *2859:117 *27568:B2 4.57089e-05 -101 *2859:127 *27568:B2 1.40034e-05 -102 *2860:132 *27568:B2 2.87555e-06 -103 *2894:138 *3688:17 0.000322976 -104 *3192:107 *27568:B2 0.000324966 -105 *3192:107 *3688:31 1.26641e-05 -106 *3192:118 *3688:25 2.06705e-05 -107 *3192:119 *3688:25 0.000381999 -108 *3541:38 *3688:17 0 -109 *3551:10 *3688:17 0.000660165 -110 *3551:10 *3688:57 0 -111 *3551:10 *3688:69 0.000140321 -112 *3637:59 *3688:35 3.71247e-05 -*RES -1 *28302:Q *3688:7 15.9786 -2 *3688:7 *3688:17 49.3036 -3 *3688:17 *3688:25 23.6071 -4 *3688:25 *3688:31 47.9512 -5 *3688:31 *3688:35 18.0982 -6 *3688:35 *27568:B2 37.2152 -7 *3688:35 *27922:B2 9.3 -8 *3688:7 *3688:57 9.78571 -9 *3688:57 *29417:A 13.9429 -10 *3688:57 *3688:69 27.8214 -11 *3688:69 *3688:78 40.8929 -12 *3688:78 *25204:B2 9.3 -*END - -*D_NET *3689 0.0225219 -*CONN -*I *30186:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25163:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *27580:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27951:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28303:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *30186:A 0.000145948 -2 *25163:B2 0.000476552 -3 *27580:B2 0.000311085 -4 *27951:A1 0.000156492 -5 *28303:Q 0 -6 *3689:57 0.0035227 -7 *3689:17 0.000852258 -8 *3689:11 0.00450112 -9 *3689:5 0.00121625 -10 *25163:B2 *25127:A 0.000180271 -11 *25163:B2 *27947:A2 0.000175892 -12 *25163:B2 *3700:72 0.000180951 -13 *25163:B2 *3771:28 0.000118052 -14 *27580:B2 *27952:A1 0.000307434 -15 *27580:B2 *3702:39 2.90334e-05 -16 *27580:B2 *3753:26 0.000212181 -17 *27580:B2 *3775:30 0.000307434 -18 *27580:B2 *5719:62 0.000210664 -19 *27580:B2 *5890:13 0.000136951 -20 *27951:A1 *3711:79 0.00038021 -21 *30186:A *25367:A1 0.000216755 -22 *30186:A *25851:A1 0.000198721 -23 *30186:A *4092:35 0.000234114 -24 *30186:A *6356:41 0.000216755 -25 *3689:11 *25851:A1 6.53083e-05 -26 *3689:11 *3698:78 0.000483986 -27 *3689:11 *4092:35 6.71336e-05 -28 *3689:11 *4100:14 2.24813e-05 -29 *3689:11 *5634:170 0.000984546 -30 *3689:17 *3711:79 0.000247112 -31 *3689:17 *4087:22 0.000740954 -32 *3689:57 *25110:A1 0.000423607 -33 *3689:57 *25110:B2 1.54142e-05 -34 *3689:57 *25111:A1 0.000426985 -35 *3689:57 *3698:78 0.000282794 -36 *3689:57 *5301:27 9.05964e-05 -37 *3689:57 *5634:170 5.74559e-06 -38 *25110:B1 *3689:57 0.000135028 -39 *25111:C1 *3689:57 4.09905e-05 -40 *25127:B *25163:B2 0.000177545 -41 *27580:B1 *27580:B2 2.82951e-05 -42 *27580:C1 *27580:B2 1.33343e-05 -43 *27951:A2 *27951:A1 5.33005e-05 -44 *27951:B1 *27951:A1 3.57366e-05 -45 *1263:216 *3689:57 6.60857e-05 -46 *1284:55 *3689:57 8.84802e-05 -47 *1423:13 *27951:A1 0.000159071 -48 *1423:13 *3689:17 0.000125355 -49 *1448:46 *3689:11 0.000298048 -50 *1627:12 *25163:B2 0.000116971 -51 *2895:180 *30186:A 2.26327e-05 -52 *3165:355 *3689:17 0.000132293 -53 *3209:127 *3689:17 0.000306533 -54 *3216:184 *27580:B2 0.000135028 -55 *3564:103 *3689:57 0.00244273 -*RES -1 *28303:Q *3689:5 13.8 -2 *3689:5 *3689:11 7.69986 -3 *3689:11 *3689:17 20.1868 -4 *3689:17 *27951:A1 13.55 -5 *3689:17 *27580:B2 37.108 -6 *3689:11 *3689:57 49.934 -7 *3689:57 *25163:B2 23.3714 -8 *3689:5 *30186:A 19.1393 -*END - -*D_NET *3690 0.0268973 -*CONN -*I *29213:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27341:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27672:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25319:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28555:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *29213:A 0 -2 *27341:A1 0 -3 *27672:B2 0.00037887 -4 *25319:A1 0 -5 *28555:Q 0.000795657 -6 *3690:63 0.00197907 -7 *3690:61 0.00174687 -8 *3690:46 0.00335885 -9 *3690:23 0.00337671 -10 *3690:17 0.000960195 -11 *27672:B2 *27341:B2 7.43261e-05 -12 *27672:B2 *3848:40 1.27625e-05 -13 *27672:B2 *5663:69 9.16782e-05 -14 *27672:B2 *6351:26 4.40377e-05 -15 *27672:B2 *6351:32 1.21637e-05 -16 *3690:17 *25014:B1 0.000126439 -17 *3690:17 *25320:A1 0 -18 *3690:17 *28568:RESET_B 0.000109706 -19 *3690:17 *5461:26 4.02462e-05 -20 *3690:17 *5625:8 0 -21 *3690:46 *25319:B2 2.59355e-05 -22 *3690:46 *27465:A1 5.69098e-05 -23 *3690:46 *4134:107 1.10612e-05 -24 *3690:46 *5647:49 0.000133082 -25 *3690:61 *27468:A1 0 -26 *3690:61 *5708:209 3.15436e-05 -27 *3690:61 *5708:211 7.20217e-06 -28 *3690:63 *28123:D 0.000259549 -29 *3690:63 *5708:209 0.00299008 -30 mgmt_gpio_out[9] *3690:17 2.06178e-05 -31 *6515:DIODE *3690:17 0 -32 *25319:A2 *3690:23 3.63587e-05 -33 *25319:A2 *3690:46 0.000160897 -34 *25320:C1 *3690:17 0.000347181 -35 *25320:C1 *3690:23 0.000133382 -36 *25320:C1 *3690:46 0 -37 *27468:B1 *3690:61 6.85631e-05 -38 *27471:C *3690:61 6.05161e-06 -39 *27689:C1 *27672:B2 2.89016e-05 -40 *30478:A *3690:63 5.33005e-05 -41 *1261:53 *3690:46 0.000671198 -42 *1269:247 *3690:46 0.00187668 -43 *1367:46 *3690:46 0.000131367 -44 *1507:25 *27672:B2 2.89016e-05 -45 *1638:18 *3690:17 0.000450825 -46 *1638:18 *3690:23 0.000142374 -47 *1826:144 *3690:63 0.000180777 -48 *2768:175 *3690:17 0.000301075 -49 *2871:259 *3690:63 0.00201295 -50 *2877:237 *3690:46 0.00155441 -51 *2885:123 *3690:46 0.000941041 -52 *2894:237 *27672:B2 9.05964e-05 -53 *2894:244 *27672:B2 1.40034e-05 -54 *2894:269 *27672:B2 1.21258e-05 -55 *2894:269 *3690:63 0.000633414 -56 *2894:303 *27672:B2 6.56976e-05 -57 *2905:14 *27672:B2 0.000146264 -58 *3174:225 *3690:61 4.34472e-05 -59 *3185:180 *3690:61 1.0945e-05 -60 *3205:270 *3690:46 0.000110965 -*RES -1 *28555:Q *3690:17 42.1125 -2 *3690:17 *3690:23 3.96429 -3 *3690:23 *25319:A1 9.3 -4 *3690:23 *3690:46 48.5697 -5 *3690:46 *3690:61 13.912 -6 *3690:61 *3690:63 39.9643 -7 *3690:63 *27672:B2 25.3179 -8 *3690:63 *27341:A1 9.3 -9 *3690:17 *29213:A 9.3 -*END - -*D_NET *3691 0.0365663 -*CONN -*I *29082:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27705:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27360:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25239:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28556:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29082:A 0.000740697 -2 *27705:B2 1.53077e-05 -3 *27360:A1 0.000141282 -4 *25239:A1 4.08856e-05 -5 *28556:Q 0 -6 *3691:39 0.000588123 -7 *3691:34 0.00163189 -8 *3691:20 0.00282055 -9 *3691:18 0.00167365 -10 *3691:12 0.000395636 -11 *3691:8 0.00244943 -12 *3691:7 0.00218052 -13 *3691:4 0.000773071 -14 *27705:B2 *27705:A1 6.05161e-06 -15 *27705:B2 *3808:17 1.403e-05 -16 *29082:A *26976:A1 0.000686932 -17 *29082:A *29104:A 5.33005e-05 -18 *29082:A *4402:16 1.94945e-05 -19 *29082:A *5702:202 0.000346692 -20 *29082:A *5772:100 0.000151024 -21 *29082:A *5824:170 0.000806742 -22 *29082:A *6261:17 0.0002028 -23 *3691:8 *28580:D 9.96242e-05 -24 *3691:8 *29283:A 5.94639e-05 -25 *3691:8 *5641:204 3.09986e-05 -26 *3691:8 *5701:89 0 -27 *3691:8 *5701:91 0 -28 *3691:8 *5701:95 0 -29 *3691:8 *5759:18 0.000113898 -30 *3691:8 *5771:18 9.8941e-05 -31 *3691:8 *5771:30 0.000157996 -32 *3691:8 *5771:75 5.35618e-05 -33 *3691:8 *5771:77 8.33307e-05 -34 *3691:8 *5771:81 4.87242e-05 -35 *3691:8 *5803:10 0 -36 *3691:8 *5881:43 0.00010096 -37 *3691:12 *27003:A0 3.92854e-05 -38 *3691:12 *4081:18 0.00023696 -39 *3691:18 *27370:B2 0 -40 *3691:18 *4081:18 1.61784e-05 -41 *3691:20 *27370:B2 0.0010264 -42 *3691:20 *27699:A1 2.11419e-05 -43 *3691:20 *3717:30 0.00341971 -44 *3691:20 *3899:24 2.90164e-05 -45 *3691:20 *4081:18 4.06087e-07 -46 *3691:20 *4081:22 2.55556e-05 -47 *3691:20 *4081:34 8.49921e-05 -48 *3691:20 *5633:103 0.000267634 -49 *3691:20 *5663:179 0.000224101 -50 *3691:20 *5759:18 0.000274568 -51 *3691:34 *25230:A2 4.34069e-05 -52 *3691:34 *25230:B2 0.00012871 -53 *3691:34 *27021:A0 0.000100474 -54 *3691:34 *3951:28 5.26224e-05 -55 *3691:34 *4068:15 0.00021853 -56 *3691:34 *4068:65 0.000205487 -57 *3691:34 *5589:44 6.33204e-05 -58 *3691:34 *5663:126 3.52872e-05 -59 *3691:34 *5663:138 0.000294807 -60 *3691:34 *5905:110 0.000107157 -61 *25238:A2 *3691:34 1.90936e-05 -62 *25239:A2 *27360:A1 1.49949e-05 -63 *27336:C1 *3691:39 0.000125731 -64 *27358:A2 *3691:34 5.23496e-05 -65 *27369:B1 *3691:20 0.000113909 -66 *27369:C1 *3691:20 0.000334344 -67 *27699:C1 *3691:20 7.29712e-05 -68 *27705:C1 *3691:12 4.9819e-05 -69 *27705:C1 *3691:18 9.54798e-06 -70 *28445:CLK *3691:8 0.000337327 -71 *28500:CLK *3691:8 0 -72 *29122:A *3691:8 0.000212627 -73 *29125:A *3691:8 0.000174914 -74 *29201:A *3691:8 0.000108459 -75 *30084:A *3691:8 0.000125731 -76 *978:14 *29082:A 0.000286686 -77 *1261:168 *3691:34 0.00161406 -78 *1270:41 *25239:A1 0 -79 *1270:66 *25239:A1 2.59355e-05 -80 *1270:66 *27360:A1 6.75952e-05 -81 *1272:63 *27360:A1 0.000192522 -82 *1288:149 *27705:B2 1.38323e-05 -83 *2760:164 *3691:8 0 -84 *2760:164 *3691:12 2.7814e-05 -85 *2760:164 *3691:18 1.21637e-05 -86 *2760:164 *3691:20 0.000422836 -87 *2766:79 *29082:A 0.000321582 -88 *2780:297 *29082:A 2.09897e-05 -89 *2780:297 *3691:8 1.01487e-05 -90 *2786:147 *29082:A 0.00090931 -91 *2786:147 *3691:7 5.03772e-05 -92 *2848:282 *3691:34 9.41642e-05 -93 *2860:271 *3691:34 2.96436e-05 -94 *2882:260 *27360:A1 6.69038e-05 -95 *2882:277 *3691:20 0.000558666 -96 *2882:277 *3691:34 0.000685033 -97 *2886:335 *25239:A1 2.84109e-05 -98 *2886:335 *27360:A1 7.61041e-05 -99 *2888:213 *3691:39 0.000928237 -100 *2888:232 *3691:20 6.92797e-05 -101 *2888:232 *3691:34 6.16256e-05 -102 *2888:232 *3691:39 0.000172375 -103 *2889:92 *3691:39 0.000113079 -104 *3257:13 *3691:8 4.66203e-05 -105 *3582:31 *3691:8 0.000178025 -106 *3582:31 *3691:12 0.000320339 -107 *3603:6 *3691:8 0.000330767 -108 *3603:30 *3691:8 0.000220671 -109 *3603:35 *3691:7 5.33005e-05 -110 *3603:152 *29082:A 4.27935e-05 -111 *3603:152 *3691:7 5.33005e-05 -112 *3678:18 *3691:8 0.00222704 -113 *3678:18 *3691:12 6.46092e-05 -114 *3678:60 *3691:34 0.00014468 -115 *3678:76 *3691:34 0.00027661 -116 *3678:76 *3691:39 0.000495017 -*RES -1 *28556:Q *3691:4 9.3 -2 *3691:4 *3691:7 5.44643 -3 *3691:7 *3691:8 54.0089 -4 *3691:8 *3691:12 7.90179 -5 *3691:12 *3691:18 1.65179 -6 *3691:18 *3691:20 51.5804 -7 *3691:20 *3691:34 46.279 -8 *3691:34 *3691:39 19.0179 -9 *3691:39 *25239:A1 10.2643 -10 *3691:39 *27360:A1 22.2107 -11 *3691:12 *27705:B2 14.0857 -12 *3691:4 *29082:A 37.0803 -*END - -*D_NET *3692 0.0481452 -*CONN -*I *30268:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27728:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25172:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27386:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *24847:A I *D sky130_fd_sc_hd__inv_2 -*I *28557:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *30268:A 0 -2 *27728:A1 0.000258338 -3 *25172:A1 8.78405e-05 -4 *27386:A1 7.82181e-05 -5 *24847:A 0.000100252 -6 *28557:Q 3.72884e-05 -7 *3692:62 0.00170644 -8 *3692:58 0.00273838 -9 *3692:47 0.00152498 -10 *3692:45 0.00184269 -11 *3692:32 0.00169081 -12 *3692:29 0.00320732 -13 *3692:8 0.00278945 -14 *3692:7 0.00550036 -15 *24847:A *29628:A 6.05161e-06 -16 *24847:A *30835:A 2.89114e-05 -17 *27728:A1 *27728:A2 7.56621e-05 -18 *27728:A1 *3783:56 8.14744e-06 -19 *27728:A1 *5635:173 0.000145808 -20 *3692:8 *29628:A 0 -21 *3692:8 *4069:187 0 -22 *3692:8 *4214:20 0 -23 *3692:8 *4215:14 0.000324526 -24 *3692:8 *4239:17 6.56976e-05 -25 *3692:8 *5176:14 0 -26 *3692:8 *5432:11 0.000588148 -27 *3692:8 *5432:36 0.000149762 -28 *3692:8 *5586:138 0 -29 *3692:8 *5586:144 0 -30 *3692:8 *5692:8 0.000438746 -31 *3692:8 *5800:90 0.000176234 -32 *3692:8 *5947:118 0.000403704 -33 *3692:29 *26919:A 0.000135846 -34 *3692:29 *29052:A 0.000168217 -35 *3692:29 *3811:44 0.000158406 -36 *3692:29 *4069:17 0.000137678 -37 *3692:29 *4465:17 9.15076e-05 -38 *3692:29 *5176:14 0 -39 *3692:29 *5651:357 7.80929e-05 -40 *3692:29 *5773:20 0.00136223 -41 *3692:29 *5800:90 0.000138671 -42 *3692:29 *5801:19 7.63748e-05 -43 *3692:32 *3744:31 0.00133819 -44 *3692:32 *4511:10 6.47568e-05 -45 *3692:45 *27392:A1 9.65326e-05 -46 *3692:45 *27431:B2 0.000467426 -47 *3692:45 *3744:31 0.000291382 -48 *3692:45 *3969:14 4.1834e-05 -49 *3692:45 *4069:32 2.90516e-05 -50 *3692:45 *4069:33 0.000359875 -51 *3692:45 *4134:6 8.19974e-05 -52 *3692:45 *5654:157 0.00166953 -53 *3692:45 *5695:133 4.11725e-05 -54 *3692:47 *4134:6 0.00065634 -55 *3692:47 *5631:71 0.000158206 -56 *3692:47 *5695:133 0.000139416 -57 *3692:58 *3887:41 7.84002e-05 -58 *3692:58 *3887:42 0.00120253 -59 *3692:58 *4082:70 0.00134099 -60 *3692:58 *4134:6 0.00059526 -61 *3692:58 *4134:22 0.000178649 -62 *3692:58 *5631:71 0.000149202 -63 *3692:58 *5819:20 0 -64 *3692:62 *3718:89 0.00135851 -65 *3692:62 *3887:42 0.00296274 -66 *3692:62 *3939:51 0.000101028 -67 *3692:62 *3939:63 0.000110498 -68 *3692:62 *3965:56 0.000773452 -69 *3692:62 *4108:123 0.000259273 -70 mgmt_gpio_oeb[14] *3692:8 4.00395e-05 -71 mgmt_gpio_out[13] *3692:8 4.00395e-05 -72 mgmt_gpio_out[14] *3692:8 3.86844e-05 -73 mgmt_gpio_out[14] *3692:29 0 -74 *25172:A2 *25172:A1 3.28686e-05 -75 *25172:A2 *3692:58 8.05478e-05 -76 *25186:C1 *25172:A1 3.51393e-05 -77 *25717:S *3692:8 0.000969953 -78 *27031:S *3692:29 0.00133754 -79 *27381:A2 *3692:58 9.58181e-05 -80 *27386:A2 *27386:A1 3.3254e-05 -81 *27386:A2 *3692:62 3.97677e-05 -82 *27386:B1 *27386:A1 5.22359e-06 -83 *27390:C1 *3692:58 1.0946e-05 -84 *27395:A *3692:62 3.06878e-06 -85 *27395:C *3692:62 1.34495e-05 -86 *27431:B1 *3692:45 5.7534e-05 -87 *30693:A *3692:8 0 -88 *30697:A *3692:29 9.9478e-05 -89 *826:27 *3692:29 0.000286724 -90 *1261:227 *3692:58 0.000412822 -91 *1514:13 *3692:45 2.83129e-05 -92 *1855:11 *3692:8 0 -93 *2768:204 *3692:8 0 -94 *2773:60 *3692:29 0.000184114 -95 *2773:84 *3692:45 7.64454e-05 -96 *2856:344 *3692:45 0.000633593 -97 *2856:349 *27728:A1 0.00059044 -98 *2888:291 *3692:45 7.49387e-06 -99 *2891:311 *3692:45 0.000208341 -100 *3177:85 *3692:62 0.000235869 -101 *3177:100 *3692:62 0.000492315 -102 *3179:128 *3692:62 1.76616e-05 -103 *3320:18 *3692:45 8.6051e-05 -104 *3335:14 *3692:29 0.000108535 -105 *3582:134 *3692:32 9.71197e-05 -106 *3582:146 *3692:32 0.000879019 -*RES -1 *28557:Q *3692:7 14.3357 -2 *3692:7 *3692:8 65.6964 -3 *3692:8 *24847:A 15.5679 -4 *3692:7 *3692:29 47.3377 -5 *3692:29 *3692:32 17.7679 -6 *3692:32 *3692:45 24.11 -7 *3692:45 *3692:47 8.92857 -8 *3692:47 *3692:58 40.2857 -9 *3692:58 *3692:62 49.7679 -10 *3692:62 *27386:A1 10.5857 -11 *3692:47 *25172:A1 15.175 -12 *3692:45 *27728:A1 20.1036 -13 *3692:32 *30268:A 9.3 -*END - -*D_NET *3693 0.0136762 -*CONN -*I *29677:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27764:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25141:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27415:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28558:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29677:A 0 -2 *27764:B2 6.85484e-05 -3 *25141:B2 6.79242e-05 -4 *27415:A1 0.00184467 -5 *28558:Q 0.000443821 -6 *3693:22 0.0020241 -7 *3693:21 0.00067429 -8 *3693:11 0.000938058 -9 *25141:B2 *25141:A1 1.21963e-05 -10 *27415:A1 *27400:B2 7.68942e-05 -11 *27415:A1 *27415:B2 1.36772e-05 -12 *27415:A1 *4043:58 1.90936e-05 -13 *27415:A1 *4043:69 0.000167305 -14 *27415:A1 *4133:28 5.41797e-06 -15 *27415:A1 *4133:32 9.7546e-05 -16 *27415:A1 *5587:87 6.90381e-06 -17 *27415:A1 *5630:274 0.00113582 -18 *27415:A1 *5650:280 9.32491e-05 -19 *27415:A1 *5704:18 2.22043e-05 -20 *27415:A1 *5777:46 0.000386918 -21 *27415:A1 *5792:40 0.000150575 -22 *27415:A1 *6280:17 0.00194696 -23 *27764:B2 *3979:32 0.000135028 -24 *27764:B2 *4043:58 4.11218e-05 -25 *27764:B2 *5704:18 3.54188e-05 -26 *3693:11 *3979:19 0.000122876 -27 *3693:11 *4083:31 0.000164268 -28 *3693:11 *5879:44 5.59433e-05 -29 *3693:21 *3979:19 0.000175892 -30 *3693:21 *5585:8 1.94879e-05 -31 *3693:21 *5589:73 1.82011e-05 -32 *3693:21 *5607:71 0.000198391 -33 *3693:22 *4043:58 0.000369505 -34 *3693:22 *5704:18 0.000363882 -35 *25141:C1 *25141:B2 9.41642e-05 -36 *25146:B1 *27415:A1 3.30361e-05 -37 *1246:151 *27415:A1 4.65519e-05 -38 *1246:151 *3693:21 0 -39 *1256:135 *27415:A1 3.93289e-05 -40 *1256:154 *3693:11 0.000136682 -41 *1286:51 *27415:A1 0.000468614 -42 *2774:74 *3693:11 5.59433e-05 -43 *2791:209 *27415:A1 0 -44 *2875:275 *27415:A1 2.58896e-05 -45 *2970:25 *27415:A1 0.000418373 -46 *3179:186 *27764:B2 6.57032e-05 -47 *3179:186 *3693:21 0.000237451 -48 *3312:19 *25141:B2 0.00013712 -49 *3600:45 *3693:21 2.11419e-05 -*RES -1 *28558:Q *3693:11 26.2464 -2 *3693:11 *3693:21 14.4206 -3 *3693:21 *3693:22 4.83036 -4 *3693:22 *27415:A1 49.3812 -5 *3693:22 *25141:B2 15.5902 -6 *3693:21 *27764:B2 15.7375 -7 *3693:11 *29677:A 9.3 -*END - -*D_NET *3694 0.0308696 -*CONN -*I *25058:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27421:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27787:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30578:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28559:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *25058:B2 0 -2 *27421:A1 0 -3 *27787:B2 0 -4 *30578:A 0.000414264 -5 *28559:Q 0 -6 *3694:77 0.00116598 -7 *3694:69 0.00211182 -8 *3694:63 0.00215773 -9 *3694:41 0.00194673 -10 *3694:32 0.00231392 -11 *3694:28 0.00225211 -12 *3694:4 0.0010873 -13 *30578:A *27124:A1 0.000167626 -14 *30578:A *5544:5 0.000358971 -15 *30578:A *5859:14 0 -16 *30578:A *5870:8 0.000515041 -17 *30578:A *6223:17 7.32272e-05 -18 *3694:28 *28559:D 0.000136951 -19 *3694:28 *4827:14 0.000446561 -20 *3694:28 *5702:212 0.000135028 -21 *3694:28 *5788:18 0.000282842 -22 *3694:28 *5870:8 0.000156631 -23 *3694:28 *6221:16 0.000444967 -24 *3694:32 *27195:B 0 -25 *3694:32 *27203:A1 0.000330903 -26 *3694:32 *27782:A1 0.000134887 -27 *3694:32 *3811:24 0.000282042 -28 *3694:32 *3811:32 0.000366012 -29 *3694:32 *4019:18 8.359e-05 -30 *3694:32 *4047:8 0 -31 *3694:32 *4149:34 0.000189483 -32 *3694:32 *5651:378 1.01241e-05 -33 *3694:32 *5862:44 0.000537965 -34 *3694:32 *5870:8 0.000192817 -35 *3694:41 *27782:B2 5.96516e-05 -36 *3694:41 *27787:B1 3.69047e-06 -37 *3694:41 *4033:8 0.000145213 -38 *3694:41 *4095:33 0.000130631 -39 *3694:63 *25048:A1 4.72325e-05 -40 *3694:63 *27033:A1 3.47641e-06 -41 *3694:63 *27431:A1 7.29712e-05 -42 *3694:63 *27787:B1 5.04841e-06 -43 *3694:63 *28607:RESET_B 2.22618e-05 -44 *3694:63 *29087:A 0.000178425 -45 *3694:63 *3839:24 2.61321e-05 -46 *3694:63 *4095:33 0.000325196 -47 *3694:69 *26937:B 5.86416e-05 -48 *3694:69 *27015:A1 4.26387e-05 -49 *3694:69 *28525:D 1.71615e-05 -50 *3694:69 *3967:18 0.0016278 -51 *3694:69 *5627:237 0.000431362 -52 *3694:69 *5905:79 8.72495e-05 -53 *3694:77 *27132:A1 0.000150625 -54 *3694:77 *3746:19 0.000102631 -55 *3694:77 *3915:37 7.20217e-06 -56 *3694:77 *3915:49 3.77065e-05 -57 *3694:77 *3967:29 2.4004e-05 -58 *3694:77 *5631:58 0.000136964 -59 *3694:77 *5658:124 0.00102383 -60 *3694:77 *5905:79 0.00112898 -61 *3694:77 *5905:86 0.000831712 -62 *25055:C *3694:41 0.000141245 -63 *25194:A2 *3694:69 5.44661e-05 -64 *27181:S *3694:28 0.000522089 -65 *27781:C1 *3694:32 0.000125717 -66 *28607:CLK *3694:63 2.89114e-05 -67 *28910:A *3694:28 0.00026741 -68 *29066:A *3694:69 0.000123137 -69 *1246:111 *3694:77 0.000510188 -70 *1273:181 *3694:63 7.14469e-05 -71 *1275:195 *3694:69 2.79421e-05 -72 *1288:157 *3694:41 0.000634252 -73 *1697:44 *3694:63 0.000634252 -74 *1826:188 *3694:69 0.000143188 -75 *1826:188 *3694:77 0.000897658 -76 *1826:226 *3694:69 5.31113e-05 -77 *2792:78 *3694:32 0.000960102 -78 *2792:176 *3694:32 0.000348729 -79 *2792:181 *3694:28 3.61589e-06 -80 *2792:181 *3694:32 0.000140831 -81 *3367:18 *3694:32 9.96747e-05 -82 *3582:55 *3694:28 5.76777e-05 -*RES -1 *28559:Q *3694:4 9.3 -2 *3694:4 *30578:A 28.5143 -3 *3694:4 *3694:28 24.8393 -4 *3694:28 *3694:32 47.125 -5 *3694:32 *3694:41 19.8393 -6 *3694:41 *27787:B2 9.3 -7 *3694:41 *3694:63 39.6429 -8 *3694:63 *3694:69 28.1607 -9 *3694:69 *3694:77 44.3036 -10 *3694:77 *27421:A1 9.3 -11 *3694:69 *25058:B2 9.3 -*END - -*D_NET *3695 0.0149748 -*CONN -*I *30405:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27450:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27808:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25020:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28560:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30405:A 0.000392917 -2 *27450:A1 0 -3 *27808:A1 0.000556429 -4 *25020:A1 0 -5 *28560:Q 0.000543556 -6 *3695:26 0.00251408 -7 *3695:8 0.00236935 -8 *3695:7 0.00134818 -9 *27808:A1 *27808:A2 0.000505335 -10 *27808:A1 *27808:B1 5.33005e-05 -11 *27808:A1 *3826:60 0.000120982 -12 *27808:A1 *4150:31 1.46231e-05 -13 *27808:A1 *4150:33 0.000238606 -14 *27808:A1 *5647:41 0.000120982 -15 *27808:A1 *5756:60 0.000502801 -16 *30405:A *28560:D 1.17968e-05 -17 *30405:A *28560:RESET_B 0.00027744 -18 *30405:A *5461:20 0.000146554 -19 *30405:A *5468:31 0.000241665 -20 *3695:8 *5461:20 0.000793408 -21 *3695:8 *5468:31 0.00147686 -22 *3695:26 *28512:RESET_B 0.000192582 -23 *3695:26 *3748:37 1.94945e-05 -24 *3695:26 *4150:22 0 -25 *3695:26 *5461:20 0.000233272 -26 *3695:26 *5468:31 8.21706e-05 -27 *3695:26 *5601:128 5.67108e-05 -28 *3695:26 *5679:81 0.00109555 -29 *25020:C1 *3695:26 6.6289e-05 -30 *25032:A *3695:26 6.35515e-05 -31 *27450:B2 *3695:26 8.56752e-05 -32 *1272:160 *3695:26 2.09897e-05 -33 *2859:316 *3695:26 5.33005e-05 -34 *2859:331 *3695:26 8.61842e-05 -35 *3682:25 *3695:26 0.000690215 -*RES -1 *28560:Q *3695:7 19.675 -2 *3695:7 *3695:8 18.6429 -3 *3695:8 *25020:A1 13.8 -4 *3695:8 *3695:26 34.1923 -5 *3695:26 *27808:A1 30.3357 -6 *3695:26 *27450:A1 9.3 -7 *3695:7 *30405:A 22.7286 -*END - -*D_NET *3696 0.00565912 -*CONN -*I *30041:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24970:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27838:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27477:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28561:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30041:A 0.000128659 -2 *24970:A1 2.30353e-05 -3 *27838:B2 0 -4 *27477:B2 0.000325145 -5 *28561:Q 0 -6 *3696:12 0.00090107 -7 *3696:5 0.00161972 -8 *3696:4 0.00114942 -9 *27477:B2 *27838:B1 1.73088e-05 -10 *27477:B2 *3787:48 0.000118217 -11 *27477:B2 *3800:36 0.000104831 -12 *30041:A *26981:A1 0.000175892 -13 *30041:A *28561:D 0.000108557 -14 *3696:5 *28561:D 0.000199334 -15 *3696:12 *24970:B2 2.976e-05 -16 *3696:12 *27838:B1 0.000135028 -17 *3696:12 *5643:316 2.42516e-05 -18 *3696:12 *5645:72 0.000125241 -19 *3696:12 *5663:48 1.98839e-05 -20 *3696:12 *5910:123 3.16198e-05 -21 *24970:A2 *3696:5 1.58163e-05 -22 *24970:A2 *3696:12 5.83015e-05 -23 *27478:C1 *27477:B2 5.33005e-05 -24 *28561:CLK *3696:5 9.60939e-05 -25 *1304:28 *3696:12 0.000125241 -26 *1367:43 *3696:5 1.65169e-05 -27 *2845:362 *27477:B2 5.68713e-05 -*RES -1 *28561:Q *3696:4 9.3 -2 *3696:4 *3696:5 11.2143 -3 *3696:5 *3696:12 16.9286 -4 *3696:12 *27477:B2 15.7821 -5 *3696:12 *27838:B2 9.3 -6 *3696:5 *24970:A1 9.72857 -7 *3696:4 *30041:A 12.3357 -*END - -*D_NET *3697 0.0457128 -*CONN -*I *27492:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27863:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30529:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25367:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28299:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27492:A1 0.000952785 -2 *27863:B2 0.000555301 -3 *30529:A 0.000306591 -4 *25367:B2 0.00195178 -5 *28299:Q 0 -6 *3697:71 0.00258699 -7 *3697:57 0.00285852 -8 *3697:33 0.00434669 -9 *3697:31 0.00350317 -10 *3697:8 0.00249378 -11 *3697:4 0.0011715 -12 *25367:B2 *25367:A1 6.22499e-05 -13 *25367:B2 *28300:D 0.000180777 -14 *25367:B2 *28300:RESET_B 8.94203e-05 -15 *25367:B2 *6356:41 0.000919263 -16 *27492:A1 *27492:B2 1.31802e-07 -17 *27492:A1 *3892:57 0.000188757 -18 *27492:A1 *3906:29 1.98839e-05 -19 *27863:B2 *27498:B2 0.000390641 -20 *27863:B2 *27531:A1 0.000318204 -21 *27863:B2 *27535:A1 2.24804e-05 -22 *27863:B2 *3869:28 0.000404652 -23 *30529:A *25850:A1 0.000100726 -24 *30529:A *25850:S 0 -25 *30529:A *3740:31 0.000179573 -26 *3697:8 *25850:S 0 -27 *3697:8 *5761:66 0.000137983 -28 *3697:31 *25859:A0 0.00035494 -29 *3697:31 *28297:D 6.38844e-06 -30 *3697:31 *4103:44 5.62346e-05 -31 *3697:31 *5007:42 0 -32 *3697:31 *5693:20 0 -33 *3697:31 *5715:149 9.77024e-05 -34 *3697:31 *5715:162 0.000103365 -35 *3697:33 *24895:B 9.70328e-05 -36 *3697:33 *25842:A0 0 -37 *3697:33 *25845:A0 0.000167511 -38 *3697:33 *28297:D 0 -39 *3697:33 *28718:D 0.000621811 -40 *3697:33 *30252:A 4.07178e-05 -41 *3697:33 *3739:36 0.000421863 -42 *3697:33 *3871:50 8.23597e-06 -43 *3697:33 *4064:37 0.000461392 -44 *3697:33 *4103:18 0.000166284 -45 *3697:33 *4103:40 0.000604763 -46 *3697:33 *4103:44 0 -47 *3697:33 *4127:75 4.50149e-05 -48 *3697:33 *4487:24 0.000183227 -49 *3697:33 *5693:20 0 -50 *3697:33 *5693:25 0 -51 *3697:33 *5693:56 8.2275e-05 -52 *3697:33 *5706:27 0.000196278 -53 *3697:33 *5706:38 0.00028844 -54 *3697:33 *5741:171 0 -55 *3697:33 *5743:155 0.000166735 -56 *3697:57 *27557:B2 9.22165e-05 -57 *3697:57 *3739:36 1.97773e-05 -58 *3697:57 *3739:48 0.00182527 -59 *3697:57 *3739:56 8.2958e-06 -60 *3697:57 *4103:18 0.000322834 -61 *3697:57 *5727:281 0.000253163 -62 *3697:71 *3739:56 0.00219704 -63 *3697:71 *3805:59 0.000135968 -64 *3697:71 *3905:39 3.67142e-05 -65 *25461:A1 *3697:57 8.07616e-06 -66 *25842:S *3697:33 0.000548944 -67 *26715:A2 *30529:A 0.00038571 -68 *27492:B1 *27492:A1 3.49332e-05 -69 *27863:A2 *27863:B2 0.000141935 -70 *27908:C1 *3697:31 6.60403e-05 -71 *27911:A2 *27863:B2 0.000175892 -72 *27915:D *3697:57 0.000100823 -73 *28296:CLK *3697:31 0.000215583 -74 *28302:CLK *30529:A 0.000197262 -75 *30247:A *3697:31 0.000243778 -76 *30253:A *3697:33 3.82063e-05 -77 *30355:A *3697:33 0.000653552 -78 *30457:A *3697:8 8.32591e-05 -79 *412:14 *3697:57 2.83129e-05 -80 *1476:10 *3697:33 5.10822e-05 -81 *1545:48 *3697:57 0.000254858 -82 *1603:36 *3697:57 4.12538e-05 -83 *1741:13 *3697:57 0.000269678 -84 *2789:25 *27863:B2 5.66976e-05 -85 *2865:98 *27492:A1 8.16866e-06 -86 *3156:85 *27863:B2 7.6644e-05 -87 *3156:112 *27863:B2 0.000679584 -88 *3156:112 *3697:71 0.000293561 -89 *3156:124 *3697:57 0.00011502 -90 *3164:100 *3697:71 0 -91 *3164:146 *3697:33 0 -92 *3165:257 *27492:A1 0.000729291 -93 *3212:94 *3697:57 1.3047e-05 -94 *3212:94 *3697:71 0.00143624 -95 *3212:99 *3697:57 0.00256036 -96 *3212:99 *3697:71 8.2958e-06 -97 *3454:11 *27863:B2 4.68934e-05 -98 *3454:11 *3697:71 0.000992815 -99 *3553:17 *30529:A 0.000485543 -100 *3586:64 *3697:8 0.000110854 -101 *3586:64 *3697:31 0.000306163 -102 *3586:73 *30529:A 5.84171e-05 -103 *3586:73 *3697:8 3.67356e-05 -104 *3637:22 *3697:33 0.000547265 -105 *3637:26 *3697:33 0.000230562 -106 *3684:8 *3697:31 4.37813e-05 -107 *3684:21 *3697:31 8.50795e-06 -108 *3684:64 *3697:31 0.000171732 -109 *3685:20 *3697:57 0.000172542 -110 *3685:22 *3697:57 0.000181541 -*RES -1 *28299:Q *3697:4 9.3 -2 *3697:4 *3697:8 9.625 -3 *3697:8 *25367:B2 38.0679 -4 *3697:8 *30529:A 24.9429 -5 *3697:4 *3697:31 25.8661 -6 *3697:31 *3697:33 64.4821 -7 *3697:33 *3697:57 49.44 -8 *3697:57 *3697:71 45.2857 -9 *3697:71 *27863:B2 30.6393 -10 *3697:71 *27492:A1 26.5857 -*END - -*D_NET *3698 0.0498581 -*CONN -*I *30446:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25344:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27521:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27871:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28300:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *30446:A 0.000325715 -2 *25344:B2 0.000670575 -3 *27521:B2 0.000220076 -4 *27871:B2 0.000793492 -5 *28300:Q 0 -6 *3698:81 0.00448718 -7 *3698:78 0.00622313 -8 *3698:63 0.00276179 -9 *3698:40 0.00193039 -10 *3698:31 0.00278878 -11 *3698:19 0.00370123 -12 *3698:4 0.00185882 -13 *25344:B2 *5634:150 7.09928e-05 -14 *27521:B2 *5493:40 6.81704e-05 -15 *27871:B2 *27871:A1 0.000300617 -16 *27871:B2 *28055:A 2.42516e-05 -17 *27871:B2 *28055:B 7.57394e-05 -18 *27871:B2 *5727:281 0.000374593 -19 *30446:A *28245:D 2.84026e-05 -20 *30446:A *3740:31 0.000163236 -21 *30446:A *5709:112 7.69867e-05 -22 *3698:19 *25367:A1 5.52302e-05 -23 *3698:19 *25843:A1 0.00014576 -24 *3698:19 *27907:A2 0.000497644 -25 *3698:19 *28296:SET_B 5.3811e-05 -26 *3698:19 *3701:32 5.02031e-05 -27 *3698:19 *3702:26 0.000135743 -28 *3698:19 *3713:94 0.000249282 -29 *3698:19 *3882:47 9.99655e-06 -30 *3698:19 *3883:31 9.67968e-05 -31 *3698:19 *3947:61 0 -32 *3698:19 *4142:34 0 -33 *3698:19 *5651:189 0.000305069 -34 *3698:19 *5657:125 6.09762e-05 -35 *3698:19 *5693:16 2.83129e-05 -36 *3698:19 *5761:77 6.40986e-05 -37 *3698:19 *6356:41 5.52302e-05 -38 *3698:31 *25869:A0 9.87983e-06 -39 *3698:31 *27936:A1 2.83773e-05 -40 *3698:31 *3713:39 0.000280525 -41 *3698:31 *3713:71 0.000191381 -42 *3698:31 *3713:94 1.02969e-05 -43 *3698:31 *3749:105 1.29165e-05 -44 *3698:31 *3883:31 0.000468604 -45 *3698:31 *3984:73 0.00106032 -46 *3698:31 *4126:84 8.77988e-05 -47 *3698:31 *5666:36 1.21859e-05 -48 *3698:31 *5856:19 4.01462e-06 -49 *3698:40 *3723:25 4.08555e-06 -50 *3698:40 *4064:81 4.98496e-06 -51 *3698:40 *4161:80 5.32236e-05 -52 *3698:40 *5657:88 2.75535e-05 -53 *3698:40 *5657:90 0.000160791 -54 *3698:40 *5657:114 0.000104782 -55 *3698:40 *5727:281 9.69119e-06 -56 *3698:63 *25367:A1 0.00012615 -57 *3698:63 *6356:41 0.000139485 -58 *3698:78 *25851:S 4.08637e-05 -59 *3698:78 *27910:B2 0 -60 *3698:78 *4092:35 2.04825e-05 -61 *3698:78 *4100:14 0.000309818 -62 *3698:78 *4152:60 5.33005e-05 -63 *3698:78 *5301:27 2.06178e-05 -64 *3698:78 *5778:94 0 -65 *3698:78 *5841:11 0.000429934 -66 *3698:81 *3700:72 0.00182672 -67 *3698:81 *5605:127 0.000343956 -68 *3698:81 *5610:5 2.0991e-05 -69 *3698:81 *5610:21 7.61196e-05 -70 *3698:81 *5634:150 1.34631e-05 -71 *3698:81 *5663:98 2.22451e-05 -72 *25111:C1 *3698:81 0.000237663 -73 *25123:A *3698:81 9.41642e-05 -74 *25344:A2 *25344:B2 6.04503e-05 -75 *25344:B1 *25344:B2 0.00165847 -76 *25361:A2 *3698:78 8.96256e-06 -77 *25361:B1 *3698:78 1.55885e-05 -78 *25867:S *3698:31 0.000382303 -79 *27533:B1 *27521:B2 0.000129147 -80 *27533:B1 *27871:B2 5.20669e-05 -81 *27533:B1 *3698:40 5.44225e-05 -82 *27557:B1 *3698:40 6.35864e-05 -83 *27558:A2 *3698:40 0.000217756 -84 *27585:A2 *3698:31 0.00188135 -85 *27871:B1 *27871:B2 5.33005e-05 -86 *27908:A1 *3698:19 0 -87 *27915:A *27521:B2 0.000534641 -88 *27915:A *27871:B2 0.000182598 -89 *27959:A2 *3698:31 2.70707e-05 -90 *29427:A *3698:19 9.84309e-05 -91 *30535:A *3698:19 2.33003e-05 -92 *408:20 *27871:B2 0.000323007 -93 *1221:21 *3698:19 0.000475568 -94 *1284:55 *3698:78 1.90936e-05 -95 *1396:64 *3698:40 1.90936e-05 -96 *1410:33 *3698:78 0 -97 *1448:46 *3698:78 2.24813e-05 -98 *1448:100 *3698:81 0.000138158 -99 *1494:22 *25344:B2 0.00137107 -100 *1661:19 *25344:B2 8.42903e-06 -101 *1663:16 *25344:B2 0.000216755 -102 *1828:42 *3698:40 0.000580213 -103 *1828:47 *3698:40 0.000634663 -104 *1873:32 *30446:A 0.000418808 -105 *1873:32 *3698:78 0.000430646 -106 *1886:10 *3698:31 0.000182239 -107 *1886:38 *3698:31 4.33002e-05 -108 *1886:47 *3698:31 0.000744552 -109 *2855:121 *3698:31 5.22739e-05 -110 *2872:112 *3698:40 0.000329007 -111 *2888:125 *3698:40 1.90936e-05 -112 *3112:9 *3698:40 0.000139682 -113 *3192:134 *3698:19 8.86336e-05 -114 *3413:25 *3698:19 0.000102847 -115 *3413:25 *3698:78 0.000113806 -116 *3414:20 *27871:B2 5.61436e-06 -117 *3541:43 *3698:31 0 -118 *3551:10 *30446:A 0.00028802 -119 *3551:10 *3698:19 0.000286236 -120 *3551:10 *3698:78 2.16719e-05 -121 *3553:17 *30446:A 0.000487045 -122 *3564:11 *3698:78 4.45618e-05 -123 *3564:103 *3698:78 2.79031e-05 -124 *3564:103 *3698:81 0.000124847 -125 *3688:17 *3698:19 0.000183233 -126 *3688:17 *3698:78 0.000384995 -127 *3688:31 *3698:31 0.000234062 -128 *3688:35 *3698:40 2.65075e-05 -129 *3689:11 *3698:78 0.000483986 -130 *3689:57 *3698:78 0.000282794 -*RES -1 *28300:Q *3698:4 9.3 -2 *3698:4 *3698:19 42.4749 -3 *3698:19 *3698:31 39.5646 -4 *3698:31 *3698:40 33.2319 -5 *3698:40 *27871:B2 31.8714 -6 *3698:40 *27521:B2 14.7643 -7 *3698:4 *3698:63 5.85714 -8 *3698:63 *3698:78 39.2018 -9 *3698:78 *3698:81 45.3214 -10 *3698:81 *25344:B2 28.925 -11 *3698:63 *30446:A 25.8536 -*END - -*D_NET *3699 0.0764655 -*CONN -*I *29456:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27659:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25370:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27331:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *6652:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *28562:Q O *D sky130_fd_sc_hd__dfstp_4 -*CAP -1 *29456:A 6.91624e-05 -2 *27659:A1 4.95082e-05 -3 *25370:A1 6.30386e-05 -4 *27331:A1 0.000169146 -5 *6652:DIODE 0 -6 *28562:Q 0 -7 *3699:58 0.00156332 -8 *3699:52 0.00195673 -9 *3699:40 0.00235333 -10 *3699:38 0.00196905 -11 *3699:24 0.00327886 -12 *3699:22 0.00617235 -13 *3699:21 0.00339143 -14 *3699:15 0.00136491 -15 *3699:13 0.00144105 -16 *3699:4 0.000577519 -17 *27331:A1 *27331:B2 2.01e-05 -18 *27331:A1 *3842:44 1.39137e-05 -19 *27659:A1 *27659:A2 1.42868e-05 -20 *27659:A1 *5630:307 4.35836e-05 -21 *29456:A *5769:32 0.000224366 -22 *29456:A *5790:9 0.000218315 -23 *3699:13 *27187:A1 1.24368e-05 -24 *3699:13 *5769:32 2.59355e-05 -25 *3699:13 *5790:9 2.59355e-05 -26 *3699:15 *27187:A1 0.000201716 -27 *3699:15 *27187:S 9.83442e-05 -28 *3699:15 *27659:A2 0.000721863 -29 *3699:15 *29100:A 0.000136951 -30 *3699:15 *5716:50 0.000282261 -31 *3699:15 *5716:60 7.49387e-06 -32 *3699:21 *27659:B2 1.56845e-05 -33 *3699:21 *5630:307 8.25843e-06 -34 *3699:21 *5716:50 1.02421e-05 -35 *3699:22 *28602:D 0.000343011 -36 *3699:22 *29131:A 7.09589e-05 -37 *3699:22 *3868:74 0.00620098 -38 *3699:22 *4011:45 0.00185836 -39 *3699:22 *4035:90 0.00090841 -40 *3699:22 *4088:15 2.09897e-05 -41 *3699:22 *4089:10 0 -42 *3699:22 *4089:12 0 -43 *3699:22 *5644:251 0.000645791 -44 *3699:24 *25104:B2 0.000244816 -45 *3699:24 *25111:A1 0.000130285 -46 *3699:24 *25195:A1 0.000318095 -47 *3699:24 *3868:74 0.00869191 -48 *3699:24 *3881:54 1.45616e-05 -49 *3699:24 *4035:90 0.00119986 -50 *3699:24 *4069:132 0.000114499 -51 *3699:24 *5605:127 4.49752e-05 -52 *3699:24 *5649:176 0.000226852 -53 *3699:24 *5795:106 0.000195555 -54 *3699:38 *27304:B2 0.000112983 -55 *3699:40 *25122:A1 1.18064e-05 -56 *3699:40 *25357:B2 0.000569258 -57 *3699:40 *3868:62 0.00290531 -58 *3699:40 *3881:35 0.000714159 -59 *3699:40 *5491:59 0.001017 -60 *3699:52 *3868:62 9.99931e-06 -61 *3699:52 *3881:30 0.000356597 -62 *3699:58 *3834:33 0.000143876 -63 *3699:58 *3841:55 0.00168698 -64 *3699:58 *5483:151 0.000144391 -65 *6629:DIODE *27331:A1 9.41642e-05 -66 *25076:A2 *3699:24 0.00017309 -67 *25077:A2 *3699:24 0.00108998 -68 *25077:B1 *3699:24 0.000125731 -69 *25152:B *3699:24 0.00131877 -70 *25213:C1 *3699:40 0.00142137 -71 *25305:C1 *3699:40 5.41797e-06 -72 *25370:B1 *25370:A1 2.59355e-05 -73 *25370:C1 *25370:A1 0 -74 *25373:C *25370:A1 2.59355e-05 -75 *27304:C1 *3699:40 0.000643437 -76 *27331:C1 *27331:A1 5.33005e-05 -77 *28015:A3 *3699:22 0 -78 *28444:CLK *3699:24 0.000904841 -79 *28902:A *3699:13 0.000152841 -80 *29147:A *3699:22 7.73932e-05 -81 *29542:A *3699:22 4.57352e-05 -82 *1174:15 *3699:22 0 -83 *1239:13 *3699:24 0.000267808 -84 *1239:14 *3699:40 1.93061e-05 -85 *1239:14 *3699:52 0.000617303 -86 *1252:26 *3699:52 0.00035489 -87 *1257:146 *3699:24 8.51085e-05 -88 *1257:153 *3699:22 8.21706e-05 -89 *1257:153 *3699:24 3.35181e-05 -90 *1257:162 *3699:22 0.00262026 -91 *1273:203 *3699:40 0.000121805 -92 *1360:95 *3699:24 8.07951e-05 -93 *1396:168 *3699:24 0.000108516 -94 *1407:108 *3699:40 0.000287342 -95 *1411:68 *3699:58 0.000149797 -96 *1416:67 *3699:58 0.000216087 -97 *1427:104 *3699:52 0.00057977 -98 *1430:88 *3699:24 1.02863e-05 -99 *1430:90 *3699:24 0.000936419 -100 *1672:11 *3699:13 0.000277794 -101 *1672:15 *3699:13 1.5424e-05 -102 *1672:15 *3699:15 0.00220416 -103 *1700:65 *27331:A1 4.58194e-05 -104 *1862:82 *3699:13 0.00112481 -105 *2764:46 *3699:13 0.00112908 -106 *2864:196 *3699:38 9.58181e-05 -107 *2865:152 *3699:38 4.82947e-05 -108 *2882:46 *27331:A1 0.00046935 -109 *2882:53 *3699:58 0.00173319 -110 *2893:72 *3699:58 0.000752165 -111 *2895:43 *27331:A1 5.52302e-05 -112 *3194:108 *3699:52 5.21937e-05 -113 *3220:16 *3699:22 0 -114 *3576:39 *3699:24 0.000153673 -115 *3578:21 *3699:21 9.76689e-05 -116 *3685:80 *3699:24 7.83587e-05 -*RES -1 *28562:Q *3699:4 9.3 -2 *3699:4 *3699:13 27.6607 -3 *3699:13 *3699:15 27.8482 -4 *3699:15 *3699:21 9.36607 -5 *3699:21 *3699:22 103.339 -6 *3699:22 *3699:24 114.268 -7 *3699:24 *3699:38 13.125 -8 *3699:38 *3699:40 57.6518 -9 *3699:40 *3699:52 29.0625 -10 *3699:52 *3699:58 44.75 -11 *3699:58 *6652:DIODE 9.3 -12 *3699:58 *27331:A1 13.9429 -13 *3699:22 *25370:A1 15.1929 -14 *3699:15 *27659:A1 10.8982 -15 *3699:4 *29456:A 13.1214 -*END - -*D_NET *3700 0.0266646 -*CONN -*I *27545:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25235:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27907:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *29432:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28307:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *27545:B2 1.56658e-05 -2 *25235:B2 0 -3 *27907:B2 2.17434e-05 -4 *29432:A 0.000162543 -5 *28307:Q 0.000219941 -6 *3700:72 0.00267587 -7 *3700:52 0.00421133 -8 *3700:40 0.00216494 -9 *3700:22 0.00118971 -10 *3700:8 0.000936629 -11 *27545:B2 *3830:51 2.14658e-05 -12 *27545:B2 *4142:56 5.33005e-05 -13 *27907:B2 *27907:A1 3.0259e-06 -14 *29432:A *3711:79 8.53487e-05 -15 *29432:A *3740:30 1.85696e-05 -16 *29432:A *3830:51 7.13876e-05 -17 *29432:A *3871:73 1.02504e-05 -18 *3700:8 *28294:D 3.81765e-05 -19 *3700:8 *3711:79 3.17148e-05 -20 *3700:8 *3740:30 9.43382e-05 -21 *3700:8 *3830:51 3.38139e-05 -22 *3700:8 *5545:30 0 -23 *3700:8 *5669:111 2.04825e-05 -24 *3700:22 *3701:25 0.000259057 -25 *3700:22 *3711:79 5.33005e-05 -26 *3700:40 *25198:A1 0.000161753 -27 *3700:40 *25198:B2 0.000178847 -28 *3700:40 *25840:B 5.71472e-05 -29 *3700:40 *27907:A1 0.000142676 -30 *3700:40 *27907:A2 5.33005e-05 -31 *3700:40 *3830:51 0.000261308 -32 *3700:40 *3948:24 8.24028e-05 -33 *3700:40 *4142:56 0.000511668 -34 *3700:40 *4152:60 9.53604e-05 -35 *3700:40 *5688:242 6.87574e-05 -36 *3700:40 *5890:124 1.38323e-05 -37 *3700:52 *25187:B2 5.84547e-05 -38 *3700:52 *27949:A1 0.000424029 -39 *3700:52 *5215:12 0.000516081 -40 *3700:52 *5611:47 0.00091617 -41 *3700:72 *25110:B2 0.000763445 -42 *3700:72 *25111:A1 0.00126998 -43 *3700:72 *25127:A 4.03433e-05 -44 *3700:72 *27947:A2 0.000693463 -45 *3700:72 *3784:79 6.90381e-06 -46 *3700:72 *4014:24 0.000110072 -47 *3700:72 *5607:27 5.00194e-05 -48 *3700:72 *5848:63 5.18606e-05 -49 *3700:72 *5890:42 0.000957181 -50 *25163:A2 *3700:72 3.04242e-05 -51 *25163:B2 *3700:72 0.000180951 -52 *25198:A2 *3700:40 9.83388e-05 -53 *25235:A2 *3700:72 0 -54 *25344:B1 *3700:72 7.83476e-05 -55 *27908:C1 *3700:22 0.000194783 -56 *27956:A *3700:72 0.000111921 -57 *28852:A *3700:52 0.000214465 -58 *30024:A *3700:22 0.000526414 -59 *30541:A *3700:22 0.00042324 -60 *1239:90 *3700:72 2.996e-05 -61 *1396:25 *3700:8 3.33238e-05 -62 *1396:168 *3700:72 0.000186635 -63 *1410:71 *3700:72 0.000105471 -64 *1411:50 *3700:72 0 -65 *1415:27 *3700:72 0.000115518 -66 *1423:13 *3700:40 1.51571e-05 -67 *1483:68 *3700:72 3.30904e-05 -68 *1484:13 *3700:72 0.000127822 -69 *1518:15 *3700:40 2.06112e-05 -70 *1693:18 *3700:72 2.25243e-05 -71 *1878:7 *3700:22 0.000351156 -72 *1878:7 *3700:40 0.000507656 -73 *3192:126 *3700:22 7.02611e-05 -74 *3192:126 *3700:40 4.87953e-05 -75 *3196:179 *29432:A 0.000291781 -76 *3196:179 *3700:40 0.000559238 -77 *3564:103 *3700:52 0.000161227 -78 *3564:103 *3700:72 0.000269125 -79 *3586:10 *3700:8 0.000151984 -80 *3698:81 *3700:72 0.00182672 -*RES -1 *28307:Q *3700:8 18.8089 -2 *3700:8 *29432:A 18.175 -3 *3700:8 *3700:22 20.375 -4 *3700:22 *27907:B2 9.72857 -5 *3700:22 *3700:40 28.0714 -6 *3700:40 *3700:52 34.4643 -7 *3700:52 *3700:72 48.2474 -8 *3700:72 *25235:B2 9.3 -9 *3700:40 *27545:B2 9.83571 -*END - -*D_NET *3701 0.0173275 -*CONN -*I *25198:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27934:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27565:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *29415:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28308:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25198:A1 0.000739824 -2 *27934:B2 4.24514e-05 -3 *27565:B2 0.000609283 -4 *29415:A 0.000463862 -5 *28308:Q 0 -6 *3701:32 0.00107617 -7 *3701:25 0.00189201 -8 *3701:19 0.0026687 -9 *3701:4 0.00240481 -10 *25198:A1 *25198:B2 9.0145e-05 -11 *25198:A1 *27907:A1 0.000182648 -12 *25198:A1 *5688:242 0.000178847 -13 *27565:B2 *27908:A2 7.19814e-05 -14 *27565:B2 *27908:B1 0.000132892 -15 *27565:B2 *27935:B1 0.000283871 -16 *27565:B2 *4104:23 2.83129e-05 -17 *27565:B2 *4143:72 5.42698e-05 -18 *27565:B2 *5653:104 7.12878e-05 -19 *27565:B2 *5844:17 0.000109304 -20 *27934:B2 *27934:A1 1.98839e-05 -21 *29415:A *25862:A1 0.00051928 -22 *29415:A *28308:D 7.61932e-05 -23 *29415:A *28308:RESET_B 0.000179995 -24 *3701:19 *25862:S 0 -25 *3701:19 *25863:S 0 -26 *3701:19 *28306:D 0.000826667 -27 *3701:19 *28308:RESET_B 0.00015623 -28 *3701:19 *3711:79 5.38242e-05 -29 *3701:19 *5688:242 0 -30 *3701:19 *5797:11 0 -31 *3701:25 *28294:D 0.000533203 -32 *3701:25 *3711:79 6.05161e-06 -33 *3701:32 *27907:A2 6.23147e-05 -34 *3701:32 *27908:B1 0.000231379 -35 *3701:32 *31019:A 1.01912e-05 -36 *3701:32 *4143:72 0.000146495 -37 *27565:B1 *27565:B2 9.54798e-06 -38 *27908:A1 *3701:32 0.000226973 -39 *27934:B1 *27565:B2 4.28365e-05 -40 *27934:B1 *27934:B2 1.24368e-05 -41 *27935:C1 *27934:B2 4.50639e-05 -42 *28308:CLK *29415:A 0.000116749 -43 *30024:A *3701:19 7.51693e-05 -44 *30541:A *3701:25 0.000782373 -45 *30552:A *3701:19 0.000152269 -46 *1221:21 *27565:B2 9.00639e-05 -47 *1287:14 *3701:19 0.000389854 -48 *1423:13 *25198:A1 5.52238e-05 -49 *3192:118 *27565:B2 0.000119021 -50 *3192:119 *27565:B2 0.000112502 -51 *3192:126 *25198:A1 4.08637e-05 -52 *3192:126 *27565:B2 1.44868e-05 -53 *3192:126 *3701:25 0.000178847 -54 *3192:134 *3701:32 3.06511e-05 -55 *3541:38 *27565:B2 2.95076e-06 -56 *3626:35 *29415:A 7.39272e-05 -57 *3626:35 *3701:19 2.95726e-05 -58 *3688:25 *27565:B2 0.000332731 -59 *3698:19 *3701:32 5.02031e-05 -60 *3700:22 *3701:25 0.000259057 -61 *3700:40 *25198:A1 0.000161753 -*RES -1 *28308:Q *3701:4 9.3 -2 *3701:4 *29415:A 21.7464 -3 *3701:4 *3701:19 38.9107 -4 *3701:19 *3701:25 15.875 -5 *3701:25 *3701:32 13.1786 -6 *3701:32 *27565:B2 30.1198 -7 *3701:32 *27934:B2 14.7643 -8 *3701:25 *25198:A1 19.3179 -*END - -*D_NET *3702 0.0194593 -*CONN -*I *27949:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25091:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27579:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *29899:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28309:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *27949:B2 0.000682312 -2 *25091:A1 9.40545e-05 -3 *27579:B2 4.03365e-05 -4 *29899:A 0.000206607 -5 *28309:Q 5.31854e-05 -6 *3702:39 0.00212265 -7 *3702:26 0.00489273 -8 *3702:7 0.0037659 -9 *25091:A1 *25091:B2 2.60336e-05 -10 *27949:B2 *4077:34 0.000535252 -11 *27949:B2 *5301:27 7.63096e-05 -12 *3702:7 *28309:RESET_B 6.86693e-05 -13 *3702:26 *27589:B2 2.59355e-05 -14 *3702:26 *3882:47 0.000247861 -15 *3702:26 *4118:29 0.000120236 -16 *3702:26 *4118:50 0.000152155 -17 *3702:26 *4142:34 8.77613e-05 -18 *3702:26 *5693:16 0.000595406 -19 *3702:26 *5797:11 0.000382474 -20 *3702:26 *5836:104 0 -21 *3702:26 *5850:46 0.00056243 -22 *3702:39 *25091:B2 9.51652e-05 -23 *3702:39 *27580:A1 5.22465e-05 -24 *3702:39 *27946:A1 1.40415e-05 -25 *3702:39 *4077:34 0.000835575 -26 *3702:39 *4131:73 0.000631375 -27 *3702:39 *5719:62 0.000110029 -28 *25091:B1 *25091:A1 5.33005e-05 -29 *25091:C1 *25091:A1 8.25843e-06 -30 *27579:A1 *3702:39 3.06878e-06 -31 *27579:B1 *3702:26 0.000116297 -32 *27579:B1 *3702:39 1.82549e-05 -33 *27580:A2 *3702:39 4.34948e-06 -34 *27580:B1 *3702:39 1.64271e-05 -35 *27580:B2 *3702:39 2.90334e-05 -36 *27580:C1 *27579:B2 0 -37 *27580:C1 *3702:39 4.85178e-05 -38 *27590:C1 *3702:26 0.000262504 -39 *27949:B1 *27949:B2 5.33005e-05 -40 *29887:A *29899:A 0.000182694 -41 *30552:A *3702:7 6.86693e-05 -42 *1195:6 *29899:A 0.000382431 -43 *1195:6 *3702:26 0.000110948 -44 *1259:8 *29899:A 0.00038486 -45 *1259:8 *3702:26 0.000103527 -46 *1284:55 *27949:B2 0.000297232 -47 *1448:15 *27949:B2 8.27238e-06 -48 *1448:27 *27949:B2 3.06878e-06 -49 *1448:60 *3702:26 0.000390724 -50 *2889:289 *3702:26 1.92905e-05 -51 *3209:127 *27949:B2 0.000257619 -52 *3541:38 *3702:26 2.4134e-05 -53 *3698:19 *3702:26 0.000135743 -*RES -1 *28309:Q *3702:7 15.1571 -2 *3702:7 *29899:A 20.55 -3 *3702:7 *3702:26 38.7567 -4 *3702:26 *27579:B2 10.0321 -5 *3702:26 *3702:39 26.7857 -6 *3702:39 *25091:A1 15.6036 -7 *3702:39 *27949:B2 26.2821 -*END - -*D_NET *3703 0.0156671 -*CONN -*I *30485:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27341:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25290:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27685:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28563:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *30485:A 0 -2 *27341:B2 0.000343993 -3 *25290:B2 3.65242e-06 -4 *27685:B2 0.00026985 -5 *28563:Q 8.36282e-05 -6 *3703:35 0.00147311 -7 *3703:14 0.00181962 -8 *3703:13 0.00138927 -9 *3703:10 0.00104859 -10 *27341:B2 *25322:A1 0.000241648 -11 *27341:B2 *5663:69 0.000120914 -12 *27341:B2 *6351:32 0.000487194 -13 *27685:B2 *5651:248 2.89114e-05 -14 *27685:B2 *5651:260 8.85532e-05 -15 *27685:B2 *5663:69 0.000210077 -16 *27685:B2 *6351:32 0.00041172 -17 *3703:10 *5647:70 0.000172722 -18 *3703:13 *25322:A1 5.33005e-05 -19 *3703:13 *25627:A0 0.000171881 -20 *3703:13 *28563:D 5.035e-05 -21 *3703:14 *25322:A1 3.06466e-05 -22 *3703:14 *5663:69 8.03367e-05 -23 *3703:14 *6351:32 0.000184251 -24 *3703:35 *25290:A1 6.81704e-05 -25 *3703:35 *4044:14 4.38058e-05 -26 *3703:35 *4115:30 4.21517e-05 -27 *3703:35 *5643:66 0.000135028 -28 *3703:35 *5657:232 0.000876485 -29 *25290:A2 *25290:B2 6.26774e-06 -30 *25290:B1 *25290:B2 1.38106e-05 -31 *27672:B2 *27341:B2 7.43261e-05 -32 *1270:22 *3703:35 0.000327248 -33 *1275:94 *3703:35 0.00207954 -34 *1275:101 *3703:13 0.000219711 -35 *1281:125 *3703:35 0.00132522 -36 *1281:145 *3703:13 0.000475522 -37 *2760:109 *3703:10 0.000174437 -38 *2794:163 *3703:35 0.000487651 -39 *2794:172 *3703:10 7.37323e-05 -40 *2874:212 *3703:10 1.69464e-05 -41 *2874:212 *3703:35 0.000120884 -42 *2879:83 *3703:35 1.90936e-05 -43 *2894:244 *27341:B2 8.55871e-05 -44 *3250:23 *3703:35 0.000216755 -45 *3540:23 *3703:35 2.04825e-05 -*RES -1 *28563:Q *3703:10 21.2911 -2 *3703:10 *3703:13 21.0536 -3 *3703:13 *3703:14 2.55357 -4 *3703:14 *27685:B2 21.2643 -5 *3703:14 *3703:35 49.411 -6 *3703:35 *25290:B2 13.9295 -7 *3703:13 *27341:B2 23.3357 -8 *3703:10 *30485:A 9.3 -*END - -*D_NET *3704 0.0583503 -*CONN -*I *29113:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27706:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27362:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25236:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28564:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29113:A 0.00156532 -2 *27706:A1 0.000116418 -3 *27362:B2 1.84647e-05 -4 *25236:A1 0 -5 *28564:Q 5.30601e-05 -6 *3704:45 0.00171629 -7 *3704:31 0.00251158 -8 *3704:14 0.00607333 -9 *3704:13 0.00538402 -10 *3704:10 0.00154828 -11 *3704:6 0.00296273 -12 *27362:B2 *5640:35 4.08637e-05 -13 *27706:A1 *27706:A2 2.58896e-05 -14 *27706:A1 *5820:31 8.25843e-06 -15 *29113:A *29104:A 5.33005e-05 -16 *29113:A *29702:A 0.000156317 -17 *29113:A *3835:26 4.18834e-05 -18 *29113:A *3951:8 3.17148e-05 -19 *29113:A *4043:21 2.89016e-05 -20 *29113:A *4491:14 5.52238e-05 -21 *29113:A *4883:13 0 -22 *29113:A *5772:70 0.000119125 -23 *29113:A *5803:10 0.000320349 -24 *29113:A *5912:67 3.33051e-05 -25 *3704:6 *5702:18 4.1331e-06 -26 *3704:6 *5702:29 0 -27 *3704:6 *5893:10 9.4724e-05 -28 *3704:10 *29124:A 0.000251323 -29 *3704:10 *3924:34 0 -30 *3704:10 *4016:8 7.78413e-05 -31 *3704:10 *4094:12 0.00106253 -32 *3704:10 *4094:17 5.83304e-05 -33 *3704:10 *4403:20 1.37292e-05 -34 *3704:10 *5628:212 1.24469e-05 -35 *3704:10 *5628:216 3.34366e-05 -36 *3704:10 *5647:133 1.44868e-05 -37 *3704:10 *5647:159 2.06112e-05 -38 *3704:10 *5702:29 0 -39 *3704:10 *5893:10 0.000212297 -40 *3704:13 *5820:31 1.90303e-05 -41 *3704:14 *27683:A1 0.000247229 -42 *3704:14 *27697:A2 0.000965768 -43 *3704:14 *3807:16 4.31358e-05 -44 *3704:14 *3847:87 0.0105272 -45 *3704:14 *3924:62 0.00158408 -46 *3704:14 *3977:15 0.000126485 -47 *3704:14 *3977:16 0.000151172 -48 *3704:14 *3977:22 1.50087e-05 -49 *3704:14 *4094:17 0.00203549 -50 *3704:14 *4094:20 2.37632e-05 -51 *3704:14 *5587:102 0.00151976 -52 *3704:14 *5645:119 0.00257403 -53 *3704:14 *5646:252 0.00247209 -54 *3704:14 *5702:38 0.000176806 -55 *3704:14 *5768:161 1.94945e-05 -56 *3704:14 *5873:232 4.15161e-05 -57 *3704:31 *27683:A1 3.84095e-05 -58 *3704:31 *3756:20 0.000671683 -59 *3704:31 *3872:79 0 -60 *3704:31 *3977:24 9.63124e-05 -61 *3704:31 *5596:15 0.000132862 -62 *3704:31 *5596:26 7.91978e-05 -63 *3704:31 *5629:164 0.000307807 -64 *3704:31 *5640:35 0.00017754 -65 *3704:45 *27674:A1 0.00013359 -66 *3704:45 *27684:A1 4.39136e-05 -67 *3704:45 *27684:A2 3.97677e-05 -68 *3704:45 *27684:B2 1.403e-05 -69 *3704:45 *3739:122 2.88018e-06 -70 *3704:45 *3820:91 0.000265243 -71 *3704:45 *3835:73 0.000302007 -72 *3704:45 *3847:72 0.000265243 -73 *3704:45 *4115:30 1.08359e-05 -74 *3704:45 *5655:182 1.92905e-05 -75 *25236:A2 *3704:45 3.60088e-05 -76 *25236:B1 *3704:45 1.04232e-05 -77 *25249:C1 *3704:14 0.000616824 -78 *27693:C *3704:31 9.27826e-05 -79 *27694:A2 *3704:31 0.000331831 -80 *30161:A *29113:A 0.000262775 -81 *1266:64 *3704:14 0.000386766 -82 *1267:76 *3704:14 0.000382746 -83 *1292:133 *3704:10 9.59532e-06 -84 *1419:24 *3704:45 2.22618e-05 -85 *1555:11 *3704:45 0.000135028 -86 *1574:26 *3704:14 0.000196262 -87 *2760:174 *3704:10 7.83174e-05 -88 *2763:196 *3704:14 0.000561153 -89 *2771:84 *3704:14 1.90936e-05 -90 *2875:232 *3704:45 0.00017754 -91 *2886:241 *27362:B2 2.59355e-05 -92 *2886:241 *3704:31 8.55871e-05 -93 *2889:36 *3704:14 0.00395153 -94 *2889:48 *3704:14 0.000165754 -95 *3205:211 *3704:31 0.00034008 -96 *3206:157 *3704:45 0.000216755 -97 *3252:13 *3704:14 3.38861e-06 -98 *3306:6 *3704:45 9.91086e-05 -99 *3603:155 *29113:A 0.000182071 -100 *3603:164 *29113:A 9.74588e-05 -*RES -1 *28564:Q *3704:6 15.1393 -2 *3704:6 *3704:10 32.8571 -3 *3704:10 *3704:13 5.44643 -4 *3704:13 *3704:14 189.705 -5 *3704:14 *3704:31 31.0266 -6 *3704:31 *3704:45 42.375 -7 *3704:45 *25236:A1 9.3 -8 *3704:31 *27362:B2 9.83571 -9 *3704:10 *27706:A1 10.9786 -10 *3704:6 *29113:A 49.6036 -*END - -*D_NET *3705 0.045837 -*CONN -*I *29979:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27737:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *24846:A I *D sky130_fd_sc_hd__inv_2 -*I *27389:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25172:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28565:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29979:A 0.000601146 -2 *27737:A1 8.13029e-05 -3 *24846:A 3.82418e-05 -4 *27389:B2 0.000233263 -5 *25172:B2 9.82871e-05 -6 *28565:Q 6.18491e-05 -7 *3705:62 0.00318044 -8 *3705:61 0.00382479 -9 *3705:51 0.00199365 -10 *3705:34 0.00165886 -11 *3705:22 0.00137199 -12 *3705:14 0.00272587 -13 *3705:7 0.002311 -14 *24846:A *5824:306 0 -15 *25172:B2 *3887:41 5.29951e-05 -16 *25172:B2 *5819:24 0.000121003 -17 *27389:B2 *25186:B2 0.000135028 -18 *27389:B2 *3783:56 0.000124174 -19 *27737:A1 *27737:A2 5.33005e-05 -20 *27737:A1 *27737:B1 3.48152e-05 -21 *27737:A1 *5643:181 0.000100384 -22 *27737:A1 *5862:14 6.02036e-05 -23 *29979:A *26850:A0 0.000164633 -24 *29979:A *26954:A1 2.8266e-06 -25 *29979:A *28730:RESET_B 0.000391706 -26 *29979:A *4056:53 0.000183726 -27 *29979:A *5683:32 3.7369e-05 -28 *29979:A *5683:43 8.85834e-05 -29 *29979:A *5926:66 0 -30 *29979:A *6271:17 0.00016712 -31 *29979:A *6277:9 0.000338862 -32 *29979:A *6278:11 0.000201714 -33 *3705:7 *28969:A 0.00018077 -34 *3705:7 *5683:43 9.71197e-05 -35 *3705:14 *26954:A1 0.000126718 -36 *3705:14 *27723:A1 0.000167146 -37 *3705:14 *27741:A1 1.14057e-05 -38 *3705:14 *3887:91 0.000412243 -39 *3705:14 *4004:16 6.44597e-05 -40 *3705:14 *4056:18 6.24581e-05 -41 *3705:14 *4082:33 0.000640139 -42 *3705:14 *4134:6 0.000607943 -43 *3705:14 *5683:43 1.52978e-05 -44 *3705:14 *5683:57 4.78056e-05 -45 *3705:14 *5829:68 0.0005473 -46 *3705:14 *5926:66 0 -47 *3705:22 *27739:B2 8.20823e-05 -48 *3705:22 *27742:A1 0.000892651 -49 *3705:22 *3887:41 0.000120286 -50 *3705:22 *4082:33 5.02627e-05 -51 *3705:22 *4134:6 0.00150063 -52 *3705:22 *4147:46 0.000203284 -53 *3705:22 *5582:159 0.000177821 -54 *3705:22 *5631:72 0.000556899 -55 *3705:22 *5631:113 4.18827e-05 -56 *3705:22 *5651:382 4.51691e-05 -57 *3705:22 *5695:133 4.22135e-06 -58 *3705:22 *5819:24 0.000840297 -59 *3705:34 *25186:B2 0.000260574 -60 *3705:51 *27737:A2 4.75956e-06 -61 *3705:51 *3718:83 0.0010863 -62 *3705:51 *3718:89 0.000108102 -63 *3705:51 *3926:44 0.00250334 -64 *3705:51 *3939:63 3.59265e-05 -65 *3705:51 *5656:313 8.21461e-05 -66 *3705:51 *5809:22 0.001035 -67 *3705:61 *27737:A2 1.19191e-05 -68 *3705:61 *3926:44 5.74475e-05 -69 *3705:61 *5644:94 0.000191912 -70 *3705:62 *25050:B2 0.000712609 -71 *3705:62 *26932:A0 0.0001073 -72 *3705:62 *27424:A1 0.000349412 -73 *3705:62 *28517:D 0.000984973 -74 *3705:62 *30836:A 6.20601e-05 -75 *3705:62 *5824:306 0.000177821 -76 *3705:62 *5873:37 9.41642e-05 -77 *3705:62 *6001:17 0.000140779 -78 *25070:C *3705:62 5.33005e-05 -79 *25172:B1 *25172:B2 8.6229e-06 -80 *25193:B1 *3705:14 0.000118248 -81 *26932:S *3705:62 0.000260574 -82 *26968:S *3705:62 5.33005e-05 -83 *27381:A2 *3705:51 1.01241e-05 -84 *27381:C1 *3705:51 7.62864e-06 -85 *27390:C1 *25172:B2 1.58163e-05 -86 *27424:A2 *3705:62 0.000103113 -87 *27424:B1 *3705:62 0.001046 -88 *27740:B1 *3705:14 0.000121614 -89 *27741:C1 *3705:14 0.000165553 -90 *29075:A *3705:14 2.04825e-05 -91 *29075:A *3705:22 2.01359e-05 -92 *29704:A *3705:62 2.24195e-05 -93 *29970:A *3705:14 0.00010965 -94 *882:10 *3705:62 0.00182855 -95 *1185:175 *3705:51 0.000126716 -96 *1256:173 *3705:14 0.000107585 -97 *1256:183 *3705:14 3.44114e-05 -98 *1262:100 *3705:61 0.000998232 -99 *1286:117 *3705:14 0.000277636 -100 *1383:13 *3705:62 0.00109303 -101 *1391:11 *3705:62 3.63775e-05 -102 *1392:10 *3705:62 6.45355e-05 -103 *2771:64 *3705:14 0.000121573 -104 *2853:292 *3705:61 0.000249156 -105 *2859:283 *3705:51 0.000150625 -106 *2859:283 *3705:61 0.000529221 -107 *2883:220 *3705:61 0.000502801 -108 *2885:156 *3705:62 0.00100828 -109 *3283:8 *27389:B2 0.00012265 -110 *3292:11 *3705:61 0.000100823 -111 *3552:44 *3705:61 0.000190333 -112 *3581:146 *27389:B2 2.42516e-05 -113 *3679:39 *3705:61 0.000191663 -*RES -1 *28565:Q *3705:7 15.5679 -2 *3705:7 *3705:14 41.5089 -3 *3705:14 *3705:22 41.8661 -4 *3705:22 *25172:B2 15.9786 -5 *3705:22 *3705:34 7.08929 -6 *3705:34 *27389:B2 22.1214 -7 *3705:34 *3705:51 43.6429 -8 *3705:51 *3705:61 33.1429 -9 *3705:61 *3705:62 67.0714 -10 *3705:62 *24846:A 10.0321 -11 *3705:51 *27737:A1 16.1214 -12 *3705:7 *29979:A 29.8536 -*END - -*D_NET *3706 0.0589201 -*CONN -*I *27760:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25159:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27404:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30230:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28566:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27760:B2 0.000350754 -2 *25159:A1 0.000211386 -3 *27404:B2 1.66472e-05 -4 *30230:A 2.94474e-05 -5 *28566:Q 0.000133236 -6 *3706:45 0.0015664 -7 *3706:44 0.00160978 -8 *3706:35 0.00456442 -9 *3706:33 0.00434473 -10 *3706:24 0.000330434 -11 *3706:22 0.00156185 -12 *3706:18 0.00238426 -13 *3706:9 0.000913038 -14 *27404:B2 *4048:32 8.43535e-06 -15 *27760:B2 *27659:A2 9.11048e-06 -16 *27760:B2 *27760:A1 0.000269107 -17 *27760:B2 *4096:25 0 -18 *30230:A *4132:33 0.0001399 -19 *30230:A *5763:110 0.0001399 -20 *3706:9 *26987:A1 1.98839e-05 -21 *3706:9 *4132:33 0.000124521 -22 *3706:9 *4148:10 5.64954e-05 -23 *3706:9 *5689:56 2.97074e-05 -24 *3706:9 *5689:58 1.97381e-05 -25 *3706:9 *5763:110 0.000125879 -26 *3706:18 *27658:A2 0.000316158 -27 *3706:18 *28486:D 0.000195471 -28 *3706:18 *4109:14 0.000181137 -29 *3706:18 *4109:20 0.000109979 -30 *3706:18 *4148:10 0.0018319 -31 *3706:18 *5651:419 5.74562e-05 -32 *3706:18 *5709:254 9.34992e-05 -33 *3706:22 *26865:A 5.68312e-06 -34 *3706:22 *4109:20 0.000153792 -35 *3706:22 *4148:10 3.17148e-05 -36 *3706:22 *5593:42 0.000173804 -37 *3706:22 *5629:186 9.74588e-05 -38 *3706:22 *5643:282 0.000222413 -39 *3706:22 *5651:419 2.61337e-05 -40 *3706:22 *5689:81 0.000630892 -41 *3706:24 *4148:24 1.8441e-05 -42 *3706:24 *5643:282 0.000551959 -43 *3706:35 *24901:A 0.000371382 -44 *3706:35 *25111:A1 0.000196688 -45 *3706:35 *27173:A1 9.91086e-05 -46 *3706:35 *27400:A1 0.000122922 -47 *3706:35 *3849:50 0.000810502 -48 *3706:35 *4135:45 0.000622611 -49 *3706:35 *4148:24 1.44954e-05 -50 *3706:35 *5587:65 0.00106981 -51 *3706:35 *5643:227 0.00247541 -52 *3706:35 *5652:45 0.000160692 -53 *3706:35 *5653:145 0.000171375 -54 *3706:35 *5656:120 0.000208374 -55 *3706:35 *5816:24 2.6502e-05 -56 *3706:44 *5641:70 2.01997e-05 -57 *3706:45 *3802:78 0.00022117 -58 *25094:A1 *3706:35 0.000162223 -59 *25159:A2 *25159:A1 0.000382744 -60 *25384:C *3706:24 4.97322e-05 -61 *27402:B1 *3706:35 0.00126976 -62 *27404:A2 *3706:24 1.31516e-05 -63 *27404:A2 *3706:35 0.000948644 -64 *27766:A *3706:24 0.00070213 -65 *27766:A *3706:35 0.000171625 -66 *27766:B *3706:22 0.00152833 -67 *27766:B *3706:24 1.53472e-05 -68 *30315:A *3706:22 0.00024362 -69 *1224:26 *3706:45 0.00126931 -70 *1227:16 *3706:45 0.000132899 -71 *1227:18 *3706:45 0.000144477 -72 *1227:25 *3706:45 0.00112402 -73 *1228:11 *3706:35 9.71108e-05 -74 *1230:51 *3706:44 0 -75 *1253:23 *3706:44 2.01997e-05 -76 *1276:76 *3706:35 0.000160573 -77 *1288:20 *3706:35 0.000100843 -78 *1326:97 *3706:35 0.000134362 -79 *1326:97 *3706:45 0.000280622 -80 *1326:116 *3706:35 0.000647189 -81 *1410:89 *3706:35 0.000400894 -82 *1480:17 *25159:A1 0.000136562 -83 *1485:39 *3706:45 0.000454089 -84 *1486:19 *3706:35 0.000193833 -85 *1603:13 *25159:A1 1.46148e-05 -86 *1698:25 *3706:35 0.00143929 -87 *1862:10 *27760:B2 2.84026e-05 -88 *2773:139 *3706:22 0.000145411 -89 *2882:246 *3706:35 0.000348495 -90 *2891:326 *3706:35 0.000278234 -91 *2963:12 *3706:35 2.58652e-05 -92 *3179:205 *3706:22 0.00101245 -93 *3206:125 *3706:45 4.11173e-05 -94 *3309:13 *3706:22 0.000510486 -95 *3314:25 *3706:35 0.000144216 -96 *3314:26 *3706:35 0.00934376 -97 *3314:26 *3706:45 0.00346289 -98 *3590:62 *3706:22 0.000216304 -99 *3680:8 *3706:22 0.000123288 -100 *3680:19 *3706:22 0.000219583 -101 *3680:32 *3706:35 0.000132474 -102 *3680:34 *3706:35 0.000400781 -*RES -1 *28566:Q *3706:9 21.6036 -2 *3706:9 *30230:A 10.6571 -3 *3706:9 *3706:18 28.3036 -4 *3706:18 *3706:22 43.0089 -5 *3706:22 *3706:24 9.6875 -6 *3706:24 *27404:B2 14.0857 -7 *3706:24 *3706:33 0.535714 -8 *3706:33 *3706:35 152.67 -9 *3706:35 *3706:44 7.24613 -10 *3706:44 *3706:45 45.8125 -11 *3706:45 *25159:A1 18.0321 -12 *3706:18 *27760:B2 27.9786 -*END - -*D_NET *3707 0.00892006 -*CONN -*I *29078:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27425:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27783:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25060:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28567:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29078:A 0 -2 *27425:B2 9.77014e-05 -3 *27783:B2 0.000201804 -4 *25060:A1 0.00024523 -5 *28567:Q 0.000435325 -6 *3707:40 0.000466841 -7 *3707:38 0.000797401 -8 *3707:13 0.00131062 -9 *25060:A1 *26982:B 0.000398809 -10 *27425:B2 *5652:184 5.77301e-05 -11 *27425:B2 *5703:42 3.17148e-05 -12 *27783:B2 *27783:A1 1.79687e-05 -13 *27783:B2 *27783:A2 9.58126e-05 -14 *27783:B2 *27783:B1 4.87953e-05 -15 *27783:B2 *5703:42 0.000324524 -16 *3707:13 *26901:B 0.000241185 -17 *3707:13 *26988:A0 4.04359e-05 -18 *3707:13 *28567:RESET_B 0.000137561 -19 *3707:13 *3718:157 0.000118895 -20 *3707:13 *4239:17 1.81922e-05 -21 *3707:38 *25712:A0 0.000597417 -22 *3707:38 *25712:A1 0.000559093 -23 *3707:38 *5639:165 8.07951e-05 -24 *3707:40 *5703:42 4.08637e-05 -25 *27425:B1 *27425:B2 5.49544e-05 -26 *27784:C1 *3707:38 8.33813e-05 -27 *27784:C1 *3707:40 0.000432279 -28 *27791:A *27425:B2 1.58163e-05 -29 *27791:B *27425:B2 0.000193833 -30 *28567:CLK *3707:13 0.000162607 -31 *244:65 *25060:A1 0.000400653 -32 *900:15 *3707:38 0.000401026 -33 *900:15 *3707:40 0.000518824 -34 *1275:178 *25060:A1 1.24368e-05 -35 *1275:195 *25060:A1 5.33005e-05 -36 *2764:94 *3707:13 1.20719e-05 -37 *2770:97 *27425:B2 4.58835e-05 -38 *2770:97 *3707:13 0.000134235 -39 *3613:58 *3707:13 3.40456e-05 -*RES -1 *28567:Q *3707:13 26.0726 -2 *3707:13 *25060:A1 20.2018 -3 *3707:13 *3707:38 27.25 -4 *3707:38 *3707:40 5.875 -5 *3707:40 *27783:B2 13.9607 -6 *3707:40 *27425:B2 21.3893 -7 *3707:38 *29078:A 9.3 -*END - -*D_NET *3708 0.0304574 -*CONN -*I *29773:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27796:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27456:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25013:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28568:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *29773:A 0.000236666 -2 *27796:B2 1.30001e-05 -3 *27456:B2 0.000222664 -4 *25013:B2 6.16329e-05 -5 *28568:Q 0.000231736 -6 *3708:68 0.00178121 -7 *3708:37 0.00366523 -8 *3708:32 0.00236192 -9 *3708:29 0.00111418 -10 *3708:11 0.0012578 -11 *3708:5 0.00123795 -12 *27456:B2 *27456:A1 3.10378e-05 -13 *27796:B2 *27796:B1 5.33005e-05 -14 *27796:B2 *3877:41 3.18676e-05 -15 *29773:A *24850:A 0.000101545 -16 *29773:A *25014:B1 1.76135e-05 -17 *29773:A *25320:B2 0 -18 *29773:A *5625:8 0 -19 *3708:11 *28568:RESET_B 8.79458e-05 -20 *3708:29 *5528:47 0.00110488 -21 *3708:29 *5602:100 0.000173545 -22 *3708:32 *26981:A1 0.000101545 -23 *3708:32 *3786:68 0.00018008 -24 *3708:32 *5602:100 0.00017465 -25 *3708:37 *5601:128 0.00115501 -26 *3708:37 *5602:53 0.000271138 -27 *3708:37 *5660:64 2.42516e-05 -28 *3708:37 *5678:81 0.000719684 -29 *3708:68 *28741:RESET_B 0.000108282 -30 *3708:68 *3826:57 0.00220126 -31 *3708:68 *5647:39 8.41284e-06 -32 *3708:68 *5660:64 4.04292e-05 -33 *3708:68 *5755:192 0.000116155 -34 *25012:C1 *3708:32 0.000908658 -35 *25013:A1 *3708:11 1.56e-05 -36 *25013:B1 *25013:B2 8.46179e-05 -37 *25013:B1 *3708:11 5.33005e-05 -38 *25014:C1 *3708:11 3.14048e-05 -39 *25032:A *3708:37 0.00123911 -40 *26964:A *3708:37 1.721e-05 -41 *27456:A2 *27456:B2 0.000177851 -42 *27456:A2 *3708:68 0.000318812 -43 *27456:B1 *27456:B2 6.92906e-05 -44 *27456:C1 *27456:B2 4.59895e-05 -45 *27459:C *27456:B2 8.43535e-06 -46 *28568:CLK *25013:B2 9.41642e-05 -47 *28568:CLK *3708:11 0.000334714 -48 *30730:A *29773:A 0 -49 *1262:224 *3708:68 2.93959e-05 -50 *1274:172 *3708:32 0.000466904 -51 *1274:172 *3708:37 0.000866261 -52 *1304:39 *3708:68 0.000362741 -53 *1354:16 *3708:37 0.000433712 -54 *1355:20 *3708:68 2.24813e-05 -55 *2768:175 *29773:A 0.000504613 -56 *2775:50 *3708:29 0.00110488 -57 *2775:50 *3708:32 0.00209743 -58 *2775:50 *3708:37 5.70969e-05 -59 *2855:302 *3708:68 0.00174951 -60 *3177:35 *3708:68 0.000182485 -61 *3177:36 *3708:68 0.000286739 -62 *3179:67 *3708:68 7.35213e-06 -*RES -1 *28568:Q *3708:5 11.8893 -2 *3708:5 *3708:11 10.7321 -3 *3708:11 *25013:B2 11.2107 -4 *3708:11 *3708:29 25.7522 -5 *3708:29 *3708:32 27.375 -6 *3708:32 *3708:37 47.2232 -7 *3708:37 *27456:B2 13.8536 -8 *3708:37 *3708:68 49.0118 -9 *3708:68 *27796:B2 14.3357 -10 *3708:5 *29773:A 24.8 -*END - -*D_NET *3709 0.0196519 -*CONN -*I *24993:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27465:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30045:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27834:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28569:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *24993:B2 0.000170924 -2 *27465:B2 0.00146102 -3 *30045:A 0.000187223 -4 *27834:B2 0.00107732 -5 *28569:Q 0.00132812 -6 *3709:44 0.00192615 -7 *3709:11 0.00143714 -8 *3709:9 0.00179493 -9 *27465:B2 *27465:A1 8.25843e-06 -10 *27834:B2 *27834:B1 5.08678e-05 -11 *27834:B2 *4151:32 0.000614843 -12 *27834:B2 *5601:83 0.000231726 -13 *27834:B2 *5639:147 0 -14 *27834:B2 *5647:59 6.05161e-06 -15 *27834:B2 *5892:40 8.22793e-06 -16 *30045:A *4073:22 0.000339346 -17 *30045:A *5872:26 0.000146474 -18 *30045:A *5892:40 0.000145484 -19 *3709:9 *28545:RESET_B 0.000136958 -20 *3709:9 *28569:D 0.000137074 -21 *3709:9 *3786:57 0.000260574 -22 *3709:9 *4151:32 0.000306919 -23 *3709:9 *5601:83 0.000634922 -24 *3709:11 *4151:32 0.000220039 -25 *3709:11 *5601:83 0.000461937 -26 *24993:B1 *24993:B2 5.52302e-05 -27 *25319:A2 *27465:B2 0.000531228 -28 *27466:C1 *27465:B2 0.000175574 -29 *1258:90 *27834:B2 1.94879e-05 -30 *1261:53 *27465:B2 0.000763162 -31 *1267:202 *27834:B2 8.92205e-05 -32 *1267:221 *27834:B2 2.26973e-05 -33 *1269:247 *27465:B2 0.000498057 -34 *1275:139 *24993:B2 1.92905e-05 -35 *1315:10 *27465:B2 0.000574731 -36 *1315:10 *3709:44 0.0009985 -37 *1638:18 *27465:B2 0.000966877 -38 *2764:129 *30045:A 0.000165123 -39 *2773:8 *27465:B2 0.000576385 -40 *2773:8 *3709:44 0.000990532 -41 *2848:233 *27465:B2 7.04747e-05 -42 *2894:280 *27465:B2 2.89584e-05 -43 *3179:82 *27834:B2 1.38076e-05 -*RES -1 *28569:Q *3709:9 29.6929 -2 *3709:9 *3709:11 4.64286 -3 *3709:11 *27834:B2 30.3264 -4 *3709:11 *30045:A 23.6571 -5 *3709:9 *3709:44 17.375 -6 *3709:44 *27465:B2 48.9429 -7 *3709:44 *24993:B2 16.3893 -*END - -*D_NET *3710 0.0437631 -*CONN -*I *25367:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30551:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27859:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27499:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28305:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25367:A1 0.000953333 -2 *30551:A 0 -3 *27859:B2 2.77125e-05 -4 *27499:B2 0.00078039 -5 *28305:Q 4.38725e-05 -6 *3710:77 0.00343222 -7 *3710:39 0.00127406 -8 *3710:38 0.00178937 -9 *3710:28 0.00322099 -10 *3710:18 0.00393366 -11 *3710:17 0.00203607 -12 *3710:15 0.000401823 -13 *3710:7 0.00292458 -14 *25367:A1 *28303:D 0.000219711 -15 *25367:A1 *6356:41 0.000760859 -16 *27499:B2 *27859:A1 2.49843e-05 -17 *27499:B2 *27859:A2 0.000135989 -18 *27499:B2 *3866:44 0.000452378 -19 *27499:B2 *5947:85 0.000709486 -20 *27859:B2 *27859:A1 5.33005e-05 -21 *27859:B2 *5947:89 1.98839e-05 -22 *3710:7 *28305:RESET_B 5.52302e-05 -23 *3710:15 *24869:B 0.000191176 -24 *3710:15 *25822:B 0.000117553 -25 *3710:15 *25859:A1 0.000100823 -26 *3710:15 *25859:S 0.000123847 -27 *3710:15 *28305:RESET_B 0.000184745 -28 *3710:15 *5824:63 0 -29 *3710:15 *5850:46 3.37678e-05 -30 *3710:18 *24871:A2 0 -31 *3710:18 *24903:A 0 -32 *3710:18 *25453:B1 1.01912e-05 -33 *3710:18 *25879:A0 0.00012401 -34 *3710:18 *28149:RESET_B 0 -35 *3710:18 *30401:A 0 -36 *3710:18 *4156:46 0.000192245 -37 *3710:18 *5778:49 0.00435926 -38 *3710:18 *5901:57 0.00016516 -39 *3710:28 *25650:A0 7.44888e-05 -40 *3710:28 *25655:A0 0.000369659 -41 *3710:28 *25823:A1 5.80224e-05 -42 *3710:28 *25825:A1 7.40526e-05 -43 *3710:28 *28149:RESET_B 0.000169386 -44 *3710:28 *28237:D 0.000107338 -45 *3710:28 *28281:D 0.00028745 -46 *3710:28 *30403:A 0.000515369 -47 *3710:28 *3934:28 0.000439976 -48 *3710:28 *5693:97 8.34352e-05 -49 *3710:28 *5706:118 1.36825e-05 -50 *3710:28 *5727:170 6.91215e-06 -51 *3710:28 *5743:33 7.36733e-05 -52 *3710:28 *5743:60 0.00121343 -53 *3710:28 *5778:49 2.09213e-05 -54 *3710:38 *25634:B 4.02344e-05 -55 *3710:38 *25946:A1 0.000340781 -56 *3710:38 *28039:B 0.000712791 -57 *3710:38 *28131:D 0.000499762 -58 *3710:38 *28995:A 0 -59 *3710:38 *3831:28 0.000126439 -60 *3710:38 *5705:14 4.8936e-05 -61 *3710:38 *5705:16 1.08359e-05 -62 *3710:38 *5706:118 0.000187966 -63 *3710:38 *5712:52 9.20361e-05 -64 *3710:38 *5727:170 0 -65 *3710:38 *5733:163 0.000130902 -66 *3710:39 *25943:A0 0.000103477 -67 *3710:39 *29398:A 5.33005e-05 -68 *3710:39 *3840:10 0.00110594 -69 *3710:39 *5947:89 0.000672059 -70 *3710:77 *24869:B 0 -71 *3710:77 *28305:RESET_B 0.000213962 -72 *3710:77 *28307:D 0.000129868 -73 *3710:77 *29438:A 0 -74 *3710:77 *5209:6 9.87983e-06 -75 *3710:77 *5267:11 0.00116785 -76 *3710:77 *5761:8 7.71693e-05 -77 *3710:77 *5761:40 9.30161e-05 -78 *3710:77 *5778:80 0.000173804 -79 *3710:77 *5824:50 0 -80 *3710:77 *5824:63 0 -81 *3710:77 *6356:41 0.000491782 -82 *24961:B *3710:18 0.000993098 -83 *25155:B *3710:18 0.000696259 -84 *25187:C1 *25367:A1 0.000268068 -85 *25367:B1 *25367:A1 6.46382e-05 -86 *25367:B2 *25367:A1 6.22499e-05 -87 *25822:A *3710:15 0.000180777 -88 *25823:S *3710:28 2.05484e-05 -89 *25945:S *3710:38 0.000233252 -90 *27859:B1 *27499:B2 0.000136951 -91 *27859:B1 *27859:B2 5.33005e-05 -92 *28084:RESET_B *3710:39 5.52302e-05 -93 *28262:CLK *3710:15 2.14658e-05 -94 *28332:CLK *3710:18 9.29618e-05 -95 *29367:A *3710:38 4.78056e-05 -96 *29449:A *3710:38 7.76647e-05 -97 *30135:A *3710:77 0.000115082 -98 *30180:A *3710:77 1.46624e-05 -99 *30186:A *25367:A1 0.000216755 -100 *30480:A *3710:15 9.92409e-05 -101 *394:14 *3710:28 0 -102 *409:10 *3710:28 7.65884e-05 -103 *495:15 *3710:28 5.12108e-05 -104 *1251:21 *3710:18 0 -105 *1287:25 *3710:28 0 -106 *1287:97 *3710:15 0 -107 *1448:60 *3710:15 0.000144038 -108 *1483:25 *25367:A1 6.05161e-06 -109 *1603:36 *3710:28 0.000239221 -110 *1748:26 *3710:28 4.46543e-05 -111 *1766:33 *3710:28 0 -112 *1827:31 *3710:38 0.000301803 -113 *1827:61 *3710:18 0 -114 *1829:48 *3710:28 0 -115 *1868:31 *3710:38 6.21521e-06 -116 *1899:39 *3710:38 8.25843e-06 -117 *1899:42 *3710:38 0.000103032 -118 *2201:8 *3710:77 0 -119 *3688:17 *25367:A1 0.000307343 -120 *3698:19 *25367:A1 5.52302e-05 -121 *3698:63 *25367:A1 0.00012615 -*RES -1 *28305:Q *3710:7 10.2643 -2 *3710:7 *3710:15 20.0536 -3 *3710:15 *3710:17 4.5 -4 *3710:17 *3710:18 57.0982 -5 *3710:18 *3710:28 43.7143 -6 *3710:28 *3710:38 45.3661 -7 *3710:38 *3710:39 14.0893 -8 *3710:39 *27499:B2 23.3357 -9 *3710:39 *27859:B2 10.2464 -10 *3710:15 *30551:A 9.3 -11 *3710:7 *3710:77 47.5179 -12 *3710:77 *25367:A1 29.0679 -*END - -*D_NET *3711 0.0545401 -*CONN -*I *25317:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30468:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27523:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27884:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28306:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25317:B2 0.00121807 -2 *30468:A 3.76187e-05 -3 *27523:B2 0.00103761 -4 *27884:B2 0.000294805 -5 *28306:Q 0 -6 *3711:93 0.00446254 -7 *3711:79 0.00643473 -8 *3711:34 0.00309488 -9 *3711:21 0.00333317 -10 *3711:16 0.0024517 -11 *3711:6 0.00112829 -12 *3711:4 0.00339992 -13 *25317:B2 *6457:DIODE 0.000588985 -14 *25317:B2 *5607:13 8.50709e-05 -15 *25317:B2 *5656:116 0.000539473 -16 *25317:B2 *5890:68 0.00092452 -17 *25317:B2 *5890:81 5.52302e-05 -18 *27523:B2 *5467:14 0.000413844 -19 *27884:B2 *28131:RESET_B 5.03772e-05 -20 *27884:B2 *4127:41 5.33334e-05 -21 *27884:B2 *5007:16 6.55727e-05 -22 *27884:B2 *5727:217 6.54793e-05 -23 *27884:B2 *5739:6 0.000140342 -24 *27884:B2 *5739:10 2.94103e-05 -25 *27884:B2 *5740:24 0.000173797 -26 *27884:B2 *5740:86 0.000204716 -27 *30468:A *25859:A0 0.000136951 -28 *3711:6 *3740:30 0.000556698 -29 *3711:16 *29906:A 0.000170996 -30 *3711:16 *3740:17 8.98585e-05 -31 *3711:16 *3740:30 0.00078363 -32 *3711:16 *3871:73 0.000218409 -33 *3711:16 *5686:118 0.000184398 -34 *3711:21 *28239:RESET_B 0.00012222 -35 *3711:21 *28292:D 0.000105142 -36 *3711:21 *4160:98 0.000108504 -37 *3711:21 *4161:80 0.000134733 -38 *3711:21 *5611:27 0.000188823 -39 *3711:21 *5686:118 0.00021584 -40 *3711:21 *5741:17 0.000206996 -41 *3711:21 *5741:23 0.000776423 -42 *3711:34 *25461:A2 7.69776e-06 -43 *3711:34 *25674:A0 0.000172168 -44 *3711:34 *25675:A0 4.89117e-05 -45 *3711:34 *25774:A1 6.28203e-05 -46 *3711:34 *25824:A1 8.60225e-05 -47 *3711:34 *28164:D 2.09897e-05 -48 *3711:34 *28330:D 0.000110146 -49 *3711:34 *3870:18 0.000164921 -50 *3711:34 *4126:39 2.74787e-05 -51 *3711:34 *5693:82 8.44271e-06 -52 *3711:34 *5706:78 7.40526e-05 -53 *3711:34 *5712:30 0.000237514 -54 *3711:34 *5727:217 4.75152e-06 -55 *3711:34 *5727:228 0.000365373 -56 *3711:34 *5740:86 1.24469e-05 -57 *3711:34 *5740:99 0.000224293 -58 *3711:34 *5740:112 9.54652e-05 -59 *3711:79 *25198:B2 0.000255922 -60 *3711:79 *27948:B2 0.000216755 -61 *3711:79 *3830:51 4.6098e-05 -62 *3711:79 *3882:47 5.33611e-05 -63 *3711:79 *3947:61 9.08134e-05 -64 *3711:79 *4103:68 0.000157771 -65 *3711:79 *5215:12 8.84874e-05 -66 *3711:79 *5797:11 0.000815635 -67 *3711:93 *25153:B2 0.00161417 -68 *3711:93 *27948:B2 2.39265e-05 -69 *3711:93 *4036:38 6.83482e-05 -70 *3711:93 *5196:25 0.000282508 -71 *3711:93 *5607:13 0.000147465 -72 *3711:93 *5607:27 2.73522e-06 -73 *25153:A2 *3711:93 2.43682e-05 -74 *25153:B1 *3711:93 1.64271e-05 -75 *25675:S *3711:34 0.000592943 -76 *25776:S *3711:21 0.000207755 -77 *27910:B1 *3711:79 2.35215e-05 -78 *27924:C1 *3711:16 0.000353149 -79 *27948:A2 *3711:93 1.2893e-05 -80 *27948:B1 *3711:93 1.65787e-05 -81 *27951:A1 *3711:79 0.00038021 -82 *27951:B1 *3711:79 0.000846485 -83 *27955:A *3711:93 0.000284259 -84 *27955:B *3711:79 9.58181e-05 -85 *28852:A *3711:79 9.02021e-05 -86 *29432:A *3711:79 8.53487e-05 -87 *29907:A *3711:16 8.69688e-05 -88 *29907:A *3711:21 6.6261e-05 -89 *30024:A *3711:79 5.96516e-05 -90 *402:13 *3711:21 9.29324e-05 -91 *1207:11 *3711:16 0.000122924 -92 *1212:12 *3711:16 3.4323e-06 -93 *1242:76 *25317:B2 0.000148215 -94 *1251:29 *27523:B2 8.82991e-05 -95 *1265:95 *25317:B2 0.000360109 -96 *1326:116 *25317:B2 6.12434e-05 -97 *1396:40 *3711:6 0.000551592 -98 *1396:40 *3711:16 0.000665655 -99 *1396:64 *3711:34 9.94573e-05 -100 *1410:89 *25317:B2 0.000300412 -101 *1423:13 *3711:79 0.000225693 -102 *1448:60 *30468:A 0.000136951 -103 *1472:25 *3711:79 0.000188955 -104 *1563:26 *3711:93 6.62911e-05 -105 *1700:20 *25317:B2 0.000358394 -106 *1730:41 *3711:21 6.8839e-05 -107 *1829:26 *3711:21 0 -108 *1833:43 *27884:B2 0.000117132 -109 *1833:43 *3711:34 0.000267095 -110 *1864:23 *3711:93 4.5539e-05 -111 *1868:49 *3711:21 0.000231447 -112 *1887:31 *27523:B2 0.00015713 -113 *1888:39 *3711:34 0.000553226 -114 *2789:27 *27523:B2 2.06178e-05 -115 *3165:314 *3711:21 0.00301957 -116 *3196:143 *3711:34 0.000375282 -117 *3196:145 *3711:21 4.97121e-06 -118 *3196:179 *3711:79 0.0022346 -119 *3216:199 *3711:93 6.31181e-05 -120 *3413:25 *3711:79 0.000341122 -121 *3454:18 *27523:B2 2.06178e-05 -122 *3487:10 *3711:93 4.38243e-05 -123 *3626:70 *3711:21 0 -124 *3689:17 *3711:79 0.000247112 -125 *3700:8 *3711:79 3.17148e-05 -126 *3700:22 *3711:79 5.33005e-05 -127 *3701:19 *3711:79 5.38242e-05 -128 *3701:25 *3711:79 6.05161e-06 -*RES -1 *28306:Q *3711:4 9.3 -2 *3711:4 *3711:6 11.9107 -3 *3711:6 *3711:16 30.3661 -4 *3711:16 *3711:21 48.943 -5 *3711:21 *3711:34 48.2432 -6 *3711:34 *27884:B2 22.3625 -7 *3711:34 *27523:B2 23.2499 -8 *3711:6 *30468:A 15.1571 -9 *3711:4 *3711:79 49.5207 -10 *3711:79 *3711:93 49.9244 -11 *3711:93 *25317:B2 45.2018 -*END - -*D_NET *3712 0.0511452 -*CONN -*I *27609:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *29117:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27292:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25378:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28570:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *27609:A1 0.000224411 -2 *29117:A 2.80552e-05 -3 *27292:A1 0 -4 *25378:A1 0.000745419 -5 *28570:Q 3.56309e-05 -6 *3712:72 0.000314242 -7 *3712:38 0.00189093 -8 *3712:28 0.00329319 -9 *3712:27 0.0023151 -10 *3712:22 0.00272257 -11 *3712:14 0.00342981 -12 *3712:5 0.000972073 -13 *25378:A1 *25357:A1 0.000127856 -14 *25378:A1 *5713:49 0.00123096 -15 *25378:A1 *6269:12 0.00123782 -16 *27609:A1 *27609:B1 1.58163e-05 -17 *27609:A1 *27609:B2 7.70134e-06 -18 *27609:A1 *3836:10 8.87913e-05 -19 *27609:A1 *5586:11 2.8088e-05 -20 *27609:A1 *5716:77 3.20417e-05 -21 *29117:A *3836:10 5.31158e-05 -22 *29117:A *5716:77 2.63834e-05 -23 *3712:5 *28570:SET_B 0.000110952 -24 *3712:14 *3836:10 8.00842e-05 -25 *3712:14 *3836:16 0.00141358 -26 *3712:14 *5688:39 0.000505099 -27 *3712:14 *5768:52 9.83378e-05 -28 *3712:22 *25203:B2 0.000719539 -29 *3712:22 *26978:A1 0.000259895 -30 *3712:22 *28574:D 7.83659e-05 -31 *3712:22 *3836:21 0.00248466 -32 *3712:22 *5609:190 0.00201796 -33 *3712:22 *5609:219 0.00034674 -34 *3712:22 *5661:232 8.90412e-05 -35 *3712:22 *5688:53 0.00026562 -36 *3712:22 *5708:80 4.89544e-06 -37 *3712:22 *5765:37 0.000211639 -38 *3712:22 *5765:49 0.00117511 -39 *3712:22 *5765:111 0.000363679 -40 *3712:22 *5765:129 0.000315427 -41 *3712:22 *6247:18 1.87004e-05 -42 *3712:27 *5633:164 2.01997e-05 -43 *3712:28 *5650:255 0.00260699 -44 *3712:28 *5764:65 0.00011682 -45 *3712:28 *5795:96 0.000210142 -46 *3712:28 *6247:34 0.000100873 -47 *3712:38 *29334:A 9.41642e-05 -48 *3712:38 *3768:27 0.000802024 -49 *3712:38 *4054:66 0.00078741 -50 *3712:38 *6269:12 0.000160692 -51 *3712:72 *28570:SET_B 0.000147374 -52 *25362:B1 *25378:A1 8.81622e-05 -53 *25378:A2 *25378:A1 7.56621e-05 -54 *25378:C1 *25378:A1 3.21613e-05 -55 *27609:C1 *27609:A1 1.24368e-05 -56 *28570:CLK *3712:5 0.000137983 -57 *28570:CLK *3712:72 9.27827e-05 -58 *1225:130 *3712:22 9.33234e-05 -59 *1225:149 *3712:14 0.00206323 -60 *1256:154 *3712:22 0.000758199 -61 *1260:20 *25378:A1 0.000112777 -62 *1267:65 *3712:27 3.80537e-05 -63 *1268:39 *3712:38 0.000259273 -64 *1275:225 *3712:28 0.00148605 -65 *1276:102 *3712:27 9.43254e-05 -66 *1286:27 *3712:22 0.000176582 -67 *1290:8 *25378:A1 1.08359e-05 -68 *1358:19 *25378:A1 5.60653e-05 -69 *1439:23 *25378:A1 0.000180495 -70 *1456:116 *25378:A1 3.57366e-05 -71 *1606:14 *3712:38 0.00202732 -72 *1607:8 *3712:28 0.00112346 -73 *1654:20 *25378:A1 6.74684e-05 -74 *1654:20 *3712:38 0.000189874 -75 *1659:34 *3712:14 0.000190081 -76 *1659:34 *3712:22 3.94539e-05 -77 *2774:76 *3712:22 5.42575e-05 -78 *2775:187 *25378:A1 2.55579e-05 -79 *2781:88 *3712:28 1.50738e-05 -80 *2781:98 *3712:28 0.00185005 -81 *2784:121 *3712:22 9.91086e-05 -82 *2848:282 *3712:22 0.000171666 -83 *2855:151 *25378:A1 2.04825e-05 -84 *2859:242 *3712:27 6.60633e-06 -85 *2875:275 *3712:22 0.000574133 -86 *2879:118 *3712:28 0.000160692 -87 *2978:22 *3712:28 1.90936e-05 -88 *3299:14 *3712:28 0.00413052 -89 *3581:138 *3712:14 9.98188e-05 -90 *3667:53 *25378:A1 1.01075e-05 -91 *3667:53 *3712:38 1.97773e-05 -92 *3686:83 *25378:A1 2.04745e-05 -*RES -1 *28570:Q *3712:5 10.6571 -2 *3712:5 *3712:14 42.4286 -3 *3712:14 *3712:22 49.2143 -4 *3712:22 *3712:27 7.1913 -5 *3712:27 *3712:28 77.0804 -6 *3712:28 *3712:38 41.8214 -7 *3712:38 *25378:A1 34.9975 -8 *3712:38 *27292:A1 13.8 -9 *3712:5 *3712:72 6.28571 -10 *3712:72 *29117:A 14.5321 -11 *3712:72 *27609:A1 17.3357 -*END - -*D_NET *3713 0.0529949 -*CONN -*I *25245:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27909:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30356:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27539:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28312:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *25245:A1 0.000563392 -2 *27909:A1 0.000191169 -3 *30356:A 4.06078e-05 -4 *27539:A1 0 -5 *28312:Q 2.9238e-05 -6 *3713:130 0.00298735 -7 *3713:119 0.00363569 -8 *3713:94 0.00273488 -9 *3713:71 0.0015757 -10 *3713:63 0.00267215 -11 *3713:45 0.00401283 -12 *3713:39 0.00314886 -13 *3713:7 0.00204053 -14 *25245:A1 *3765:21 6.60094e-05 -15 *25245:A1 *3765:28 6.26774e-06 -16 *25245:A1 *5669:173 4.39214e-05 -17 *30356:A *28990:A 0.000180348 -18 *30356:A *5651:176 0.000182278 -19 *3713:39 *25869:A0 4.65519e-05 -20 *3713:39 *28311:D 0.00048156 -21 *3713:39 *28311:RESET_B 0.000108389 -22 *3713:39 *3883:31 0.00191418 -23 *3713:39 *5253:44 0.000135989 -24 *3713:39 *5666:36 4.65519e-05 -25 *3713:39 *5694:19 2.35126e-05 -26 *3713:45 *25642:A0 9.00639e-05 -27 *3713:45 *25643:A0 0.000113381 -28 *3713:45 *25645:A0 0.000805919 -29 *3713:45 *27516:A2 0.000180788 -30 *3713:45 *3723:31 2.00842e-05 -31 *3713:45 *5493:40 0.000121291 -32 *3713:45 *5699:106 0.000774269 -33 *3713:63 *27491:A1 0.000984475 -34 *3713:63 *27491:B2 5.33005e-05 -35 *3713:63 *27539:B2 4.2978e-05 -36 *3713:63 *3856:26 0.000359646 -37 *3713:63 *3882:47 0 -38 *3713:63 *3895:88 8.94556e-05 -39 *3713:63 *4130:58 0.000228401 -40 *3713:63 *4130:77 0.000478571 -41 *3713:94 *3883:31 0.000169835 -42 *3713:94 *3947:61 0.000647189 -43 *3713:94 *5653:104 8.27255e-05 -44 *3713:94 *5856:19 0 -45 *3713:119 *25198:B2 4.00349e-05 -46 *3713:119 *27910:B2 0.000462375 -47 *3713:119 *5688:242 5.87047e-05 -48 *3713:119 *5761:122 2.28578e-05 -49 *3713:119 *5761:131 0.000167833 -50 *3713:130 *29806:A 0.000164361 -51 *3713:130 *3763:14 0.000182811 -52 *3713:130 *3957:17 2.38501e-05 -53 *3713:130 *3957:21 0.000108068 -54 *3713:130 *5669:173 0.000204998 -55 *3713:130 *5709:107 0.000184778 -56 *3713:130 *5709:112 0.000115566 -57 *27491:A2 *3713:63 0.000225609 -58 *27492:C1 *3713:63 0.000165703 -59 *27499:B1 *3713:63 0.000823054 -60 *27531:B1 *3713:63 0.000761313 -61 *27539:B1 *3713:63 2.89114e-05 -62 *27542:A2 *3713:63 9.41642e-05 -63 *27560:B1 *3713:39 0 -64 *27585:A2 *3713:39 9.08889e-06 -65 *27909:B1 *27909:A1 0.000106947 -66 *27910:C1 *3713:119 4.48906e-05 -67 *28246:CLK *3713:130 0.000128146 -68 *28303:CLK *3713:119 4.16691e-05 -69 *28886:A *3713:130 0.000863316 -70 *29346:A *3713:119 0.000167266 -71 *29852:A *3713:130 0.000129868 -72 *30047:A *3713:119 0.000889071 -73 *30490:A *3713:39 1.72244e-05 -74 *1422:20 *3713:119 0.00136056 -75 *1422:28 *3713:94 0.000289878 -76 *1422:28 *3713:119 2.70725e-06 -77 *1427:41 *3713:63 1.19146e-05 -78 *1448:46 *3713:94 1.68244e-05 -79 *1448:46 *3713:119 0.000353608 -80 *1471:95 *3713:63 0.000119301 -81 *1518:15 *3713:119 0.000129086 -82 *1518:15 *3713:130 0.000138255 -83 *1748:20 *3713:39 0.000179916 -84 *1886:10 *3713:39 0.000231064 -85 *1886:18 *3713:39 1.52681e-05 -86 *1887:22 *3713:45 4.6387e-05 -87 *1887:70 *3713:45 5.47944e-05 -88 *2834:142 *3713:39 4.75671e-06 -89 *2855:60 *3713:63 0.000797804 -90 *2855:121 *3713:39 0.000873597 -91 *2855:121 *3713:71 0.000136694 -92 *2865:126 *3713:45 0.00122704 -93 *2882:114 *3713:45 0.000735631 -94 *2882:135 *3713:45 0.00124899 -95 *2889:254 *3713:45 6.19074e-05 -96 *2894:87 *3713:63 0.000795583 -97 *3156:162 *3713:39 0.00123008 -98 *3168:66 *3713:45 8.83488e-05 -99 *3192:86 *3713:63 0.000700501 -100 *3192:107 *3713:39 0.000404569 -101 *3192:107 *3713:45 6.37883e-06 -102 *3192:107 *3713:63 0.000163744 -103 *3197:138 *3713:45 0.000465792 -104 *3197:164 *3713:63 3.67984e-05 -105 *3216:163 *3713:94 0.000482546 -106 *3216:184 *27909:A1 6.05161e-06 -107 *3450:23 *3713:7 5.33005e-05 -108 *3452:32 *3713:39 0.000282486 -109 *3461:26 *3713:39 4.73953e-05 -110 *3541:43 *3713:71 0.000122402 -111 *3541:43 *3713:94 4.11173e-05 -112 *3553:10 *3713:119 0.000600066 -113 *3553:26 *3713:119 4.58835e-05 -114 *3553:26 *3713:130 0.000204051 -115 *3585:14 *3713:119 1.09232e-05 -116 *3585:14 *3713:130 9.62144e-05 -117 *3688:31 *3713:39 4.06087e-07 -118 *3698:19 *3713:94 0.000249282 -119 *3698:31 *3713:39 0.000280525 -120 *3698:31 *3713:71 0.000191381 -121 *3698:31 *3713:94 1.02969e-05 -*RES -1 *28312:Q *3713:7 14.3357 -2 *3713:7 *3713:39 48.4326 -3 *3713:39 *3713:45 49.6001 -4 *3713:45 *3713:63 43.6938 -5 *3713:63 *27539:A1 9.3 -6 *3713:7 *3713:71 5.51786 -7 *3713:71 *30356:A 15.5679 -8 *3713:71 *3713:94 24.45 -9 *3713:94 *27909:A1 16.9607 -10 *3713:94 *3713:119 36.2411 -11 *3713:119 *3713:130 47.1339 -12 *3713:130 *25245:A1 16.0143 -*END - -*D_NET *3714 0.0110181 -*CONN -*I *25191:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27936:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30262:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27566:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28313:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25191:A1 0.000353001 -2 *27936:A1 0.000171005 -3 *30262:A 6.98333e-05 -4 *27566:A1 0.000554786 -5 *28313:Q 0.000124527 -6 *3714:28 0.00100466 -7 *3714:8 0.000721831 -8 *3714:7 0.000702398 -9 *25191:A1 *27909:A2 0.000136093 -10 *25191:A1 *4065:53 0.000173776 -11 *25191:A1 *5656:189 9.78309e-05 -12 *25191:A1 *5719:86 9.41642e-05 -13 *25191:A1 *5836:104 1.98839e-05 -14 *27566:A1 *3947:68 0.000751032 -15 *27566:A1 *5661:123 0.000937758 -16 *27566:A1 *5686:35 0.000188415 -17 *27936:A1 *27560:B2 4.1331e-06 -18 *27936:A1 *3883:34 1.26512e-05 -19 *27936:A1 *5856:19 2.06178e-05 -20 *27936:A1 *5866:17 0.000219366 -21 *30262:A *25868:A0 0.000216755 -22 *30262:A *27563:A1 2.12087e-05 -23 *3714:7 *29002:A 4.00679e-05 -24 *3714:8 *3947:68 0.000261227 -25 *3714:8 *5661:123 0.000251976 -26 *3714:28 *3947:68 0.000936768 -27 *3714:28 *5656:189 4.18594e-05 -28 *3714:28 *5661:123 0.00115188 -29 *25191:A2 *25191:A1 5.33005e-05 -30 *27566:B1 *27566:A1 9.54798e-06 -31 *30255:A *3714:28 2.2628e-05 -32 *1422:28 *25191:A1 4.00349e-05 -33 *2855:121 *27566:A1 8.04404e-05 -34 *3197:115 *25191:A1 0.000166794 -35 *3197:115 *3714:28 0.00025824 -36 *3216:148 *25191:A1 3.22834e-05 -37 *3216:163 *25191:A1 0.000458526 -38 *3480:11 *27936:A1 0.000221065 -39 *3541:43 *27936:A1 0.000191444 -40 *3541:43 *30262:A 0.000175892 -41 *3688:31 *27936:A1 0 -42 *3698:31 *27936:A1 2.83773e-05 -*RES -1 *28313:Q *3714:7 15.1571 -2 *3714:7 *3714:8 3.46429 -3 *3714:8 *27566:A1 29.4607 -4 *3714:8 *30262:A 15.9786 -5 *3714:7 *3714:28 16.5179 -6 *3714:28 *27936:A1 27.5321 -7 *3714:28 *25191:A1 23.9786 -*END - -*D_NET *3715 0.0136522 -*CONN -*I *25082:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27961:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27586:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30254:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28314:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25082:A1 0.00107032 -2 *27961:A1 8.20631e-05 -3 *27586:A1 0 -4 *30254:A 0 -5 *28314:Q 0.000166498 -6 *3715:32 0.00281225 -7 *3715:16 0.00203943 -8 *3715:5 0.000546069 -9 *25082:A1 *3724:88 4.50948e-05 -10 *25082:A1 *3762:42 0.000386116 -11 *25082:A1 *3920:63 4.79453e-05 -12 *25082:A1 *3936:51 0.000429761 -13 *25082:A1 *5640:63 0.000349335 -14 *27961:A1 *27961:A2 5.49544e-05 -15 *27961:A1 *27961:B2 1.21371e-05 -16 *27961:A1 *5653:97 5.33005e-05 -17 *3715:5 *5839:35 9.60875e-05 -18 *3715:16 *4131:56 0.000167096 -19 *3715:16 *5839:35 0.00071852 -20 *3715:32 *27961:A2 1.90936e-05 -21 *3715:32 *3753:40 1.94879e-05 -22 *3715:32 *3936:51 0 -23 *3715:32 *5196:47 5.99199e-06 -24 *3715:32 *5640:63 0 -25 *3715:32 *5653:97 1.01417e-05 -26 *3715:32 *5909:44 0.0021884 -27 *25082:A2 *25082:A1 8.68735e-05 -28 *25082:C1 *25082:A1 5.48772e-05 -29 *25104:C1 *25082:A1 6.57032e-05 -30 *25104:C1 *27961:A1 3.33263e-05 -31 *27577:A2 *3715:32 1.23876e-05 -32 *27577:B1 *3715:32 4.87953e-05 -33 *27578:B1 *3715:32 4.83837e-05 -34 *27586:A2 *3715:32 3.28686e-05 -35 *27591:B *3715:16 0.000169321 -36 *27591:B *3715:32 9.41642e-05 -37 *27591:C *3715:16 0.000301016 -38 *27946:C1 *3715:32 0.000356699 -39 *1217:24 *25082:A1 0 -40 *1399:18 *25082:A1 4.29471e-05 -41 *1403:16 *25082:A1 0.000153859 -42 *1422:74 *3715:32 4.32957e-05 -43 *1423:37 *3715:32 8.94556e-05 -44 *1689:8 *25082:A1 1.87955e-05 -45 *2866:217 *3715:16 2.17819e-05 -46 *2866:217 *3715:32 5.69386e-05 -47 *3136:12 *3715:32 2.09826e-05 -48 *3143:11 *3715:16 2.09776e-05 -49 *3156:162 *3715:32 0 -50 *3176:181 *25082:A1 0.000181886 -51 *3176:202 *25082:A1 5.08999e-05 -52 *3480:23 *25082:A1 0.000305149 -53 *3503:28 *25082:A1 2.07339e-05 -*RES -1 *28314:Q *3715:5 11.8893 -2 *3715:5 *30254:A 9.3 -3 *3715:5 *3715:16 18.9643 -4 *3715:16 *27586:A1 9.3 -5 *3715:16 *3715:32 24.293 -6 *3715:32 *27961:A1 11.0857 -7 *3715:32 *25082:A1 37.8261 -*END - -*D_NET *3716 0.013076 -*CONN -*I *30411:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27350:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25290:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27687:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28571:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *30411:A 0.000146011 -2 *27350:A1 0.000112612 -3 *25290:A1 0.000328791 -4 *27687:A1 0.00011269 -5 *28571:Q 0.000101249 -6 *3716:14 0.000697079 -7 *3716:12 0.00167568 -8 *3716:7 0.00155473 -9 *27687:A1 *5656:116 0.000116595 -10 *30411:A *26993:A1 9.41642e-05 -11 *30411:A *3781:30 6.05161e-06 -12 *30411:A *3783:95 0.000233791 -13 *30411:A *4115:30 0.00022529 -14 *3716:12 *25287:A1 9.65217e-05 -15 *3716:12 *27344:A2 2.06112e-05 -16 *3716:12 *3783:95 0.000514159 -17 *3716:12 *4115:30 0.00308938 -18 *3716:12 *4133:133 2.11419e-05 -19 *3716:12 *5657:241 9.95103e-05 -20 *3716:14 *4115:30 0.000222433 -21 *3716:14 *5656:116 0.000180229 -22 *25290:A2 *25290:A1 3.67724e-05 -23 *25293:C *25290:A1 0.000137561 -24 *27345:C1 *3716:12 0.00123894 -25 *27351:C1 *3716:12 0.000125724 -26 *1270:22 *25290:A1 7.83934e-05 -27 *1558:33 *3716:7 5.57613e-05 -28 *2877:221 *27350:A1 9.0145e-05 -29 *2891:165 *3716:12 4.51691e-05 -30 *2891:326 *3716:12 3.5626e-05 -31 *3197:70 *27687:A1 9.41642e-05 -32 *3306:6 *27687:A1 0.000116595 -33 *3306:6 *3716:12 0.000673117 -34 *3306:6 *3716:14 0.000631122 -35 *3703:35 *25290:A1 6.81704e-05 -*RES -1 *28571:Q *3716:7 14.9518 -2 *3716:7 *3716:12 43.2857 -3 *3716:12 *3716:14 8.32143 -4 *3716:14 *27687:A1 16.3893 -5 *3716:14 *25290:A1 19.2643 -6 *3716:12 *27350:A1 15.5679 -7 *3716:7 *30411:A 17.9071 -*END - -*D_NET *3717 0.0195154 -*CONN -*I *29293:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27359:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25237:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27707:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28572:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *29293:A 2.99504e-05 -2 *27359:B2 4.09699e-06 -3 *25237:A1 0.000673824 -4 *27707:A1 0.000270872 -5 *28572:Q 0.000108601 -6 *3717:30 0.00219116 -7 *3717:25 0.00217844 -8 *3717:18 0.000948871 -9 *3717:5 0.000633197 -10 *25237:A1 *30388:A 3.69047e-06 -11 *25237:A1 *5702:135 2.22618e-05 -12 *25237:A1 *5879:98 0.000261801 -13 *27359:B2 *27359:A1 1.28809e-05 -14 *27707:A1 *27707:B1 5.59013e-05 -15 *27707:A1 *28572:D 0.000301491 -16 *27707:A1 *5629:217 0.000558197 -17 *27707:A1 *5640:264 3.80904e-05 -18 *27707:A1 *5702:29 0.000144871 -19 *29293:A *5638:162 9.41642e-05 -20 *3717:5 *28572:D 3.4879e-05 -21 *3717:5 *5586:17 9.99644e-06 -22 *3717:18 *3874:91 0.000137224 -23 *3717:18 *5586:17 1.00073e-05 -24 *3717:18 *5629:217 0.000218679 -25 *3717:25 *3874:79 7.02091e-05 -26 *3717:25 *3874:91 0.000579572 -27 *3717:25 *3899:24 0.000525787 -28 *3717:25 *5759:18 0.000108872 -29 *3717:30 *3899:24 0.000183108 -30 *3717:30 *3899:26 0.000504425 -31 *3717:30 *3899:38 0.000768046 -32 *3717:30 *5655:232 0.000629077 -33 *6422:DIODE *25237:A1 0.000251292 -34 *27359:C1 *25237:A1 0.000143486 -35 *27369:B1 *3717:30 9.65217e-05 -36 *27704:B1 *3717:25 8.95982e-05 -37 *1260:118 *25237:A1 3.45371e-05 -38 *1261:168 *25237:A1 3.68776e-05 -39 *1556:23 *25237:A1 0.00010326 -40 *1680:28 *3717:30 4.15526e-05 -41 *1853:19 *29293:A 9.41642e-05 -42 *2760:164 *3717:25 0.000127108 -43 *2768:90 *3717:25 0.000889591 -44 *2768:96 *3717:18 0.000146474 -45 *2768:96 *3717:25 0.000728028 -46 *2771:84 *27707:A1 1.18064e-05 -47 *2775:111 *3717:25 9.58126e-05 -48 *2855:198 *27359:B2 1.28809e-05 -49 *2879:156 *3717:30 0.000168523 -50 *2882:277 *25237:A1 0.000140383 -51 *2882:277 *3717:30 8.64232e-05 -52 *2888:252 *3717:30 0.000272361 -53 *2895:316 *3717:30 2.06112e-05 -54 *3678:60 *25237:A1 0.00019214 -55 *3691:20 *3717:30 0.00341971 -*RES -1 *28572:Q *3717:5 10.6571 -2 *3717:5 *27707:A1 26.925 -3 *3717:5 *3717:18 9.03571 -4 *3717:18 *3717:25 31.0179 -5 *3717:25 *3717:30 47.5357 -6 *3717:30 *25237:A1 34.0321 -7 *3717:30 *27359:B2 13.9295 -8 *3717:18 *29293:A 14.7464 -*END - -*D_NET *3718 0.0725905 -*CONN -*I *24845:A I *D sky130_fd_sc_hd__inv_2 -*I *30143:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27725:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27390:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25192:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28573:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *24845:A 4.6955e-05 -2 *30143:A 0 -3 *27725:A1 2.30826e-05 -4 *27390:A1 0.000145098 -5 *25192:A1 0.000221835 -6 *28573:Q 1.51021e-05 -7 *3718:157 0.00141988 -8 *3718:147 0.00255762 -9 *3718:107 0.0013978 -10 *3718:92 0.00257001 -11 *3718:91 0.00139405 -12 *3718:89 0.00128799 -13 *3718:83 0.00283782 -14 *3718:79 0.00232165 -15 *3718:63 0.00188919 -16 *3718:47 0.00244705 -17 *3718:27 0.00261624 -18 *3718:5 0.00231817 -19 *25192:A1 *3965:70 1.66595e-05 -20 *25192:A1 *5630:144 0.000627058 -21 *25192:A1 *5718:354 0.000661274 -22 *27390:A1 *27390:B2 3.07229e-05 -23 *27390:A1 *5631:71 1.24368e-05 -24 *27725:A1 *5590:161 0 -25 *3718:5 *28573:RESET_B 1.55885e-05 -26 *3718:5 *3796:176 5.52238e-05 -27 *3718:27 *27004:A1 9.91086e-05 -28 *3718:27 *28581:D 2.39779e-05 -29 *3718:27 *28605:D 0.000146474 -30 *3718:27 *3770:11 7.72783e-05 -31 *3718:27 *4045:18 0 -32 *3718:27 *5680:89 0.000281946 -33 *3718:27 *5696:17 0.000362972 -34 *3718:27 *5696:21 4.58194e-05 -35 *3718:27 *5825:8 7.69776e-06 -36 *3718:27 *5863:117 6.2589e-06 -37 *3718:47 *25053:A2 6.09762e-05 -38 *3718:47 *26916:A1 6.71854e-05 -39 *3718:47 *27773:B2 9.87983e-06 -40 *3718:47 *27822:B2 1.90936e-05 -41 *3718:47 *3770:11 0.000489365 -42 *3718:47 *3783:41 0.000127068 -43 *3718:47 *3978:130 1.90936e-05 -44 *3718:47 *4006:11 0.000159 -45 *3718:47 *5629:229 0.00127757 -46 *3718:47 *5680:89 0.000349584 -47 *3718:47 *5774:177 0.000260574 -48 *3718:63 *25724:A0 1.9036e-05 -49 *3718:63 *26910:A 0.000192679 -50 *3718:63 *27725:A2 5.52238e-05 -51 *3718:63 *27726:A2 4.11173e-05 -52 *3718:63 *28196:RESET_B 1.61405e-05 -53 *3718:63 *3770:21 8.65258e-05 -54 *3718:63 *5644:106 8.11273e-05 -55 *3718:63 *5668:50 0.000138348 -56 *3718:63 *5682:63 0.000554033 -57 *3718:63 *5781:12 1.0946e-05 -58 *3718:79 *30085:A 0 -59 *3718:79 *3913:21 4.08637e-05 -60 *3718:79 *3913:25 5.33005e-05 -61 *3718:79 *3913:26 0.000345874 -62 *3718:79 *4030:18 0.000555647 -63 *3718:79 *5590:161 0.000219711 -64 *3718:79 *5627:251 3.4323e-06 -65 *3718:79 *5644:106 2.59355e-05 -66 *3718:79 *5656:350 3.2687e-05 -67 *3718:79 *5777:160 0.000271334 -68 *3718:83 *27722:A2 0.000939359 -69 *3718:83 *3926:40 0.001678 -70 *3718:83 *3926:44 0.000265761 -71 *3718:83 *4082:42 0.000371709 -72 *3718:83 *5656:313 0.000177948 -73 *3718:83 *5656:321 0.000507416 -74 *3718:89 *28631:D 6.71579e-05 -75 *3718:89 *3887:42 0.000482126 -76 *3718:89 *3939:63 8.40344e-05 -77 *3718:89 *3939:94 0.000942275 -78 *3718:89 *5656:313 2.96805e-05 -79 *3718:89 *5819:20 0.000214842 -80 *3718:92 *25188:A1 0.00132695 -81 *3718:92 *5601:40 2.97074e-05 -82 *3718:92 *5829:85 0 -83 *3718:107 *4108:58 0.00171219 -84 *3718:107 *5601:40 4.02462e-05 -85 *3718:107 *5603:28 9.60939e-05 -86 *3718:107 *5650:234 0.0006747 -87 *3718:107 *5651:284 9.41642e-05 -88 *3718:147 *28197:D 7.63141e-05 -89 *3718:147 *28573:RESET_B 0.000379641 -90 *3718:147 *28605:D 0.00027737 -91 *3718:147 *3796:176 9.41642e-05 -92 *3718:147 *5588:130 0.0001831 -93 *3718:147 *5696:42 1.50087e-05 -94 *3718:147 *5696:44 0.000131261 -95 *3718:147 *5696:77 0.000303965 -96 *3718:147 *5773:146 0.000137457 -97 *3718:147 *5773:155 0.000630887 -98 *3718:147 *5773:164 0.000319073 -99 *3718:157 *25712:A0 5.88081e-05 -100 *3718:157 *26901:B 6.25005e-05 -101 *3718:157 *26919:A 0.00138162 -102 *3718:157 *26944:A1 0.000345663 -103 *3718:157 *4215:14 4.03318e-05 -104 *3718:157 *4218:18 2.30516e-05 -105 *3718:157 *5589:135 0.000983761 -106 *3718:157 *5602:100 0.000135968 -107 *3718:157 *5800:119 0.000376748 -108 *3718:157 *5824:306 0.000355352 -109 *3718:157 *5911:94 0.000135028 -110 *3718:157 *6004:15 0.000310423 -111 *25188:C1 *3718:92 2.26424e-05 -112 *27013:S *3718:147 2.84494e-05 -113 *27087:S *3718:92 7.36771e-05 -114 *27389:A2 *3718:83 0.000766914 -115 *27390:C1 *27390:A1 9.58126e-05 -116 *27394:B *27390:A1 0.00024662 -117 *27394:B *3718:83 0.000180125 -118 *27424:B1 *3718:89 0.000301016 -119 *27723:C1 *3718:63 4.22135e-06 -120 *27726:C1 *3718:63 6.42095e-05 -121 *27726:C1 *3718:79 2.12005e-05 -122 *27738:C1 *3718:89 4.15183e-05 -123 *28458:CLK *3718:157 9.16208e-05 -124 *28732:CLK *3718:63 2.89016e-05 -125 *29506:A *3718:79 0.000117706 -126 *30144:A *3718:27 0.000309266 -127 *30178:A *3718:27 9.41642e-05 -128 *30582:A *3718:47 0.000514617 -129 *244:65 *24845:A 0.000149904 -130 *244:65 *3718:157 0 -131 *1185:125 *3718:107 0.000111326 -132 *1185:175 *3718:89 0.000123605 -133 *1302:6 *3718:47 6.25005e-05 -134 *1506:18 *3718:92 0.00391049 -135 *2759:31 *3718:27 0 -136 *2760:113 *3718:92 0.000651966 -137 *2762:16 *3718:63 0.000506894 -138 *2763:29 *3718:157 0.000854783 -139 *2763:42 *24845:A 0.000150618 -140 *2763:42 *3718:157 1.93629e-05 -141 *2764:89 *3718:5 6.05161e-06 -142 *2764:89 *3718:147 3.97677e-05 -143 *2764:92 *3718:147 0.00197739 -144 *2764:94 *3718:157 0 -145 *2767:118 *3718:157 0.000179735 -146 *2769:30 *3718:157 8.84829e-05 -147 *2771:57 *3718:27 0.00021398 -148 *2771:57 *3718:47 0.00116799 -149 *2771:64 *3718:63 0.00129947 -150 *2787:128 *3718:107 0.00176546 -151 *2787:139 *3718:92 0.00057308 -152 *2787:139 *3718:107 2.0382e-05 -153 *2859:283 *3718:89 0.000142286 -154 *2866:317 *3718:89 0.000125731 -155 *2943:11 *3718:92 0.00166659 -156 *3177:100 *3718:89 6.05161e-06 -157 *3179:128 *3718:89 0.000573263 -158 *3538:14 *3718:79 0 -159 *3582:8 *3718:79 0.000466823 -160 *3613:58 *3718:157 6.79672e-06 -161 *3692:62 *3718:89 0.00135851 -162 *3705:51 *3718:83 0.0010863 -163 *3705:51 *3718:89 0.000108102 -164 *3707:13 *3718:157 0.000118895 -*RES -1 *28573:Q *3718:5 9.83571 -2 *3718:5 *3718:27 39.0366 -3 *3718:27 *3718:47 48.4758 -4 *3718:47 *3718:63 38.7404 -5 *3718:63 *3718:79 34.3929 -6 *3718:79 *3718:83 49.8036 -7 *3718:83 *3718:89 45.8214 -8 *3718:89 *3718:91 4.5 -9 *3718:91 *3718:92 52.9464 -10 *3718:92 *3718:107 49.5 -11 *3718:107 *25192:A1 22.425 -12 *3718:83 *27390:A1 12.8536 -13 *3718:63 *27725:A1 9.72857 -14 *3718:27 *30143:A 9.3 -15 *3718:5 *3718:147 48.2857 -16 *3718:147 *3718:157 49.6964 -17 *3718:157 *24845:A 15.7464 -*END - -*D_NET *3719 0.0119076 -*CONN -*I *30210:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27403:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27762:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25083:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28574:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30210:A 0 -2 *27403:A1 0.000577266 -3 *27762:A1 0.000155949 -4 *25083:A1 0.000207349 -5 *28574:Q 0.000409867 -6 *3719:40 0.00142425 -7 *3719:18 0.00123218 -8 *3719:13 0.000743662 -9 *25083:A1 *25083:B2 0.000124471 -10 *25083:A1 *5642:158 0.000234769 -11 *25083:A1 *5688:85 0.000184778 -12 *25083:A1 *5873:321 8.98138e-05 -13 *27403:A1 *3810:26 1.31435e-05 -14 *27403:A1 *4109:36 0.000262992 -15 *27403:A1 *4135:70 5.89856e-05 -16 *27403:A1 *5628:161 9.01724e-06 -17 *27762:A1 *27762:A2 5.49489e-05 -18 *27762:A1 *5642:158 0.000154518 -19 *3719:13 *26996:A1 0.000135028 -20 *3719:13 *4031:28 0.000103211 -21 *3719:13 *5590:98 1.3409e-05 -22 *3719:13 *5627:116 5.49489e-05 -23 *3719:18 *4043:58 0.000135321 -24 *3719:18 *5688:85 3.81319e-05 -25 *3719:40 *4025:75 0.000308602 -26 *3719:40 *4027:40 0.000262282 -27 *3719:40 *4076:48 0.000184255 -28 *3719:40 *5642:158 0.00113094 -29 *25083:B1 *25083:A1 5.33005e-05 -30 *27763:C1 *27403:A1 5.82935e-05 -31 *30211:A *3719:13 0.000240004 -32 *1405:102 *3719:40 2.12005e-05 -33 *1434:76 *27403:A1 0.000109831 -34 *1469:21 *27403:A1 1.11242e-05 -35 *1469:21 *27762:A1 5.49544e-05 -36 *1469:21 *3719:40 0.000955924 -37 *1562:19 *3719:13 4.33002e-05 -38 *1700:6 *25083:A1 0.000215028 -39 *1700:6 *3719:18 0.000552325 -40 *2771:97 *3719:13 0.000183053 -41 *2780:223 *3719:40 0.000182731 -42 *2852:161 *3719:13 5.33005e-05 -43 *2891:212 *3719:18 6.09762e-05 -44 *2891:212 *3719:40 0.000808213 -*RES -1 *28574:Q *3719:13 26.4607 -2 *3719:13 *3719:18 12.8571 -3 *3719:18 *25083:A1 20.2107 -4 *3719:18 *3719:40 35.6071 -5 *3719:40 *27762:A1 12.4429 -6 *3719:40 *27403:A1 29.2266 -7 *3719:13 *30210:A 9.3 -*END - -*D_NET *3720 0.00947107 -*CONN -*I *30112:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27785:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25042:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27429:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28575:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30112:A 2.24275e-05 -2 *27785:A1 0 -3 *25042:A1 0.00012321 -4 *27429:B2 0.000995888 -5 *28575:Q 0.00129589 -6 *3720:28 0.00134941 -7 *3720:21 0.000428213 -8 *3720:19 0.00151622 -9 *25042:A1 *25042:B1 9.65182e-05 -10 *25042:A1 *3798:98 6.54576e-05 -11 *25042:A1 *3798:99 1.02481e-05 -12 *27429:B2 *27429:A1 6.81636e-05 -13 *27429:B2 *5587:151 9.35815e-05 -14 *30112:A *27433:A2 3.08382e-06 -15 *3720:19 *26997:A1 0.00056137 -16 *3720:19 *27433:A2 6.59107e-05 -17 *3720:19 *27786:A1 0.000392247 -18 *3720:19 *28516:D 2.80341e-05 -19 *3720:19 *30875:A 3.19213e-05 -20 *3720:19 *3733:59 0.000182159 -21 *3720:19 *5432:36 0.000216755 -22 *3720:19 *5892:39 4.65519e-05 -23 *3720:19 *5911:94 4.58194e-05 -24 *3720:21 *5656:294 0.000109263 -25 *3720:21 *5656:310 1.98839e-05 -26 *3720:28 *27785:B1 4.58194e-05 -27 *3720:28 *3798:98 0.000255942 -28 *3720:28 *5656:310 6.05161e-06 -29 *26997:S *3720:19 2.16755e-05 -30 *27429:B1 *27429:B2 9.89664e-05 -31 *27430:B1 *27429:B2 6.77937e-05 -32 *27434:B1 *27429:B2 6.94952e-05 -33 *27786:C1 *3720:21 0.000221634 -34 *28529:CLK *3720:19 4.04292e-05 -35 *29903:A *3720:19 6.16771e-05 -36 *1275:164 *3720:19 0.000184412 -37 *2771:11 *3720:19 0.000162574 -38 *3165:74 *25042:A1 0.000207062 -39 *3165:74 *3720:28 0.000259301 -*RES -1 *28575:Q *3720:19 39.7659 -2 *3720:19 *3720:21 3.82143 -3 *3720:21 *3720:28 9.75 -4 *3720:28 *27429:B2 26.0589 -5 *3720:28 *25042:A1 16.6571 -6 *3720:21 *27785:A1 9.3 -7 *3720:19 *30112:A 9.72857 -*END - -*D_NET *3721 0.0381841 -*CONN -*I *29835:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27814:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27456:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25010:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28576:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29835:A 5.7782e-05 -2 *27814:A1 7.16178e-05 -3 *27456:A1 8.99931e-05 -4 *25010:A1 8.26842e-05 -5 *28576:Q 0 -6 *3721:68 0.00184214 -7 *3721:50 0.00217688 -8 *3721:44 0.00130902 -9 *3721:37 0.00287917 -10 *3721:17 0.00207024 -11 *3721:14 0.00136834 -12 *3721:4 0.00136183 -13 *25010:A1 *25010:B2 1.32056e-05 -14 *25010:A1 *5651:289 1.09669e-05 -15 *27814:A1 *5656:43 0.000175892 -16 *27814:A1 *5656:68 1.98839e-05 -17 *27814:A1 *5667:348 0.000216755 -18 *29835:A *26998:A1 0.000111569 -19 *29835:A *5767:166 9.93955e-05 -20 *3721:14 *26998:A1 0.000264542 -21 *3721:14 *28576:D 8.86129e-06 -22 *3721:14 *28576:RESET_B 0.000203757 -23 *3721:14 *28689:RESET_B 6.05161e-06 -24 *3721:14 *3774:36 0.000392676 -25 *3721:14 *4085:34 1.17921e-05 -26 *3721:14 *5630:182 2.50034e-05 -27 *3721:14 *5873:220 0 -28 *3721:37 *4085:71 3.70645e-05 -29 *3721:37 *4086:35 0.00111931 -30 *3721:37 *4086:64 4.18749e-05 -31 *3721:37 *4134:107 0.000338514 -32 *3721:37 *4137:32 0.000154184 -33 *3721:37 *5627:181 0.000718762 -34 *3721:37 *5650:214 0.000577945 -35 *3721:44 *5535:46 0.00173815 -36 *3721:44 *5627:181 0.000165754 -37 *3721:44 *5644:45 0.00173473 -38 *3721:44 *5872:19 0.000105471 -39 *3721:50 *27808:B2 0.000328861 -40 *3721:50 *3943:24 0.000546824 -41 *3721:50 *4085:73 1.27625e-05 -42 *3721:50 *5627:162 3.14163e-05 -43 *3721:50 *5656:40 3.17148e-05 -44 *3721:50 *5656:240 0.000172508 -45 *3721:68 *25031:B2 0.000714673 -46 *3721:68 *28488:D 2.22222e-05 -47 *3721:68 *28488:RESET_B 0.000219289 -48 *3721:68 *3865:38 0.000421904 -49 *3721:68 *3943:24 0.000246879 -50 *3721:68 *3955:6 6.53083e-05 -51 *3721:68 *3955:16 0.000249269 -52 *3721:68 *4085:73 7.72038e-05 -53 *3721:68 *5656:40 0.000190554 -54 *3721:68 *5660:64 4.47727e-05 -55 *3721:68 *5936:15 0.000713024 -56 *25010:A2 *25010:A1 0.000196323 -57 *25010:A2 *3721:17 0.000216755 -58 *27456:A2 *27456:A1 0.000134461 -59 *27456:B2 *27456:A1 3.10378e-05 -60 *27459:C *27456:A1 0.000135028 -61 *28488:CLK *3721:68 0.000145239 -62 *1252:178 *3721:37 0.000207439 -63 *1252:184 *3721:37 0.000247229 -64 *1262:191 *3721:50 0.000303087 -65 *1269:238 *3721:37 0.000561002 -66 *1273:159 *3721:44 3.48566e-05 -67 *1287:216 *29835:A 1.34631e-05 -68 *1287:216 *3721:14 0.000175433 -69 *1302:16 *3721:37 0.000150788 -70 *1385:34 *3721:37 2.42205e-05 -71 *1391:11 *3721:14 0.000740531 -72 *1864:141 *3721:68 9.25014e-06 -73 *2792:96 *3721:14 0.000912271 -74 *2856:302 *3721:37 0.000163161 -75 *2861:42 *3721:14 2.61748e-05 -76 *2864:132 *3721:68 5.96065e-05 -77 *2872:336 *3721:14 0.00058667 -78 *2886:191 *3721:44 0.000105471 -79 *3022:11 *3721:14 0.00148403 -80 *3170:201 *3721:37 0.000221011 -81 *3174:224 *3721:44 0.000815266 -82 *3177:69 *25010:A1 0.000259267 -83 *3177:69 *3721:17 0.000206248 -84 *3179:67 *3721:68 0.000163164 -85 *3185:164 *3721:44 0.000331929 -86 *3205:235 *3721:44 2.04825e-05 -87 *3205:270 *3721:37 0.00172854 -88 *3340:6 *3721:44 0.00090999 -89 *3345:6 *3721:37 0.00117364 -*RES -1 *28576:Q *3721:4 9.3 -2 *3721:4 *3721:14 48.7611 -3 *3721:14 *3721:17 6.67857 -4 *3721:17 *25010:A1 11.8893 -5 *3721:17 *3721:37 40.0191 -6 *3721:37 *3721:44 45.5804 -7 *3721:44 *3721:50 19.4107 -8 *3721:50 *3721:68 49 -9 *3721:68 *27456:A1 15.9964 -10 *3721:50 *27814:A1 15.9786 -11 *3721:4 *29835:A 11.4786 -*END - -*D_NET *3722 0.01227 -*CONN -*I *27836:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30052:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24989:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27470:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28577:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27836:A1 0.000366744 -2 *30052:A 0 -3 *24989:A1 0 -4 *27470:B2 0 -5 *28577:Q 5.59451e-05 -6 *3722:34 0.000411323 -7 *3722:23 0.00114618 -8 *3722:8 0.00129868 -9 *3722:7 0.000253021 -10 *27836:A1 *27836:A2 2.59355e-05 -11 *27836:A1 *5386:12 0.000101738 -12 *27836:A1 *5680:168 0.000603936 -13 *3722:7 *24853:A 0.000175892 -14 *3722:23 *27470:A1 8.93498e-05 -15 *3722:23 *27470:A2 1.46576e-05 -16 *3722:23 *5603:17 0.00083494 -17 *3722:23 *5662:52 4.74489e-06 -18 *3722:23 *5836:40 0.000178425 -19 *27470:B1 *3722:23 7.34707e-05 -20 *27470:C1 *3722:23 0 -21 *29781:A *27836:A1 0.000594621 -22 *244:65 *27836:A1 0.000428856 -23 *244:65 *3722:8 0.000454881 -24 *244:65 *3722:23 0.000170661 -25 *244:65 *3722:34 0.000148658 -26 *1260:188 *3722:8 4.44281e-05 -27 *1270:88 *3722:23 0.000316149 -28 *1274:141 *3722:23 0.000168939 -29 *1274:157 *3722:8 0.00016357 -30 *1274:157 *3722:23 9.25014e-06 -31 *1275:142 *3722:23 0.00135712 -32 *1315:10 *3722:23 2.87473e-05 -33 *1334:19 *3722:23 0.000241205 -34 *1371:40 *3722:23 7.53788e-05 -35 *1371:51 *3722:23 0.00148515 -36 *2775:28 *27836:A1 0.000418768 -37 *2775:28 *3722:8 0.000196786 -38 *2775:28 *3722:34 0.000140092 -39 *3028:21 *3722:23 1.5872e-05 -40 *3618:84 *3722:7 0.000175892 -*RES -1 *28577:Q *3722:7 15.5679 -2 *3722:7 *3722:8 5.89286 -3 *3722:8 *3722:23 48.6607 -4 *3722:23 *27470:B2 9.3 -5 *3722:8 *24989:A1 13.8 -6 *3722:7 *3722:34 1.94643 -7 *3722:34 *30052:A 13.8 -8 *3722:34 *27836:A1 26.0857 -*END - -*D_NET *3723 0.0291752 -*CONN -*I *25369:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *29330:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27495:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27861:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28310:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *25369:A1 1.42098e-05 -2 *29330:A 1.43419e-05 -3 *27495:B2 0.000537065 -4 *27861:A1 0 -5 *28310:Q 0.000182059 -6 *3723:80 0.00203123 -7 *3723:62 0.00208727 -8 *3723:34 0.00148127 -9 *3723:31 0.00164764 -10 *3723:25 0.00268496 -11 *3723:8 0.00221948 -12 *25369:A1 *4144:35 5.04841e-06 -13 *27495:B2 *27495:A1 0.00049548 -14 *27495:B2 *27862:A2 8.6229e-06 -15 *27495:B2 *27862:B2 0.000113957 -16 *27495:B2 *3840:30 0.000203299 -17 *27495:B2 *3909:31 2.06112e-05 -18 *27495:B2 *3957:43 7.27091e-05 -19 *27495:B2 *5892:151 2.04825e-05 -20 *29330:A *5623:23 9.87617e-05 -21 *29330:A *5741:67 0.000100685 -22 *3723:8 *25865:A0 0.000254739 -23 *3723:8 *5076:19 1.721e-05 -24 *3723:8 *5742:332 2.59355e-05 -25 *3723:25 *24879:C_N 6.24588e-05 -26 *3723:25 *28138:RESET_B 4.26646e-05 -27 *3723:25 *28139:RESET_B 9.39059e-05 -28 *3723:25 *3883:31 0.000114668 -29 *3723:25 *3984:33 0 -30 *3723:25 *3984:73 4.00349e-05 -31 *3723:25 *4130:103 0.000123589 -32 *3723:25 *5076:19 9.04136e-05 -33 *3723:25 *5253:44 4.60298e-05 -34 *3723:25 *5657:114 0.00032703 -35 *3723:25 *5661:117 0.000107807 -36 *3723:25 *5666:101 2.85403e-05 -37 *3723:25 *5741:78 0.000266004 -38 *3723:31 *25675:A0 3.47641e-06 -39 *3723:31 *5699:106 0.000276158 -40 *3723:34 *25643:A0 0.000203544 -41 *3723:34 *3736:25 1.94879e-05 -42 *3723:34 *3960:28 0.00117358 -43 *3723:34 *3960:62 0.000181599 -44 *3723:34 *4153:48 0.000332709 -45 *3723:34 *6036:38 0.000695562 -46 *3723:62 *5623:23 0.000178425 -47 *3723:62 *5741:67 4.18895e-05 -48 *3723:80 *28718:RESET_B 0.000294951 -49 *3723:80 *29002:A 0.000159071 -50 *3723:80 *4100:22 0.000186376 -51 *3723:80 *4113:104 8.70764e-05 -52 *3723:80 *4142:26 0 -53 *3723:80 *4144:35 0.000143463 -54 *3723:80 *5694:19 1.19021e-05 -55 *3723:80 *5741:106 0.00032542 -56 *3723:80 *5741:118 5.71026e-05 -57 *25369:A2 *3723:80 2.59355e-05 -58 *25369:B1 *25369:A1 3.45371e-05 -59 *25866:S *3723:80 2.25741e-05 -60 *27534:B1 *3723:31 4.3353e-05 -61 *27568:B2 *3723:25 0.000326681 -62 *27861:B1 *27495:B2 5.58905e-06 -63 *27861:B1 *3723:34 0.000144324 -64 *27862:C1 *27495:B2 0.000233655 -65 *30263:A *3723:80 5.53507e-05 -66 *1205:22 *3723:62 4.02038e-05 -67 *1396:83 *3723:25 4.79414e-05 -68 *1396:83 *3723:31 0.000829427 -69 *1440:56 *3723:31 0.000112052 -70 *1471:95 *27495:B2 9.19857e-05 -71 *1476:75 *3723:31 8.55871e-05 -72 *1603:36 *3723:25 5.22746e-05 -73 *1748:20 *3723:25 0.000780124 -74 *1828:12 *3723:34 0.000526602 -75 *1828:42 *3723:25 1.94879e-05 -76 *1828:42 *3723:31 0 -77 *1828:42 *3723:34 0.00086386 -78 *1828:47 *3723:25 0.000487935 -79 *1886:10 *3723:80 0.00124932 -80 *1887:70 *3723:25 4.4316e-05 -81 *1899:39 *27495:B2 3.47797e-05 -82 *2859:73 *27495:B2 5.53688e-05 -83 *2872:93 *3723:31 9.94995e-05 -84 *2872:93 *3723:34 2.32858e-05 -85 *2882:114 *3723:31 0.000734965 -86 *2882:135 *3723:31 0.000328566 -87 *2889:254 *3723:34 4.06087e-07 -88 *2892:65 *3723:34 0.000162867 -89 *3051:23 *27495:B2 7.44694e-05 -90 *3192:107 *3723:25 5.16849e-05 -91 *3192:118 *3723:80 0.00044134 -92 *3197:138 *3723:25 2.01997e-05 -93 *3197:152 *27495:B2 1.90936e-05 -94 *3404:20 *27495:B2 0.000126171 -95 *3404:20 *3723:34 1.19191e-05 -96 *3666:75 *27495:B2 2.75651e-06 -97 *3688:31 *3723:8 0.000299668 -98 *3688:31 *3723:25 0.000488825 -99 *3688:35 *3723:25 0 -100 *3698:40 *3723:25 4.08555e-06 -101 *3713:45 *3723:31 2.00842e-05 -*RES -1 *28310:Q *3723:8 18.4071 -2 *3723:8 *3723:25 39.2699 -3 *3723:25 *3723:31 28.9554 -4 *3723:31 *3723:34 34.9821 -5 *3723:34 *27861:A1 9.3 -6 *3723:34 *27495:B2 32.799 -7 *3723:8 *3723:62 6.26786 -8 *3723:62 *29330:A 10.2464 -9 *3723:62 *3723:80 49.8214 -10 *3723:80 *25369:A1 9.72857 -*END - -*D_NET *3724 0.0596965 -*CONN -*I *25296:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30489:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27514:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27886:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28311:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25296:B2 0 -2 *30489:A 0 -3 *27514:A1 0 -4 *27886:A1 0 -5 *28311:Q 0 -6 *3724:91 0.00107712 -7 *3724:88 0.00355003 -8 *3724:70 0.00464553 -9 *3724:39 0.00168558 -10 *3724:34 0.00228671 -11 *3724:33 0.001633 -12 *3724:27 0.00171793 -13 *3724:20 0.00219693 -14 *3724:6 0.00169245 -15 *3724:5 0.00235421 -16 *3724:6 *28047:A 0.000188546 -17 *3724:20 *25870:B 2.06112e-05 -18 *3724:20 *27534:A1 0.00121486 -19 *3724:20 *3749:105 3.49256e-05 -20 *3724:20 *3962:18 0.000731763 -21 *3724:20 *4126:84 3.20942e-05 -22 *3724:20 *5253:44 0.000225113 -23 *3724:20 *5909:62 0.000154399 -24 *3724:27 *5653:171 0.000218404 -25 *3724:27 *5909:62 0.00143749 -26 *3724:33 *3909:31 0.000405398 -27 *3724:33 *5493:31 5.41797e-06 -28 *3724:34 *3957:43 0.000140597 -29 *3724:34 *5661:70 4.38243e-05 -30 *3724:39 *27538:B2 0.000548538 -31 *3724:39 *3908:66 0.000142801 -32 *3724:39 *5657:174 7.72116e-05 -33 *3724:70 *28047:A 9.54798e-06 -34 *3724:70 *3763:20 4.11173e-05 -35 *3724:70 *5707:9 6.64291e-05 -36 *3724:70 *5717:117 9.25014e-06 -37 *3724:88 *25082:B2 2.63501e-05 -38 *3724:88 *25122:B2 2.05803e-05 -39 *3724:88 *27946:B2 0.000486874 -40 *3724:88 *27948:A1 0.00197906 -41 *3724:88 *3762:41 0.000428722 -42 *3724:88 *3762:42 0.000465351 -43 *3724:88 *3936:51 0.000220605 -44 *3724:88 *5890:42 0.000126705 -45 *3724:88 *5909:44 0.000307665 -46 *3724:91 *3762:30 0.0028693 -47 *3724:91 *4012:39 0.000185417 -48 *3724:91 *5729:242 0.000342576 -49 *3724:91 *5890:42 2.16719e-05 -50 *25082:A1 *3724:88 4.50948e-05 -51 *25082:C1 *3724:88 6.64939e-05 -52 *27500:C1 *3724:33 0.000110824 -53 *27507:A2 *3724:39 0.000309874 -54 *27526:B2 *3724:39 0.000186669 -55 *27531:B1 *3724:39 2.78998e-05 -56 *27563:B1 *3724:70 9.41642e-05 -57 *27592:A1 *3724:88 2.62977e-05 -58 *27886:A2 *3724:39 1.98839e-05 -59 *27887:C1 *3724:39 9.41642e-05 -60 *27956:A *3724:91 1.21621e-05 -61 *27959:A2 *3724:70 0.000627019 -62 *28859:A *3724:6 3.92002e-05 -63 *740:19 *3724:20 6.09762e-05 -64 *1399:18 *3724:88 0.000135749 -65 *1399:96 *3724:91 0.000421983 -66 *1423:66 *3724:6 5.80706e-06 -67 *1423:66 *3724:20 0.000199849 -68 *1437:35 *3724:34 0.00132509 -69 *1437:35 *3724:39 0.000328771 -70 *1472:11 *3724:20 4.15526e-05 -71 *1708:14 *3724:39 4.11173e-05 -72 *1730:41 *3724:20 1.90936e-05 -73 *1748:20 *3724:20 4.28365e-05 -74 *2844:52 *3724:39 0.000396926 -75 *2844:98 *3724:70 0.000216478 -76 *2850:72 *3724:39 0.000726013 -77 *2855:91 *3724:33 4.19909e-05 -78 *2856:196 *3724:88 0 -79 *2860:128 *3724:34 0.00018557 -80 *2865:133 *3724:20 0.000178207 -81 *2865:152 *3724:70 0.00249105 -82 *2871:108 *3724:20 9.1407e-06 -83 *2871:108 *3724:27 0.00139896 -84 *2871:110 *3724:20 0.00161727 -85 *2871:110 *3724:27 1.24101e-05 -86 *2872:57 *3724:39 0.000145872 -87 *2877:69 *3724:27 0.000117177 -88 *2888:81 *3724:34 0.000729557 -89 *2888:81 *3724:39 1.02504e-05 -90 *3081:8 *3724:39 0 -91 *3156:162 *3724:70 0.00145344 -92 *3168:153 *3724:70 1.85241e-05 -93 *3182:134 *3724:88 0.000111243 -94 *3216:141 *3724:33 0.00162789 -95 *3216:142 *3724:20 6.07037e-07 -96 *3437:14 *3724:88 0.000103347 -97 *3452:6 *3724:6 0.000359343 -98 *3452:6 *3724:20 0.00103422 -99 *3452:6 *3724:70 5.88631e-05 -100 *3452:32 *3724:20 0.000198849 -101 *3484:31 *3724:91 0.00202478 -102 *3503:28 *3724:88 0.000171343 -103 *3666:75 *3724:33 0.000975064 -104 *3686:83 *3724:88 0.00293081 -*RES -1 *28311:Q *3724:5 13.8 -2 *3724:5 *3724:6 4.98214 -3 *3724:6 *3724:20 47.2994 -4 *3724:20 *3724:27 30.125 -5 *3724:27 *3724:33 34.3929 -6 *3724:33 *3724:34 17.7321 -7 *3724:34 *3724:39 43.5 -8 *3724:39 *27886:A1 9.3 -9 *3724:34 *27514:A1 13.8 -10 *3724:6 *30489:A 13.8 -11 *3724:5 *3724:70 45.4416 -12 *3724:70 *3724:88 28.1916 -13 *3724:88 *3724:91 42.7232 -14 *3724:91 *25296:B2 9.3 -*END - -*D_NET *3725 0.0189493 -*CONN -*I *29321:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27667:A1 I *D sky130_fd_sc_hd__a211o_1 -*I *27286:A1 I *D sky130_fd_sc_hd__a221o_2 -*I *25368:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28578:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *29321:A 9.58139e-05 -2 *27667:A1 0.000437875 -3 *27286:A1 4.82166e-05 -4 *25368:A1 0.000775726 -5 *28578:Q 0 -6 *3725:44 0.00062588 -7 *3725:18 0.00170827 -8 *3725:10 0.00216758 -9 *3725:4 0.00137545 -10 *25368:A1 *25368:A2 0.000326931 -11 *25368:A1 *3738:28 9.24793e-05 -12 *25368:A1 *3738:41 0.0012773 -13 *25368:A1 *3753:75 2.98258e-05 -14 *25368:A1 *3753:84 9.36759e-05 -15 *25368:A1 *4079:26 9.24415e-05 -16 *25368:A1 *4350:95 2.80412e-05 -17 *27286:A1 *27286:B1 5.33005e-05 -18 *27667:A1 *4350:96 0.000291399 -19 *27667:A1 *4350:103 0.000142959 -20 *27667:A1 *5823:13 0.000559981 -21 *29321:A *5762:250 9.0145e-05 -22 *3725:10 *28586:D 0.000523678 -23 *3725:10 *29291:A 0.000381589 -24 *3725:10 *4040:20 0 -25 *3725:10 *4350:96 0.000717155 -26 *3725:10 *5650:304 0.000462574 -27 *3725:10 *5762:250 1.99087e-05 -28 *3725:10 *5909:17 0.000928431 -29 *3725:18 *27286:B2 0.00012401 -30 *3725:18 *27944:B2 0.000133475 -31 *3725:18 *30182:A 0.000245342 -32 *3725:18 *4027:11 0.000563501 -33 *3725:18 *4040:20 0 -34 *3725:18 *4079:26 0.000228993 -35 *3725:18 *4350:95 8.2958e-06 -36 *3725:18 *4350:96 0.000940045 -37 *3725:18 *5607:47 8.08254e-05 -38 *3725:44 *28578:SET_B 0 -39 *3725:44 *5762:250 1.48969e-05 -40 *25368:B1 *25368:A1 9.58181e-05 -41 *25373:B *25368:A1 0.000265031 -42 *27286:C1 *27286:A1 3.99614e-06 -43 *28894:A *25368:A1 0.000305929 -44 *1288:77 *3725:10 0 -45 *1288:77 *3725:18 0 -46 *1288:104 *3725:10 0.00126996 -47 *1434:76 *3725:18 1.76266e-05 -48 *1465:14 *3725:18 1.00073e-05 -49 *1685:46 *25368:A1 0.000103267 -50 *2848:300 *27286:A1 0 -51 *3215:254 *25368:A1 0.00013024 -52 *3215:254 *3725:18 0.000145753 -53 *3413:16 *25368:A1 0.00015091 -54 *3576:10 *25368:A1 0.000626675 -55 *3686:72 *3725:18 5.01117e-05 -56 *3686:83 *3725:18 8.79397e-05 -*RES -1 *28578:Q *3725:4 9.3 -2 *3725:4 *3725:10 44.8929 -3 *3725:10 *3725:18 31.3482 -4 *3725:18 *25368:A1 40.1036 -5 *3725:18 *27286:A1 14.7643 -6 *3725:4 *3725:44 1.47321 -7 *3725:44 *27667:A1 29.2464 -8 *3725:44 *29321:A 11.0679 -*END - -*D_NET *3726 0.0141916 -*CONN -*I *30451:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25244:A1 I *D sky130_fd_sc_hd__a221o_2 -*I *27530:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27897:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28327:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *30451:A 0 -2 *25244:A1 0.000491488 -3 *27530:A1 0.000147813 -4 *27897:A1 0.00126635 -5 *28327:Q 6.59113e-05 -6 *3726:32 0.00112087 -7 *3726:29 0.000716031 -8 *3726:5 0.00156672 -9 *25244:A1 *27530:B2 0.000108189 -10 *25244:A1 *3775:30 4.59146e-05 -11 *25244:A1 *3776:90 0.000128968 -12 *25244:A1 *3896:86 0.000437192 -13 *25244:A1 *4116:51 1.28809e-05 -14 *25244:A1 *5718:183 4.26759e-05 -15 *27530:A1 *27530:B2 0.000343655 -16 *27530:A1 *5718:183 2.33614e-05 -17 *27897:A1 *27502:A1 0.000113001 -18 *27897:A1 *27543:A1 0.000243859 -19 *27897:A1 *27855:B2 0.000428265 -20 *27897:A1 *27900:B2 9.71197e-05 -21 *27897:A1 *27903:B2 0.000723785 -22 *27897:A1 *3736:51 9.29326e-05 -23 *27897:A1 *3765:36 7.57946e-05 -24 *27897:A1 *3817:39 1.41029e-05 -25 *27897:A1 *5698:26 1.3409e-05 -26 *27897:A1 *5718:174 8.85941e-05 -27 *3726:29 *25873:A1 0.000219289 -28 *3726:29 *27502:A1 0.00022806 -29 *3726:29 *4087:26 1.53955e-05 -30 *3726:29 *5653:165 0.000221219 -31 *3726:29 *5718:174 4.58835e-05 -32 *3726:32 *3919:63 0.000628338 -33 *3726:32 *3934:50 0.00018394 -34 *3726:32 *5718:183 0.00105399 -35 *25244:B1 *25244:A1 1.99065e-05 -36 *25244:C1 *25244:A1 0 -37 *25244:C1 *3726:29 0.000272617 -38 *27530:A2 *27530:A1 5.55213e-05 -39 *27544:A2 *27897:A1 7.05143e-06 -40 *28155:CLK *27897:A1 0.000378142 -41 *1423:86 *25244:A1 0.000460607 -42 *1844:26 *25244:A1 7.46501e-05 -43 *1844:26 *27530:A1 4.82354e-05 -44 *1844:26 *3726:32 2.1051e-05 -45 *1897:31 *25244:A1 6.06239e-05 -46 *1897:31 *3726:32 0.000169241 -47 *2853:66 *27897:A1 6.759e-05 -48 *2853:91 *3726:29 0.000155167 -49 *2891:45 *27897:A1 6.85493e-05 -50 *2895:79 *27897:A1 1.0562e-05 -51 *3085:11 *27530:A1 2.35926e-05 -52 *3099:17 *27897:A1 0.000538266 -53 *3124:15 *25244:A1 9.04237e-05 -54 *3168:54 *27897:A1 7.07155e-06 -55 *3168:54 *3726:5 5.53716e-06 -56 *3570:11 *27897:A1 0.000652223 -*RES -1 *28327:Q *3726:5 10.0411 -2 *3726:5 *27897:A1 49.7464 -3 *3726:5 *3726:29 16.7679 -4 *3726:29 *3726:32 19.8036 -5 *3726:32 *27530:A1 17.6214 -6 *3726:32 *25244:A1 28.5841 -7 *3726:29 *30451:A 9.3 -*END - -*D_NET *3727 0.0287829 -*CONN -*I *27929:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25198:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30288:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27557:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28328:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *27929:A1 0.000996373 -2 *25198:B2 0.00470924 -3 *30288:A 0 -4 *27557:A1 0.000293215 -5 *28328:Q 0.000807756 -6 *3727:32 0.0063858 -7 *3727:20 0.000831548 -8 *3727:7 0.00125233 -9 *25198:B2 *27910:B2 4.34648e-05 -10 *25198:B2 *3948:41 0 -11 *25198:B2 *3984:73 0.00264489 -12 *27557:A1 *3870:52 9.3445e-05 -13 *27557:A1 *3974:22 9.60939e-05 -14 *27557:A1 *4065:107 1.08691e-05 -15 *27557:A1 *4130:77 0.000280898 -16 *27929:A1 *27564:A1 4.70371e-05 -17 *27929:A1 *27564:B2 8.32122e-05 -18 *27929:A1 *27929:A2 1.97527e-05 -19 *27929:A1 *27929:B2 5.49544e-05 -20 *27929:A1 *27945:B2 5.33005e-05 -21 *27929:A1 *3802:58 0 -22 *27929:A1 *3831:92 0.000595429 -23 *27929:A1 *3984:33 0.000173184 -24 *3727:7 *27157:A1 9.41642e-05 -25 *3727:7 *28328:D 0.000865119 -26 *3727:20 *25437:A2 5.49544e-05 -27 *3727:20 *25874:A1 9.58181e-05 -28 *3727:20 *4159:56 3.34295e-05 -29 *3727:20 *5657:114 0 -30 *3727:20 *5728:205 0.000137955 -31 *3727:32 *25437:A2 0.00041666 -32 *3727:32 *25874:A1 0.000263731 -33 *3727:32 *3831:92 8.34363e-05 -34 *3727:32 *3984:33 0.000773017 -35 *25198:A1 *25198:B2 9.0145e-05 -36 *25874:S *27929:A1 6.50163e-06 -37 *25875:S *27929:A1 2.16696e-06 -38 *27557:A2 *27557:A1 5.33005e-05 -39 *27557:B1 *27557:A1 2.08721e-05 -40 *27558:C1 *27557:A1 0.0001487 -41 *27560:B1 *25198:B2 0.000389364 -42 *27564:B1 *27929:A1 4.28365e-05 -43 *27569:D *27929:A1 9.44223e-05 -44 *30104:A *3727:32 0.000135381 -45 *30289:A *3727:20 4.15592e-05 -46 *408:20 *27557:A1 6.57603e-05 -47 *740:19 *3727:32 0.000614497 -48 *1456:41 *27929:A1 0.000165795 -49 *1472:25 *25198:B2 0.000486116 -50 *1744:112 *3727:32 8.11174e-05 -51 *2834:142 *27557:A1 2.83916e-05 -52 *2834:142 *3727:20 1.31235e-05 -53 *2844:89 *27929:A1 0.000136845 -54 *2844:98 *25198:B2 0.000517373 -55 *2865:133 *25198:B2 0.000459772 -56 *2874:85 *27557:A1 6.5714e-05 -57 *2874:101 *27557:A1 6.09476e-05 -58 *2874:101 *3727:20 3.32424e-05 -59 *2875:119 *27929:A1 5.96516e-05 -60 *2875:134 *25198:B2 0 -61 *2877:105 *27929:A1 0.000428908 -62 *3123:14 *27929:A1 0.00137482 -63 *3156:162 *3727:20 1.34631e-05 -64 *3192:107 *3727:32 0.000330868 -65 *3688:35 *3727:20 5.53757e-05 -66 *3700:40 *25198:B2 0.000178847 -67 *3711:79 *25198:B2 0.000255922 -68 *3713:119 *25198:B2 4.00349e-05 -*RES -1 *28328:Q *3727:7 24.1929 -2 *3727:7 *27557:A1 21.8536 -3 *3727:7 *3727:20 8.91071 -4 *3727:20 *30288:A 9.3 -5 *3727:20 *3727:32 17.9771 -6 *3727:32 *25198:B2 37.8822 -7 *3727:32 *27929:A1 34.2806 -*END - -*D_NET *3728 0.0094969 -*CONN -*I *25103:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27577:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27960:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30343:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28329:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25103:B2 0 -2 *27577:B2 0.000933562 -3 *27960:A1 0.000109659 -4 *30343:A 0.000118529 -5 *28329:Q 0.000115393 -6 *3728:31 0.00146457 -7 *3728:20 0.000922099 -8 *3728:5 0.000515354 -9 *27577:B2 *27577:A1 0.000766451 -10 *27960:A1 *27960:A2 2.70161e-05 -11 *30343:A *3739:92 8.85532e-05 -12 *30343:A *3765:30 0.000171375 -13 *30343:A *3962:35 0.000179745 -14 *30343:A *5687:29 0.000171375 -15 *3728:5 *5686:15 9.38921e-05 -16 *3728:20 *27930:A1 0.000172729 -17 *3728:20 *5686:15 3.69047e-06 -18 *3728:31 *27930:A1 5.67168e-05 -19 *3728:31 *3832:43 0.00042897 -20 *3728:31 *5795:113 0.000194143 -21 *25103:A1 *27577:B2 5.33005e-05 -22 *27577:B1 *27577:B2 3.29905e-05 -23 *27960:B1 *27960:A1 9.41642e-05 -24 *27964:A1 *3728:31 0.000236118 -25 *27965:C *27960:A1 0.000135028 -26 *1423:54 *27577:B2 0.000111216 -27 *1563:26 *3728:20 0.000172729 -28 *1563:26 *3728:31 0.00134599 -29 *2866:217 *27577:B2 5.74499e-06 -30 *3184:130 *27960:A1 6.37964e-05 -31 *3558:189 *3728:5 0.000301438 -32 *3558:189 *3728:20 0.000410567 -*RES -1 *28329:Q *3728:5 12.3 -2 *3728:5 *30343:A 22.3179 -3 *3728:5 *3728:20 10.9821 -4 *3728:20 *27960:A1 16.3893 -5 *3728:20 *3728:31 22.2321 -6 *3728:31 *27577:B2 22.1036 -7 *3728:31 *25103:B2 9.3 -*END - -*D_NET *3729 0.0247455 -*CONN -*I *27336:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27680:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *29855:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25297:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28579:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *27336:A1 0.00142263 -2 *27680:A1 0 -3 *29855:A 0.000102595 -4 *25297:A1 0.000861015 -5 *28579:Q 0 -6 *3729:44 0.00412291 -7 *3729:38 0.00382252 -8 *3729:5 0.00116227 -9 *3729:4 0.00132089 -10 *25297:A1 *25297:A2 7.13226e-06 -11 *25297:A1 *5607:30 1.94879e-05 -12 *25297:A1 *5607:39 0.00164657 -13 *25297:A1 *5761:192 1.6068e-05 -14 *27336:A1 *27336:B2 0.00108567 -15 *27336:A1 *27680:A2 0.000135028 -16 *27336:A1 *3898:61 4.00679e-05 -17 *27336:A1 *3907:32 0.000289253 -18 *27336:A1 *4119:26 0.000287538 -19 *29855:A *3738:41 0.000246922 -20 *29855:A *4012:22 1.58163e-05 -21 *3729:38 *27753:B2 0.000178425 -22 *3729:38 *3875:118 9.41642e-05 -23 *3729:38 *4070:48 9.65217e-05 -24 *3729:38 *4122:39 6.46849e-05 -25 *3729:38 *5605:138 0.000175892 -26 *3729:38 *5605:149 5.96516e-05 -27 *3729:44 *27752:A1 5.98228e-05 -28 *3729:44 *5632:161 5.74499e-06 -29 *3729:44 *5649:151 2.48548e-05 -30 *3729:44 *5649:157 4.7486e-05 -31 *25297:B1 *25297:A1 3.57366e-05 -32 *27336:A2 *27336:A1 5.7661e-06 -33 *27336:B1 *27336:A1 5.94775e-05 -34 *27336:C1 *27336:A1 0.000115106 -35 *27401:B1 *3729:38 3.37161e-05 -36 *27681:C1 *27336:A1 1.21258e-05 -37 *27752:A2 *3729:44 0.000888477 -38 *1415:18 *3729:38 0.0011893 -39 *1436:13 *25297:A1 1.88578e-05 -40 *1660:42 *3729:44 0.000206823 -41 *1685:46 *29855:A 0.000248493 -42 *1689:8 *25297:A1 0.00169116 -43 *2880:58 *27336:A1 0.000870574 -44 *3162:20 *3729:44 0.000211707 -45 *3215:242 *3729:44 0.000586049 -46 *3576:99 *25297:A1 0.000125355 -47 *3576:99 *3729:5 0.000109361 -48 *3576:99 *3729:38 0.000607494 -49 *3686:83 *25297:A1 0.000318272 -*RES -1 *28579:Q *3729:4 9.3 -2 *3729:4 *3729:5 2.38393 -3 *3729:5 *25297:A1 44.2464 -4 *3729:5 *29855:A 21.9964 -5 *3729:4 *3729:38 30.4018 -6 *3729:38 *3729:44 42.375 -7 *3729:44 *27680:A1 9.3 -8 *3729:44 *27336:A1 43.5143 -*END - -*D_NET *3730 0.0524095 -*CONN -*I *29200:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25239:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27372:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27711:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28580:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29200:A 7.73892e-05 -2 *25239:B2 2.25053e-05 -3 *27372:A1 0.000880506 -4 *27711:B2 0.00119984 -5 *28580:Q 0 -6 *3730:42 0.00347206 -7 *3730:28 0.0030469 -8 *3730:22 0.00172911 -9 *3730:21 0.00119263 -10 *3730:8 0.00381067 -11 *3730:7 0.00269287 -12 *3730:4 0.00010079 -13 *27372:A1 *27372:B2 0.000175892 -14 *27372:A1 *3743:51 4.69822e-05 -15 *27711:B2 *27711:A1 1.21258e-05 -16 *27711:B2 *27711:B1 9.66756e-05 -17 *27711:B2 *3743:51 4.18413e-05 -18 *27711:B2 *3899:54 0 -19 *27711:B2 *5609:144 0.000103363 -20 *27711:B2 *5635:79 1.59935e-05 -21 *27711:B2 *5635:90 3.83528e-05 -22 *27711:B2 *5641:38 1.00926e-05 -23 *29200:A *4970:17 0.000105559 -24 *3730:7 *4970:17 5.33433e-05 -25 *3730:8 *27701:A1 7.53238e-05 -26 *3730:8 *5633:97 0.000594236 -27 *3730:8 *5635:187 4.29471e-05 -28 *3730:8 *5819:10 7.74644e-05 -29 *3730:8 *5910:42 0.00104352 -30 *3730:8 *5910:47 0 -31 *3730:8 *6351:24 0.000685535 -32 *3730:8 *6351:26 0.00029472 -33 *3730:21 *26904:A1 0.00046654 -34 *3730:21 *30382:A 0.000123471 -35 *3730:21 *3783:89 4.62998e-05 -36 *3730:21 *4068:65 0.000222591 -37 *3730:22 *3796:79 0.00014615 -38 *3730:22 *3899:40 0.000137386 -39 *3730:28 *6654:DIODE 3.07101e-05 -40 *3730:28 *3796:70 0.00244995 -41 *3730:28 *3796:79 0.000616565 -42 *3730:28 *3899:40 0.00145352 -43 *3730:28 *5663:126 0.000139405 -44 *3730:28 *5759:18 0.000152693 -45 *3730:42 *27694:C1 0.000258609 -46 *3730:42 *3796:70 0.000331509 -47 *3730:42 *3912:58 5.04378e-05 -48 *3730:42 *5609:144 0.00114743 -49 *3730:42 *5609:152 0.000192586 -50 *3730:42 *5639:124 0.000114258 -51 *3730:42 *5657:232 0.00174412 -52 *25318:A2 *3730:42 7.41141e-05 -53 *25325:D *3730:42 9.76767e-05 -54 *27351:A2 *3730:42 2.63501e-05 -55 *27373:C1 *27372:A1 2.23592e-05 -56 *27379:A2 *3730:8 5.13657e-05 -57 *27383:B1 *3730:28 9.60337e-06 -58 *27387:B1 *3730:8 2.26973e-05 -59 *29234:A *3730:8 0.000152333 -60 *30476:A *3730:28 0.000211282 -61 *30497:A *3730:28 0.000427142 -62 *30508:A *3730:28 0.000328313 -63 *1225:33 *27711:B2 0.000641858 -64 *1225:33 *3730:42 0.000233871 -65 *1250:234 *27372:A1 0.00012226 -66 *1261:41 *3730:28 0.000175236 -67 *1269:128 *3730:8 1.03483e-05 -68 *1270:41 *25239:B2 5.33005e-05 -69 *1272:63 *3730:21 0.00113106 -70 *1275:94 *3730:42 2.35126e-05 -71 *1277:176 *3730:42 9.11102e-06 -72 *1285:37 *3730:42 0.00049001 -73 *1524:38 *3730:8 0.000399324 -74 *1639:35 *3730:42 0.000331947 -75 *2757:21 *29200:A 0.000112995 -76 *2757:21 *3730:7 5.33433e-05 -77 *2760:157 *3730:8 1.34792e-05 -78 *2779:67 *3730:8 0.000589426 -79 *2844:290 *3730:21 2.98212e-05 -80 *2850:179 *27711:B2 0.000318492 -81 *2852:28 *27711:B2 0.000258989 -82 *2852:40 *3730:42 0.000156226 -83 *2852:77 *3730:42 4.65519e-05 -84 *2855:219 *3730:8 0.000241343 -85 *2859:172 *27372:A1 2.13687e-05 -86 *2859:199 *27372:A1 9.26744e-05 -87 *2860:315 *3730:8 0.000525166 -88 *2864:164 *27372:A1 0.001066 -89 *2875:207 *27711:B2 0.00169884 -90 *2880:178 *27372:A1 0.000614285 -91 *2880:178 *27711:B2 4.57582e-05 -92 *2886:335 *25239:B2 1.58163e-05 -93 *2888:269 *3730:8 0.000154288 -94 *2892:266 *3730:8 0.0026167 -95 *2917:16 *3730:28 0.000507182 -96 *2917:24 *3730:28 0.000102144 -97 *2920:8 *27711:B2 0.000318492 -98 *2922:20 *3730:21 0.000258066 -99 *2932:6 *3730:21 0.00169195 -100 *2936:11 *27372:A1 0.000228785 -101 *2958:38 *3730:42 0.00126207 -102 *2978:36 *27711:B2 0 -103 *3185:52 *27711:B2 0.000184353 -104 *3251:12 *3730:8 0.000254388 -105 *3259:13 *3730:8 0.00164475 -106 *3666:125 *27372:A1 0.000178425 -107 *3678:60 *3730:21 0.000431685 -108 *3678:76 *3730:21 6.70972e-05 -*RES -1 *28580:Q *3730:4 9.3 -2 *3730:4 *3730:7 5.44643 -3 *3730:7 *3730:8 80.5714 -4 *3730:8 *3730:21 49.6964 -5 *3730:21 *3730:22 1.94643 -6 *3730:22 *3730:28 49.9196 -7 *3730:28 *3730:42 37.9765 -8 *3730:42 *27711:B2 36.0342 -9 *3730:42 *27372:A1 28.1046 -10 *3730:22 *25239:B2 14.3357 -11 *3730:4 *29200:A 11.4786 -*END - -*D_NET *3731 0.0374593 -*CONN -*I *24844:A I *D sky130_fd_sc_hd__inv_2 -*I *30177:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *6653:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27722:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25186:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27389:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28581:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *24844:A 0.000204969 -2 *30177:A 0 -3 *6653:DIODE 0 -4 *27722:A1 0.0001165 -5 *25186:B2 0.000601253 -6 *27389:A1 0.000112403 -7 *28581:Q 0 -8 *3731:109 0.00190355 -9 *3731:62 0.00160906 -10 *3731:61 0.00156025 -11 *3731:45 0.0011061 -12 *3731:39 0.00322823 -13 *3731:25 0.00300011 -14 *3731:23 0.000829262 -15 *3731:18 0.00265542 -16 *3731:4 0.00362138 -17 *24844:A *4069:186 0.000394969 -18 *25186:B2 *5607:92 8.34819e-06 -19 *25186:B2 *5631:71 9.9974e-05 -20 *25186:B2 *5695:133 9.82592e-05 -21 *27389:A1 *5781:12 9.77956e-05 -22 *27722:A1 *27722:A2 5.52238e-05 -23 *27722:A1 *5646:311 0.000137983 -24 *3731:18 *28581:RESET_B 0.000103977 -25 *3731:18 *28613:RESET_B 4.85033e-05 -26 *3731:18 *28764:D 0.000374673 -27 *3731:18 *4045:18 5.05056e-05 -28 *3731:18 *4045:50 4.00349e-05 -29 *3731:18 *4058:15 0.000260574 -30 *3731:18 *5773:167 0.000128409 -31 *3731:18 *5773:173 8.54e-05 -32 *3731:18 *5774:185 2.42516e-05 -33 *3731:18 *5825:8 0.000372965 -34 *3731:18 *5892:6 0.000181397 -35 *3731:23 *3811:15 0.000227013 -36 *3731:23 *3811:24 0.000281505 -37 *3731:25 *3811:24 0.000175892 -38 *3731:39 *25629:A1 0.000153921 -39 *3731:39 *27174:A1 0.000767815 -40 *3731:39 *27773:B2 0.000170062 -41 *3731:39 *29942:A 5.96516e-05 -42 *3731:39 *30025:A 5.33005e-05 -43 *3731:39 *3783:24 7.44378e-05 -44 *3731:39 *3811:24 2.95726e-05 -45 *3731:39 *4137:16 0 -46 *3731:39 *5586:51 9.41642e-05 -47 *3731:39 *5638:195 0.0013138 -48 *3731:39 *5774:164 1.95772e-05 -49 *3731:45 *27740:A1 4.22431e-05 -50 *3731:45 *27740:B2 9.41642e-05 -51 *3731:45 *3991:52 0.0001065 -52 *3731:45 *5668:50 4.8367e-05 -53 *3731:45 *5926:68 5.41797e-06 -54 *3731:61 *27722:A2 0.000178813 -55 *3731:61 *27722:B2 0.000136958 -56 *3731:61 *3783:47 0.000214444 -57 *3731:61 *3926:40 0.000123589 -58 *3731:61 *5646:294 4.13496e-05 -59 *3731:61 *5646:311 8.43535e-06 -60 *3731:62 *5781:12 0.00230662 -61 *3731:109 *25726:S 0.000313241 -62 *3731:109 *26873:A0 0.00014183 -63 *3731:109 *5692:8 0.00146271 -64 *3731:109 *5829:169 4.18895e-05 -65 *25186:C1 *25186:B2 0.00025291 -66 *26883:A *3731:18 2.26818e-05 -67 *26883:A *3731:109 0.000203306 -68 *27389:B1 *27389:A1 7.20217e-06 -69 *27389:B2 *25186:B2 0.000135028 -70 *27740:A2 *3731:45 0.000301438 -71 *27741:C1 *3731:45 0.000177815 -72 *1288:194 *3731:18 0.000518375 -73 *2776:231 *3731:61 0.000221219 -74 *2786:26 *3731:61 0.000211075 -75 *3153:313 *3731:45 0.000362788 -76 *3153:313 *3731:61 0.000183713 -77 *3153:320 *3731:61 0.000145337 -78 *3206:304 *3731:61 0.000133584 -79 *3273:10 *3731:62 0.000217486 -80 *3283:8 *27389:A1 9.95103e-05 -81 *3283:8 *3731:62 0.0018646 -82 *3581:146 *25186:B2 0.000341659 -83 *3705:34 *25186:B2 0.000260574 -*RES -1 *28581:Q *3731:4 9.3 -2 *3731:4 *3731:18 45.7143 -3 *3731:18 *3731:23 10.0179 -4 *3731:23 *3731:25 1.76786 -5 *3731:25 *3731:39 47.2857 -6 *3731:39 *3731:45 17.3393 -7 *3731:45 *3731:61 37.6429 -8 *3731:61 *3731:62 30.4821 -9 *3731:62 *27389:A1 16.1036 -10 *3731:62 *25186:B2 34.1616 -11 *3731:45 *27722:A1 12.2107 -12 *3731:25 *6653:DIODE 9.3 -13 *3731:23 *30177:A 9.3 -14 *3731:4 *3731:109 47.375 -15 *3731:109 *24844:A 18.0321 -*END - -*D_NET *3732 0.0128002 -*CONN -*I *30328:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25140:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27755:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27404:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28582:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30328:A 0.000115778 -2 *25140:A1 0 -3 *27755:A1 0 -4 *27404:A1 0.000273309 -5 *28582:Q 9.1574e-05 -6 *3732:43 0.00100509 -7 *3732:13 0.00141838 -8 *3732:11 0.000812496 -9 *3732:7 0.000879867 -10 *30328:A *27005:A1 0.000306317 -11 *30328:A *5704:6 0.000116481 -12 *30328:A *5792:28 0.00012401 -13 *3732:11 *3849:11 0.000370271 -14 *3732:11 *5704:6 0.000494399 -15 *3732:11 *5792:28 0.00138485 -16 *3732:11 *6280:6 0.000149441 -17 *3732:13 *25140:B2 5.49544e-05 -18 *3732:43 *27755:B2 5.33005e-05 -19 *3732:43 *3809:32 0.000595077 -20 *3732:43 *3849:30 0 -21 *3732:43 *3901:63 0.000261945 -22 *3732:43 *4148:24 0.000262957 -23 *3732:43 *5587:87 0.000596731 -24 *3732:43 *5650:297 0.000179914 -25 *25140:B1 *3732:11 0.000114577 -26 *25140:B1 *3732:13 2.59355e-05 -27 *27404:A2 *27404:A1 5.55213e-05 -28 *27409:B *27404:A1 0.000554636 -29 *27409:B *3732:13 4.08637e-05 -30 *27409:B *3732:43 0.000264119 -31 *27409:D *3732:43 3.69536e-05 -32 *27748:A2 *3732:11 0.000203085 -33 *27756:C1 *3732:43 4.92955e-06 -34 *28901:A *30328:A 0.000306317 -35 *2776:257 *3732:7 1.38406e-05 -36 *2780:228 *3732:43 0.000863778 -37 *2788:124 *3732:11 3.4323e-06 -38 *2894:319 *27404:A1 0.000199511 -39 *2894:319 *3732:13 5.37796e-05 -40 *3686:67 *3732:43 0.000511762 -*RES -1 *28582:Q *3732:7 14.7464 -2 *3732:7 *3732:11 25.0179 -3 *3732:11 *3732:13 2.58929 -4 *3732:13 *27404:A1 15.9964 -5 *3732:13 *3732:43 46.5357 -6 *3732:43 *27755:A1 9.3 -7 *3732:11 *25140:A1 9.3 -8 *3732:7 *30328:A 18.4429 -*END - -*D_NET *3733 0.0379683 -*CONN -*I *27426:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25061:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *29069:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27778:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28583:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27426:A1 0.00266675 -2 *25061:A1 0 -3 *29069:A 0.00013185 -4 *27778:A1 0 -5 *28583:Q 3.84611e-05 -6 *3733:59 0.00135027 -7 *3733:51 0.00549833 -8 *3733:31 0.00193301 -9 *3733:15 0.00287814 -10 *3733:5 0.00233305 -11 *27426:A1 *27430:A1 0.000187466 -12 *27426:A1 *27784:B2 0.000193771 -13 *27426:A1 *28190:D 0.000144423 -14 *27426:A1 *29314:A 0 -15 *27426:A1 *3995:58 0.000248265 -16 *27426:A1 *4125:13 1.14638e-05 -17 *27426:A1 *5810:47 0.000185629 -18 *27426:A1 *5824:286 0 -19 *29069:A *27832:B2 0.0001901 -20 *29069:A *5800:78 0.000135028 -21 *29069:A *5863:132 0.000197444 -22 *29069:A *6086:23 0.000135028 -23 *3733:5 *26959:A1 0.000168937 -24 *3733:5 *6087:19 0.000181374 -25 *3733:15 *26959:A1 0.000149228 -26 *3733:15 *5669:317 0 -27 *3733:15 *6087:19 0.000266624 -28 *3733:31 *26923:A0 0.00208632 -29 *3733:31 *27431:A1 5.34979e-05 -30 *3733:31 *27722:A2 8.25843e-06 -31 *3733:31 *27832:B2 0.000418987 -32 *3733:31 *28599:D 5.33005e-05 -33 *3733:31 *29065:A 1.98839e-05 -34 *3733:31 *3969:14 7.78829e-05 -35 *3733:31 *5627:237 0.000431857 -36 *3733:31 *5639:199 0.000548501 -37 *3733:31 *5650:352 0.000260574 -38 *3733:31 *5682:102 0.00026353 -39 *3733:31 *5682:114 0.000682942 -40 *3733:31 *5682:120 0.000873032 -41 *3733:31 *5682:180 0.000610695 -42 *3733:31 *5703:21 4.65519e-05 -43 *3733:31 *5774:44 7.62355e-05 -44 *3733:31 *5863:132 0.000417158 -45 *3733:51 *3891:13 2.11419e-05 -46 *3733:51 *3891:113 0.00112751 -47 *3733:51 *4124:19 0.000521825 -48 *3733:51 *4239:17 0 -49 *3733:51 *5386:12 0.00025521 -50 *3733:51 *5773:46 7.80714e-06 -51 *3733:51 *5911:79 0 -52 *3733:59 *26868:S 0.000497326 -53 *3733:59 *26925:A1 7.17904e-05 -54 *3733:59 *3889:61 2.16719e-05 -55 *3733:59 *4357:21 1.85914e-05 -56 *3733:59 *4360:11 0.000492223 -57 *3733:59 *5587:169 2.84419e-05 -58 *3733:59 *5800:119 0.000125992 -59 *3733:59 *5911:94 5.58941e-05 -60 *25061:C1 *3733:59 0.00218439 -61 *25721:A1 *3733:59 2.04825e-05 -62 *27426:C1 *27426:A1 0.000236172 -63 *27779:C1 *3733:31 1.21258e-05 -64 *28511:CLK *3733:59 0.000171526 -65 *28583:CLK *3733:15 4.38243e-05 -66 *28913:A *3733:51 0.000377955 -67 *28914:A *3733:51 8.33655e-05 -68 *29070:A *3733:15 0.000106771 -69 *29315:A *27426:A1 0.000334439 -70 *30154:A *3733:15 7.03393e-05 -71 *534:25 *3733:51 5.4695e-05 -72 *844:19 *3733:59 9.78828e-05 -73 *1273:181 *3733:31 0.000154531 -74 *1275:142 *3733:59 2.21972e-05 -75 *1275:164 *3733:59 0.000432517 -76 *1275:195 *3733:51 4.38243e-05 -77 *1382:16 *3733:51 0.00020731 -78 *1382:16 *3733:59 0.000453877 -79 *1853:64 *3733:15 0.000341898 -80 *2763:25 *3733:51 0.000966371 -81 *2772:14 *3733:51 0.000978305 -82 *2775:14 *27426:A1 0.000101808 -83 *2775:14 *3733:51 6.91426e-05 -84 *2775:14 *3733:59 0.000169064 -85 *2775:18 *3733:59 6.62299e-06 -86 *2848:369 *27426:A1 3.49005e-05 -87 *2874:359 *27426:A1 3.57366e-05 -88 *2986:11 *27426:A1 7.32756e-05 -89 *3339:22 *3733:15 0.000501504 -90 *3720:19 *3733:59 0.000182159 -*RES -1 *28583:Q *3733:5 11.0679 -2 *3733:5 *3733:15 16.8932 -3 *3733:15 *3733:31 48.8173 -4 *3733:31 *27778:A1 9.3 -5 *3733:15 *29069:A 17.8625 -6 *3733:5 *3733:51 44.5982 -7 *3733:51 *3733:59 45.1161 -8 *3733:59 *25061:A1 9.3 -9 *3733:51 *27426:A1 39.0643 -*END - -*D_NET *3734 0.0259231 -*CONN -*I *25028:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27812:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30462:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27443:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28584:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *25028:A1 0 -2 *27812:A1 0.00240728 -3 *30462:A 0 -4 *27443:A1 0 -5 *28584:Q 0.000179358 -6 *3734:56 0.00280538 -7 *3734:48 0.00106187 -8 *3734:23 0.00156982 -9 *3734:16 0.00241892 -10 *3734:8 0.00169223 -11 *27812:A1 *6487:DIODE 8.25843e-06 -12 *27812:A1 *25028:B1 1.58163e-05 -13 *27812:A1 *27175:A0 0.000136676 -14 *27812:A1 *27175:A1 0.000600745 -15 *27812:A1 *3735:58 2.60984e-05 -16 *27812:A1 *4098:39 1.07719e-05 -17 *27812:A1 *4150:65 1.86259e-05 -18 *27812:A1 *5650:178 1.21258e-05 -19 *27812:A1 *5650:198 9.60939e-05 -20 *3734:8 *25704:A0 0.000183726 -21 *3734:8 *5468:29 0.00020112 -22 *3734:16 *28585:RESET_B 2.79421e-05 -23 *3734:16 *3864:23 0.000647417 -24 *3734:16 *5602:53 0.000144747 -25 *3734:16 *5606:78 0.000649132 -26 *3734:23 *29713:A 2.97829e-05 -27 *3734:23 *5536:31 0.000217413 -28 *3734:23 *5628:45 0.000175892 -29 *3734:23 *5947:23 0.000490801 -30 *3734:23 *6017:11 0.000159071 -31 *3734:48 *26935:A1 6.57032e-05 -32 *3734:48 *30865:A 6.05161e-06 -33 *3734:48 *4111:38 0.000268528 -34 *3734:48 *5468:29 0.000768859 -35 *3734:48 *5606:81 0.000353025 -36 *3734:48 *5755:113 0.000267656 -37 *3734:56 *25028:B1 3.57366e-05 -38 *3734:56 *5606:81 2.72545e-05 -39 *24981:B1 *3734:23 0.000215692 -40 *25028:A2 *3734:56 5.03943e-05 -41 *25028:C1 *27812:A1 0.000135028 -42 *27007:S *3734:16 0.000154345 -43 *27175:S *27812:A1 0.000939237 -44 *27443:A2 *3734:23 0.000177821 -45 *27804:C *3734:23 0.000518879 -46 *27804:D *3734:23 9.99853e-05 -47 *28216:CLK *3734:23 0.000122591 -48 *1270:97 *27812:A1 0.000586458 -49 *1270:97 *3734:56 1.02821e-05 -50 *1270:114 *3734:56 0.000936926 -51 *1307:11 *3734:23 9.60939e-05 -52 *1326:57 *3734:23 0.00174939 -53 *1326:68 *3734:8 0.00020112 -54 *1326:68 *3734:48 0.000759608 -55 *1339:34 *3734:56 0.00093987 -56 *1347:31 *3734:48 0.000125724 -57 *1352:19 *3734:56 6.86693e-05 -58 *3631:10 *3734:48 3.63775e-05 -59 *3633:77 *3734:23 0.000218679 -*RES -1 *28584:Q *3734:8 18.1214 -2 *3734:8 *3734:16 28.9286 -3 *3734:16 *3734:23 45.7857 -4 *3734:23 *27443:A1 9.3 -5 *3734:16 *30462:A 9.3 -6 *3734:8 *3734:48 38.4286 -7 *3734:48 *3734:56 19.8036 -8 *3734:56 *27812:A1 48.0321 -9 *3734:56 *25028:A1 9.3 -*END - -*D_NET *3735 0.0391717 -*CONN -*I *29684:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27465:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27829:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *24981:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28585:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29684:A 0 -2 *27465:A1 0.00186998 -3 *27829:A1 0 -4 *24981:A1 0.000320835 -5 *28585:Q 0.000349985 -6 *3735:71 0.00301325 -7 *3735:63 0.00245797 -8 *3735:58 0.00188558 -9 *3735:46 0.00235043 -10 *3735:34 0.00231765 -11 *3735:9 0.00120891 -12 *24981:A1 *24981:B2 3.20127e-05 -13 *24981:A1 *29713:A 0.000147154 -14 *24981:A1 *4098:42 0.00066784 -15 *24981:A1 *5628:45 0.000118545 -16 *27465:A1 *4086:64 0 -17 *27465:A1 *4134:107 0 -18 *27465:A1 *5645:91 0.0005104 -19 *27465:A1 *5650:231 9.8426e-05 -20 *3735:9 *28585:RESET_B 0.000150563 -21 *3735:34 *24981:B2 6.07232e-05 -22 *3735:34 *27008:A1 0.000233543 -23 *3735:34 *28585:D 1.98839e-05 -24 *3735:34 *4098:42 0.000190155 -25 *3735:34 *5628:66 0.000177821 -26 *3735:46 *25008:A1 0.00050555 -27 *3735:46 *25008:B2 9.41642e-05 -28 *3735:58 *6487:DIODE 9.41642e-05 -29 *3735:58 *3943:24 0.000590761 -30 *3735:58 *4086:68 0.000629097 -31 *3735:58 *5129:38 0.000589053 -32 *3735:58 *5650:198 6.05161e-06 -33 *3735:58 *5675:67 8.85712e-05 -34 *3735:63 *3943:24 0.00206369 -35 *3735:63 *5644:45 7.48409e-06 -36 *3735:63 *5656:34 0.0019814 -37 *3735:71 *5535:46 3.12915e-05 -38 *3735:71 *5644:45 0.00151174 -39 *3735:71 *5650:231 3.22325e-05 -40 *3735:71 *5660:123 5.10678e-05 -41 *24981:A2 *24981:A1 5.7661e-06 -42 *24981:B1 *24981:A1 4.22256e-05 -43 *25008:A2 *3735:46 0.000162191 -44 *27465:B2 *27465:A1 8.25843e-06 -45 *27812:A1 *3735:58 2.60984e-05 -46 *27830:C1 *3735:71 0.000124509 -47 *27842:B2 *3735:71 0.000125731 -48 *28585:CLK *24981:A1 0.000115467 -49 *28585:CLK *3735:9 1.58163e-05 -50 *28585:CLK *3735:34 8.15095e-05 -51 *29685:A *3735:34 5.52302e-05 -52 *1269:247 *27465:A1 0.000262228 -53 *1273:156 *3735:63 0.00164563 -54 *1273:159 *3735:71 0.00224132 -55 *1273:161 *27465:A1 0.00072829 -56 *1273:161 *3735:71 0.000401465 -57 *1307:11 *24981:A1 1.98839e-05 -58 *1332:10 *3735:34 1.3228e-05 -59 *1332:10 *3735:46 6.43196e-05 -60 *1368:37 *3735:63 0.000166008 -61 *1368:37 *3735:71 0.000291751 -62 *1368:46 *27465:A1 9.32123e-05 -63 *1638:36 *27465:A1 4.88232e-05 -64 *2764:152 *27465:A1 1.90936e-05 -65 *2764:209 *3735:58 0.000150618 -66 *2774:162 *27465:A1 2.63501e-05 -67 *2792:102 *27465:A1 1.90936e-05 -68 *2792:114 *3735:46 0.000904257 -69 *2848:233 *27465:A1 7.18091e-05 -70 *2856:293 *3735:58 0.000145239 -71 *2888:170 *3735:46 0.000787442 -72 *2888:177 *3735:46 0.000115107 -73 *2894:280 *27465:A1 1.73088e-05 -74 *3164:214 *3735:46 0.000170121 -75 *3164:214 *3735:58 8.32242e-05 -76 *3165:33 *27465:A1 5.16732e-06 -77 *3165:55 *27465:A1 0.000155691 -78 *3174:225 *27465:A1 0.000137715 -79 *3174:225 *3735:71 0.000362287 -80 *3185:164 *3735:71 4.15161e-05 -81 *3193:51 *3735:58 0.000207854 -82 *3205:270 *27465:A1 0.000108545 -83 *3340:19 *3735:58 0.000119924 -84 *3340:19 *3735:63 0.00186368 -85 *3340:19 *3735:71 1.18647e-05 -86 *3633:77 *24981:A1 0.00020212 -87 *3633:86 *24981:A1 0.000191877 -88 *3690:46 *27465:A1 5.69098e-05 -*RES -1 *28585:Q *3735:9 18.05 -2 *3735:9 *24981:A1 25.05 -3 *3735:9 *3735:34 14.4464 -4 *3735:34 *3735:46 48.8393 -5 *3735:46 *3735:58 37.6786 -6 *3735:58 *3735:63 49.0536 -7 *3735:63 *3735:71 38.1607 -8 *3735:71 *27829:A1 13.8 -9 *3735:71 *27465:A1 44.2866 -10 *3735:34 *29684:A 9.3 -*END - -*D_NET *3736 0.039953 -*CONN -*I *25369:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27854:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27485:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *29366:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28325:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25369:B2 0 -2 *27854:A1 0 -3 *27485:A1 0.000402253 -4 *29366:A 0 -5 *28325:Q 9.87602e-05 -6 *3736:63 0.00213438 -7 *3736:62 0.00327772 -8 *3736:51 0.00152398 -9 *3736:34 0.00343166 -10 *3736:25 0.00432452 -11 *3736:5 0.00177451 -12 *27485:A1 *27485:B2 1.21436e-05 -13 *27485:A1 *5461:7 0.00118405 -14 *3736:5 *3945:23 0.000272371 -15 *3736:25 *25836:A0 0.000385089 -16 *3736:25 *28290:D 0.000144685 -17 *3736:25 *3945:23 8.46829e-05 -18 *3736:25 *4130:77 0.000279958 -19 *3736:25 *4140:66 8.60003e-05 -20 *3736:25 *4153:48 6.05161e-06 -21 *3736:25 *5386:11 0.000228322 -22 *3736:25 *5651:76 1.92789e-05 -23 *3736:25 *5892:145 7.88144e-05 -24 *3736:25 *5909:76 2.24813e-05 -25 *3736:25 *6036:38 2.09826e-05 -26 *3736:34 *3892:75 8.3285e-05 -27 *3736:34 *5457:21 8.92786e-05 -28 *3736:34 *5634:71 0.00027211 -29 *3736:51 *27854:B2 0.000471778 -30 *3736:51 *27855:B2 0.000441802 -31 *3736:51 *3817:39 0 -32 *3736:51 *5461:7 0.00200852 -33 *3736:51 *5698:26 7.4602e-05 -34 *3736:62 *3830:70 1.68362e-05 -35 *3736:62 *4152:50 9.11825e-07 -36 *3736:62 *5467:14 4.15781e-05 -37 *3736:63 *27587:A1 0.000184236 -38 *3736:63 *3793:52 0.000913647 -39 *3736:63 *3957:22 3.16204e-05 -40 *3736:63 *5686:35 0.000171375 -41 *6564:DIODE *27485:A1 8.25843e-06 -42 *27485:A2 *27485:A1 1.00733e-05 -43 *27537:C *3736:34 0.000244389 -44 *27537:C *3736:62 9.74014e-05 -45 *27866:C *3736:51 0.000595922 -46 *27897:A1 *3736:51 9.29326e-05 -47 *28135:CLK *3736:25 0.000216755 -48 *29371:A *3736:25 5.53178e-05 -49 *1182:43 *3736:25 0.00165585 -50 *1205:22 *3736:63 1.90936e-05 -51 *1456:64 *3736:63 4.26759e-05 -52 *1470:27 *3736:51 3.40072e-05 -53 *1877:10 *3736:25 0.000322906 -54 *2844:69 *3736:63 0.000868851 -55 *2844:89 *3736:63 0.0027119 -56 *2848:146 *27485:A1 0.000230091 -57 *2848:146 *3736:51 0.000540574 -58 *2850:88 *3736:63 0.00317619 -59 *2850:94 *3736:63 0.000331438 -60 *2850:98 *3736:63 0.000906191 -61 *2859:35 *3736:51 5.75147e-05 -62 *2859:73 *27485:A1 0.00023615 -63 *2859:95 *3736:62 0.000395393 -64 *2866:167 *3736:62 0 -65 *2894:63 *3736:25 8.68039e-05 -66 *3081:8 *3736:34 0.000270173 -67 *3096:15 *3736:51 3.074e-05 -68 *3115:31 *3736:63 0.00105476 -69 *3197:152 *3736:25 0.000183754 -70 *3209:59 *3736:51 1.39726e-05 -71 *3209:64 *3736:51 0.000376264 -72 *3209:85 *3736:51 2.06112e-05 -73 *3216:99 *3736:34 0.000249598 -74 *3666:68 *3736:62 0.000202657 -75 *3723:34 *3736:25 1.94879e-05 -*RES -1 *28325:Q *3736:5 11.8893 -2 *3736:5 *29366:A 9.3 -3 *3736:5 *3736:25 44.3565 -4 *3736:25 *3736:34 17.626 -5 *3736:34 *27485:A1 21.6571 -6 *3736:34 *3736:51 48.2857 -7 *3736:51 *27854:A1 9.3 -8 *3736:25 *3736:62 9.33137 -9 *3736:62 *3736:63 71.9196 -10 *3736:63 *25369:B2 13.8 -*END - -*D_NET *3737 0.0128772 -*CONN -*I *25300:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27879:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27524:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30514:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28326:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25300:A1 0.000261896 -2 *27879:A1 0 -3 *27524:A1 0.00026507 -4 *30514:A 0 -5 *28326:Q 9.24712e-05 -6 *3737:34 0.00251123 -7 *3737:19 0.00388713 -8 *3737:7 0.0014652 -9 *25300:A1 *25300:B2 1.28809e-05 -10 *25300:A1 *27879:A2 0.000135028 -11 *25300:A1 *5583:15 0.00060304 -12 *27524:A1 *28055:A 0 -13 *27524:A1 *6036:38 0.000308395 -14 *3737:7 *28326:RESET_B 0.000266479 -15 *3737:7 *4164:153 0.000139019 -16 *3737:19 *25472:A1 9.72432e-05 -17 *3737:19 *25472:A2 3.83493e-06 -18 *3737:19 *25800:A0 0.00019259 -19 *3737:19 *28326:RESET_B 0.000181803 -20 *3737:19 *4164:153 0.000382905 -21 *3737:19 *5740:122 0.000127476 -22 *3737:34 *27879:B2 0.000175892 -23 *3737:34 *28055:A 0 -24 *3737:34 *5583:11 0.000162765 -25 *3737:34 *5583:15 0.00095378 -26 *25300:B1 *25300:A1 1.58163e-05 -27 *27879:B1 *3737:34 0.000291088 -28 *27880:C1 *25300:A1 7.99226e-05 -29 *1769:16 *3737:19 9.20581e-06 -30 *1828:42 *27524:A1 5.65154e-05 -31 *1828:42 *3737:34 5.10822e-05 -32 *1872:31 *3737:19 5.33005e-05 -33 *3209:102 *3737:34 9.41642e-05 -*RES -1 *28326:Q *3737:7 12.3179 -2 *3737:7 *30514:A 9.3 -3 *3737:7 *3737:19 24.7857 -4 *3737:19 *27524:A1 19.3893 -5 *3737:19 *3737:34 40.6071 -6 *3737:34 *27879:A1 9.3 -7 *3737:34 *25300:A1 16.0321 -*END - -*D_NET *3738 0.0415106 -*CONN -*I *27665:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27304:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25371:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *29291:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28586:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *27665:A1 3.42071e-05 -2 *27304:A1 0.00191056 -3 *25371:A1 9.75146e-05 -4 *29291:A 0.000124137 -5 *28586:Q 4.01639e-05 -6 *3738:58 0.00356359 -7 *3738:55 0.00165303 -8 *3738:47 0.000542479 -9 *3738:41 0.00238115 -10 *3738:28 0.00298705 -11 *3738:18 0.0015404 -12 *3738:5 0.000619625 -13 *25371:A1 *25233:A1 9.7659e-05 -14 *27304:A1 *3776:44 0.00166027 -15 *27304:A1 *5605:99 2.04745e-05 -16 *27665:A1 *27665:A2 5.33005e-05 -17 *27665:A1 *4039:39 9.41642e-05 -18 *27665:A1 *4087:61 1.21258e-05 -19 *29291:A *4350:96 0.000384018 -20 *3738:18 *25901:A0 2.79421e-05 -21 *3738:18 *27666:A2 0.000577799 -22 *3738:18 *5823:17 0.000589478 -23 *3738:28 *25366:B2 0.000339251 -24 *3738:28 *27286:B2 0.000348454 -25 *3738:28 *27666:A2 0.00104659 -26 *3738:28 *29969:A 4.13595e-05 -27 *3738:28 *3920:40 0.00159593 -28 *3738:28 *4089:12 0.000308018 -29 *3738:28 *4350:95 0.000544556 -30 *3738:28 *5627:142 5.33005e-05 -31 *3738:28 *5823:17 0.000148903 -32 *3738:41 *25372:B2 0.000111243 -33 *3738:41 *28579:SET_B 0.00105279 -34 *3738:41 *29059:A 0 -35 *3738:41 *3881:62 0.000111243 -36 *3738:41 *3920:40 0.000313602 -37 *3738:41 *4027:20 0.000113776 -38 *3738:41 *5590:50 0.000416467 -39 *3738:41 *5607:27 0.00031544 -40 *3738:47 *25233:A1 0.000107946 -41 *3738:47 *3920:40 0.000833291 -42 *3738:47 *5607:27 0.000125449 -43 *3738:58 *24906:B 0.000255585 -44 *3738:58 *25102:A 0.000915072 -45 *3738:58 *3776:44 0.000459642 -46 *3738:58 *3920:51 1.50087e-05 -47 *3738:58 *4092:65 0.000260015 -48 *3738:58 *4105:78 7.83659e-05 -49 *3738:58 *4113:125 3.33721e-05 -50 *3738:58 *5808:53 0.000196122 -51 *3738:58 *5836:78 4.00349e-05 -52 *3738:58 *5857:33 0.000127836 -53 *3738:58 *5890:24 1.90936e-05 -54 *25368:A1 *3738:28 9.24793e-05 -55 *25368:A1 *3738:41 0.0012773 -56 *27304:A2 *27304:A1 1.02064e-05 -57 *27666:B1 *3738:28 0.000105689 -58 *29855:A *3738:41 0.000246922 -59 *30113:A *27304:A1 1.65025e-05 -60 *30113:A *3738:58 0.00137138 -61 *1263:28 *27304:A1 0.000512494 -62 *1327:78 *27304:A1 4.43081e-05 -63 *1358:19 *27304:A1 0.000129607 -64 *1358:25 *27304:A1 0.000112585 -65 *1360:18 *3738:58 0.000193036 -66 *1360:72 *3738:58 1.94945e-05 -67 *1395:126 *27304:A1 8.08763e-05 -68 *1398:11 *3738:47 1.24368e-05 -69 *1443:12 *3738:58 0.000114099 -70 *1450:28 *3738:41 9.09604e-05 -71 *1450:28 *3738:58 0.000315887 -72 *1464:56 *3738:41 0.00059159 -73 *1573:22 *3738:41 2.04745e-05 -74 *1615:14 *3738:41 0.0001219 -75 *1647:29 *3738:58 0.00199843 -76 *1685:46 *3738:41 0.000455068 -77 *1689:8 *3738:47 0.000835139 -78 *2851:30 *27304:A1 6.05161e-06 -79 *2864:182 *27304:A1 5.46402e-05 -80 *2864:196 *27304:A1 3.06878e-06 -81 *2865:152 *27304:A1 3.45371e-05 -82 *2866:119 *27304:A1 4.43081e-05 -83 *3173:158 *27304:A1 0.000398621 -84 *3182:134 *27304:A1 0.00162284 -85 *3197:85 *3738:58 0.000123847 -86 *3413:16 *3738:28 0.000117723 -87 *3413:16 *3738:41 0.000263609 -88 *3686:83 *3738:41 0.000352016 -89 *3725:10 *29291:A 0.000381589 -*RES -1 *28586:Q *3738:5 9.83571 -2 *3738:5 *29291:A 23.2821 -3 *3738:5 *3738:18 15.625 -4 *3738:18 *3738:28 44.9911 -5 *3738:28 *3738:41 47.4623 -6 *3738:41 *3738:47 18.0089 -7 *3738:47 *25371:A1 11.0679 -8 *3738:47 *3738:55 4.5 -9 *3738:55 *3738:58 49.6875 -10 *3738:58 *27304:A1 49.0814 -11 *3738:18 *27665:A1 14.7464 -*END - -*D_NET *3739 0.0862415 -*CONN -*I *6654:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25249:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27914:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27542:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *29454:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28332:Q O *D sky130_fd_sc_hd__dfstp_4 -*CAP -1 *6654:DIODE 0.000211997 -2 *25249:B2 0.000712915 -3 *27914:B2 1.62205e-05 -4 *27542:A1 0.00110715 -5 *29454:A 0.000548117 -6 *28332:Q 0 -7 *3739:131 0.00181047 -8 *3739:128 0.00175808 -9 *3739:122 0.00480363 -10 *3739:101 0.00611499 -11 *3739:92 0.00576748 -12 *3739:81 0.00522402 -13 *3739:56 0.00196208 -14 *3739:48 0.00197349 -15 *3739:38 0.00141175 -16 *3739:36 0.00161594 -17 *3739:19 0.00366042 -18 *3739:4 0.00122915 -19 *6654:DIODE *3899:40 7.29712e-05 -20 *25249:B2 *25249:A1 0.000175892 -21 *25249:B2 *27101:S 0.000257619 -22 *25249:B2 *5645:104 0 -23 *25249:B2 *5645:108 0.000191732 -24 *25249:B2 *5652:12 0.000130385 -25 *25249:B2 *5708:141 9.52522e-06 -26 *27542:A1 *27542:B2 3.10313e-06 -27 *27542:A1 *3856:29 6.41377e-05 -28 *27542:A1 *3856:43 4.15666e-05 -29 *27542:A1 *3866:35 0.000212414 -30 *27542:A1 *5651:56 0.00212964 -31 *29454:A *25776:A1 0.000686919 -32 *29454:A *5686:100 3.69047e-06 -33 *29454:A *5741:43 8.40933e-05 -34 *3739:19 *25799:A1 0.000294326 -35 *3739:19 *5076:19 9.41642e-05 -36 *3739:19 *5712:30 2.05612e-05 -37 *3739:19 *5741:43 0.000407929 -38 *3739:36 *25801:A1 0.000180564 -39 *3739:36 *3749:105 0.000177787 -40 *3739:36 *4064:37 0.000275782 -41 *3739:36 *4103:40 0.000152909 -42 *3739:36 *5686:35 5.98644e-05 -43 *3739:36 *5706:38 0.000173803 -44 *3739:38 *4064:12 0.00018286 -45 *3739:38 *4103:18 0.000105353 -46 *3739:38 *4185:30 0.000172348 -47 *3739:48 *28049:A 0.000223547 -48 *3739:48 *4064:12 0.000152415 -49 *3739:48 *4103:18 1.15058e-05 -50 *3739:48 *4116:36 1.50961e-05 -51 *3739:56 *25835:A0 5.41797e-06 -52 *3739:56 *3805:59 0.000121549 -53 *3739:81 *28047:B 2.83582e-05 -54 *3739:81 *3763:20 0.000145868 -55 *3739:81 *3806:74 6.57032e-05 -56 *3739:81 *5076:19 0.000344835 -57 *3739:81 *5717:117 0.000147583 -58 *3739:92 *3920:83 5.33005e-05 -59 *3739:92 *3962:35 0.00145066 -60 *3739:92 *3962:61 0.000307684 -61 *3739:92 *5600:47 9.41642e-05 -62 *3739:92 *5626:29 2.13481e-06 -63 *3739:101 *4088:56 0.000119899 -64 *3739:101 *5892:122 0.000580487 -65 *3739:122 *27684:A2 4.42871e-05 -66 *3739:122 *3751:30 0.000213669 -67 *3739:122 *3756:20 0.000447238 -68 *3739:122 *3768:27 4.19859e-05 -69 *3739:122 *3835:73 6.05161e-06 -70 *3739:122 *3847:72 0.000222807 -71 *3739:122 *3977:24 0.000646808 -72 *3739:122 *5629:164 0.000447238 -73 *3739:122 *5651:132 0.000128331 -74 *3739:122 *5652:12 0.000647363 -75 *3739:122 *5713:45 5.98111e-06 -76 *3739:122 *5795:96 0 -77 *3739:122 *5861:52 0 -78 *3739:128 *3820:91 9.53677e-05 -79 *3739:128 *3821:19 0.00213698 -80 *3739:128 *5582:20 0.000342807 -81 *3739:131 *25249:A1 5.59013e-05 -82 *3739:131 *3820:98 0.0015614 -83 *3739:131 *3912:35 5.29944e-05 -84 *6651:DIODE *3739:48 1.98839e-05 -85 *25107:C1 *3739:122 6.927e-05 -86 *25122:A2 *3739:92 3.40243e-05 -87 *25182:B1 *6654:DIODE 7.61603e-05 -88 *25236:A2 *3739:122 0 -89 *25249:B1 *25249:B2 5.09596e-06 -90 *25249:C1 *25249:B2 0.000245747 -91 *25776:S *29454:A 9.41642e-05 -92 *25799:S *3739:19 0.000135028 -93 *27158:S *3739:36 0.000350922 -94 *27516:A1 *3739:48 0.000121871 -95 *27542:A2 *27542:A1 0.000253091 -96 *27693:C *3739:122 5.33005e-05 -97 *27914:B1 *27914:B2 2.84269e-05 -98 *27915:C *3739:48 9.41642e-05 -99 *28539:CLK *6654:DIODE 2.14757e-05 -100 *28752:CLK *3739:131 0.000165754 -101 *28859:A *3739:81 0.000953902 -102 *29306:A *29454:A 8.70594e-05 -103 *29306:A *3739:19 0.000388045 -104 *30343:A *3739:92 8.85532e-05 -105 *30476:A *3739:128 9.41642e-05 -106 *30512:A *25249:B2 5.52238e-05 -107 *402:13 *3739:36 0.000663336 -108 *402:13 *3739:38 9.65773e-05 -109 *412:14 *3739:36 3.51613e-05 -110 *412:14 *3739:38 6.65873e-05 -111 *740:19 *3739:36 5.28721e-05 -112 *1205:22 *3739:36 1.90936e-05 -113 *1230:51 *3739:122 0.000108551 -114 *1243:58 *3739:122 9.0835e-05 -115 *1261:25 *6654:DIODE 4.48128e-05 -116 *1261:25 *25249:B2 0.000213988 -117 *1324:37 *3739:36 6.14836e-06 -118 *1358:19 *3739:122 0.00140865 -119 *1419:24 *3739:122 0 -120 *1456:134 *6654:DIODE 0.000219711 -121 *1456:134 *25249:B2 0.000516301 -122 *1460:25 *3739:92 0.000330831 -123 *1470:20 *27542:A1 0.000165221 -124 *1642:37 *3739:128 0.000112777 -125 *1741:13 *3739:36 0.000349162 -126 *2768:69 *3739:131 0.000449825 -127 *2789:27 *3739:56 9.64049e-06 -128 *2789:44 *3739:36 0.000360712 -129 *2859:187 *3739:122 0.000636482 -130 *2866:85 *3739:101 0.00197686 -131 *2866:88 *3739:101 3.10819e-05 -132 *2866:119 *3739:101 9.8958e-05 -133 *2892:186 *3739:131 0.000261227 -134 *2907:13 *3739:131 0.00023453 -135 *3156:112 *3739:56 0.000763213 -136 *3164:146 *3739:36 6.59552e-05 -137 *3165:314 *29454:A 0.000566178 -138 *3192:67 *27542:A1 0.000971712 -139 *3196:145 *29454:A 0.000564464 -140 *3205:230 *3739:122 2.79509e-06 -141 *3206:157 *3739:122 4.12921e-06 -142 *3305:19 *3739:101 0.000578639 -143 *3450:29 *3739:81 6.81786e-05 -144 *3454:18 *3739:48 1.50738e-05 -145 *3454:18 *3739:56 0.00141324 -146 *3455:17 *3739:38 6.34166e-05 -147 *3455:17 *3739:48 0.00020794 -148 *3479:8 *3739:36 0.000224298 -149 *3558:187 *3739:81 0.000601889 -150 *3561:19 *29454:A 0.00101974 -151 *3664:48 *3739:128 4.46689e-05 -152 *3664:57 *3739:128 0.0017856 -153 *3664:57 *3739:131 0.00133346 -154 *3685:20 *3739:36 0.000538971 -155 *3685:22 *3739:48 0.00164956 -156 *3697:33 *3739:36 0.000421863 -157 *3697:57 *3739:36 1.97773e-05 -158 *3697:57 *3739:48 0.00182527 -159 *3697:57 *3739:56 8.2958e-06 -160 *3697:71 *3739:56 0.00219704 -161 *3704:45 *3739:122 2.88018e-06 -162 *3730:28 *6654:DIODE 3.07101e-05 -*RES -1 *28332:Q *3739:4 9.3 -2 *3739:4 *29454:A 37.4607 -3 *3739:4 *3739:19 13.2857 -4 *3739:19 *3739:36 43.8228 -5 *3739:36 *3739:38 6.41964 -6 *3739:38 *3739:48 40.7321 -7 *3739:48 *3739:56 34.4286 -8 *3739:56 *27542:A1 37.3714 -9 *3739:38 *27914:B2 14.0857 -10 *3739:19 *3739:81 40.6786 -11 *3739:81 *3739:92 49.0536 -12 *3739:92 *3739:101 45.0439 -13 *3739:101 *3739:122 49.7038 -14 *3739:122 *3739:128 38 -15 *3739:128 *3739:131 32.5536 -16 *3739:131 *25249:B2 34.4295 -17 *3739:131 *6654:DIODE 22.8893 -*END - -*D_NET *3740 0.0478716 -*CONN -*I *25182:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27551:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27921:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *29906:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28333:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25182:B2 6.55911e-05 -2 *27551:B2 4.60367e-05 -3 *27921:B2 0.000246316 -4 *29906:A 0.000196334 -5 *28333:Q 5.59451e-05 -6 *3740:41 0.00910275 -7 *3740:31 0.0131639 -8 *3740:30 0.00533835 -9 *3740:17 0.00155652 -10 *3740:7 0.000304885 -11 *25182:B2 *3952:68 0.000135028 -12 *25182:B2 *4068:24 8.07951e-05 -13 *27921:B2 *4065:21 4.83668e-05 -14 *27921:B2 *4065:53 0.00010629 -15 *29906:A *25880:A0 0.000147625 -16 *29906:A *3871:73 1.81314e-05 -17 *3740:7 *28333:RESET_B 0.000175892 -18 *3740:17 *3871:73 3.0285e-05 -19 *3740:30 *3871:73 5.67727e-05 -20 *3740:30 *5611:34 0.000116622 -21 *3740:30 *5824:50 5.33978e-05 -22 *3740:31 *25329:A1 0.00179809 -23 *3740:31 *28245:D 0.000265094 -24 *3740:31 *28245:RESET_B 1.77736e-05 -25 *3740:31 *29345:A 1.58163e-05 -26 *3740:31 *4100:5 5.75147e-05 -27 *3740:41 *25076:A1 0.000179798 -28 *3740:41 *25077:B2 0.000190988 -29 *3740:41 *25196:A1 1.7784e-05 -30 *3740:41 *25329:A1 0.00071048 -31 *3740:41 *27895:B2 9.62745e-05 -32 *3740:41 *27947:B2 0.000200155 -33 *3740:41 *3952:68 0.00210544 -34 *3740:41 *3975:44 2.96143e-05 -35 *25076:A2 *3740:41 1.21258e-05 -36 *25077:C1 *3740:41 9.41642e-05 -37 *25182:B1 *25182:B2 0.000136676 -38 *25196:A2 *3740:41 0.000637725 -39 *25233:A2 *27551:B2 0.000150625 -40 *25784:S *3740:31 7.07155e-06 -41 *25880:S *29906:A 1.2294e-05 -42 *26258:C *3740:30 0 -43 *26413:B2 *3740:30 0.000465264 -44 *26715:B1 *3740:30 0.000396427 -45 *26715:C1 *3740:30 0.000157474 -46 *27921:B1 *27921:B2 5.52238e-05 -47 *27924:C1 *29906:A 3.11713e-05 -48 *28299:CLK *3740:30 0.000329101 -49 *29432:A *3740:30 1.85696e-05 -50 *30446:A *3740:31 0.000163236 -51 *30529:A *3740:31 0.000179573 -52 *1207:11 *29906:A 0.000219289 -53 *1209:13 *3740:7 0.000175892 -54 *1263:181 *29906:A 0.000220935 -55 *1396:40 *3740:30 0.000175211 -56 *1450:28 *3740:41 0.000593389 -57 *1476:216 *27551:B2 0.000150625 -58 *1516:10 *3740:41 0.00233409 -59 *1647:19 *3740:41 0.000424029 -60 *2198:23 *3740:30 7.01086e-05 -61 *2781:98 *3740:41 0.000262679 -62 *2932:20 *25182:B2 7.90803e-05 -63 *3196:179 *3740:30 0.00110504 -64 *3553:17 *3740:31 0.000265734 -65 *3586:10 *3740:30 0.000431305 -66 *3658:10 *3740:30 9.12894e-05 -67 *3700:8 *3740:30 9.43382e-05 -68 *3711:6 *3740:30 0.000556698 -69 *3711:16 *29906:A 0.000170996 -70 *3711:16 *3740:17 8.98585e-05 -71 *3711:16 *3740:30 0.00078363 -*RES -1 *28333:Q *3740:7 15.5679 -2 *3740:7 *29906:A 19.1393 -3 *3740:7 *3740:17 1.33929 -4 *3740:17 *27921:B2 17.1214 -5 *3740:17 *3740:30 45 -6 *3740:30 *3740:31 49.8214 -7 *3740:31 *27551:B2 20.2464 -8 *3740:31 *3740:41 110.607 -9 *3740:41 *25182:B2 20.6929 -*END - -*D_NET *3741 0.0250774 -*CONN -*I *25137:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27951:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27586:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30243:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28334:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *25137:A1 0.000110504 -2 *27951:B2 0 -3 *27586:B2 9.05765e-05 -4 *30243:A 0.000521882 -5 *28334:Q 6.66116e-05 -6 *3741:68 0.00137295 -7 *3741:38 0.00279124 -8 *3741:27 0.00329244 -9 *3741:5 0.00244271 -10 *30243:A *4113:25 0.000732888 -11 *30243:A *5699:26 6.89785e-05 -12 *30243:A *5699:41 8.18331e-05 -13 *3741:5 *5626:11 9.86146e-05 -14 *3741:27 *24879:C_N 0.00105919 -15 *3741:27 *4113:86 7.17097e-05 -16 *3741:27 *5626:11 0.000178847 -17 *3741:27 *5706:27 0.00010798 -18 *3741:38 *27562:A 0.00018178 -19 *3741:38 *27585:A1 0.000114992 -20 *3741:38 *3806:62 3.34366e-05 -21 *3741:38 *3806:74 0.000625791 -22 *3741:38 *3897:48 0.000133379 -23 *3741:38 *3958:16 0.000111346 -24 *3741:38 *4131:36 2.52078e-05 -25 *3741:38 *4131:56 4.00305e-05 -26 *3741:38 *5909:44 2.57066e-05 -27 *3741:68 *27585:A1 0.000172584 -28 *3741:68 *3958:16 0.000604033 -29 *3741:68 *4350:48 6.37188e-06 -30 *3741:68 *5656:189 5.56074e-05 -31 *25137:B1 *25137:A1 2.84109e-05 -32 *25198:A2 *3741:68 9.25014e-06 -33 *25416:B2 *30243:A 0.0001438 -34 *25874:S *3741:27 6.19157e-05 -35 *25876:A *30243:A 9.60337e-06 -36 *27545:A2 *3741:68 0.000416951 -37 *27577:A2 *27586:B2 4.13595e-05 -38 *27586:B1 *27586:B2 8.86559e-05 -39 *27586:B1 *3741:38 7.68942e-05 -40 *27588:B1 *3741:27 6.64121e-05 -41 *27951:B1 *3741:68 0.000221634 -42 *1205:22 *3741:27 0.000836577 -43 *1423:13 *3741:68 0.000221634 -44 *1456:64 *25137:A1 5.42547e-05 -45 *1456:64 *3741:27 0.00246268 -46 *1476:21 *3741:38 3.70618e-05 -47 *2845:127 *3741:38 0.000379791 -48 *2855:121 *3741:68 9.6728e-05 -49 *2866:217 *3741:38 0.0003732 -50 *2895:171 *3741:27 0.000167002 -51 *3101:17 *3741:68 0.000384075 -52 *3143:11 *3741:38 9.87983e-06 -53 *3143:11 *3741:68 0.00139238 -54 *3164:146 *3741:27 0.000109992 -55 *3168:153 *3741:38 9.943e-05 -56 *3413:41 *3741:68 0.00108721 -57 *3450:29 *3741:38 0.000981386 -58 *3461:26 *3741:27 0 -*RES -1 *28334:Q *3741:5 10.2464 -2 *3741:5 *30243:A 29.9607 -3 *3741:5 *3741:27 29.5316 -4 *3741:27 *3741:38 38.113 -5 *3741:38 *27586:B2 15.7152 -6 *3741:38 *3741:68 42.1384 -7 *3741:68 *27951:B2 9.3 -8 *3741:27 *25137:A1 11.0679 -*END - -*D_NET *3742 0.024918 -*CONN -*I *29831:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27342:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27691:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25296:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28587:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *29831:A 0.000234545 -2 *27342:A1 0.00121293 -3 *27691:B2 1.8416e-05 -4 *25296:A1 0.000337375 -5 *28587:Q 2.2725e-05 -6 *3742:50 0.00135187 -7 *3742:48 0.00304564 -8 *3742:36 0.00464071 -9 *3742:12 0.002155 -10 *3742:11 0.000359293 -11 *25296:A1 *3776:42 0.00014101 -12 *25296:A1 *3776:44 2.14378e-05 -13 *25296:A1 *4014:24 0.000178545 -14 *27342:A1 *27342:B2 3.03567e-05 -15 *27342:A1 *27691:A1 2.44308e-05 -16 *27342:A1 *3886:78 9.41642e-05 -17 *27342:A1 *5645:108 0.00014559 -18 *27342:A1 *5836:45 0.000346197 -19 *27342:A1 *5836:55 0 -20 *27691:B2 *27691:A1 1.03994e-05 -21 *29831:A *27011:A0 5.33005e-05 -22 *29831:A *27011:A1 0.00034188 -23 *29831:A *4014:22 0.000161356 -24 *29831:A *5709:159 1.46231e-05 -25 *29831:A *5709:182 7.40839e-05 -26 *3742:12 *4014:22 9.53123e-05 -27 *3742:12 *4014:24 6.71403e-05 -28 *3742:36 *24941:A 0.000750517 -29 *3742:36 *26847:B 9.04181e-05 -30 *3742:36 *26902:A0 9.83442e-05 -31 *3742:36 *28490:D 7.80693e-05 -32 *3742:36 *3959:63 9.76123e-05 -33 *3742:36 *4022:47 2.42516e-05 -34 *3742:36 *5656:153 3.6335e-05 -35 *3742:36 *5669:173 1.98839e-05 -36 *3742:48 *26902:A0 2.36643e-05 -37 *3742:48 *26902:A1 7.61335e-05 -38 *3742:48 *27338:A1 1.81887e-05 -39 *3742:48 *27691:A1 2.21721e-05 -40 *3742:48 *28595:D 0.000878597 -41 *3742:48 *28603:D 0.000191274 -42 *3742:48 *28603:SET_B 9.51514e-06 -43 *3742:48 *28630:RESET_B 1.24501e-05 -44 *3742:48 *29312:A 0.000300131 -45 *3742:48 *3768:7 5.33005e-05 -46 *3742:48 *3959:63 9.76435e-06 -47 *3742:48 *4063:23 0.000125125 -48 *3742:48 *4067:32 0.00161685 -49 *3742:48 *5764:79 8.36635e-05 -50 *3742:48 *5836:257 4.61418e-05 -51 *3742:48 *5836:262 1.83136e-05 -52 *3742:50 *27338:A1 7.95355e-05 -53 *3742:50 *27691:A1 2.43834e-05 -54 *24941:B *3742:36 1.98839e-05 -55 *25077:A2 *3742:36 0.000257619 -56 *25246:A2 *3742:36 5.50052e-05 -57 *25297:B1 *25296:A1 0.000102196 -58 *25297:C1 *25296:A1 0.000128154 -59 *26902:S *3742:48 0.000220937 -60 *27011:S *29831:A 0.000135028 -61 *27308:A2 *3742:48 0.000422227 -62 *27338:A2 *3742:48 9.94194e-06 -63 *27338:A2 *3742:50 5.33005e-05 -64 *27342:C1 *27342:A1 1.95067e-05 -65 *27343:B *27342:A1 4.04195e-05 -66 *27343:B *3742:50 0.000258063 -67 *27343:D *27342:A1 0.0010496 -68 *29313:A *3742:36 4.5632e-05 -69 *29313:A *3742:48 5.49007e-05 -70 *30423:A *3742:48 2.2351e-05 -71 *1235:159 *3742:36 0.000258726 -72 *1267:5 *3742:36 9.67754e-05 -73 *1405:49 *25296:A1 8.85631e-05 -74 *1435:81 *25296:A1 8.90725e-05 -75 *1435:81 *3742:12 1.03904e-05 -76 *1463:23 *3742:36 0.000123498 -77 *1463:32 *29831:A 0.000168397 -78 *1463:32 *3742:12 0.000218098 -79 *1558:48 *3742:11 4.91141e-05 -80 *1559:10 *3742:36 1.55843e-05 -81 *1565:20 *25296:A1 2.02794e-05 -82 *1574:21 *27342:A1 0.000466401 -83 *2759:120 *27342:A1 0.00014559 -84 *2866:270 *27342:A1 2.59355e-05 -85 *2871:318 *3742:48 0.000189643 -86 *2932:20 *27342:A1 9.41642e-05 -*RES -1 *28587:Q *3742:11 14.4339 -2 *3742:11 *3742:12 3.16071 -3 *3742:12 *25296:A1 22.0679 -4 *3742:12 *3742:36 40.0893 -5 *3742:36 *3742:48 48.4821 -6 *3742:48 *3742:50 3.00446 -7 *3742:50 *27691:B2 9.72857 -8 *3742:50 *27342:A1 43.5277 -9 *3742:11 *29831:A 20.2821 -*END - -*D_NET *3743 0.0359264 -*CONN -*I *30386:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25237:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27365:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27702:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28588:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *30386:A 0.000152906 -2 *25237:B2 0 -3 *27365:A1 4.88358e-05 -4 *27702:A1 0.000331992 -5 *28588:Q 0 -6 *3743:51 0.00167909 -7 *3743:42 0.00359615 -8 *3743:40 0.00256262 -9 *3743:28 0.00158641 -10 *3743:20 0.00127504 -11 *3743:4 0.000464444 -12 *27365:A1 *3820:91 4.59043e-05 -13 *27365:A1 *3912:66 8.74121e-05 -14 *27702:A1 *27702:A2 5.33005e-05 -15 *27702:A1 *3821:19 0.000395945 -16 *27702:A1 *4094:20 0.000395945 -17 *27702:A1 *4120:47 0.000162863 -18 *27702:A1 *5628:137 5.33005e-05 -19 *30386:A *28588:RESET_B 8.67931e-05 -20 *30386:A *3821:19 6.24939e-05 -21 *30386:A *4094:20 6.43424e-05 -22 *3743:20 *27367:A 5.49635e-05 -23 *3743:20 *5628:137 0.000135028 -24 *3743:28 *27367:A 0.000496436 -25 *3743:28 *3978:65 0.000301434 -26 *3743:28 *6225:139 0.000291886 -27 *3743:40 *25238:A1 0.000241513 -28 *3743:40 *3978:65 0.000127571 -29 *3743:40 *6225:139 0.000139559 -30 *3743:42 *3835:51 0.00262766 -31 *3743:42 *3978:66 0.00497117 -32 *3743:42 *4069:74 0.000916656 -33 *3743:42 *4107:40 0.000102067 -34 *3743:42 *5589:31 0.000140306 -35 *3743:42 *5600:173 0.000623111 -36 *3743:42 *5609:152 3.50729e-05 -37 *3743:51 *27366:B2 0.000337889 -38 *3743:51 *3978:66 4.40021e-05 -39 *3743:51 *4068:41 0.00138446 -40 *3743:51 *4107:40 0.000175406 -41 *3743:51 *4146:27 0.000165754 -42 *3743:51 *5609:152 0.00081308 -43 *3743:51 *5630:43 6.35864e-05 -44 *25190:B1 *30386:A 0.000127359 -45 *27372:A1 *3743:51 4.69822e-05 -46 *27701:C1 *3743:40 0.000778514 -47 *27701:C1 *3743:42 0.000244721 -48 *27711:B2 *3743:51 4.18413e-05 -49 *1225:33 *3743:51 0.000274226 -50 *1260:91 *3743:28 0.000837726 -51 *1272:72 *3743:40 0.000107422 -52 *1272:72 *3743:42 0.000563333 -53 *1286:27 *30386:A 8.94491e-05 -54 *2850:179 *3743:51 0.00187135 -55 *2859:187 *3743:51 0.000360421 -56 *2864:164 *3743:51 0.000107157 -57 *2864:176 *27365:A1 1.63015e-05 -58 *2875:207 *3743:51 1.09611e-05 -59 *2877:349 *3743:40 0.000289718 -60 *2880:178 *3743:51 1.31563e-05 -61 *2895:316 *27702:A1 0.000382744 -62 *2932:20 *3743:42 0.000180903 -63 *3153:204 *3743:40 9.35114e-06 -64 *3153:221 *3743:40 0.000112286 -65 *3179:167 *3743:20 6.35864e-05 -66 *3179:167 *3743:28 0.000494919 -67 *3250:23 *3743:51 0.00160763 -*RES -1 *28588:Q *3743:4 9.3 -2 *3743:4 *27702:A1 27.9429 -3 *3743:4 *3743:20 6.74107 -4 *3743:20 *3743:28 28.0625 -5 *3743:28 *3743:40 23.9107 -6 *3743:40 *3743:42 71.7679 -7 *3743:42 *3743:51 49.0481 -8 *3743:51 *27365:A1 15.1482 -9 *3743:28 *25237:B2 13.8 -10 *3743:20 *30386:A 21.8392 -*END - -*D_NET *3744 0.0274626 -*CONN -*I *24843:A I *D sky130_fd_sc_hd__inv_2 -*I *30155:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27742:A1 I *D sky130_fd_sc_hd__a211o_1 -*I *27393:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25205:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28589:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *24843:A 3.10166e-05 -2 *30155:A 0 -3 *27742:A1 0.000423084 -4 *27393:B2 4.70874e-05 -5 *25205:A1 0.000449508 -6 *28589:Q 0.000577927 -7 *3744:36 0.00121089 -8 *3744:31 0.00252954 -9 *3744:20 0.00343666 -10 *3744:5 0.00265345 -11 *24843:A *4511:19 5.52238e-05 -12 *25205:A1 *25205:B2 1.06722e-05 -13 *25205:A1 *4030:28 6.057e-07 -14 *27742:A1 *27742:A2 3.63587e-05 -15 *27742:A1 *29053:A 9.20274e-05 -16 *27742:A1 *4082:33 0.00017919 -17 *27742:A1 *4147:46 0.00015735 -18 *27742:A1 *5639:200 1.21258e-05 -19 *27742:A1 *5645:235 7.47029e-06 -20 *27742:A1 *5695:124 8.1777e-05 -21 *27742:A1 *5695:133 0.00024892 -22 *3744:5 *26905:A0 0.000301527 -23 *3744:5 *28589:D 2.78512e-05 -24 *3744:5 *28589:RESET_B 4.50774e-05 -25 *3744:5 *30839:A 7.95716e-05 -26 *3744:5 *4511:19 4.27437e-05 -27 *3744:20 *28673:RESET_B 4.22135e-06 -28 *3744:20 *28679:RESET_B 0.00020619 -29 *3744:20 *3800:69 0.000229384 -30 *3744:20 *3891:13 0.000107007 -31 *3744:20 *4069:17 5.36e-05 -32 *3744:20 *4465:17 0.000921994 -33 *3744:20 *4511:19 7.49387e-06 -34 *3744:20 *5696:77 0.000174127 -35 *3744:20 *5773:6 2.11419e-05 -36 *3744:20 *5801:19 0.000380077 -37 *3744:20 *5847:12 4.00349e-05 -38 *3744:31 *3757:15 0.00163381 -39 *3744:31 *3757:20 0.000540829 -40 *3744:31 *3759:16 8.84829e-05 -41 *3744:31 *3916:20 9.01905e-05 -42 *3744:31 *4069:32 0.00167019 -43 *3744:31 *4069:33 0.00222797 -44 *3744:36 *4030:28 5.68312e-06 -45 *3744:36 *4069:33 0.00108137 -46 *3744:36 *4069:41 0.000359805 -47 *25205:A2 *25205:A1 5.01576e-05 -48 *27393:B1 *27393:B2 3.55928e-05 -49 *27393:C1 *25205:A1 3.10819e-05 -50 *27393:C1 *3744:36 9.8045e-05 -51 *27742:B1 *27742:A1 1.97695e-05 -52 *30028:A *27742:A1 4.85277e-05 -53 *826:27 *3744:20 8.28647e-05 -54 *1272:113 *25205:A1 0 -55 *1525:14 *25205:A1 0.000298483 -56 *2765:18 *25205:A1 4.53834e-05 -57 *2770:118 *3744:36 0.000135743 -58 *2773:84 *3744:31 1.54993e-05 -59 *2773:94 *25205:A1 0.000139187 -60 *2775:99 *3744:20 9.666e-05 -61 *2788:76 *3744:36 0.000108576 -62 *2856:355 *27393:B2 4.98274e-05 -63 *2864:301 *25205:A1 1.6364e-05 -64 *2864:321 *27393:B2 9.41642e-05 -65 *2888:291 *3744:31 3.95114e-05 -66 *2888:291 *3744:36 0.000396454 -67 *2955:15 *25205:A1 4.72684e-05 -68 *3281:8 *25205:A1 0.000214511 -69 *3281:8 *3744:36 0.000212172 -70 *3582:146 *3744:31 8.33274e-05 -71 *3692:32 *3744:31 0.00133819 -72 *3692:45 *3744:31 0.000291382 -73 *3705:22 *27742:A1 0.000892651 -*RES -1 *28589:Q *3744:5 20.1036 -2 *3744:5 *3744:20 33.6368 -3 *3744:20 *3744:31 31.3033 -4 *3744:31 *3744:36 8.77206 -5 *3744:36 *25205:A1 23.2643 -6 *3744:36 *27393:B2 15.1795 -7 *3744:31 *27742:A1 30.3975 -8 *3744:20 *30155:A 9.3 -9 *3744:5 *24843:A 10.2643 -*END - -*D_NET *3745 0.00679403 -*CONN -*I *27408:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30330:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27750:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25140:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28590:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27408:B2 3.93548e-05 -2 *30330:A 0 -3 *27750:B2 0.000660602 -4 *25140:B2 0.000436165 -5 *28590:Q 0.000370095 -6 *3745:26 0.000958789 -7 *3745:20 0.000350521 -8 *3745:8 0.000819239 -9 *25140:B2 *27023:A1 8.6229e-06 -10 *25140:B2 *3849:30 0.000195555 -11 *25140:B2 *4133:12 0.000161217 -12 *27408:B2 *27408:A1 3.57366e-05 -13 *27750:B2 *27014:A1 0.000110763 -14 *27750:B2 *4122:8 0.000120504 -15 *27750:B2 *5630:292 5.87889e-05 -16 *3745:8 *27023:A1 1.04707e-05 -17 *3745:8 *5762:116 9.60939e-05 -18 *3745:20 *27408:A1 1.27731e-05 -19 *3745:26 *27014:A1 0.000265453 -20 *3745:26 *27023:A1 0.000235264 -21 *27408:C1 *27408:B2 3.71364e-05 -22 *27408:C1 *3745:26 6.05161e-06 -23 *27409:D *25140:B2 0.000900352 -24 *27409:D *3745:26 1.21258e-05 -25 *27750:C1 *27750:B2 5.23853e-05 -26 *2773:150 *27750:B2 0.000110029 -27 *2775:117 *3745:8 2.76559e-05 -28 *2780:259 *25140:B2 4.63766e-05 -29 *2780:259 *3745:8 2.70899e-05 -30 *2788:124 *25140:B2 0.000472351 -31 *2788:124 *3745:8 7.55747e-05 -32 *2894:319 *25140:B2 2.59355e-05 -33 *3732:13 *25140:B2 5.49544e-05 -*RES -1 *28590:Q *3745:8 18.6571 -2 *3745:8 *25140:B2 27.5143 -3 *3745:8 *3745:20 4.92857 -4 *3745:20 *3745:26 6.32143 -5 *3745:26 *27750:B2 27.675 -6 *3745:26 *30330:A 9.3 -7 *3745:20 *27408:B2 10.3938 -*END - -*D_NET *3746 0.030862 -*CONN -*I *27431:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *29065:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27790:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25065:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28591:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *27431:B2 0.000938012 -2 *29065:A 0.000361691 -3 *27790:B2 0.00201809 -4 *25065:A1 0.00122285 -5 *28591:Q 7.70325e-05 -6 *3746:22 0.0021234 -7 *3746:19 0.00187129 -8 *3746:12 0.00333694 -9 *3746:7 0.000709791 -10 *3746:5 0.00101504 -11 *25065:A1 *27463:B2 0.000195647 -12 *25065:A1 *4108:36 0.000129684 -13 *25065:A1 *5658:113 0.0013201 -14 *25065:A1 *5658:124 9.99931e-06 -15 *25065:A1 *5683:166 0.00103907 -16 *25065:A1 *5718:387 0.000101129 -17 *25065:A1 *5777:247 2.26327e-05 -18 *27431:B2 *27431:A1 7.48927e-06 -19 *27431:B2 *28591:D 0.000116421 -20 *27431:B2 *3759:16 9.65161e-05 -21 *27431:B2 *5654:157 9.66977e-05 -22 *27790:B2 *25059:A1 0.000135028 -23 *27790:B2 *27790:B1 7.39814e-05 -24 *27790:B2 *5607:115 0.000675567 -25 *27790:B2 *5652:200 0.000264647 -26 *29065:A *5682:102 0.000135028 -27 *29065:A *5905:79 0.000590196 -28 *3746:12 *5905:79 0.000734195 -29 *3746:19 *27132:A1 0.000150625 -30 *3746:19 *5658:124 0.000545022 -31 *3746:19 *5905:79 0.00124845 -32 *3746:22 *27060:A0 0.000236317 -33 *3746:22 *27423:A1 9.44259e-05 -34 *3746:22 *27423:B2 0.000937386 -35 *3746:22 *4121:62 0.000112287 -36 *3746:22 *5632:90 0.000651369 -37 *3746:22 *5702:147 1.90936e-05 -38 *25047:A2 *25065:A1 0.000203024 -39 *25059:A2 *27790:B2 6.34436e-05 -40 *25059:B1 *27790:B2 0.000221212 -41 *25066:C1 *25065:A1 0.000135028 -42 *25071:C *27790:B2 0.000121893 -43 *25194:A2 *27431:B2 0.000135028 -44 *25194:A2 *3746:5 9.41642e-05 -45 *27428:B1 *3746:22 0.000149647 -46 *27431:B1 *27431:B2 5.46597e-05 -47 *27438:B2 *25065:A1 0.000348734 -48 *27438:B2 *3746:22 8.41284e-06 -49 *27744:A2 *25065:A1 2.09897e-05 -50 *1246:111 *3746:19 5.52238e-05 -51 *1246:119 *3746:19 0.00145992 -52 *1269:137 *27790:B2 0.000425831 -53 *1270:66 *25065:A1 1.90936e-05 -54 *1273:167 *27431:B2 3.72472e-05 -55 *1826:178 *3746:22 0.000103 -56 *2773:84 *27431:B2 2.90075e-05 -57 *2785:10 *3746:22 0.000302109 -58 *2785:12 *29065:A 0.000580946 -59 *2785:12 *3746:12 0.000530143 -60 *2785:12 *3746:19 0.000338558 -61 *2997:20 *3746:19 9.22222e-06 -62 *2997:20 *3746:22 0.00012309 -63 *3174:261 *3746:19 2.61099e-05 -64 *3176:254 *25065:A1 0.000113898 -65 *3215:199 *25065:A1 0.000286408 -66 *3215:199 *3746:22 0.000390155 -67 *3215:286 *3746:22 0.000323261 -68 *3668:34 *25065:A1 7.38556e-05 -69 *3668:49 *25065:A1 7.56271e-05 -70 *3692:45 *27431:B2 0.000467426 -71 *3694:77 *3746:19 0.000102631 -72 *3733:31 *29065:A 1.98839e-05 -*RES -1 *28591:Q *3746:5 10.2464 -2 *3746:5 *3746:7 4.5 -3 *3746:7 *3746:12 10.5 -4 *3746:12 *3746:19 39.0179 -5 *3746:19 *3746:22 27.5268 -6 *3746:22 *25065:A1 47.4875 -7 *3746:12 *27790:B2 42.0187 -8 *3746:7 *29065:A 23.5857 -9 *3746:5 *27431:B2 31.4071 -*END - -*D_NET *3747 0.0086133 -*CONN -*I *30409:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25019:A1 I *D sky130_fd_sc_hd__a211o_1 -*I *27797:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27447:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28592:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30409:A 0 -2 *25019:A1 0.000283228 -3 *27797:A1 0.000141307 -4 *27447:A1 0.000724151 -5 *28592:Q 0.000503976 -6 *3747:21 0.00123581 -7 *3747:13 0.00141429 -8 *3747:11 0.00126468 -9 *25019:A1 *4007:6 0.000628121 -10 *25019:A1 *5492:22 0.00062976 -11 *27447:A1 *5660:35 0 -12 *27797:A1 *27441:A1 5.49544e-05 -13 *27797:A1 *27797:A2 2.21991e-05 -14 *27797:A1 *3982:56 2.90527e-05 -15 *27797:A1 *5642:35 6.05161e-06 -16 *27797:A1 *5645:47 5.20298e-05 -17 *3747:11 *5606:81 0.00022075 -18 *25019:A2 *25019:A1 2.62395e-05 -19 *27017:S *3747:11 5.52238e-05 -20 *1270:114 *3747:11 4.89264e-05 -21 *1339:34 *3747:11 0.000106018 -22 *1339:34 *3747:13 2.79421e-05 -23 *1341:15 *25019:A1 0.000236525 -24 *1343:13 *25019:A1 1.65169e-05 -25 *1347:31 *3747:11 0.000312176 -26 *3006:10 *27447:A1 2.72887e-05 -27 *3343:10 *27447:A1 0.000424853 -28 *3343:10 *27797:A1 0.00012123 -*RES -1 *28592:Q *3747:11 27.9607 -2 *3747:11 *3747:13 9.98214 -3 *3747:13 *3747:21 9.69643 -4 *3747:21 *27447:A1 25.675 -5 *3747:21 *27797:A1 17.0143 -6 *3747:13 *25019:A1 28.925 -7 *3747:11 *30409:A 9.3 -*END - -*D_NET *3748 0.0356323 -*CONN -*I *30149:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27824:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27473:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *24981:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28593:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *30149:A 0 -2 *27824:B2 0.000716465 -3 *27473:A1 0.000278031 -4 *24981:B2 0.0006203 -5 *28593:Q 0.000339792 -6 *3748:60 0.00179041 -7 *3748:38 0.00193828 -8 *3748:37 0.00151278 -9 *3748:34 0.00181536 -10 *3748:15 0.00233142 -11 *3748:11 0.00116203 -12 *24981:B2 *4098:42 0.00014712 -13 *24981:B2 *5602:53 0.000221764 -14 *24981:B2 *5628:45 0.000167619 -15 *27473:A1 *27473:B2 1.21963e-05 -16 *27473:A1 *5643:303 0.000149129 -17 *27824:B2 *24994:B2 1.98839e-05 -18 *27824:B2 *27824:B1 5.49544e-05 -19 *27824:B2 *3891:66 0.00190695 -20 *27824:B2 *5643:316 0.000757469 -21 *3748:11 *5583:151 0.000364096 -22 *3748:11 *5675:86 0.000354791 -23 *3748:11 *5936:6 2.49484e-05 -24 *3748:15 *27441:A1 0.000105471 -25 *3748:15 *28592:D 5.19805e-05 -26 *3748:15 *5675:86 0.000154354 -27 *3748:34 *25029:A2 7.13226e-06 -28 *3748:34 *5386:12 0.000442039 -29 *3748:34 *5583:149 3.75925e-05 -30 *3748:37 *3812:24 0.000968319 -31 *3748:37 *4098:39 0.000580031 -32 *3748:37 *5756:86 0.000417035 -33 *3748:37 *5756:95 0.00058498 -34 *3748:38 *24851:A 0.00109758 -35 *3748:38 *5643:303 0.000159067 -36 *3748:60 *27479:B2 0 -37 *3748:60 *3891:89 0.000111989 -38 *3748:60 *5645:72 0.00113287 -39 *3748:60 *5645:80 2.15567e-05 -40 *3748:60 *5663:35 0.000117341 -41 *24981:A1 *24981:B2 3.20127e-05 -42 *24981:B1 *24981:B2 3.03567e-05 -43 *25032:C *3748:34 9.71197e-05 -44 *27473:A2 *27473:A1 0.000114596 -45 *27824:A2 *27824:B2 0.000264325 -46 *28585:CLK *24981:B2 0.000196269 -47 *30410:A *3748:15 0.000425959 -48 *30421:A *3748:34 0.00012501 -49 *244:65 *24981:B2 0.00172703 -50 *244:65 *3748:34 0.000750918 -51 *1258:100 *3748:60 9.47942e-06 -52 *1268:96 *3748:34 0.0013139 -53 *1269:267 *3748:37 0.00106941 -54 *1270:94 *3748:34 0.000355497 -55 *1270:94 *3748:37 0.000121052 -56 *1272:160 *3748:37 0.000187555 -57 *1272:168 *3748:37 0.0010361 -58 *1304:28 *27824:B2 0.00043298 -59 *1304:28 *3748:60 0.00112749 -60 *1347:31 *3748:15 0.000135028 -61 *2768:22 *3748:37 0.000150257 -62 *2773:16 *24981:B2 0.000218352 -63 *2773:16 *3748:34 0.00163658 -64 *3164:267 *27824:B2 0.000338774 -65 *3164:267 *3748:60 2.26355e-05 -66 *3179:82 *27824:B2 0.000324664 -67 *3206:219 *3748:60 8.47973e-05 -68 *3633:77 *24981:B2 0.000198698 -69 *3633:86 *24981:B2 0.000198705 -70 *3682:25 *3748:37 0.00015134 -71 *3695:26 *3748:37 1.94945e-05 -72 *3735:34 *24981:B2 6.07232e-05 -*RES -1 *28593:Q *3748:11 26.1036 -2 *3748:11 *3748:15 18.5893 -3 *3748:15 *24981:B2 36.3714 -4 *3748:15 *3748:34 43.4286 -5 *3748:34 *3748:37 40.4464 -6 *3748:37 *3748:38 12.4464 -7 *3748:38 *27473:A1 13.9607 -8 *3748:38 *3748:60 34.2482 -9 *3748:60 *27824:B2 40.9518 -10 *3748:11 *30149:A 9.3 -*END - -*D_NET *3749 0.0519692 -*CONN -*I *25378:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29361:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27491:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27849:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28330:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25378:B2 4.94028e-06 -2 *29361:A 3.61152e-05 -3 *27491:A1 0.00140714 -4 *27849:B2 0.000140356 -5 *28330:Q 2.99504e-05 -6 *3749:113 0.0023546 -7 *3749:108 0.00666002 -8 *3749:105 0.00617552 -9 *3749:54 0.00215562 -10 *3749:46 0.00333533 -11 *3749:18 0.00311361 -12 *3749:7 0.00224541 -13 *27491:A1 *27491:B2 5.52302e-05 -14 *27491:A1 *3960:28 0 -15 *27491:A1 *5657:168 0.00122017 -16 *27849:B2 *3815:59 1.65036e-05 -17 *27849:B2 *4074:23 5.52302e-05 -18 *27849:B2 *5902:28 0.000131813 -19 *3749:7 *27157:A1 9.41642e-05 -20 *3749:18 *25877:A0 0.000164592 -21 *3749:18 *4065:107 0.000136682 -22 *3749:18 *5253:44 0.000120494 -23 *3749:46 *25467:C1 0.000575959 -24 *3749:46 *25491:C 0.00151893 -25 *3749:46 *25634:B 0.000148196 -26 *3749:46 *29001:A 0.000495604 -27 *3749:46 *3830:70 1.95404e-05 -28 *3749:46 *3840:27 0.00012401 -29 *3749:46 *3896:26 0 -30 *3749:46 *4064:37 2.91855e-05 -31 *3749:46 *4126:48 0.00085235 -32 *3749:46 *4127:49 0.00120663 -33 *3749:46 *5666:77 9.77167e-05 -34 *3749:46 *5666:138 0.000114759 -35 *3749:46 *5712:92 5.31122e-05 -36 *3749:46 *5728:172 0 -37 *3749:46 *5744:30 2.37836e-05 -38 *3749:54 *25835:A0 0.000219289 -39 *3749:54 *5902:22 1.1594e-05 -40 *3749:54 *5902:28 0.000657098 -41 *3749:105 *25645:A0 0.000107157 -42 *3749:105 *27157:A1 0.000162641 -43 *3749:105 *28330:RESET_B 2.72763e-05 -44 *3749:105 *3793:52 9.40094e-05 -45 *3749:105 *3831:83 6.14439e-05 -46 *3749:105 *3957:22 0.000141178 -47 *3749:105 *3984:33 0.000380319 -48 *3749:105 *4126:84 4.37878e-05 -49 *3749:105 *4159:56 1.89255e-05 -50 *3749:105 *5007:31 0.000190024 -51 *3749:105 *5253:44 2.3483e-05 -52 *3749:105 *5706:38 6.11997e-05 -53 *3749:105 *5728:205 8.94556e-05 -54 *3749:108 *25935:A1 0.000136928 -55 *3749:108 *28329:RESET_B 2.59355e-05 -56 *3749:108 *28379:RESET_B 5.45854e-05 -57 *3749:108 *30332:A 2.59355e-05 -58 *3749:108 *3819:5 8.93791e-05 -59 *3749:108 *3948:41 4.85033e-05 -60 *3749:108 *3961:64 5.47548e-05 -61 *3749:108 *5210:25 3.89338e-05 -62 *3749:108 *5650:75 3.98942e-05 -63 *3749:108 *5650:80 2.1481e-05 -64 *3749:113 *3998:50 4.12023e-05 -65 *3749:113 *5936:111 0.000168089 -66 *25378:C1 *25378:B2 6.26774e-06 -67 *25379:B *3749:113 0.000625392 -68 *25379:C *3749:113 0.000218409 -69 *25429:A3 *29361:A 9.41642e-05 -70 *25461:A1 *3749:46 9.3166e-05 -71 *25467:A2 *3749:18 0.000152858 -72 *25467:A2 *3749:46 0.000110179 -73 *27531:B1 *27491:A1 0.000129439 -74 *27553:A2 *3749:108 0.000149031 -75 *27554:C1 *3749:108 1.84127e-05 -76 *27849:B1 *27849:B2 3.35147e-05 -77 *27885:B1 *3749:46 8.73634e-05 -78 *28379:CLK *3749:108 2.59355e-05 -79 *30289:A *3749:105 0.000921844 -80 *374:18 *3749:7 9.41642e-05 -81 *1396:64 *3749:18 7.46556e-05 -82 *1396:64 *3749:105 0.000107222 -83 *1421:53 *27491:A1 0.000403075 -84 *1427:41 *27491:A1 0.000936956 -85 *1448:141 *3749:113 9.50968e-06 -86 *1448:160 *3749:108 2.89045e-05 -87 *1448:160 *3749:113 0.000316529 -88 *1456:82 *3749:18 0.000386015 -89 *1456:82 *3749:46 0.000108353 -90 *1456:116 *25378:B2 1.28809e-05 -91 *1476:42 *3749:108 9.89951e-05 -92 *1476:103 *3749:54 0.000167593 -93 *1603:36 *3749:46 4.70253e-05 -94 *1694:13 *3749:113 0.00252829 -95 *1708:14 *27849:B2 2.89114e-05 -96 *1738:106 *29361:A 4.58194e-05 -97 *1748:20 *3749:105 0.000111449 -98 *1778:8 *3749:46 9.78027e-05 -99 *1877:42 *3749:46 0.000157907 -100 *1877:53 *3749:18 2.0587e-05 -101 *1877:66 *3749:18 0.000187616 -102 *1877:66 *3749:105 0 -103 *2775:187 *3749:113 8.99513e-05 -104 *2834:109 *3749:46 0 -105 *2834:142 *3749:105 0.000497066 -106 *2865:133 *3749:105 0.000183754 -107 *2871:62 *27491:A1 4.15592e-05 -108 *2872:90 *27491:A1 8.79856e-06 -109 *2877:87 *3749:108 2.58748e-05 -110 *2882:142 *3749:105 0.000142875 -111 *3156:162 *3749:105 2.28898e-05 -112 *3164:125 *27491:A1 0 -113 *3164:125 *3749:46 0.000154842 -114 *3165:297 *3749:46 2.63501e-05 -115 *3184:207 *3749:54 0.000738301 -116 *3197:125 *3749:105 0.000170027 -117 *3414:33 *27491:A1 5.96243e-05 -118 *3414:33 *27849:B2 0.00010022 -119 *3414:33 *3749:54 0.00102187 -120 *3428:14 *3749:46 0.000629953 -121 *3452:32 *3749:105 5.7538e-06 -122 *3685:20 *3749:105 0.00025533 -123 *3698:31 *3749:105 1.29165e-05 -124 *3713:63 *27491:A1 0.000984475 -125 *3724:20 *3749:105 3.49256e-05 -126 *3739:36 *3749:105 0.000177787 -*RES -1 *28330:Q *3749:7 14.7464 -2 *3749:7 *3749:18 18.25 -3 *3749:18 *3749:46 49.093 -4 *3749:46 *3749:54 25.8304 -5 *3749:54 *27849:B2 17.0946 -6 *3749:54 *27491:A1 38.3418 -7 *3749:18 *29361:A 14.7464 -8 *3749:7 *3749:105 21.1271 -9 *3749:105 *3749:108 45.9375 -10 *3749:108 *3749:113 46.5089 -11 *3749:113 *25378:B2 13.9295 -*END - -*D_NET *3750 0.0188784 -*CONN -*I *25304:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27889:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27508:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30527:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28331:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *25304:A1 0.000375179 -2 *27889:B2 1.0477e-05 -3 *27508:A1 0.00142923 -4 *30527:A 0 -5 *28331:Q 0.000108914 -6 *3750:20 0.00291796 -7 *3750:16 0.0022491 -8 *3750:11 0.00116735 -9 *3750:5 0.000130239 -10 *25304:A1 *25878:A0 2.22618e-05 -11 *25304:A1 *5706:78 0.000138881 -12 *25304:A1 *5740:99 0.000135028 -13 *27508:A1 *25648:A0 0.000267377 -14 *27508:A1 *27508:B2 0.00129231 -15 *27508:A1 *3880:17 1.21289e-05 -16 *27508:A1 *5665:204 0.000146474 -17 *27508:A1 *5665:219 0.00018459 -18 *27508:A1 *5710:52 1.78447e-05 -19 *27508:A1 *5778:37 0 -20 *27889:B2 *5710:76 1.403e-05 -21 *3750:5 *25878:A0 2.59355e-05 -22 *3750:5 *5706:78 8.02709e-05 -23 *3750:11 *25878:A0 1.98839e-05 -24 *3750:11 *5706:78 1.21258e-05 -25 *3750:16 *4127:32 4.05179e-06 -26 *3750:16 *5778:39 0.000715655 -27 *3750:20 *25670:B 0 -28 *3750:20 *25945:A1 0.000121556 -29 *3750:20 *3866:20 5.66157e-05 -30 *3750:20 *3866:35 0.00065263 -31 *3750:20 *5665:219 0.000326586 -32 *3750:20 *5710:48 0.00115772 -33 *3750:20 *5710:52 0.00117377 -34 *3750:20 *5778:39 0.000350683 -35 *6592:DIODE *25304:A1 0.000262498 -36 *25304:B1 *25304:A1 5.08015e-05 -37 *27508:C1 *27508:A1 6.52727e-05 -38 *29170:A *3750:20 0.000124534 -39 *29290:A *3750:16 0.000120697 -40 *499:10 *3750:16 0.000352032 -41 *1421:56 *3750:20 6.09057e-06 -42 *1427:142 *3750:20 2.68583e-05 -43 *1427:143 *3750:16 0.00172258 -44 *1833:43 *3750:16 7.13346e-05 -45 *1833:43 *3750:20 0.000730257 -46 *3416:11 *27889:B2 2.85551e-05 -*RES -1 *28331:Q *3750:5 11.4786 -2 *3750:5 *30527:A 9.3 -3 *3750:5 *3750:11 0.535714 -4 *3750:11 *3750:16 29.4464 -5 *3750:16 *3750:20 44.4821 -6 *3750:20 *27508:A1 35.2821 -7 *3750:20 *27889:B2 14.0857 -8 *3750:11 *25304:A1 16.3179 -*END - -*D_NET *3751 0.0291094 -*CONN -*I *25357:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27316:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27634:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *29334:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28594:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *25357:A1 0.00162097 -2 *27316:B2 2.37453e-06 -3 *27634:B2 0.00109333 -4 *29334:A 0.000408884 -5 *28594:Q 0 -6 *3751:46 0.0010957 -7 *3751:44 0.00131262 -8 *3751:30 0.00452422 -9 *3751:4 0.00199952 -10 *25357:A1 *4043:81 2.09897e-05 -11 *25357:A1 *5650:67 0.000578046 -12 *25357:A1 *5713:49 0.000528382 -13 *27634:B2 *27634:A1 0.000146023 -14 *27634:B2 *3946:50 1.3701e-05 -15 *29334:A *28594:D 4.66374e-05 -16 *29334:A *5584:103 5.65955e-05 -17 *29334:A *6247:36 0.000636262 -18 *3751:30 *28594:D 0.000171857 -19 *3751:44 *3946:45 0.000287857 -20 *3751:44 *4052:30 0.00103685 -21 *3751:44 *5584:43 0.000377867 -22 *25220:B1 *3751:30 0.000404768 -23 *25357:A2 *25357:A1 0.000383788 -24 *25357:B1 *25357:A1 2.75938e-05 -25 *25376:B1 *25357:A1 0.000137561 -26 *25378:A1 *25357:A1 0.000127856 -27 *27316:C1 *27316:B2 7.45939e-06 -28 *27316:C1 *27634:B2 3.57179e-05 -29 *27634:A2 *27634:B2 9.58181e-05 -30 *27634:B1 *27634:B2 8.43535e-06 -31 *282:21 *3751:44 0.00194571 -32 *1250:20 *3751:30 0.000332209 -33 *1250:20 *3751:44 0.000280877 -34 *1262:128 *3751:30 2.09826e-05 -35 *1262:135 *3751:30 0.000868082 -36 *1265:36 *3751:44 0.0001384 -37 *1265:40 *3751:30 0.000300306 -38 *1265:69 *3751:30 0.000891921 -39 *1268:39 *29334:A 2.2351e-05 -40 *1271:161 *25357:A1 2.46784e-05 -41 *1275:16 *3751:30 0.000111243 -42 *1275:26 *3751:30 0.00145094 -43 *1275:26 *3751:44 0.000525217 -44 *1606:14 *29334:A 0.00063538 -45 *1654:27 *3751:30 0.0007071 -46 *1844:120 *3751:44 0.000135028 -47 *2775:187 *25357:A1 5.05761e-05 -48 *2775:187 *3751:44 4.37712e-06 -49 *2779:121 *29334:A 0.000140331 -50 *2870:43 *3751:44 0.000178815 -51 *2893:64 *25357:A1 1.94945e-05 -52 *2978:36 *25357:A1 0 -53 *3184:72 *27634:B2 0.000947887 -54 *3206:125 *25357:A1 0.00141949 -55 *3299:29 *3751:44 2.59024e-05 -56 *3664:16 *25357:A1 0 -57 *3667:41 *27316:B2 2.16129e-07 -58 *3667:41 *27634:B2 0.000436327 -59 *3712:38 *29334:A 9.41642e-05 -60 *3739:122 *3751:30 0.000213669 -*RES -1 *28594:Q *3751:4 9.3 -2 *3751:4 *29334:A 29.5411 -3 *3751:4 *3751:30 36.3635 -4 *3751:30 *3751:44 46.3993 -5 *3751:44 *3751:46 4.5 -6 *3751:46 *27634:B2 28.425 -7 *3751:46 *27316:B2 9.42946 -8 *3751:30 *25357:A1 38.946 -*END - -*D_NET *3752 0.0491592 -*CONN -*I *29740:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25254:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27903:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27540:A I *D sky130_fd_sc_hd__or2_1 -*I *28337:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *29740:A 5.33128e-05 -2 *25254:B2 4.94028e-06 -3 *27903:A1 0 -4 *27540:A 0.00124696 -5 *28337:Q 0.000244337 -6 *3752:56 0.00187704 -7 *3752:54 0.00235557 -8 *3752:39 0.0024734 -9 *3752:33 0.00164195 -10 *3752:22 0.00229087 -11 *3752:19 0.00282359 -12 *3752:14 0.00205529 -13 *3752:5 0.000921232 -14 *27540:A *25280:A1 6.45024e-05 -15 *27540:A *3778:44 0.000222664 -16 *27540:A *3843:51 0.000392205 -17 *27540:A *5510:116 0.00051557 -18 *27540:A *5698:26 2.393e-05 -19 *27540:A *5717:81 6.33946e-05 -20 *27540:A *5717:89 2.95693e-05 -21 *29740:A *4087:22 0.00020042 -22 *29740:A *5833:40 0.00020042 -23 *3752:5 *28337:D 0.000169769 -24 *3752:14 *28337:D 4.87953e-05 -25 *3752:14 *28337:SET_B 3.02581e-06 -26 *3752:14 *3775:28 0.00012121 -27 *3752:14 *3947:33 3.52767e-05 -28 *3752:14 *4087:22 0.00101448 -29 *3752:19 *25254:A1 0.00194678 -30 *3752:19 *27952:A1 0.000287856 -31 *3752:19 *3775:28 0.000539809 -32 *3752:19 *3775:30 0.00163339 -33 *3752:19 *3947:33 5.84267e-05 -34 *3752:19 *4087:22 0.000118403 -35 *3752:19 *5823:58 0.000177815 -36 *3752:22 *27584:A 8.542e-05 -37 *3752:22 *4087:22 0.000308151 -38 *3752:22 *4087:26 0.00107377 -39 *3752:22 *4144:47 0.000507758 -40 *3752:22 *5707:28 9.25014e-06 -41 *3752:33 *3870:52 0.000219711 -42 *3752:33 *4087:26 0.00185672 -43 *3752:39 *25934:A0 0.000175892 -44 *3752:39 *27530:B2 8.23338e-05 -45 *3752:39 *27556:A1 1.40034e-05 -46 *3752:39 *28378:D 0.000234402 -47 *3752:39 *5694:31 4.26825e-05 -48 *3752:39 *5718:206 0.000493377 -49 *3752:54 *27509:A1 4.83837e-05 -50 *3752:54 *27519:A1 0.000282236 -51 *3752:54 *3765:30 4.61792e-05 -52 *3752:54 *3779:59 0.000599802 -53 *3752:54 *3828:25 0.000143876 -54 *3752:54 *3919:63 1.43349e-05 -55 *3752:54 *3960:86 4.23326e-05 -56 *3752:54 *5386:11 8.94556e-05 -57 *3752:54 *5467:14 2.42377e-05 -58 *3752:54 *5650:122 0.000551601 -59 *3752:54 *5650:133 0.00133858 -60 *3752:56 *27896:A 0.000556299 -61 *3752:56 *27903:B2 0.000109578 -62 *3752:56 *28157:D 0.000298944 -63 *3752:56 *3765:30 1.90936e-05 -64 *3752:56 *3779:59 3.80301e-05 -65 *3752:56 *5510:116 0.000705409 -66 *25129:A2 *3752:19 0.000146972 -67 *25204:B1 *25254:B2 6.26774e-06 -68 *25254:B1 *25254:B2 1.28809e-05 -69 *25254:C1 *3752:14 0.000137931 -70 *25254:C1 *3752:19 1.02504e-05 -71 *25280:B1 *27540:A 0.000131291 -72 *25393:C1 *27540:A 0.000190941 -73 *25934:S *3752:39 1.21258e-05 -74 *27541:A2 *27540:A 0.000128784 -75 *27577:A2 *3752:22 7.80051e-05 -76 *27578:B1 *3752:22 2.04825e-05 -77 *27580:A2 *3752:22 0.00030848 -78 *27867:A1 *27540:A 0.000729531 -79 *27949:B1 *3752:19 0.000672293 -80 *28159:CLK *3752:39 3.69047e-06 -81 *1263:175 *3752:22 7.62718e-05 -82 *1263:216 *3752:22 4.38265e-05 -83 *1362:96 *3752:54 0.000482017 -84 *1362:97 *3752:56 0.000149775 -85 *1408:36 *3752:33 0.000137276 -86 *1421:53 *27540:A 0.000110471 -87 *1476:42 *3752:22 0.000336961 -88 *1547:51 *3752:56 3.09674e-05 -89 *1599:9 *27540:A 3.43988e-06 -90 *1897:31 *3752:54 0.000142564 -91 *2845:80 *3752:54 0.000527471 -92 *2853:107 *3752:39 0.000655714 -93 *2853:114 *3752:33 0.00186027 -94 *3123:14 *3752:39 5.28507e-05 -95 *3124:15 *3752:39 0.000561532 -96 *3124:15 *3752:54 0.000352586 -97 *3129:10 *27540:A 2.95076e-06 -98 *3129:18 *27540:A 0 -99 *3145:14 *27540:A 0.000354664 -100 *3156:175 *3752:22 0.00152005 -101 *3184:130 *3752:33 9.58181e-05 -102 *3209:102 *3752:39 8.71528e-05 -103 *3209:127 *3752:22 0.00134053 -104 *3214:78 *3752:54 0 -105 *3404:26 *27540:A 0.000430722 -106 *3404:26 *3752:56 0.000290082 -107 *3655:18 *3752:14 9.25014e-06 -108 *3655:20 *3752:14 0.000196269 -109 *3655:24 *3752:14 0.000495012 -110 *3662:19 *27540:A 0.000354664 -*RES -1 *28337:Q *3752:5 13.5321 -2 *3752:5 *3752:14 23.2321 -3 *3752:14 *3752:19 49.1964 -4 *3752:19 *3752:22 48.3393 -5 *3752:22 *3752:33 45.5357 -6 *3752:33 *3752:39 28.2143 -7 *3752:39 *3752:54 42.4588 -8 *3752:54 *3752:56 17.8839 -9 *3752:56 *27540:A 47.2655 -10 *3752:56 *27903:A1 13.8 -11 *3752:14 *25254:B2 13.9295 -12 *3752:5 *29740:A 20.8536 -*END - -*D_NET *3753 0.0620923 -*CONN -*I *25203:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27930:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27562:A I *D sky130_fd_sc_hd__or2_1 -*I *29595:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28338:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25203:B2 0.000931314 -2 *27930:A1 0.00122294 -3 *27562:A 0.000275868 -4 *29595:A 0.000842811 -5 *28338:Q 0 -6 *3753:93 0.00313764 -7 *3753:84 0.00394199 -8 *3753:75 0.00297889 -9 *3753:40 0.00210928 -10 *3753:37 0.00139515 -11 *3753:26 0.00275009 -12 *3753:25 0.00258035 -13 *3753:5 0.00160625 -14 *3753:4 0.00139173 -15 *25203:B2 *5590:98 1.50563e-05 -16 *25203:B2 *5590:107 0 -17 *25203:B2 *5627:116 0.000136951 -18 *25203:B2 *5688:53 3.8274e-05 -19 *25203:B2 *5688:65 0.000173757 -20 *25203:B2 *6247:18 0.000413447 -21 *27930:A1 *3832:43 0.000984515 -22 *27930:A1 *3961:45 0.000244784 -23 *27930:A1 *5614:88 0.000160951 -24 *29595:A *26712:A1 5.33334e-05 -25 *29595:A *28338:D 0.000210196 -26 *29595:A *5760:32 0.000218554 -27 *29595:A *5780:47 1.99099e-05 -28 *29595:A *5780:61 0.000279841 -29 *29595:A *5938:8 0.000189455 -30 *29595:A *5938:10 0.000113635 -31 *29595:A *5938:31 8.22537e-05 -32 *29595:A *6355:24 6.57032e-05 -33 *3753:5 *3775:20 0.000181796 -34 *3753:5 *5688:173 3.67142e-05 -35 *3753:25 *25884:A0 0.000216755 -36 *3753:25 *5231:21 1.92582e-05 -37 *3753:25 *5719:38 0.00135079 -38 *3753:25 *6353:12 0.00128642 -39 *3753:26 *27952:B2 0.000520576 -40 *3753:26 *3775:28 0.00233263 -41 *3753:26 *3775:30 1.82463e-05 -42 *3753:26 *3947:33 0.00172827 -43 *3753:26 *5719:62 0.000190089 -44 *3753:26 *6036:46 6.90354e-05 -45 *3753:37 *3763:20 0.000561949 -46 *3753:37 *3975:31 0.000565387 -47 *3753:37 *4131:56 7.31147e-05 -48 *3753:40 *6036:46 0.00158355 -49 *3753:75 *25368:A2 7.62658e-05 -50 *3753:75 *25368:B2 4.86176e-05 -51 *3753:75 *25770:A0 0.000165123 -52 *3753:75 *25770:A1 0.00151757 -53 *3753:75 *25770:S 2.59355e-05 -54 *3753:75 *29662:A 2.59355e-05 -55 *3753:75 *3762:17 0.000154601 -56 *3753:75 *3762:29 0.000194618 -57 *3753:75 *5688:173 1.21258e-05 -58 *3753:75 *5966:16 0.000105866 -59 *3753:84 *25130:A1 0.0015132 -60 *3753:84 *25130:B2 0.00104038 -61 *3753:84 *25250:B2 0.00118486 -62 *3753:84 *25368:A2 0.000127643 -63 *3753:84 *3901:79 2.40261e-05 -64 *3753:93 *25147:A2 0 -65 *3753:93 *5585:23 0.00142257 -66 *25130:B1 *3753:84 2.41198e-05 -67 *25190:C1 *3753:93 0.000186442 -68 *25203:B1 *25203:B2 3.84301e-05 -69 *25250:B1 *3753:84 1.03169e-05 -70 *25368:A1 *3753:75 2.98258e-05 -71 *25368:A1 *3753:84 9.36759e-05 -72 *25368:B1 *3753:75 2.2549e-05 -73 *25368:C1 *3753:75 0.000177821 -74 *27415:C1 *3753:93 0.000317158 -75 *27574:B1 *3753:37 4.00679e-05 -76 *27578:A2 *3753:40 0.00110692 -77 *27580:B2 *3753:26 0.000212181 -78 *27583:C1 *3753:26 0.000145753 -79 *27930:B1 *27930:A1 0.000212682 -80 *27930:C1 *27930:A1 5.46971e-05 -81 *27952:C1 *3753:26 0.000125724 -82 *27959:A2 *27562:A 5.29358e-05 -83 *30091:A *3753:26 0.000146275 -84 *1215:9 *3753:37 6.13757e-06 -85 *1225:130 *25203:B2 0.00128699 -86 *1292:65 *3753:84 2.06112e-05 -87 *1358:25 *27562:A 0.000430841 -88 *1358:25 *27930:A1 0.0013314 -89 *1414:10 *3753:93 5.57903e-05 -90 *1434:20 *3753:26 0.000727913 -91 *1435:143 *3753:93 7.27282e-05 -92 *1448:27 *3753:26 5.83304e-05 -93 *1476:42 *27930:A1 9.00639e-05 -94 *1563:26 *27930:A1 0.000341754 -95 *1834:64 *3753:84 6.28248e-05 -96 *2771:111 *3753:93 0.00131939 -97 *2774:76 *25203:B2 7.09817e-05 -98 *2778:152 *3753:93 3.93168e-05 -99 *2791:209 *3753:93 0.000110498 -100 *2852:161 *25203:B2 0.000135028 -101 *2859:128 *3753:26 0.000197383 -102 *2872:135 *3753:26 0.00259402 -103 *2877:112 *3753:37 3.69047e-06 -104 *2880:197 *27930:A1 0.000142359 -105 *2970:25 *3753:93 0.000545319 -106 *3136:12 *3753:40 0.000224241 -107 *3153:221 *3753:93 3.69787e-05 -108 *3156:162 *27562:A 0 -109 *3156:162 *27930:A1 0 -110 *3168:153 *27562:A 0.000177299 -111 *3299:8 *25203:B2 0.0001726 -112 *3656:38 *29595:A 0.000522613 -113 *3687:40 *3753:26 0.000228537 -114 *3712:22 *25203:B2 0.000719539 -115 *3715:32 *3753:40 1.94879e-05 -116 *3728:20 *27930:A1 0.000172729 -117 *3728:31 *27930:A1 5.67168e-05 -118 *3741:38 *27562:A 0.00018178 -*RES -1 *28338:Q *3753:4 9.3 -2 *3753:4 *3753:5 3 -3 *3753:5 *29595:A 38.1571 -4 *3753:5 *3753:25 33.5357 -5 *3753:25 *3753:26 68.125 -6 *3753:26 *3753:37 31.8214 -7 *3753:37 *3753:40 24.3296 -8 *3753:40 *27562:A 20.3074 -9 *3753:40 *27930:A1 35.4286 -10 *3753:4 *3753:75 26.0893 -11 *3753:75 *3753:84 43.8571 -12 *3753:84 *3753:93 43.8861 -13 *3753:93 *25203:B2 49.4161 -*END - -*D_NET *3754 0.0251562 -*CONN -*I *25115:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30164:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27957:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27584:A I *D sky130_fd_sc_hd__or2_1 -*I *28339:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *25115:A1 0.00190283 -2 *30164:A 0 -3 *27957:A1 0.000468729 -4 *27584:A 0.000508916 -5 *28339:Q 0.000180355 -6 *3754:44 0.00215341 -7 *3754:16 0.001803 -8 *3754:8 0.00242186 -9 *3754:7 0.0015965 -10 *3754:5 0.000430938 -11 *25115:A1 *25115:B2 1.38323e-05 -12 *25115:A1 *28337:D 8.892e-05 -13 *25115:A1 *28371:D 5.52238e-05 -14 *25115:A1 *28371:RESET_B 9.23759e-05 -15 *25115:A1 *28374:D 2.40271e-05 -16 *25115:A1 *4023:8 0.000219711 -17 *25115:A1 *5301:38 0.000136687 -18 *27584:A *4087:22 8.69948e-05 -19 *27584:A *5651:176 0.000176792 -20 *27584:A *5656:189 0.00018314 -21 *27957:A1 *27957:B1 5.33005e-05 -22 *27957:A1 *27957:B2 9.66977e-05 -23 *27957:A1 *4077:38 4.21517e-05 -24 *27957:A1 *5651:158 8.43535e-06 -25 *27957:A1 *5651:176 0.000270516 -26 *27957:A1 *5656:189 0.000105316 -27 *27957:A1 *5700:29 0.000547852 -28 *3754:5 *28339:RESET_B 1.77015e-05 -29 *3754:5 *5833:57 0.000136733 -30 *3754:8 *25156:B2 0.000115686 -31 *3754:8 *3767:8 0.000869156 -32 *3754:8 *3767:17 0.00133309 -33 *3754:8 *4077:29 0.000220371 -34 *3754:8 *4131:73 0.00070643 -35 *3754:8 *5301:27 0.00180467 -36 *3754:16 *3819:41 0.000359942 -37 *3754:16 *3910:73 9.42462e-06 -38 *3754:16 *4092:45 4.65519e-05 -39 *3754:44 *28336:RESET_B 5.64488e-05 -40 *3754:44 *28337:D 5.97719e-05 -41 *3754:44 *28339:RESET_B 2.51343e-06 -42 *3754:44 *3767:8 3.03305e-05 -43 *3754:44 *5301:38 0.000215439 -44 *3754:44 *5758:78 1.99271e-05 -45 *25115:B1 *25115:A1 3.60088e-05 -46 *25115:C1 *25115:A1 3.04311e-05 -47 *27573:B1 *27584:A 0.000671478 -48 *27952:A2 *3754:8 5.41794e-05 -49 *28371:CLK *25115:A1 6.05161e-06 -50 *30125:A *25115:A1 5.46828e-05 -51 *1263:216 *3754:8 0.00168589 -52 *1263:216 *3754:16 0.00103475 -53 *1464:38 *25115:A1 0 -54 *1464:38 *3754:44 0.000178301 -55 *1473:20 *3754:8 0.00012401 -56 *1476:209 *3754:16 0.000557867 -57 *3156:175 *3754:8 0.000713277 -58 *3185:341 *27957:A1 6.05161e-06 -59 *3197:99 *3754:16 4.65519e-05 -60 *3209:117 *27957:A1 4.37992e-05 -61 *3209:127 *3754:8 2.90164e-05 -62 *3688:78 *3754:8 0.000125731 -63 *3752:22 *27584:A 8.542e-05 -*RES -1 *28339:Q *3754:5 11.4786 -2 *3754:5 *3754:7 4.5 -3 *3754:7 *3754:8 55.5268 -4 *3754:8 *3754:16 13.1299 -5 *3754:16 *27584:A 25.3932 -6 *3754:16 *27957:A1 25.9461 -7 *3754:5 *3754:44 11.0357 -8 *3754:44 *30164:A 13.8 -9 *3754:44 *25115:A1 36.2643 -*END - -*D_NET *3755 0.0083254 -*CONN -*I *30422:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27681:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25287:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27346:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28595:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *30422:A 0 -2 *27681:A1 0.000565022 -3 *25287:B2 0 -4 *27346:B2 0.000416814 -5 *28595:Q 2.34517e-05 -6 *3755:30 0.00073887 -7 *3755:8 0.000603627 -8 *3755:7 0.000384114 -9 *27346:B2 *27346:A1 0.000206258 -10 *27346:B2 *5609:189 0.000562584 -11 *27681:A1 *27020:A0 9.58632e-05 -12 *27681:A1 *27681:B2 0.000138575 -13 *27681:A1 *5609:189 0.000700803 -14 *3755:7 *5764:76 7.37323e-05 -15 *3755:7 *5836:55 7.37323e-05 -16 *3755:8 *5609:189 0.000506079 -17 *3755:30 *5609:189 0.00038041 -18 *27346:C1 *27346:B2 0.000260574 -19 *27681:C1 *27681:A1 2.41198e-05 -20 *1456:134 *27346:B2 0.000636164 -21 *2774:110 *27681:A1 5.14314e-05 -22 *2781:136 *27681:A1 0.000126446 -23 *2886:295 *27681:A1 5.22045e-05 -24 *3162:20 *3755:30 2.59761e-05 -25 *3185:118 *27681:A1 8.18642e-06 -26 *3299:8 *27681:A1 0.000263907 -27 *3540:23 *27346:B2 0.00055882 -28 *3540:23 *3755:8 0.000514805 -29 *3540:23 *3755:30 0.000332835 -*RES -1 *28595:Q *3755:7 14.5411 -2 *3755:7 *3755:8 6.80357 -3 *3755:8 *27346:B2 28.0545 -4 *3755:8 *25287:B2 13.8 -5 *3755:7 *3755:30 9.78571 -6 *3755:30 *27681:A1 31.4964 -7 *3755:30 *30422:A 9.3 -*END - -*D_NET *3756 0.0369636 -*CONN -*I *30390:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27367:A I *D sky130_fd_sc_hd__or2_1 -*I *25249:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27710:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28596:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *30390:A 0.000108063 -2 *27367:A 0.000550332 -3 *25249:A1 0.000205087 -4 *27710:A1 0 -5 *28596:Q 0 -6 *3756:20 0.00189214 -7 *3756:18 0.00273741 -8 *3756:14 0.00184347 -9 *3756:13 0.00169481 -10 *3756:7 0.00150039 -11 *3756:4 0.000156424 -12 *27367:A *28596:RESET_B 2.04825e-05 -13 *27367:A *3963:60 0.00131982 -14 *27367:A *4081:34 7.30957e-06 -15 *27367:A *5824:213 0.000207659 -16 *27367:A *5879:97 4.37992e-05 -17 *3756:7 *28596:RESET_B 5.49544e-05 -18 *3756:13 *3821:19 0.000301559 -19 *3756:13 *3963:60 0.000137955 -20 *3756:13 *5702:67 0.000342806 -21 *3756:13 *5702:72 0.000950507 -22 *3756:14 *3820:105 0.00226611 -23 *3756:14 *5582:57 0.00227048 -24 *3756:18 *26958:A0 0.000227673 -25 *3756:18 *27683:A1 6.60156e-05 -26 *3756:18 *3820:105 0.000519797 -27 *3756:18 *3821:19 6.75643e-05 -28 *3756:18 *3847:87 0.000432331 -29 *3756:18 *5582:20 0.000121549 -30 *3756:18 *5582:57 0.000484379 -31 *3756:18 *5702:84 0.000129259 -32 *3756:18 *5892:74 6.39808e-05 -33 *3756:20 *27683:A1 0.000169021 -34 *3756:20 *3769:26 0.000750032 -35 *3756:20 *3821:19 3.21148e-05 -36 *3756:20 *3835:73 1.94945e-05 -37 *3756:20 *3847:72 0.000350243 -38 *3756:20 *3847:87 0.00191757 -39 *3756:20 *4106:20 0.000300537 -40 *3756:20 *4106:37 0.00128299 -41 *3756:20 *5582:19 1.90936e-05 -42 *3756:20 *5582:20 0.000171237 -43 *3756:20 *5629:139 0.00165827 -44 *3756:20 *5629:143 0.000282301 -45 *3756:20 *5629:164 4.37199e-05 -46 *3756:20 *5650:250 0.000391636 -47 *25249:B2 *25249:A1 0.000175892 -48 *27336:C1 *3756:13 0.000180348 -49 *27353:B2 *3756:18 0.000122933 -50 *27694:A2 *3756:20 3.96942e-05 -51 *1178:174 *3756:13 0.000178425 -52 *1261:25 *25249:A1 0.000177545 -53 *1266:64 *25249:A1 8.47192e-05 -54 *1276:102 *3756:13 0.000133011 -55 *1286:26 *3756:13 0.000346109 -56 *1286:26 *3756:14 0.000112594 -57 *1556:23 *30390:A 9.41642e-05 -58 *1556:23 *3756:13 0 -59 *1556:26 *3756:13 0.00204817 -60 *1574:6 *27367:A 0 -61 *1635:14 *3756:18 0.00015831 -62 *2768:69 *25249:A1 4.81707e-05 -63 *2768:90 *3756:13 0 -64 *2780:158 *3756:20 0.000191573 -65 *2889:48 *3756:14 0.000147837 -66 *2891:165 *3756:18 0.000108516 -67 *2907:13 *25249:A1 0.0002361 -68 *3177:117 *30390:A 0.000126314 -69 *3177:117 *3756:7 2.41665e-05 -70 *3179:167 *27367:A 7.26883e-05 -71 *3205:210 *3756:20 0.000137276 -72 *3205:211 *3756:20 1.08691e-05 -73 *3677:38 *3756:18 0.00125584 -74 *3677:38 *3756:20 0.000390495 -75 *3677:48 *3756:20 0.000253727 -76 *3678:47 *27367:A 0.000269521 -77 *3704:31 *3756:20 0.000671683 -78 *3739:122 *3756:20 0.000447238 -79 *3739:131 *25249:A1 5.59013e-05 -80 *3743:20 *27367:A 5.49635e-05 -81 *3743:28 *27367:A 0.000496436 -*RES -1 *28596:Q *3756:4 9.3 -2 *3756:4 *3756:7 5.44643 -3 *3756:7 *3756:13 39.125 -4 *3756:13 *3756:14 29.875 -5 *3756:14 *3756:18 27.0714 -6 *3756:18 *3756:20 66 -7 *3756:20 *27710:A1 13.8 -8 *3756:14 *25249:A1 28.2643 -9 *3756:7 *27367:A 31.5321 -10 *3756:4 *30390:A 11.9071 -*END - -*D_NET *3757 0.0360254 -*CONN -*I *24842:A I *D sky130_fd_sc_hd__inv_2 -*I *30212:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27740:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25194:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27392:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28597:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *24842:A 0 -2 *30212:A 7.97406e-05 -3 *27740:A1 0.000230579 -4 *25194:A1 8.06004e-05 -5 *27392:A1 0.000842231 -6 *28597:Q 0 -7 *3757:102 0.00115514 -8 *3757:75 0.00146982 -9 *3757:20 0.00123236 -10 *3757:15 0.00341812 -11 *3757:13 0.00361243 -12 *3757:4 0.00281848 -13 *25194:A1 *25194:B2 3.08382e-06 -14 *25194:A1 *5582:183 6.57032e-05 -15 *27392:A1 *27392:A2 1.46576e-05 -16 *27392:A1 *27393:A1 0.000385985 -17 *27392:A1 *4069:33 0.000498322 -18 *27392:A1 *4082:53 4.87953e-05 -19 *27392:A1 *5631:88 0.000918045 -20 *27392:A1 *5645:235 4.04292e-05 -21 *27392:A1 *5656:321 2.09826e-05 -22 *27392:A1 *5658:160 5.33005e-05 -23 *27392:A1 *5819:24 1.94879e-05 -24 *27740:A1 *5655:264 0.000467426 -25 *30212:A *28679:RESET_B 8.65688e-05 -26 *30212:A *4512:14 0.000135218 -27 *30212:A *5795:221 9.41642e-05 -28 *30212:A *5829:149 1.98839e-05 -29 *3757:13 *28597:RESET_B 0.000219711 -30 *3757:13 *5680:106 4.79932e-05 -31 *3757:15 *3772:26 5.56738e-05 -32 *3757:15 *3980:20 4.37133e-05 -33 *3757:15 *5595:69 0.000128656 -34 *3757:15 *5795:206 0.000223514 -35 *3757:20 *5645:235 9.82014e-05 -36 *3757:75 *3965:22 4.11218e-05 -37 *3757:75 *3965:29 0.00123338 -38 *3757:75 *3991:38 5.47788e-05 -39 *3757:75 *3991:52 3.42107e-06 -40 *3757:75 *4205:22 0.00122876 -41 *3757:75 *5651:378 0.000318263 -42 *3757:75 *5668:50 0.000308316 -43 *3757:75 *5682:63 4.11218e-05 -44 *3757:75 *5682:84 6.92474e-05 -45 *3757:75 *5695:110 0 -46 *3757:75 *5926:68 1.27625e-05 -47 *3757:102 *25714:A1 6.12434e-05 -48 *3757:102 *26867:A1 5.52238e-05 -49 *3757:102 *26873:S 0.000905248 -50 *3757:102 *26977:A1 0 -51 *3757:102 *30840:A 1.92789e-05 -52 *3757:102 *3796:177 9.01334e-05 -53 *3757:102 *4206:10 3.28804e-05 -54 *3757:102 *5586:98 0.000364811 -55 *3757:102 *5795:221 2.72695e-05 -56 *3757:102 *5795:229 0.000279362 -57 *3757:102 *5800:85 6.79451e-06 -58 *3757:102 *5829:169 0.00121406 -59 mgmt_gpio_oeb[15] *3757:102 6.39829e-05 -60 *25194:A2 *25194:A1 7.37323e-05 -61 *27393:C1 *27392:A1 0.00038021 -62 *27740:A2 *27740:A1 0.000301438 -63 *27779:A2 *3757:15 0.000128972 -64 *28125:CLK *27740:A1 6.05161e-06 -65 *28589:CLK *3757:13 6.80108e-05 -66 *30698:A *3757:102 0.000117421 -67 *244:127 *3757:102 0.00013602 -68 *244:131 *3757:102 3.92854e-05 -69 *792:24 *3757:13 0.00157819 -70 *792:24 *3757:15 0.000188086 -71 *792:24 *3757:102 0.000303368 -72 *1514:13 *25194:A1 1.81887e-05 -73 *1514:13 *27392:A1 0.000290382 -74 *1697:20 *3757:13 0.00158099 -75 *1826:238 *3757:75 6.82788e-05 -76 *1853:77 *3757:13 1.86743e-05 -77 *2759:31 *3757:75 6.24292e-05 -78 *2759:149 *3757:13 3.19722e-05 -79 *2767:167 *3757:75 9.88655e-06 -80 *2773:60 *3757:13 0 -81 *2773:84 *3757:15 5.44821e-06 -82 *2774:244 *3757:13 0.000297607 -83 *2775:99 *3757:13 0.000124935 -84 *2775:99 *3757:15 0.000757138 -85 *2791:66 *3757:15 0.000132869 -86 *2888:291 *27392:A1 0.000264168 -87 *2888:291 *3757:15 0.000662713 -88 *2888:291 *3757:20 0.000260868 -89 *3153:313 *27740:A1 4.48682e-05 -90 *3185:229 *3757:75 0.000128802 -91 *3291:17 *27392:A1 4.04292e-05 -92 *3291:17 *3757:20 0.000104976 -93 *3537:35 *3757:75 3.00664e-05 -94 *3538:92 *3757:102 0.000395015 -95 *3692:45 *27392:A1 9.65326e-05 -96 *3731:45 *27740:A1 4.22431e-05 -97 *3744:31 *3757:15 0.00163381 -98 *3744:31 *3757:20 0.000540829 -*RES -1 *28597:Q *3757:4 9.3 -2 *3757:4 *3757:13 15.6412 -3 *3757:13 *3757:15 5.8844 -4 *3757:15 *3757:20 5.81287 -5 *3757:20 *27392:A1 37.7812 -6 *3757:20 *25194:A1 15.5857 -7 *3757:15 *3757:75 44.9247 -8 *3757:75 *27740:A1 15.175 -9 *3757:13 *30212:A 19.3439 -10 *3757:4 *3757:102 45.0357 -11 *3757:102 *24842:A 9.3 -*END - -*D_NET *3758 0.0437919 -*CONN -*I *30264:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27756:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25138:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *6390:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27407:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28598:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *30264:A 0.000216198 -2 *27756:A1 4.47073e-05 -3 *25138:A1 1.17636e-05 -4 *6390:DIODE 0.000289442 -5 *27407:A1 7.95961e-05 -6 *28598:Q 5.59451e-05 -7 *3758:54 0.000621097 -8 *3758:43 0.00325035 -9 *3758:41 0.00528308 -10 *3758:25 0.00430386 -11 *3758:8 0.00202391 -12 *3758:7 0.000309929 -13 *6390:DIODE *25138:B2 8.95109e-05 -14 *27407:A1 *27407:A2 7.34187e-06 -15 *27407:A1 *3901:27 1.58163e-05 -16 *27407:A1 *3979:19 2.06112e-05 -17 *27407:A1 *6247:18 1.90936e-05 -18 *27756:A1 *3849:30 1.20192e-05 -19 *27756:A1 *3914:34 4.65519e-05 -20 *27756:A1 *5650:285 1.05524e-05 -21 *30264:A *27023:A0 0.000424029 -22 *30264:A *3979:19 0.00020246 -23 *30264:A *6247:18 0.000209472 -24 *3758:7 *5768:52 0.000175892 -25 *3758:8 *3979:19 7.915e-05 -26 *3758:8 *6247:18 8.6051e-05 -27 *3758:25 *27023:A1 0 -28 *3758:25 *28598:RESET_B 0.000329088 -29 *3758:25 *3849:30 0.000183617 -30 *3758:25 *6258:12 8.65974e-05 -31 *3758:25 *6280:17 5.59449e-05 -32 *3758:41 *25240:B2 4.27842e-06 -33 *3758:41 *27413:B2 0.000119924 -34 *3758:41 *28630:D 0.000176193 -35 *3758:41 *3823:17 0.000180583 -36 *3758:41 *4133:32 0 -37 *3758:41 *5644:237 2.59024e-05 -38 *3758:41 *5650:280 0.000119924 -39 *3758:41 *5688:97 0.00186245 -40 *3758:41 *6258:12 0.00101312 -41 *3758:43 *24935:B 3.58934e-05 -42 *3758:43 *24948:B 5.1588e-05 -43 *3758:43 *24962:B 6.52967e-05 -44 *3758:43 *24966:B 0.000399533 -45 *3758:43 *27311:B2 4.53834e-05 -46 *3758:43 *3907:63 4.22135e-06 -47 *3758:43 *4076:72 2.37049e-05 -48 *3758:43 *4076:87 2.56665e-05 -49 *3758:43 *5585:28 0.000107807 -50 *3758:43 *5585:158 1.90936e-05 -51 *3758:43 *5601:162 0 -52 *3758:43 *5604:9 6.52967e-05 -53 *3758:43 *5607:13 0.000305306 -54 *3758:43 *5616:44 0.000544888 -55 *3758:43 *5617:92 7.92975e-05 -56 *3758:43 *5643:80 5.86416e-05 -57 *3758:43 *5663:98 0.000197977 -58 *3758:43 *5836:78 4.22135e-06 -59 *3758:43 *5869:95 0.000453389 -60 *3758:43 *5892:119 0.000893044 -61 *3758:43 *5892:122 0 -62 *3758:43 *6258:12 0.000116143 -63 *3758:54 *3907:63 0.000179793 -64 *25098:B *3758:43 1.11775e-05 -65 *25138:A2 *6390:DIODE 4.23626e-06 -66 *25138:A2 *25138:A1 1.04232e-05 -67 *25138:A2 *3758:54 2.67834e-05 -68 *25138:B1 *6390:DIODE 7.30699e-05 -69 *25138:B1 *25138:A1 1.40034e-05 -70 *25146:A2 *3758:25 0.000829952 -71 *25146:A2 *3758:41 0.00115451 -72 *25203:B1 *27756:A1 1.01912e-05 -73 *25257:C *3758:43 0.000656158 -74 *27407:C1 *3758:25 9.4263e-06 -75 *27408:A2 *30264:A 0.000424029 -76 *27748:A2 *3758:25 0.000264395 -77 *28603:CLK *3758:41 5.00902e-05 -78 *29814:A *3758:43 0.000187441 -79 *1225:100 *3758:41 0.000702549 -80 *1225:100 *3758:43 0.000111977 -81 *1230:51 *3758:43 2.52223e-05 -82 *1249:34 *3758:43 8.40344e-05 -83 *1269:10 *3758:43 0.000144038 -84 *1269:98 *3758:41 0.000974061 -85 *1279:44 *3758:43 0.000170787 -86 *1279:102 *3758:43 0.000680176 -87 *1287:184 *3758:41 9.85191e-05 -88 *1289:19 *3758:43 0.000960796 -89 *1289:19 *3758:54 0.000416028 -90 *1292:8 *3758:43 2.06112e-05 -91 *1292:24 *3758:43 0.000219386 -92 *1292:81 *3758:25 0.00221766 -93 *1292:81 *3758:41 0.000986489 -94 *1292:195 *3758:43 3.39365e-05 -95 *1406:45 *3758:43 4.96734e-05 -96 *1410:89 *3758:43 0 -97 *1494:22 *3758:43 9.08128e-05 -98 *1524:22 *3758:41 6.59118e-05 -99 *1524:22 *3758:43 1.53472e-05 -100 *1576:8 *3758:43 9.01055e-06 -101 *2773:139 *3758:25 0 -102 *2778:120 *3758:43 1.62539e-05 -103 *2778:121 *3758:43 0.00109077 -104 *2778:132 *3758:41 7.22561e-05 -105 *2778:132 *3758:43 0.00116529 -106 *2780:184 *3758:43 0.00189426 -107 *2780:196 *3758:41 4.7559e-05 -108 *2791:209 *3758:25 0 -109 *2871:354 *3758:41 0.000198626 -110 *2879:156 *3758:25 6.56019e-05 -111 *2886:295 *3758:41 4.09646e-05 -112 *3185:118 *3758:41 2.93959e-05 -113 *3305:19 *3758:43 4.98496e-06 -114 *3305:19 *3758:54 0.000288868 -115 *3541:11 *3758:43 0.000935302 -116 *3552:22 *3758:41 2.01997e-05 -117 *3581:138 *3758:7 0.000175892 -118 *3595:6 *3758:41 0.000102015 -119 *3664:16 *3758:54 4.29471e-05 -120 *3685:89 *3758:43 7.55769e-05 -*RES -1 *28598:Q *3758:7 15.5679 -2 *3758:7 *3758:8 1.1875 -3 *3758:8 *27407:A1 15.0411 -4 *3758:8 *3758:25 13.624 -5 *3758:25 *3758:41 45.387 -6 *3758:41 *3758:43 93.0179 -7 *3758:43 *3758:54 13.2946 -8 *3758:54 *6390:DIODE 13.0321 -9 *3758:54 *25138:A1 9.72857 -10 *3758:25 *27756:A1 20.9798 -11 *3758:7 *30264:A 20.8893 -*END - -*D_NET *3759 0.00956067 -*CONN -*I *27779:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *29076:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25059:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27420:A1 I *D sky130_fd_sc_hd__o21a_1 -*I *28599:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27779:A1 0.00010386 -2 *29076:A 0.00010523 -3 *25059:A1 5.08816e-05 -4 *27420:A1 0.000765983 -5 *28599:Q 0.000183421 -6 *3759:16 0.00143744 -7 *3759:7 0.000725807 -8 *3759:5 0.000287281 -9 *25059:A1 *5652:200 1.23876e-05 -10 *27420:A1 *4137:16 0.000871888 -11 *27779:A1 *27431:A1 5.96516e-05 -12 *27779:A1 *27779:B1 3.19871e-05 -13 *27779:A1 *27779:B2 2.84269e-05 -14 *27779:A1 *3772:14 1.21258e-05 -15 *29076:A *27431:A1 0.00020549 -16 *29076:A *3772:14 4.61614e-05 -17 *29076:A *3772:26 5.59013e-05 -18 *3759:5 *27431:A1 5.33005e-05 -19 *3759:5 *27779:B1 8.43535e-06 -20 *3759:5 *5627:221 0.000216755 -21 *3759:16 *25048:A1 0.000185895 -22 *3759:16 *27431:A1 3.06511e-05 -23 *3759:16 *3772:26 0.000177143 -24 *3759:16 *3916:20 0.000170488 -25 *3759:16 *4137:16 0.000410247 -26 *3759:16 *5627:216 6.05161e-06 -27 *3759:16 *5654:157 1.32056e-05 -28 *3759:16 *5911:173 8.03927e-05 -29 *25059:A2 *25059:A1 7.37323e-05 -30 *27431:B2 *3759:16 9.65161e-05 -31 *27779:C1 *3759:5 0.00019866 -32 *27790:B2 *25059:A1 0.000135028 -33 *1242:148 *27420:A1 0.000719391 -34 *1242:148 *3759:16 0.000151688 -35 *1242:159 *3759:16 2.65539e-05 -36 *1246:126 *27420:A1 0.000303368 -37 *1273:167 *3759:16 5.70973e-05 -38 *1273:181 *29076:A 5.41864e-05 -39 *2769:31 *27420:A1 0.000500713 -40 *2770:98 *27420:A1 0.000496847 -41 *2856:344 *3759:16 2.04825e-05 -42 *2980:7 *27420:A1 0.000301438 -43 *3744:31 *3759:16 8.84829e-05 -*RES -1 *28599:Q *3759:5 13.5321 -2 *3759:5 *3759:7 4.5 -3 *3759:7 *3759:16 24.1071 -4 *3759:16 *27420:A1 37.9071 -5 *3759:16 *25059:A1 15.1571 -6 *3759:7 *29076:A 16.6571 -7 *3759:5 *27779:A1 11.5143 -*END - -*D_NET *3760 0.0199712 -*CONN -*I *25005:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *29827:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27814:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27452:A I *D sky130_fd_sc_hd__or2_1 -*I *28600:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *25005:A1 0.0003273 -2 *29827:A 0 -3 *27814:B2 0.000301298 -4 *27452:A 2.79199e-05 -5 *28600:Q 0.00015091 -6 *3760:30 0.000548961 -7 *3760:14 0.000329217 -8 *3760:12 0.00192352 -9 *3760:10 0.00238299 -10 *3760:7 0.000832037 -11 *25005:A1 *25005:B1 0.000654649 -12 *25005:A1 *27025:A0 0.000260574 -13 *25005:A1 *5603:28 3.37161e-05 -14 *27452:A *5658:31 5.33005e-05 -15 *27814:B2 *5656:68 0.000194369 -16 *27814:B2 *5658:31 0.000178425 -17 *27814:B2 *5667:348 0.000348635 -18 *3760:10 *27476:B2 4.53834e-05 -19 *3760:10 *5631:36 0.000498299 -20 *3760:12 *25025:B2 0.000152683 -21 *3760:12 *27476:B2 1.17921e-05 -22 *3760:12 *3916:34 0.000577494 -23 *3760:12 *5601:119 6.92148e-06 -24 *3760:12 *5632:18 4.34648e-05 -25 *3760:12 *5632:44 8.87213e-05 -26 *3760:12 *5679:8 2.52319e-05 -27 *3760:30 *27025:A0 0.000193693 -28 *3760:30 *5603:28 4.58194e-05 -29 *3760:30 *5631:36 0.000143118 -30 *27453:B2 *3760:12 0.000149911 -31 *1242:128 *25005:A1 0.000325028 -32 *1246:91 *3760:10 5.41797e-06 -33 *1246:91 *3760:12 0.00418607 -34 *1246:102 *3760:10 2.36459e-05 -35 *1246:104 *3760:10 0.00104396 -36 *1246:104 *3760:30 0.000412164 -37 *1292:222 *3760:12 0 -38 *1313:8 *3760:12 0.00257638 -39 *1638:36 *3760:10 6.7848e-05 -40 *2774:162 *3760:7 4.38027e-05 -41 *2860:363 *27814:B2 0.000177821 -42 *2882:185 *3760:12 0.00057873 -43 *3371:13 *3760:12 0 -*RES -1 *28600:Q *3760:7 15.5679 -2 *3760:7 *3760:10 14.7768 -3 *3760:10 *3760:12 63.1161 -4 *3760:12 *3760:14 4.5 -5 *3760:14 *27452:A 9.83571 -6 *3760:14 *27814:B2 16.8179 -7 *3760:7 *3760:30 11.0357 -8 *3760:30 *29827:A 9.3 -9 *3760:30 *25005:A1 18.6214 -*END - -*D_NET *3761 0.0207446 -*CONN -*I *24994:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30078:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27474:A I *D sky130_fd_sc_hd__or2_1 -*I *27830:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28601:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *24994:A1 0.000382688 -2 *30078:A 0 -3 *27474:A 0.0023154 -4 *27830:A1 0.000155078 -5 *28601:Q 0.000397559 -6 *3761:53 0.000436927 -7 *3761:18 0.00561883 -8 *3761:5 0.00360015 -9 *24994:A1 *5602:100 0.000243593 -10 *27474:A *3850:24 0.000165074 -11 *27474:A *3916:20 0.000219068 -12 *27474:A *4085:62 0.000187857 -13 *27474:A *5680:215 2.04825e-05 -14 *27830:A1 *27830:B1 5.33005e-05 -15 *27830:A1 *27830:B2 8.15984e-05 -16 *27830:A1 *3916:20 4.32957e-05 -17 *3761:5 *28601:RESET_B 2.7083e-05 -18 *3761:18 *24992:B2 0.000309626 -19 *3761:18 *27839:B1 0.000349458 -20 *3761:18 *27839:B2 0.000213927 -21 *3761:18 *28601:D 1.86479e-05 -22 *3761:18 *3916:20 6.13706e-05 -23 *24994:A2 *24994:A1 4.5705e-05 -24 *24994:B1 *24994:A1 4.81734e-05 -25 *25013:A1 *3761:53 0.00012401 -26 *25013:B1 *24994:A1 8.55871e-05 -27 *27475:B2 *27474:A 9.41642e-05 -28 *27830:A2 *27830:A1 0.000122591 -29 *27840:D *3761:18 1.45716e-05 -30 *28568:CLK *24994:A1 0.000177821 -31 *244:65 *24994:A1 0.000980528 -32 *1268:74 *27474:A 4.65519e-05 -33 *1268:123 *3761:5 0.000163629 -34 *1268:123 *3761:18 6.05161e-06 -35 *1275:101 *27474:A 0.000843507 -36 *1320:10 *24994:A1 1.98839e-05 -37 *1320:10 *3761:53 3.71439e-05 -38 *1320:15 *3761:53 1.71615e-05 -39 *1328:183 *27474:A 0.000167422 -40 *1368:37 *27474:A 0.000313536 -41 *1490:128 *27830:A1 7.68394e-05 -42 *2763:58 *24994:A1 0.000979813 -43 *2848:233 *27474:A 0 -44 *2866:241 *27474:A 6.07135e-05 -45 *2866:248 *3761:18 3.69047e-06 -46 *2866:282 *3761:18 0.000725347 -47 *2877:237 *27474:A 0 -48 *2885:123 *27474:A 6.90381e-06 -49 *2888:194 *27474:A 0 -50 *2892:161 *27474:A 0.000181159 -51 *3029:20 *27474:A 0.000132129 -52 *3029:20 *27830:A1 4.50033e-05 -53 *3029:20 *3761:18 5.38776e-05 -54 *3185:180 *27474:A 0.000125702 -55 *3185:180 *27830:A1 0.000136676 -56 *3205:270 *27474:A 0 -57 *3215:196 *27474:A 7.69776e-06 -*RES -1 *28601:Q *3761:5 13.9429 -2 *3761:5 *3761:18 42.8482 -3 *3761:18 *27830:A1 17.7911 -4 *3761:18 *27474:A 42.6454 -5 *3761:5 *3761:53 10.6429 -6 *3761:53 *30078:A 9.3 -7 *3761:53 *24994:A1 33.6036 -*END - -*D_NET *3762 0.0646113 -*CONN -*I *29341:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25368:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27855:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27496:A I *D sky130_fd_sc_hd__or2_1 -*I *28335:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29341:A 0.000314418 -2 *25368:B2 1.72452e-05 -3 *27855:A1 5.0184e-05 -4 *27496:A 0 -5 *28335:Q 0.000220634 -6 *3762:74 0.00211622 -7 *3762:64 0.00229098 -8 *3762:61 0.00152977 -9 *3762:42 0.00426063 -10 *3762:41 0.00473454 -11 *3762:30 0.00342088 -12 *3762:29 0.00205742 -13 *3762:17 0.00220218 -14 *3762:8 0.00220434 -15 *27855:A1 *27855:B2 7.48927e-06 -16 *29341:A *5688:173 0.000164038 -17 *29341:A *5688:176 6.81247e-05 -18 *29341:A *5758:183 0.000356906 -19 *29341:A *5824:8 5.60967e-05 -20 *29341:A *5824:84 1.08691e-05 -21 *29341:A *6036:46 0 -22 *3762:8 *3775:20 0.000305441 -23 *3762:8 *5688:176 0.000317737 -24 *3762:17 *25770:S 0 -25 *3762:17 *25928:A1 4.58194e-05 -26 *3762:17 *4012:11 6.37188e-06 -27 *3762:17 *5641:96 1.80874e-05 -28 *3762:17 *5799:12 1.94879e-05 -29 *3762:17 *5833:40 0.00011802 -30 *3762:29 *25929:A1 0.000220935 -31 *3762:29 *4014:18 4.10843e-05 -32 *3762:30 *5646:182 0.00271432 -33 *3762:30 *5715:117 2.80641e-05 -34 *3762:30 *5729:34 0.00123066 -35 *3762:30 *5729:242 0.000201996 -36 *3762:30 *5890:42 0.000624039 -37 *3762:41 *5836:78 0.000250834 -38 *3762:41 *5848:63 0.000508894 -39 *3762:41 *5857:33 2.09562e-05 -40 *3762:41 *5890:24 0.000259079 -41 *3762:41 *5890:42 4.81218e-05 -42 *3762:41 *5890:68 2.52313e-05 -43 *3762:42 *25082:B2 1.94879e-05 -44 *3762:42 *3779:97 0.000150625 -45 *3762:42 *5646:118 9.15621e-06 -46 *3762:42 *5646:157 0.000539748 -47 *3762:42 *5653:70 0.000166812 -48 *3762:42 *5653:97 9.86094e-06 -49 *3762:42 *5857:18 2.07158e-05 -50 *3762:61 *27100:A0 4.70448e-05 -51 *3762:61 *4012:39 0.000863734 -52 *3762:61 *5467:14 4.8817e-05 -53 *3762:61 *5651:47 0.00031444 -54 *3762:74 *5510:98 0.00101534 -55 *3762:74 *5717:104 0.000122812 -56 *3762:74 *5718:121 7.96236e-05 -57 *25082:A1 *3762:42 0.000386116 -58 *25326:A2 *3762:64 3.90484e-05 -59 *25326:A2 *3762:74 5.7154e-05 -60 *25368:C1 *3762:17 0.000177821 -61 *25924:A *3762:29 9.58126e-05 -62 *27541:B1 *3762:74 0.000263108 -63 *27855:A2 *3762:74 2.13687e-05 -64 *27855:B1 *27855:A1 2.44669e-05 -65 *27855:B1 *3762:74 9.87983e-06 -66 *27866:C *3762:74 0.000551833 -67 *27881:C *3762:61 0.00163241 -68 *27900:A2 *3762:61 5.33005e-05 -69 *27900:A2 *3762:64 3.17148e-05 -70 *27946:A2 *3762:41 0.000600577 -71 *27958:A2 *3762:42 0.000315576 -72 *27966:A1 *3762:42 4.43299e-05 -73 *29726:A *29341:A 0.00031591 -74 *30313:A *3762:41 0.000275454 -75 *1263:8 *3762:42 4.21517e-05 -76 *1263:163 *3762:42 6.39808e-05 -77 *1360:72 *3762:41 4.65519e-05 -78 *1399:18 *3762:42 9.61821e-05 -79 *1399:81 *3762:42 0.000176108 -80 *1405:73 *3762:30 0.000120151 -81 *1411:97 *3762:61 5.11566e-05 -82 *1412:17 *3762:30 8.51829e-05 -83 *1433:34 *3762:29 0.000691741 -84 *1434:33 *3762:8 0.000221641 -85 *1450:16 *3762:30 0.000629556 -86 *1458:10 *3762:42 7.6644e-05 -87 *1464:56 *3762:17 0 -88 *1615:14 *3762:41 0.000234937 -89 *1619:25 *3762:61 8.44271e-06 -90 *1647:46 *3762:42 0.000330761 -91 *1685:40 *3762:41 1.51028e-05 -92 *1871:24 *3762:8 5.20829e-05 -93 *1896:23 *3762:17 2.11419e-05 -94 *2852:220 *3762:64 0.000175582 -95 *2852:220 *3762:74 0.000571623 -96 *2852:228 *3762:74 0.000257948 -97 *2856:196 *3762:42 0.00134191 -98 *2878:27 *3762:74 0.000744413 -99 *2883:53 *3762:61 4.19624e-06 -100 *2888:71 *3762:61 0.000377575 -101 *3050:10 *3762:74 0.000124534 -102 *3153:80 *3762:42 0.00088365 -103 *3153:80 *3762:61 4.86178e-05 -104 *3153:98 *3762:42 0.0028179 -105 *3164:69 *27855:A1 3.73198e-05 -106 *3174:83 *3762:42 0.000102108 -107 *3174:99 *3762:42 0.000136811 -108 *3176:83 *3762:42 0.00103966 -109 *3176:202 *3762:41 0.000553136 -110 *3413:25 *3762:17 0 -111 *3437:14 *3762:30 0.000369181 -112 *3437:14 *3762:42 0.000369034 -113 *3437:14 *3762:61 0.000117095 -114 *3471:10 *3762:42 0.00179681 -115 *3480:23 *3762:42 0.000130307 -116 *3484:20 *3762:29 0.000691741 -117 *3484:31 *3762:30 9.96098e-05 -118 *3487:10 *3762:30 0.000120982 -119 *3503:27 *3762:61 0.00039962 -120 *3503:28 *3762:42 7.83454e-05 -121 *3542:21 *3762:41 0.00014146 -122 *3655:79 *29341:A 2.22043e-05 -123 *3655:79 *3762:17 5.9297e-05 -124 *3655:100 *29341:A 0.000239247 -125 *3663:65 *3762:61 0.00172929 -126 *3666:52 *3762:42 0.000170996 -127 *3686:83 *3762:41 0.000342079 -128 *3724:88 *3762:41 0.000428722 -129 *3724:88 *3762:42 0.000465351 -130 *3724:91 *3762:30 0.0028693 -131 *3753:75 *25368:B2 4.86176e-05 -132 *3753:75 *3762:17 0.000154601 -133 *3753:75 *3762:29 0.000194618 -*RES -1 *28335:Q *3762:8 20.2018 -2 *3762:8 *3762:17 19.58 -3 *3762:17 *3762:29 28.0357 -4 *3762:29 *3762:30 57.3482 -5 *3762:30 *3762:41 19.3552 -6 *3762:41 *3762:42 90.5893 -7 *3762:42 *3762:61 48.7088 -8 *3762:61 *3762:64 7.05357 -9 *3762:64 *3762:74 47.6786 -10 *3762:74 *27496:A 9.3 -11 *3762:64 *27855:A1 14.9741 -12 *3762:17 *25368:B2 9.85804 -13 *3762:8 *29341:A 23.7911 -*END - -*D_NET *3763 0.0353022 -*CONN -*I *29806:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25328:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27880:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27518:A I *D sky130_fd_sc_hd__or2_1 -*I *28336:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29806:A 6.40993e-05 -2 *25328:A1 0.000120133 -3 *27880:A1 0.00138518 -4 *27518:A 7.2584e-05 -5 *28336:Q 0.000443209 -6 *3763:25 0.00154923 -7 *3763:20 0.00429554 -8 *3763:18 0.00447913 -9 *3763:14 0.00061505 -10 *3763:10 0.000727168 -11 *27518:A *5706:170 5.33005e-05 -12 *27880:A1 *27880:B2 8.01742e-05 -13 *27880:A1 *4036:40 9.70328e-05 -14 *27880:A1 *5706:188 4.15666e-05 -15 *29806:A *5669:173 8.55871e-05 -16 *3763:10 *25887:A0 6.77953e-05 -17 *3763:10 *28336:RESET_B 0.000126887 -18 *3763:10 *3957:67 5.33005e-05 -19 *3763:10 *5688:205 6.57032e-05 -20 *3763:10 *5719:38 0.000562793 -21 *3763:10 *6036:46 0.000561078 -22 *3763:14 *5231:21 0.000392504 -23 *3763:14 *5669:173 9.35307e-05 -24 *3763:14 *5719:38 0.000117418 -25 *3763:18 *5231:12 4.46846e-05 -26 *3763:18 *5231:21 0.000697219 -27 *3763:18 *5719:38 0.000146234 -28 *3763:20 *25934:A1 1.59885e-05 -29 *3763:20 *27556:A1 0.000125476 -30 *3763:20 *27564:A1 0.000636716 -31 *3763:20 *27579:A2 0.000167619 -32 *3763:20 *3935:32 0.000126439 -33 *3763:20 *3947:45 0.000396457 -34 *3763:20 *3975:22 0.000945569 -35 *3763:20 *3975:31 0.000246705 -36 *3763:20 *3975:34 0.000439529 -37 *3763:20 *3975:44 0.000138804 -38 *3763:20 *5231:12 0.00346086 -39 *3763:20 *5717:117 0.000900399 -40 *3763:20 *5719:38 0 -41 *3763:20 *5719:55 0.000795375 -42 *3763:25 *5706:170 5.33005e-05 -43 *25137:B1 *3763:20 1.90936e-05 -44 *25204:B1 *25328:A1 1.39841e-05 -45 *25233:B2 *3763:20 0.000213642 -46 *25305:C1 *27880:A1 0.000260574 -47 *25328:A2 *25328:A1 0.000183713 -48 *27556:A2 *3763:20 1.45812e-05 -49 *27585:B1 *3763:20 9.87202e-05 -50 *27951:A2 *3763:20 0.000747963 -51 *27959:A2 *3763:20 0.000108912 -52 *28376:CLK *27880:A1 2.59355e-05 -53 *1434:8 *3763:14 3.17148e-05 -54 *1434:8 *3763:18 0.000342502 -55 *1456:42 *3763:20 0.000342488 -56 *1456:64 *3763:20 4.45163e-05 -57 *1476:57 *27518:A 1.54868e-05 -58 *1476:57 *3763:20 0.000278111 -59 *1476:75 *27518:A 0.000101617 -60 *1476:75 *27880:A1 2.36643e-05 -61 *1476:132 *27880:A1 0.000432269 -62 *2845:127 *3763:20 1.17968e-05 -63 *2848:85 *3763:20 2.18087e-05 -64 *2848:96 *3763:20 4.50762e-05 -65 *2848:100 *3763:20 0.00130364 -66 *2848:123 *3763:20 0.000200914 -67 *2856:97 *27880:A1 9.95444e-05 -68 *2878:92 *27880:A1 0.000101192 -69 *2878:92 *3763:20 0.000126213 -70 *2878:96 *3763:20 0.000807601 -71 *2878:120 *3763:20 0.00124485 -72 *2886:102 *3763:20 0.00128174 -73 *3145:14 *27880:A1 9.54536e-05 -74 *3168:153 *3763:20 7.93923e-05 -75 *3713:130 *29806:A 0.000164361 -76 *3713:130 *3763:14 0.000182811 -77 *3724:70 *3763:20 4.11173e-05 -78 *3739:81 *3763:20 0.000145868 -79 *3753:37 *3763:20 0.000561949 -*RES -1 *28336:Q *3763:10 30.3536 -2 *3763:10 *3763:14 11.5536 -3 *3763:14 *3763:18 10.0714 -4 *3763:18 *3763:20 130.357 -5 *3763:20 *3763:25 5.875 -6 *3763:25 *27518:A 11.4071 -7 *3763:25 *27880:A1 46.8 -8 *3763:14 *25328:A1 15.9786 -9 *3763:10 *29806:A 11.0679 -*END - -*D_NET *3764 0.00895826 -*CONN -*I *29146:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27666:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25403:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *27328:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *28602:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *29146:A 0.000141391 -2 *27666:A1 0.000176954 -3 *25403:B2 0.000402288 -4 *27328:A1 8.39504e-05 -5 *28602:Q 0.000192259 -6 *3764:12 0.00130559 -7 *3764:7 0.00158867 -8 *3764:5 0.000926019 -9 *27328:A1 *4089:12 0.000108533 -10 *27666:A1 *27666:A2 1.46576e-05 -11 *27666:A1 *3920:31 0.00014252 -12 *29146:A *5709:38 5.98293e-05 -13 *3764:5 *28602:SET_B 9.31209e-05 -14 *3764:5 *3920:31 3.06878e-06 -15 *3764:7 *3920:31 1.75608e-05 -16 *3764:12 *27665:A2 0.000161013 -17 *3764:12 *4089:12 0.000735142 -18 *3764:12 *5762:70 0.000198698 -19 *25901:S *3764:12 0.00015304 -20 *25902:S *3764:12 8.04343e-05 -21 *1235:165 *29146:A 0.000245079 -22 *2892:305 *27328:A1 3.51442e-05 -23 *3220:16 *3764:12 0.000398489 -24 *3220:18 *27328:A1 0.000244478 -25 *3220:18 *3764:12 0.00124936 -26 *3591:51 *29146:A 3.7671e-05 -27 *3591:51 *3764:7 0.000163305 -*RES -1 *28602:Q *3764:5 11.6839 -2 *3764:5 *3764:7 6.90179 -3 *3764:7 *3764:12 30.7589 -4 *3764:12 *27328:A1 16.9607 -5 *3764:12 *25403:B2 19.2643 -6 *3764:7 *27666:A1 12.5232 -7 *3764:5 *29146:A 21.9964 -*END - -*D_NET *3765 0.0506831 -*CONN -*I *29727:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25245:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27544:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27903:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28347:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *29727:A 0.000168272 -2 *25245:B2 0 -3 *27544:B2 1.64142e-05 -4 *27903:B2 0.000768149 -5 *28347:Q 3.11087e-05 -6 *3765:36 0.00125805 -7 *3765:30 0.00550104 -8 *3765:28 0.00512347 -9 *3765:21 0.000797088 -10 *3765:14 0.00157942 -11 *3765:7 0.00107763 -12 *27903:B2 *27851:A1 0.000464471 -13 *27903:B2 *27900:B2 5.47476e-05 -14 *27903:B2 *3779:45 7.23115e-05 -15 *27903:B2 *5651:47 6.05161e-06 -16 *29727:A *25897:A0 8.79776e-05 -17 *29727:A *5669:30 0 -18 *29727:A *5688:143 0.0003482 -19 *29727:A *5798:10 0.000221163 -20 *29727:A *5833:16 0.0003482 -21 *3765:7 *25895:A1 9.71197e-05 -22 *3765:7 *3775:79 2.40379e-05 -23 *3765:14 *25897:A0 0.000208313 -24 *3765:14 *29724:A 0.000133465 -25 *3765:14 *4077:16 5.46667e-05 -26 *3765:14 *4078:16 0.000336603 -27 *3765:14 *5709:85 0 -28 *3765:14 *5798:10 0.00103905 -29 *3765:21 *4077:16 0.00204829 -30 *3765:21 *4078:16 0 -31 *3765:21 *5669:173 4.66972e-05 -32 *3765:21 *5709:87 0 -33 *3765:28 *5669:173 3.82227e-06 -34 *3765:30 *25668:A1 0.000616698 -35 *3765:30 *27551:A1 0.00125099 -36 *3765:30 *27552:B2 0.000148712 -37 *3765:30 *27946:A1 0.000194435 -38 *3765:30 *27960:B2 6.53083e-05 -39 *3765:30 *28329:RESET_B 0.000425372 -40 *3765:30 *3818:32 0.000610573 -41 *3765:30 *3910:51 0.000209807 -42 *3765:30 *3910:73 0.00195824 -43 *3765:30 *3949:16 0 -44 *3765:30 *5614:88 0.000143652 -45 *3765:30 *5643:92 8.77613e-05 -46 *3765:30 *5650:122 8.64053e-06 -47 *3765:30 *5650:133 0.00220648 -48 *3765:30 *5687:14 3.26628e-05 -49 *3765:30 *5687:29 0.000810232 -50 *3765:30 *5691:10 8.49829e-05 -51 *3765:30 *5700:28 0.000282093 -52 *3765:30 *5878:35 0.000846177 -53 *25204:A2 *3765:30 0.000111393 -54 *25245:A1 *3765:21 6.60094e-05 -55 *25245:A1 *3765:28 6.26774e-06 -56 *25245:A2 *3765:21 2.45874e-05 -57 *25245:C1 *3765:28 1.00887e-05 -58 *25777:A *3765:21 3.01998e-05 -59 *27544:A2 *27544:B2 2.8636e-05 -60 *27544:C1 *27903:B2 8.06293e-05 -61 *27574:A2 *3765:30 0.000104489 -62 *27897:A1 *27903:B2 0.000723785 -63 *27897:A1 *3765:36 7.57946e-05 -64 *27903:C1 *27903:B2 9.98413e-06 -65 *28363:CLK *3765:14 0.000125264 -66 *28893:A *3765:14 0 -67 *30343:A *3765:30 0.000171375 -68 *1362:96 *3765:30 0.000753169 -69 *1362:97 *3765:30 0.00233109 -70 *1409:6 *3765:30 5.47002e-05 -71 *1423:54 *3765:30 0.000168747 -72 *1475:23 *3765:28 4.33864e-05 -73 *1475:23 *3765:30 1.90581e-05 -74 *1475:36 *3765:21 0.00191428 -75 *1475:36 *3765:28 1.12578e-05 -76 *1476:212 *3765:30 0.000358382 -77 *1547:51 *27903:B2 0.000350243 -78 *1563:17 *3765:30 4.16148e-05 -79 *1873:21 *3765:28 2.87005e-05 -80 *1873:21 *3765:30 7.08912e-05 -81 *2853:66 *27903:B2 0.00020759 -82 *2853:90 *27903:B2 0.000349825 -83 *2853:90 *3765:36 1.18554e-05 -84 *2891:33 *3765:36 0.000560934 -85 *2891:45 *27544:B2 2.8636e-05 -86 *2891:45 *3765:36 8.56663e-05 -87 *3051:23 *27903:B2 0.000466394 -88 *3105:8 *3765:30 0.00752138 -89 *3404:26 *27903:B2 0.000277171 -90 *3541:66 *3765:30 0.00134561 -91 *3541:68 *3765:30 2.85847e-05 -92 *3646:68 *3765:30 1.19011e-05 -93 *3752:54 *3765:30 4.61792e-05 -94 *3752:56 *27903:B2 0.000109578 -95 *3752:56 *3765:30 1.90936e-05 -*RES -1 *28347:Q *3765:7 14.7464 -2 *3765:7 *3765:14 24.2589 -3 *3765:14 *3765:21 32.6652 -4 *3765:21 *3765:28 6.65625 -5 *3765:28 *3765:30 167.848 -6 *3765:30 *3765:36 15.9107 -7 *3765:36 *27903:B2 45.0455 -8 *3765:36 *27544:B2 14.3313 -9 *3765:21 *25245:B2 9.3 -10 *3765:7 *29727:A 20.0679 -*END - -*D_NET *3766 0.0445348 -*CONN -*I *25189:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27930:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27554:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29573:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28348:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25189:B2 0.000127854 -2 *27930:B2 2.54045e-05 -3 *27554:B2 0 -4 *29573:A 0.000729647 -5 *28348:Q 0 -6 *3766:93 0.00140813 -7 *3766:71 0.00348138 -8 *3766:54 0.00350533 -9 *3766:53 0.00326434 -10 *3766:40 0.00270737 -11 *3766:25 0.00171685 -12 *3766:4 0.00141812 -13 *29573:A *25897:A0 1.39726e-05 -14 *29573:A *25897:A1 0.000237775 -15 *29573:A *25898:A0 7.60827e-05 -16 *29573:A *28349:D 8.25843e-06 -17 *29573:A *4087:20 1.37292e-05 -18 *29573:A *5688:158 6.57032e-05 -19 *29573:A *5824:108 0.000196269 -20 *3766:25 *25894:B 5.33005e-05 -21 *3766:25 *25897:A1 8.17274e-05 -22 *3766:25 *25914:A0 0.000304394 -23 *3766:25 *25914:A1 0.000219289 -24 *3766:25 *4036:17 0.000624231 -25 *3766:25 *5458:40 0.000624231 -26 *3766:25 *5641:102 0.000145943 -27 *3766:25 *5669:34 9.41642e-05 -28 *3766:25 *5709:85 0.000265441 -29 *3766:25 *5760:50 2.05612e-05 -30 *3766:40 *28372:D 0.000218409 -31 *3766:40 *28374:D 0.000291986 -32 *3766:40 *4025:21 0.000578969 -33 *3766:40 *4026:24 2.23987e-05 -34 *3766:40 *4035:23 0.000205389 -35 *3766:40 *4036:17 9.81653e-05 -36 *3766:40 *5640:98 0.00117646 -37 *3766:40 *5641:96 0.000177473 -38 *3766:40 *5878:14 0.000389139 -39 *3766:53 *25084:A 0.000182608 -40 *3766:53 *4013:18 0.000415241 -41 *3766:53 *4026:24 0.000451098 -42 *3766:53 *4026:26 0.000190558 -43 *3766:53 *4035:33 3.42107e-06 -44 *3766:53 *5641:78 0 -45 *3766:53 *5758:75 0.000337495 -46 *3766:53 *5878:14 0.000594321 -47 *3766:54 *4035:36 0.00353517 -48 *3766:54 *4350:65 4.33002e-05 -49 *3766:54 *5457:22 0.000168959 -50 *3766:54 *5458:40 0 -51 *3766:71 *25104:B2 8.76591e-06 -52 *3766:71 *3780:53 1.32606e-05 -53 *3766:71 *3802:71 0.000119174 -54 *3766:71 *3828:77 0.000127359 -55 *3766:71 *4023:18 0.000521416 -56 *3766:71 *4035:52 0.000143652 -57 *3766:71 *5196:47 0.000614208 -58 *3766:71 *5457:22 0.000937399 -59 *3766:71 *5640:63 0.000744204 -60 *3766:71 *5656:189 2.63501e-05 -61 *3766:93 *3819:16 0.000424461 -62 *3766:93 *3870:52 0.000135244 -63 *3766:93 *3935:47 0.00063383 -64 *3766:93 *4077:49 8.48281e-05 -65 *3766:93 *4127:84 0.000144026 -66 *3766:93 *5650:80 0.000374229 -67 *3766:93 *5718:206 0.000223492 -68 *3766:93 *6036:44 8.06427e-05 -69 *25189:B1 *25189:B2 9.99303e-05 -70 *25329:A2 *3766:53 3.83495e-05 -71 *25894:A *3766:25 8.25568e-05 -72 *25897:S *29573:A 0.000221634 -73 *27930:B1 *27930:B2 3.85002e-05 -74 *27930:B1 *3766:71 2.23592e-05 -75 *27930:B1 *3766:93 0.000131406 -76 *27955:A *3766:54 4.21517e-05 -77 *27956:C *3766:54 9.78828e-05 -78 *29732:A *3766:40 0.000536164 -79 *1327:28 *3766:53 6.14836e-06 -80 *1358:25 *3766:71 0 -81 *1362:25 *3766:53 5.12365e-05 -82 *1362:25 *3766:54 2.72008e-05 -83 *1362:28 *3766:54 0.000237543 -84 *1362:79 *3766:71 0.0001326 -85 *1405:83 *25189:B2 0 -86 *1410:19 *3766:54 0.000715512 -87 *1435:33 *3766:54 0.000216735 -88 *1475:60 *3766:25 0.000136465 -89 *1565:20 *3766:53 7.89362e-05 -90 *1816:26 *29573:A 2.16719e-05 -91 *1864:29 *3766:54 0.00162352 -92 *1891:7 *29573:A 0.000135028 -93 *1891:7 *3766:25 0.000390994 -94 *2853:113 *3766:93 9.05976e-06 -95 *2856:196 *3766:53 0 -96 *2856:196 *3766:54 4.19624e-06 -97 *2891:81 *3766:93 8.13729e-05 -98 *3185:341 *3766:71 0.000128373 -99 *3209:103 *3766:93 6.17979e-05 -100 *3209:117 *3766:93 0.000259261 -101 *3437:14 *3766:71 0.000531962 -102 *3461:26 *3766:71 0.00054697 -103 *3480:35 *3766:71 0.000147837 -104 *3485:14 *3766:71 0.000160692 -105 *3504:18 *3766:71 0.00014833 -106 *3576:15 *25189:B2 6.68086e-05 -107 *3576:15 *3766:40 5.10006e-05 -108 *3659:14 *3766:40 0.000116627 -109 *3659:14 *3766:53 0.00112044 -110 *3687:42 *3766:93 0.000148911 -*RES -1 *28348:Q *3766:4 9.3 -2 *3766:4 *29573:A 31.3893 -3 *3766:4 *3766:25 28.6607 -4 *3766:25 *3766:40 33.4196 -5 *3766:40 *3766:53 38.5079 -6 *3766:53 *3766:54 46.875 -7 *3766:54 *3766:71 31.825 -8 *3766:71 *3766:93 47.0759 -9 *3766:93 *27554:B2 9.3 -10 *3766:71 *27930:B2 10.0143 -11 *3766:25 *25189:B2 11.9071 -*END - -*D_NET *3767 0.0208694 -*CONN -*I *30115:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25156:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27952:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27582:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28349:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *30115:A 0.000708647 -2 *25156:A1 4.2828e-05 -3 *27952:B2 0.000589496 -4 *27582:A1 9.53889e-05 -5 *28349:Q 0.000144959 -6 *3767:17 0.00123647 -7 *3767:8 0.00188352 -8 *3767:7 0.00214271 -9 *27582:A1 *4091:64 0.000201631 -10 *27952:B2 *3775:30 0.00128743 -11 *27952:B2 *4142:56 1.28809e-05 -12 *27952:B2 *5719:55 6.05161e-06 -13 *30115:A *25898:A0 5.52238e-05 -14 *30115:A *25898:A1 0.000178847 -15 *30115:A *25899:A0 0.000388051 -16 *30115:A *5688:173 0.000319141 -17 *30115:A *5719:37 4.27338e-05 -18 *3767:7 *5824:84 3.15101e-05 -19 *3767:8 *28336:RESET_B 0.000377674 -20 *3767:8 *5111:13 2.29088e-05 -21 *3767:8 *5301:13 0.000171599 -22 *3767:8 *5301:38 0.000262423 -23 *3767:8 *5301:40 6.057e-07 -24 *3767:8 *5833:40 6.27233e-05 -25 *3767:8 *5833:57 0.000263948 -26 *3767:17 *25156:B2 6.28952e-05 -27 *3767:17 *4091:64 0.000298483 -28 *25113:B *25156:A1 2.59355e-05 -29 *25156:B1 *25156:A1 5.33005e-05 -30 *25898:S *30115:A 3.08283e-05 -31 *27582:B1 *27582:A1 1.97695e-05 -32 *27583:C1 *27952:B2 0.000145753 -33 *27952:C1 *27952:B2 1.02504e-05 -34 *29584:A *30115:A 4.16984e-05 -35 *30108:A *3767:7 2.89114e-05 -36 *30116:A *30115:A 0.000144351 -37 *1448:27 *27952:B2 5.66157e-05 -38 *1464:38 *3767:8 0.000936846 -39 *1464:105 *30115:A 0.000214349 -40 *1464:105 *3767:8 0.000629494 -41 *1473:33 *27582:A1 0.000260574 -42 *1473:33 *3767:17 0.000287976 -43 *1869:14 *30115:A 0.000223599 -44 *1869:14 *3767:8 0.000711062 -45 *1871:20 *3767:8 0.000819332 -46 *1873:12 *3767:8 0.000150643 -47 *1873:32 *3767:8 0.00049437 -48 *1873:32 *3767:17 0.00126978 -49 *1891:11 *30115:A 0.000302719 -50 *3655:79 *3767:8 0.000367334 -51 *3753:26 *27952:B2 0.000520576 -52 *3754:8 *3767:8 0.000869156 -53 *3754:8 *3767:17 0.00133309 -54 *3754:44 *3767:8 3.03305e-05 -*RES -1 *28349:Q *3767:7 15.5679 -2 *3767:7 *3767:8 45.3571 -3 *3767:8 *3767:17 25.5893 -4 *3767:17 *27582:A1 12.3179 -5 *3767:17 *27952:B2 35.5545 -6 *3767:8 *25156:A1 14.7643 -7 *3767:7 *30115:A 31.3 -*END - -*D_NET *3768 0.0402968 -*CONN -*I *27681:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27337:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *29853:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25323:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28603:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *27681:B2 0.000247924 -2 *27337:B2 0.000772136 -3 *29853:A 0 -4 *25323:A1 0.000311175 -5 *28603:Q 4.36033e-05 -6 *3768:66 0.00110535 -7 *3768:58 0.000470276 -8 *3768:42 0.00262312 -9 *3768:27 0.00382985 -10 *3768:22 0.00236873 -11 *3768:19 0.00169857 -12 *3768:7 0.00127632 -13 *25323:A1 *3919:82 1.3701e-05 -14 *25323:A1 *5600:19 0.00083164 -15 *25323:A1 *5600:37 4.78624e-05 -16 *25323:A1 *5600:86 1.33343e-05 -17 *27337:B2 *27020:A0 0.000505412 -18 *27681:B2 *28651:D 6.05161e-06 -19 *3768:19 *3998:46 0.000889696 -20 *3768:19 *4069:112 5.33005e-05 -21 *3768:19 *5614:37 0.000153007 -22 *3768:19 *5777:32 0.0001326 -23 *3768:22 *25344:A1 0.000708571 -24 *3768:22 *28634:D 0.000298599 -25 *3768:22 *4069:112 0.000192262 -26 *3768:22 *5584:103 0.000140029 -27 *3768:22 *5643:119 0.000315507 -28 *3768:22 *5764:11 2.35827e-05 -29 *3768:22 *6269:12 0.000102225 -30 *3768:27 *4054:66 0.000789462 -31 *3768:27 *5584:103 0.000382395 -32 *3768:42 *4952:14 0.00158324 -33 *3768:42 *6269:12 0.000926712 -34 *3768:58 *27029:A1 1.24368e-05 -35 *3768:66 *27029:A1 0.000123095 -36 *25133:C1 *3768:42 5.48376e-05 -37 *25183:B1 *3768:42 8.94556e-05 -38 *25251:C *3768:19 0.000134461 -39 *25277:B1 *3768:42 9.34324e-05 -40 *25317:A2 *3768:22 4.25523e-05 -41 *25324:C1 *25323:A1 0.000747983 -42 *25362:B1 *3768:27 0.000152348 -43 *25362:B1 *3768:42 0.00083349 -44 *26993:S *3768:58 7.48087e-05 -45 *26993:S *3768:66 0.000261794 -46 *27292:C1 *3768:42 0.0001326 -47 *27337:B1 *27337:B2 9.41642e-05 -48 *27681:A1 *27681:B2 0.000138575 -49 *27681:C1 *27681:B2 3.91018e-05 -50 *1242:88 *3768:22 3.69697e-05 -51 *1256:6 *3768:42 0.0001326 -52 *1256:31 *3768:42 0.000627997 -53 *1260:81 *3768:19 0.000913108 -54 *1260:81 *3768:58 0.000567285 -55 *1265:76 *3768:27 0.000331381 -56 *1265:95 *3768:19 3.0285e-06 -57 *1265:112 *3768:19 0.000876356 -58 *1275:225 *27337:B2 0.000420148 -59 *1275:225 *27681:B2 0.00035571 -60 *1279:105 *3768:22 1.27784e-05 -61 *1279:108 *3768:19 0.000349466 -62 *1281:103 *3768:27 6.69937e-05 -63 *1281:125 *3768:27 0.000178857 -64 *1358:19 *3768:27 0.000172708 -65 *1361:29 *3768:22 8.9591e-05 -66 *1555:20 *3768:27 0.00130215 -67 *1606:14 *3768:27 1.50087e-05 -68 *2771:111 *3768:58 5.33005e-05 -69 *2779:107 *3768:22 1.82896e-05 -70 *2779:121 *3768:22 0.000115573 -71 *2779:132 *3768:27 0.00121575 -72 *2854:16 *3768:42 0.00101672 -73 *2886:261 *27337:B2 5.96516e-05 -74 *2886:295 *27337:B2 7.14482e-05 -75 *3176:62 *3768:42 0.000135028 -76 *3185:118 *27681:B2 5.36352e-05 -77 *3220:51 *25323:A1 5.96516e-05 -78 *3299:8 *27337:B2 0.000418626 -79 *3299:8 *27681:B2 0.000363245 -80 *3547:12 *3768:42 0.00101825 -81 *3596:7 *3768:19 9.58181e-05 -82 *3667:41 *3768:42 0.00017013 -83 *3667:53 *3768:27 0.000100107 -84 *3667:53 *3768:42 0.00014833 -85 *3667:55 *3768:22 0.00022857 -86 *3667:55 *3768:27 0.000235924 -87 *3667:65 *3768:19 0.000913108 -88 *3667:65 *3768:58 0.00057482 -89 *3712:38 *3768:27 0.000802024 -90 *3739:122 *3768:27 4.19859e-05 -91 *3742:48 *3768:7 5.33005e-05 -*RES -1 *28603:Q *3768:7 14.3357 -2 *3768:7 *3768:19 47.625 -3 *3768:19 *3768:22 22.2143 -4 *3768:22 *3768:27 47.7003 -5 *3768:27 *3768:42 46.0849 -6 *3768:42 *25323:A1 23.2821 -7 *3768:7 *3768:58 14.8214 -8 *3768:58 *29853:A 9.3 -9 *3768:58 *3768:66 7.08929 -10 *3768:66 *27337:B2 28.175 -11 *3768:66 *27681:B2 21.5009 -*END - -*D_NET *3769 0.00954545 -*CONN -*I *29755:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27712:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27365:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25276:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28604:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29755:A 2.65515e-05 -2 *27712:B2 0 -3 *27365:B2 0.00037692 -4 *25276:A1 0.000414887 -5 *28604:Q 4.36033e-05 -6 *3769:26 0.000890584 -7 *3769:20 0.000612867 -8 *3769:7 0.000531142 -9 *25276:A1 *25183:A1 0.000636182 -10 *25276:A1 *5600:90 1.58163e-05 -11 *25276:A1 *5600:114 0.000185724 -12 *25276:A1 *5754:127 6.05161e-06 -13 *27365:B2 *26930:A1 7.5779e-05 -14 *27365:B2 *3820:87 0.000219687 -15 *27365:B2 *3820:91 0.000227012 -16 *27365:B2 *3847:72 0.00094817 -17 *27365:B2 *5644:172 3.17148e-05 -18 *29755:A *5713:305 9.41642e-05 -19 *3769:20 *5713:305 0.000175892 -20 *3769:26 *3821:19 8.03367e-05 -21 *3769:26 *5627:57 0.000180777 -22 *3769:26 *5629:139 0.000147205 -23 *3769:26 *5644:186 0.000178847 -24 *3769:26 *5754:158 7.6644e-05 -25 *1250:232 *27365:B2 0.000162735 -26 *1864:134 *29755:A 8.27532e-05 -27 *1864:134 *3769:7 5.33005e-05 -28 *1864:134 *3769:20 0.000177821 -29 *2860:184 *27365:B2 2.06178e-05 -30 *2889:34 *25276:A1 0.000464988 -31 *2889:34 *3769:20 4.66511e-05 -32 *3205:210 *3769:26 0.000129735 -33 *3206:99 *25276:A1 0.000464988 -34 *3206:99 *3769:20 5.41794e-05 -35 *3574:111 *3769:26 0.000961087 -36 *3756:20 *3769:26 0.000750032 -*RES -1 *28604:Q *3769:7 14.3357 -2 *3769:7 *25276:A1 26.2821 -3 *3769:7 *3769:20 7 -4 *3769:20 *3769:26 25.4643 -5 *3769:26 *27365:B2 30.8714 -6 *3769:26 *27712:B2 9.3 -7 *3769:20 *29755:A 10.2464 -*END - -*D_NET *3770 0.049894 -*CONN -*I *24841:A I *D sky130_fd_sc_hd__inv_2 -*I *30151:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27726:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27379:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25181:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28605:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *24841:A 0.000745648 -2 *30151:A 0.000115242 -3 *27726:B2 9.83167e-05 -4 *27379:A1 0 -5 *25181:B2 0 -6 *28605:Q 0.00046459 -7 *3770:67 0.000912826 -8 *3770:48 0.00129367 -9 *3770:35 0.00252478 -10 *3770:28 0.00332309 -11 *3770:21 0.00373974 -12 *3770:11 0.00555626 -13 *3770:10 0.00400681 -14 *3770:8 0.000516525 -15 *24841:A *25678:A0 5.33005e-05 -16 *24841:A *25678:S 9.95075e-05 -17 *24841:A *28170:RESET_B 0.000111585 -18 *24841:A *29603:A 5.08481e-05 -19 *24841:A *3783:150 0.000382512 -20 *24841:A *5588:106 2.89114e-05 -21 *24841:A *5716:141 0 -22 *27726:B2 *5627:251 2.84269e-05 -23 *27726:B2 *5638:169 1.39983e-05 -24 *30151:A *29272:A 0.000482601 -25 *30151:A *3783:13 0.000225616 -26 *30151:A *3783:150 0.000181796 -27 *3770:8 *25727:A0 0.00022266 -28 *3770:8 *4206:29 0.000117543 -29 *3770:8 *5681:10 2.1527e-05 -30 *3770:11 *26916:A1 0.000593395 -31 *3770:11 *27040:A1 0.000689888 -32 *3770:11 *28732:D 5.56594e-05 -33 *3770:11 *28732:RESET_B 0.000344515 -34 *3770:11 *5696:11 9.60939e-05 -35 *3770:11 *5696:17 0.000860301 -36 *3770:11 *5696:21 1.5424e-05 -37 *3770:11 *5774:177 0.000159404 -38 *3770:21 *25725:A0 0.000679579 -39 *3770:21 *28732:D 8.85631e-05 -40 *3770:21 *5586:31 0.0010762 -41 *3770:21 *5668:50 0.000164539 -42 *3770:21 *5682:63 0.00027745 -43 *3770:21 *5695:99 0.000167375 -44 *3770:28 *27705:B1 0.000419916 -45 *3770:28 *5586:17 0.000470798 -46 *3770:28 *5586:31 0.00135846 -47 *3770:28 *5638:162 1.63058e-05 -48 *3770:28 *5663:192 0 -49 *3770:28 *5910:42 0 -50 *3770:28 *6351:12 2.52223e-05 -51 *3770:35 *27379:B2 1.24368e-05 -52 *3770:35 *27705:B1 4.154e-05 -53 *3770:35 *5654:108 1.0562e-05 -54 *3770:35 *5910:47 2.52026e-05 -55 *3770:35 *6351:12 0.00282316 -56 *3770:48 *27701:B2 5.66157e-05 -57 *3770:48 *4068:16 0.000288914 -58 *3770:48 *4107:32 0.000713371 -59 *3770:48 *4107:39 0.000771814 -60 *3770:48 *5649:137 0.000169454 -61 *3770:67 *3783:150 1.52978e-05 -62 *3770:67 *5681:10 2.7814e-05 -63 *25679:A1 *24841:A 8.00806e-05 -64 *25723:A1 *3770:28 2.37761e-05 -65 *26995:S *3770:11 8.11273e-05 -66 *27040:S *3770:8 0.000407866 -67 *27058:S *3770:35 1.23107e-05 -68 *27379:B1 *3770:35 2.59355e-05 -69 *27708:D *3770:28 0.00169845 -70 *27709:B *3770:35 0.00103374 -71 *28661:CLK *3770:48 3.03663e-05 -72 *28732:CLK *3770:21 9.90431e-05 -73 *28923:A *3770:48 2.39876e-05 -74 *30099:A *3770:35 6.11661e-05 -75 *938:9 *24841:A 0.000196269 -76 *938:9 *3770:8 0.00116291 -77 *938:9 *3770:67 0.000126439 -78 *1258:56 *3770:48 0.00081642 -79 *1260:102 *3770:48 0.000153114 -80 *1272:101 *3770:35 0.000980182 -81 *1272:101 *3770:48 3.69448e-05 -82 *1288:149 *3770:28 7.5779e-05 -83 *1524:38 *3770:48 0.0016274 -84 *2759:43 *3770:28 0.000748059 -85 *2771:57 *3770:11 0.000136136 -86 *2771:64 *3770:11 1.04066e-05 -87 *2771:64 *3770:21 2.90075e-05 -88 *2776:212 *3770:8 0.000279243 -89 *2786:22 *27726:B2 0.000240129 -90 *2852:142 *3770:35 1.40034e-05 -91 *3215:309 *3770:21 6.8646e-06 -92 *3251:12 *3770:35 0 -93 *3251:12 *3770:48 0.000890526 -94 *3273:10 *27726:B2 0.000238611 -95 *3606:10 *3770:28 6.09476e-05 -96 *3718:27 *3770:11 7.72783e-05 -97 *3718:47 *3770:11 0.000489365 -98 *3718:63 *3770:21 8.65258e-05 -*RES -1 *28605:Q *3770:8 30.9786 -2 *3770:8 *3770:10 4.5 -3 *3770:10 *3770:11 51.0536 -4 *3770:11 *3770:21 35.3393 -5 *3770:21 *3770:28 45.8661 -6 *3770:28 *3770:35 43.2946 -7 *3770:35 *3770:48 49 -8 *3770:48 *25181:B2 9.3 -9 *3770:35 *27379:A1 9.3 -10 *3770:21 *27726:B2 21.7464 -11 *3770:8 *3770:67 1.64286 -12 *3770:67 *30151:A 18.4429 -13 *3770:67 *24841:A 29.6393 -*END - -*D_NET *3771 0.0275657 -*CONN -*I *27756:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27406:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30188:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25110:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28606:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *27756:B2 0.000381977 -2 *27406:A1 3.66786e-05 -3 *30188:A 0.000181808 -4 *25110:A1 0.000169329 -5 *28606:Q 0 -6 *3771:50 0.00133887 -7 *3771:28 0.00169473 -8 *3771:27 0.00168677 -9 *3771:22 0.0012546 -10 *3771:7 0.00136188 -11 *3771:4 0.00100706 -12 *25110:A1 *30780:A 5.33005e-05 -13 *27756:B2 *25146:B2 4.21517e-05 -14 *27756:B2 *27755:B2 4.32309e-05 -15 *27756:B2 *3979:32 0.000190872 -16 *27756:B2 *5650:285 3.54937e-05 -17 *30188:A *29969:A 0.000136676 -18 *30188:A *5832:8 0.000426376 -19 *3771:22 *27104:S 0.00034188 -20 *3771:22 *27281:A1 0.000122732 -21 *3771:22 *27286:B1 1.90936e-05 -22 *3771:22 *27762:B2 9.60939e-05 -23 *3771:22 *30190:A 9.41642e-05 -24 *3771:22 *3790:102 0.00045009 -25 *3771:22 *5642:172 0.000151394 -26 *3771:22 *5688:123 0.000688723 -27 *3771:22 *5832:8 0.000301819 -28 *3771:22 *5891:18 0.0004259 -29 *3771:28 *3888:12 0.00416041 -30 *3771:28 *4022:47 9.64482e-05 -31 *3771:28 *4128:24 0.000534751 -32 *3771:28 *5891:28 1.90936e-05 -33 *3771:50 *27406:B2 2.84269e-05 -34 *3771:50 *4135:45 2.28914e-05 -35 *3771:50 *5627:139 2.64262e-06 -36 *25111:C1 *25110:A1 4.10926e-05 -37 *25163:B2 *3771:28 0.000118052 -38 *25195:B1 *3771:28 0.000167871 -39 *25256:A *3771:28 0.000557223 -40 *27281:A2 *3771:22 2.45732e-05 -41 *27403:A2 *27406:A1 2.62489e-05 -42 *27403:A2 *3771:50 0.000963407 -43 *27406:B1 *27406:A1 5.49489e-05 -44 *27409:C *27756:B2 6.33782e-05 -45 *27756:C1 *27756:B2 1.36772e-05 -46 *27763:A2 *3771:7 2.79421e-05 -47 *27763:A2 *3771:50 2.42516e-05 -48 *30196:A *3771:22 0.000127439 -49 *1235:159 *3771:22 0.000335505 -50 *1235:163 *3771:22 0.00032475 -51 *1447:51 *3771:22 0.000304647 -52 *1447:60 *3771:22 0.000126446 -53 *1447:78 *3771:22 0.000248486 -54 *1448:100 *25110:A1 0.000249375 -55 *1473:14 *3771:28 0.000378992 -56 *1627:10 *3771:28 0.00136 -57 *1627:12 *3771:28 0.000420314 -58 *1719:12 *3771:28 0.000508314 -59 *2775:132 *3771:22 0.00117866 -60 *2852:161 *27756:B2 7.93805e-05 -61 *2874:305 *3771:50 0.000512017 -62 *2891:236 *3771:50 0.000448698 -63 *3552:30 *30188:A 0.000417833 -64 *3552:30 *3771:22 0.000303541 -65 *3686:67 *30188:A 0.000136676 -66 *3689:57 *25110:A1 0.000423607 -*RES -1 *28606:Q *3771:4 9.3 -2 *3771:4 *3771:7 5.44643 -3 *3771:7 *3771:22 48.1339 -4 *3771:22 *3771:27 7.0832 -5 *3771:27 *3771:28 54.6161 -6 *3771:28 *25110:A1 18.4429 -7 *3771:7 *30188:A 20.7464 -8 *3771:4 *3771:50 17.3036 -9 *3771:50 *27406:A1 10.2643 -10 *3771:50 *27756:B2 33.983 -*END - -*D_NET *3772 0.0108901 -*CONN -*I *29087:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27779:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27432:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25057:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28607:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29087:A 0.000323006 -2 *27779:B2 9.04164e-06 -3 *27432:B2 1.74547e-05 -4 *25057:B2 0.000658774 -5 *28607:Q 7.02043e-05 -6 *3772:26 0.00147028 -7 *3772:14 0.00156837 -8 *3772:7 0.00115849 -9 *25057:B2 *25057:A2 1.28809e-05 -10 *25057:B2 *25057:B1 6.21619e-05 -11 *25057:B2 *3839:30 0.000125166 -12 *25057:B2 *4033:16 0.000948097 -13 *25057:B2 *4086:27 0.000118824 -14 *25057:B2 *5910:63 2.99722e-05 -15 *27779:B2 *27779:B1 2.84269e-05 -16 *29087:A *3930:70 8.49278e-05 -17 *29087:A *4095:33 0.000228434 -18 *3772:14 *27431:A1 8.68061e-06 -19 *3772:14 *27779:B1 5.33005e-05 -20 *3772:14 *3930:70 0.000248891 -21 *3772:26 *4033:16 5.71026e-05 -22 *3772:26 *4086:27 2.05843e-05 -23 *25055:B *3772:14 0.000251076 -24 *25631:S *3772:7 0.000178847 -25 *27779:A1 *27779:B2 2.84269e-05 -26 *27779:A1 *3772:14 1.21258e-05 -27 *27779:A2 *3772:14 8.48827e-06 -28 *28607:CLK *3772:7 9.41642e-05 -29 *29076:A *3772:14 4.61614e-05 -30 *29076:A *3772:26 5.59013e-05 -31 *890:19 *3772:14 3.466e-06 -32 *1242:148 *25057:B2 3.48645e-05 -33 *1266:205 *25057:B2 0.00017754 -34 *1273:167 *3772:26 7.06226e-05 -35 *1273:181 *3772:14 5.31113e-05 -36 *1273:181 *3772:26 7.46506e-05 -37 *1302:6 *3772:14 1.21637e-05 -38 *1393:10 *25057:B2 1.24368e-05 -39 *2773:68 *27432:B2 1.38323e-05 -40 *2773:84 *3772:26 0.000433059 -41 *2775:99 *3772:26 0.00013 -42 *2891:311 *3772:26 0.000125587 -43 *3326:7 *3772:14 1.6068e-05 -44 *3367:31 *25057:B2 0.00072351 -45 *3582:134 *29087:A 9.34707e-05 -46 *3582:134 *3772:14 0.000526242 -47 *3694:63 *29087:A 0.000178425 -48 *3757:15 *3772:26 5.56738e-05 -49 *3759:16 *3772:26 0.000177143 -*RES -1 *28607:Q *3772:7 15.5679 -2 *3772:7 *3772:14 22.3036 -3 *3772:14 *3772:26 12.1479 -4 *3772:26 *25057:B2 41.0009 -5 *3772:26 *27432:B2 14.0857 -6 *3772:14 *27779:B2 14.0857 -7 *3772:7 *29087:A 20.3536 -*END - -*D_NET *3773 0.0163484 -*CONN -*I *30481:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27802:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27447:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25008:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28608:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30481:A 3.63033e-05 -2 *27802:B2 0 -3 *27447:B2 9.85493e-05 -4 *25008:A1 0.00033929 -5 *28608:Q 0.000515404 -6 *3773:24 0.00151131 -7 *3773:19 0.00226001 -8 *3773:11 0.00173824 -9 *25008:A1 *25008:B2 9.41642e-05 -10 *27447:B2 *3799:36 0.000122091 -11 *27447:B2 *3865:38 0.000113555 -12 *30481:A *5667:286 0.000128146 -13 *3773:11 *30092:A 0.000508712 -14 *3773:11 *5753:81 9.91086e-05 -15 *3773:11 *5753:83 0.000103974 -16 *3773:19 *27802:A1 1.98839e-05 -17 *3773:19 *30092:A 0.000840219 -18 *3773:19 *3994:27 4.48647e-05 -19 *3773:19 *3995:32 0.000136682 -20 *3773:19 *5678:113 2.70242e-05 -21 *3773:19 *5678:129 9.67621e-05 -22 *3773:24 *3799:36 0.000794358 -23 *3773:24 *3865:38 0.00230608 -24 *3773:24 *3994:27 0.000182598 -25 *3773:24 *5645:39 0.000260574 -26 *3773:24 *5647:18 4.31097e-05 -27 *25008:A2 *25008:A1 0.000980999 -28 *27089:S *3773:11 0.000193833 -29 *27447:B1 *27447:B2 2.90193e-05 -30 *1308:19 *3773:24 0.000579939 -31 *1339:26 *30481:A 0.000128146 -32 *3006:10 *27447:B2 1.83053e-05 -33 *3179:42 *3773:24 0.00147949 -34 *3348:17 *3773:19 1.21258e-05 -35 *3735:46 *25008:A1 0.00050555 -*RES -1 *28608:Q *3773:11 26.4429 -2 *3773:11 *3773:19 16.1964 -3 *3773:19 *3773:24 41.1786 -4 *3773:24 *25008:A1 24.3357 -5 *3773:24 *27447:B2 16.4071 -6 *3773:19 *27802:B2 9.3 -7 *3773:11 *30481:A 19.9429 -*END - -*D_NET *3774 0.0253478 -*CONN -*I *30050:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24982:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *27478:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27830:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28609:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *30050:A 0 -2 *24982:B2 1.82724e-05 -3 *27478:B2 0.000698184 -4 *27830:B2 0.00103482 -5 *28609:Q 0.00075751 -6 *3774:36 0.00278899 -7 *3774:33 0.00221006 -8 *3774:17 0.00263167 -9 *3774:5 0.00221683 -10 *24982:B2 *24982:A1 1.04232e-05 -11 *27478:B2 *3878:49 0.000855916 -12 *27830:B2 *27830:B1 1.32989e-05 -13 *27830:B2 *3916:20 0.000112563 -14 *27830:B2 *5627:181 2.35215e-05 -15 *3774:5 *24853:A 9.75679e-05 -16 *3774:5 *27035:A1 0.000189564 -17 *3774:5 *5767:150 0.000192094 -18 *3774:17 *24982:A1 0.00011053 -19 *3774:17 *4085:34 6.05161e-06 -20 *3774:17 *5767:150 8.08444e-05 -21 *3774:33 *24982:A1 8.55871e-05 -22 *3774:33 *4085:34 8.55871e-05 -23 *3774:33 *4086:28 2.26973e-05 -24 *3774:33 *4134:96 0.000104734 -25 *3774:33 *5630:182 6.90381e-06 -26 *3774:33 *5759:18 2.63501e-05 -27 *24982:A2 *3774:17 1.80583e-05 -28 *27478:C1 *27478:B2 3.00808e-05 -29 *27830:A1 *27830:B2 8.15984e-05 -30 *1258:100 *27478:B2 2.04825e-05 -31 *1268:74 *27830:B2 0.000110024 -32 *1274:157 *3774:17 0.00112739 -33 *1385:34 *3774:33 1.94945e-05 -34 *1490:128 *27830:B2 0.000162435 -35 *2764:152 *3774:36 0.00286078 -36 *2775:28 *3774:5 0.000300131 -37 *2775:28 *3774:17 1.32056e-05 -38 *2792:96 *3774:17 0.000274238 -39 *2861:42 *3774:33 0.000107807 -40 *2861:42 *3774:36 0.00327831 -41 *2866:296 *3774:17 0.000526207 -42 *2872:187 *27478:B2 0.00079804 -43 *2872:224 *3774:33 0.00012308 -44 *2872:237 *3774:33 0 -45 *2872:336 *3774:33 0.00020259 -46 *2885:91 *27478:B2 1.09026e-05 -47 *2888:194 *27830:B2 0.000174476 -48 *2891:147 *27478:B2 2.84269e-05 -49 *3022:11 *3774:36 1.17921e-05 -50 *3165:33 *27478:B2 0.000104587 -51 *3165:33 *27830:B2 1.97731e-05 -52 *3174:225 *27830:B2 0.00010891 -53 *3185:164 *27830:B2 3.80044e-05 -54 *3205:270 *27830:B2 2.37836e-05 -55 *3721:14 *3774:36 0.000392676 -*RES -1 *28609:Q *3774:5 22.1571 -2 *3774:5 *3774:17 40.4286 -3 *3774:17 *3774:33 22.3529 -4 *3774:33 *3774:36 46.035 -5 *3774:36 *27830:B2 22.6575 -6 *3774:36 *27478:B2 29.4029 -7 *3774:17 *24982:B2 9.72857 -8 *3774:5 *30050:A 9.3 -*END - -*D_NET *3775 0.0632292 -*CONN -*I *29336:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25365:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27855:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27488:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28345:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29336:A 0.000410777 -2 *25365:B2 0 -3 *27855:B2 0.00117609 -4 *27488:A1 0.00014969 -5 *28345:Q 0 -6 *3775:79 0.00212127 -7 *3775:70 0.00260095 -8 *3775:55 0.0025014 -9 *3775:39 0.00217307 -10 *3775:30 0.00422439 -11 *3775:28 0.00494689 -12 *3775:20 0.00247772 -13 *3775:4 0.00112606 -14 *27488:A1 *27520:A1 5.52238e-05 -15 *27488:A1 *5712:117 2.98258e-05 -16 *27855:B2 *27900:A1 0.000373872 -17 *27855:B2 *3856:43 0.000388233 -18 *27855:B2 *5698:26 0.0008903 -19 *29336:A *5688:143 0.000216755 -20 *3775:20 *28345:RESET_B 0.000276671 -21 *3775:20 *5688:176 2.94501e-05 -22 *3775:20 *5719:38 0.00139329 -23 *3775:20 *5758:155 4.72828e-06 -24 *3775:20 *5758:166 0.000204318 -25 *3775:20 *6036:46 0.00196714 -26 *3775:28 *3947:33 0.000335012 -27 *3775:28 *5688:176 0.000201031 -28 *3775:28 *5688:181 0.000360109 -29 *3775:28 *5688:205 0.000129185 -30 *3775:30 *27952:A1 0.000160614 -31 *3775:30 *28376:D 0.000177234 -32 *3775:30 *3806:77 0.00282887 -33 *3775:30 *4105:20 2.09826e-05 -34 *3775:30 *5614:97 4.53834e-05 -35 *3775:30 *5718:183 0.000280721 -36 *3775:30 *5719:62 0.000670676 -37 *3775:39 *27520:A1 0.000257619 -38 *3775:39 *5666:179 0.000222521 -39 *3775:39 *5744:37 3.25745e-05 -40 *3775:39 *5744:48 0.000106204 -41 *3775:39 *5744:102 0.000108447 -42 *3775:55 *3840:46 0.000560453 -43 *3775:55 *3892:75 0.000155532 -44 *3775:55 *4101:28 4.33553e-05 -45 *3775:55 *4131:36 0.00119586 -46 *3775:79 *25895:A1 7.49231e-05 -47 *3775:79 *4025:5 8.55871e-05 -48 *3775:79 *4025:21 9.0145e-05 -49 *3775:79 *5196:8 1.31516e-05 -50 *3775:79 *5641:111 0.000522776 -51 *3775:79 *5669:19 6.57032e-05 -52 *3775:79 *5760:138 0.000190695 -53 *25244:A1 *3775:30 4.59146e-05 -54 *25365:B1 *3775:79 0.000513591 -55 *25882:A *3775:20 5.86416e-05 -56 *27488:A2 *27488:A1 0.000202235 -57 *27488:A2 *3775:39 0.000163455 -58 *27488:B1 *27488:A1 2.286e-05 -59 *27509:A2 *3775:55 0.00057558 -60 *27530:B1 *3775:30 0.000400081 -61 *27580:B2 *3775:30 0.000307434 -62 *27855:A1 *27855:B2 7.48927e-06 -63 *27855:B1 *27855:B2 4.90578e-06 -64 *27855:C1 *27855:B2 0.000137983 -65 *27897:A1 *27855:B2 0.000428265 -66 *27952:B2 *3775:30 0.00128743 -67 *27952:C1 *3775:30 2.14474e-05 -68 *28329:CLK *3775:30 0.00127326 -69 *28947:A *3775:30 4.05174e-06 -70 *29728:A *29336:A 9.0573e-05 -71 *29728:A *3775:70 0.000247771 -72 *29741:A *3775:28 0.000315172 -73 *30281:A *3775:30 0.000126963 -74 *30534:A *3775:30 9.93344e-05 -75 *1408:28 *3775:30 0.00240486 -76 *1408:36 *3775:30 0.000143652 -77 *1434:20 *3775:20 5.2861e-05 -78 *1434:20 *3775:28 0.000238136 -79 *1816:26 *29336:A 9.91086e-05 -80 *1816:26 *3775:70 0.000246057 -81 *1864:51 *3775:39 0.000219711 -82 *1894:8 *3775:79 0.00038571 -83 *1894:10 *3775:79 0.000118986 -84 *1899:31 *3775:55 0.000470804 -85 *2853:114 *3775:30 3.45894e-05 -86 *2859:128 *3775:30 0.00489813 -87 *2878:75 *3775:39 0.000911925 -88 *2880:211 *3775:39 0.000445697 -89 *2880:215 *3775:39 4.74526e-05 -90 *2883:58 *3775:55 7.85696e-05 -91 *2891:45 *3775:39 0.00046908 -92 *3060:9 *3775:55 1.02504e-05 -93 *3164:69 *27855:B2 9.56726e-06 -94 *3570:37 *3775:30 4.21301e-05 -95 *3646:20 *3775:30 2.06178e-05 -96 *3646:49 *3775:30 0.00118253 -97 *3655:24 *3775:28 8.49698e-05 -98 *3687:42 *3775:30 7.47029e-06 -99 *3736:51 *27855:B2 0.000441802 -100 *3752:14 *3775:28 0.00012121 -101 *3752:19 *3775:28 0.000539809 -102 *3752:19 *3775:30 0.00163339 -103 *3753:5 *3775:20 0.000181796 -104 *3753:26 *3775:28 0.00233263 -105 *3753:26 *3775:30 1.82463e-05 -106 *3762:8 *3775:20 0.000305441 -107 *3765:7 *3775:79 2.40379e-05 -*RES -1 *28345:Q *3775:4 9.3 -2 *3775:4 *3775:20 48.4911 -3 *3775:20 *3775:28 44.8571 -4 *3775:28 *3775:30 124.116 -5 *3775:30 *3775:39 33.9286 -6 *3775:39 *27488:A1 12.3179 -7 *3775:39 *3775:55 39.8036 -8 *3775:55 *27855:B2 39.1795 -9 *3775:4 *3775:70 7.66071 -10 *3775:70 *3775:79 44.375 -11 *3775:79 *25365:B2 9.3 -12 *3775:70 *29336:A 20.6214 -*END - -*D_NET *3776 0.0582311 -*CONN -*I *25297:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27513:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27880:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29810:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28346:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25297:B2 4.94028e-06 -2 *27513:A1 0 -3 *27880:B2 0.00151239 -4 *29810:A 0.00135098 -5 *28346:Q 0 -6 *3776:90 0.00275042 -7 *3776:54 0.00546925 -8 *3776:44 0.00445855 -9 *3776:42 0.00434417 -10 *3776:33 0.00301086 -11 *3776:4 0.00327472 -12 *27880:B2 *4012:39 0.000474667 -13 *27880:B2 *4036:40 2.22043e-05 -14 *27880:B2 *5467:14 0 -15 *27880:B2 *5691:93 0.000178903 -16 *27880:B2 *5706:188 0.000140123 -17 *29810:A *25896:A0 0.000703692 -18 *29810:A *28346:RESET_B 4.75565e-05 -19 *3776:33 *25144:B2 0.00012189 -20 *3776:33 *25770:A1 2.59355e-05 -21 *3776:33 *28234:D 1.58163e-05 -22 *3776:33 *29731:A 9.41642e-05 -23 *3776:33 *5196:8 1.65036e-05 -24 *3776:42 *4012:39 0.000286816 -25 *3776:42 *5646:182 0.000394309 -26 *3776:42 *5715:117 0.000788826 -27 *3776:42 *5729:34 0.00132295 -28 *3776:44 *24906:B 0.000246037 -29 *3776:44 *27947:A2 0.00075375 -30 *3776:44 *27948:A1 0.000111243 -31 *3776:44 *27965:A 1.77525e-05 -32 *3776:44 *3857:74 0.00201244 -33 *3776:44 *4014:24 0 -34 *3776:44 *5646:157 1.27529e-05 -35 *3776:44 *5646:161 0.0028991 -36 *3776:44 *5646:163 0.00027652 -37 *3776:44 *5646:175 4.21208e-05 -38 *3776:44 *5836:78 4.18834e-05 -39 *3776:44 *5857:33 0.000127836 -40 *3776:44 *5890:24 2.04825e-05 -41 *3776:44 *5909:44 0.000276743 -42 *3776:54 *27965:A 5.66873e-05 -43 *3776:54 *3857:74 0.0013373 -44 *3776:54 *4012:39 0.000113818 -45 *3776:54 *5210:25 1.90936e-05 -46 *3776:54 *5691:93 2.18881e-05 -47 *3776:90 *27530:B2 1.68854e-05 -48 *3776:90 *3896:86 0.000611142 -49 *3776:90 *4116:51 6.05161e-06 -50 *3776:90 *4131:36 7.64966e-05 -51 *3776:90 *4186:20 0 -52 *25244:A1 *3776:90 0.000128968 -53 *25244:C1 *3776:90 6.47631e-05 -54 *25296:A1 *3776:42 0.00014101 -55 *25296:A1 *3776:44 2.14378e-05 -56 *25297:B1 *25297:B2 6.26774e-06 -57 *25297:B1 *3776:44 0.000189747 -58 *25371:A2 *3776:44 0.000620945 -59 *26657:C *29810:A 0.000152851 -60 *27304:A1 *3776:44 0.00166027 -61 *27513:A2 *3776:90 5.33005e-05 -62 *27880:A1 *27880:B2 8.01742e-05 -63 *27966:C1 *3776:44 0.00145959 -64 *27966:C1 *3776:54 1.13346e-05 -65 *28346:CLK *29810:A 3.88914e-05 -66 *28579:CLK *3776:33 0.000599678 -67 *30131:A *3776:33 0.000260574 -68 *1251:29 *27880:B2 0.00136283 -69 *1360:18 *3776:44 0.000192518 -70 *1360:72 *3776:44 1.08716e-05 -71 *1396:189 *25297:B2 1.28809e-05 -72 *1405:73 *3776:33 0.000565844 -73 *1423:86 *3776:90 0.000103485 -74 *1435:76 *3776:44 5.2514e-05 -75 *1435:81 *3776:42 0.000108516 -76 *1447:15 *3776:42 0.000149031 -77 *1447:78 *3776:33 3.80953e-06 -78 *1450:28 *3776:44 3.19295e-05 -79 *1475:8 *3776:44 0.00012401 -80 *1475:42 *3776:33 6.86792e-05 -81 *1545:28 *3776:54 0.000339417 -82 *1563:17 *3776:90 5.39895e-05 -83 *1647:29 *3776:44 0.00199538 -84 *1687:9 *3776:42 9.90115e-06 -85 *1687:9 *3776:44 0.00105585 -86 *1730:13 *3776:54 0.000128752 -87 *1844:26 *3776:90 2.63501e-05 -88 *2576:17 *29810:A 0.000183726 -89 *2773:155 *3776:42 0.00110725 -90 *2866:149 *3776:90 7.49739e-05 -91 *2877:58 *3776:90 0.000193677 -92 *2891:81 *3776:90 0.000696238 -93 *2895:122 *3776:90 0.000114048 -94 *3124:15 *3776:90 9.26333e-05 -95 *3145:14 *27880:B2 1.90936e-05 -96 *3182:134 *3776:44 7.9397e-05 -97 *3182:134 *3776:54 0.00180072 -98 *3185:304 *27880:B2 4.86178e-05 -99 *3197:85 *3776:44 0.000125372 -100 *3205:72 *27880:B2 0.000368123 -101 *3214:78 *27880:B2 9.01418e-05 -102 *3215:104 *27880:B2 0 -103 *3441:14 *3776:90 0 -104 *3482:19 *27880:B2 0.000102658 -105 *3484:20 *3776:42 2.61731e-05 -106 *3656:11 *29810:A 2.575e-05 -107 *3662:34 *3776:90 0.000508637 -108 *3662:43 *3776:54 0.000319146 -109 *3738:58 *3776:44 0.000459642 -*RES -1 *28346:Q *3776:4 9.3 -2 *3776:4 *29810:A 31.175 -3 *3776:4 *3776:33 46.1429 -4 *3776:33 *3776:42 41.3214 -5 *3776:42 *3776:44 107.134 -6 *3776:44 *3776:54 12.7299 -7 *3776:54 *27880:B2 27.0937 -8 *3776:54 *3776:90 42.4062 -9 *3776:90 *27513:A1 9.3 -10 *3776:42 *25297:B2 13.9295 -*END - -*D_NET *3777 0.0199232 -*CONN -*I *27322:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27623:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *29338:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25410:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28610:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *27322:B2 0.000666892 -2 *27623:A1 0 -3 *29338:A 0.000159459 -4 *25410:A1 0.000822882 -5 *28610:Q 0.000108371 -6 *3777:51 0.00252938 -7 *3777:11 0.00144321 -8 *3777:10 0.00243173 -9 *25410:A1 *25097:A1 7.6504e-05 -10 *25410:A1 *5622:48 0.000138047 -11 *25410:A1 *5624:18 0.000364921 -12 *25410:A1 *5646:34 0.0004443 -13 *25410:A1 *5651:39 0.000218409 -14 *27322:B2 *25358:B2 8.19176e-05 -15 *27322:B2 *26828:A1 9.41642e-05 -16 *27322:B2 *27322:A2 0 -17 *27322:B2 *27623:A2 7.37323e-05 -18 *27322:B2 *4062:27 0.000125593 -19 *27322:B2 *5486:31 8.6229e-06 -20 *27322:B2 *5634:95 0.000173994 -21 *27322:B2 *5635:50 0.000204318 -22 *27322:B2 *5655:113 0.000878725 -23 *27322:B2 *5657:38 0.000303362 -24 *27322:B2 *5713:96 0.000211903 -25 *27322:B2 *5713:104 0.000162182 -26 *29338:A *28436:RESET_B 0.000176717 -27 *29338:A *28610:SET_B 8.58848e-05 -28 *29338:A *3875:63 0.000193832 -29 *29338:A *5947:74 0.000136682 -30 *3777:10 *28436:RESET_B 6.6692e-05 -31 *3777:11 *25097:A1 8.69434e-05 -32 *3777:11 *5646:34 0.000686903 -33 *3777:51 *27623:B2 0.000234039 -34 *3777:51 *3829:36 4.11173e-05 -35 *3777:51 *5583:58 2.35409e-05 -36 *3777:51 *5606:14 6.58294e-06 -37 *3777:51 *5646:34 0.000650041 -38 *3777:51 *5661:42 0.000107871 -39 *25133:C1 *3777:51 2.05803e-05 -40 *25265:C1 *3777:51 0.000109422 -41 *25351:B *3777:10 6.05161e-06 -42 *25410:A2 *25410:A1 7.37323e-05 -43 *25410:B1 *25410:A1 0.00027826 -44 *27322:B1 *27322:B2 9.35228e-06 -45 *27623:C1 *3777:51 1.98839e-05 -46 *28610:CLK *3777:11 0.000158323 -47 *28610:CLK *3777:51 5.71399e-05 -48 *1257:46 *3777:51 6.45033e-05 -49 *1276:21 *25410:A1 7.85273e-05 -50 *1277:44 *3777:51 0.000415365 -51 *1277:107 *3777:51 0.0001172 -52 *1535:39 *3777:51 0.000547454 -53 *1547:29 *27322:B2 3.82242e-05 -54 *1547:36 *25410:A1 0.000311992 -55 *1594:23 *3777:51 0.000716172 -56 *1601:6 *3777:10 1.94945e-05 -57 *1723:27 *3777:51 0.000135471 -58 *2780:61 *3777:51 8.76591e-06 -59 *2848:51 *3777:10 0.000238841 -60 *2853:48 *3777:51 4.5813e-05 -61 *2859:34 *27322:B2 4.27437e-05 -62 *2891:24 *3777:51 0.000136958 -63 *3174:35 *27322:B2 0.000339346 -64 *3174:35 *3777:51 1.58183e-05 -65 *3174:56 *3777:51 0.00106868 -66 *3183:77 *3777:10 1.94945e-05 -67 *3314:41 *3777:51 0.000610114 -*RES -1 *28610:Q *3777:10 19.8707 -2 *3777:10 *3777:11 1.20007 -3 *3777:11 *25410:A1 30.3437 -4 *3777:11 *29338:A 21.2725 -5 *3777:10 *3777:51 25.8861 -6 *3777:51 *27623:A1 9.3 -7 *3777:51 *27322:B2 37.1036 -*END - -*D_NET *3778 0.0355897 -*CONN -*I *25264:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27901:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27539:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29211:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28357:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *25264:B2 0.000650686 -2 *27901:A1 0.000749884 -3 *27539:B2 0.000428019 -4 *29211:A 0.000601271 -5 *28357:Q 6.95785e-05 -6 *3778:77 0.00229753 -7 *3778:44 0.00485707 -8 *3778:25 0.00441662 -9 *3778:8 0.0021991 -10 *27539:B2 *5717:104 0.00033245 -11 *27901:A1 *27858:B2 0.000115775 -12 *27901:A1 *3843:51 0.000113391 -13 *27901:A1 *4129:61 9.71197e-05 -14 *27901:A1 *5581:55 0.00029251 -15 *27901:A1 *5685:52 0.0008072 -16 *29211:A *28357:D 5.83233e-05 -17 *29211:A *3801:56 5.66157e-05 -18 *29211:A *5691:260 3.45337e-05 -19 *29211:A *5691:269 0.000292475 -20 *3778:8 *5735:18 2.89114e-05 -21 *3778:25 *27576:B2 0 -22 *3778:25 *3806:61 0 -23 *3778:25 *3910:43 0 -24 *3778:25 *5718:126 3.71684e-05 -25 *3778:25 *5718:130 9.92439e-06 -26 *3778:25 *5738:82 0 -27 *3778:44 *25391:B2 0 -28 *3778:44 *27858:B2 0 -29 *3778:44 *3843:51 0 -30 *3778:77 *25391:B2 6.52967e-05 -31 *3778:77 *28389:D 0.000163246 -32 *3778:77 *5685:52 0.000593292 -33 *25116:B *3778:77 1.00733e-05 -34 *25393:C1 *27539:B2 4.88511e-05 -35 *25393:C1 *3778:44 0.000104243 -36 *25907:S *3778:8 5.33005e-05 -37 *25909:S *3778:25 0.000203769 -38 *25910:S *29211:A 6.05161e-06 -39 *27497:B1 *3778:25 0.000177364 -40 *27539:B1 *27539:B2 5.33005e-05 -41 *27540:A *3778:44 0.000222664 -42 *27548:B2 *3778:25 0.000163097 -43 *27576:C1 *3778:25 0 -44 *27902:C1 *27901:A1 0.000149414 -45 *1277:16 *3778:77 0.000156484 -46 *1360:27 *3778:77 0.000813584 -47 *1360:44 *25264:B2 0.00173162 -48 *1360:44 *3778:77 1.64621e-05 -49 *1401:77 *25264:B2 0.000436223 -50 *1408:28 *3778:25 0 -51 *1416:53 *25264:B2 0.000257619 -52 *1437:13 *25264:B2 2.36643e-05 -53 *1437:13 *3778:77 0.000397137 -54 *1613:6 *3778:77 0.00103806 -55 *1624:76 *3778:44 6.90533e-05 -56 *1644:19 *3778:77 6.53397e-05 -57 *1676:14 *25264:B2 0.000556206 -58 *1725:8 *27901:A1 0.00125399 -59 *1725:8 *3778:77 0.00093703 -60 *1893:24 *3778:8 3.17148e-05 -61 *1893:45 *3778:8 8.98585e-05 -62 *1893:45 *3778:25 5.66157e-05 -63 *2834:68 *27539:B2 9.29324e-05 -64 *2834:68 *3778:44 0.00062644 -65 *2845:37 *3778:44 0.000149467 -66 *2845:45 *27539:B2 7.17259e-05 -67 *2845:45 *3778:25 0.000288838 -68 *2845:45 *3778:44 0.000849159 -69 *2867:39 *25264:B2 0.000339346 -70 *2867:49 *3778:77 0.000678072 -71 *2880:217 *3778:25 3.83628e-06 -72 *2880:224 *3778:25 1.97381e-05 -73 *2891:30 *25264:B2 0.000143516 -74 *2891:30 *3778:77 0.00030242 -75 *3050:10 *27539:B2 0.000334534 -76 *3061:15 *3778:8 0.000121573 -77 *3061:15 *3778:25 0.00238961 -78 *3082:13 *3778:25 0.00022598 -79 *3156:73 *3778:44 6.11663e-05 -80 *3173:45 *27901:A1 4.58194e-05 -81 *3411:20 *3778:44 0 -82 *3457:28 *3778:77 3.27258e-05 -83 *3506:25 *27901:A1 1.39158e-05 -84 *3506:25 *3778:77 0.000265114 -85 *3713:63 *27539:B2 4.2978e-05 -*RES -1 *28357:Q *3778:8 15.9786 -2 *3778:8 *29211:A 31.175 -3 *3778:8 *3778:25 40.4189 -4 *3778:25 *27539:B2 24.1805 -5 *3778:25 *3778:44 9.0782 -6 *3778:44 *27901:A1 41.9451 -7 *3778:44 *3778:77 49.7946 -8 *3778:77 *25264:B2 30.7821 -*END - -*D_NET *3779 0.0379088 -*CONN -*I *25177:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27556:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *27927:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30081:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28358:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25177:B2 0 -2 *27556:A1 0.000779561 -3 *27927:A1 0.00095477 -4 *30081:A 5.42728e-05 -5 *28358:Q 0.00037597 -6 *3779:97 0.00135745 -7 *3779:61 0.00213701 -8 *3779:59 0.00271384 -9 *3779:45 0.00471875 -10 *3779:41 0.00212652 -11 *3779:26 0.00146834 -12 *3779:12 0.000822202 -13 *27556:A1 *25934:A0 2.05612e-05 -14 *27556:A1 *25934:A1 0.000432953 -15 *27556:A1 *4185:42 0.00140476 -16 *27927:A1 *25339:A1 0.00127844 -17 *27927:A1 *3789:20 0.00011802 -18 *27927:A1 *3853:45 0.000215901 -19 *30081:A *5698:55 0.000221822 -20 *3779:12 *25910:A1 0.000256878 -21 *3779:12 *28375:D 0.000128608 -22 *3779:12 *6323:10 9.56678e-05 -23 *3779:26 *5313:11 0.000107242 -24 *3779:26 *5698:55 0.000125547 -25 *3779:41 *3919:51 3.10885e-05 -26 *3779:41 *5313:11 7.1525e-05 -27 *3779:45 *25280:A1 0.00016588 -28 *3779:45 *28377:D 0.000331866 -29 *3779:45 *3919:51 0.00107387 -30 *3779:45 *4088:79 2.04825e-05 -31 *3779:45 *4140:47 4.11173e-05 -32 *3779:45 *5510:116 0.000428686 -33 *3779:45 *5651:47 5.43648e-05 -34 *3779:45 *5698:26 0.000427566 -35 *3779:45 *5698:30 1.06693e-05 -36 *3779:59 *27896:A 0.000557946 -37 *3779:59 *28155:D 6.19181e-05 -38 *3779:59 *28156:RESET_B 0.000348158 -39 *3779:59 *3832:43 0.000112954 -40 *3779:59 *3919:63 0.000378266 -41 *3779:59 *3923:32 6.57425e-05 -42 *3779:59 *5467:14 2.18027e-05 -43 *3779:59 *5650:133 0.000257329 -44 *3779:59 *5744:114 0 -45 *3779:97 *25210:A1 8.43535e-06 -46 *3779:97 *28158:D 0.000136682 -47 *3779:97 *28159:RESET_B 2.29097e-05 -48 *3779:97 *3868:62 0.000196064 -49 *3779:97 *3881:33 0.000196064 -50 *3779:97 *3922:35 8.08521e-05 -51 *3779:97 *4185:42 0.000515517 -52 *25280:B1 *3779:45 3.37702e-05 -53 *25934:S *27556:A1 0.000175892 -54 *27511:B1 *3779:45 0.000735207 -55 *27556:A2 *27556:A1 0.000108112 -56 *27903:B2 *3779:45 7.23115e-05 -57 *27931:A2 *3779:97 5.49544e-05 -58 *27931:B1 *3779:97 9.94194e-05 -59 *27931:D1 *3779:97 0.000260921 -60 *28157:CLK *3779:59 0.000516623 -61 *28159:CLK *27556:A1 0.000421074 -62 *28159:CLK *3779:97 4.08637e-05 -63 *29317:A *3779:45 0.000123391 -64 *29344:A *3779:59 2.78253e-05 -65 *510:26 *3779:59 2.83129e-05 -66 *1251:29 *3779:59 4.31921e-05 -67 *1363:62 *27927:A1 0.000536642 -68 *1395:61 *30081:A 0.000221822 -69 *1395:61 *3779:26 0.000321592 -70 *1400:40 *3779:97 4.02038e-05 -71 *1441:48 *27927:A1 2.06178e-05 -72 *1470:90 *3779:59 0.000178293 -73 *1470:90 *3779:97 4.07975e-05 -74 *1547:51 *3779:45 0.00047103 -75 *1563:17 *3779:59 5.80117e-05 -76 *1587:16 *27927:A1 0.000378686 -77 *1865:8 *3779:26 0.000219586 -78 *1865:8 *3779:41 0.000155468 -79 *1897:15 *3779:41 1.09315e-05 -80 *1897:15 *3779:45 0.000611502 -81 *2845:80 *3779:59 0.00149508 -82 *2845:109 *3779:59 0.00012234 -83 *2860:64 *27927:A1 0 -84 *2872:134 *27556:A1 7.56214e-05 -85 *2878:92 *27556:A1 0.000199816 -86 *3145:32 *27927:A1 0.000127914 -87 *3174:99 *3779:97 0.000150625 -88 *3183:105 *27927:A1 2.06178e-05 -89 *3214:78 *3779:59 0 -90 *3215:57 *3779:97 0.000289881 -91 *3404:26 *3779:45 3.18761e-05 -92 *3469:29 *3779:97 0.000216755 -93 *3571:53 *3779:12 0.000541753 -94 *3645:20 *3779:59 0.000163753 -95 *3662:34 *3779:59 0 -96 *3671:75 *3779:41 4.97121e-06 -97 *3752:39 *27556:A1 1.40034e-05 -98 *3752:54 *3779:59 0.000599802 -99 *3752:56 *3779:59 3.80301e-05 -100 *3762:42 *3779:97 0.000150625 -101 *3763:20 *27556:A1 0.000125476 -*RES -1 *28358:Q *3779:12 28.8 -2 *3779:12 *30081:A 11.4786 -3 *3779:12 *3779:26 12.1875 -4 *3779:26 *27927:A1 24.2589 -5 *3779:26 *3779:41 3.24107 -6 *3779:41 *3779:45 49.3393 -7 *3779:45 *3779:59 47.3596 -8 *3779:59 *3779:61 4.5 -9 *3779:61 *27556:A1 39.3179 -10 *3779:61 *3779:97 45.9107 -11 *3779:97 *25177:B2 9.3 -*END - -*D_NET *3780 0.044241 -*CONN -*I *27578:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *25081:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27958:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29986:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28359:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27578:A1 0.000721096 -2 *25081:B2 0.00138978 -3 *27958:B2 6.40582e-05 -4 *29986:A 0.00033551 -5 *28359:Q 0.000209789 -6 *3780:64 0.00242241 -7 *3780:53 0.00195926 -8 *3780:34 0.00419426 -9 *3780:23 0.00406048 -10 *3780:7 0.00199517 -11 *27578:A1 *3910:51 0.000150618 -12 *27578:A1 *4064:56 0.000383166 -13 *27578:A1 *5614:88 6.76116e-05 -14 *27578:A1 *5839:47 9.5385e-05 -15 *27578:A1 *5878:22 0.000101545 -16 *27578:A1 *5878:35 2.59355e-05 -17 *29986:A *25908:A1 0.000150768 -18 *29986:A *3789:9 0.00047248 -19 *29986:A *6055:13 0.000330944 -20 *3780:7 *28359:D 0.000253774 -21 *3780:23 *29430:A 0 -22 *3780:23 *3827:24 0.00245861 -23 *3780:23 *5629:44 2.16482e-05 -24 *3780:23 *5629:71 0.000232477 -25 *3780:23 *5680:343 4.18505e-05 -26 *3780:23 *6055:13 0.000351777 -27 *3780:34 *25326:B2 0.000573919 -28 *3780:34 *25667:A1 7.55769e-05 -29 *3780:34 *27494:B2 2.04825e-05 -30 *3780:34 *27851:B2 8.11378e-05 -31 *3780:34 *27854:A2 9.11441e-05 -32 *3780:34 *27883:A1 3.57375e-05 -33 *3780:34 *27900:A1 0.000186316 -34 *3780:34 *28157:SET_B 0 -35 *3780:34 *3827:24 0.00035101 -36 *3780:34 *3895:88 8.6886e-05 -37 *3780:34 *3923:32 9.15364e-05 -38 *3780:34 *5386:11 4.70487e-05 -39 *3780:34 *5457:22 0.000423457 -40 *3780:34 *5458:31 0.000409983 -41 *3780:34 *5458:39 0.00191887 -42 *3780:34 *5629:71 0.000368082 -43 *3780:34 *5666:179 4.33002e-05 -44 *3780:34 *5947:74 0.000125917 -45 *3780:53 *27933:A1 0.000481326 -46 *3780:53 *28158:RESET_B 1.94879e-05 -47 *3780:53 *28159:RESET_B 6.22273e-05 -48 *3780:53 *3828:61 0.00145901 -49 *3780:53 *3922:11 2.94124e-05 -50 *3780:53 *4013:26 0.000244115 -51 *3780:53 *5457:22 0.000570689 -52 *3780:53 *5458:40 0.00075111 -53 *3780:53 *5646:118 5.2908e-05 -54 *3780:53 *5685:333 0.000140621 -55 *3780:64 *5614:88 0.000765869 -56 *25393:C1 *3780:34 0.00020049 -57 *27568:A2 *3780:34 0.00160864 -58 *27578:A2 *27578:A1 2.7587e-05 -59 *27851:C1 *3780:34 0.000432557 -60 *27853:C1 *3780:23 0.000347729 -61 *27855:C1 *3780:34 0.000208133 -62 *27877:A2 *3780:23 0.000218734 -63 *27883:C1 *3780:23 0.000358975 -64 *27900:B1 *3780:34 0.000482003 -65 *27900:C1 *3780:34 1.84279e-05 -66 *27958:B1 *27958:B2 1.86845e-05 -67 *27958:C1 *27578:A1 7.55804e-05 -68 *27958:C1 *3780:53 3.10819e-05 -69 *27958:C1 *3780:64 0.000862503 -70 *27965:C *3780:53 6.33204e-05 -71 *28377:CLK *3780:23 0.000245578 -72 *472:8 *29986:A 0.000330944 -73 *472:8 *3780:23 0.000401656 -74 *510:26 *3780:34 4.88232e-05 -75 *1217:24 *25081:B2 0.000103253 -76 *1358:25 *3780:53 0.00013248 -77 *1362:79 *3780:53 0.00133468 -78 *1401:37 *3780:7 0.00059044 -79 *1401:117 *25081:B2 4.55163e-05 -80 *1403:16 *25081:B2 0.000152255 -81 *1435:34 *3780:53 4.47714e-05 -82 *1457:35 *29986:A 0.000671016 -83 *1600:19 *3780:7 1.65169e-05 -84 *1864:36 *3780:53 2.63501e-05 -85 *2852:212 *3780:34 0.00107421 -86 *2895:79 *3780:34 1.39702e-05 -87 *3173:81 *3780:34 3.09777e-05 -88 *3173:94 *3780:34 5.31689e-05 -89 *3173:110 *3780:53 4.1801e-05 -90 *3184:130 *27958:B2 9.46083e-05 -91 *3185:341 *3780:53 3.34416e-05 -92 *3185:341 *3780:64 4.01e-05 -93 *3214:112 *27578:A1 2.09897e-05 -94 *3214:121 *27578:A1 1.43864e-05 -95 *3215:112 *3780:34 2.63404e-05 -96 *3504:18 *27578:A1 6.05161e-06 -97 *3662:34 *3780:34 0 -98 *3685:80 *25081:B2 0.00161119 -99 *3685:80 *27578:A1 7.01963e-05 -100 *3766:71 *3780:53 1.32606e-05 -*RES -1 *28359:Q *3780:7 19.675 -2 *3780:7 *29986:A 25.6929 -3 *3780:7 *3780:23 40.8482 -4 *3780:23 *3780:34 49.481 -5 *3780:34 *3780:53 44.8652 -6 *3780:53 *27958:B2 15.1795 -7 *3780:53 *3780:64 11.3571 -8 *3780:64 *25081:B2 41.4607 -9 *3780:64 *27578:A1 36.0321 -*END - -*D_NET *3781 0.0289816 -*CONN -*I *30440:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27678:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25287:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27337:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28611:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *30440:A 7.22897e-05 -2 *27678:A1 0.000129943 -3 *25287:A1 0.00105519 -4 *27337:A1 8.88793e-05 -5 *28611:Q 0.000262379 -6 *3781:33 0.00116358 -7 *3781:30 0.00115935 -8 *3781:22 0.00260341 -9 *3781:16 0.00233748 -10 *3781:11 0.00107863 -11 *25287:A1 *27344:B2 0.000177821 -12 *25287:A1 *3907:32 0.000741375 -13 *25287:A1 *4119:26 0.000741375 -14 *27678:A1 *5635:187 3.51393e-05 -15 *27678:A1 *5649:223 2.59355e-05 -16 *27678:A1 *5649:233 0.000129991 -17 *30440:A *5651:410 5.21937e-05 -18 *3781:11 *27038:A1 2.28499e-05 -19 *3781:11 *28611:SET_B 0.000151134 -20 *3781:11 *5651:410 6.96405e-05 -21 *3781:11 *5748:6 7.43387e-05 -22 *3781:16 *28729:D 0.000128875 -23 *3781:16 *28763:RESET_B 0.000238341 -24 *3781:16 *3822:108 4.99749e-05 -25 *3781:16 *3907:30 0.000334165 -26 *3781:16 *3907:32 0.000409074 -27 *3781:16 *4132:33 0.00013668 -28 *3781:22 *28699:D 0.00030591 -29 *3781:22 *4044:13 0.000274248 -30 *3781:22 *4057:10 0.000869811 -31 *3781:22 *4115:30 1.08691e-05 -32 *3781:22 *4119:8 5.54856e-05 -33 *3781:22 *4119:13 0.0019692 -34 *3781:22 *5649:233 6.86792e-05 -35 *3781:22 *5662:124 0.000190311 -36 *3781:22 *5803:27 4.91854e-05 -37 *3781:30 *26993:A1 3.63775e-05 -38 *3781:30 *3783:95 0.00150209 -39 *3781:30 *4115:30 0.00235275 -40 *3781:30 *4132:38 0.000125685 -41 *3781:30 *5638:110 0.000422603 -42 *27337:A2 *3781:33 5.46383e-06 -43 *27338:C1 *25287:A1 0.000164334 -44 *27338:C1 *27337:A1 1.32056e-05 -45 *27344:B1 *25287:A1 6.96543e-05 -46 *30411:A *3781:30 6.05161e-06 -47 *1266:104 *25287:A1 4.00679e-05 -48 *1549:38 *25287:A1 0.000257619 -49 *1826:251 *30440:A 0.000163455 -50 *1826:251 *3781:11 0.000175892 -51 *2761:121 *25287:A1 0.000257619 -52 *2767:167 *3781:11 0.000158415 -53 *2791:199 *3781:16 5.66157e-05 -54 *2791:233 *3781:16 0.000561383 -55 *2860:287 *3781:30 0.000373432 -56 *2886:258 *25287:A1 0.0001326 -57 *2886:259 *25287:A1 5.46828e-05 -58 *2886:259 *27337:A1 2.67489e-05 -59 *2886:261 *27337:A1 1.58163e-05 -60 *2888:258 *27678:A1 0.000149797 -61 *2888:258 *3781:22 0.000129913 -62 *3226:14 *3781:16 0.00029549 -63 *3306:6 *25287:A1 9.65217e-05 -64 *3306:6 *3781:22 0.00215785 -65 *3306:6 *3781:30 0.000648021 -66 *3686:36 *3781:16 0.00117117 -67 *3716:12 *25287:A1 9.65217e-05 -*RES -1 *28611:Q *3781:11 23.9875 -2 *3781:11 *3781:16 33.1071 -3 *3781:16 *3781:22 49.4911 -4 *3781:22 *3781:30 46.8839 -5 *3781:30 *3781:33 4.92857 -6 *3781:33 *27337:A1 10.6571 -7 *3781:33 *25287:A1 49.55 -8 *3781:16 *27678:A1 12.7286 -9 *3781:11 *30440:A 11.0679 -*END - -*D_NET *3782 0.0446679 -*CONN -*I *27362:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27711:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *29833:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25241:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28612:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27362:A1 0 -2 *27711:A1 0.00023068 -3 *29833:A 0.000515092 -4 *25241:A1 0.000121127 -5 *28612:Q 5.20099e-05 -6 *3782:98 0.00111588 -7 *3782:76 0.0019758 -8 *3782:75 0.00154616 -9 *3782:66 0.00259352 -10 *3782:45 0.00262594 -11 *3782:26 0.00198667 -12 *3782:16 0.00385517 -13 *3782:7 0.00247589 -14 *25241:A1 *25241:B2 5.33005e-05 -15 *25241:A1 *5655:65 6.03289e-05 -16 *27711:A1 *27711:B1 0.00016641 -17 *27711:A1 *5650:51 5.33005e-05 -18 *29833:A *3938:38 4.28249e-05 -19 *29833:A *5196:94 0.000368382 -20 *29833:A *5599:247 5.91272e-05 -21 *29833:A *5667:111 4.28249e-05 -22 *29833:A *5694:110 3.38322e-05 -23 *29833:A *5757:94 2.17391e-05 -24 *3782:7 *5717:61 9.20399e-05 -25 *3782:16 *6452:DIODE 0.000298483 -26 *3782:16 *25375:B1 1.38323e-05 -27 *3782:16 *3820:77 0 -28 *3782:16 *3847:25 0.000121348 -29 *3782:16 *5583:71 0.000260574 -30 *3782:16 *5592:45 2.92605e-05 -31 *3782:16 *5634:26 0.000168939 -32 *3782:16 *5655:29 0.000648118 -33 *3782:16 *5981:45 0.000995072 -34 *3782:26 *25241:A2 0.000801823 -35 *3782:26 *3988:44 9.49939e-05 -36 *3782:26 *5620:30 0.000122221 -37 *3782:26 *5655:65 0.000178425 -38 *3782:45 *3847:25 8.23597e-06 -39 *3782:45 *5592:45 4.26389e-05 -40 *3782:66 *27671:B2 1.50563e-05 -41 *3782:66 *3796:62 0 -42 *3782:66 *3847:25 9.75741e-06 -43 *3782:66 *3847:35 0.000725465 -44 *3782:66 *5657:13 1.50809e-05 -45 *3782:66 *5754:18 0.000151024 -46 *3782:66 *5754:24 0.000120342 -47 *3782:66 *5754:82 0.000217618 -48 *3782:75 *27695:A2 0.000191403 -49 *3782:75 *5700:98 0.000728273 -50 *3782:75 *5700:100 0.000141375 -51 *3782:75 *5700:111 0.000936179 -52 *3782:76 *3796:68 9.31511e-05 -53 *3782:76 *5650:42 7.83587e-05 -54 *3782:76 *5694:71 0.000148196 -55 *3782:98 *3796:68 1.65157e-05 -56 *3782:98 *3834:57 0.000101545 -57 *3782:98 *3899:40 0.00226868 -58 *3782:98 *3912:58 1.90936e-05 -59 *3782:98 *3912:66 3.60676e-05 -60 *3782:98 *3912:82 0.000521628 -61 *3782:98 *5609:137 0.000187887 -62 *3782:98 *5635:79 0.000175892 -63 *3782:98 *5650:42 3.17148e-05 -64 *3782:98 *5657:206 0.000778547 -65 *3782:98 *5657:232 0.000482851 -66 *25099:B1 *3782:26 0.000472486 -67 *25161:A2 *3782:26 6.05161e-06 -68 *25241:B1 *25241:A1 5.33005e-05 -69 *25321:B2 *3782:66 0.000170171 -70 *26849:A *3782:66 0.000336883 -71 *26930:S *3782:98 4.21517e-05 -72 *27091:S *29833:A 4.65519e-05 -73 *27354:B1 *3782:66 0.000114625 -74 *27362:B1 *3782:98 1.59373e-05 -75 *27711:B2 *27711:A1 1.21258e-05 -76 *28787:D *3782:66 2.06178e-05 -77 *29498:A *29833:A 1.39154e-05 -78 *1246:62 *3782:75 0.000175892 -79 *1252:83 *3782:66 8.60466e-05 -80 *1273:47 *3782:26 6.12434e-05 -81 *1277:161 *3782:76 0.000118715 -82 *1439:19 *3782:98 0.00017754 -83 *1504:8 *3782:26 9.65182e-05 -84 *1535:39 *3782:26 0.000300412 -85 *1550:34 *3782:45 0.000417385 -86 *1550:34 *3782:66 0.000391871 -87 *1550:41 *3782:66 0.000138158 -88 *1639:14 *3782:75 0.000370806 -89 *1639:25 *3782:75 0.000885222 -90 *2777:59 *3782:66 0.000187836 -91 *2780:25 *3782:66 0.000130519 -92 *2781:51 *3782:66 2.07158e-05 -93 *2782:71 *29833:A 2.65744e-05 -94 *2782:71 *3782:45 0.000318832 -95 *2844:27 *25241:A1 0.000237011 -96 *2845:22 *29833:A 9.11102e-06 -97 *2853:24 *3782:66 3.15517e-05 -98 *2856:38 *3782:26 9.41642e-05 -99 *2860:154 *3782:16 7.18192e-05 -100 *2867:25 *3782:66 6.8194e-05 -101 *2871:24 *3782:26 0.00011973 -102 *2871:39 *25241:A1 1.32056e-05 -103 *2875:196 *3782:76 0.00124316 -104 *2879:27 *3782:75 0.000301438 -105 *2886:164 *3782:45 9.42462e-06 -106 *2886:164 *3782:66 0.000545514 -107 *2886:175 *3782:66 2.00756e-05 -108 *2886:188 *3782:98 0.000282479 -109 *2886:225 *3782:98 2.59355e-05 -110 *2886:241 *3782:98 5.66157e-05 -111 *2917:26 *3782:66 0.000165094 -112 *2917:26 *3782:76 0.00166459 -113 *2917:26 *3782:98 0.000246304 -114 *3160:33 *3782:16 2.06178e-05 -115 *3174:29 *3782:66 6.40595e-05 -116 *3178:144 *3782:75 0.000303368 -117 *3183:86 *3782:26 0.000120506 -118 *3184:53 *3782:75 0.000237411 -119 *3185:264 *3782:66 8.75887e-07 -120 *3195:34 *3782:7 0.000180207 -121 *3206:13 *29833:A 2.31788e-05 -122 *3206:13 *3782:45 6.09991e-05 -123 *3557:30 *3782:26 0.000396969 -124 *3569:5 *3782:26 0.000366091 -125 *3642:8 *3782:66 0.000207133 -126 *3642:18 *3782:66 0.000208259 -*RES -1 *28612:Q *3782:7 15.5679 -2 *3782:7 *3782:16 41.5179 -3 *3782:16 *3782:26 47.125 -4 *3782:26 *25241:A1 17.2464 -5 *3782:7 *3782:45 8.36735 -6 *3782:45 *29833:A 22.4365 -7 *3782:45 *3782:66 48.9732 -8 *3782:66 *3782:75 42.0714 -9 *3782:75 *3782:76 21.9821 -10 *3782:76 *27711:A1 16.8179 -11 *3782:76 *3782:98 49.9821 -12 *3782:98 *27362:A1 9.3 -*END - -*D_NET *3783 0.101055 -*CONN -*I *24840:A I *D sky130_fd_sc_hd__inv_2 -*I *30168:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27728:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25184:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27387:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28613:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *24840:A 0 -2 *30168:A 5.40662e-05 -3 *27728:B2 2.60309e-06 -4 *25184:A1 0.000696293 -5 *27387:B2 2.12333e-05 -6 *28613:Q 0.0001916 -7 *3783:150 0.00123443 -8 *3783:121 0.00269105 -9 *3783:110 0.0049323 -10 *3783:108 0.00361233 -11 *3783:105 0.00158085 -12 *3783:95 0.00175645 -13 *3783:89 0.00297965 -14 *3783:71 0.00230163 -15 *3783:66 0.00180838 -16 *3783:56 0.00231098 -17 *3783:47 0.00156422 -18 *3783:41 0.00292588 -19 *3783:24 0.00443505 -20 *3783:13 0.00330571 -21 *3783:10 0.00226062 -22 *25184:A1 *3988:44 0.000196504 -23 *25184:A1 *5626:105 0.00017561 -24 *30168:A *4045:18 0.000216771 -25 *30168:A *5696:11 0.000225307 -26 *3783:10 *4393:9 0.000479719 -27 *3783:10 *4412:11 1.58163e-05 -28 *3783:10 *5680:106 0.000292701 -29 *3783:10 *5681:10 7.79781e-06 -30 *3783:13 *29272:A 0.000412685 -31 *3783:24 *27004:A1 0.000901762 -32 *3783:24 *27174:A1 6.78243e-05 -33 *3783:24 *28732:D 0.000193161 -34 *3783:24 *29951:A 2.79421e-05 -35 *3783:24 *3811:15 0.000103435 -36 *3783:24 *3811:24 0.000748316 -37 *3783:24 *3837:20 4.15161e-05 -38 *3783:24 *4006:11 4.35336e-05 -39 *3783:24 *4045:18 0.00030485 -40 *3783:24 *5696:11 0.000125724 -41 *3783:24 *5847:12 8.28978e-05 -42 *3783:41 *25724:A0 6.87574e-05 -43 *3783:41 *27726:A2 5.72775e-05 -44 *3783:41 *4017:33 0.00114304 -45 *3783:41 *4017:41 0.000301438 -46 *3783:41 *5586:51 0.000247579 -47 *3783:41 *5680:77 0.00014183 -48 *3783:41 *5680:89 0.000248267 -49 *3783:41 *5683:96 1.01241e-05 -50 *3783:41 *5683:103 2.20608e-05 -51 *3783:41 *5777:160 0.000335352 -52 *3783:41 *5781:12 0.000156415 -53 *3783:47 *3913:26 0.00214215 -54 *3783:47 *5635:173 1.97695e-05 -55 *3783:47 *5651:400 0.000197977 -56 *3783:56 *5635:173 5.7661e-06 -57 *3783:56 *5635:187 0.000104976 -58 *3783:66 *3913:33 0.000258035 -59 *3783:66 *5630:246 4.65519e-05 -60 *3783:66 *5647:109 0.00112566 -61 *3783:66 *5905:110 0.000600146 -62 *3783:71 *3874:54 4.37694e-05 -63 *3783:71 *3874:76 5.74499e-06 -64 *3783:89 *26904:A1 2.54304e-06 -65 *3783:89 *30382:A 4.00679e-05 -66 *3783:89 *3848:38 0.000145399 -67 *3783:89 *4068:65 3.77065e-05 -68 *3783:89 *5661:267 0.000294782 -69 *3783:89 *5819:13 9.58181e-05 -70 *3783:89 *6351:26 0.000147835 -71 *3783:95 *4115:30 2.14474e-05 -72 *3783:95 *4132:38 0.000259573 -73 *3783:95 *5836:55 4.87854e-05 -74 *3783:105 *27345:A1 2.96833e-05 -75 *3783:105 *3924:73 2.63501e-05 -76 *3783:105 *3924:82 1.4477e-06 -77 *3783:105 *4146:79 0 -78 *3783:105 *5638:110 0.0015909 -79 *3783:108 *25317:A1 0.000170496 -80 *3783:108 *3924:82 0.000868068 -81 *3783:108 *5655:193 2.12071e-05 -82 *3783:108 *5655:201 0.00162197 -83 *3783:108 *5748:16 0.000310627 -84 *3783:110 *25183:A2 0.000110933 -85 *3783:110 *26894:A1 0.000265227 -86 *3783:110 *3907:45 0.000157061 -87 *3783:110 *3989:68 0 -88 *3783:110 *3992:28 0.000168679 -89 *3783:110 *5583:112 8.73423e-05 -90 *3783:110 *5638:104 0.00201781 -91 *3783:110 *5655:182 5.41794e-05 -92 *3783:110 *5655:193 0.00281734 -93 *3783:110 *5656:96 0.000544337 -94 *3783:110 *5687:87 0.000128565 -95 *3783:110 *5748:16 0.000105295 -96 *3783:110 *5748:28 8.74335e-05 -97 *3783:110 *5754:183 0.000290105 -98 *3783:110 *5754:189 0.000486312 -99 *3783:110 *5754:213 0.000238503 -100 *3783:121 *28470:D 0.000135698 -101 *3783:121 *3934:62 0.00100791 -102 *3783:121 *3963:125 0.000356219 -103 *3783:121 *5196:72 2.06178e-05 -104 *3783:121 *5467:14 0.000257947 -105 *3783:121 *5517:30 4.06203e-05 -106 *3783:121 *5600:86 0.000195081 -107 *3783:150 *25681:A0 0.000365634 -108 *3783:150 *28171:D 2.73921e-05 -109 *3783:150 *29603:A 0 -110 *3783:150 *29744:A 0.000137983 -111 *3783:150 *30361:A 0.000128146 -112 *3783:150 *5588:105 0.000200132 -113 *3783:150 *5681:10 0.000759127 -114 *3783:150 *5776:65 0.000191789 -115 *3783:150 *5776:70 0.000388002 -116 *3783:150 *5776:109 0.000712841 -117 *3783:150 *6048:8 7.02611e-05 -118 *24841:A *3783:150 0.000382512 -119 *25184:A2 *25184:A1 1.21859e-05 -120 *26965:S *3783:110 0.000143161 -121 *27174:S *3783:41 2.04825e-05 -122 *27348:B1 *3783:110 0.000197283 -123 *27387:A2 *3783:71 3.83528e-05 -124 *27387:B1 *27387:B2 5.33005e-05 -125 *27387:B1 *3783:71 5.33005e-05 -126 *27389:B2 *3783:56 0.000124174 -127 *27723:C1 *3783:41 9.14714e-06 -128 *27728:A1 *3783:56 8.14744e-06 -129 *27734:B *3783:47 0.000100831 -130 *27738:C1 *3783:66 0.000139515 -131 *28170:CLK *3783:10 0.000107343 -132 *28204:CLK *3783:150 0 -133 *28470:CLK *3783:121 0.000118144 -134 *29952:A *3783:24 0.000345257 -135 *30151:A *3783:13 0.000225616 -136 *30151:A *3783:150 0.000181796 -137 *30411:A *3783:95 0.000233791 -138 *30588:A *3783:13 0.000224583 -139 *798:11 *3783:10 5.33005e-05 -140 *1242:206 *3783:121 0.000664154 -141 *1271:131 *25184:A1 0 -142 *1271:211 *3783:66 0.00122305 -143 *1273:96 *3783:121 0.00168825 -144 *1416:64 *25184:A1 0.000430859 -145 *1446:20 *3783:110 0.00207433 -146 *1526:8 *3783:66 0.000429262 -147 *1535:55 *25184:A1 0.000520004 -148 *1596:16 *3783:110 0.000553073 -149 *1642:14 *3783:110 8.90785e-05 -150 *1642:20 *3783:110 0.000217278 -151 *1691:24 *3783:110 9.90395e-05 -152 *1853:38 *3783:41 0.000329841 -153 *2754:28 *3783:66 0.000431871 -154 *2770:118 *3783:47 0.00084901 -155 *2771:36 *3783:24 6.57032e-05 -156 *2775:187 *3783:110 0.00068411 -157 *2786:22 *3783:47 9.02385e-06 -158 *2786:26 *3783:47 0.000362188 -159 *2786:38 *3783:47 9.25014e-06 -160 *2786:38 *3783:56 0.00138464 -161 *2786:38 *3783:66 0.000243826 -162 *2791:45 *3783:41 8.3e-05 -163 *2853:262 *3783:89 0.00103698 -164 *2855:139 *3783:121 0.000121549 -165 *2856:146 *3783:110 1.19487e-05 -166 *2856:349 *3783:47 8.25843e-06 -167 *2856:349 *3783:56 5.33005e-05 -168 *2867:260 *3783:89 0.00146778 -169 *2872:279 *3783:95 9.41642e-05 -170 *2875:243 *3783:108 0.000120169 -171 *2875:243 *3783:110 0.000997743 -172 *2883:226 *27387:B2 6.06291e-06 -173 *2883:226 *3783:71 0.00027505 -174 *2883:231 *27387:B2 1.59935e-05 -175 *2886:258 *3783:105 5.00194e-05 -176 *2894:341 *3783:56 0.000575361 -177 *3178:144 *3783:121 2.95746e-06 -178 *3183:70 *25184:A1 0.000416128 -179 *3185:107 *3783:105 5.68042e-05 -180 *3283:8 *3783:56 0.000285216 -181 *3283:8 *3783:66 0.00226879 -182 *3306:6 *3783:95 0.00227594 -183 *3306:6 *3783:105 0.00159514 -184 *3611:10 *3783:150 0 -185 *3611:57 *3783:150 0.000125724 -186 *3673:10 *3783:110 2.07441e-05 -187 *3673:23 *3783:121 0.000565537 -188 *3716:12 *3783:95 0.000514159 -189 *3718:47 *3783:41 0.000127068 -190 *3730:21 *3783:89 4.62998e-05 -191 *3731:39 *3783:24 7.44378e-05 -192 *3731:61 *3783:47 0.000214444 -193 *3770:67 *3783:150 1.52978e-05 -194 *3781:30 *3783:95 0.00150209 -*RES -1 *28613:Q *3783:10 25.3357 -2 *3783:10 *3783:13 18.5893 -3 *3783:13 *3783:24 46.7768 -4 *3783:24 *3783:41 48.3667 -5 *3783:41 *3783:47 33.9732 -6 *3783:47 *3783:56 24.4911 -7 *3783:56 *3783:66 49.0009 -8 *3783:66 *3783:71 3.85714 -9 *3783:71 *27387:B2 9.83571 -10 *3783:71 *3783:89 46.5179 -11 *3783:89 *3783:95 39.8214 -12 *3783:95 *3783:105 28.4916 -13 *3783:105 *3783:108 22.3661 -14 *3783:108 *3783:110 95.4464 -15 *3783:110 *3783:121 42.383 -16 *3783:121 *25184:A1 31.0054 -17 *3783:47 *27728:B2 9.42946 -18 *3783:13 *30168:A 16.6571 -19 *3783:10 *3783:150 46.5536 -20 *3783:150 *24840:A 9.3 -*END - -*D_NET *3784 0.0441852 -*CONN -*I *27401:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27753:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25104:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30297:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28614:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27401:B2 0 -2 *27753:A1 0.000456488 -3 *25104:A1 0.0015746 -4 *30297:A 0.000291891 -5 *28614:Q 0.000275394 -6 *3784:79 0.00460174 -7 *3784:54 0.00422812 -8 *3784:36 0.00466905 -9 *3784:9 0.00449185 -10 *25104:A1 *25122:A1 0.000248569 -11 *25104:A1 *3927:20 0.000121549 -12 *25104:A1 *4064:56 1.12406e-05 -13 *25104:A1 *5832:25 8.69554e-05 -14 *27753:A1 *27753:B2 0.000135028 -15 *27753:A1 *3875:118 7.29646e-05 -16 *27753:A1 *5649:176 5.42322e-05 -17 *27753:A1 *5656:153 0.000104976 -18 *27753:A1 *5688:115 6.16439e-05 -19 *30297:A *27041:A1 0.000135028 -20 *30297:A *3803:40 0.000202607 -21 *3784:9 *4096:32 1.01912e-05 -22 *3784:36 *30195:A 0 -23 *3784:36 *3810:47 0 -24 *3784:36 *3959:45 0.000111243 -25 *3784:36 *3959:63 0.000169341 -26 *3784:36 *4109:46 0.000346062 -27 *3784:36 *5613:46 0.00264331 -28 *3784:36 *5656:153 0.000802408 -29 *3784:54 *3927:20 0.000194201 -30 *3784:54 *3959:63 0.000145943 -31 *3784:54 *4088:26 0.000191161 -32 *3784:54 *4088:39 0.000145434 -33 *3784:54 *5688:115 0.000163099 -34 *3784:79 *3875:100 0 -35 *3784:79 *3959:63 0.00110075 -36 *3784:79 *4022:60 0.000226885 -37 *3784:79 *4109:56 0.000202825 -38 *3784:79 *5649:176 4.66257e-05 -39 *3784:79 *5688:115 5.2079e-05 -40 *3784:79 *5832:8 0.000380464 -41 *27041:S *30297:A 0.000135028 -42 *1235:148 *3784:79 0.000651881 -43 *1242:61 *25104:A1 4.18305e-05 -44 *1262:19 *25104:A1 0.000214487 -45 *1262:53 *3784:79 0.000813981 -46 *1282:159 *25104:A1 0.000135968 -47 *1282:174 *3784:79 0.00129166 -48 *1327:78 *25104:A1 0.000269058 -49 *1411:7 *3784:79 3.69047e-06 -50 *1411:50 *3784:79 7.21098e-06 -51 *1433:29 *3784:54 7.13415e-05 -52 *1433:34 *3784:54 0.000264333 -53 *1435:143 *27753:A1 0.000252741 -54 *1451:6 *3784:79 0.000246202 -55 *1494:38 *25104:A1 0.00229889 -56 *1494:38 *3784:79 5.34954e-05 -57 *1507:15 *3784:79 0.000553777 -58 *1672:16 *30297:A 0.000390653 -59 *1672:32 *27753:A1 0 -60 *1672:32 *3784:54 0.000818986 -61 *1693:18 *3784:79 0.00018433 -62 *1719:12 *3784:36 0 -63 *1719:12 *3784:79 0.000484842 -64 *1781:16 *3784:79 0.000345884 -65 *2867:149 *25104:A1 0.000361848 -66 *2874:305 *3784:36 0.000117743 -67 *2874:305 *3784:79 0.000864569 -68 *3173:158 *25104:A1 0.00254856 -69 *3173:158 *3784:54 4.50149e-05 -70 *3173:158 *3784:79 0.00131585 -71 *3215:242 *27753:A1 0.000135028 -72 *3413:41 *25104:A1 0.000118918 -73 *3562:16 *3784:36 0.000114637 -74 *3562:16 *3784:54 0.000101545 -75 *3562:25 *3784:36 0.000121549 -76 *3581:118 *30297:A 2.31796e-05 -77 *3581:118 *3784:9 2.36199e-05 -78 *3700:72 *3784:79 6.90381e-06 -*RES -1 *28614:Q *3784:9 17.9302 -2 *3784:9 *30297:A 23.8562 -3 *3784:9 *3784:36 34.22 -4 *3784:36 *3784:54 28.3475 -5 *3784:54 *3784:79 45.6829 -6 *3784:79 *25104:A1 30.7738 -7 *3784:54 *27753:A1 30.6454 -8 *3784:36 *27401:B2 9.3 -*END - -*D_NET *3785 0.0166492 -*CONN -*I *30162:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27777:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27427:A1 I *D sky130_fd_sc_hd__a211o_1 -*I *25047:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28615:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30162:A 8.54036e-05 -2 *27777:A1 0 -3 *27427:A1 5.97878e-05 -4 *25047:A1 6.7703e-05 -5 *28615:Q 0.000116055 -6 *3785:44 0.0011215 -7 *3785:41 0.00127658 -8 *3785:25 0.000954511 -9 *3785:16 0.00108658 -10 *3785:11 0.000480689 -11 *27427:A1 *3798:68 5.22909e-05 -12 *30162:A *27042:A1 5.36e-05 -13 *30162:A *3915:55 1.33343e-05 -14 *30162:A *5767:41 9.41642e-05 -15 *3785:11 *28615:RESET_B 5.52238e-05 -16 *3785:11 *3850:24 9.70394e-05 -17 *3785:16 *27042:A0 7.43578e-06 -18 *3785:16 *27042:A1 0.000145239 -19 *3785:16 *3915:55 0.000177821 -20 *3785:16 *3969:16 0.000358677 -21 *3785:25 *3863:21 8.20967e-05 -22 *3785:25 *3969:16 0.00209191 -23 *3785:25 *4134:78 0.000218734 -24 *3785:25 *5631:47 0.000258692 -25 *3785:25 *5635:147 0.000135449 -26 *3785:41 *25050:B1 0.000292709 -27 *3785:41 *3969:16 0.000191403 -28 *3785:41 *4134:78 0.000136318 -29 *3785:41 *5649:290 0.000257619 -30 *3785:44 *27774:B2 0.000360174 -31 *3785:44 *3850:38 0 -32 *3785:44 *5635:147 0.000723304 -33 *3785:44 *5809:22 1.53908e-05 -34 *25047:A2 *25047:A1 5.55213e-05 -35 *25062:C1 *3785:44 2.26973e-05 -36 *27427:A2 *27427:A1 3.63587e-05 -37 *28689:CLK *3785:16 0.000360256 -38 *28689:CLK *3785:25 0.000962468 -39 *30106:A *3785:25 5.95766e-05 -40 *2776:158 *30162:A 6.77968e-05 -41 *2776:158 *3785:16 1.21258e-05 -42 *2791:66 *3785:44 0.00114823 -43 *2885:129 *3785:11 9.85571e-05 -44 *2885:138 *3785:44 0.000380852 -45 *2885:156 *3785:44 6.98302e-05 -46 *2986:18 *3785:44 0.000867113 -47 *3153:284 *27427:A1 1.21258e-05 -48 *3170:232 *3785:44 0.000101444 -49 *3176:254 *3785:25 0.000106304 -50 *3320:18 *3785:44 0.0011015 -51 *3580:8 *3785:44 0.000118971 -*RES -1 *28615:Q *3785:11 20.6036 -2 *3785:11 *3785:16 12.5893 -3 *3785:16 *25047:A1 14.7464 -4 *3785:16 *3785:25 27.1429 -5 *3785:25 *27427:A1 15.175 -6 *3785:25 *3785:41 14.1429 -7 *3785:41 *3785:44 41.3571 -8 *3785:44 *27777:A1 9.3 -9 *3785:11 *30162:A 11.4786 -*END - -*D_NET *3786 0.0360813 -*CONN -*I *27458:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25011:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *29779:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27799:A1 I *D sky130_fd_sc_hd__a221o_2 -*I *28616:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27458:A1 0.00193509 -2 *25011:A1 5.70165e-05 -3 *29779:A 0 -4 *27799:A1 0 -5 *28616:Q 0.000159033 -6 *3786:68 0.00266435 -7 *3786:60 0.00190124 -8 *3786:57 0.00211981 -9 *3786:35 0.00110592 -10 *3786:25 0.0022017 -11 *3786:7 0.00257583 -12 *25011:A1 *5602:76 4.81734e-05 -13 *27458:A1 *5602:76 0.000869784 -14 *27458:A1 *5602:80 1.62475e-05 -15 *3786:7 *27798:B2 2.60984e-05 -16 *3786:7 *28616:RESET_B 9.60939e-05 -17 *3786:25 *27798:B2 0.000717264 -18 *3786:25 *27799:A2 3.88534e-05 -19 *3786:25 *27799:B2 1.21371e-05 -20 *3786:25 *28616:D 0.000347785 -21 *3786:25 *28616:RESET_B 9.09013e-05 -22 *3786:25 *3891:58 0.000126061 -23 *3786:25 *5630:217 5.38242e-05 -24 *3786:25 *5642:126 0.000408199 -25 *3786:25 *5652:166 0.000219711 -26 *3786:35 *5601:97 0.000113064 -27 *3786:57 *27035:A1 0.000128146 -28 *3786:57 *28545:RESET_B 0.000125724 -29 *3786:57 *28609:D 9.96487e-05 -30 *3786:57 *4151:32 0.000132889 -31 *3786:57 *5528:53 0.00124726 -32 *3786:57 *5528:55 0.000261643 -33 *3786:57 *5601:97 0.000110716 -34 *3786:57 *5676:125 0.000220357 -35 *3786:60 *25319:B2 0.00121341 -36 *3786:60 *28601:D 7.6644e-05 -37 *3786:60 *5602:100 0.000316032 -38 *3786:68 *28561:D 0.000224064 -39 *3786:68 *30395:A 0.000219711 -40 *3786:68 *5602:100 0.000342576 -41 *25064:A2 *3786:25 0.000223599 -42 *26962:S *3786:60 0.000338702 -43 *27436:B1 *3786:25 0.000225314 -44 *27458:A2 *27458:A1 0.000198253 -45 *27799:C1 *3786:25 0.000207244 -46 *28489:CLK *27458:A1 0.000240708 -47 *28555:CLK *3786:57 4.08132e-05 -48 *30111:A *27458:A1 2.73646e-05 -49 *1274:170 *3786:60 0.00011563 -50 *1274:172 *3786:60 0.000299125 -51 *1274:172 *3786:68 0.000224053 -52 *1350:25 *25011:A1 2.12005e-05 -53 *1490:147 *3786:60 0.000295953 -54 *1490:164 *3786:60 0.00122375 -55 *1490:166 *3786:35 0.000504706 -56 *2767:20 *3786:35 0.000262693 -57 *2767:20 *3786:60 0.000412318 -58 *2767:34 *3786:60 0.000891504 -59 *2770:27 *3786:57 0.00152002 -60 *2775:50 *3786:60 0.00166263 -61 *2775:50 *3786:68 1.82282e-05 -62 *2776:139 *25011:A1 5.83233e-05 -63 *2776:139 *3786:68 0.00188494 -64 *3017:10 *27458:A1 0.000135028 -65 *3179:67 *27458:A1 4.93285e-05 -66 *3682:21 *25011:A1 5.66157e-05 -67 *3682:21 *3786:68 0.00187964 -68 *3708:32 *3786:68 0.00018008 -69 *3709:9 *3786:57 0.000260574 -*RES -1 *28616:Q *3786:7 11.4964 -2 *3786:7 *3786:25 45.9821 -3 *3786:25 *27799:A1 9.3 -4 *3786:7 *3786:35 16.0357 -5 *3786:35 *29779:A 9.3 -6 *3786:35 *3786:57 43.5893 -7 *3786:57 *3786:60 48.5089 -8 *3786:60 *3786:68 38.2589 -9 *3786:68 *25011:A1 15.4964 -10 *3786:68 *27458:A1 49.4429 -*END - -*D_NET *3787 0.0413712 -*CONN -*I *27478:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27827:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *24972:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30348:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28617:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27478:A1 4.97158e-05 -2 *27827:A1 0 -3 *24972:A1 2.23854e-05 -4 *30348:A 0.000230271 -5 *28617:Q 0 -6 *3787:56 0.00265359 -7 *3787:48 0.00368049 -8 *3787:44 0.00162046 -9 *3787:37 0.0014166 -10 *3787:29 0.00335605 -11 *3787:28 0.00295258 -12 *3787:18 0.000925573 -13 *3787:5 0.000763611 -14 *27478:A1 *3800:36 0 -15 *30348:A *27044:A1 9.90367e-05 -16 *30348:A *3943:20 0.000221455 -17 *3787:18 *3943:20 5.01117e-05 -18 *3787:18 *5642:13 0.00026353 -19 *3787:28 *24972:A2 7.13226e-06 -20 *3787:29 *27441:A1 5.59013e-05 -21 *3787:29 *3800:27 0.00101255 -22 *3787:29 *3800:29 0.00482959 -23 *3787:29 *3878:43 0 -24 *3787:29 *5639:45 0.000702658 -25 *3787:37 *25031:B2 0.000143188 -26 *3787:37 *27809:A1 4.32258e-05 -27 *3787:37 *28488:D 0.000384032 -28 *3787:37 *3800:29 0.00182554 -29 *3787:37 *5639:61 0.000849289 -30 *3787:44 *3800:29 2.23987e-05 -31 *3787:44 *4072:50 0.000180537 -32 *3787:44 *5654:52 0.000222504 -33 *3787:48 *3800:36 0.000233714 -34 *3787:48 *5656:240 0.000114258 -35 *3787:56 *5535:46 0.000290279 -36 *3787:56 *5635:101 5.33005e-05 -37 *3787:56 *5644:45 0.000288557 -38 *3787:56 *5649:99 2.44318e-05 -39 *24972:B1 *3787:18 0.000306324 -40 *24979:C *3787:28 6.25993e-05 -41 *24979:D *3787:28 5.52238e-05 -42 *27451:C1 *3787:37 1.80261e-05 -43 *27477:B2 *3787:48 0.000118217 -44 *27478:A2 *27478:A1 9.35228e-06 -45 *27478:A2 *3787:48 3.06878e-06 -46 *27478:A2 *3787:56 3.06878e-06 -47 *27478:C1 *27478:A1 1.73088e-05 -48 *27478:C1 *3787:56 4.82947e-05 -49 *27647:A *3787:29 6.52967e-05 -50 *27807:A2 *3787:29 0.000659253 -51 *27807:B1 *3787:29 1.94945e-05 -52 *27817:C *3787:29 0.00119926 -53 *1302:29 *3787:18 1.74352e-05 -54 *1302:29 *3787:28 7.55586e-05 -55 *1308:19 *3787:29 0.000751769 -56 *2776:15 *30348:A 0.00010096 -57 *2859:331 *3787:48 0.00144182 -58 *2864:132 *3787:48 0.000392026 -59 *2864:156 *3787:48 1.04707e-05 -60 *2872:186 *3787:44 0.000177821 -61 *2885:66 *3787:29 9.61591e-05 -62 *2885:66 *3787:37 3.2923e-05 -63 *2885:91 *3787:44 0.00127939 -64 *2888:170 *3787:29 0.000330368 -65 *2888:177 *3787:29 0.000436871 -66 *2888:177 *3787:37 0.000192385 -67 *2888:177 *3787:44 0.00132894 -68 *2891:147 *3787:56 0.000232329 -69 *3163:20 *30348:A 0.00012762 -70 *3163:20 *3787:18 3.06406e-05 -71 *3177:61 *3787:48 0.000537024 -72 *3194:24 *3787:29 0.000796142 -73 *3347:12 *3787:29 0.000383281 -74 *3558:223 *3787:44 0.000425959 -*RES -1 *28617:Q *3787:5 13.8 -2 *3787:5 *30348:A 20.3357 -3 *3787:5 *3787:18 12.125 -4 *3787:18 *24972:A1 9.72857 -5 *3787:18 *3787:28 9.14286 -6 *3787:28 *3787:29 87.8571 -7 *3787:29 *3787:37 34.2232 -8 *3787:37 *3787:44 31.7411 -9 *3787:44 *3787:48 28.5 -10 *3787:48 *3787:56 42.5893 -11 *3787:56 *27827:A1 9.3 -12 *3787:48 *27478:A1 10.3357 -*END - -*D_NET *3788 0.0229278 -*CONN -*I *27491:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25409:B2 I *D sky130_fd_sc_hd__a32o_1 -*I *27852:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *29260:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28355:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *27491:B2 0.00110667 -2 *25409:B2 0 -3 *27852:A1 4.23282e-05 -4 *29260:A 0 -5 *28355:Q 0.000731162 -6 *3788:49 0.00180068 -7 *3788:18 0.00371605 -8 *3788:15 0.00346568 -9 *3788:8 0.00121713 -10 *27491:B2 *27854:B2 4.54527e-05 -11 *27491:B2 *3814:47 0.00049791 -12 *27491:B2 *3908:66 0.000945184 -13 *27491:B2 *4074:34 9.34707e-05 -14 *3788:8 *25907:A1 0.000271238 -15 *3788:8 *28355:RESET_B 3.10397e-05 -16 *3788:8 *5013:14 0.000143616 -17 *3788:8 *5484:64 0 -18 *3788:15 *27512:A1 0 -19 *3788:15 *3793:38 0 -20 *3788:15 *3893:97 4.28365e-05 -21 *3788:15 *3908:66 0.000121573 -22 *3788:15 *3919:46 2.95746e-06 -23 *3788:15 *5013:14 6.25262e-05 -24 *3788:18 *3880:23 0.000178847 -25 *3788:18 *4139:33 0.0011369 -26 *3788:49 *25294:B2 0.000135028 -27 *3788:49 *29509:A 8.65622e-05 -28 *3788:49 *3845:47 0.00102804 -29 *3788:49 *3880:50 8.53515e-05 -30 *3788:49 *3973:83 5.52654e-05 -31 *3788:49 *5430:12 0.000288438 -32 *3788:49 *5491:42 8.65622e-05 -33 *3788:49 *5599:115 5.59559e-05 -34 *3788:49 *5666:231 0.000187348 -35 *3788:49 *5706:196 0.000160143 -36 *25272:B2 *3788:49 0.000382943 -37 *27491:A1 *27491:B2 5.52302e-05 -38 *27491:B1 *27491:B2 0.000177646 -39 *27497:B2 *27491:B2 0.000142442 -40 *27510:C1 *27491:B2 0.000679537 -41 *27515:B *3788:15 0.000104343 -42 *27515:C *3788:15 0 -43 *27515:D *27491:B2 0.000357196 -44 *27515:D *3788:15 0.000138582 -45 *27852:A2 *3788:49 0.00012217 -46 *27852:B1 *27852:A1 3.57366e-05 -47 *27901:B1 *3788:49 7.23576e-05 -48 *1427:41 *27491:B2 1.39702e-05 -49 *1441:25 *3788:49 0 -50 *1587:16 *3788:15 2.07158e-05 -51 *1725:8 *3788:49 5.04925e-05 -52 *3065:10 *27491:B2 4.64124e-05 -53 *3067:12 *3788:15 0 -54 *3070:14 *27491:B2 0.000637041 -55 *3085:12 *27491:B2 2.04825e-05 -56 *3173:50 *3788:49 0.000154345 -57 *3173:53 *3788:49 0.000163455 -58 *3178:62 *3788:49 4.28365e-05 -59 *3212:44 *3788:49 0.000209352 -60 *3459:8 *3788:49 0.00104317 -61 *3568:17 *3788:8 6.60456e-06 -62 *3651:44 *3788:8 0.000171806 -63 *3651:57 *3788:8 0.000145241 -64 *3672:45 *3788:49 5.84171e-05 -65 *3713:63 *27491:B2 5.33005e-05 -*RES -1 *28355:Q *3788:8 27.3357 -2 *3788:8 *29260:A 13.8 -3 *3788:8 *3788:15 9.23214 -4 *3788:15 *3788:18 28.4464 -5 *3788:18 *27852:A1 10.4786 -6 *3788:18 *3788:49 49.7742 -7 *3788:49 *25409:B2 9.3 -8 *3788:15 *27491:B2 49.7231 -*END - -*D_NET *3789 0.0252498 -*CONN -*I *29242:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25339:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *27877:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27510:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28356:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *29242:A 0 -2 *25339:A1 0.00174739 -3 *27877:A1 0 -4 *27510:B2 0.000200434 -5 *28356:Q 0.000478158 -6 *3789:32 0.000937384 -7 *3789:20 0.000968099 -8 *3789:14 0.00250583 -9 *3789:9 0.00100545 -10 *25339:A1 *6464:DIODE 0.000221822 -11 *25339:A1 *25339:B2 1.03742e-05 -12 *25339:A1 *3845:31 0.000288033 -13 *25339:A1 *3853:45 0.00025482 -14 *25339:A1 *3854:11 0.000805126 -15 *25339:A1 *5063:5 0.00114198 -16 *25339:A1 *5622:74 5.49489e-05 -17 *25339:A1 *5706:242 0.000720568 -18 *27510:B2 *27510:A1 5.41797e-06 -19 *27510:B2 *27887:B2 3.33634e-05 -20 *27510:B2 *4101:32 5.41797e-05 -21 *3789:9 *25908:A1 0.00165361 -22 *3789:9 *5705:148 0.000143409 -23 *3789:9 *5705:162 4.44929e-05 -24 *3789:14 *5200:10 1.54132e-05 -25 *3789:14 *5699:147 0.000875397 -26 *3789:20 *5699:147 0.000303536 -27 *3789:32 *27526:C1 7.83659e-05 -28 *3789:32 *3893:97 9.60875e-05 -29 *3789:32 *5680:348 0.00157081 -30 *25908:S *3789:9 0.000224583 -31 *25911:S *3789:9 4.08637e-05 -32 *26853:A *25339:A1 0.000143675 -33 *27510:A2 *27510:B2 1.38106e-05 -34 *27510:C1 *27510:B2 0.000147474 -35 *27526:A2 *3789:32 7.6644e-05 -36 *27877:B1 *3789:32 2.59355e-05 -37 *27927:A1 *25339:A1 0.00127844 -38 *27927:A1 *3789:20 0.00011802 -39 *29986:A *3789:9 0.00047248 -40 *29987:A *3789:14 9.83597e-05 -41 *714:23 *3789:14 0.000188285 -42 *1362:136 *3789:9 0.000189623 -43 *1401:49 *25339:A1 1.90936e-05 -44 *1442:14 *25339:A1 2.06178e-05 -45 *1457:35 *3789:9 0.000166683 -46 *1656:11 *25339:A1 5.49544e-05 -47 *1656:13 *25339:A1 7.42113e-05 -48 *1893:15 *3789:9 0.000590422 -49 *2860:64 *25339:A1 0 -50 *2864:45 *3789:32 0.00157081 -51 *3145:14 *3789:20 0.000261653 -52 *3145:14 *3789:32 0.000811374 -53 *3158:43 *3789:32 1.21258e-05 -54 *3191:54 *3789:14 6.47405e-05 -55 *3196:59 *27510:B2 0.000558014 -56 *3216:71 *27510:B2 0.000559944 -57 *3411:32 *3789:14 0.000432345 -58 *3662:19 *3789:20 1.1942e-05 -59 *3662:19 *3789:32 0.000808215 -*RES -1 *28356:Q *3789:9 36.9964 -2 *3789:9 *3789:14 23.0618 -3 *3789:14 *3789:20 12.3243 -4 *3789:20 *3789:32 46.0536 -5 *3789:32 *27510:B2 25.8402 -6 *3789:20 *27877:A1 9.3 -7 *3789:14 *25339:A1 38.8144 -8 *3789:9 *29242:A 9.3 -*END - -*D_NET *3790 0.0888196 -*CONN -*I *29275:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27655:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27281:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25386:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28618:Q O *D sky130_fd_sc_hd__dfstp_4 -*CAP -1 *29275:A 0 -2 *27655:B2 0.000903783 -3 *27281:B2 0.000120897 -4 *25386:A1 7.90268e-05 -5 *28618:Q 0.000381874 -6 *3790:106 0.00180586 -7 *3790:102 0.00173134 -8 *3790:81 0.00186057 -9 *3790:71 0.00294985 -10 *3790:69 0.00216888 -11 *3790:63 0.00285399 -12 *3790:62 0.00280068 -13 *3790:57 0.0016314 -14 *3790:56 0.00300056 -15 *3790:53 0.00301848 -16 *3790:32 0.00284843 -17 *3790:11 0.00157808 -18 *25386:A1 *5608:15 9.25014e-06 -19 *27655:B2 *3920:31 0.00205124 -20 *27655:B2 *3959:28 0.000226996 -21 *27655:B2 *4102:34 0 -22 *27655:B2 *5628:217 9.46929e-05 -23 *3790:11 *3873:34 0.00018927 -24 *3790:11 *4907:40 8.40933e-05 -25 *3790:11 *5592:64 0.000185984 -26 *3790:11 *5625:29 0.000166511 -27 *3790:32 *25274:B2 0.000385699 -28 *3790:32 *25289:B2 7.43174e-05 -29 *3790:32 *29031:A 0.000378639 -30 *3790:32 *3873:34 0.00125135 -31 *3790:32 *4907:40 0.000475677 -32 *3790:32 *5608:15 8.84757e-05 -33 *3790:32 *5608:19 0.000445482 -34 *3790:32 *5625:52 2.50124e-05 -35 *3790:53 *25893:A1 1.94945e-05 -36 *3790:53 *4129:61 0.00122405 -37 *3790:53 *5618:6 0.000194531 -38 *3790:53 *5711:186 0.000491953 -39 *3790:56 *5717:74 3.33619e-05 -40 *3790:57 *27875:A1 0.00017224 -41 *3790:57 *3868:41 0.000188369 -42 *3790:57 *3868:56 0.000383788 -43 *3790:57 *5491:44 0.000891711 -44 *3790:57 *5666:202 6.57648e-05 -45 *3790:63 *3881:30 0.000857606 -46 *3790:63 *4141:34 0.00149264 -47 *3790:69 *4141:34 5.87283e-05 -48 *3790:71 *4141:34 0.00533502 -49 *3790:71 *5605:127 0.00147483 -50 *3790:71 *5649:176 0.000729323 -51 *3790:71 *5845:25 1.90936e-05 -52 *3790:81 *4022:27 0.000983085 -53 *3790:81 *4141:34 0.00137731 -54 *3790:81 *5600:61 3.65015e-05 -55 *3790:81 *5605:149 0.000454833 -56 *3790:102 *27032:A1 8.00806e-05 -57 *3790:102 *4128:24 2.71132e-05 -58 *3790:102 *4141:34 0.000185334 -59 *3790:102 *5688:123 0.000407121 -60 *3790:102 *5891:18 0.000103244 -61 *3790:106 *27870:B2 0.000200545 -62 *3790:106 *28614:D 0.000100823 -63 *3790:106 *3959:28 0.000455972 -64 *3790:106 *3959:45 6.07232e-05 -65 *3790:106 *4088:23 0.000949541 -66 *3790:106 *4128:20 3.18481e-05 -67 *3790:106 *4128:24 7.42658e-05 -68 *25077:A2 *3790:71 0.00015666 -69 *25289:B1 *3790:32 0.000496573 -70 *25370:A2 *3790:81 4.00679e-05 -71 *25403:A2 *3790:106 1.94945e-05 -72 *27281:B1 *27281:B2 3.61693e-05 -73 *27286:C1 *27281:B2 3.06027e-05 -74 *27656:C1 *27655:B2 9.46929e-05 -75 *27668:C *27655:B2 0.000175526 -76 *27883:B1 *3790:56 0.000136682 -77 *27963:C1 *3790:53 0.000162002 -78 *28694:CLK *3790:81 0.000320024 -79 *29934:A *3790:11 0.000120098 -80 *30313:A *3790:69 0.000113063 -81 *282:21 *3790:32 0 -82 *1218:62 *3790:53 0.000153601 -83 *1227:44 *3790:53 0.000648147 -84 *1235:163 *3790:102 1.34809e-05 -85 *1235:165 *27655:B2 0.00188298 -86 *1235:165 *3790:102 0.00066515 -87 *1235:165 *3790:106 0.00091553 -88 *1237:17 *3790:53 0.000814627 -89 *1239:14 *3790:63 0.00708208 -90 *1252:26 *3790:63 1.09026e-05 -91 *1257:14 *3790:63 1.53472e-05 -92 *1257:146 *3790:71 2.82057e-05 -93 *1257:153 *3790:71 0.000717671 -94 *1257:153 *3790:81 0.00140629 -95 *1273:47 *3790:53 1.09611e-05 -96 *1273:68 *3790:57 0.00097227 -97 *1273:81 *3790:57 0.00255912 -98 *1277:53 *3790:32 0.000210419 -99 *1282:20 *25386:A1 0.000168217 -100 *1282:20 *3790:32 8.34917e-05 -101 *1282:37 *3790:32 0 -102 *1284:49 *3790:71 0.00111859 -103 *1289:49 *25386:A1 7.81577e-05 -104 *1323:5 *3790:32 0.000111508 -105 *1327:78 *3790:63 0.000135968 -106 *1405:49 *3790:71 4.21517e-05 -107 *1407:58 *3790:57 0.000745786 -108 *1411:68 *3790:62 0.000219289 -109 *1427:105 *3790:63 0.00254949 -110 *1427:119 *3790:63 0.00216919 -111 *1430:90 *3790:63 9.90115e-06 -112 *1430:90 *3790:69 0.000243631 -113 *1430:90 *3790:71 0.000402583 -114 *1455:28 *3790:32 0.000276686 -115 *1463:23 *3790:71 0.000204481 -116 *1465:14 *3790:102 9.23975e-05 -117 *1482:8 *3790:53 0.000251603 -118 *1485:23 *3790:53 3.9987e-05 -119 *1592:20 *3790:32 4.46186e-06 -120 *1688:16 *3790:81 0.000122431 -121 *1719:11 *3790:106 0.000343758 -122 *2775:132 *3790:102 0.000293181 -123 *2780:72 *3790:32 2.06178e-05 -124 *2839:33 *3790:11 0.000161796 -125 *2860:56 *3790:32 0.000214685 -126 *2860:56 *3790:53 0.000175892 -127 *2882:53 *3790:62 0.000107946 -128 *2889:217 *3790:57 0.000113119 -129 *3191:37 *3790:32 0.000409747 -130 *3205:55 *3790:56 0.00160195 -131 *3220:44 *3790:63 0.000135968 -132 *3389:20 *3790:81 0.000110914 -133 *3593:6 *3790:81 0.000216057 -134 *3593:51 *3790:81 0.000167684 -135 *3593:51 *3790:102 0.000190554 -136 *3771:22 *3790:102 0.00045009 -*RES -1 *28618:Q *3790:11 27.6036 -2 *3790:11 *3790:32 44.3995 -3 *3790:32 *25386:A1 16.05 -4 *3790:32 *3790:53 43.8313 -5 *3790:53 *3790:56 23.1071 -6 *3790:56 *3790:57 47.1786 -7 *3790:57 *3790:62 11.1786 -8 *3790:62 *3790:63 94.3839 -9 *3790:63 *3790:69 4.23214 -10 *3790:69 *3790:71 70.0982 -11 *3790:71 *3790:81 44.5357 -12 *3790:81 *27281:B2 15.9071 -13 *3790:81 *3790:102 30.2411 -14 *3790:102 *3790:106 29.8036 -15 *3790:106 *27655:B2 47.7196 -16 *3790:11 *29275:A 9.3 -*END - -*D_NET *3791 0.0679119 -*CONN -*I *25260:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27535:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27906:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29172:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28367:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *25260:B2 0.000760259 -2 *27535:B2 0 -3 *27906:B2 0.00233678 -4 *29172:A 0.000426113 -5 *28367:Q 9.05653e-05 -6 *3791:148 0.00254834 -7 *3791:126 0.00555323 -8 *3791:98 0.00376084 -9 *3791:84 0.00245592 -10 *3791:75 0.00254171 -11 *3791:36 0.00293799 -12 *3791:13 0.00441923 -13 *3791:10 0.00425322 -14 *25260:B2 *29182:A 7.55601e-05 -15 *25260:B2 *5483:135 0.000366844 -16 *25260:B2 *6071:10 5.00087e-06 -17 *25260:B2 *6385:32 0.000763285 -18 *27906:B2 *27891:A 4.13595e-05 -19 *27906:B2 *27906:A1 1.67804e-05 -20 *27906:B2 *3792:80 8.96067e-05 -21 *27906:B2 *5591:63 0.00105495 -22 *29172:A *30139:A 5.74499e-06 -23 *29172:A *4183:24 7.69776e-06 -24 *29172:A *4183:28 6.07655e-06 -25 *29172:A *4317:16 0.000223662 -26 *29172:A *4944:18 0.000651384 -27 *29172:A *5069:27 1.98839e-05 -28 *29172:A *5489:19 1.90936e-05 -29 *3791:10 *25621:A1 3.95113e-05 -30 *3791:10 *5487:63 9.60939e-05 -31 *3791:10 *5497:39 8.60466e-05 -32 *3791:10 *5738:11 0.000137983 -33 *3791:13 *29533:A 0.000267734 -34 *3791:13 *5342:19 0.000127577 -35 *3791:13 *5484:44 0.000203898 -36 *3791:36 *26815:A1 4.91663e-05 -37 *3791:36 *29533:A 0.00338853 -38 *3791:36 *29571:A 0.00010312 -39 *3791:36 *4945:15 0.00228688 -40 *3791:36 *5014:24 2.11419e-05 -41 *3791:36 *5483:44 2.01401e-05 -42 *3791:36 *5484:44 0.0001399 -43 *3791:36 *5488:34 2.62425e-05 -44 *3791:36 *5532:15 0.000135795 -45 *3791:36 *5730:115 0.000114038 -46 *3791:36 *5730:121 7.75109e-05 -47 *3791:75 *25658:B 0.000121447 -48 *3791:75 *28366:RESET_B 0.000600017 -49 *3791:75 *28835:RESET_B 7.45854e-05 -50 *3791:75 *28836:RESET_B 2.68799e-05 -51 *3791:75 *3792:33 4.00349e-05 -52 *3791:75 *3908:35 2.59093e-05 -53 *3791:75 *3918:16 0.000189546 -54 *3791:75 *3918:41 0.000115753 -55 *3791:75 *5685:218 0.000453387 -56 *3791:75 *5730:121 0.000285601 -57 *3791:75 *5730:130 0.000114323 -58 *3791:75 *5731:20 5.88516e-05 -59 *3791:84 *27498:B2 6.43196e-05 -60 *3791:84 *27535:A1 0.00021846 -61 *3791:84 *28835:D 0.000102545 -62 *3791:84 *3893:97 6.8194e-05 -63 *3791:84 *3908:35 0.00072511 -64 *3791:84 *3918:41 0.000264717 -65 *3791:84 *4130:58 0.00140401 -66 *3791:98 *3806:61 2.65133e-05 -67 *3791:98 *3817:22 4.13001e-05 -68 *3791:126 *28381:RESET_B 8.98691e-05 -69 *3791:126 *28797:RESET_B 0.000956004 -70 *3791:126 *28809:RESET_B 0.000217923 -71 *3791:126 *29533:A 0.000299305 -72 *3791:126 *5200:25 0.000136958 -73 *3791:126 *5342:19 3.80542e-05 -74 *3791:126 *5485:102 0.000200004 -75 *3791:126 *5485:104 0.000162271 -76 *3791:148 *25215:B2 0.000175892 -77 *3791:148 *25341:B2 8.68261e-05 -78 *3791:148 *25600:A1 0.00028398 -79 *3791:148 *27593:A2 0.000372501 -80 *3791:148 *3996:8 0.000334836 -81 *3791:148 *5037:11 4.00349e-05 -82 *3791:148 *5154:10 0.000176938 -83 *3791:148 *5485:104 0.000188618 -84 *3791:148 *5501:9 4.08637e-05 -85 *3791:148 *5501:23 9.58126e-05 -86 *3791:148 *5506:24 8.25157e-05 -87 *3791:148 *5527:13 0.000637478 -88 *3791:148 *5698:179 0.000149549 -89 *3791:148 *5926:204 0.000467263 -90 *3791:148 *6347:12 9.41642e-05 -91 pll_trim[12] *3791:148 4.00349e-05 -92 *24968:B *25260:B2 5.33005e-05 -93 *26817:A *3791:36 0.000130443 -94 *26824:A *25260:B2 0.000421968 -95 *26834:A *3791:36 0.0001399 -96 *27497:B1 *3791:98 0.000752869 -97 *27497:B2 *3791:98 0.000753894 -98 *27537:C *3791:84 0.000113728 -99 *27541:B2 *3791:98 8.17305e-05 -100 *27866:D *27906:B2 0.000675122 -101 *27886:B1 *3791:84 0.000755656 -102 *27906:B1 *27906:B2 5.09596e-06 -103 *27906:C1 *27906:B2 3.86522e-05 -104 *27916:B *27906:B2 1.40034e-05 -105 *28369:CLK *3791:75 0.000139515 -106 *28435:CLK *29172:A 0.000139677 -107 *28435:CLK *3791:36 0.000258482 -108 *28795:D *3791:126 2.06178e-05 -109 *28809:CLK *3791:126 0.00013833 -110 *29518:A *3791:148 0.000346266 -111 *29767:A *25260:B2 1.60861e-05 -112 *30062:A *3791:75 0.000129868 -113 *30062:A *3791:84 5.06044e-05 -114 *30075:A *29172:A 0.000225367 -115 *753:13 *29172:A 0.000987741 -116 *760:20 *3791:36 0.000180207 -117 *1240:96 *25260:B2 0.000774073 -118 *1362:152 *3791:75 0.000134974 -119 *1457:51 *3791:75 9.67976e-05 -120 *1471:56 *3791:36 9.54641e-05 -121 *1471:56 *3791:75 0.000611625 -122 *1471:86 *3791:98 0.000172076 -123 *1498:13 *3791:126 1.90936e-05 -124 *1616:46 *3791:10 1.21258e-05 -125 *1617:17 *3791:126 9.02925e-05 -126 *1815:37 *3791:148 0.000180777 -127 *1831:18 *3791:75 0.000200431 -128 *1897:19 *3791:98 1.94945e-05 -129 *2744:36 *3791:36 0.000101196 -130 *2745:75 *3791:126 0.000132957 -131 *2834:68 *3791:98 0.000646219 -132 *2872:41 *27906:B2 0.00108193 -133 *2872:57 *3791:98 0.000549154 -134 *3096:15 *3791:98 0.000227539 -135 *3146:17 *3791:148 9.76435e-06 -136 *3156:73 *3791:98 0.000642692 -137 *3156:74 *3791:98 0.000603213 -138 *3158:66 *3791:98 0.000170354 -139 *3197:164 *3791:84 0.000480558 -140 *3412:62 *25260:B2 1.90936e-05 -141 *3568:110 *25260:B2 2.28598e-05 -142 *3569:86 *25260:B2 2.79509e-06 -143 *3569:92 *25260:B2 0.000557417 -144 *3571:89 *3791:126 0.000412068 -145 *3648:75 *3791:148 1.78802e-05 -146 *3662:19 *27906:B2 8.7892e-05 -147 *3666:98 *3791:75 2.83129e-05 -*RES -1 *28367:Q *3791:10 19.7546 -2 *3791:10 *3791:13 4.61007 -3 *3791:13 *3791:36 46.9786 -4 *3791:36 *29172:A 36.4607 -5 *3791:13 *3791:75 41.1862 -6 *3791:75 *3791:84 39.0357 -7 *3791:84 *3791:98 49.0813 -8 *3791:98 *27906:B2 47.3134 -9 *3791:84 *27535:B2 9.3 -10 *3791:10 *3791:126 48.9079 -11 *3791:126 *3791:148 49.9665 -12 *3791:148 *25260:B2 34.7493 -*END - -*D_NET *3792 0.0496195 -*CONN -*I *27933:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27552:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25178:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30089:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28368:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27933:B2 0.000377254 -2 *27552:A1 5.58165e-05 -3 *25178:A1 2.42151e-05 -4 *30089:A 0 -5 *28368:Q 0.000182844 -6 *3792:82 0.00299976 -7 *3792:80 0.00361657 -8 *3792:75 0.0035201 -9 *3792:51 0.000699338 -10 *3792:33 0.0028052 -11 *3792:11 0.00234672 -12 *3792:10 0.00286971 -13 *27552:A1 *27552:B2 7.13171e-06 -14 *27933:B2 *27568:A1 0.000147031 -15 *27933:B2 *27933:A1 6.26774e-06 -16 *27933:B2 *3844:75 0.000355205 -17 *27933:B2 *4036:40 0.000167019 -18 *3792:10 *27550:S 0 -19 *3792:10 *5510:34 0 -20 *3792:10 *5734:31 0.00011063 -21 *3792:11 *5734:13 8.43535e-06 -22 *3792:11 *5734:31 4.8843e-05 -23 *3792:33 *25617:A0 0.000253148 -24 *3792:33 *25659:A1 9.41642e-05 -25 *3792:33 *28154:RESET_B 2.51343e-06 -26 *3792:33 *28225:RESET_B 0.000313241 -27 *3792:33 *28226:RESET_B 0.000181796 -28 *3792:33 *3892:33 0.000443238 -29 *3792:33 *5510:59 0.000346137 -30 *3792:33 *5685:142 0.000436483 -31 *3792:33 *5685:144 0.000478348 -32 *3792:33 *5685:146 0.000338226 -33 *3792:33 *5685:151 0.000164322 -34 *3792:33 *5710:103 1.02821e-05 -35 *3792:33 *5734:13 2.03842e-05 -36 *3792:51 *28150:D 7.21957e-05 -37 *3792:51 *28226:D 7.40432e-05 -38 *3792:51 *28226:RESET_B 6.72353e-05 -39 *3792:51 *29308:A 0.000124122 -40 *3792:51 *29433:A 5.37879e-05 -41 *3792:51 *3892:18 6.09762e-05 -42 *3792:51 *4900:26 0.000341826 -43 *3792:51 *4977:22 4.19624e-06 -44 *3792:51 *5170:19 0.000241294 -45 *3792:51 *5203:27 4.16148e-05 -46 *3792:51 *5685:183 7.35637e-05 -47 *3792:51 *5778:31 3.33872e-05 -48 *3792:51 *6225:17 0.00044468 -49 *3792:75 *25918:B 1.69153e-05 -50 *3792:75 *5313:11 0.000266909 -51 *3792:75 *5320:8 0.000891683 -52 *3792:75 *5488:64 0.00114636 -53 *3792:75 *5599:171 0.000285367 -54 *3792:75 *5680:343 0.00121734 -55 *3792:75 *5734:31 2.95726e-05 -56 *3792:80 *27883:B2 1.15058e-05 -57 *3792:80 *3817:22 0.000251986 -58 *3792:80 *3845:47 0.000195749 -59 *3792:80 *3880:50 4.53834e-05 -60 *3792:80 *5442:26 0.000547893 -61 *3792:80 *5738:82 0 -62 *3792:82 *28157:SET_B 9.9974e-05 -63 *3792:82 *4036:40 0.00209319 -64 *3792:82 *4074:52 0.000186251 -65 *3792:82 *5936:78 7.83659e-05 -66 *25178:A2 *3792:10 1.97381e-05 -67 *25178:A2 *3792:11 0.000119125 -68 *25178:B1 *25178:A1 4.14454e-05 -69 *25178:B1 *3792:33 0.000282431 -70 *25398:A1 *3792:75 4.65519e-05 -71 *27552:A2 *27552:A1 8.16236e-05 -72 *27552:B1 *27552:A1 5.01425e-05 -73 *27903:B1 *3792:82 0.000240079 -74 *27906:B2 *3792:80 8.96067e-05 -75 *27933:B1 *27933:B2 1.74719e-05 -76 *28150:CLK *3792:33 1.58163e-05 -77 *28226:CLK *3792:51 6.15446e-05 -78 *28368:CLK *3792:33 5.20798e-05 -79 *28796:D *3792:10 0.00012401 -80 *28797:D *3792:10 0.000294293 -81 *28951:A *3792:75 0.000502901 -82 *460:33 *3792:33 0.00131179 -83 *471:12 *3792:75 0.00118304 -84 *502:18 *3792:51 0.000765727 -85 *506:24 *3792:51 7.23766e-05 -86 *699:22 *3792:33 0.000486615 -87 *749:24 *3792:51 0.00021413 -88 *1362:118 *3792:75 5.86232e-05 -89 *1362:118 *3792:80 0 -90 *1471:56 *3792:33 4.16148e-05 -91 *1498:13 *3792:10 2.00751e-05 -92 *1897:15 *3792:80 0 -93 *2745:75 *3792:10 5.71472e-05 -94 *2855:39 *3792:82 0.000130057 -95 *2860:64 *3792:75 7.42481e-05 -96 *2871:45 *3792:80 0.000197984 -97 *2878:55 *3792:82 0.000184463 -98 *3129:10 *3792:80 0.000236835 -99 *3129:10 *3792:82 1.86686e-05 -100 *3129:18 *3792:82 0.0014498 -101 *3129:20 *27933:B2 0.00016011 -102 *3129:20 *3792:82 0.00403491 -103 *3145:14 *3792:82 0.000200054 -104 *3145:32 *3792:75 0.000934599 -105 *3651:10 *3792:33 2.92155e-05 -106 *3653:42 *3792:51 0.000123577 -107 *3661:31 *3792:75 2.59024e-05 -108 *3662:19 *3792:75 0.0001326 -109 *3662:19 *3792:80 0.000770018 -110 *3662:19 *3792:82 0.000141359 -111 *3662:30 *3792:82 0.00205737 -112 *3791:75 *3792:33 4.00349e-05 -*RES -1 *28368:Q *3792:10 24.1214 -2 *3792:10 *3792:11 3.82143 -3 *3792:11 *3792:33 45.5998 -4 *3792:33 *3792:51 43.7108 -5 *3792:51 *30089:A 9.3 -6 *3792:11 *25178:A1 10.0321 -7 *3792:10 *3792:75 49.1992 -8 *3792:75 *3792:80 29.5707 -9 *3792:80 *3792:82 79.2054 -10 *3792:82 *27552:A1 15.5455 -11 *3792:82 *27933:B2 22.0902 -*END - -*D_NET *3793 0.0500644 -*CONN -*I *27587:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25088:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27945:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30014:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28369:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27587:A1 0.00018785 -2 *25088:B2 0 -3 *27945:B2 0.000622913 -4 *30014:A 0 -5 *28369:Q 5.02675e-05 -6 *3793:64 0.00211409 -7 *3793:52 0.00251349 -8 *3793:40 0.00396478 -9 *3793:38 0.0044147 -10 *3793:25 0.00219795 -11 *3793:5 0.0035326 -12 *27587:A1 *3957:22 0.000192772 -13 *27587:A1 *3962:35 2.36643e-05 -14 *27587:A1 *5686:35 9.41642e-05 -15 *27945:B2 *27929:A2 1.21258e-05 -16 *27945:B2 *27960:A2 0.000356352 -17 *3793:25 *25617:A0 0.000123742 -18 *3793:25 *25661:A1 5.03772e-05 -19 *3793:25 *28152:D 1.10422e-05 -20 *3793:25 *28369:RESET_B 0.000657001 -21 *3793:25 *4950:25 0.00104145 -22 *3793:25 *5011:12 9.14714e-06 -23 *3793:25 *5691:284 8.6229e-06 -24 *3793:38 *27538:B2 3.13545e-05 -25 *3793:38 *5484:64 0.00159127 -26 *3793:38 *5657:174 0.000866712 -27 *3793:40 *27529:B2 3.72685e-05 -28 *3793:40 *3802:58 0.00140425 -29 *3793:40 *3882:70 9.91086e-05 -30 *3793:40 *3897:32 2.02794e-05 -31 *3793:40 *3897:36 3.10532e-05 -32 *3793:40 *3957:22 6.92803e-05 -33 *3793:40 *4100:22 3.87487e-05 -34 *3793:40 *5657:174 0.000464821 -35 *3793:52 *3957:22 0.000916601 -36 *3793:52 *3984:33 0.000344091 -37 *3793:52 *4126:84 5.55484e-05 -38 *3793:64 *27960:A2 6.956e-05 -39 *3793:64 *4113:98 0.000353051 -40 *3793:64 *5626:19 0.00038021 -41 *6632:DIODE *3793:40 9.8126e-05 -42 *25088:B1 *3793:64 8.5729e-05 -43 *25661:S *3793:25 1.38963e-05 -44 *25759:A *3793:25 3.47641e-06 -45 *27510:A2 *3793:40 0.000332764 -46 *27515:C *3793:38 0.00014491 -47 *27564:C1 *3793:64 1.437e-05 -48 *27587:A2 *27587:A1 0.000221359 -49 *27588:B1 *3793:64 9.91086e-05 -50 *27929:A1 *27945:B2 5.33005e-05 -51 *27930:C1 *27945:B2 0.00018792 -52 *27945:B1 *27945:B2 1.97695e-05 -53 *29199:A *3793:25 0.00124841 -54 *460:33 *3793:25 5.48795e-05 -55 *571:19 *3793:25 0.000434813 -56 *572:19 *3793:25 3.07457e-05 -57 *716:16 *3793:5 3.14163e-05 -58 *716:16 *3793:25 2.26812e-05 -59 *759:16 *3793:25 0.00122307 -60 *1363:6 *3793:38 0.000545017 -61 *1363:49 *3793:38 0.000753952 -62 *1437:42 *3793:38 0.000192547 -63 *1437:42 *3793:40 0.000262049 -64 *1456:41 *27945:B2 0.00112673 -65 *1456:64 *3793:64 0.000465915 -66 *1458:10 *3793:64 1.13406e-05 -67 *2865:133 *3793:52 6.53009e-06 -68 *2872:47 *3793:40 4.09607e-05 -69 *2877:105 *3793:64 8.55871e-05 -70 *2882:135 *3793:40 0.000158048 -71 *2895:134 *3793:40 8.21621e-05 -72 *2895:146 *3793:40 0.00129649 -73 *2895:171 *3793:64 6.52967e-05 -74 *3055:16 *3793:40 0.000820753 -75 *3067:12 *3793:38 2.72449e-05 -76 *3069:8 *3793:40 0.0041679 -77 *3085:12 *3793:40 0.00505647 -78 *3197:138 *3793:52 0 -79 *3209:117 *27945:B2 0.000354637 -80 *3209:117 *3793:64 7.98104e-05 -81 *3452:32 *3793:52 0 -82 *3736:63 *27587:A1 0.000184236 -83 *3736:63 *3793:52 0.000913647 -84 *3749:105 *3793:52 9.40094e-05 -85 *3788:15 *3793:38 0 -*RES -1 *28369:Q *3793:5 10.2464 -2 *3793:5 *3793:25 46.6878 -3 *3793:25 *30014:A 9.3 -4 *3793:5 *3793:38 41.1339 -5 *3793:38 *3793:40 103.089 -6 *3793:40 *3793:52 27.0439 -7 *3793:52 *3793:64 39.1071 -8 *3793:64 *27945:B2 30.1214 -9 *3793:64 *25088:B2 13.8 -10 *3793:52 *27587:A1 18.9429 -*END - -*D_NET *3794 0.0503173 -*CONN -*I *27684:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27349:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25311:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *29933:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28619:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *27684:B2 1.25157e-05 -2 *27349:A1 0.000711423 -3 *25311:A1 0.00222871 -4 *29933:A 9.33524e-05 -5 *28619:Q 0.000110254 -6 *3794:86 0.00427338 -7 *3794:85 0.0054004 -8 *3794:33 0.00428814 -9 *3794:19 0.0044994 -10 *3794:7 0.000792622 -11 *25311:A1 *25957:A0 8.55871e-05 -12 *25311:A1 *28344:D 0.000108437 -13 *25311:A1 *28396:D 0.000381648 -14 *25311:A1 *28397:D 5.33005e-05 -15 *25311:A1 *28397:SET_B 4.27935e-05 -16 *25311:A1 *3843:8 3.4517e-05 -17 *25311:A1 *3988:33 9.23975e-05 -18 *27349:A1 *27686:B2 4.85354e-05 -19 *27349:A1 *3872:79 0.00021216 -20 *27349:A1 *3872:86 0.000129235 -21 *27349:A1 *4106:37 0.000921095 -22 *27349:A1 *5641:38 7.53238e-05 -23 *27349:A1 *5641:55 0.000114759 -24 *27349:A1 *5644:186 1.17921e-05 -25 *27349:A1 *5652:12 2.84288e-05 -26 *27684:B2 *27684:A1 1.38323e-05 -27 *29933:A *3797:21 0.000169584 -28 *29933:A *3797:35 4.56437e-05 -29 *3794:7 *28619:D 0.000175892 -30 *3794:19 *3797:35 0.000862439 -31 *3794:19 *5585:92 4.15183e-05 -32 *3794:19 *5707:162 0.000201332 -33 *3794:33 *25289:B2 5.33072e-05 -34 *3794:33 *27882:B2 3.92708e-05 -35 *3794:33 *28344:D 0.000178847 -36 *3794:33 *28723:D 0.000753894 -37 *3794:33 *3992:11 0.000145411 -38 *3794:33 *3997:19 3.61707e-05 -39 *3794:33 *5592:64 4.46186e-06 -40 *3794:85 *27179:A1 0.000207175 -41 *3794:85 *3797:35 4.22559e-05 -42 *3794:85 *5442:51 0.000118845 -43 *3794:85 *5486:20 4.57371e-05 -44 *3794:85 *5629:20 9.23226e-05 -45 *3794:86 *25277:B2 8.19176e-05 -46 *3794:86 *28467:D 0.000333531 -47 *3794:86 *3872:72 1.27529e-05 -48 *3794:86 *3872:79 0.00232823 -49 *3794:86 *5196:78 1.53472e-05 -50 *3794:86 *5196:94 0.00110613 -51 *3794:86 *5634:113 4.43637e-05 -52 *3794:86 *5634:122 0.00199253 -53 *3794:86 *5644:186 0.000143917 -54 *3794:86 *5700:77 0.000316931 -55 *3794:86 *5707:91 0.000288966 -56 *3794:86 *5707:100 0.000976773 -57 *3794:86 *5707:103 0.00011981 -58 *25259:C1 *3794:86 0.000880102 -59 *27349:A2 *27349:A1 0.000202235 -60 *27684:C1 *27349:A1 0.000147325 -61 *28344:CLK *25311:A1 0.000753165 -62 *1218:109 *3794:85 0.000148087 -63 *1244:128 *3794:33 8.64057e-06 -64 *1250:187 *25311:A1 0.000502543 -65 *1290:23 *3794:86 0.000626075 -66 *1290:42 *3794:85 0.000244839 -67 *1292:202 *27349:A1 2.98258e-05 -68 *1293:217 *3794:86 0.000106571 -69 *1328:115 *3794:86 0.00300925 -70 *1328:140 *3794:86 0.000728493 -71 *1536:21 *3794:85 0.00165317 -72 *1587:36 *25311:A1 0.000598837 -73 *1629:7 *25311:A1 2.06778e-05 -74 *2780:72 *3794:33 3.30004e-05 -75 *2784:54 *29933:A 0.000108676 -76 *2784:54 *3794:19 0.000802339 -77 *2784:54 *3794:85 8.90091e-05 -78 *2784:57 *3794:33 0.000100823 -79 *2839:33 *3794:33 3.9554e-05 -80 *2856:124 *3794:85 0 -81 *2865:31 *3794:33 0.000432883 -82 *2865:44 *3794:33 8.69435e-05 -83 *2870:65 *3794:86 0.00034077 -84 *3158:43 *25311:A1 0.000154443 -85 *3205:158 *3794:85 4.09261e-05 -86 *3216:46 *3794:85 0.000221621 -87 *3233:26 *27349:A1 0.000170089 -88 *3315:11 *3794:86 0.00190664 -89 *3677:48 *27349:A1 0.000376363 -90 *3704:45 *27684:B2 1.403e-05 -*RES -1 *28619:Q *3794:7 15.5679 -2 *3794:7 *29933:A 16.6571 -3 *3794:7 *3794:19 16.5714 -4 *3794:19 *3794:33 49.7321 -5 *3794:33 *25311:A1 49.6571 -6 *3794:19 *3794:85 23.3266 -7 *3794:85 *3794:86 113.812 -8 *3794:86 *27349:A1 34.6571 -9 *3794:86 *27684:B2 14.0857 -*END - -*D_NET *3795 0.0147222 -*CONN -*I *29746:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27373:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27710:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25277:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28620:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29746:A 3.6486e-05 -2 *27373:A1 0.00132653 -3 *27710:B2 0.00110315 -4 *25277:A1 0.00107488 -5 *28620:Q 0 -6 *3795:28 0.00279732 -7 *3795:25 0.000484191 -8 *3795:4 0.00115494 -9 *25277:A1 *3848:61 6.58875e-05 -10 *25277:A1 *5641:23 0.000241451 -11 *25277:A1 *5700:77 0.000388038 -12 *25277:A1 *5700:83 0.000367773 -13 *25277:A1 *5700:308 8.17274e-05 -14 *27373:A1 *27373:B2 0.00028591 -15 *27373:A1 *3938:50 0.000478881 -16 *27373:A1 *4146:56 0.000486708 -17 *27710:B2 *3899:54 0.000616261 -18 *27710:B2 *5629:139 4.15183e-05 -19 *27710:B2 *5641:23 2.62977e-05 -20 *29746:A *27048:A1 2.42516e-05 -21 *29746:A *5600:114 9.41642e-05 -22 *3795:25 *27048:A1 1.58163e-05 -23 *3795:25 *5600:114 0.000156721 -24 *3795:28 *3938:50 0.000930895 -25 *3795:28 *4146:56 0.000930895 -26 *25277:A2 *25277:A1 9.43808e-05 -27 *27710:B1 *27710:B2 1.33343e-05 -28 *1262:172 *27373:A1 0.000713031 -29 *1262:181 *27373:A1 0 -30 *1439:16 *27710:B2 3.22407e-05 -31 *1527:25 *27710:B2 0 -32 *1596:16 *25277:A1 5.33005e-05 -33 *1639:25 *27710:B2 1.09611e-05 -34 *2850:179 *25277:A1 0.000241451 -35 *2875:207 *27710:B2 0 -36 *2886:188 *27710:B2 4.65519e-05 -37 *2889:36 *27710:B2 4.34543e-05 -38 *2894:210 *27710:B2 0.000109422 -39 *3185:56 *27710:B2 5.33005e-05 -40 *3205:210 *27710:B2 2.01997e-05 -41 *3206:110 *27710:B2 5.52302e-05 -42 *3574:75 *27373:A1 2.46855e-05 -*RES -1 *28620:Q *3795:4 9.3 -2 *3795:4 *25277:A1 35.3179 -3 *3795:4 *3795:25 1.78571 -4 *3795:25 *3795:28 16.9196 -5 *3795:28 *27710:B2 24.0171 -6 *3795:28 *27373:A1 34.8625 -7 *3795:25 *29746:A 10.2464 -*END - -*D_NET *3796 0.129609 -*CONN -*I *29790:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24839:A I *D sky130_fd_sc_hd__inv_2 -*I *27740:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27385:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25219:B2 I *D sky130_fd_sc_hd__a221o_2 -*I *28621:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29790:A 0.000329887 -2 *24839:A 0.000103936 -3 *27740:B2 9.1822e-05 -4 *27385:A1 0.00012493 -5 *25219:B2 0.000178206 -6 *28621:Q 0 -7 *3796:177 0.00265228 -8 *3796:176 0.00362278 -9 *3796:173 0.00330349 -10 *3796:163 0.00407836 -11 *3796:124 0.00315812 -12 *3796:118 0.00433272 -13 *3796:108 0.00357325 -14 *3796:82 0.000607175 -15 *3796:79 0.00197728 -16 *3796:70 0.00436806 -17 *3796:68 0.00383726 -18 *3796:62 0.0040359 -19 *3796:60 0.00411959 -20 *3796:37 0.00202073 -21 *3796:36 0.00146266 -22 *3796:23 0.00354148 -23 *3796:14 0.00437849 -24 *3796:4 0.00229249 -25 *24839:A *30843:A 5.52302e-05 -26 *25219:B2 *25219:A1 5.02271e-05 -27 *25219:B2 *5970:51 0.000267818 -28 *27385:A1 *27385:B2 0.000124471 -29 *27740:B2 *5631:113 0.000113381 -30 *27740:B2 *5819:24 0.000115096 -31 *29790:A *5528:27 0 -32 *29790:A *5694:217 9.34004e-05 -33 *3796:14 *28621:D 7.12595e-05 -34 *3796:14 *28726:D 0.000108501 -35 *3796:14 *3904:21 0.000192976 -36 *3796:14 *5675:140 0.000194684 -37 *3796:14 *5714:5 0.000195573 -38 *3796:23 *27252:C 0.000139907 -39 *3796:23 *28779:RESET_B 1.68581e-05 -40 *3796:23 *28780:RESET_B 1.68581e-05 -41 *3796:23 *3799:7 0.000270442 -42 *3796:23 *5522:85 2.1527e-05 -43 *3796:23 *5675:140 2.59355e-05 -44 *3796:23 *5707:260 0.000351496 -45 *3796:36 *27252:C 6.21188e-05 -46 *3796:36 *28777:SET_B 1.09267e-05 -47 *3796:36 *28780:RESET_B 1.24368e-05 -48 *3796:36 *4685:23 0.00135744 -49 *3796:36 *5581:8 0.000146474 -50 *3796:36 *5694:171 0.00069698 -51 *3796:36 *6307:16 9.54798e-06 -52 *3796:36 *6386:131 0.000245632 -53 *3796:37 *25219:A1 0.000112972 -54 *3796:37 *27240:A 0.000573872 -55 *3796:37 *5599:8 4.87953e-05 -56 *3796:37 *5970:51 0.00132162 -57 *3796:60 *25125:A1 0.000250205 -58 *3796:60 *27759:A1 7.38062e-05 -59 *3796:60 *28660:RESET_B 0.000119011 -60 *3796:60 *28668:RESET_B 6.3237e-05 -61 *3796:60 *4018:17 0.000165294 -62 *3796:60 *5442:51 4.02462e-05 -63 *3796:60 *5599:247 0.000110183 -64 *3796:60 *5700:174 0.000296663 -65 *3796:60 *5750:83 5.51696e-05 -66 *3796:60 *5757:31 0.00040554 -67 *3796:60 *5757:43 1.83518e-05 -68 *3796:60 *5873:120 0.000126439 -69 *3796:62 *25321:A1 8.23258e-05 -70 *3796:62 *27671:B2 0.000275341 -71 *3796:62 *27716:B1 0.00042967 -72 *3796:62 *3860:8 9.59532e-06 -73 *3796:62 *3860:38 0.00100475 -74 *3796:62 *3873:73 1.18496e-05 -75 *3796:62 *5653:28 0.000460082 -76 *3796:62 *5667:312 0.000170241 -77 *3796:62 *5700:114 0.000630329 -78 *3796:68 *27713:B2 0.000351085 -79 *3796:68 *3873:90 0.000138261 -80 *3796:68 *5609:137 0.000187887 -81 *3796:68 *5650:42 9.91086e-05 -82 *3796:68 *5694:71 0.000146474 -83 *3796:70 *25322:B2 0.000402825 -84 *3796:70 *27366:B2 4.38265e-05 -85 *3796:70 *3873:90 3.17148e-05 -86 *3796:70 *5639:124 3.26599e-05 -87 *3796:70 *5657:232 0.000122492 -88 *3796:70 *5663:126 0.000620785 -89 *3796:79 *3899:40 4.16113e-05 -90 *3796:79 *4069:89 9.41642e-05 -91 *3796:79 *5663:126 0.00112632 -92 *3796:108 *27736:B2 9.65713e-05 -93 *3796:118 *3822:24 0.000254344 -94 *3796:118 *4030:28 0.00107809 -95 *3796:118 *4030:36 0.00076342 -96 *3796:118 *4069:41 0.00235012 -97 *3796:118 *4095:46 2.63501e-05 -98 *3796:118 *5589:95 0.000117263 -99 *3796:124 *3835:36 0.00181888 -100 *3796:124 *4030:27 0.000394629 -101 *3796:124 *5628:202 0.00020546 -102 *3796:124 *5646:294 0.000143567 -103 *3796:163 *25048:A1 0.000119268 -104 *3796:163 *25048:A2 2.84109e-05 -105 *3796:163 *25048:B2 3.37161e-05 -106 *3796:163 *26961:A0 4.87854e-05 -107 *3796:163 *26961:A1 0.000274304 -108 *3796:163 *27822:A1 7.48301e-06 -109 *3796:163 *28713:D 0.00046253 -110 *3796:163 *3887:91 8.79284e-05 -111 *3796:163 *3930:55 0.000301871 -112 *3796:163 *4136:11 1.98839e-05 -113 *3796:163 *4137:16 7.29263e-05 -114 *3796:163 *4205:22 7.46114e-05 -115 *3796:163 *5646:311 0.000142557 -116 *3796:163 *5655:238 2.63501e-05 -117 *3796:163 *5676:47 9.41642e-05 -118 *3796:173 *27210:S 9.41642e-05 -119 *3796:173 *27771:A1 0.000552649 -120 *3796:173 *27771:B2 4.58194e-05 -121 *3796:173 *27822:B1 2.15192e-05 -122 *3796:173 *27822:B2 0.000145012 -123 *3796:173 *28573:D 0.000101545 -124 *3796:173 *4019:18 0.000348213 -125 *3796:173 *4222:21 8.55871e-05 -126 *3796:173 *5875:13 0.000267556 -127 *3796:173 *5876:16 9.60875e-05 -128 *3796:173 *5911:64 0.000196526 -129 *3796:176 *26873:A1 1.07719e-05 -130 *3796:176 *26873:S 0.000137983 -131 *3796:176 *27004:A1 0.000221641 -132 *3796:176 *28573:RESET_B 2.40107e-05 -133 *3796:177 *30841:A 0.000165188 -134 *3796:177 *5438:9 0.000153054 -135 *3796:177 *5692:8 0.0013237 -136 mgmt_gpio_oeb[19] *3796:177 1.49084e-05 -137 mgmt_gpio_oeb[37] *3796:177 0 -138 mgmt_gpio_out[16] *3796:177 1.49084e-05 -139 mgmt_gpio_out[17] *3796:177 3.62729e-05 -140 mgmt_gpio_out[37] *3796:177 0 -141 *24969:A2 *3796:23 0.000112418 -142 *24985:A2 *3796:23 9.91086e-05 -143 *25048:B1 *3796:163 0.000268396 -144 *25053:C1 *3796:163 3.83653e-05 -145 *25219:A2 *25219:B2 0.000160825 -146 *25219:A2 *3796:60 0.00123873 -147 *25219:B1 *25219:B2 4.28106e-05 -148 *25679:S *3796:177 0 -149 *27093:S *3796:60 4.15592e-05 -150 *27243:A *3796:36 0.000114587 -151 *27259:C *3796:36 0.000143391 -152 *27313:A *3796:62 0.000114879 -153 *27366:C1 *3796:70 0.00011746 -154 *27385:B1 *27385:A1 0.000175864 -155 *27385:B1 *3796:79 2.71921e-05 -156 *27427:A2 *3796:108 0.000170263 -157 *27429:A2 *3796:118 0.000174261 -158 *27713:C1 *3796:68 0.00014635 -159 *27773:A2 *3796:163 4.11173e-05 -160 *27822:A2 *3796:173 2.89016e-05 -161 *28573:CLK *3796:173 8.87787e-05 -162 *28573:CLK *3796:176 9.41642e-05 -163 *28713:CLK *3796:163 1.58163e-05 -164 *28726:CLK *3796:14 0.000135391 -165 *28774:D *3796:60 0.000237495 -166 *28779:CLK *3796:36 0.000526646 -167 *28779:D *3796:36 6.86792e-05 -168 *28787:D *3796:62 4.19624e-06 -169 *28960:A *3796:37 7.32173e-05 -170 *29064:A *3796:173 5.49544e-05 -171 *29075:A *3796:163 0.000173472 -172 *29498:A *3796:62 7.69776e-06 -173 *30700:A *3796:177 2.99171e-05 -174 *1178:36 *3796:36 0.0001454 -175 *1252:83 *3796:62 1.10105e-05 -176 *1258:75 *3796:108 0.000266652 -177 *1261:163 *3796:79 0.000301775 -178 *1520:20 *3796:82 0.000106403 -179 *1520:20 *3796:108 0.000639195 -180 *1639:14 *3796:62 0.0012521 -181 *1639:25 *3796:62 0.000126045 -182 *1639:25 *3796:68 0.00170313 -183 *1639:28 *3796:68 0.0015635 -184 *1639:28 *3796:70 0.000141103 -185 *1639:35 *3796:70 0.00309987 -186 *1826:238 *3796:124 0.00130576 -187 *1826:238 *3796:163 0.000345311 -188 *1835:99 *3796:177 0.000101527 -189 *1835:104 *3796:177 0.000923567 -190 *2759:11 *3796:173 0.000640966 -191 *2759:31 *3796:124 0.000197315 -192 *2759:31 *3796:163 7.69049e-05 -193 *2764:89 *3796:176 2.77258e-05 -194 *2767:149 *3796:163 4.78395e-05 -195 *2777:59 *3796:60 6.80221e-05 -196 *2777:59 *3796:62 0.00327459 -197 *2777:71 *3796:62 0.000227675 -198 *2777:105 *3796:23 0.0015723 -199 *2781:138 *3796:79 0.00054429 -200 *2781:162 *3796:79 3.97677e-05 -201 *2782:146 *3796:108 0.000172194 -202 *2788:22 *3796:82 0.000106403 -203 *2788:22 *3796:108 0.00064649 -204 *2788:76 *3796:118 4.65519e-05 -205 *2788:168 *3796:163 3.84377e-05 -206 *2812:9 *3796:36 0.000205538 -207 *2845:250 *3796:108 6.57893e-06 -208 *2845:286 *3796:108 2.32455e-05 -209 *2848:333 *3796:118 0.000211306 -210 *2852:40 *3796:70 1.90936e-05 -211 *2852:61 *3796:70 0.00149391 -212 *2860:245 *27385:A1 0 -213 *2860:259 *3796:79 8.5729e-05 -214 *2864:292 *3796:108 0.000165782 -215 *2875:196 *3796:62 0.000463189 -216 *2875:196 *3796:68 0.0014559 -217 *2875:207 *3796:68 0.000258066 -218 *2877:349 *3796:79 0.000136501 -219 *2888:213 *3796:70 0.00245084 -220 *2917:24 *3796:70 0.00169398 -221 *2917:26 *3796:62 0.000460242 -222 *2917:26 *3796:68 0.000180096 -223 *2917:26 *3796:70 1.79038e-05 -224 *2918:10 *3796:62 0 -225 *2939:10 *3796:60 1.02366e-05 -226 *2950:10 *3796:118 1.39737e-05 -227 *2956:8 *3796:118 0.00252447 -228 *2958:56 *3796:62 0 -229 *3165:99 *3796:118 8.76591e-06 -230 *3173:17 *3796:60 0.000144752 -231 *3184:71 *3796:68 1.90936e-05 -232 *3216:26 *3796:60 0 -233 *3281:8 *3796:124 0.00102202 -234 *3320:16 *3796:163 0.000552525 -235 *3367:18 *3796:173 0.00011086 -236 *3538:16 *3796:118 0.000158515 -237 *3538:92 *3796:177 0 -238 *3612:20 *3796:173 5.10822e-05 -239 *3634:25 *3796:14 2.44318e-05 -240 *3634:34 *3796:14 0.000136951 -241 *3642:84 *3796:60 0.000105014 -242 *3642:84 *3796:62 0.000155812 -243 *3678:76 *3796:70 0.000175236 -244 *3718:5 *3796:176 5.52238e-05 -245 *3718:147 *3796:176 9.41642e-05 -246 *3730:22 *3796:79 0.00014615 -247 *3730:28 *3796:70 0.00244995 -248 *3730:28 *3796:79 0.000616565 -249 *3730:42 *3796:70 0.000331509 -250 *3731:45 *27740:B2 9.41642e-05 -251 *3757:102 *3796:177 9.01334e-05 -252 *3782:66 *3796:62 0 -253 *3782:76 *3796:68 9.31511e-05 -254 *3782:98 *3796:68 1.65157e-05 -*RES -1 *28621:Q *3796:4 9.3 -2 *3796:4 *3796:14 35.125 -3 *3796:14 *3796:23 45.1071 -4 *3796:23 *3796:36 48.5179 -5 *3796:36 *3796:37 12.8571 -6 *3796:37 *25219:B2 14.3893 -7 *3796:37 *3796:60 42.0982 -8 *3796:60 *3796:62 74.1964 -9 *3796:62 *3796:68 45.3661 -10 *3796:68 *3796:70 84.8214 -11 *3796:70 *3796:79 46.5179 -12 *3796:79 *3796:82 5.83929 -13 *3796:82 *27385:A1 16.9607 -14 *3796:82 *3796:108 23.0751 -15 *3796:108 *3796:118 49.7913 -16 *3796:118 *3796:124 32.7605 -17 *3796:124 *27740:B2 19.6475 -18 *3796:124 *3796:163 49.5603 -19 *3796:163 *3796:173 48.3393 -20 *3796:173 *3796:176 20.2321 -21 *3796:176 *3796:177 65.3929 -22 *3796:177 *24839:A 15.5679 -23 *3796:4 *29790:A 23.9607 -*END - -*D_NET *3797 0.0683093 -*CONN -*I *27759:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27414:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25124:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *29688:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28622:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27759:B2 0.000212991 -2 *27414:A1 0 -3 *25124:B2 0.000154407 -4 *29688:A 1.69531e-05 -5 *28622:Q 0.000290182 -6 *3797:101 0.00130793 -7 *3797:91 0.00234132 -8 *3797:85 0.00164474 -9 *3797:79 0.00170741 -10 *3797:68 0.0024007 -11 *3797:65 0.00387273 -12 *3797:53 0.00340004 -13 *3797:38 0.00120452 -14 *3797:35 0.00152021 -15 *3797:21 0.00179743 -16 *3797:8 0.00058954 -17 *25124:B2 *28619:D 0.000177821 -18 *27759:B2 *5700:132 0.000345474 -19 *29688:A *5667:129 5.33005e-05 -20 *3797:8 *5970:51 0.000172656 -21 *3797:8 *6317:73 0.000106018 -22 *3797:21 *5707:172 0.000250993 -23 *3797:35 *27179:A1 0.000220962 -24 *3797:35 *5687:129 0.000160175 -25 *3797:35 *5687:142 5.87119e-05 -26 *3797:35 *5687:153 1.55559e-05 -27 *3797:35 *5707:172 0.000180771 -28 *3797:53 *28342:D 3.34294e-05 -29 *3797:53 *3987:21 0.000417586 -30 *3797:53 *3992:25 6.05161e-06 -31 *3797:53 *5211:8 0.000421455 -32 *3797:53 *5713:130 4.65519e-05 -33 *3797:65 *27073:A0 5.99199e-06 -34 *3797:65 *29035:A 1.65084e-05 -35 *3797:65 *4051:49 0.000111243 -36 *3797:65 *4115:47 0.00206876 -37 *3797:65 *4185:59 0.000139453 -38 *3797:65 *5386:11 0.00038487 -39 *3797:65 *5634:95 6.27663e-05 -40 *3797:65 *5661:187 0.000365291 -41 *3797:65 *5750:163 0.000113635 -42 *3797:65 *5750:169 0.000120874 -43 *3797:65 *5750:196 7.64334e-05 -44 *3797:65 *5754:18 0.000110121 -45 *3797:79 *5627:75 6.62299e-06 -46 *3797:79 *5644:205 0.000224776 -47 *3797:91 *4044:14 1.16258e-05 -48 *3797:91 *4080:44 0.00166162 -49 *3797:91 *4080:53 2.16719e-05 -50 *3797:91 *5661:220 2.5621e-05 -51 *3797:91 *5836:55 0.000107938 -52 *3797:101 *27119:A1 0.000122933 -53 *3797:101 *3911:17 0.000630806 -54 *3797:101 *5708:58 3.22834e-05 -55 *3797:101 *5708:80 1.44868e-05 -56 *3797:101 *5795:82 0.000477196 -57 *3797:101 *5795:96 0.000493772 -58 *25125:C1 *25124:B2 4.35306e-05 -59 *25224:C *3797:68 0.000262921 -60 *25263:A2 *3797:35 0.00142835 -61 *25283:C *3797:65 2.63501e-05 -62 *27019:S *3797:79 6.32026e-05 -63 *27019:S *3797:85 0.000125466 -64 *27136:S *3797:53 0.00015307 -65 *27298:B1 *3797:68 0.000163061 -66 *27415:C1 *3797:101 2.12087e-05 -67 *27759:C1 *27759:B2 0.000469778 -68 *27759:C1 *3797:53 0.000592363 -69 *27759:C1 *3797:65 0.000124295 -70 *28612:CLK *3797:35 0.000228752 -71 *29437:A *3797:53 5.28888e-05 -72 *29717:A *3797:53 0 -73 *29933:A *3797:21 0.000169584 -74 *29933:A *3797:35 4.56437e-05 -75 *1218:109 *3797:35 0.000394987 -76 *1225:15 *3797:79 9.41642e-05 -77 *1244:174 *3797:65 0.000118322 -78 *1262:135 *3797:68 0.000482164 -79 *1262:139 *3797:68 0.00021717 -80 *1269:213 *3797:85 0.000300412 -81 *1277:70 *25124:B2 0.000194122 -82 *1290:42 *3797:35 4.75588e-05 -83 *1290:48 *3797:8 0.000348247 -84 *1290:48 *3797:21 5.41794e-05 -85 *1328:114 *3797:35 0.0001238 -86 *1328:115 *3797:35 0.000664639 -87 *1361:30 *3797:91 1.88026e-05 -88 *1446:12 *3797:65 5.69242e-05 -89 *1507:20 *3797:85 0.000145239 -90 *1539:22 *3797:65 0.00155517 -91 *1540:14 *3797:68 1.39762e-05 -92 *1633:29 *3797:101 0.00101221 -93 *1655:9 *3797:79 0.000412018 -94 *2777:11 *29688:A 5.33005e-05 -95 *2779:96 *3797:101 0.00236571 -96 *2784:54 *3797:8 0.000351617 -97 *2784:54 *3797:21 0.000173034 -98 *2784:59 *3797:38 0.000367297 -99 *2845:286 *3797:101 3.4517e-05 -100 *2856:157 *3797:68 2.09897e-05 -101 *2860:287 *3797:101 9.73652e-05 -102 *2872:21 *3797:35 0.000466248 -103 *2872:279 *3797:91 0.000216755 -104 *2874:32 *27759:B2 1.65498e-05 -105 *2877:187 *3797:68 8.62263e-05 -106 *2877:187 *3797:79 0.00175468 -107 *2879:61 *3797:68 0.00160688 -108 *2879:83 *3797:79 6.08435e-05 -109 *2879:83 *3797:85 0.00120411 -110 *2879:88 *3797:91 0.00087344 -111 *2883:250 *3797:101 0.000514796 -112 *2891:177 *3797:91 0.00153927 -113 *3162:34 *3797:79 0.000339132 -114 *3162:34 *3797:85 0.0013169 -115 *3162:38 *3797:68 7.12029e-06 -116 *3162:38 *3797:79 0.00224722 -117 *3162:40 *3797:68 0.0032818 -118 *3162:40 *3797:79 1.53262e-05 -119 *3176:49 *3797:65 7.18797e-05 -120 *3176:62 *3797:65 7.63802e-05 -121 *3185:92 *3797:91 0.000948604 -122 *3306:6 *3797:65 0.00179908 -123 *3540:23 *3797:85 3.75052e-05 -124 *3560:18 *3797:38 0.000368957 -125 *3560:18 *3797:53 0 -126 *3686:89 *3797:68 1.31516e-05 -127 *3686:135 *3797:65 0.00231742 -128 *3794:19 *3797:35 0.000862439 -129 *3794:85 *3797:35 4.22559e-05 -*RES -1 *28622:Q *3797:8 21.8893 -2 *3797:8 *29688:A 14.3357 -3 *3797:8 *3797:21 6.05357 -4 *3797:21 *25124:B2 17.6214 -5 *3797:21 *3797:35 47.4643 -6 *3797:35 *3797:38 9.48214 -7 *3797:38 *3797:53 25.0314 -8 *3797:53 *3797:65 47.3131 -9 *3797:65 *3797:68 43.7679 -10 *3797:68 *3797:79 45.4911 -11 *3797:79 *3797:85 29.4286 -12 *3797:85 *3797:91 45.6071 -13 *3797:91 *3797:101 47.5357 -14 *3797:101 *27414:A1 9.3 -15 *3797:38 *27759:B2 14.9116 -*END - -*D_NET *3798 0.0538848 -*CONN -*I *27782:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25064:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27428:A1 I *D sky130_fd_sc_hd__a211o_1 -*I *29652:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28623:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27782:B2 0.000349414 -2 *25064:A1 0.000211516 -3 *27428:A1 2.44851e-05 -4 *29652:A 8.71487e-05 -5 *28623:Q 2.04425e-05 -6 *3798:99 0.00191728 -7 *3798:98 0.00337962 -8 *3798:68 0.00423396 -9 *3798:40 0.00320664 -10 *3798:15 0.0037022 -11 *3798:7 0.00283833 -12 *25064:A1 *3800:64 4.038e-06 -13 *25064:A1 *4123:33 0.000149004 -14 *27782:B2 *27782:A1 1.28809e-05 -15 *27782:B2 *27782:A2 5.20232e-05 -16 *27782:B2 *27833:B2 4.08938e-05 -17 *27782:B2 *3811:32 0.000136682 -18 *27782:B2 *3876:62 0.000189885 -19 *29652:A *29037:A 0.000123295 -20 *29652:A *5633:41 4.66107e-05 -21 *29652:A *5714:36 0.000215583 -22 *3798:7 *28623:RESET_B 5.33005e-05 -23 *3798:15 *28600:D 0.00012401 -24 *3798:15 *4121:69 0.0025139 -25 *3798:15 *4121:77 0.00220365 -26 *3798:15 *4121:81 0.00121048 -27 *3798:15 *5633:41 0.000305407 -28 *3798:15 *5635:120 4.45982e-06 -29 *3798:15 *5680:213 0.000102566 -30 *3798:15 *5680:215 0.00450388 -31 *3798:15 *5714:36 0.00126025 -32 *3798:15 *5714:47 0.000123363 -33 *3798:15 *5777:259 0.00278024 -34 *3798:40 *27380:A2 6.96559e-05 -35 *3798:40 *27438:C1 0.000158803 -36 *3798:40 *28655:D 2.90996e-05 -37 *3798:40 *4121:62 0.000118715 -38 *3798:40 *5600:195 0.000195814 -39 *3798:40 *5604:121 0 -40 *3798:40 *5644:71 0.000172368 -41 *3798:40 *5718:390 0.000409148 -42 *3798:68 *5651:321 2.3488e-05 -43 *3798:68 *5676:95 9.34324e-05 -44 *3798:98 *25062:A1 0.000299027 -45 *3798:98 *27433:B1 0.000179156 -46 *3798:98 *27784:B2 0.000190931 -47 *3798:98 *27785:B2 0.000177338 -48 *3798:98 *3800:64 0.000180508 -49 *3798:98 *3993:14 0.00230395 -50 *3798:98 *4123:33 0.0001326 -51 *3798:98 *4149:57 1.02366e-05 -52 *3798:98 *5587:151 4.96113e-05 -53 *3798:98 *5656:281 0.000126716 -54 *3798:98 *5662:111 8.67931e-05 -55 *3798:98 *5676:95 0.000137423 -56 *3798:99 *25042:B1 4.53515e-05 -57 *3798:99 *25059:B2 7.69703e-05 -58 *3798:99 *27430:A1 0.00112466 -59 *3798:99 *27784:B2 0.000103347 -60 *3798:99 *27787:B1 0.000378778 -61 *3798:99 *4149:40 5.65321e-05 -62 *3798:99 *4149:57 0.00117709 -63 *3798:99 *5676:87 0.000140146 -64 *25042:A1 *3798:98 6.54576e-05 -65 *25042:A1 *3798:99 1.02481e-05 -66 *25064:A2 *25064:A1 0.000146311 -67 *25070:C *3798:68 4.32957e-05 -68 *27423:B1 *3798:40 2.95801e-05 -69 *27427:A1 *3798:68 5.22909e-05 -70 *27427:A2 *3798:40 1.98839e-05 -71 *27427:A2 *3798:68 6.53966e-05 -72 *27427:B1 *3798:68 1.58163e-05 -73 *27427:C1 *3798:68 3.14163e-05 -74 *27428:A2 *3798:40 0.000469946 -75 *27428:C1 *3798:68 0.000339346 -76 *27434:B1 *3798:98 0.000138853 -77 *27436:B1 *25064:A1 0.00018642 -78 *27436:B1 *3798:98 0.000124005 -79 *27438:B1 *3798:40 0.000227108 -80 *27482:A1 *3798:15 0.000554338 -81 *27782:B1 *27782:B2 1.28809e-05 -82 *27791:A *3798:99 5.54755e-05 -83 *27791:B *3798:99 0.00018684 -84 *27791:D *3798:99 0.000162981 -85 *29086:A *3798:99 4.57685e-05 -86 *29783:A *27782:B2 5.58118e-05 -87 *30428:A *3798:15 0.000125724 -88 *890:19 *3798:99 0.00046434 -89 *1267:202 *25064:A1 0.000177821 -90 *1288:157 *27782:B2 5.33005e-05 -91 *1288:157 *3798:99 3.17148e-05 -92 *1378:21 *3798:68 0.00016509 -93 *1826:170 *3798:40 0.000145239 -94 *2786:83 *3798:40 0.000168939 -95 *2844:386 *3798:40 1.90936e-05 -96 *2844:399 *3798:68 0.000132869 -97 *2844:399 *3798:98 8.16231e-06 -98 *2871:393 *3798:15 0.000106544 -99 *2872:336 *3798:68 4.53834e-05 -100 *2883:168 *3798:7 2.59355e-05 -101 *2892:242 *3798:98 0.00040664 -102 *2895:281 *3798:98 0 -103 *3040:19 *3798:15 0.000667677 -104 *3153:284 *3798:68 4.67785e-06 -105 *3165:74 *3798:98 1.86215e-05 -106 *3165:74 *3798:99 0 -107 *3176:257 *3798:40 0.000385693 -108 *3206:256 *27782:B2 0.000320298 -109 *3206:256 *3798:99 0.00186802 -110 *3206:271 *27782:B2 5.20232e-05 -111 *3215:199 *3798:15 1.99675e-05 -112 *3215:199 *3798:40 0.000729036 -113 *3367:18 *3798:99 1.17921e-05 -114 *3694:41 *27782:B2 5.96516e-05 -115 *3720:28 *3798:98 0.000255942 -*RES -1 *28623:Q *3798:7 14.3357 -2 *3798:7 *29652:A 16.6571 -3 *3798:7 *3798:15 107.286 -4 *3798:15 *3798:40 41.5357 -5 *3798:40 *27428:A1 9.72857 -6 *3798:40 *3798:68 33.9463 -7 *3798:68 *25064:A1 22.427 -8 *3798:68 *3798:98 32.5756 -9 *3798:98 *3798:99 45.9643 -10 *3798:99 *27782:B2 31.3223 -*END - -*D_NET *3799 0.0297906 -*CONN -*I *27815:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27451:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30471:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25022:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28624:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *27815:B2 0.00114079 -2 *27451:A1 0.000181159 -3 *30471:A 5.45758e-05 -4 *25022:A1 0.000304079 -5 *28624:Q 0.000174552 -6 *3799:37 0.00179433 -7 *3799:36 0.00129519 -8 *3799:25 0.00283918 -9 *3799:10 0.00043002 -10 *3799:7 0.00226229 -11 *25022:A1 *27052:A1 0.000265453 -12 *25022:A1 *5585:105 0.000727789 -13 *25022:A1 *5678:176 4.2303e-05 -14 *25022:A1 *5678:181 0.000222666 -15 *27451:A1 *27451:B2 5.37446e-05 -16 *27815:B2 *5639:45 0.000198899 -17 *30471:A *27052:A1 0.000128496 -18 *3799:7 *5707:260 0.000178099 -19 *3799:10 *3800:27 0.000220455 -20 *3799:10 *4907:17 0.000218741 -21 *3799:25 *27649:D 0 -22 *3799:25 *3800:27 0.00321982 -23 *3799:25 *3800:29 0.000493897 -24 *3799:25 *3865:38 8.91638e-05 -25 *3799:25 *4907:17 0.000916504 -26 *3799:25 *5639:28 0.000761355 -27 *3799:25 *5645:39 0 -28 *3799:25 *5714:13 0.00046058 -29 *3799:36 *3865:38 0.000346823 -30 *3799:36 *3993:14 0.000208335 -31 *3799:36 *5423:8 0.000216755 -32 *3799:36 *5647:18 0.00170866 -33 *3799:36 *5647:39 0.000115467 -34 *3799:37 *27184:A1 0.000335215 -35 *3799:37 *27444:A1 7.83659e-05 -36 *3799:37 *27451:B2 0.0013112 -37 *3799:37 *3955:16 0.000253215 -38 *3799:37 *5639:45 0.000499788 -39 *24997:A *3799:25 0.000123295 -40 *27447:B2 *3799:36 0.000122091 -41 *27451:B1 *27451:A1 2.66572e-05 -42 *27459:A *27451:A1 3.06878e-06 -43 *27803:B1 *3799:36 0.000156771 -44 *27815:B1 *27815:B2 1.73088e-05 -45 *27816:B *27815:B2 2.67132e-05 -46 *27817:A *3799:36 0.000221365 -47 *27817:B *3799:25 0.000731703 -48 *1273:144 *27815:B2 8.80737e-05 -49 *1308:19 *3799:25 0.00061789 -50 *1308:19 *3799:37 0.00010115 -51 *2764:209 *27815:B2 0.000339182 -52 *2777:105 *25022:A1 0.000306715 -53 *2777:119 *25022:A1 0.000108525 -54 *2777:119 *30471:A 7.32272e-05 -55 *2790:8 *3799:25 0 -56 *2845:392 *27815:B2 0.00138581 -57 *2864:125 *3799:36 0.00026305 -58 *2895:256 *27815:B2 5.74569e-05 -59 *3018:22 *27815:B2 1.87916e-05 -60 *3185:24 *27815:B2 8.80737e-05 -61 *3206:199 *27815:B2 4.59512e-05 -62 *3360:11 *27815:B2 5.49995e-05 -63 *3773:24 *3799:36 0.000794358 -64 *3796:23 *3799:7 0.000270442 -*RES -1 *28624:Q *3799:7 18.8536 -2 *3799:7 *3799:10 7.35714 -3 *3799:10 *25022:A1 19.6929 -4 *3799:10 *30471:A 11.0857 -5 *3799:7 *3799:25 63.5714 -6 *3799:25 *3799:36 45.8571 -7 *3799:36 *3799:37 17.125 -8 *3799:37 *27451:A1 15.9964 -9 *3799:37 *27815:B2 26.4603 -*END - -*D_NET *3800 0.0772223 -*CONN -*I *30284:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27477:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27833:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *24991:A1 I *D sky130_fd_sc_hd__a221o_2 -*I *28625:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *30284:A 0 -2 *27477:A1 0 -3 *27833:B2 0.00103083 -4 *24991:A1 0.000210211 -5 *28625:Q 0.000491391 -6 *3800:69 0.00280524 -7 *3800:67 0.00195149 -8 *3800:64 0.00123147 -9 *3800:58 0.00222164 -10 *3800:46 0.00232532 -11 *3800:36 0.00145824 -12 *3800:29 0.00366597 -13 *3800:27 0.00487892 -14 *3800:15 0.00172332 -15 *3800:13 0.000491391 -16 *24991:A1 *24991:B2 1.24368e-05 -17 *24991:A1 *4907:17 0.000109747 -18 *24991:A1 *5694:183 6.15061e-05 -19 *27833:B2 *27782:A2 0.000133116 -20 *27833:B2 *27832:A2 1.08359e-05 -21 *27833:B2 *27832:B1 0.000911566 -22 *27833:B2 *27833:A1 1.24368e-05 -23 *27833:B2 *3811:32 6.95107e-05 -24 *27833:B2 *3876:62 0.000353744 -25 *27833:B2 *4019:14 0.000744576 -26 *27833:B2 *4147:20 0.000552972 -27 *27833:B2 *5638:195 4.11218e-05 -28 *3800:13 *27053:A1 4.13496e-05 -29 *3800:13 *28724:D 4.58194e-05 -30 *3800:13 *3826:26 0.000313241 -31 *3800:13 *3903:26 5.4771e-05 -32 *3800:13 *3904:21 0.000307523 -33 *3800:13 *5675:140 0.000193833 -34 *3800:13 *5752:69 2.90075e-05 -35 *3800:27 *3878:43 0 -36 *3800:27 *3993:14 0.000106304 -37 *3800:27 *4907:17 8.2395e-05 -38 *3800:27 *5678:176 0 -39 *3800:27 *5970:43 0 -40 *3800:29 *27444:A1 8.85664e-07 -41 *3800:29 *27451:B2 0.0015287 -42 *3800:29 *3955:16 0 -43 *3800:29 *5639:28 0 -44 *3800:29 *5639:45 3.43988e-06 -45 *3800:29 *5654:52 0.000282023 -46 *3800:46 *24970:B2 0.000469872 -47 *3800:46 *27469:B2 0.000105375 -48 *3800:46 *4125:53 0.000123153 -49 *3800:46 *4151:45 0.00204912 -50 *3800:46 *5647:41 9.97754e-05 -51 *3800:46 *5680:196 0.00011365 -52 *3800:58 *27469:B2 0.000133817 -53 *3800:58 *27834:A1 6.47471e-05 -54 *3800:58 *27835:B2 0.000112952 -55 *3800:58 *4112:11 0.000146474 -56 *3800:58 *4151:44 0.000864414 -57 *3800:58 *5603:24 4.88232e-05 -58 *3800:58 *5651:321 0.000645734 -59 *3800:58 *5662:76 0.000243098 -60 *3800:64 *26998:A1 0.000123395 -61 *3800:64 *3993:14 0.000181535 -62 *3800:64 *4123:33 0.0013352 -63 *3800:64 *5651:326 0.00119409 -64 *3800:64 *5680:168 0.000149911 -65 *3800:64 *5683:223 9.58181e-05 -66 *3800:67 *5651:326 0.000364159 -67 *3800:69 *27790:B1 4.21517e-05 -68 *3800:69 *28527:RESET_B 2.67767e-05 -69 *3800:69 *28679:RESET_B 0.000515506 -70 *3800:69 *3811:32 0.00026851 -71 *3800:69 *3811:44 0.000911076 -72 *3800:69 *4123:9 4.28628e-05 -73 *3800:69 *4147:20 1.76606e-05 -74 *3800:69 *4512:14 1.11654e-05 -75 *3800:69 *5642:126 0.000154703 -76 *3800:69 *5645:220 0.000106334 -77 *3800:69 *5651:326 0.00118544 -78 *3800:69 *5651:357 0.000513903 -79 *3800:69 *5662:111 0.000108912 -80 *3800:69 *5773:6 6.19675e-05 -81 *24991:A2 *24991:A1 0.000136724 -82 *25064:A1 *3800:64 4.038e-06 -83 *25064:A2 *3800:64 2.49484e-05 -84 *27114:S *27833:B2 1.94945e-05 -85 *27442:C1 *3800:29 4.32309e-05 -86 *27451:C1 *3800:29 2.37944e-05 -87 *27458:A2 *3800:29 4.32957e-05 -88 *27473:C1 *3800:29 0.000151136 -89 *27477:B2 *3800:36 0.000104831 -90 *27478:A1 *3800:36 0 -91 *27478:A2 *3800:36 7.13226e-06 -92 *27782:B2 *27833:B2 4.08938e-05 -93 *27789:A2 *3800:69 0.000211543 -94 *27789:B1 *3800:69 8.79284e-05 -95 *27790:C1 *3800:69 0.000120506 -96 *27791:B *3800:69 1.53262e-05 -97 *27792:B *27833:B2 7.25916e-05 -98 *27816:A *3800:29 2.94501e-05 -99 *27817:B *3800:29 0.000731703 -100 *27824:A2 *3800:46 0.000185045 -101 *27833:B1 *27833:B2 3.8274e-05 -102 *27833:C1 *27833:B2 5.83457e-05 -103 *29783:A *27833:B2 0.000248507 -104 *30133:A *3800:67 0.000306898 -105 *1250:243 *3800:29 0.00104343 -106 *1258:100 *3800:46 0.000281306 -107 *1267:202 *3800:64 0.0015834 -108 *1288:264 *3800:46 0.000500447 -109 *1308:19 *3800:27 0.000282163 -110 *1308:19 *3800:29 0.000581363 -111 *1317:13 *24991:A1 0.000122446 -112 *1317:13 *3800:27 0.000725341 -113 *2769:176 *27833:B2 4.1972e-05 -114 *2845:362 *3800:46 9.5485e-05 -115 *2855:285 *3800:29 0.000741767 -116 *2864:132 *3800:29 6.96705e-05 -117 *2872:186 *3800:29 0.000118585 -118 *2882:303 *3800:69 1.90936e-05 -119 *2885:66 *3800:29 1.84366e-05 -120 *2885:82 *3800:29 0.000863475 -121 *2885:91 *3800:29 0.00274609 -122 *2892:219 *3800:58 0.000158707 -123 *2892:220 *3800:58 0.00148269 -124 *2993:27 *3800:69 0.000160658 -125 *3017:10 *3800:29 0.0019589 -126 *3164:287 *3800:64 3.92854e-05 -127 *3164:304 *3800:69 0.000426336 -128 *3177:61 *3800:46 7.30957e-06 -129 *3177:61 *3800:58 1.4396e-05 -130 *3179:108 *3800:58 8.25843e-06 -131 *3206:207 *3800:29 1.06654e-05 -132 *3206:229 *3800:46 0.00153402 -133 *3206:229 *3800:58 0.000125414 -134 *3206:232 *3800:58 0.000225898 -135 *3206:232 *3800:64 1.27784e-05 -136 *3206:236 *3800:64 0.00074198 -137 *3206:249 *3800:67 2.30189e-05 -138 *3206:249 *3800:69 0.00188863 -139 *3558:223 *3800:29 2.06112e-05 -140 *3635:81 *24991:A1 0 -141 *3635:92 *3800:13 0.000454388 -142 *3744:20 *3800:69 0.000229384 -143 *3787:29 *3800:27 0.00101255 -144 *3787:29 *3800:29 0.00482959 -145 *3787:37 *3800:29 0.00182554 -146 *3787:44 *3800:29 2.23987e-05 -147 *3787:48 *3800:36 0.000233714 -148 *3798:98 *3800:64 0.000180508 -149 *3799:10 *3800:27 0.000220455 -150 *3799:25 *3800:27 0.00321982 -151 *3799:25 *3800:29 0.000493897 -*RES -1 *28625:Q *3800:13 29.925 -2 *3800:13 *3800:15 4.5 -3 *3800:15 *24991:A1 18.8357 -4 *3800:15 *3800:27 47.1696 -5 *3800:27 *3800:29 123.312 -6 *3800:29 *3800:36 9.89286 -7 *3800:36 *3800:46 49.9127 -8 *3800:46 *3800:58 40.0108 -9 *3800:58 *3800:64 48.625 -10 *3800:64 *3800:67 5.36607 -11 *3800:67 *3800:69 54.0089 -12 *3800:69 *27833:B2 42.1036 -13 *3800:36 *27477:A1 9.3 -14 *3800:13 *30284:A 9.3 -*END - -*D_NET *3801 0.0483574 -*CONN -*I *25404:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27858:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27497:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *29308:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28365:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25404:B2 0.000144643 -2 *27858:B2 0.00279841 -3 *27497:A1 6.0099e-05 -4 *29308:A 0.000636116 -5 *28365:Q 0.000198722 -6 *3801:109 0.00227144 -7 *3801:63 0.00312835 -8 *3801:56 0.00217297 -9 *3801:45 0.00444628 -10 *3801:21 0.00294749 -11 *3801:6 0.00292646 -12 *25404:B2 *29536:A 4.11725e-05 -13 *25404:B2 *4001:27 6.23674e-05 -14 *25404:B2 *5711:86 5.57723e-05 -15 *27858:B2 *3843:51 0.000132911 -16 *27858:B2 *3853:72 4.65519e-05 -17 *27858:B2 *4101:28 4.27842e-06 -18 *27858:B2 *4101:32 5.42384e-07 -19 *27858:B2 *5442:23 0 -20 *27858:B2 *5581:55 1.90936e-05 -21 *27858:B2 *5591:53 0.000153738 -22 *27858:B2 *5738:100 0 -23 *29308:A *29433:A 0.000188468 -24 *29308:A *4331:27 0.000409988 -25 *29308:A *5203:27 4.15526e-05 -26 *3801:6 *5710:206 3.10694e-05 -27 *3801:21 *25399:B2 0.000197225 -28 *3801:21 *25617:A0 0.00026589 -29 *3801:21 *3893:41 0.00164996 -30 *3801:21 *4257:15 0.000727783 -31 *3801:21 *4964:17 0.00115034 -32 *3801:21 *5011:12 0.000659563 -33 *3801:21 *5705:122 7.69776e-06 -34 *3801:21 *6225:17 0.000144773 -35 *3801:21 *6225:27 7.96978e-05 -36 *3801:45 *27505:A2 0.000286033 -37 *3801:45 *28221:RESET_B 0 -38 *3801:45 *5710:206 5.59783e-05 -39 *3801:56 *27505:A2 0.0002184 -40 *3801:56 *27510:A1 1.21859e-05 -41 *3801:56 *27512:A1 0.000162724 -42 *3801:56 *28357:D 0.000131331 -43 *3801:56 *3880:50 2.34204e-05 -44 *3801:56 *3908:66 0 -45 *3801:56 *4101:32 0.000153735 -46 *3801:56 *5013:14 0 -47 *3801:56 *5510:87 0.0011796 -48 *3801:56 *5510:98 0 -49 *3801:56 *5619:58 0.000133084 -50 *3801:56 *5738:59 5.10426e-05 -51 *3801:63 *4101:32 6.14702e-06 -52 *3801:63 *4131:36 0.000418449 -53 *3801:109 *25180:B2 0.000510402 -54 *3801:109 *25757:A0 0.000228389 -55 *3801:109 *27505:A1 0.000171177 -56 *3801:109 *4372:19 0.000136628 -57 *3801:109 *5016:17 0.000592551 -58 *3801:109 *5052:19 0.000157867 -59 *3801:109 *5052:36 0.000682579 -60 *3801:109 *5052:46 0.000249057 -61 *3801:109 *5618:142 0.000917992 -62 *3801:109 *5665:66 2.79405e-05 -63 *25178:A2 *3801:6 0 -64 *25398:A1 *3801:109 0.000124229 -65 *25906:A *3801:109 1.53472e-05 -66 *25923:S *3801:21 0.000108535 -67 *27497:A2 *27497:A1 0.000139208 -68 *27497:B1 *27497:A1 2.24718e-05 -69 *27498:B1 *27497:A1 0.000136727 -70 *27515:B *3801:56 0 -71 *27538:B1 *3801:63 0.000151452 -72 *27548:A1 *27858:B2 0.000194506 -73 *27858:C1 *27858:B2 7.49398e-05 -74 *27901:A1 *27858:B2 0.000115775 -75 *29176:A *3801:56 0.000607772 -76 *29176:A *3801:109 0.000563481 -77 *29211:A *3801:56 5.66157e-05 -78 *29401:A *29308:A 0.00045162 -79 *29995:A *3801:109 9.49939e-05 -80 *30000:A *29308:A 2.24195e-05 -81 *30033:A *3801:109 0.000136682 -82 *30034:A *3801:56 0.000124935 -83 *30034:A *3801:109 9.11102e-06 -84 *30314:A *3801:109 2.59355e-05 -85 *466:15 *3801:6 0 -86 *502:18 *29308:A 0.000281903 -87 *506:24 *29308:A 2.72602e-05 -88 *563:10 *3801:21 0.000167754 -89 *564:19 *3801:56 0.000149137 -90 *699:22 *3801:21 0.00232833 -91 *1363:23 *3801:21 0.000517573 -92 *1408:21 *3801:6 0 -93 *1408:21 *3801:21 0.000441731 -94 *1500:11 *3801:109 0.000550802 -95 *1617:17 *3801:56 0.000103363 -96 *1624:43 *25404:B2 7.70134e-06 -97 *1719:20 *25404:B2 4.26825e-05 -98 *1725:8 *27858:B2 2.06178e-05 -99 *1831:26 *3801:21 2.06178e-05 -100 *2845:45 *27497:A1 6.8377e-06 -101 *2850:56 *3801:56 9.60939e-05 -102 *2860:92 *3801:56 0.000846302 -103 *2860:92 *3801:63 0.00021559 -104 *2872:41 *27858:B2 0.00286618 -105 *2895:97 *27497:A1 9.66977e-05 -106 *2895:97 *27858:B2 1.94945e-05 -107 *2895:97 *3801:63 3.04901e-05 -108 *3060:9 *27497:A1 6.05161e-06 -109 *3082:13 *3801:45 2.30116e-06 -110 *3156:73 *27858:B2 1.53359e-05 -111 *3205:55 *27858:B2 0.000121337 -112 *3205:72 *27858:B2 1.09611e-05 -113 *3411:20 *27858:B2 0.000618748 -114 *3459:23 *25404:B2 7.14469e-05 -115 *3556:20 *27858:B2 4.65519e-05 -116 *3571:13 *3801:56 9.41642e-05 -117 *3661:31 *3801:109 0.000639394 -118 *3672:8 *3801:45 0.000284979 -119 *3778:44 *27858:B2 0 -120 *3792:51 *29308:A 0.000124122 -*RES -1 *28365:Q *3801:6 16.6571 -2 *3801:6 *3801:21 49.2478 -3 *3801:21 *29308:A 28.2554 -4 *3801:6 *3801:45 12.1868 -5 *3801:45 *3801:56 46.9028 -6 *3801:56 *3801:63 12.5714 -7 *3801:63 *27497:A1 15.9786 -8 *3801:63 *27858:B2 33.1945 -9 *3801:45 *3801:109 45.876 -10 *3801:109 *25404:B2 21.5902 -*END - -*D_NET *3802 0.0740266 -*CONN -*I *27883:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25288:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27521:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *29193:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28366:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27883:B2 0.00232622 -2 *25288:B2 0.0007671 -3 *27521:A1 0.00017602 -4 *29193:A 0.0020938 -5 *28366:Q 0.00011629 -6 *3802:78 0.00226935 -7 *3802:71 0.00239335 -8 *3802:58 0.00435031 -9 *3802:38 0.00718072 -10 *3802:26 0.00858245 -11 *3802:11 0.00492083 -12 *27883:B2 *25933:A1 3.63775e-05 -13 *27883:B2 *27883:A1 6.88854e-05 -14 *27883:B2 *5738:82 0.000544457 -15 *29193:A *25617:A0 0.000100552 -16 *29193:A *29231:A 0.00125253 -17 *29193:A *3892:18 0.000141101 -18 *29193:A *3893:41 0.00173122 -19 *29193:A *3893:52 0.000333849 -20 *29193:A *3983:24 0.000528729 -21 *29193:A *4965:16 2.30116e-06 -22 *29193:A *4977:22 4.6025e-06 -23 *29193:A *5011:12 0.00048159 -24 *29193:A *5698:106 0.000339573 -25 *3802:11 *5497:39 1.94879e-05 -26 *3802:26 *25109:A 0 -27 *3802:26 *3883:31 0.00112272 -28 *3802:38 *3883:31 0.00699874 -29 *3802:38 *3909:19 0.000135432 -30 *3802:38 *4116:51 0.000301033 -31 *3802:38 *4152:51 1.90936e-05 -32 *3802:38 *5634:71 0.000125162 -33 *3802:38 *5666:124 1.60636e-05 -34 *3802:58 *27929:B2 1.95732e-05 -35 *3802:58 *28379:RESET_B 1.09611e-05 -36 *3802:58 *3831:92 3.52976e-05 -37 *3802:58 *3897:36 0.000170955 -38 *3802:58 *3923:32 3.41984e-05 -39 *3802:58 *3948:41 0.000303304 -40 *3802:58 *4023:18 6.62299e-06 -41 *3802:58 *4100:22 4.33882e-05 -42 *3802:58 *4130:103 0 -43 *3802:58 *5685:333 0.000251581 -44 *3802:58 *5691:51 0.000177177 -45 *3802:58 *5718:206 3.10901e-05 -46 *3802:71 *25327:B2 0.00141145 -47 *3802:71 *5623:37 6.05161e-06 -48 *25137:B1 *3802:71 0.00140915 -49 *25138:C1 *3802:71 0.000155512 -50 *25138:C1 *3802:78 0.00114045 -51 *25327:A1 *25288:B2 0.000875641 -52 *27521:A2 *27521:A1 0.000300732 -53 *27521:A2 *3802:38 2.63501e-05 -54 *27521:B1 *27521:A1 0.000175892 -55 *27537:C *3802:38 0.00454985 -56 *27548:A1 *27883:B2 0.000345257 -57 *27576:C1 *27883:B2 5.99756e-05 -58 *27883:B1 *27883:B2 1.81866e-05 -59 *27891:C *27883:B2 0.000827633 -60 *27915:A *27521:A1 1.98839e-05 -61 *27915:A *3802:58 0.000177863 -62 *27929:A1 *3802:58 0 -63 *28953:A *3802:11 1.94879e-05 -64 *29199:A *29193:A 0.000679138 -65 *30015:A *29193:A 0.000234102 -66 *460:33 *29193:A 1.07402e-05 -67 *460:33 *3802:11 1.71799e-05 -68 *570:26 *29193:A 0.00020356 -69 *759:16 *29193:A 0.00042559 -70 *759:16 *3802:11 4.2629e-05 -71 *1326:97 *3802:78 0.000222885 -72 *1360:20 *3802:71 0.00019554 -73 *1362:90 *3802:58 3.23556e-05 -74 *1362:118 *27883:B2 5.3411e-05 -75 *1408:65 *25288:B2 0.00170965 -76 *1435:34 *3802:58 9.73745e-05 -77 *1452:57 *3802:11 1.58163e-05 -78 *1476:42 *3802:58 4.65519e-05 -79 *1476:57 *3802:58 5.21154e-05 -80 *1563:25 *3802:58 8.92267e-05 -81 *1689:8 *3802:71 0.000193833 -82 *1897:15 *27883:B2 0 -83 *2844:37 *27883:B2 0.000511246 -84 *2859:95 *3802:38 0.000774722 -85 *2866:149 *3802:58 7.27952e-05 -86 *2882:135 *3802:58 4.02426e-05 -87 *2882:142 *3802:58 0.000151476 -88 *2889:281 *3802:58 0.00113519 -89 *3089:13 *3802:38 2.06112e-05 -90 *3129:10 *27883:B2 0.000795448 -91 *3173:110 *3802:58 8.94556e-05 -92 *3184:169 *3802:58 3.79901e-06 -93 *3185:323 *3802:58 0.000364245 -94 *3185:341 *3802:58 4.97876e-05 -95 *3185:341 *3802:71 4.62811e-05 -96 *3209:102 *3802:58 0 -97 *3212:51 *27883:B2 0.000894481 -98 *3212:51 *3802:38 6.08726e-05 -99 *3214:92 *3802:58 0.000568232 -100 *3216:142 *3802:38 0.0001326 -101 *3568:24 *3802:11 8.6229e-06 -102 *3666:77 *3802:38 0.000145277 -103 *3706:45 *3802:78 0.00022117 -104 *3766:71 *3802:71 0.000119174 -105 *3792:80 *27883:B2 1.15058e-05 -106 *3793:40 *3802:58 0.00140425 -*RES -1 *28366:Q *3802:11 18.2497 -2 *3802:11 *29193:A 26.4691 -3 *3802:11 *3802:26 13.4721 -4 *3802:26 *3802:38 30.8192 -5 *3802:38 *27521:A1 13.1393 -6 *3802:38 *3802:58 49.7293 -7 *3802:58 *3802:71 37.0625 -8 *3802:71 *3802:78 34.3661 -9 *3802:78 *25288:B2 27.4964 -10 *3802:26 *27883:B2 40.096 -*END - -*D_NET *3803 0.0136715 -*CONN -*I *27605:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27328:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *25366:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29319:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28474:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *27605:B2 0.000132837 -2 *27328:B2 0 -3 *25366:B2 0.000249273 -4 *29319:A 0 -5 *28474:Q 0.000158153 -6 *3803:45 0.000664033 -7 *3803:40 0.00177238 -8 *3803:20 0.0016774 -9 *3803:11 0.000345098 -10 *25366:B2 *27286:B2 5.58941e-05 -11 *25366:B2 *27666:A2 1.08359e-05 -12 *25366:B2 *4089:12 0.000374781 -13 *27605:B2 *27605:A1 4.20878e-05 -14 *27605:B2 *27605:B1 0.000116229 -15 *3803:11 *3959:20 0.000145596 -16 *3803:20 *4096:32 0.000212742 -17 *3803:20 *5613:46 0 -18 *3803:40 *28478:D 0.000101631 -19 *3803:40 *28478:RESET_B 4.46032e-05 -20 *3803:40 *28494:D 0.000123288 -21 *3803:40 *4096:32 0.000304015 -22 *3803:40 *5613:46 0.000240502 -23 *3803:40 *5832:8 0.000766827 -24 *3803:40 *5833:190 0.000435366 -25 *3803:40 *5891:76 0 -26 *3803:45 *4088:23 8.86413e-05 -27 *25365:A2 *25366:B2 1.19096e-05 -28 *27328:A2 *3803:45 0.00094608 -29 *27605:A2 *3803:40 2.07158e-05 -30 *27666:B1 *25366:B2 3.63961e-05 -31 *30297:A *3803:40 0.000202607 -32 *1288:77 *25366:B2 2.84269e-05 -33 *1393:25 *3803:45 0.000126827 -34 *1672:16 *3803:11 0.000142357 -35 *1672:16 *3803:40 0.000141908 -36 *2759:69 *3803:11 0.000218409 -37 *2759:69 *3803:20 0.000141729 -38 *2759:80 *3803:40 0.00170003 -39 *2772:77 *3803:11 0.000220333 -40 *2772:77 *3803:20 0.000128523 -41 *2893:7 *3803:45 0.00105976 -42 *3215:254 *25366:B2 0.000144038 -43 *3738:28 *25366:B2 0.000339251 -*RES -1 *28474:Q *3803:11 22.7554 -2 *3803:11 *29319:A 9.3 -3 *3803:11 *3803:20 8.71429 -4 *3803:20 *3803:40 45.8214 -5 *3803:40 *3803:45 17.7857 -6 *3803:45 *25366:B2 26.3536 -7 *3803:45 *27328:B2 9.3 -8 *3803:20 *27605:B2 16.3 -*END - -*D_NET *3804 0.0274176 -*CONN -*I *27536:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27912:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25281:B2 I *D sky130_fd_sc_hd__a2bb2o_1 -*I *29206:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28227:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27536:B2 6.40731e-05 -2 *27912:A1 0.00011847 -3 *25281:B2 7.9054e-05 -4 *29206:A 0.00243678 -5 *28227:Q 0.0014812 -6 *3804:58 0.00179542 -7 *3804:17 0.0035472 -8 *3804:16 0.00264425 -9 *3804:14 0.0014812 -10 *25281:B2 *25281:A1_N 0.000142427 -11 *25281:B2 *29442:A 7.69776e-06 -12 *27536:B2 *5442:23 1.39841e-05 -13 *27912:A1 *3869:28 0.000221634 -14 *27912:A1 *5442:23 0.000112924 -15 *29206:A *25617:A0 0.000119326 -16 *29206:A *28150:D 0.000238784 -17 *29206:A *29180:A 0.000160526 -18 *29206:A *30087:A 0.000150431 -19 *29206:A *3892:18 0.000450539 -20 *29206:A *4240:37 0.000152363 -21 *29206:A *4276:17 0.00119395 -22 *29206:A *4331:27 0.000180951 -23 *29206:A *4900:26 8.96627e-06 -24 *29206:A *4977:22 0.000746382 -25 *29206:A *5026:35 0.000412713 -26 *29206:A *5078:20 0.000152217 -27 *29206:A *5203:27 0.000235845 -28 *3804:14 *25663:A0 0.000302844 -29 *3804:14 *25663:A1 0 -30 *3804:14 *28150:D 1.01912e-05 -31 *3804:14 *28152:D 0.000781046 -32 *3804:14 *28153:D 0.000181796 -33 *3804:14 *28834:D 0.000173967 -34 *3804:14 *3983:24 0.000171952 -35 *3804:14 *5490:39 0 -36 *3804:14 *5665:108 0.000107227 -37 *3804:14 *5685:151 0 -38 *3804:17 *30556:A 0.000104349 -39 *3804:17 *5074:15 3.60019e-05 -40 *3804:58 *25267:B2 8.974e-05 -41 *3804:58 *27156:A0 7.83659e-05 -42 *3804:58 *27532:B2 0 -43 *3804:58 *27911:A1 0.000388805 -44 *3804:58 *28019:A0 8.34352e-05 -45 *3804:58 *28833:D 5.93972e-05 -46 *3804:58 *3869:28 1.52978e-05 -47 *3804:58 *4129:35 0 -48 *3804:58 *5698:55 0.000145411 -49 *3804:58 *5731:14 0.000146858 -50 *3804:58 *5731:48 0.000203801 -51 *3804:58 *5731:57 0.000357204 -52 *25267:A2 *3804:58 0.000290986 -53 *25281:A2_N *29206:A 4.73891e-05 -54 *25281:A2_N *3804:17 0.00125323 -55 *27508:B1 *3804:58 0.000159444 -56 *27536:B1 *27536:B2 5.7661e-06 -57 *27536:C1 *27536:B2 4.86656e-05 -58 *27911:A2 *3804:58 0 -59 *27912:A2 *27912:A1 1.46576e-05 -60 *28834:CLK *3804:14 0.000167001 -61 *29199:A *3804:14 4.50033e-05 -62 *29208:A *29206:A 0.00127521 -63 *29401:A *29206:A 4.10843e-05 -64 *30571:A *3804:58 0.000158558 -65 *30573:A *3804:58 6.65398e-05 -66 *506:24 *3804:14 0.000119637 -67 *571:19 *29206:A 5.52302e-05 -68 *627:15 *25281:B2 0 -69 *1457:51 *3804:17 0.000164928 -70 *1457:51 *3804:58 0.000385496 -71 *2789:25 *3804:58 0 -72 *3666:98 *29206:A 2.48858e-05 -73 *3666:98 *3804:17 0.00110535 -74 *3666:98 *3804:58 0.000193281 -75 *3687:73 *3804:58 8.23597e-06 -*RES -1 *28227:Q *3804:14 49.3089 -2 *3804:14 *3804:16 3.41 -3 *3804:16 *3804:17 3.29 -4 *3804:17 *29206:A 46.5169 -5 *3804:17 *25281:B2 18.8439 -6 *3804:16 *3804:58 42.5067 -7 *3804:58 *27912:A1 12.3 -8 *3804:58 *27536:B2 10.8045 -*END - -*D_NET *3805 0.0749547 -*CONN -*I *27564:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27938:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25180:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30087:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28228:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *27564:B2 0.00139302 -2 *27938:A1 5.03033e-05 -3 *25180:A1 0 -4 *30087:A 0.00162619 -5 *28228:Q 6.22506e-05 -6 *3805:59 0.0109772 -7 *3805:47 0.00328507 -8 *3805:28 0.0140991 -9 *3805:8 0.00296864 -10 *27564:B2 *3961:45 2.84269e-05 -11 *27564:B2 *3984:33 0.00145271 -12 *27564:B2 *5686:35 0.00119613 -13 *27938:A1 *28260:D 2.09655e-05 -14 *27938:A1 *4159:56 4.65519e-05 -15 *27938:A1 *5007:31 4.8817e-05 -16 *30087:A *26835:A0 1.37292e-05 -17 *30087:A *28368:D 9.06633e-05 -18 *30087:A *28418:D 0.000723765 -19 *30087:A *29999:A 0.000104778 -20 *30087:A *30031:A 0.0014969 -21 *30087:A *4240:37 0.000254087 -22 *30087:A *4316:25 0.000223475 -23 *30087:A *4382:17 0.000157777 -24 *30087:A *4899:13 8.19176e-05 -25 *30087:A *4900:26 0.000207175 -26 *30087:A *4965:16 1.94879e-05 -27 *30087:A *5078:20 2.3695e-05 -28 *30087:A *5079:20 0.000680117 -29 *30087:A *5203:27 2.01233e-05 -30 *30087:A *5342:19 0.000993153 -31 *30087:A *5685:163 0.000757229 -32 *30087:A *5733:48 0.000385182 -33 *30087:A *5733:72 0 -34 *3805:28 *30031:A 7.0351e-05 -35 *3805:28 *5685:163 9.61096e-05 -36 *3805:47 *25132:B2 0.00081064 -37 *3805:47 *25939:A0 4.89019e-05 -38 *3805:47 *29180:A 0.000818971 -39 *3805:47 *29509:A 0.000653759 -40 *3805:47 *3999:8 0 -41 *3805:47 *3999:17 0 -42 *3805:47 *4336:11 0.00130847 -43 *3805:47 *5488:79 0.000189108 -44 *3805:47 *5493:14 0 -45 *3805:47 *5665:31 0.002472 -46 *3805:47 *5685:122 0.000136727 -47 *3805:47 *5737:77 9.54798e-06 -48 *3805:59 *25642:A0 0.00111959 -49 *3805:59 *27489:B2 0.000181799 -50 *3805:59 *27848:B2 0.000113806 -51 *3805:59 *28022:A 0.00125094 -52 *3805:59 *3814:28 0.000153094 -53 *3805:59 *3814:36 0.000311604 -54 *3805:59 *3815:38 0.000123625 -55 *3805:59 *3882:47 1.85416e-05 -56 *3805:59 *3895:58 0.000116039 -57 *3805:59 *3960:28 0 -58 *3805:59 *3983:52 0.000204524 -59 *3805:59 *4127:49 0.000177967 -60 *3805:59 *5457:21 0.000293419 -61 *3805:59 *5657:88 0.000607783 -62 *3805:59 *5706:38 0.000547097 -63 *3805:59 *5711:10 0.0001052 -64 *3805:59 *5711:39 0.000512264 -65 *3805:59 *5728:205 0.000103653 -66 *25662:S *3805:8 8.68976e-05 -67 *25874:S *27564:B2 0.00019413 -68 *25941:S *3805:47 7.29646e-05 -69 *27564:B1 *27564:B2 0.000128347 -70 *27569:B *27564:B2 0.000603693 -71 *27859:B1 *3805:59 0.000398787 -72 *27888:A2 *3805:59 0.000120376 -73 *27911:B1 *3805:59 0.00012384 -74 *27929:A1 *27564:B2 8.32122e-05 -75 *28382:CLK *3805:47 4.06087e-07 -76 *28832:CLK *3805:47 0.000141278 -77 *29206:A *30087:A 0.000150431 -78 *466:15 *3805:47 0.00316473 -79 *506:24 *3805:8 0 -80 *570:26 *30087:A 0 -81 *740:19 *27564:B2 0.000123671 -82 *758:26 *30087:A 3.32235e-05 -83 *759:16 *3805:47 0.000280219 -84 *1263:122 *3805:47 9.26187e-05 -85 *1327:116 *3805:47 0.00180446 -86 *1430:29 *3805:47 0.00220832 -87 *1452:35 *3805:47 5.58905e-06 -88 *1457:15 *3805:47 2.37575e-05 -89 *1457:35 *3805:47 3.80392e-05 -90 *1476:107 *3805:59 0.000264326 -91 *1619:25 *3805:59 4.37133e-05 -92 *1714:23 *3805:47 1.18927e-05 -93 *2834:97 *3805:59 0.000106304 -94 *2834:109 *3805:59 0.000119121 -95 *2874:101 *27564:B2 0.000231214 -96 *2874:101 *3805:59 4.66249e-05 -97 *2894:106 *27938:A1 4.15526e-05 -98 *2895:171 *27564:B2 7.02112e-05 -99 *3082:13 *3805:47 0.000953605 -100 *3156:124 *3805:59 0.00026173 -101 *3164:125 *3805:59 0.000111748 -102 *3165:297 *3805:59 0 -103 *3168:83 *3805:59 0.000630261 -104 *3196:88 *3805:59 0.000142339 -105 *3196:111 *3805:59 0.000153767 -106 *3212:68 *3805:59 0.000123402 -107 *3416:11 *3805:59 0.000230488 -108 *3454:18 *3805:59 0.000121549 -109 *3459:23 *3805:47 2.54941e-05 -110 *3649:79 *3805:47 2.58148e-05 -111 *3684:21 *27564:B2 0.000435589 -112 *3684:21 *3805:59 0.00462327 -113 *3697:71 *3805:59 0.000135968 -114 *3739:56 *3805:59 0.000121549 -*RES -1 *28228:Q *3805:8 18.3975 -2 *3805:8 *30087:A 40.3427 -3 *3805:8 *3805:28 5.95583 -4 *3805:28 *3805:47 42.6904 -5 *3805:47 *25180:A1 9.3 -6 *3805:28 *3805:59 32.2219 -7 *3805:59 *27938:A1 21.2834 -8 *3805:59 *27564:B2 27.3925 -*END - -*D_NET *3806 0.0583769 -*CONN -*I *27952:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25137:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27576:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30018:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28229:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *27952:A1 0.000415364 -2 *25137:B2 0 -3 *27576:B2 0.000276855 -4 *30018:A 0 -5 *28229:Q 0.000378312 -6 *3806:77 0.00149398 -7 *3806:74 0.0018745 -8 *3806:62 0.00409514 -9 *3806:61 0.00412546 -10 *3806:42 0.00124471 -11 *3806:39 0.00280776 -12 *3806:33 0.00369735 -13 *3806:25 0.00165821 -14 *3806:8 0.00306776 -15 *27952:A1 *4087:22 0.000957981 -16 *27952:A1 *4105:20 1.94879e-05 -17 *3806:8 *29015:A 9.90367e-05 -18 *3806:8 *5041:54 0.00010096 -19 *3806:8 *5125:19 0.00015383 -20 *3806:8 *5665:127 9.49984e-05 -21 *3806:8 *5685:205 0 -22 *3806:8 *5710:86 0 -23 *3806:25 *25281:A1_N 4.58194e-05 -24 *3806:25 *28225:D 6.86036e-05 -25 *3806:25 *29442:A 0.000143439 -26 *3806:25 *4261:17 0.00079498 -27 *3806:25 *5125:19 9.25014e-06 -28 *3806:25 *5624:107 8.43535e-06 -29 *3806:25 *5685:205 0 -30 *3806:25 *5698:106 1.81709e-05 -31 *3806:33 *25829:A0 0.000150262 -32 *3806:33 *25830:A0 0.000100823 -33 *3806:33 *28714:D 0.000148196 -34 *3806:33 *30556:A 0 -35 *3806:33 *4139:10 6.86479e-05 -36 *3806:33 *5710:78 0 -37 *3806:33 *5710:84 0 -38 *3806:33 *5902:28 0.00143975 -39 *3806:39 *4131:36 0.000100831 -40 *3806:39 *5510:98 4.78056e-05 -41 *3806:39 *5680:348 8.95656e-05 -42 *3806:39 *5680:352 0.00305647 -43 *3806:62 *25391:B2 2.15383e-05 -44 *3806:62 *27501:B2 0.000352544 -45 *3806:62 *27509:A1 0.000131161 -46 *3806:62 *27520:A1 3.36969e-05 -47 *3806:62 *27925:B2 0.000126439 -48 *3806:62 *3831:40 0.000134778 -49 *3806:62 *3841:18 0.000534968 -50 *3806:62 *3897:48 3.38861e-06 -51 *3806:62 *3908:85 0.00054976 -52 *3806:62 *5510:98 0.00010326 -53 *3806:62 *5653:165 7.57673e-05 -54 *3806:62 *5717:104 5.84158e-05 -55 *3806:62 *5717:117 0.000291254 -56 *3806:74 *28329:D 0.000137983 -57 *3806:77 *4087:22 2.35889e-05 -58 *25760:S *3806:8 0 -59 *27497:B1 *3806:61 0.000118144 -60 *27520:C1 *3806:62 0.000125731 -61 *27524:A2 *3806:62 4.21517e-05 -62 *27539:C1 *3806:62 8.90261e-05 -63 *27542:C1 *3806:62 0.000187044 -64 *27576:C1 *27576:B2 1.02504e-05 -65 *27580:B2 *27952:A1 0.000307434 -66 *27889:B1 *3806:33 0.000221163 -67 *28329:CLK *3806:74 0.000102327 -68 *568:21 *3806:25 9.88371e-05 -69 *627:15 *3806:25 0 -70 *1163:17 *3806:25 0.000905996 -71 *1362:172 *3806:25 1.90155e-05 -72 *1362:172 *3806:33 4.44254e-05 -73 *1362:174 *3806:33 0.000916297 -74 *1408:28 *27576:B2 0.000186647 -75 *1408:28 *3806:61 2.16719e-05 -76 *2845:127 *3806:62 0.000276883 -77 *2850:56 *3806:42 0.000646062 -78 *2853:114 *3806:77 0.00277437 -79 *2864:58 *3806:39 0.000305901 -80 *2865:67 *27576:B2 7.45939e-06 -81 *2866:149 *3806:62 0.000279007 -82 *2877:105 *3806:62 0.000299226 -83 *2880:217 *3806:61 0.000449039 -84 *2880:224 *3806:61 5.59013e-05 -85 *2888:106 *3806:62 0.000370631 -86 *2888:117 *3806:62 0.00071416 -87 *2888:121 *3806:62 0.00188335 -88 *2889:221 *3806:62 2.16391e-05 -89 *2889:231 *3806:62 0.000176987 -90 *2891:60 *3806:62 0.00105275 -91 *2892:40 *27576:B2 6.26774e-06 -92 *2895:97 *3806:62 0.000231195 -93 *2895:122 *3806:62 0.000647676 -94 *3050:10 *3806:62 0.000801739 -95 *3168:66 *3806:62 9.97552e-05 -96 *3168:153 *3806:62 0.000942759 -97 *3168:153 *3806:74 0.000635531 -98 *3184:169 *3806:62 0.00132071 -99 *3558:187 *3806:74 5.20359e-06 -100 *3571:13 *3806:42 0.000646062 -101 *3671:28 *3806:8 6.23918e-05 -102 *3671:28 *3806:33 7.69776e-06 -103 *3687:58 *3806:61 0.000800341 -104 *3739:81 *3806:74 6.57032e-05 -105 *3741:38 *3806:62 3.34366e-05 -106 *3741:38 *3806:74 0.000625791 -107 *3752:19 *27952:A1 0.000287856 -108 *3775:30 *27952:A1 0.000160614 -109 *3775:30 *3806:77 0.00282887 -110 *3778:25 *27576:B2 0 -111 *3778:25 *3806:61 0 -112 *3791:98 *3806:61 2.65133e-05 -*RES -1 *28229:Q *3806:8 21.3982 -2 *3806:8 *3806:25 42.0804 -3 *3806:25 *30018:A 9.3 -4 *3806:8 *3806:33 34.8304 -5 *3806:33 *3806:39 44.9643 -6 *3806:39 *3806:42 10.7857 -7 *3806:42 *27576:B2 18.9652 -8 *3806:42 *3806:61 25.1071 -9 *3806:61 *3806:62 98.7857 -10 *3806:62 *25137:B2 13.8 -11 *3806:62 *3806:74 24.0179 -12 *3806:74 *3806:77 37.8482 -13 *3806:77 *27952:A1 26.5232 -*END - -*D_NET *3807 0.0120099 -*CONN -*I *27347:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30511:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25340:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27689:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28475:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *27347:B2 0.000335462 -2 *30511:A 0 -3 *25340:B2 0.00169169 -4 *27689:A1 0.000233789 -5 *28475:Q 8.7467e-05 -6 *3807:49 0.000721788 -7 *3807:16 0.00233687 -8 *3807:15 0.000885183 -9 *25340:B2 *27345:A1 0.000588992 -10 *25340:B2 *27345:B2 0.000126757 -11 *27347:B2 *26885:A1 7.76892e-05 -12 *27347:B2 *27347:A1 8.25843e-06 -13 *27689:A1 *27689:A2 7.56621e-05 -14 *27689:A1 *3977:22 0.000463415 -15 *3807:16 *3977:22 0.00114761 -16 *3807:16 *5873:232 4.34472e-05 -17 *3807:49 *26885:A1 0.000303362 -18 *3807:49 *3977:22 0.000161673 -19 *25249:C1 *3807:16 5.84969e-05 -20 *25249:C1 *3807:49 0.000170923 -21 *25340:B1 *25340:B2 2.687e-05 -22 *25340:C1 *25340:B2 6.78955e-06 -23 *25345:B *25340:B2 2.40747e-05 -24 *27345:C1 *25340:B2 5.46436e-05 -25 *27347:A2 *27347:B2 1.46576e-05 -26 *27689:C1 *27689:A1 0.000135028 -27 *28547:CLK *3807:49 0.000175892 -28 *1266:64 *27689:A1 0.000126169 -29 *1266:64 *3807:16 0.000206706 -30 *1266:104 *3807:49 2.28499e-05 -31 *1507:25 *27689:A1 1.81887e-05 -32 *1568:15 *3807:15 3.12655e-05 -33 *1605:13 *25340:B2 0.000127424 -34 *2889:36 *27689:A1 0.000463415 -35 *2889:36 *3807:16 0.000969671 -36 *2892:197 *27347:B2 4.45974e-05 -37 *3704:14 *3807:16 4.31358e-05 -*RES -1 *28475:Q *3807:15 15.8536 -2 *3807:15 *3807:16 15.2054 -3 *3807:16 *27689:A1 21.3536 -4 *3807:16 *25340:B2 35.425 -5 *3807:15 *3807:49 12.6339 -6 *3807:49 *30511:A 9.3 -7 *3807:49 *27347:B2 14.55 -*END - -*D_NET *3808 0.00596506 -*CONN -*I *29204:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25255:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27705:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27370:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28476:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29204:A 0 -2 *25255:B2 0 -3 *27705:A1 9.22549e-05 -4 *27370:B2 0.000423685 -5 *28476:Q 0.000141757 -6 *3808:17 0.000898063 -7 *3808:13 0.000525282 -8 *3808:8 0.000284916 -9 *27370:B2 *4081:18 0.000176463 -10 *27370:B2 *5663:179 0.000861995 -11 *27705:A1 *27705:B1 1.58163e-05 -12 *27705:A1 *5639:204 4.01222e-05 -13 *3808:8 *28476:RESET_B 6.05161e-06 -14 *3808:8 *5702:29 0.000145753 -15 *3808:13 *25255:A1 1.89513e-07 -16 *3808:13 *5702:29 0.000352398 -17 *3808:17 *25255:A1 0.000136475 -18 *3808:17 *25255:A2 9.84751e-05 -19 *3808:17 *3899:24 8.85532e-05 -20 *25255:B1 *3808:17 1.25348e-05 -21 *27705:B2 *27705:A1 6.05161e-06 -22 *27705:B2 *3808:17 1.403e-05 -23 *1288:138 *3808:17 9.22103e-06 -24 *1288:149 *27705:A1 5.74499e-06 -25 *1288:149 *3808:17 3.20791e-05 -26 *1551:10 *3808:8 0.000137224 -27 *1551:10 *3808:13 0.000354046 -28 *2856:364 *27370:B2 5.52302e-05 -29 *3599:11 *3808:8 2.42516e-05 -30 *3691:18 *27370:B2 0 -31 *3691:20 *27370:B2 0.0010264 -*RES -1 *28476:Q *3808:8 16.6929 -2 *3808:8 *3808:13 9.30804 -3 *3808:13 *3808:17 6.60268 -4 *3808:17 *27370:B2 33.5857 -5 *3808:17 *27705:A1 10.9786 -6 *3808:13 *25255:B2 9.3 -7 *3808:8 *29204:A 13.8 -*END - -*D_NET *3809 0.0429857 -*CONN -*I *30085:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24856:A I *D sky130_fd_sc_hd__inv_2 -*I *25199:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27378:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27729:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28477:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *30085:A 0.000203576 -2 *24856:A 5.82798e-05 -3 *25199:A1 0.000694292 -4 *27378:B2 0.000541735 -5 *27729:A1 0.000125354 -6 *28477:Q 4.50915e-05 -7 *3809:36 0.001288 -8 *3809:32 0.00788285 -9 *3809:31 0.00734742 -10 *3809:20 0.00147211 -11 *3809:11 0.00172972 -12 *3809:7 0.000922663 -13 *25199:A1 *27920:A2 0.000199569 -14 *25199:A1 *27920:B1 5.33005e-05 -15 *25199:A1 *27920:B2 0.000515842 -16 *25199:A1 *5640:120 0.000353267 -17 *25199:A1 *5640:142 0.000248138 -18 *25199:A1 *5641:123 0.000306317 -19 *27378:B2 *3978:44 0.000805841 -20 *27378:B2 *4204:12 0.000802813 -21 *27378:B2 *5642:144 0.000244658 -22 *27729:A1 *5639:200 4.00679e-05 -23 *27729:A1 *5639:204 1.92789e-05 -24 *30085:A *4017:41 5.33005e-05 -25 *30085:A *5627:251 0.000258888 -26 *3809:7 *4030:27 0.00018077 -27 *3809:7 *5777:160 0.000178847 -28 *3809:11 *5627:251 0.000858216 -29 *3809:11 *5639:200 5.69386e-05 -30 *3809:20 *3848:14 9.90819e-06 -31 *3809:20 *3978:44 0.00105735 -32 *3809:20 *4017:44 0.00147454 -33 *3809:20 *4204:12 0.00257237 -34 *3809:32 *25203:A1 0.000535692 -35 *3809:32 *25366:A2 0.000549668 -36 *3809:32 *27200:A1 0.000502801 -37 *3809:32 *3898:32 0.000135028 -38 *3809:32 *3959:45 0.000348207 -39 *3809:32 *5587:87 0.000102257 -40 *3809:32 *5641:123 0.000353878 -41 *3809:32 *5643:250 9.41642e-05 -42 *3809:32 *5688:53 2.13481e-06 -43 *3809:36 *5641:123 0.00089436 -44 *25199:A2 *25199:A1 1.46576e-05 -45 *25203:B1 *3809:32 0.00134605 -46 *25206:A *3809:32 0.00233203 -47 *27733:D *3809:11 0.000303368 -48 *28675:CLK *3809:32 0.000139499 -49 *1246:126 *3809:32 0.000216755 -50 *1463:42 *24856:A 0.000148196 -51 *1519:5 *25199:A1 0.00013537 -52 *1519:5 *3809:32 5.09754e-05 -53 *1523:7 *3809:32 2.61593e-05 -54 *2763:185 *3809:11 0.00012401 -55 *2769:31 *3809:32 3.22692e-05 -56 *2771:65 *30085:A 5.33005e-05 -57 *2772:85 *24856:A 3.34366e-05 -58 *2773:155 *24856:A 5.84267e-05 -59 *2882:282 *27378:B2 3.63775e-05 -60 *2891:288 *27378:B2 0.00030431 -61 *3461:7 *25199:A1 3.00251e-05 -62 *3538:14 *30085:A 0.000388196 -63 *3538:14 *3809:11 0.000263862 -64 *3538:16 *3809:11 0.00023383 -65 *3718:79 *30085:A 0 -66 *3732:43 *3809:32 0.000595077 -*RES -1 *28477:Q *3809:7 15.5679 -2 *3809:7 *3809:11 20.9107 -3 *3809:11 *27729:A1 11.0679 -4 *3809:11 *3809:20 38.3214 -5 *3809:20 *27378:B2 30.5321 -6 *3809:20 *3809:31 4.5 -7 *3809:31 *3809:32 91.9196 -8 *3809:32 *3809:36 9.38393 -9 *3809:36 *25199:A1 24.6214 -10 *3809:36 *24856:A 20.2464 -11 *3809:7 *30085:A 19.6214 -*END - -*D_NET *3810 0.0256562 -*CONN -*I *27765:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30293:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27410:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25163:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *28478:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *27765:A1 0.000261267 -2 *30293:A 0.000311077 -3 *27410:B2 2.99238e-05 -4 *25163:A1 0.00583277 -5 *28478:Q 0 -6 *3810:81 0.00064421 -7 *3810:47 0.00734724 -8 *3810:29 0.00155942 -9 *3810:26 0.00131682 -10 *3810:4 0.00137365 -11 *25163:A1 *5656:137 0.000108889 -12 *25163:A1 *5656:153 0.000165754 -13 *27410:B2 *25147:B2 1.59373e-05 -14 *27765:A1 *27765:A2 1.73088e-05 -15 *27765:A1 *27765:B2 2.84269e-05 -16 *27765:A1 *5643:253 9.16387e-05 -17 *27765:A1 *5643:269 2.23592e-05 -18 *30293:A *26888:A0 0.00031216 -19 *30293:A *4096:32 0.000410515 -20 *3810:26 *25366:A2 2.55806e-05 -21 *3810:26 *27762:A2 0.000377539 -22 *3810:26 *27763:B2 1.62222e-05 -23 *3810:26 *4025:60 0 -24 *3810:26 *4096:32 3.55554e-05 -25 *3810:26 *4096:37 0.00019384 -26 *3810:26 *4135:45 6.02012e-06 -27 *3810:26 *4135:70 6.6427e-05 -28 *3810:26 *5613:22 0 -29 *3810:26 *5628:161 3.4323e-06 -30 *3810:26 *5891:76 0 -31 *3810:47 *25147:B2 3.04394e-05 -32 *3810:47 *27411:A1 0.000109104 -33 *3810:47 *27762:A2 0.000177313 -34 *3810:47 *30195:A 0.000185489 -35 *3810:47 *3875:118 8.69554e-05 -36 *3810:47 *3927:12 2.06178e-05 -37 *3810:47 *3927:44 2.11419e-05 -38 *3810:47 *4012:22 7.6644e-05 -39 *3810:47 *5656:153 9.60657e-05 -40 *25163:A2 *25163:A1 4.6025e-06 -41 *25346:A *25163:A1 6.12933e-05 -42 *27281:B1 *3810:47 0.000313647 -43 *27403:A1 *3810:26 1.31435e-05 -44 *27410:B1 *3810:26 0 -45 *27410:C1 *27410:B2 4.12498e-05 -46 *27410:C1 *3810:29 1.04232e-05 -47 *27411:C1 *27410:B2 8.60264e-05 -48 *27411:C1 *3810:47 1.24368e-05 -49 *27763:A2 *3810:26 2.08975e-05 -50 *27763:C1 *3810:26 0.000463213 -51 *27766:C *30293:A 0.000289918 -52 *27766:C *3810:26 0.000306083 -53 *1262:66 *3810:47 0.00022117 -54 *1276:47 *25163:A1 0.000508645 -55 *1276:76 *25163:A1 0 -56 *1410:71 *25163:A1 0 -57 *1435:143 *3810:47 0 -58 *1449:44 *25163:A1 0.000274352 -59 *1469:21 *3810:26 8.23597e-06 -60 *1483:68 *25163:A1 4.19535e-05 -61 *1509:16 *3810:47 0.000107644 -62 *1519:5 *3810:26 5.47731e-05 -63 *1519:13 *3810:26 0.000241976 -64 *1634:16 *25163:A1 1.80201e-05 -65 *1672:32 *3810:47 0.000884175 -66 *2848:300 *3810:26 0 -67 *2892:292 *3810:26 0 -68 *2893:7 *3810:26 0.000265212 -69 *2964:15 *3810:26 2.93456e-05 -70 *3562:16 *3810:26 0 -71 *3562:16 *3810:47 0 -72 *3784:36 *3810:47 0 -*RES -1 *28478:Q *3810:4 9.3 -2 *3810:4 *3810:26 49.3929 -3 *3810:26 *3810:29 4.92857 -4 *3810:29 *3810:47 46.8272 -5 *3810:47 *25163:A1 47.6842 -6 *3810:29 *27410:B2 10.6795 -7 *3810:4 *3810:81 1.16964 -8 *3810:81 *30293:A 36.0411 -9 *3810:81 *27765:A1 13.7732 -*END - -*D_NET *3811 0.0204452 -*CONN -*I *30587:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25051:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27788:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27434:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28479:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *30587:A 0 -2 *25051:A1 0.000117502 -3 *27788:A1 0.000497818 -4 *27434:B2 6.06673e-06 -5 *28479:Q 0.000649115 -6 *3811:44 0.00136958 -7 *3811:32 0.00245328 -8 *3811:24 0.00144241 -9 *3811:15 0.00138207 -10 *25051:A1 *25054:A1 6.20572e-05 -11 *25051:A1 *27782:A1 4.87854e-05 -12 *27788:A1 *27788:A2 4.5226e-05 -13 *27788:A1 *27792:A 0.000161689 -14 *27788:A1 *29089:A 3.19941e-05 -15 *27788:A1 *3876:38 2.30116e-06 -16 *27788:A1 *5595:69 0.000745266 -17 *27788:A1 *5873:281 0.000750392 -18 *3811:15 *26889:A1 1.90936e-05 -19 *3811:15 *27004:A1 1.90111e-05 -20 *3811:15 *28583:RESET_B 7.53238e-05 -21 *3811:15 *28764:D 0.000423753 -22 *3811:15 *28764:RESET_B 9.05388e-05 -23 *3811:15 *5825:8 3.86885e-05 -24 *3811:15 *5847:12 5.20564e-05 -25 *3811:24 *27781:B2 5.36889e-05 -26 *3811:24 *5680:89 4.38243e-05 -27 *3811:32 *25631:A1 0.000257507 -28 *3811:32 *26959:A1 0.000137561 -29 *3811:32 *27787:A1 0.000156125 -30 *3811:32 *3876:62 0.000297074 -31 *3811:32 *5651:357 0 -32 *3811:32 *5651:378 0.000267223 -33 *3811:44 *27790:B1 4.21517e-05 -34 *3811:44 *29052:A 9.6748e-05 -35 *3811:44 *4123:14 1.68854e-05 -36 *3811:44 *4123:20 0.00112969 -37 *3811:44 *5651:326 0.000166503 -38 *3811:44 *5651:357 0.000297276 -39 *25051:A2 *25051:A1 1.46576e-05 -40 *25055:A *25051:A1 0.000136951 -41 *27114:S *3811:32 2.11419e-05 -42 *27425:B1 *3811:44 4.63768e-05 -43 *27434:B1 *27434:B2 2.48736e-05 -44 *27781:C1 *3811:24 0.000125717 -45 *27782:B2 *3811:32 0.000136682 -46 *27782:C1 *3811:24 0.000142237 -47 *27789:B1 *3811:44 0.000200778 -48 *27791:A *3811:44 0.000137561 -49 *27833:B1 *3811:24 0.000249559 -50 *27833:B2 *3811:32 6.95107e-05 -51 *28573:CLK *3811:15 5.03772e-05 -52 *2759:31 *3811:15 0.000158586 -53 *2761:38 *3811:44 9.72157e-05 -54 *2771:36 *3811:15 0.000136951 -55 *2792:78 *3811:24 0.000261114 -56 *2993:27 *27434:B2 1.48716e-05 -57 *3165:74 *3811:44 0.0008446 -58 *3206:256 *3811:32 5.98831e-05 -59 *3206:271 *3811:24 9.22222e-06 -60 *3206:271 *3811:32 9.21418e-06 -61 *3335:14 *3811:44 0.000499036 -62 *3378:8 *27788:A1 0 -63 *3692:29 *3811:44 0.000158406 -64 *3694:32 *3811:24 0.000282042 -65 *3694:32 *3811:32 0.000366012 -66 *3731:23 *3811:15 0.000227013 -67 *3731:23 *3811:24 0.000281505 -68 *3731:25 *3811:24 0.000175892 -69 *3731:39 *3811:24 2.95726e-05 -70 *3783:24 *3811:15 0.000103435 -71 *3783:24 *3811:24 0.000748316 -72 *3800:69 *3811:32 0.00026851 -73 *3800:69 *3811:44 0.000911076 -*RES -1 *28479:Q *3811:15 33.2821 -2 *3811:15 *3811:24 25.1786 -3 *3811:24 *3811:32 25.4375 -4 *3811:32 *3811:44 47.2411 -5 *3811:44 *27434:B2 14.05 -6 *3811:32 *27788:A1 25.5618 -7 *3811:24 *25051:A1 16.3893 -8 *3811:15 *30587:A 9.3 -*END - -*D_NET *3812 0.0248447 -*CONN -*I *30395:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25012:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27809:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27454:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28480:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *30395:A 0.000900243 -2 *25012:B2 5.66883e-05 -3 *27809:A1 7.22682e-05 -4 *27454:B2 0.00166594 -5 *28480:Q 0.000935786 -6 *3812:31 0.00304535 -7 *3812:24 0.00219822 -8 *3812:14 0.00134455 -9 *3812:7 0.00223281 -10 *25012:B2 *25012:A1 0.000144565 -11 *27454:B2 *27454:A1 1.85392e-05 -12 *27454:B2 *27809:B2 0.000328969 -13 *27454:B2 *3916:39 4.75911e-05 -14 *27454:B2 *5639:61 6.58294e-06 -15 *27454:B2 *5936:25 0.000136892 -16 *27809:A1 *27809:B2 7.41329e-06 -17 *27809:A1 *4186:34 2.84026e-05 -18 *27809:A1 *5639:61 0 -19 *30395:A *26890:A1 0.000175892 -20 *30395:A *28561:D 0.000200003 -21 *30395:A *5528:47 0.00019384 -22 *3812:7 *28480:RESET_B 4.85567e-05 -23 *3812:14 *25012:A1 1.39137e-05 -24 *3812:14 *5528:47 0.000774315 -25 *3812:14 *5602:100 0.000123067 -26 *3812:24 *5386:12 0.000125162 -27 *3812:24 *5602:53 0.000126542 -28 *3812:24 *5602:100 0.000124022 -29 *3812:31 *26899:A1 0.000135028 -30 *3812:31 *27809:B2 0.000241819 -31 *3812:31 *28757:RESET_B 0.000528399 -32 *3812:31 *3826:57 0.0001859 -33 *3812:31 *5639:61 0.000170417 -34 *3812:31 *5958:11 5.56679e-05 -35 *25012:B1 *25012:B2 5.09596e-06 -36 *25012:B1 *3812:14 1.33343e-05 -37 *25020:B1 *3812:24 0.000423607 -38 *26980:S *3812:7 6.43196e-05 -39 *26981:S *3812:7 0.000213849 -40 *27454:C1 *27454:B2 7.26553e-05 -41 *27809:B1 *27809:A1 2.59355e-05 -42 *30398:A *3812:24 6.05161e-06 -43 *1261:101 *3812:14 4.5539e-05 -44 *1268:95 *30395:A 1.62539e-05 -45 *1270:94 *30395:A 6.54117e-05 -46 *1270:94 *3812:24 0.000966604 -47 *1273:144 *27454:B2 4.37712e-06 -48 *1274:172 *3812:14 7.81028e-05 -49 *1274:172 *3812:24 0.00148619 -50 *1320:15 *30395:A 0.000100823 -51 *1355:20 *27454:B2 0 -52 *1355:20 *3812:31 0 -53 *1864:150 *27454:B2 0.000144191 -54 *1864:150 *3812:31 0.000507737 -55 *2763:69 *30395:A 0.00020489 -56 *2775:50 *30395:A 0.000185304 -57 *2775:50 *3812:14 0.000778452 -58 *2776:139 *3812:14 1.53472e-05 -59 *2776:139 *3812:24 2.49484e-05 -60 *2882:185 *27454:B2 4.38265e-05 -61 *2888:177 *27809:A1 4.5534e-05 -62 *3177:59 *3812:31 8.39471e-05 -63 *3340:19 *27454:B2 0 -64 *3630:25 *3812:24 0.00144126 -65 *3682:21 *3812:24 7.64454e-05 -66 *3682:48 *3812:31 8.00766e-05 -67 *3748:37 *3812:24 0.000968319 -68 *3786:68 *30395:A 0.000219711 -69 *3787:37 *27809:A1 4.32258e-05 -*RES -1 *28480:Q *3812:7 24.6036 -2 *3812:7 *3812:14 22.0536 -3 *3812:14 *3812:24 49.25 -4 *3812:24 *3812:31 26.6891 -5 *3812:31 *27454:B2 23.2117 -6 *3812:31 *27809:A1 18.7546 -7 *3812:14 *25012:B2 15.5902 -8 *3812:7 *30395:A 37.6393 -*END - -*D_NET *3813 0.0155267 -*CONN -*I *30048:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27839:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *24992:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27469:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28481:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30048:A 2.23854e-05 -2 *27839:A1 0 -3 *24992:B2 0.000296024 -4 *27469:B2 0.000894278 -5 *28481:Q 0.000178833 -6 *3813:47 0.00280388 -7 *3813:19 0.00523019 -8 *3813:11 0.00202927 -9 *24992:B2 *27839:A2 3.49005e-05 -10 *24992:B2 *27839:B2 3.36e-06 -11 *24992:B2 *3917:27 2.84269e-05 -12 *24992:B2 *5642:82 0.000519379 -13 *24992:B2 *5910:114 0.0002005 -14 *27469:B2 *4151:44 2.13969e-05 -15 *27469:B2 *4151:45 2.40822e-05 -16 *27469:B2 *5603:17 0 -17 *27469:B2 *5603:24 0 -18 *3813:11 *26891:A1 0.000131659 -19 *3813:11 *28481:RESET_B 9.60875e-05 -20 *3813:11 *4147:106 5.52238e-05 -21 *3813:11 *5461:26 9.91086e-05 -22 *3813:11 *5603:17 4.13595e-05 -23 *3813:19 *28481:D 0.000219711 -24 *3813:19 *5603:17 0.000403136 -25 *3813:19 *5892:57 2.97812e-05 -26 *3813:47 *27839:B2 9.26676e-06 -27 *3813:47 *5639:114 9.3313e-05 -28 *3813:47 *5662:52 0.000397817 -29 *3813:47 *5863:163 4.21517e-05 -30 *24992:B1 *24992:B2 3.00808e-05 -31 *27469:B1 *27469:B2 5.44209e-05 -32 *27840:D *24992:B2 4.38522e-05 -33 *1258:123 *27469:B2 0 -34 *1270:88 *3813:19 0.000379682 -35 *1275:139 *3813:19 4.60522e-05 -36 *1334:19 *3813:19 0 -37 *2769:79 *3813:11 1.17968e-05 -38 *2774:180 *24992:B2 0.000148182 -39 *2892:209 *27469:B2 6.05161e-06 -40 *3164:287 *27469:B2 4.14858e-05 -41 *3177:61 *27469:B2 2.4237e-05 -42 *3206:229 *27469:B2 0.000107238 -43 *3206:232 *27469:B2 0.000179305 -44 *3761:18 *24992:B2 0.000309626 -45 *3800:46 *27469:B2 0.000105375 -46 *3800:58 *27469:B2 0.000133817 -*RES -1 *28481:Q *3813:11 21.9429 -2 *3813:11 *3813:19 25.8393 -3 *3813:19 *27469:B2 24.2407 -4 *3813:19 *3813:47 20.8286 -5 *3813:47 *24992:B2 28.8179 -6 *3813:47 *27839:A1 9.3 -7 *3813:11 *30048:A 9.72857 -*END - -*D_NET *3814 0.043733 -*CONN -*I *25394:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27488:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27864:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *29359:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28225:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25394:A1 0 -2 *27488:B2 1.62205e-05 -3 *27864:A1 0 -4 *29359:A 0.00117259 -5 *28225:Q 3.00516e-05 -6 *3814:69 0.00169757 -7 *3814:63 0.00360687 -8 *3814:51 0.00299651 -9 *3814:47 0.00268686 -10 *3814:36 0.00220528 -11 *3814:28 0.0018801 -12 *3814:6 0.00249334 -13 *27488:B2 *5712:117 2.84269e-05 -14 *29359:A *29015:A 0.000100184 -15 *29359:A *29180:A 0.000110946 -16 *29359:A *4257:15 0.000261583 -17 *29359:A *4336:11 0 -18 *29359:A *5052:46 0.00222646 -19 *29359:A *5125:19 0.000698937 -20 *3814:6 *5665:108 1.85762e-05 -21 *3814:6 *5691:284 1.08413e-05 -22 *3814:28 *28716:D 0.000679328 -23 *3814:28 *28836:D 7.41311e-05 -24 *3814:28 *3983:24 4.91171e-05 -25 *3814:28 *3983:52 0.000405551 -26 *3814:28 *5665:97 0 -27 *3814:28 *5665:101 0 -28 *3814:28 *5665:108 0.000189718 -29 *3814:28 *5691:284 7.52092e-05 -30 *3814:28 *5691:325 0.000124695 -31 *3814:28 *5711:39 0.00121771 -32 *3814:36 *27864:B2 0.000135667 -33 *3814:36 *3815:38 0.000147646 -34 *3814:36 *5711:39 0.00120172 -35 *3814:63 *27520:B2 0.000244022 -36 *3814:63 *28376:D 0.000298483 -37 *3814:63 *3828:36 0.000802773 -38 *3814:63 *3830:71 0.000416602 -39 *3814:63 *3958:29 0.000136958 -40 *3814:63 *3960:86 0.000177886 -41 *3814:63 *4100:26 0 -42 *3814:63 *6036:41 0.000928743 -43 *3814:69 *25394:B2 9.41642e-05 -44 *3814:69 *3832:37 9.93569e-05 -45 *25305:C1 *3814:69 0.00208699 -46 *25394:B1 *3814:69 2.59355e-05 -47 *27491:B2 *3814:47 0.00049791 -48 *27503:D *3814:51 0.000942446 -49 *27864:B1 *3814:36 6.46382e-05 -50 *27864:B1 *3814:47 1.38323e-05 -51 *27865:D *3814:36 0.000105423 -52 *27865:D *3814:47 0.000135028 -53 *27880:A2 *3814:69 0.000343521 -54 *27888:B1 *3814:28 5.58941e-05 -55 *572:19 *29359:A 1.54713e-05 -56 *1362:152 *3814:28 0.000196846 -57 *1407:29 *3814:69 8.55871e-05 -58 *1427:104 *3814:69 8.55871e-05 -59 *1430:36 *3814:28 4.93094e-05 -60 *1457:51 *3814:28 0.000112777 -61 *2834:68 *3814:36 6.35941e-05 -62 *2850:73 *3814:51 0.00130353 -63 *2850:87 *3814:51 0.000167961 -64 *2856:97 *3814:63 0.000128331 -65 *2860:132 *3814:63 0.000282721 -66 *2871:79 *3814:51 0.000165697 -67 *2871:81 *3814:51 0.000201539 -68 *2871:105 *3814:51 0.000220565 -69 *2871:105 *3814:63 0.00023455 -70 *2889:272 *3814:63 0.00034882 -71 *2891:60 *3814:63 0.000392913 -72 *2892:81 *3814:51 0.000141141 -73 *2892:81 *3814:63 2.72545e-05 -74 *2892:94 *3814:63 0.000334372 -75 *3065:10 *3814:47 0 -76 *3065:10 *3814:51 0.000570697 -77 *3070:14 *3814:47 0.000631432 -78 *3070:14 *3814:51 7.48409e-06 -79 *3156:74 *3814:47 0.00217462 -80 *3156:78 *3814:47 1.46576e-05 -81 *3173:94 *3814:69 0.000152996 -82 *3182:55 *3814:69 0.000322502 -83 *3196:88 *3814:36 0.000777478 -84 *3666:98 *29359:A 4.54529e-05 -85 *3805:59 *3814:28 0.000153094 -86 *3805:59 *3814:36 0.000311604 -*RES -1 *28225:Q *3814:6 14.3804 -2 *3814:6 *29359:A 25.0516 -3 *3814:6 *3814:28 41.2411 -4 *3814:28 *3814:36 24.8929 -5 *3814:36 *27864:A1 9.3 -6 *3814:36 *3814:47 35.8125 -7 *3814:47 *3814:51 30.8661 -8 *3814:51 *27488:B2 14.0857 -9 *3814:51 *3814:63 48.625 -10 *3814:63 *3814:69 43.5893 -11 *3814:69 *25394:A1 9.3 -*END - -*D_NET *3815 0.0290843 -*CONN -*I *27517:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25301:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27888:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *29231:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28226:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *27517:B2 0.000525569 -2 *25301:B2 0.000314718 -3 *27888:A1 0 -4 *29231:A 0.00149223 -5 *28226:Q 0 -6 *3815:59 0.00161468 -7 *3815:38 0.00207645 -8 *3815:26 0.00225308 -9 *3815:25 0.00198916 -10 *3815:4 0.00190094 -11 *27517:B2 *29001:A 8.78853e-05 -12 *27517:B2 *3831:28 0.000177821 -13 *27517:B2 *3895:29 5.33005e-05 -14 *27517:B2 *4153:32 9.55785e-05 -15 *27517:B2 *5711:7 5.33005e-05 -16 *29231:A *25761:A0 0.000189335 -17 *29231:A *25764:A0 0.000254031 -18 *29231:A *3892:18 9.58624e-05 -19 *29231:A *3893:41 0.00107439 -20 *29231:A *4240:37 2.04825e-05 -21 *29231:A *4968:26 0.000181796 -22 *29231:A *5778:31 0.000146444 -23 *3815:25 *5510:59 0.000186662 -24 *3815:25 *5710:103 1.69961e-05 -25 *3815:26 *25659:A0 0.000158847 -26 *3815:26 *27154:A0 0.000154762 -27 *3815:26 *4139:28 0.00038171 -28 *3815:26 *4801:19 0.000188053 -29 *3815:26 *5665:127 0 -30 *3815:26 *5691:284 3.15253e-05 -31 *3815:26 *5691:293 0.00055253 -32 *3815:26 *5710:76 0.00022984 -33 *3815:26 *5710:78 0.00037601 -34 *3815:26 *5710:84 0.000308071 -35 *3815:26 *5710:86 0.000308782 -36 *3815:26 *5710:92 0.000629171 -37 *3815:26 *5710:103 1.06328e-05 -38 *3815:26 *5711:39 0 -39 *3815:38 *5710:76 0 -40 *3815:38 *5711:39 3.56212e-05 -41 *3815:59 *25943:A0 0.000143188 -42 *3815:59 *28289:D 0.000429326 -43 *3815:59 *3895:29 3.17148e-05 -44 *3815:59 *5710:8 2.59093e-05 -45 *3815:59 *5710:13 5.20383e-05 -46 *3815:59 *5902:28 0.000661791 -47 *25301:A2 *25301:B2 0.000139907 -48 *25835:S *3815:59 0.000312729 -49 *27517:B1 *27517:B2 1.97695e-05 -50 *27849:B2 *3815:59 1.65036e-05 -51 *27890:C *3815:26 2.37761e-05 -52 *27890:C *3815:38 9.99989e-05 -53 *29193:A *29231:A 0.00125253 -54 *29199:A *29231:A 0.00172601 -55 *502:18 *29231:A 0.000137983 -56 *502:18 *3815:25 0.000393949 -57 *570:26 *29231:A 3.06343e-05 -58 *571:19 *29231:A 0.000186653 -59 *572:19 *29231:A 0 -60 *1362:174 *3815:59 0.00113672 -61 *1430:36 *3815:26 0.000221546 -62 *1457:80 *25301:B2 4.27338e-05 -63 *1476:107 *3815:38 0.00096062 -64 *1619:10 *25301:B2 1.74352e-05 -65 *1619:10 *3815:59 0.000296121 -66 *3196:88 *3815:38 0.000552693 -67 *3414:33 *3815:59 0.00130999 -68 *3653:14 *3815:26 0.000373423 -69 *3653:42 *29231:A 5.11018e-05 -70 *3805:59 *3815:38 0.000123625 -71 *3814:36 *3815:38 0.000147646 -*RES -1 *28226:Q *3815:4 9.3 -2 *3815:4 *29231:A 45.1772 -3 *3815:4 *3815:25 10.375 -4 *3815:25 *3815:26 39.8929 -5 *3815:26 *27888:A1 13.8 -6 *3815:26 *3815:38 22.4286 -7 *3815:38 *25301:B2 14.7821 -8 *3815:38 *3815:59 44.5536 -9 *3815:59 *27517:B2 18.0902 -*END - -*D_NET *3816 0.0207968 -*CONN -*I *27289:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27635:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *29102:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25387:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28626:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *27289:B2 0 -2 *27635:A1 0.00015167 -3 *29102:A 0 -4 *25387:B2 0.000256315 -5 *28626:Q 8.96829e-05 -6 *3816:55 0.00105071 -7 *3816:51 0.00173456 -8 *3816:43 0.00230576 -9 *3816:27 0.00196836 -10 *3816:7 0.000844119 -11 *25387:B2 *25231:A 2.06178e-05 -12 *25387:B2 *28398:RESET_B 2.06662e-05 -13 *25387:B2 *4873:22 8.6229e-06 -14 *25387:B2 *5618:85 7.16042e-05 -15 *27635:A1 *3834:33 0.000371331 -16 *3816:27 *24926:B 0.000232668 -17 *3816:27 *4873:22 2.11068e-05 -18 *3816:43 *24926:B 0.000364671 -19 *3816:43 *28344:D 3.95546e-05 -20 *3816:43 *29031:A 1.66706e-05 -21 *3816:43 *3972:38 0.000553067 -22 *3816:43 *4053:12 9.25014e-06 -23 *3816:43 *4053:24 0.000323064 -24 *3816:43 *5442:27 0.000263108 -25 *3816:43 *5483:145 4.63297e-05 -26 *3816:43 *5691:139 0.000626336 -27 *3816:43 *5869:30 0 -28 *3816:43 *5869:32 7.27647e-05 -29 *3816:51 *5483:145 4.22135e-06 -30 *3816:51 *5483:151 6.82091e-06 -31 *3816:51 *5634:52 0.000234176 -32 *3816:51 *5691:108 0.000114095 -33 *3816:55 *5483:151 0.000288251 -34 *3816:55 *5873:175 0.000200992 -35 *25264:A2 *3816:55 0.000354901 -36 *25266:B *3816:51 0.000149985 -37 *25387:B1 *25387:B2 1.68082e-05 -38 *25893:S *3816:43 0.000100009 -39 *27619:A2 *3816:51 0.00134592 -40 *28626:CLK *3816:7 2.44318e-05 -41 *29103:A *3816:27 0.000304394 -42 *29380:A *3816:43 4.65944e-05 -43 *1218:38 *3816:43 4.03514e-05 -44 *1218:38 *3816:55 0.00191651 -45 *1242:206 *3816:55 6.05161e-06 -46 *1250:163 *3816:27 1.94879e-05 -47 *1254:127 *25387:B2 2.83284e-06 -48 *1254:144 *25387:B2 4.41259e-05 -49 *1254:144 *3816:27 2.11068e-05 -50 *1257:120 *25387:B2 1.11775e-05 -51 *1257:120 *3816:7 7.41875e-05 -52 *1257:120 *3816:27 2.83437e-05 -53 *1418:15 *3816:43 0.0010689 -54 *1418:15 *3816:51 0.000836138 -55 *1581:11 *3816:43 0.000136661 -56 *1653:35 *3816:27 3.52444e-05 -57 *1653:35 *3816:43 0.000169274 -58 *1653:47 *27635:A1 0.000371331 -59 *1700:44 *3816:55 0.000767896 -60 *2776:65 *3816:43 6.81786e-05 -61 *2778:66 *3816:27 3.97677e-05 -62 *2780:72 *25387:B2 1.8245e-05 -63 *2852:191 *3816:55 0.000218409 -64 *2875:63 *3816:51 0.000109745 -65 *2888:59 *3816:51 9.7146e-05 -66 *3212:44 *3816:43 4.15161e-05 -*RES -1 *28626:Q *3816:7 14.9518 -2 *3816:7 *25387:B2 18.3179 -3 *3816:7 *3816:27 17.0179 -4 *3816:27 *29102:A 13.8 -5 *3816:27 *3816:43 49.5714 -6 *3816:43 *3816:51 30.2184 -7 *3816:51 *3816:55 32.1518 -8 *3816:55 *27635:A1 23.2821 -9 *3816:55 *27289:B2 9.3 -*END - -*D_NET *3817 0.0122757 -*CONN -*I *29316:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27543:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27900:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25279:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28377:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *29316:A 0 -2 *27543:A1 0.000308123 -3 *27900:B2 0.00107308 -4 *25279:A1 0.000113534 -5 *28377:Q 0.000293961 -6 *3817:39 0.00173457 -7 *3817:22 0.00149403 -8 *3817:11 0.00132109 -9 *25279:A1 *25279:B2 5.33005e-05 -10 *27900:B2 *27897:A2 6.57032e-05 -11 *27900:B2 *5651:47 0.000126408 -12 *3817:11 *5717:81 8.26339e-05 -13 *3817:22 *25279:B2 0.000428955 -14 *3817:22 *3843:51 6.91613e-06 -15 *3817:22 *5717:81 9.75679e-05 -16 *3817:39 *25279:B2 5.58941e-05 -17 *3817:39 *27897:B2 0.000236208 -18 *27541:A2 *3817:22 2.84026e-05 -19 *27541:B1 *3817:22 5.47861e-05 -20 *27541:B2 *3817:22 0.00016345 -21 *27866:D *3817:22 9.41642e-05 -22 *27867:A1 *3817:11 9.58632e-05 -23 *27867:A1 *3817:22 9.58689e-05 -24 *27897:A1 *27543:A1 0.000243859 -25 *27897:A1 *27900:B2 9.71197e-05 -26 *27897:A1 *3817:39 1.41029e-05 -27 *27903:B1 *27900:B2 0.000342302 -28 *27903:B2 *27900:B2 5.47476e-05 -29 *27903:C1 *27900:B2 3.72712e-05 -30 *1408:28 *27543:A1 3.60434e-05 -31 *1408:28 *3817:22 0.000199118 -32 *1408:28 *3817:39 0.000451519 -33 *1452:114 *25279:A1 1.40415e-05 -34 *2853:66 *27543:A1 0.000346051 -35 *2855:45 *3817:39 0.000181743 -36 *2859:38 *27543:A1 0.000598971 -37 *2871:39 *3817:11 0.00020078 -38 *2888:71 *27900:B2 1.38106e-05 -39 *3096:15 *3817:22 1.10722e-05 -40 *3096:15 *3817:39 0.000246913 -41 *3129:10 *3817:22 0.000253504 -42 *3145:14 *3817:11 0.000304116 -43 *3209:85 *3817:39 5.01876e-06 -44 *3662:19 *3817:11 0.00030583 -45 *3736:51 *3817:39 0 -46 *3791:98 *3817:22 4.13001e-05 -47 *3792:80 *3817:22 0.000251986 -*RES -1 *28377:Q *3817:11 25.2911 -2 *3817:11 *3817:22 31.7321 -3 *3817:22 *25279:A1 15.5857 -4 *3817:22 *3817:39 10.125 -5 *3817:39 *27900:B2 27.233 -6 *3817:39 *27543:A1 23.0321 -7 *3817:11 *29316:A 9.3 -*END - -*D_NET *3818 0.014739 -*CONN -*I *27926:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25213:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27554:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30279:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28378:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27926:B2 5.75637e-05 -2 *25213:B2 0.00090218 -3 *27554:A1 0 -4 *30279:A 0.00110921 -5 *28378:Q 0 -6 *3818:43 0.00193249 -7 *3818:32 0.00101841 -8 *3818:15 0.00231718 -9 *3818:4 0.00143523 -10 *25213:B2 *25213:A1 0.000483813 -11 *25213:B2 *27926:A1 8.25843e-06 -12 *27926:B2 *27926:A1 5.52238e-05 -13 *30279:A *28378:D 0.000149797 -14 *30279:A *28378:RESET_B 1.16499e-05 -15 *30279:A *5614:100 0.000247461 -16 *3818:32 *27568:A1 0.000175082 -17 *3818:32 *3832:43 0.000260635 -18 *3818:32 *3923:32 0.000260635 -19 *3818:32 *5687:29 0.000610573 -20 *3818:32 *5694:31 0.000381858 -21 *3818:43 *25669:A1 0.000124412 -22 *3818:43 *28158:D 0.000251683 -23 *3818:43 *30226:A 0.000178425 -24 *3818:43 *5629:89 0.000333017 -25 *25213:B1 *25213:B2 0.000131374 -26 *27568:A2 *3818:32 1.33343e-05 -27 *27926:A2 *25213:B2 2.79421e-05 -28 *27926:B1 *25213:B2 6.57032e-05 -29 *27926:B1 *27926:B2 5.33005e-05 -30 *28158:CLK *30279:A 2.59355e-05 -31 *28158:CLK *3818:15 0.000185006 -32 *28158:CLK *3818:43 2.59355e-05 -33 *510:26 *3818:43 0.000182747 -34 *1362:90 *3818:43 2.33614e-05 -35 *1407:13 *25213:B2 6.57032e-05 -36 *1603:15 *3818:32 0.000185006 -37 *3185:320 *3818:43 0.000331429 -38 *3209:102 *3818:32 0.000102056 -39 *3646:49 *3818:32 0.000102184 -40 *3666:52 *3818:43 0.000302665 -41 *3765:30 *3818:32 0.000610573 -*RES -1 *28378:Q *3818:4 9.3 -2 *3818:4 *30279:A 23.0143 -3 *3818:4 *3818:15 3.82143 -4 *3818:15 *3818:32 49.5179 -5 *3818:32 *27554:A1 9.3 -6 *3818:15 *3818:43 26.7679 -7 *3818:43 *25213:B2 22.733 -8 *3818:43 *27926:B2 10.675 -*END - -*D_NET *3819 0.0180331 -*CONN -*I *30332:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27946:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27580:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25088:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28379:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30332:A 1.71915e-05 -2 *27946:A1 0.00102143 -3 *27580:A1 0.000186939 -4 *25088:A1 5.89528e-05 -5 *28379:Q 5.62904e-05 -6 *3819:41 0.0030596 -7 *3819:16 0.00248426 -8 *3819:5 0.000647567 -9 *27946:A1 *27946:B2 0.000222011 -10 *27946:A1 *27953:A1 5.33005e-05 -11 *27946:A1 *27953:A2 0.000199058 -12 *30332:A *28379:RESET_B 4.17433e-05 -13 *3819:5 *28379:RESET_B 0.000180079 -14 *3819:16 *25935:A1 8.6229e-06 -15 *3819:16 *3832:43 0.00016853 -16 *3819:16 *4023:18 1.18544e-05 -17 *3819:16 *4126:85 0.00013721 -18 *3819:16 *5691:61 6.57032e-05 -19 *3819:16 *5718:206 0.000600957 -20 *3819:41 *25091:B2 8.70269e-05 -21 *3819:41 *27592:C1 0.00143587 -22 *3819:41 *3910:73 1.02849e-05 -23 *3819:41 *3936:51 9.22109e-05 -24 *3819:41 *4077:34 2.78304e-05 -25 *3819:41 *4105:23 4.98422e-05 -26 *3819:41 *4105:32 9.23736e-05 -27 *3819:41 *5718:206 5.74581e-06 -28 *25088:B1 *25088:A1 7.43578e-06 -29 *27580:A2 *27580:A1 1.46576e-05 -30 *27592:B1 *3819:41 2.52787e-05 -31 *27946:A2 *27946:A1 5.04841e-06 -32 *27954:C1 *27946:A1 0.000175892 -33 *1423:54 *3819:41 2.24813e-05 -34 *1458:10 *25088:A1 1.39726e-05 -35 *1476:209 *3819:41 0.00145482 -36 *1476:212 *27946:A1 0.00019615 -37 *1563:26 *3819:16 5.36308e-05 -38 *1685:22 *3819:41 0.00143491 -39 *1730:19 *3819:16 0.000588985 -40 *3197:99 *27946:A1 0.000177545 -41 *3197:99 *3819:41 6.80131e-05 -42 *3209:117 *3819:16 7.42343e-05 -43 *3209:117 *3819:41 0.00153711 -44 *3702:39 *27580:A1 5.22465e-05 -45 *3702:39 *27946:A1 1.40415e-05 -46 *3749:108 *30332:A 2.59355e-05 -47 *3749:108 *3819:5 8.93791e-05 -48 *3754:16 *3819:41 0.000359942 -49 *3765:30 *27946:A1 0.000194435 -50 *3766:93 *3819:16 0.000424461 -*RES -1 *28379:Q *3819:5 11.0679 -2 *3819:5 *3819:16 29.875 -3 *3819:16 *25088:A1 14.7643 -4 *3819:16 *3819:41 44.6126 -5 *3819:41 *27580:A1 11.8893 -6 *3819:41 *27946:A1 35.8 -7 *3819:5 *30332:A 9.83571 -*END - -*D_NET *3820 0.078088 -*CONN -*I *27340:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *27677:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *29877:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25310:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28627:Q O *D sky130_fd_sc_hd__dfstp_4 -*CAP -1 *27340:A1 3.26202e-05 -2 *27677:A1 0.000569694 -3 *29877:A 0.000211768 -4 *25310:A1 3.19283e-05 -5 *28627:Q 0.000166519 -6 *3820:106 0.00078736 -7 *3820:105 0.00139691 -8 *3820:98 0.00198885 -9 *3820:91 0.00261484 -10 *3820:87 0.0028093 -11 *3820:79 0.00350801 -12 *3820:77 0.00321679 -13 *3820:69 0.00262265 -14 *3820:38 0.00196481 -15 *3820:25 0.00324886 -16 *3820:18 0.00211449 -17 *3820:10 0.00101027 -18 *3820:8 0.00210894 -19 *27340:A1 *3977:16 0.000103267 -20 *27340:A1 *5629:166 0.000103267 -21 *27677:A1 *27677:B2 6.05161e-06 -22 *27677:A1 *5632:131 9.19433e-05 -23 *27677:A1 *5632:161 2.3093e-05 -24 *27677:A1 *5649:137 0.000220471 -25 *27677:A1 *5649:151 1.21258e-05 -26 *29877:A *27056:A1 1.98839e-05 -27 *3820:8 *28627:D 9.23798e-05 -28 *3820:8 *29235:A 5.71472e-05 -29 *3820:8 *6319:25 0 -30 *3820:18 *27056:A1 1.16078e-05 -31 *3820:18 *5687:153 1.02821e-05 -32 *3820:25 *25402:B2 0.000389285 -33 *3820:25 *29235:A 0.000121642 -34 *3820:25 *29268:A 0.000473265 -35 *3820:25 *3894:11 5.88068e-05 -36 *3820:25 *5039:11 0.00105202 -37 *3820:25 *5624:132 0.000163406 -38 *3820:38 *25941:A0 0.000130326 -39 *3820:38 *28382:SET_B 3.81765e-05 -40 *3820:38 *29536:A 5.33005e-05 -41 *3820:38 *30022:A 5.15644e-05 -42 *3820:38 *3999:8 5.24083e-05 -43 *3820:38 *3999:17 0.000663396 -44 *3820:38 *5037:11 0.000489484 -45 *3820:38 *5039:11 0.000806982 -46 *3820:38 *5488:79 0 -47 *3820:38 *5619:31 0.000358442 -48 *3820:38 *5624:132 0.000112282 -49 *3820:38 *5665:24 1.02941e-05 -50 *3820:38 *5737:77 0.000200803 -51 *3820:69 *28739:RESET_B 0.000169183 -52 *3820:69 *5486:20 0 -53 *3820:69 *5599:232 4.37485e-06 -54 *3820:69 *5602:21 5.30087e-05 -55 *3820:69 *5700:149 0.000230248 -56 *3820:69 *5700:159 0.000386138 -57 *3820:69 *5750:47 0.00021127 -58 *3820:69 *5757:48 0.000144387 -59 *3820:69 *6225:102 0 -60 *3820:69 *6319:25 0 -61 *3820:77 *3847:25 0 -62 *3820:77 *5700:122 0.000175204 -63 *3820:79 *27356:A1 0.000241188 -64 *3820:79 *28652:RESET_B 2.11419e-05 -65 *3820:79 *3821:19 0.00024928 -66 *3820:79 *3847:64 0.000428625 -67 *3820:79 *3872:43 7.19796e-05 -68 *3820:79 *4133:108 7.69776e-06 -69 *3820:79 *5605:56 5.7836e-06 -70 *3820:79 *5629:132 0.000278315 -71 *3820:79 *5646:17 8.77729e-05 -72 *3820:79 *5657:198 0 -73 *3820:79 *5687:114 0.000137113 -74 *3820:79 *5700:118 2.80507e-05 -75 *3820:79 *5700:122 0.000318646 -76 *3820:87 *26930:A1 7.5779e-05 -77 *3820:87 *3847:64 0.000308154 -78 *3820:87 *3912:66 0.000649627 -79 *3820:87 *3965:85 0.000135028 -80 *3820:87 *5609:137 0.000759166 -81 *3820:87 *5644:172 0.000145625 -82 *3820:87 *5657:198 0.00142078 -83 *3820:87 *5657:206 2.17146e-05 -84 *3820:91 *27366:B2 2.69614e-05 -85 *3820:91 *27686:A2 1.17968e-05 -86 *3820:91 *27694:C1 2.74787e-05 -87 *3820:91 *3821:19 1.18451e-05 -88 *3820:91 *3847:72 0.000392621 -89 *3820:91 *3899:40 0 -90 *3820:91 *3912:58 5.41797e-06 -91 *3820:91 *3912:66 0.000159539 -92 *3820:91 *5596:26 1.90936e-05 -93 *3820:91 *5641:38 0.000111921 -94 *3820:91 *5657:232 5.24029e-05 -95 *3820:91 *5667:40 0.000157707 -96 *3820:91 *5748:28 7.6644e-05 -97 *3820:98 *3912:35 0.000154904 -98 *3820:98 *3912:58 0.00137147 -99 *3820:98 *4069:89 0.000302665 -100 *3820:105 *3847:87 0.00317344 -101 *3820:105 *5582:57 0.00035298 -102 *3820:105 *5582:70 4.80729e-05 -103 *3820:105 *5702:84 0.00012384 -104 *3820:106 *5649:137 7.27549e-05 -105 *25124:B1 *3820:69 6.47173e-05 -106 *25219:B1 *3820:69 0.00038574 -107 *25219:C1 *3820:69 0.000241935 -108 *25321:A2 *3820:79 6.77303e-05 -109 *25941:S *3820:38 9.18347e-05 -110 *27356:A2 *3820:79 2.11419e-05 -111 *27365:A1 *3820:91 4.59043e-05 -112 *27365:B1 *3820:91 9.32596e-05 -113 *27365:B2 *3820:87 0.000219687 -114 *27365:B2 *3820:91 0.000227012 -115 *27682:B *27677:A1 0.000135028 -116 *27686:A1 *3820:91 0.000168224 -117 *27692:A *3820:91 0 -118 *27694:B1 *3820:91 0.000124892 -119 *28382:CLK *3820:38 0.000193421 -120 *28620:CLK *3820:79 7.30957e-06 -121 *28622:CLK *3820:18 9.91086e-05 -122 *28752:CLK *3820:98 0.000194325 -123 *28789:D *3820:69 3.02689e-05 -124 *28800:CLK *3820:79 2.95297e-05 -125 *28800:D *3820:79 7.14469e-05 -126 *28874:A *3820:69 0.000105828 -127 *28874:A *3820:77 8.85664e-07 -128 *29878:A *3820:18 0.000517003 -129 *30017:A *3820:25 0.000266486 -130 *1225:23 *3820:91 2.04825e-05 -131 *1227:52 *3820:25 0.000305472 -132 *1227:68 *3820:25 5.33005e-05 -133 *1227:68 *3820:38 6.42095e-05 -134 *1228:61 *3820:79 0.00158376 -135 *1228:73 *3820:77 0.000270202 -136 *1228:73 *3820:79 0.00179155 -137 *1239:19 *3820:38 0.000208065 -138 *1245:51 *3820:79 0.000597891 -139 *1245:68 *3820:69 0.000462489 -140 *1245:72 *3820:8 0 -141 *1245:72 *3820:69 0 -142 *1246:62 *3820:79 0.000293639 -143 *1250:96 *3820:69 0.000144834 -144 *1250:232 *3820:87 0.000161013 -145 *1267:76 *3820:105 0.000103974 -146 *1274:99 *3820:98 0.000301016 -147 *1277:70 *3820:69 6.92148e-06 -148 *1278:23 *3820:25 0.000807614 -149 *1285:26 *3820:91 0 -150 *1286:26 *3820:105 0.000104056 -151 *1290:31 *3820:79 0.00167587 -152 *1395:35 *3820:38 0.000527645 -153 *1452:13 *25310:A1 5.33005e-05 -154 *1452:27 *25310:A1 5.33005e-05 -155 *1471:12 *3820:38 5.74499e-06 -156 *1500:10 *3820:38 7.6644e-05 -157 *1527:39 *3820:87 0.000139907 -158 *1550:34 *3820:69 0.000137788 -159 *1624:35 *3820:25 0.00345321 -160 *1642:37 *3820:91 0.00011069 -161 *1650:16 *3820:91 1.856e-05 -162 *1717:25 *3820:18 0.00018077 -163 *1718:12 *3820:25 0.000429072 -164 *2763:82 *3820:91 4.25327e-05 -165 *2776:48 *3820:69 0.000125977 -166 *2778:35 *29877:A 0.000307336 -167 *2778:35 *3820:18 7.04471e-05 -168 *2778:35 *3820:69 1.49749e-05 -169 *2792:145 *3820:69 1.94945e-05 -170 *2839:71 *3820:18 5.01213e-05 -171 *2848:33 *3820:79 0.000132702 -172 *2860:184 *3820:87 1.90936e-05 -173 *2874:20 *3820:77 9.56491e-05 -174 *2880:178 *3820:91 3.38578e-05 -175 *2886:241 *3820:91 0 -176 *2888:212 *3820:91 0 -177 *2889:28 *3820:79 0.000242783 -178 *2889:48 *3820:105 0.000159968 -179 *2895:210 *3820:79 0.000325848 -180 *3178:43 *3820:69 1.01912e-05 -181 *3205:210 *3820:87 0.000137276 -182 *3215:44 *3820:79 0.000174625 -183 *3221:15 *3820:79 0.000491673 -184 *3568:93 *25310:A1 9.41642e-05 -185 *3569:8 *3820:77 0.000160888 -186 *3569:26 *3820:77 0.000226029 -187 *3572:34 *3820:69 0.00077475 -188 *3572:34 *3820:77 6.78949e-05 -189 *3574:111 *3820:79 0.000182185 -190 *3623:12 *3820:98 2.79625e-05 -191 *3643:26 *3820:79 0.000118189 -192 *3649:79 *3820:38 0.000139189 -193 *3664:48 *3820:91 4.28365e-05 -194 *3664:57 *3820:91 0.00170599 -195 *3664:57 *3820:98 3.88819e-05 -196 *3704:45 *3820:91 0.000265243 -197 *3739:128 *3820:91 9.53677e-05 -198 *3739:131 *3820:98 0.0015614 -199 *3756:14 *3820:105 0.00226611 -200 *3756:18 *3820:105 0.000519797 -201 *3782:16 *3820:77 0 -*RES -1 *28627:Q *3820:8 16.5857 -2 *3820:8 *3820:10 4.5 -3 *3820:10 *3820:18 20.3393 -4 *3820:18 *3820:25 48.3393 -5 *3820:25 *3820:38 48.1607 -6 *3820:38 *25310:A1 14.7464 -7 *3820:10 *29877:A 13.1393 -8 *3820:8 *3820:69 44.0804 -9 *3820:69 *3820:77 13.4643 -10 *3820:77 *3820:79 75.5625 -11 *3820:79 *3820:87 40.0089 -12 *3820:87 *3820:91 45.1339 -13 *3820:91 *3820:98 33.9821 -14 *3820:98 *3820:105 49 -15 *3820:105 *3820:106 2.58929 -16 *3820:106 *27677:A1 18.05 -17 *3820:106 *27340:A1 19.6393 -*END - -*D_NET *3821 0.0447362 -*CONN -*I *29209:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25277:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27356:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27697:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28628:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29209:A 0 -2 *25277:B2 0.000498018 -3 *27356:A1 0.000214446 -4 *27697:A1 0.000423371 -5 *28628:Q 0 -6 *3821:19 0.00653123 -7 *3821:17 0.00588979 -8 *3821:5 0.000494399 -9 *25277:B2 *3848:61 0.000216755 -10 *25277:B2 *5609:137 0.000108327 -11 *25277:B2 *5707:100 8.52838e-05 -12 *27356:A1 *27714:A1 3.45371e-05 -13 *27697:A1 *27697:A2 0.000137983 -14 *27697:A1 *4094:20 0.000368992 -15 *27697:A1 *5646:252 1.98839e-05 -16 *27697:A1 *5702:29 9.23223e-05 -17 *3821:17 *4094:20 4.3649e-05 -18 *3821:17 *4120:30 1.79038e-05 -19 *3821:17 *5663:155 2.31791e-05 -20 *3821:17 *5702:29 8.66204e-05 -21 *3821:19 *3847:64 0.000168777 -22 *3821:19 *3847:72 0.00214391 -23 *3821:19 *3963:60 0.00174193 -24 *3821:19 *4041:19 0.00014576 -25 *3821:19 *4094:20 0.000264824 -26 *3821:19 *4106:20 2.70899e-05 -27 *3821:19 *4120:30 0.000650718 -28 *3821:19 *5582:20 0.000620626 -29 *3821:19 *5582:31 1.90936e-05 -30 *3821:19 *5582:57 0.00325028 -31 *3821:19 *5582:70 0.000740242 -32 *3821:19 *5582:89 0.00012689 -33 *3821:19 *5587:130 1.90936e-05 -34 *3821:19 *5650:51 0.000426525 -35 *3821:19 *5663:155 0.000129175 -36 *3821:19 *5702:67 0.000335795 -37 *3821:19 *5702:72 0.000950894 -38 *25277:B1 *25277:B2 5.13963e-05 -39 *27336:B1 *3821:19 0.000415558 -40 *27353:B2 *3821:19 0.000122933 -41 *27356:B1 *27356:A1 1.92905e-05 -42 *27694:A2 *3821:19 0.000390207 -43 *27698:B2 *27697:A1 9.81123e-05 -44 *27698:C1 *27697:A1 0.000330989 -45 *27702:A1 *3821:19 0.000395945 -46 *29725:A *3821:19 0.000289766 -47 *30386:A *3821:19 6.24939e-05 -48 *30389:A *3821:19 0.000237247 -49 *1262:160 *3821:19 0.00010326 -50 *1267:76 *3821:19 7.06441e-05 -51 *1276:102 *3821:19 0.000134726 -52 *1277:161 *25277:B2 0.000637112 -53 *1286:26 *3821:19 0.000158304 -54 *1290:23 *25277:B2 2.51343e-06 -55 *1393:23 *3821:19 9.94686e-05 -56 *1556:26 *3821:19 2.61827e-05 -57 *1680:17 *27697:A1 6.06291e-05 -58 *2761:67 *3821:17 2.26973e-05 -59 *2773:118 *3821:19 0.000150394 -60 *2794:141 *3821:19 0.00018104 -61 *2848:282 *3821:19 2.04825e-05 -62 *2889:48 *3821:19 0.000513027 -63 *2907:13 *3821:19 0.00200171 -64 *3205:210 *3821:19 0.000504207 -65 *3205:211 *3821:19 0.00189262 -66 *3574:111 *27356:A1 0.000242706 -67 *3574:111 *3821:19 0.00155832 -68 *3664:57 *3821:19 0.00047339 -69 *3677:38 *3821:19 0.00251956 -70 *3677:48 *3821:19 0.000697549 -71 *3678:47 *3821:19 6.057e-07 -72 *3739:128 *3821:19 0.00213698 -73 *3756:13 *3821:19 0.000301559 -74 *3756:18 *3821:19 6.75643e-05 -75 *3756:20 *3821:19 3.21148e-05 -76 *3769:26 *3821:19 8.03367e-05 -77 *3794:86 *25277:B2 8.19176e-05 -78 *3820:79 *27356:A1 0.000241188 -79 *3820:79 *3821:19 0.00024928 -80 *3820:91 *3821:19 1.18451e-05 -*RES -1 *28628:Q *3821:5 13.8 -2 *3821:5 *27697:A1 23.1393 -3 *3821:5 *3821:17 1.94643 -4 *3821:17 *3821:19 190.616 -5 *3821:19 *27356:A1 18.4875 -6 *3821:19 *25277:B2 26.0599 -7 *3821:17 *29209:A 13.8 -*END - -*D_NET *3822 0.0874916 -*CONN -*I *30098:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24838:A I *D sky130_fd_sc_hd__inv_2 -*I *27735:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27383:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25177:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28629:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *30098:A 0 -2 *24838:A 2.04426e-05 -3 *27735:A1 0.000102859 -4 *27383:A1 3.27023e-05 -5 *25177:A1 0.000986215 -6 *28629:Q 7.62875e-05 -7 *3822:110 0.00228408 -8 *3822:108 0.00375078 -9 *3822:104 0.00358058 -10 *3822:85 0.00222641 -11 *3822:57 0.0028494 -12 *3822:56 0.00268163 -13 *3822:49 0.00186759 -14 *3822:32 0.00388614 -15 *3822:30 0.00388492 -16 *3822:24 0.00141995 -17 *3822:18 0.0019239 -18 *3822:7 0.00183129 -19 *25177:A1 *3875:73 9.80363e-06 -20 *27383:A1 *5873:232 2.12087e-05 -21 *27735:A1 *27735:B1 5.33005e-05 -22 *27735:A1 *27735:B2 5.49544e-05 -23 *3822:7 *5777:208 7.02611e-05 -24 *3822:18 *27368:B2 0.00020042 -25 *3822:18 *28661:D 9.82379e-05 -26 *3822:18 *3848:14 0.000247289 -27 *3822:18 *3848:20 0.000188376 -28 *3822:18 *3848:30 0.000568245 -29 *3822:18 *3861:21 0.000107282 -30 *3822:18 *3861:32 0.000459949 -31 *3822:18 *3978:44 2.8046e-05 -32 *3822:18 *4069:66 0.00173263 -33 *3822:18 *5584:263 0.000179426 -34 *3822:18 *5658:149 0.000335564 -35 *3822:30 *27736:B2 0.000250665 -36 *3822:32 *3952:57 0.000198343 -37 *3822:32 *5643:148 0.000522901 -38 *3822:32 *5656:68 1.94879e-05 -39 *3822:49 *4185:60 0.000715986 -40 *3822:56 *3873:79 9.60875e-05 -41 *3822:56 *4015:31 0.000164321 -42 *3822:56 *4185:60 0.000441423 -43 *3822:56 *5584:76 0.00207275 -44 *3822:56 *5694:71 0.00128667 -45 *3822:57 *4133:108 0.00099151 -46 *3822:57 *5448:40 4.00679e-05 -47 *3822:57 *5605:56 0.000794336 -48 *3822:57 *5694:57 0.000253764 -49 *3822:85 *5584:263 6.65445e-05 -50 *3822:85 *5607:82 7.36469e-05 -51 *3822:85 *5658:149 0.000185089 -52 *3822:85 *5695:133 0.000127806 -53 *3822:104 *27678:B2 4.19535e-05 -54 *3822:104 *27679:B2 1.94945e-05 -55 *3822:104 *28628:D 0.000177469 -56 *3822:104 *28628:RESET_B 1.48678e-05 -57 *3822:104 *28629:RESET_B 0.000141229 -58 *3822:104 *5607:82 0.00129093 -59 *3822:104 *5695:133 0.000421074 -60 *3822:104 *5777:178 0.000165682 -61 *3822:108 *28763:RESET_B 0.000239485 -62 *3822:108 *30438:A 4.06875e-05 -63 *3822:108 *4132:33 0.000190081 -64 *3822:108 *5629:182 0 -65 *3822:108 *5768:127 0.000392741 -66 *3822:108 *5768:143 0.000279563 -67 *3822:108 *5820:46 0 -68 *3822:110 *28175:RESET_B 1.19402e-05 -69 *3822:110 *30266:A 0 -70 *3822:110 *30455:A 0.00035845 -71 *3822:110 *30846:A 0.000235408 -72 *3822:110 *3835:26 0 -73 *3822:110 *4028:8 0 -74 *3822:110 *5443:8 0.000221163 -75 *3822:110 *5748:6 0 -76 *3822:110 *5769:55 0 -77 *3822:110 *5769:57 0 -78 *3822:110 *5769:149 0.000158251 -79 *3822:110 *5792:15 0 -80 *3822:110 *5896:17 0.000240349 -81 *25190:B1 *3822:18 8.64454e-05 -82 *25210:C1 *25177:A1 9.41642e-05 -83 *25212:C *25177:A1 1.83136e-05 -84 *25229:A2 *3822:49 0.000280458 -85 *25278:C1 *3822:49 6.05161e-06 -86 *27058:S *3822:104 0.000216755 -87 *27196:S *3822:110 0 -88 *27373:C1 *3822:32 0.00104256 -89 *27375:B2 *3822:32 7.89601e-05 -90 *27383:B1 *27383:A1 5.33005e-05 -91 *27396:A2 *3822:30 6.25005e-05 -92 *27679:C1 *3822:104 4.51889e-05 -93 *27690:B1 *3822:104 0.000118031 -94 *27717:A2 *3822:56 9.41642e-05 -95 *28176:CLK *24838:A 5.33005e-05 -96 *28563:CLK *3822:32 0.000556021 -97 *28572:CLK *3822:108 0 -98 *28628:CLK *3822:104 0.0010414 -99 *28691:CLK *3822:110 0.000149904 -100 *28754:CLK *3822:110 0.000128571 -101 *29144:A *3822:110 0 -102 *29847:A *3822:108 9.14786e-05 -103 *30478:A *3822:32 0.000422412 -104 *56:13 *24838:A 2.59355e-05 -105 *976:31 *3822:110 5.7616e-05 -106 *1178:105 *3822:32 0.00117293 -107 *1178:123 *3822:32 0.000231349 -108 *1246:126 *3822:18 0.000140517 -109 *1246:146 *3822:18 4.21517e-05 -110 *1252:207 *27735:A1 2.18902e-05 -111 *1252:207 *3822:30 1.92789e-05 -112 *1266:119 *3822:32 0.00107901 -113 *1268:74 *3822:32 2.09826e-05 -114 *1282:136 *25177:A1 3.01211e-05 -115 *1282:136 *3822:57 0.00159011 -116 *1286:85 *3822:108 0.000629955 -117 *1480:17 *25177:A1 0.000145484 -118 *1526:8 *3822:18 0.00027509 -119 *1603:13 *25177:A1 0.00038642 -120 *1603:15 *25177:A1 6.92747e-06 -121 *1660:11 *3822:108 0.00186902 -122 *2754:28 *3822:85 2.99826e-05 -123 *2763:82 *3822:32 0.000190344 -124 *2777:94 *3822:49 8.69554e-05 -125 *2788:22 *3822:30 0.000253058 -126 *2788:22 *3822:32 0.000193693 -127 *2788:97 *3822:108 1.68244e-05 -128 *2844:220 *3822:32 0.000190682 -129 *2845:215 *3822:32 0.000402575 -130 *2845:247 *3822:32 0.00123872 -131 *2848:191 *3822:49 1.14338e-05 -132 *2852:108 *3822:18 0.000507073 -133 *2852:125 *3822:18 3.45507e-05 -134 *2852:142 *3822:18 9.03351e-05 -135 *2853:187 *3822:49 0.000564427 -136 *2853:236 *27383:A1 5.33005e-05 -137 *2853:236 *3822:30 0.00253831 -138 *2853:236 *3822:32 3.34295e-05 -139 *2856:364 *3822:104 8.89853e-05 -140 *2856:364 *3822:108 1.53191e-05 -141 *2859:162 *3822:32 0.000190023 -142 *2859:162 *3822:49 0.000920481 -143 *2870:43 *3822:56 0.000162607 -144 *2871:224 *3822:32 0.00024266 -145 *2872:237 *27383:A1 2.6269e-05 -146 *2880:118 *3822:32 0.000438139 -147 *2880:129 *3822:49 0.000953729 -148 *2894:210 *3822:49 4.43053e-05 -149 *2956:8 *3822:24 5.58875e-06 -150 *2956:8 *3822:30 0.00215096 -151 *2978:36 *3822:49 0.000689535 -152 *3164:346 *3822:104 0.000187751 -153 *3170:245 *3822:18 4.00349e-05 -154 *3215:50 *3822:57 0.00360889 -155 *3215:204 *3822:24 6.05161e-06 -156 *3215:211 *3822:24 8.5729e-05 -157 *3226:14 *3822:104 5.9026e-05 -158 *3226:14 *3822:108 6.33204e-05 -159 *3293:34 *3822:49 2.08417e-06 -160 *3538:16 *3822:24 0.000265243 -161 *3538:16 *3822:30 9.64063e-05 -162 *3538:16 *3822:32 0.0015039 -163 *3538:22 *3822:32 2.37006e-05 -164 *3552:39 *3822:24 0.000424029 -165 *3623:52 *3822:32 0.000584187 -166 *3666:122 *3822:32 0.00165599 -167 *3679:55 *3822:18 0.000212169 -168 *3686:27 *3822:110 0.00118944 -169 *3686:36 *3822:108 0.00172793 -170 *3781:16 *3822:108 4.99749e-05 -171 *3796:118 *3822:24 0.000254344 -*RES -1 *28629:Q *3822:7 15.1571 -2 *3822:7 *3822:18 49.4643 -3 *3822:18 *3822:24 16.6964 -4 *3822:24 *3822:30 41.1071 -5 *3822:30 *3822:32 95.2946 -6 *3822:32 *3822:49 39.465 -7 *3822:49 *3822:56 33.9643 -8 *3822:56 *3822:57 49 -9 *3822:57 *25177:A1 33.8179 -10 *3822:30 *27383:A1 14.7464 -11 *3822:24 *27735:A1 11.0679 -12 *3822:7 *3822:85 8.41071 -13 *3822:85 *3822:104 48.4018 -14 *3822:104 *3822:108 44.2232 -15 *3822:108 *3822:110 50.2143 -16 *3822:110 *24838:A 14.3357 -17 *3822:85 *30098:A 9.3 -*END - -*D_NET *3823 0.0127112 -*CONN -*I *27412:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27752:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30201:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25157:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28630:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27412:A1 0.000453814 -2 *27752:B2 0 -3 *30201:A 0.000114192 -4 *25157:A1 0 -5 *28630:Q 0 -6 *3823:40 0.00126568 -7 *3823:17 0.00114026 -8 *3823:4 0.00206631 -9 *27412:A1 *4076:59 0.00108964 -10 *27412:A1 *5601:175 3.03819e-05 -11 *27412:A1 *5649:151 0.000115446 -12 *30201:A *27059:A1 0.000178503 -13 *30201:A *28630:RESET_B 9.58632e-05 -14 *3823:17 *25240:B2 0.000180351 -15 *3823:17 *27059:A1 5.60463e-06 -16 *3823:17 *28630:D 4.41588e-05 -17 *3823:17 *28630:RESET_B 7.78991e-05 -18 *3823:17 *4076:59 0.0003663 -19 *3823:17 *5601:166 0.000389305 -20 *3823:17 *5601:175 6.7058e-05 -21 *3823:40 *27059:A1 9.25014e-06 -22 *3823:40 *27752:A1 1.94945e-05 -23 *3823:40 *4076:59 0.000491258 -24 *3823:40 *5590:79 7.71646e-05 -25 *3823:40 *5601:175 0.000193715 -26 *3823:40 *6280:18 0.000376953 -27 *25157:B1 *3823:17 0.000427782 -28 *25257:D *3823:17 0.00010326 -29 *27412:A2 *27412:A1 5.52302e-05 -30 *27412:B1 *27412:A1 0.000950813 -31 *28603:CLK *3823:17 0.000237916 -32 *1269:98 *3823:40 9.10285e-05 -33 *1282:184 *3823:17 5.71697e-05 -34 *1282:198 *3823:17 0.000743874 -35 *1287:149 *3823:17 1.90936e-05 -36 *1287:184 *3823:17 0.000177545 -37 *1558:33 *30201:A 6.98817e-05 -38 *1558:33 *3823:17 3.59177e-06 -39 *2780:196 *3823:17 2.81891e-05 -40 *2877:299 *3823:17 0.000487076 -41 *2889:65 *3823:40 1.9036e-05 -42 *2893:26 *3823:40 0.000110603 -43 *3215:242 *3823:40 9.06541e-05 -44 *3595:6 *3823:17 9.25014e-06 -45 *3758:41 *3823:17 0.000180583 -*RES -1 *28630:Q *3823:4 9.3 -2 *3823:4 *3823:17 44.7143 -3 *3823:17 *25157:A1 9.3 -4 *3823:4 *30201:A 12.3357 -5 *3823:4 *3823:40 30.0357 -6 *3823:40 *27752:B2 13.8 -7 *3823:40 *27412:A1 29.1393 -*END - -*D_NET *3824 0.0152255 -*CONN -*I *30232:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27775:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27423:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25065:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28631:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30232:A 4.72646e-05 -2 *27775:B2 0.000228965 -3 *27423:A1 0.000763652 -4 *25065:B2 0.000945723 -5 *28631:Q 0 -6 *3824:38 0.000386954 -7 *3824:12 0.00255528 -8 *3824:4 0.000956632 -9 *25065:B2 *3965:39 0.000654258 -10 *25065:B2 *3991:38 0.00018928 -11 *25065:B2 *5650:329 0.000434435 -12 *25065:B2 *5660:189 0.000663867 -13 *25065:B2 *5777:247 0.00140876 -14 *27423:A1 *27423:B2 2.93709e-05 -15 *27423:A1 *5589:96 0.000676302 -16 *27775:B2 *27775:B1 1.21436e-05 -17 *27775:B2 *3928:20 0.000193634 -18 *27775:B2 *5656:310 0.0001123 -19 *30232:A *5642:141 9.41642e-05 -20 *3824:12 *27117:B 0.000324551 -21 *3824:12 *3915:37 9.41687e-05 -22 *3824:12 *3965:39 0.000450437 -23 *3824:12 *5642:141 0.000102327 -24 *3824:12 *5650:329 0.000752396 -25 *3824:12 *5660:189 0.000125466 -26 *3824:12 *5777:247 0.000190689 -27 *3824:38 *5642:141 0.000135028 -28 *25047:A2 *25065:B2 2.11419e-05 -29 *27423:A2 *27423:A1 7.13226e-06 -30 *27423:B1 *27423:A1 3.42144e-05 -31 *1267:163 *25065:B2 9.91086e-05 -32 *1826:178 *27423:A1 0.000155623 -33 *2760:135 *3824:12 2.04825e-05 -34 *2778:194 *3824:12 0.000120506 -35 *2875:344 *3824:12 0.000302205 -36 *2998:8 *25065:B2 0.00165067 -37 *3565:24 *27775:B2 0.00019192 -38 *3746:22 *27423:A1 9.44259e-05 -*RES -1 *28631:Q *3824:4 9.3 -2 *3824:4 *3824:12 25.6964 -3 *3824:12 *25065:B2 49.7464 -4 *3824:12 *27423:A1 33.8357 -5 *3824:4 *3824:38 2.08929 -6 *3824:38 *27775:B2 23.5902 -7 *3824:38 *30232:A 10.2464 -*END - -*D_NET *3825 0.0177795 -*CONN -*I *30522:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27801:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *27445:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25009:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28632:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30522:A 0.000467981 -2 *27801:A1 1.16062e-05 -3 *27445:A1 0.000437982 -4 *25009:B2 0.000176106 -5 *28632:Q 0 -6 *3825:39 0.000834024 -7 *3825:37 0.0021402 -8 *3825:14 0.00298625 -9 *3825:4 0.00152237 -10 *27445:A1 *3838:23 0.000262504 -11 *27445:A1 *5531:18 0.000104789 -12 *27445:A1 *5658:10 0 -13 *27801:A1 *27801:A2 5.52302e-05 -14 *30522:A *27061:A1 0.000428908 -15 *30522:A *27233:A 1.78394e-05 -16 *30522:A *3850:24 5.06883e-05 -17 *30522:A *5531:18 0.000297574 -18 *30522:A *5678:216 1.8781e-05 -19 *30522:A *5713:208 9.79256e-05 -20 *30522:A *6330:17 5.37664e-05 -21 *30522:A *6386:35 8.50152e-05 -22 *3825:14 *27044:A0 0 -23 *3825:14 *27252:A 0.000579262 -24 *3825:14 *5530:32 0.000181374 -25 *3825:14 *5531:18 1.77672e-05 -26 *3825:14 *5675:281 9.25014e-06 -27 *3825:37 *27044:A0 0 -28 *3825:37 *3952:35 1.4606e-05 -29 *3825:37 *5531:18 4.54553e-05 -30 *3825:37 *5631:145 0 -31 *3825:37 *5658:10 0.000451846 -32 *3825:37 *5662:15 0.000108511 -33 *3825:37 *5981:38 4.43126e-05 -34 *3825:37 *6385:141 0 -35 *3825:39 *5531:18 0.000369393 -36 *25015:A2 *3825:14 0.000983001 -37 *25015:B1 *3825:14 0.00012401 -38 *25015:C1 *3825:14 4.55258e-05 -39 *27445:A2 *27445:A1 1.58163e-05 -40 *27446:A2 *27445:A1 5.83233e-05 -41 *27446:B1 *27445:A1 9.77061e-05 -42 *27449:C *27445:A1 5.52238e-05 -43 *27615:A_N *3825:37 0.000108213 -44 *27637:C *3825:37 0 -45 *27644:B *3825:37 0 -46 *27664:A *3825:37 0.000158004 -47 *27801:B1 *27801:A1 5.52302e-05 -48 *30322:A *30522:A 0.000178847 -49 *30813:A *3825:37 0.00012685 -50 *1278:41 *30522:A 0.000149381 -51 *1282:84 *25009:B2 1.02504e-05 -52 *1282:84 *3825:14 0.000166094 -53 *1339:10 *3825:37 0 -54 *1624:26 *30522:A 0 -55 *1624:26 *3825:14 4.46186e-06 -56 *2787:17 *30522:A 1.98839e-05 -57 *2825:45 *3825:37 3.42676e-05 -58 *2827:54 *3825:37 0.000197987 -59 *2827:65 *3825:37 0.000274345 -60 *2834:20 *3825:37 0.000106439 -61 *2835:15 *25009:B2 6.93294e-05 -62 *2835:15 *3825:14 0.000103235 -63 *2835:15 *3825:37 0 -64 *2848:384 *27445:A1 4.75956e-06 -65 *2852:297 *27445:A1 0.00014236 -66 *2852:297 *3825:37 0.000366744 -67 *2852:297 *3825:39 0.000363073 -68 *3040:41 *3825:39 0.000197252 -69 *3147:27 *25009:B2 5.03772e-05 -70 *3147:27 *3825:37 0.00093643 -71 *3147:57 *3825:37 5.33978e-05 -72 *3150:106 *3825:37 0.000337929 -73 *3163:20 *25009:B2 8.25843e-06 -74 *3165:192 *27445:A1 1.40034e-05 -75 *3177:19 *3825:37 0.000167875 -76 *3196:9 *3825:37 0.000123288 -*RES -1 *28632:Q *3825:4 9.3 -2 *3825:4 *3825:14 36.3929 -3 *3825:14 *25009:B2 26.1036 -4 *3825:14 *3825:37 42.3125 -5 *3825:37 *3825:39 9.6875 -6 *3825:39 *27445:A1 23.4429 -7 *3825:39 *27801:A1 14.3357 -8 *3825:4 *30522:A 29.3714 -*END - -*D_NET *3826 0.0399843 -*CONN -*I *27472:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27826:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *24983:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30345:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28633:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27472:A1 0.000108846 -2 *27826:B2 0.00141453 -3 *24983:A1 1.49879e-05 -4 *30345:A 9.62429e-05 -5 *28633:Q 4.81941e-05 -6 *3826:60 0.00206561 -7 *3826:57 0.00196702 -8 *3826:52 0.00269611 -9 *3826:49 0.00241856 -10 *3826:29 0.00118343 -11 *3826:26 0.00157761 -12 *3826:5 0.00170084 -13 *24983:A1 *24983:B1 2.23337e-05 -14 *27472:A1 *3891:89 2.388e-05 -15 *27472:A1 *3956:17 8.37654e-05 -16 *27472:A1 *5660:105 0.000104237 -17 *27472:A1 *5660:113 0 -18 *27826:B2 *27826:B1 3.77895e-05 -19 *27826:B2 *3864:86 0.000120547 -20 *27826:B2 *4072:50 0.000344225 -21 *27826:B2 *5632:44 2.59355e-05 -22 *27826:B2 *5660:113 5.33005e-05 -23 *27826:B2 *5660:123 0.000314624 -24 *30345:A *27062:A1 9.41642e-05 -25 *30345:A *3850:24 0.000171375 -26 *30345:A *3851:31 0.000171375 -27 *30345:A *4685:19 4.58194e-05 -28 *3826:5 *28633:RESET_B 6.86693e-05 -29 *3826:5 *28704:RESET_B 6.05161e-06 -30 *3826:5 *5694:183 0.000136951 -31 *3826:26 *24969:A1 3.23658e-05 -32 *3826:26 *27053:A1 5.71472e-05 -33 *3826:26 *28624:RESET_B 8.33233e-05 -34 *3826:26 *28704:D 2.95726e-05 -35 *3826:26 *28704:RESET_B 0.000168187 -36 *3826:26 *3877:23 0 -37 *3826:26 *3943:17 0.000219711 -38 *3826:26 *4907:17 0 -39 *3826:26 *5667:244 5.43687e-05 -40 *3826:26 *5694:183 0.000646068 -41 *3826:26 *5714:13 0 -42 *3826:26 *5970:27 1.90936e-05 -43 *3826:29 *24983:B1 1.24368e-05 -44 *3826:29 *5583:178 5.33005e-05 -45 *3826:49 *3877:23 0.000501555 -46 *3826:49 *3993:14 0.000146966 -47 *3826:49 *5583:178 9.71197e-05 -48 *3826:49 *5592:24 0.000126446 -49 *3826:49 *5663:19 0.000161044 -50 *3826:52 *27803:B2 0.000213633 -51 *3826:52 *3877:41 0.00167418 -52 *3826:52 *3903:42 0.00096901 -53 *3826:52 *3993:14 0.000302296 -54 *3826:52 *5645:39 0.000484392 -55 *3826:52 *5660:35 1.61879e-05 -56 *3826:57 *25021:A1 0.00030911 -57 *3826:57 *28741:RESET_B 0.00033763 -58 *3826:57 *4020:20 0.000210538 -59 *3826:57 *5645:71 2.15363e-05 -60 *3826:57 *5660:35 1.20054e-05 -61 *3826:57 *5678:71 3.70515e-05 -62 *3826:57 *5679:19 3.56854e-05 -63 *3826:57 *5679:35 8.9784e-05 -64 *3826:57 *5755:60 0.000460917 -65 *3826:57 *5755:192 0.000218015 -66 *3826:60 *27202:A0 9.11697e-05 -67 *3826:60 *3865:38 0.000148561 -68 *3826:60 *3865:44 0.000171923 -69 *3826:60 *3956:17 0.000472085 -70 *3826:60 *5647:41 0.000398923 -71 *24972:B1 *3826:26 0.000145625 -72 *24983:A2 *24983:A1 1.00733e-05 -73 *24983:A2 *3826:29 1.21258e-05 -74 *24983:A2 *3826:49 2.60091e-05 -75 *24983:C1 *3826:26 1.19416e-05 -76 *24990:A2 *3826:60 0.000238126 -77 *24991:A2 *3826:26 4.30382e-05 -78 *24991:C1 *3826:26 0.000473753 -79 *27456:B1 *3826:57 0.000120253 -80 *27472:A2 *27472:A1 5.33005e-05 -81 *27473:B1 *27472:A1 9.23883e-05 -82 *27473:B1 *3826:60 0.000402255 -83 *27650:D *3826:49 0.000295137 -84 *27803:C1 *3826:52 0.00108642 -85 *27808:A1 *3826:60 0.000120982 -86 *27826:A2 *27826:B2 3.33174e-05 -87 *28624:CLK *3826:26 4.87854e-05 -88 *1304:39 *3826:57 0.000126716 -89 *1308:19 *3826:60 0.000103244 -90 *1345:13 *3826:57 4.56498e-05 -91 *1346:8 *3826:49 0.000171709 -92 *1346:8 *3826:52 0.000268438 -93 *1350:13 *27826:B2 0.000797293 -94 *2777:119 *3826:26 0.000240221 -95 *2790:8 *3826:26 0.000257598 -96 *2790:8 *3826:49 0.000773069 -97 *2855:302 *3826:57 3.55222e-05 -98 *2859:313 *3826:60 0.000210683 -99 *2864:107 *3826:52 0.000501831 -100 *2864:119 *3826:52 0.000651792 -101 *2885:91 *27826:B2 0.000120547 -102 *3009:9 *3826:57 0.00169219 -103 *3177:24 *3826:49 0.000708475 -104 *3177:24 *3826:52 0.000509593 -105 *3177:35 *3826:52 2.96272e-05 -106 *3177:35 *3826:57 1.03698e-05 -107 *3177:36 *3826:57 0.000119982 -108 *3177:59 *3826:57 0.000306061 -109 *3371:13 *27826:B2 2.89016e-05 -110 *3635:92 *3826:26 8.25897e-05 -111 *3682:48 *3826:57 7.78876e-05 -112 *3682:50 *3826:57 0 -113 *3708:68 *3826:57 0.00220126 -114 *3800:13 *3826:26 0.000313241 -115 *3812:31 *3826:57 0.0001859 -*RES -1 *28633:Q *3826:5 10.6571 -2 *3826:5 *30345:A 21.4964 -3 *3826:5 *3826:26 46.6429 -4 *3826:26 *3826:29 5.03571 -5 *3826:29 *24983:A1 9.72857 -6 *3826:29 *3826:49 40.97 -7 *3826:49 *3826:52 48.5536 -8 *3826:52 *3826:57 47.728 -9 *3826:57 *3826:60 21.5975 -10 *3826:60 *27826:B2 49.0857 -11 *3826:60 *27472:A1 16.5143 -*END - -*D_NET *3827 0.021118 -*CONN -*I *25385:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27851:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27494:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30547:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28375:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *25385:A1 0.00165479 -2 *27851:B2 0.00013152 -3 *27494:B2 9.66865e-05 -4 *30547:A 0 -5 *28375:Q 0.000130408 -6 *3827:24 0.00186083 -7 *3827:23 0.00163262 -8 *3827:21 0.00195092 -9 *3827:9 0.000426542 -10 *25385:A1 *25585:A 7.72787e-05 -11 *25385:A1 *29430:A 4.95471e-05 -12 *25385:A1 *29574:A 1.59373e-05 -13 *25385:A1 *3853:45 0.000366161 -14 *25385:A1 *3973:83 0.000197216 -15 *25385:A1 *3986:40 3.19493e-05 -16 *25385:A1 *4201:21 1.10868e-05 -17 *25385:A1 *5680:343 2.33059e-05 -18 *27851:B2 *27851:A1 1.28809e-05 -19 *27851:B2 *5629:71 9.25014e-06 -20 *3827:9 *29549:A 9.99893e-05 -21 *3827:9 *5665:66 6.00914e-05 -22 *3827:9 *5711:67 0.000137561 -23 *3827:9 *5738:172 0.000145797 -24 *3827:21 *5200:10 0.000132207 -25 *3827:21 *5680:343 0.000226357 -26 *3827:21 *5699:147 0.000130377 -27 *3827:21 *5711:67 0.000175892 -28 *3827:21 *5738:172 0.000165109 -29 *3827:24 *27900:A1 0.000186316 -30 *3827:24 *3895:88 8.51784e-05 -31 *3827:24 *5629:71 0.000872864 -32 *3827:24 *5680:343 0.00135568 -33 *25121:A2 *25385:A1 0.000197582 -34 *25272:C1 *25385:A1 0.000397462 -35 *25385:B1 *25385:A1 7.44262e-05 -36 *25393:C1 *3827:24 0.000198769 -37 *27851:C1 *27851:B2 9.54798e-06 -38 *27900:B1 *27851:B2 1.28809e-05 -39 *27928:A2 *25385:A1 1.25742e-05 -40 *1240:11 *25385:A1 0.000141734 -41 *1363:62 *25385:A1 0.000332575 -42 *1363:62 *3827:21 0.000222353 -43 *1441:21 *25385:A1 0.00134107 -44 *1441:25 *25385:A1 0.000757535 -45 *1442:13 *25385:A1 0.000713758 -46 *1471:121 *25385:A1 6.60156e-05 -47 *1590:15 *25385:A1 1.25377e-05 -48 *2834:59 *3827:24 0.0003144 -49 *2848:53 *27494:B2 9.60939e-05 -50 *2852:212 *27851:B2 0.000161324 -51 *2853:61 *27494:B2 2.65185e-05 -52 *2853:66 *27494:B2 3.97677e-05 -53 *3173:81 *27851:B2 1.10978e-05 -54 *3173:81 *3827:24 0.000564411 -55 *3178:69 *25385:A1 5.00194e-05 -56 *3780:23 *3827:24 0.00245861 -57 *3780:34 *27494:B2 2.04825e-05 -58 *3780:34 *27851:B2 8.11378e-05 -59 *3780:34 *3827:24 0.00035101 -*RES -1 *28375:Q *3827:9 22.2107 -2 *3827:9 *30547:A 9.3 -3 *3827:9 *3827:21 12.1681 -4 *3827:21 *3827:23 3.41 -5 *3827:23 *3827:24 49.3036 -6 *3827:24 *27494:B2 15.9875 -7 *3827:24 *27851:B2 16.6348 -8 *3827:21 *25385:A1 29.345 -*END - -*D_NET *3828 0.024435 -*CONN -*I *25331:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27876:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30533:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27519:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28376:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *25331:B2 0 -2 *27876:B2 0.00020556 -3 *30533:A 0 -4 *27519:A1 0.000742441 -5 *28376:Q 4.29478e-05 -6 *3828:77 0.00203833 -7 *3828:61 0.00350973 -8 *3828:36 0.00202979 -9 *3828:25 0.00041076 -10 *3828:7 0.00084332 -11 *27519:A1 *25932:A0 1.34631e-05 -12 *27519:A1 *3919:63 2.16719e-05 -13 *27519:A1 *5706:188 0.000262223 -14 *27876:B2 *3867:44 1.28809e-05 -15 *27876:B2 *5646:93 0.000536959 -16 *3828:7 *3919:78 0.000135028 -17 *3828:36 *3830:71 0.0004568 -18 *3828:61 *25210:B2 9.45773e-05 -19 *3828:61 *27933:A1 0.000109425 -20 *3828:61 *3922:11 0.000237022 -21 *3828:61 *4025:38 5.58875e-06 -22 *3828:61 *5457:22 0.00104089 -23 *3828:61 *5458:40 0.00139146 -24 *3828:61 *5646:93 3.10885e-05 -25 *3828:61 *5685:333 1.72915e-05 -26 *3828:61 *5691:10 9.41642e-05 -27 *3828:77 *4025:38 0.000783929 -28 *3828:77 *4132:65 1.05731e-05 -29 *3828:77 *5629:104 0.000782019 -30 *25122:A2 *3828:77 0.000108353 -31 *25331:B1 *3828:77 4.65971e-05 -32 *25331:C1 *3828:77 0.000178425 -33 *27519:A2 *27519:A1 0.000200783 -34 *27520:C1 *27519:A1 0.000121535 -35 *27933:C1 *3828:61 0.000263794 -36 *27960:B1 *3828:61 0.00029496 -37 *30534:A *27519:A1 5.52238e-05 -38 *1358:25 *3828:77 0.000125456 -39 *1460:25 *3828:77 5.14538e-05 -40 *1476:132 *3828:7 0.000135028 -41 *1622:17 *27519:A1 3.52121e-05 -42 *1864:36 *3828:61 1.38746e-05 -43 *1897:31 *27519:A1 0.000236599 -44 *1897:31 *3828:25 0.000136864 -45 *2856:97 *27519:A1 1.24368e-05 -46 *3173:94 *27876:B2 0.000536959 -47 *3173:94 *3828:61 0.000819394 -48 *3173:110 *3828:61 0.000124426 -49 *3461:26 *3828:77 0.000713713 -50 *3558:189 *3828:77 0.0012487 -51 *3686:83 *3828:77 0.000300044 -52 *3752:54 *27519:A1 0.000282236 -53 *3752:54 *3828:25 0.000143876 -54 *3766:71 *3828:77 0.000127359 -55 *3780:53 *3828:61 0.00145901 -56 *3814:63 *3828:36 0.000802773 -*RES -1 *28376:Q *3828:7 15.1571 -2 *3828:7 *27519:A1 26.7821 -3 *3828:7 *3828:25 6.44643 -4 *3828:25 *30533:A 9.3 -5 *3828:25 *3828:36 14.2143 -6 *3828:36 *27876:B2 21.0366 -7 *3828:36 *3828:61 48.1031 -8 *3828:61 *3828:77 42.3854 -9 *3828:77 *25331:B2 9.3 -*END - -*D_NET *3829 0.0365014 -*CONN -*I *30237:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27311:B2 I *D sky130_fd_sc_hd__a221o_2 -*I *27623:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25411:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28634:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *30237:A 0 -2 *27311:B2 0.0009966 -3 *27623:B2 8.0285e-05 -4 *25411:A1 0.000443931 -5 *28634:Q 6.58535e-05 -6 *3829:67 0.00126405 -7 *3829:36 0.00152074 -8 *3829:29 0.00213335 -9 *3829:8 0.00429533 -10 *3829:7 0.0034918 -11 *25411:A1 *25265:A1 5.58533e-05 -12 *25411:A1 *4052:20 9.00034e-05 -13 *25411:A1 *5583:58 0 -14 *25411:A1 *5583:71 3.53833e-05 -15 *25411:A1 *5947:56 0.000155305 -16 *27311:B2 *27311:A1 6.26774e-06 -17 *27311:B2 *4069:112 0.000120837 -18 *3829:7 *5634:150 6.57032e-05 -19 *3829:7 *5663:87 4.00679e-05 -20 *3829:8 *3844:63 0.000485156 -21 *3829:8 *3844:74 0.000159346 -22 *3829:8 *4054:44 0.000129673 -23 *3829:8 *5505:42 0.00160707 -24 *3829:8 *5650:250 0.00095147 -25 *3829:29 *5505:42 1.13072e-05 -26 *3829:36 *25360:B2 8.09423e-05 -27 *3829:36 *3842:23 6.92907e-05 -28 *3829:36 *3842:44 4.2985e-05 -29 *3829:36 *4052:20 1.89361e-05 -30 *3829:36 *5196:72 0.000402726 -31 *3829:36 *5517:30 8.14097e-05 -32 *3829:36 *5583:58 1.87514e-05 -33 *3829:36 *5661:42 2.20319e-05 -34 *3829:67 *5609:183 0.000293218 -35 *3829:67 *5650:250 6.29045e-05 -36 *25340:B1 *27311:B2 0.000118608 -37 *25340:B1 *3829:67 0.000175892 -38 *25378:C1 *3829:8 0.000124525 -39 *25411:A2 *25411:A1 0.000239721 -40 *25411:B1 *25411:A1 3.51368e-05 -41 *25411:C1 *25411:A1 0.000469596 -42 *27073:S *3829:36 7.44888e-05 -43 *27305:C *3829:8 0.00015234 -44 *27311:B1 *27311:B2 0.000157629 -45 *27331:A2 *3829:36 7.96587e-06 -46 *27617:B1 *3829:36 0.000136265 -47 *27619:A2 *27623:B2 0 -48 *27623:C1 *25411:A1 5.42698e-05 -49 *27623:C1 *27623:B2 0.000175892 -50 *27636:D *3829:29 6.54117e-05 -51 *28650:CLK *25411:A1 0.000143536 -52 *1225:89 *27311:B2 2.01997e-05 -53 *1242:206 *3829:29 0.000107157 -54 *1256:89 *27311:B2 0.000101149 -55 *1260:73 *27311:B2 3.50637e-05 -56 *1265:36 *3829:8 0.000612307 -57 *1265:69 *3829:8 6.8447e-05 -58 *1265:95 *27311:B2 1.94945e-05 -59 *1271:122 *3829:36 0.000214518 -60 *1511:22 *3829:8 0 -61 *1592:13 *3829:29 0.00107443 -62 *1607:8 *3829:29 0.000559359 -63 *1654:27 *3829:8 0.00205793 -64 *1659:34 *3829:8 0.000613136 -65 *2775:173 *27311:B2 0.000127359 -66 *2778:121 *27311:B2 4.32957e-05 -67 *2780:61 *3829:36 0.000401107 -68 *2780:129 *3829:36 0.000120098 -69 *2781:87 *3829:29 6.20441e-06 -70 *2851:36 *3829:8 5.18984e-05 -71 *2852:187 *3829:29 9.56348e-05 -72 *2855:142 *3829:8 0.000320207 -73 *2855:142 *3829:29 0.000362223 -74 *2866:70 *3829:8 7.40536e-05 -75 *2870:21 *3829:8 4.34648e-05 -76 *2874:249 *27311:B2 0.000118067 -77 *2876:19 *27311:B2 4.88232e-05 -78 *2877:194 *3829:8 0 -79 *2891:24 *3829:36 9.87983e-06 -80 *2894:26 *3829:36 1.90129e-05 -81 *2895:61 *3829:36 5.7054e-05 -82 *3168:53 *3829:36 0.000307165 -83 *3174:56 *25411:A1 2.06112e-05 -84 *3174:56 *27623:B2 2.26165e-05 -85 *3176:62 *3829:8 0.000132954 -86 *3178:144 *3829:29 0.000109492 -87 *3178:144 *3829:36 0.000121494 -88 *3197:70 *3829:8 7.83587e-05 -89 *3299:14 *3829:8 0.00613521 -90 *3299:14 *3829:67 0.000364052 -91 *3540:23 *3829:8 0 -92 *3666:35 *3829:36 7.75234e-05 -93 *3758:43 *27311:B2 4.53834e-05 -94 *3777:51 *27623:B2 0.000234039 -95 *3777:51 *3829:36 4.11173e-05 -*RES -1 *28634:Q *3829:7 15.1571 -2 *3829:7 *3829:8 99.8482 -3 *3829:8 *3829:29 36.6373 -4 *3829:29 *3829:36 23.6339 -5 *3829:36 *25411:A1 25.0768 -6 *3829:36 *27623:B2 16.4116 -7 *3829:7 *3829:67 11.6607 -8 *3829:67 *27311:B2 32.4527 -9 *3829:67 *30237:A 9.3 -*END - -*D_NET *3830 0.0723783 -*CONN -*I *27899:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25276:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *6391:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6392:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27545:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *29280:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28387:Q O *D sky130_fd_sc_hd__dfstp_4 -*CAP -1 *27899:B2 0.000125152 -2 *25276:B2 0.000279828 -3 *6391:DIODE 0 -4 *6392:DIODE 0 -5 *27545:A1 7.41391e-05 -6 *29280:A 0 -7 *28387:Q 0.000572962 -8 *3830:95 0.00165947 -9 *3830:89 0.00476198 -10 *3830:88 0.00391019 -11 *3830:77 0.000678506 -12 *3830:71 0.00172258 -13 *3830:70 0.00412463 -14 *3830:51 0.00286174 -15 *3830:36 0.00631558 -16 *3830:35 0.00416007 -17 *3830:26 0.00360558 -18 *3830:15 0.000868579 -19 *25276:B2 *25183:A1 0 -20 *25276:B2 *25276:A2 3.08451e-05 -21 *27545:A1 *4142:56 9.41642e-05 -22 *27899:B2 *3934:50 0.000300608 -23 *3830:15 *25871:A1 3.23658e-05 -24 *3830:15 *25945:A0 0.000449296 -25 *3830:15 *28387:D 1.08359e-05 -26 *3830:15 *28387:SET_B 5.41794e-05 -27 *3830:15 *3944:25 0.000812466 -28 *3830:15 *5705:14 5.33005e-05 -29 *3830:15 *5778:39 0.000271737 -30 *3830:26 *25491:B 1.90936e-05 -31 *3830:26 *25945:A0 6.26276e-05 -32 *3830:26 *3944:25 0.000135028 -33 *3830:35 *25491:C 5.00194e-05 -34 *3830:35 *3831:82 3.70515e-05 -35 *3830:35 *5467:14 2.68532e-05 -36 *3830:35 *5744:30 6.8147e-05 -37 *3830:36 *25775:A1 0.000669282 -38 *3830:36 *25837:A0 5.82611e-05 -39 *3830:36 *25876:B 0.000309993 -40 *3830:36 *27913:A1 4.41036e-05 -41 *3830:36 *28258:RESET_B 0.000171375 -42 *3830:36 *28259:D 0.000352037 -43 *3830:36 *28261:D 0.000197977 -44 *3830:36 *28262:RESET_B 1.41029e-05 -45 *3830:36 *28292:RESET_B 0.000352672 -46 *3830:36 *28293:D 0.000517735 -47 *3830:36 *29026:A 5.09065e-05 -48 *3830:36 *30219:A 2.04825e-05 -49 *3830:36 *3871:73 8.85664e-07 -50 *3830:36 *4126:39 0.000714479 -51 *3830:36 *5007:31 4.78537e-05 -52 *3830:36 *5545:30 0 -53 *3830:36 *5666:55 0.000549542 -54 *3830:36 *5693:70 0.000199858 -55 *3830:36 *5693:72 0.000268754 -56 *3830:36 *5693:74 0.000184788 -57 *3830:36 *5693:82 0.000390856 -58 *3830:36 *5727:217 0.000107222 -59 *3830:36 *5728:151 0.00010663 -60 *3830:36 *5744:6 2.06178e-05 -61 *3830:51 *25841:A0 0.000197176 -62 *3830:51 *25861:A0 0.000647998 -63 *3830:51 *28294:D 9.87648e-05 -64 *3830:51 *29882:A 4.48206e-05 -65 *3830:51 *3871:73 0.00019394 -66 *3830:51 *4142:56 0.00019081 -67 *3830:51 *5545:30 0 -68 *3830:51 *5729:301 0.000188886 -69 *3830:70 *25491:C 2.83129e-05 -70 *3830:70 *25642:A0 2.12357e-05 -71 *3830:70 *3831:82 7.09352e-05 -72 *3830:70 *3945:34 0.000242848 -73 *3830:70 *3958:29 5.72597e-05 -74 *3830:70 *3960:86 0.000110139 -75 *3830:70 *4101:28 0.00106353 -76 *3830:70 *4113:51 5.13086e-05 -77 *3830:70 *4126:48 3.59071e-05 -78 *3830:70 *4152:50 0.00119736 -79 *3830:70 *5467:14 0 -80 *3830:70 *5744:30 0 -81 *3830:71 *27898:B1 5.33005e-05 -82 *3830:71 *3934:50 0.0013848 -83 *3830:71 *3960:86 1.98839e-05 -84 *3830:71 *5646:93 4.4873e-05 -85 *3830:77 *4035:60 0.000102396 -86 *3830:88 *27899:A1 8.25843e-06 -87 *3830:88 *3934:50 2.13481e-06 -88 *3830:88 *3934:60 1.35546e-05 -89 *3830:89 *3934:62 0.00581726 -90 *3830:95 *25183:A1 3.75081e-05 -91 *3830:95 *4133:108 4.21517e-05 -92 *3830:95 *5583:112 0.000668789 -93 *3830:95 *5635:63 0.000220636 -94 *3830:95 *5687:87 0.000105202 -95 *25183:B1 *3830:95 6.57026e-05 -96 *25208:C1 *25276:B2 0.000242691 -97 *25208:C1 *3830:95 0.000135028 -98 *25276:B1 *25276:B2 9.41642e-05 -99 *25467:A1 *3830:36 0.00012839 -100 *25546:A0 *3830:15 0 -101 *25803:S *3830:36 7.82128e-05 -102 *25838:S *3830:36 0.00017156 -103 *25841:S *3830:51 5.52302e-05 -104 *25880:S *3830:36 0 -105 *27545:B1 *27545:A1 5.33005e-05 -106 *27545:B2 *3830:51 2.14658e-05 -107 *27884:B1 *3830:35 2.71172e-05 -108 *27884:B1 *3830:70 8.75679e-05 -109 *27899:A2 *3830:88 4.34948e-06 -110 *27899:B1 *27899:B2 2.05997e-05 -111 *27899:B1 *3830:77 5.33005e-05 -112 *27899:B1 *3830:88 0.000260152 -113 *27899:C1 *27899:B2 0.000129627 -114 *27899:C1 *3830:71 2.59355e-05 -115 *27899:C1 *3830:77 3.97677e-05 -116 *27924:A2 *3830:36 4.81517e-05 -117 *27939:A *3830:36 0.000203804 -118 *28260:CLK *3830:36 3.43988e-06 -119 *28297:CLK *3830:36 0.000563077 -120 *28717:CLK *3830:36 0.000761813 -121 *29290:A *3830:15 0.000135028 -122 *29432:A *3830:51 7.13876e-05 -123 *30537:A *3830:36 5.41797e-06 -124 *1250:20 *3830:95 1.95625e-05 -125 *1250:43 *3830:95 0.000308421 -126 *1360:20 *3830:88 9.82592e-05 -127 *1422:20 *3830:51 0.000136958 -128 *1430:65 *3830:88 9.82592e-05 -129 *1448:60 *3830:51 0 -130 *1476:143 *3830:88 0.000175892 -131 *1868:31 *3830:15 0 -132 *1872:45 *3830:36 0.000746647 -133 *1872:58 *3830:36 0.000694588 -134 *1877:53 *3830:36 0.000369331 -135 *1877:66 *3830:36 3.15253e-05 -136 *1878:37 *3830:51 9.71197e-05 -137 *1888:27 *3830:36 2.70095e-05 -138 *2855:90 *3830:70 7.12459e-05 -139 *2856:97 *3830:71 0.000257619 -140 *2860:164 *3830:95 0.00135411 -141 *2879:61 *3830:95 4.89071e-05 -142 *2881:14 *3830:95 9.45051e-05 -143 *2892:94 *3830:70 0.00037929 -144 *2894:87 *3830:70 3.22763e-05 -145 *3156:124 *3830:70 0.000136499 -146 *3164:125 *3830:35 0.000324591 -147 *3165:297 *3830:70 1.32139e-06 -148 *3168:66 *3830:70 0.0009642 -149 *3168:83 *3830:70 0.000189161 -150 *3184:202 *3830:35 4.97144e-05 -151 *3184:207 *3830:26 0.000571346 -152 *3192:134 *3830:51 6.26998e-05 -153 *3215:44 *3830:95 4.00349e-05 -154 *3414:20 *3830:36 0.000938615 -155 *3428:14 *3830:26 0.000536521 -156 *3503:28 *3830:77 0.000100688 -157 *3561:16 *3830:36 4.65158e-06 -158 *3594:66 *3830:36 0.000489443 -159 *3637:40 *3830:36 0.000124458 -160 *3637:116 *3830:36 0.000599202 -161 *3637:125 *3830:36 0.00019384 -162 *3637:134 *3830:36 0.000730571 -163 *3666:68 *3830:70 0 -164 *3700:8 *3830:51 3.38139e-05 -165 *3700:40 *3830:51 0.000261308 -166 *3711:79 *3830:51 4.6098e-05 -167 *3736:62 *3830:70 1.68362e-05 -168 *3749:46 *3830:70 1.95404e-05 -169 *3814:63 *3830:71 0.000416602 -170 *3828:36 *3830:71 0.0004568 -*RES -1 *28387:Q *3830:15 33.3179 -2 *3830:15 *29280:A 9.3 -3 *3830:15 *3830:26 16.8296 -4 *3830:26 *3830:35 11.6588 -5 *3830:35 *3830:36 98.4821 -6 *3830:36 *3830:51 49.2768 -7 *3830:51 *27545:A1 10.9786 -8 *3830:26 *3830:70 27.7315 -9 *3830:70 *3830:71 28.4643 -10 *3830:71 *3830:77 11.6964 -11 *3830:77 *6392:DIODE 9.3 -12 *3830:77 *3830:88 16.2143 -13 *3830:88 *3830:89 57.625 -14 *3830:89 *3830:95 36.0893 -15 *3830:95 *6391:DIODE 9.3 -16 *3830:95 *25276:B2 13.8714 -17 *3830:71 *27899:B2 12.733 -*END - -*D_NET *3831 0.0595854 -*CONN -*I *27553:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27925:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25184:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30271:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28388:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27553:A1 3.58421e-05 -2 *27925:B2 0.00126455 -3 *25184:B2 0.00100109 -4 *30271:A 0.0006274 -5 *28388:Q 6.90514e-05 -6 *3831:92 0.00319206 -7 *3831:83 0.00376162 -8 *3831:82 0.00264078 -9 *3831:53 0.00299074 -10 *3831:40 0.00332236 -11 *3831:28 0.00332555 -12 *3831:5 0.00191846 -13 *25184:B2 *25330:A1 0 -14 *25184:B2 *5386:11 0.000301873 -15 *25184:B2 *5626:109 6.74751e-05 -16 *27925:B2 *25175:B2 2.18902e-05 -17 *27925:B2 *25935:A1 0.000634451 -18 *27925:B2 *3897:48 4.22135e-06 -19 *27925:B2 *3935:47 0.00267833 -20 *27925:B2 *5686:185 0.000592082 -21 *30271:A *25946:A0 0.000316431 -22 *30271:A *28388:D 0.000308092 -23 *3831:28 *25946:A1 2.79421e-05 -24 *3831:28 *28290:D 0.000408214 -25 *3831:28 *29001:A 0.000135028 -26 *3831:28 *3895:29 0.000107996 -27 *3831:28 *4153:5 4.5314e-05 -28 *3831:28 *5705:266 8.25843e-06 -29 *3831:40 *29000:A 0.000218404 -30 *3831:40 *3841:13 0.000370817 -31 *3831:40 *3841:18 0.00181714 -32 *3831:40 *5651:76 0.000288984 -33 *3831:40 *5710:8 4.10926e-05 -34 *3831:40 *6036:27 6.90906e-05 -35 *3831:53 *25326:B2 8.49829e-05 -36 *3831:53 *27509:A1 0.00042698 -37 *3831:53 *5650:133 8.94491e-05 -38 *3831:53 *5744:76 0.000131385 -39 *3831:53 *5892:145 0.000133682 -40 *3831:82 *25491:C 3.15388e-05 -41 *3831:82 *4126:48 0.000113781 -42 *3831:83 *25467:C1 2.06178e-05 -43 *3831:83 *25472:A2 0.000100831 -44 *3831:83 *25774:A1 1.90936e-05 -45 *3831:83 *25877:A0 9.38754e-05 -46 *3831:83 *28717:RESET_B 2.11419e-05 -47 *3831:83 *3867:24 0.00028515 -48 *3831:83 *4113:25 0.000314442 -49 *3831:83 *4113:36 0.000876056 -50 *3831:83 *4195:36 7.29646e-05 -51 *3831:83 *4487:24 8.77986e-05 -52 *3831:83 *5699:41 0 -53 *3831:83 *5728:172 6.5254e-05 -54 *3831:92 *4126:84 0.000150291 -55 *25330:A2 *25184:B2 0.000310249 -56 *25416:B2 *3831:92 0.00175469 -57 *27517:B2 *3831:28 0.000177821 -58 *27553:A2 *27553:A1 1.04232e-05 -59 *27553:A2 *3831:92 1.21258e-05 -60 *27564:B1 *3831:92 0.000318592 -61 *27884:B1 *3831:82 0.000183271 -62 *27914:B1 *3831:83 7.83659e-05 -63 *27929:A1 *3831:92 0.000595429 -64 *28084:RESET_B *30271:A 6.62868e-05 -65 *28290:CLK *3831:28 4.58194e-05 -66 *434:8 *3831:83 7.5779e-05 -67 *740:19 *3831:92 0.00196425 -68 *1235:35 *25184:B2 0.000230639 -69 *1235:52 *25184:B2 4.21517e-05 -70 *1257:46 *25184:B2 2.18959e-05 -71 *1263:50 *25184:B2 8.40344e-05 -72 *1276:21 *25184:B2 0.00060388 -73 *1396:64 *3831:83 0.000307314 -74 *1416:64 *25184:B2 6.74751e-05 -75 *1455:93 *25184:B2 4.15728e-05 -76 *1476:103 *3831:28 0.000218019 -77 *1476:103 *3831:82 0.000792571 -78 *1479:101 *25184:B2 3.77576e-05 -79 *1547:51 *3831:53 8.77988e-05 -80 *1730:41 *3831:92 0.000120835 -81 *1872:31 *3831:83 0.000380643 -82 *1877:42 *3831:83 0.000465547 -83 *1899:42 *3831:28 0.000128161 -84 *2845:80 *3831:53 4.65519e-05 -85 *2866:149 *27925:B2 0.000115084 -86 *2877:87 *3831:92 0.000494292 -87 *2894:55 *25184:B2 0.000262282 -88 *2894:55 *3831:53 0.00254227 -89 *2895:79 *25184:B2 0 -90 *2895:79 *3831:53 0.0007012 -91 *2895:97 *3831:40 0.000136607 -92 *2895:171 *3831:92 0.000143527 -93 *3101:29 *3831:53 8.94491e-05 -94 *3164:125 *3831:82 0 -95 *3164:146 *3831:83 0.00120735 -96 *3165:297 *3831:82 0.000417738 -97 *3165:297 *3831:83 0.000395557 -98 *3165:312 *3831:83 0.000260862 -99 *3168:143 *27925:B2 9.58126e-05 -100 *3174:99 *27925:B2 0.000117787 -101 *3184:207 *3831:28 0.000216304 -102 *3184:207 *3831:82 0.000801592 -103 *3196:125 *3831:83 0.000228286 -104 *3215:112 *3831:53 8.63375e-05 -105 *3314:41 *25184:B2 9.00639e-05 -106 *3455:17 *3831:83 7.44888e-05 -107 *3457:22 *3831:40 0.00209399 -108 *3457:22 *3831:53 0.00106974 -109 *3570:27 *25184:B2 0.000477582 -110 *3570:27 *3831:53 0.000274071 -111 *3570:43 *3831:83 9.71108e-05 -112 *3645:7 *3831:53 0.00109033 -113 *3645:38 *3831:53 0.000327935 -114 *3710:38 *3831:28 0.000126439 -115 *3727:32 *3831:92 8.34363e-05 -116 *3749:105 *3831:83 6.14439e-05 -117 *3802:58 *3831:92 3.52976e-05 -118 *3806:62 *27925:B2 0.000126439 -119 *3806:62 *3831:40 0.000134778 -120 *3830:35 *3831:82 3.70515e-05 -121 *3830:70 *3831:82 7.09352e-05 -*RES -1 *28388:Q *3831:5 10.2464 -2 *3831:5 *30271:A 22.1571 -3 *3831:5 *3831:28 33.6071 -4 *3831:28 *3831:40 49.3832 -5 *3831:40 *3831:53 38.3393 -6 *3831:53 *25184:B2 40.8379 -7 *3831:28 *3831:82 25.7015 -8 *3831:82 *3831:83 46.875 -9 *3831:83 *3831:92 25.2561 -10 *3831:92 *27925:B2 48.983 -11 *3831:92 *27553:A1 10.0321 -*END - -*D_NET *3832 0.0463929 -*CONN -*I *27948:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27573:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30273:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25097:A1 I *D sky130_fd_sc_hd__a211o_1 -*I *28389:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27948:B2 0.00137133 -2 *27573:B2 2.99504e-05 -3 *30273:A 5.11725e-05 -4 *25097:A1 0.00280063 -5 *28389:Q 0 -6 *3832:49 0.00164684 -7 *3832:43 0.00230461 -8 *3832:42 0.00225544 -9 *3832:37 0.00161277 -10 *3832:33 0.00213531 -11 *3832:21 0.000879685 -12 *3832:5 0.00291021 -13 *25097:A1 *4012:39 0.000491456 -14 *25097:A1 *5483:151 4.11173e-05 -15 *25097:A1 *5619:17 1.35137e-05 -16 *25097:A1 *5646:34 7.57827e-05 -17 *25097:A1 *5657:49 0.000114161 -18 *25097:A1 *5665:13 0.000316939 -19 *25097:A1 *5691:108 2.63501e-05 -20 *27948:B2 *3949:28 0.00103973 -21 *27948:B2 *5878:22 0.0015499 -22 *30273:A *25947:A0 0.00017754 -23 *3832:21 *5665:13 0.000198923 -24 *3832:33 *25942:B 1.98839e-05 -25 *3832:33 *25947:A0 9.41642e-05 -26 *3832:33 *3841:55 6.35864e-05 -27 *3832:37 *25395:B2 0.00221322 -28 *3832:37 *27872:A 0.000348908 -29 *3832:37 *3931:17 0.00030294 -30 *3832:37 *4023:28 0.000104905 -31 *3832:37 *5706:188 0.000702178 -32 *3832:43 *25935:A1 6.75979e-05 -33 *3832:43 *3923:32 0.000413482 -34 *3832:43 *4023:18 0 -35 *3832:43 *4036:40 0.0051572 -36 *3832:43 *5687:58 3.14967e-05 -37 *3832:43 *5795:113 0.000195851 -38 *3832:49 *3910:51 0.000134252 -39 *3832:49 *5651:176 0.000175892 -40 *3832:49 *5878:22 0.000236327 -41 *6636:DIODE *27948:B2 9.41642e-05 -42 *25097:A2 *25097:A1 0.000397628 -43 *25266:B *25097:A1 4.28249e-05 -44 *25300:B1 *3832:37 0.000192854 -45 *25410:A1 *25097:A1 7.6504e-05 -46 *27037:S *25097:A1 5.16774e-05 -47 *27559:A *3832:43 0.000194212 -48 *27573:B1 *27573:B2 9.41642e-05 -49 *27930:A1 *3832:43 0.000984515 -50 *27930:C1 *3832:43 0.000108314 -51 *27948:A2 *27948:B2 9.46083e-05 -52 *27955:A *27948:B2 0.000128331 -53 *27955:B *27948:B2 0.00017827 -54 *27955:C *27948:B2 5.94625e-05 -55 *27956:C *27948:B2 0.000175892 -56 *27964:A1 *3832:43 0.000263698 -57 *28610:CLK *25097:A1 0.000600714 -58 *510:26 *3832:43 2.21972e-05 -59 *1185:106 *27573:B2 9.41642e-05 -60 *1252:24 *25097:A1 4.75152e-06 -61 *1252:24 *3832:21 1.69153e-05 -62 *1252:26 *3832:21 0.000162824 -63 *1253:98 *25097:A1 0.000135336 -64 *1326:35 *25097:A1 0.000669977 -65 *1327:83 *3832:33 0.000319257 -66 *1395:29 *3832:33 0.00147681 -67 *1400:41 *3832:37 0.001001 -68 *1416:31 *25097:A1 8.76591e-06 -69 *1421:93 *25097:A1 8.96293e-05 -70 *1422:52 *3832:43 3.85835e-05 -71 *1423:13 *27948:B2 6.05161e-06 -72 *1563:17 *3832:43 2.09606e-05 -73 *1563:26 *3832:43 4.47162e-05 -74 *1722:21 *25097:A1 8.20082e-05 -75 *1844:26 *3832:42 0.000262757 -76 *2853:48 *25097:A1 0.000304143 -77 *2853:48 *3832:21 9.54798e-06 -78 *3105:8 *27948:B2 0.000300006 -79 *3105:8 *3832:49 4.61795e-05 -80 *3145:14 *3832:43 0.000372856 -81 *3168:54 *3832:37 0.000768172 -82 *3170:51 *30273:A 0.00017754 -83 *3170:51 *3832:33 0.000124245 -84 *3173:94 *3832:37 0 -85 *3214:78 *3832:37 8.78052e-05 -86 *3214:92 *3832:37 0.000671818 -87 *3214:121 *27948:B2 9.14369e-05 -88 *3314:41 *25097:A1 0.000594209 -89 *3389:28 *3832:33 0.000760674 -90 *3676:34 *3832:43 0.000186375 -91 *3711:79 *27948:B2 0.000216755 -92 *3711:93 *27948:B2 2.39265e-05 -93 *3728:31 *3832:43 0.00042897 -94 *3777:11 *25097:A1 8.69434e-05 -95 *3779:59 *3832:43 0.000112954 -96 *3814:69 *3832:37 9.93569e-05 -97 *3818:32 *3832:43 0.000260635 -98 *3819:16 *3832:43 0.00016853 -*RES -1 *28389:Q *3832:5 13.8 -2 *3832:5 *25097:A1 31.38 -3 *3832:5 *3832:21 7.35714 -4 *3832:21 *30273:A 11.0679 -5 *3832:21 *3832:33 30.2143 -6 *3832:33 *3832:37 47.9107 -7 *3832:37 *3832:42 11.5893 -8 *3832:42 *3832:43 68.125 -9 *3832:43 *3832:49 13.9286 -10 *3832:49 *27573:B2 14.7464 -11 *3832:49 *27948:B2 48.9473 -*END - -*D_NET *3833 0.0299213 -*CONN -*I *30365:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27676:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27347:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25343:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28635:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *30365:A 0.000194225 -2 *27676:B2 3.15433e-06 -3 *27347:A1 0.000123936 -4 *25343:A1 0.00338531 -5 *28635:Q 0.000126157 -6 *3833:20 0.00359398 -7 *3833:16 0.00175738 -8 *3833:15 0.00167264 -9 *3833:13 0.000302231 -10 *3833:7 0.000619459 -11 *25343:A1 *27688:A1 0.000832204 -12 *25343:A1 *3846:42 0.000123605 -13 *25343:A1 *3886:67 0 -14 *25343:A1 *3924:73 2.22043e-05 -15 *25343:A1 *3924:82 0.000341284 -16 *25343:A1 *3998:50 0.000254225 -17 *25343:A1 *5748:16 0.000110498 -18 *27347:A1 *26885:A1 3.27024e-05 -19 *30365:A *25242:A1 5.52302e-05 -20 *30365:A *27065:A1 0.00017991 -21 *30365:A *4119:13 0.000180348 -22 *30365:A *5642:151 0.000306927 -23 *30365:A *5748:10 0.000232206 -24 *3833:7 *5628:137 0.000155908 -25 *3833:13 *27065:A1 7.40571e-05 -26 *3833:13 *5638:119 0.000170387 -27 *3833:13 *5748:10 0.000293356 -28 *3833:16 *3846:34 0.00421864 -29 *3833:16 *3898:41 0.00103677 -30 *3833:16 *3924:62 0.000130508 -31 *3833:16 *3924:64 0.000729177 -32 *3833:16 *3924:73 0.00144693 -33 *3833:20 *3846:34 1.50904e-05 -34 *3833:20 *3924:73 6.67881e-05 -35 *27338:C1 *3833:16 0.000121582 -36 *27344:A1 *25343:A1 6.79105e-05 -37 *27344:A1 *27347:A1 5.33005e-05 -38 *27344:A1 *3833:20 2.95642e-05 -39 *27347:A2 *25343:A1 9.10327e-05 -40 *27347:B2 *27347:A1 8.25843e-06 -41 *27676:C1 *3833:13 0.000135028 -42 *27751:A2 *3833:13 1.97695e-05 -43 *27751:B1 *27676:B2 1.28809e-05 -44 *27751:B1 *3833:13 9.95075e-05 -45 *28841:A *25343:A1 2.06352e-05 -46 *1256:89 *25343:A1 3.21968e-05 -47 *1265:76 *25343:A1 5.33005e-05 -48 *1265:95 *25343:A1 1.21258e-05 -49 *1266:64 *25343:A1 0 -50 *1275:62 *25343:A1 4.55392e-05 -51 *1275:94 *25343:A1 0.000217677 -52 *1281:103 *25343:A1 0.000317487 -53 *1281:125 *25343:A1 0.000115804 -54 *1416:97 *25343:A1 9.58181e-05 -55 *2761:114 *3833:16 0.000492325 -56 *2775:174 *25343:A1 0.000254585 -57 *2875:243 *25343:A1 0.000775006 -58 *2875:258 *25343:A1 0.000668766 -59 *2875:302 *3833:16 0.000126716 -60 *2877:313 *25343:A1 0 -61 *2882:206 *25343:A1 0.00302928 -62 *2892:197 *27347:A1 3.34565e-05 -63 *2892:280 *27676:B2 1.31802e-07 -64 *2892:280 *3833:13 0.000103977 -65 *3306:6 *30365:A 1.85502e-05 -66 *3306:6 *3833:13 8.16458e-05 -*RES -1 *28635:Q *3833:7 15.3625 -2 *3833:7 *3833:13 12.4107 -3 *3833:13 *3833:15 4.5 -4 *3833:15 *3833:16 55.6786 -5 *3833:16 *3833:20 1.57143 -6 *3833:20 *25343:A1 42.6134 -7 *3833:20 *27347:A1 15.7821 -8 *3833:13 *27676:B2 9.42946 -9 *3833:7 *30365:A 19.9607 -*END - -*D_NET *3834 0.0283516 -*CONN -*I *27366:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27713:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *29753:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25264:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28636:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *27366:A1 0.000360303 -2 *27713:A1 0.000120504 -3 *29753:A 6.2654e-05 -4 *25264:A1 0 -5 *28636:Q 0 -6 *3834:57 0.00152134 -7 *3834:33 0.00132165 -8 *3834:24 0.00382921 -9 *3834:11 0.00310074 -10 *3834:4 0.00157107 -11 *27366:A1 *5609:144 0.00043364 -12 *27713:A1 *27713:B2 1.3701e-05 -13 *29753:A *4044:14 0.000156648 -14 *29753:A *4115:30 0.000156648 -15 *3834:11 *27674:B2 4.38265e-05 -16 *3834:11 *28636:D 0.000106142 -17 *3834:11 *5638:104 4.5534e-05 -18 *3834:24 *27635:B2 0.000115279 -19 *3834:24 *4185:59 4.6118e-05 -20 *3834:24 *5605:56 0.00145579 -21 *3834:24 *5627:57 8.69554e-05 -22 *3834:24 *5627:69 7.15791e-05 -23 *3834:24 *5655:174 0.000179439 -24 *3834:24 *5936:70 0.00028587 -25 *3834:33 *27635:B2 0.000909139 -26 *3834:33 *3841:67 0.000905246 -27 *3834:33 *5483:151 0.00100067 -28 *3834:33 *5691:108 0.00019192 -29 *3834:57 *26930:A0 0.000177821 -30 *3834:57 *26930:A1 0.00054855 -31 *3834:57 *28636:D 0.000177545 -32 *3834:57 *5604:57 0.000502801 -33 *3834:57 *5657:206 0.000103267 -34 *25209:C1 *3834:33 0.000195187 -35 *26930:S *3834:57 0.000431471 -36 *27634:B1 *3834:33 0.000179322 -37 *27635:A1 *3834:33 0.000371331 -38 *27713:A2 *27713:A1 3.93058e-05 -39 *27713:B1 *27713:A1 2.5071e-05 -40 *28636:CLK *3834:11 8.80543e-05 -41 *29865:A *3834:57 3.6392e-05 -42 *1246:36 *3834:24 8.68039e-05 -43 *1246:62 *3834:24 0.000369081 -44 *1416:89 *3834:24 0 -45 *1419:24 *3834:24 0.00198113 -46 *1419:30 *3834:24 0.000507275 -47 *1653:47 *3834:33 0.000252682 -48 *1700:65 *3834:33 0.000253636 -49 *2867:39 *3834:33 6.81786e-05 -50 *2879:61 *3834:24 0.000131496 -51 *2920:8 *27366:A1 0.000452705 -52 *2920:8 *27713:A1 7.6644e-05 -53 *2958:38 *27366:A1 0.000218264 -54 *2958:48 *27366:A1 0.000296906 -55 *2958:48 *27713:A1 7.6644e-05 -56 *3184:118 *3834:11 0.000584127 -57 *3184:118 *3834:24 0.000172708 -58 *3185:92 *3834:24 0.000495975 -59 *3215:44 *3834:24 0.000219265 -60 *3220:51 *3834:33 0.000125717 -61 *3299:29 *3834:24 7.60989e-05 -62 *3547:12 *3834:24 0.000522947 -63 *3547:12 *3834:33 1.35698e-05 -64 *3664:16 *3834:11 7.90714e-05 -65 *3664:16 *3834:24 1.14368e-05 -66 *3686:109 *3834:24 6.6089e-05 -67 *3699:58 *3834:33 0.000143876 -68 *3782:98 *3834:57 0.000101545 -*RES -1 *28636:Q *3834:4 9.3 -2 *3834:4 *3834:11 12.8849 -3 *3834:11 *3834:24 26.1594 -4 *3834:24 *3834:33 42.7679 -5 *3834:33 *25264:A1 9.3 -6 *3834:11 *29753:A 19.3082 -7 *3834:4 *3834:57 32.25 -8 *3834:57 *27713:A1 16.3357 -9 *3834:57 *27366:A1 24.6036 -*END - -*D_NET *3835 0.0725616 -*CONN -*I *29957:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27732:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27386:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25220:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *24837:A I *D sky130_fd_sc_hd__inv_2 -*I *28637:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29957:A 0.000689606 -2 *27732:A1 0 -3 *27386:B2 0.00034888 -4 *25220:A1 0 -5 *24837:A 2.12761e-05 -6 *28637:Q 0.000224509 -7 *3835:73 0.00167218 -8 *3835:58 0.00264608 -9 *3835:51 0.0020772 -10 *3835:45 0.00206766 -11 *3835:38 0.00246882 -12 *3835:36 0.00522714 -13 *3835:35 0.00407155 -14 *3835:26 0.00193327 -15 *3835:11 0.00209325 -16 *3835:10 0.00109537 -17 *24837:A *5772:50 0.00014285 -18 *29957:A *29975:A 0.000166792 -19 *29957:A *4056:53 1.78394e-05 -20 *29957:A *5702:202 5.0539e-05 -21 *29957:A *5814:10 4.11173e-05 -22 *29957:A *6261:17 0.00156041 -23 *29957:A *6279:29 0.000306317 -24 *3835:10 *4854:17 9.84204e-06 -25 *3835:10 *5824:170 3.30337e-05 -26 *3835:10 *6259:38 9.82592e-05 -27 *3835:10 *6262:14 0.000132309 -28 *3835:11 *5702:202 0.000261956 -29 *3835:11 *5772:83 8.15707e-06 -30 *3835:11 *6261:17 0.00046882 -31 *3835:26 *26985:A0 2.28499e-05 -32 *3835:26 *29702:A 8.6229e-06 -33 *3835:26 *4883:13 0.000708248 -34 *3835:26 *4912:10 6.05161e-06 -35 *3835:26 *5702:202 0.000633664 -36 *3835:26 *5772:50 0 -37 *3835:26 *5772:52 0 -38 *3835:26 *5772:63 0 -39 *3835:26 *5772:83 0.000350771 -40 *3835:36 *26852:A0 0.000160057 -41 *3835:36 *26887:A1 4.78056e-05 -42 *3835:36 *27144:B 8.53558e-05 -43 *3835:36 *28195:D 7.01205e-05 -44 *3835:36 *28501:D 3.12114e-05 -45 *3835:36 *28637:RESET_B 1.53262e-05 -46 *3835:36 *28746:RESET_B 3.51585e-05 -47 *3835:36 *30414:A 1.08109e-05 -48 *3835:36 *3848:14 0 -49 *3835:36 *3900:6 0 -50 *3835:36 *3900:21 0 -51 *3835:36 *3900:99 0 -52 *3835:36 *3978:33 0.000489989 -53 *3835:36 *4030:27 2.63878e-05 -54 *3835:36 *4043:32 0 -55 *3835:36 *4095:46 0.00230368 -56 *3835:36 *4095:57 0.00028202 -57 *3835:36 *4204:10 6.83429e-05 -58 *3835:36 *4204:12 0.000636601 -59 *3835:36 *5668:11 0.000165653 -60 *3835:36 *5771:107 0 -61 *3835:36 *5771:116 0 -62 *3835:36 *5771:127 0.000596089 -63 *3835:36 *5771:139 0.000560449 -64 *3835:36 *6261:17 5.27506e-05 -65 *3835:38 *27388:A2 8.22793e-06 -66 *3835:38 *3874:16 0 -67 *3835:38 *4030:36 0.0019443 -68 *3835:38 *4069:66 8.09108e-06 -69 *3835:45 *26966:A1 0.000339346 -70 *3835:45 *27396:C1 0.000303368 -71 *3835:45 *4030:47 0.00113939 -72 *3835:45 *5600:154 0.000472496 -73 *3835:51 *25322:A1 1.98839e-05 -74 *3835:51 *25322:A2 5.31113e-05 -75 *3835:51 *4107:40 0.000514126 -76 *3835:51 *4146:16 0.000138419 -77 *3835:51 *4146:27 0.000713633 -78 *3835:51 *5589:31 0.000129666 -79 *3835:51 *5609:152 3.17148e-05 -80 *3835:51 *5609:165 9.41642e-05 -81 *3835:58 *4107:40 0.00174786 -82 *3835:73 *27684:A1 9.41642e-05 -83 *3835:73 *4106:37 7.40049e-05 -84 *3835:73 *5629:164 2.11419e-05 -85 *3835:73 *5643:66 2.04825e-05 -86 *3835:73 *5644:186 1.90936e-05 -87 *25220:A2 *3835:73 3.28686e-05 -88 *25220:B1 *3835:73 5.04841e-06 -89 *25318:A2 *3835:58 0.000110498 -90 *25333:C1 *3835:73 0.000670865 -91 *27196:S *24837:A 0.00014285 -92 *27364:D *3835:58 7.83587e-05 -93 *27386:B1 *27386:B2 1.70819e-05 -94 *28904:A *3835:26 0.00106801 -95 *29062:A *3835:36 6.14605e-05 -96 *29113:A *3835:26 4.18834e-05 -97 *29114:A *3835:26 0.00157948 -98 *30507:A *3835:45 5.33005e-05 -99 *866:20 *29957:A 0.00181122 -100 *1225:33 *3835:58 0.000331509 -101 *1258:75 *3835:45 0.000110498 -102 *1272:139 *3835:45 3.05203e-05 -103 *1501:43 *3835:73 0.000961168 -104 *1520:19 *3835:38 9.41571e-05 -105 *1520:19 *3835:45 0.00114669 -106 *1520:20 *3835:36 0.00150409 -107 *1520:20 *3835:38 0.00293147 -108 *1525:14 *3835:36 0.000726086 -109 *1540:14 *3835:73 1.21258e-05 -110 *1650:16 *3835:73 0.000292286 -111 *2759:31 *3835:36 2.29842e-05 -112 *2779:10 *3835:36 0.000358309 -113 *2779:33 *3835:36 0.00013463 -114 *2788:16 *3835:36 0.00107896 -115 *2788:16 *3835:38 0.00103922 -116 *2788:22 *3835:38 3.03337e-05 -117 *2794:69 *29957:A 0.00030824 -118 *2794:86 *3835:26 0.000485996 -119 *2848:333 *3835:36 0.00010283 -120 *2850:179 *3835:58 0.000832985 -121 *2860:242 *3835:38 2.29073e-05 -122 *2860:242 *3835:45 4.45982e-06 -123 *2875:232 *3835:73 8.55871e-05 -124 *2886:191 *3835:73 0.000209448 -125 *2920:8 *3835:58 0.000382872 -126 *2932:20 *3835:51 0.000687755 -127 *2958:38 *3835:58 0.00216403 -128 *3281:8 *3835:36 6.44014e-05 -129 *3537:20 *3835:36 0.000248493 -130 *3582:41 *3835:36 0 -131 *3603:58 *3835:26 0.000218734 -132 *3604:110 *3835:10 1.58163e-05 -133 *3686:27 *3835:26 0.000285223 -134 *3704:45 *3835:73 0.000302007 -135 *3739:122 *3835:73 6.05161e-06 -136 *3743:42 *3835:51 0.00262766 -137 *3756:20 *3835:73 1.94945e-05 -138 *3796:124 *3835:36 0.00181888 -139 *3822:110 *3835:26 0 -*RES -1 *28637:Q *3835:10 21.1832 -2 *3835:10 *3835:11 0.839733 -3 *3835:11 *3835:26 48.839 -4 *3835:26 *24837:A 15.1571 -5 *3835:11 *3835:35 3.41 -6 *3835:35 *3835:36 110.17 -7 *3835:36 *3835:38 41.1071 -8 *3835:38 *3835:45 35.625 -9 *3835:45 *3835:51 44.7857 -10 *3835:51 *3835:58 40.8036 -11 *3835:58 *3835:73 42.2682 -12 *3835:73 *25220:A1 9.3 -13 *3835:38 *27386:B2 18.7107 -14 *3835:36 *27732:A1 13.8 -15 *3835:10 *29957:A 23.8642 -*END - -*D_NET *3836 0.0274593 -*CONN -*I *30266:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25083:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27415:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27751:B2 I *D sky130_fd_sc_hd__a221o_2 -*I *28638:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *30266:A 0.000392709 -2 *25083:B2 0.000201679 -3 *27415:B2 0.000259534 -4 *27751:B2 3.78734e-06 -5 *28638:Q 9.12694e-05 -6 *3836:24 0.000563955 -7 *3836:21 0.00169476 -8 *3836:16 0.00190135 -9 *3836:10 0.00244236 -10 *3836:9 0.00173345 -11 *3836:7 0.000483978 -12 *25083:B2 *5642:158 6.07649e-05 -13 *25083:B2 *5873:321 0.000403464 -14 *27751:B2 *27751:A1 1.36772e-05 -15 *27751:B2 *5662:144 6.26774e-06 -16 *30266:A *28638:RESET_B 0.000698729 -17 *30266:A *30455:A 0.000189359 -18 *30266:A *4509:13 6.87574e-05 -19 *30266:A *4912:10 0.000152851 -20 *30266:A *5748:6 0.00017309 -21 *3836:7 *28638:RESET_B 0.000312215 -22 *3836:10 *25356:B2 3.63298e-05 -23 *3836:10 *26938:A0 0.000120883 -24 *3836:10 *27609:B2 0.000399648 -25 *3836:10 *30341:A 0.000297674 -26 *3836:10 *4037:18 0.00109038 -27 *3836:10 *4076:8 0.000128077 -28 *3836:10 *4502:21 9.08304e-05 -29 *3836:10 *5646:258 3.01877e-05 -30 *3836:10 *5688:39 0.000922352 -31 *3836:10 *5716:77 2.40098e-05 -32 *3836:10 *5716:234 7.79781e-06 -33 *3836:10 *5910:16 0.000183378 -34 *3836:16 *3901:27 0.00140769 -35 *3836:21 *5627:116 0.000308539 -36 *3836:21 *5642:158 0.00017517 -37 *3836:21 *5873:321 3.65133e-05 -38 *3836:21 *5879:66 0 -39 *3836:24 *5590:98 5.39159e-05 -40 *3836:24 *5646:232 4.00349e-05 -41 *3836:24 *5662:144 0.000120708 -42 *25083:A1 *25083:B2 0.000124471 -43 *25083:B1 *25083:B2 5.96516e-05 -44 *25243:C1 *3836:21 0.000116333 -45 *25356:B1 *3836:10 0.000611364 -46 *27415:A1 *27415:B2 1.36772e-05 -47 *27415:C1 *27415:B2 2.11419e-05 -48 *27609:A1 *3836:10 8.87913e-05 -49 *27749:A2 *3836:24 6.2787e-05 -50 *27751:C1 *3836:24 0.000303249 -51 *28686:CLK *3836:21 0.000216755 -52 *28691:CLK *30266:A 7.43578e-06 -53 *28899:A *27415:B2 0.000374635 -54 *28899:A *3836:24 0.000128887 -55 *29117:A *3836:10 5.31158e-05 -56 *29121:A *3836:10 0.000110841 -57 *29121:A *3836:16 7.05143e-06 -58 *30456:A *3836:10 0.000683044 -59 *1060:17 *30266:A 0.00051495 -60 *1060:17 *3836:7 0.000166416 -61 *1225:119 *27415:B2 4.92881e-05 -62 *1225:130 *3836:21 0.000148903 -63 *1225:144 *3836:21 0.00150007 -64 *1225:149 *3836:10 0.00030963 -65 *1225:163 *3836:10 0.000216433 -66 *1256:163 *3836:10 6.5254e-05 -67 *1406:8 *27415:B2 0 -68 *1406:8 *3836:24 1.96347e-05 -69 *2774:76 *3836:21 8.91933e-05 -70 *2786:147 *30266:A 9.58624e-05 -71 *3162:18 *3836:21 0 -72 *3600:93 *3836:16 0 -73 *3712:14 *3836:10 8.00842e-05 -74 *3712:14 *3836:16 0.00141358 -75 *3712:22 *3836:21 0.00248466 -76 *3822:110 *30266:A 0 -*RES -1 *28638:Q *3836:7 12.7286 -2 *3836:7 *3836:9 4.5 -3 *3836:9 *3836:10 52.7946 -4 *3836:10 *3836:16 20.8393 -5 *3836:16 *3836:21 41.2768 -6 *3836:21 *3836:24 11.6071 -7 *3836:24 *27751:B2 13.9295 -8 *3836:24 *27415:B2 19.4473 -9 *3836:21 *25083:B2 14.8 -10 *3836:7 *30266:A 32.7107 -*END - -*D_NET *3837 0.0345257 -*CONN -*I *30574:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25052:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27774:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27422:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28639:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *30574:A 0.00097472 -2 *25052:A1 0.000142037 -3 *27774:B2 0.000222478 -4 *27422:B2 0.000907798 -5 *28639:Q 0.000170326 -6 *3837:43 0.00163639 -7 *3837:36 0.000840364 -8 *3837:33 0.001563 -9 *3837:27 0.00235688 -10 *3837:20 0.00246798 -11 *3837:11 0.00262692 -12 *25052:A1 *27774:A1 2.13114e-05 -13 *25052:A1 *4107:26 3.06878e-06 -14 *27422:B2 *27117:A 3.90197e-05 -15 *27422:B2 *4121:62 4.8817e-05 -16 *27422:B2 *5702:147 0.00087815 -17 *27422:B2 *5814:12 0.000269652 -18 *30574:A *27071:A1 0.000167593 -19 *30574:A *28688:RESET_B 0.000148499 -20 *30574:A *29966:A 1.78465e-05 -21 *30574:A *4254:17 6.37318e-05 -22 *30574:A *4817:18 6.61507e-05 -23 *30574:A *5775:160 0.000351957 -24 *30574:A *5775:166 0.000607225 -25 *30574:A *5776:20 3.54919e-05 -26 *30574:A *5776:167 0.000117792 -27 *30574:A *6222:14 9.90845e-05 -28 *3837:11 *27069:A0 0.000102545 -29 *3837:11 *4829:13 7.48091e-05 -30 *3837:11 *5682:26 0.000315158 -31 *3837:11 *5775:60 4.84641e-05 -32 *3837:11 *5881:33 0.000614046 -33 *3837:11 *5905:16 3.2534e-05 -34 *3837:20 *27152:A1 0.000194234 -35 *3837:20 *27822:B2 0.00142099 -36 *3837:20 *28196:D 4.11764e-05 -37 *3837:20 *28732:D 5.45469e-05 -38 *3837:20 *29897:A 0.000266486 -39 *3837:20 *3900:99 0.000618958 -40 *3837:20 *3967:14 0.000164591 -41 *3837:20 *3978:109 7.80714e-06 -42 *3837:20 *4034:8 0.00105974 -43 *3837:20 *4136:8 7.58516e-05 -44 *3837:20 *5640:285 0.00019384 -45 *3837:20 *5775:60 9.2749e-05 -46 *3837:20 *5775:160 1.12323e-05 -47 *3837:20 *5776:20 9.01143e-05 -48 *3837:20 *6201:11 0.000268403 -49 *3837:27 *27152:A1 4.71979e-05 -50 *3837:27 *4136:16 0.00177724 -51 *3837:27 *4149:10 0.000167144 -52 *3837:27 *5627:216 0.000296805 -53 *3837:27 *5654:157 4.58194e-05 -54 *3837:33 *3916:20 0.000686851 -55 *3837:33 *4136:16 1.45832e-05 -56 *3837:33 *5595:69 5.2967e-05 -57 *3837:33 *5627:216 0.000215738 -58 *3837:33 *5635:147 0.000143446 -59 *3837:33 *5635:165 0.000230235 -60 *3837:33 *5809:22 0.00150952 -61 *3837:36 *3930:70 0.000444119 -62 *3837:43 *27774:A1 0.000218409 -63 *3837:43 *3916:20 8.42696e-05 -64 *3837:43 *5627:208 0.000365245 -65 *3837:43 *5702:147 0.000291539 -66 *25048:B1 *3837:27 6.53083e-05 -67 *25052:A2 *25052:A1 9.53821e-06 -68 *25052:B1 *25052:A1 2.24609e-05 -69 *27421:B1 *27422:B2 5.4664e-05 -70 *27422:A2 *27422:B2 2.70764e-05 -71 *27422:B1 *27422:B2 7.45939e-06 -72 *27431:B1 *3837:27 9.60875e-05 -73 *27774:A2 *27774:B2 6.26774e-06 -74 *27774:C1 *27774:B2 5.04841e-06 -75 *27780:A *3837:43 9.54798e-06 -76 *27780:C *3837:33 0.000555449 -77 *866:20 *30574:A 3.48994e-05 -78 *1269:145 *3837:33 0.000215228 -79 *1273:167 *3837:36 0.000170463 -80 *1378:36 *3837:33 0.000390778 -81 *1389:10 *3837:20 0 -82 *1389:10 *3837:27 0.000254562 -83 *2760:135 *27422:B2 0.000181112 -84 *2760:135 *3837:43 2.08323e-05 -85 *2763:169 *3837:27 1.08359e-05 -86 *2791:45 *3837:27 0.000281183 -87 *2791:66 *3837:27 0.000504035 -88 *2793:14 *3837:20 0.00014101 -89 *2882:303 *3837:33 7.07773e-05 -90 *2895:283 *3837:33 0.000385699 -91 *2986:18 *27774:B2 0.000360174 -92 *2986:18 *3837:33 9.21418e-06 -93 *3153:300 *3837:27 1.77672e-05 -94 *3170:232 *27422:B2 2.01997e-05 -95 *3174:239 *3837:43 0.000182136 -96 *3326:11 *3837:36 9.54536e-05 -97 *3367:43 *27422:B2 7.03439e-05 -98 *3367:43 *3837:43 5.51204e-05 -99 *3552:44 *27422:B2 0.000271481 -100 *3609:30 *30574:A 0.000338167 -101 *3783:24 *3837:20 4.15161e-05 -102 *3785:44 *27774:B2 0.000360174 -*RES -1 *28639:Q *3837:11 30.0143 -2 *3837:11 *3837:20 49.8214 -3 *3837:20 *3837:27 36.4821 -4 *3837:27 *3837:33 45.4286 -5 *3837:33 *3837:36 10.3929 -6 *3837:36 *3837:43 15.4704 -7 *3837:43 *27422:B2 23.1645 -8 *3837:43 *27774:B2 22.902 -9 *3837:36 *25052:A1 11.0857 -10 *3837:11 *30574:A 35.175 -*END - -*D_NET *3838 0.0156496 -*CONN -*I *30393:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27811:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27445:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25007:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28640:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30393:A 0.00026987 -2 *27811:A1 3.71564e-05 -3 *27445:B2 3.62004e-05 -4 *25007:B2 0.000422913 -5 *28640:Q 0.000443132 -6 *3838:23 0.000767008 -7 *3838:22 0.00164358 -8 *3838:10 0.00161834 -9 *3838:8 0.0009585 -10 *25007:B2 *5631:20 0.000128154 -11 *25007:B2 *5687:212 0.000431864 -12 *27811:A1 *27811:B1 3.54848e-05 -13 *30393:A *27070:A1 0.000260574 -14 *30393:A *5678:11 2.42516e-05 -15 *3838:8 *27454:A1 0.000151333 -16 *3838:8 *5658:12 0.000142082 -17 *3838:10 *27454:A1 0.000761164 -18 *3838:10 *5658:12 0.000752663 -19 *3838:22 *27448:B2 0.00112353 -20 *3838:22 *27454:A1 0.000169095 -21 *3838:22 *27810:A1 1.37164e-05 -22 *3838:22 *5658:10 0.000907121 -23 *3838:22 *5658:12 0.00172415 -24 *25031:B1 *30393:A 0.000292776 -25 *27445:A1 *3838:23 0.000262504 -26 *27445:A2 *3838:23 5.74499e-06 -27 *27445:B1 *25007:B2 2.95726e-05 -28 *27445:B1 *27445:B2 5.7661e-06 -29 *27445:B1 *3838:23 2.60984e-05 -30 *27446:A2 *3838:22 0 -31 *27811:A2 *27811:A1 7.04607e-05 -32 *27813:A2 *3838:22 0.000247771 -33 *1328:175 *3838:22 0.000591679 -34 *2861:29 *3838:22 0.00040584 -35 *2894:361 *3838:8 0.000507258 -36 *3006:10 *3838:22 9.55031e-05 -37 *3176:230 *3838:10 0.000156861 -38 *3537:74 *25007:B2 0.000129868 -*RES -1 *28640:Q *3838:8 20.8 -2 *3838:8 *3838:10 9.83929 -3 *3838:10 *3838:22 48.75 -4 *3838:22 *3838:23 3.82143 -5 *3838:23 *25007:B2 24.9964 -6 *3838:23 *27445:B2 10.0321 -7 *3838:10 *27811:A1 14.7643 -8 *3838:8 *30393:A 19.675 -*END - -*D_NET *3839 0.0417342 -*CONN -*I *29912:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27825:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27463:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *24975:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28641:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29912:A 0.000516175 -2 *27825:B2 2.13113e-05 -3 *27463:B2 0.000784776 -4 *24975:B2 0 -5 *28641:Q 0.000409699 -6 *3839:45 0.00118042 -7 *3839:39 0.00129651 -8 *3839:30 0.00237814 -9 *3839:24 0.00310961 -10 *3839:21 0.00168153 -11 *3839:13 0.00108352 -12 *3839:7 0.00198151 -13 *27463:B2 *4134:96 0.000219811 -14 *29912:A *27124:A1 0.000312222 -15 *29912:A *29424:A 0.000349365 -16 *29912:A *5680:52 0.000212297 -17 *29912:A *5702:212 9.41642e-05 -18 *29912:A *5775:128 3.71496e-05 -19 *29912:A *6217:20 3.49075e-05 -20 *3839:7 *27071:A1 0.000308247 -21 *3839:7 *28987:A 1.74352e-05 -22 *3839:7 *5702:202 0.00022459 -23 *3839:7 *5702:212 0.000724573 -24 *3839:7 *5824:170 0 -25 *3839:13 *24827:A 0.000358884 -26 *3839:13 *26917:A1 0.000121891 -27 *3839:13 *3978:130 0 -28 *3839:13 *4137:14 0.000229964 -29 *3839:13 *5590:191 8.90566e-05 -30 *3839:13 *5680:52 0.000833177 -31 *3839:13 *5680:54 0.000739159 -32 *3839:13 *5680:66 1.90936e-05 -33 *3839:13 *5709:312 1.12669e-05 -34 *3839:13 *5881:26 0.000235476 -35 *3839:21 *5590:191 2.59355e-05 -36 *3839:24 *25048:A1 0 -37 *3839:24 *25053:A2 9.8393e-05 -38 *3839:24 *26916:S 0.00058198 -39 *3839:24 *26917:S 0.000561831 -40 *3839:24 *27033:A1 0.000252668 -41 *3839:24 *3930:55 0.000115263 -42 *3839:30 *25057:B1 0.000329308 -43 *3839:30 *5600:206 0.00171829 -44 *3839:39 *25010:B2 0.000198698 -45 *3839:39 *4033:16 0.00214328 -46 *3839:39 *4149:72 1.21258e-05 -47 *3839:39 *5627:205 0.000149797 -48 *3839:45 *4134:96 0.000368983 -49 *24975:B1 *3839:24 4.38243e-05 -50 *25053:B1 *3839:24 0.000695958 -51 *25057:B2 *3839:30 0.000125166 -52 *25065:A1 *27463:B2 0.000195647 -53 *25194:A2 *3839:30 0.000127203 -54 *26953:S *3839:13 0.000255577 -55 *27033:S *3839:24 2.30116e-06 -56 *27431:A2 *3839:30 1.94879e-05 -57 *27463:A2 *27463:B2 2.59355e-05 -58 *27463:B1 *27463:B2 5.52302e-05 -59 *27825:A2 *3839:45 1.46576e-05 -60 *28536:CLK *3839:7 0.000345257 -61 *28655:CLK *3839:39 0.000301438 -62 *28910:A *29912:A 0.000312222 -63 *30148:A *3839:39 9.60875e-05 -64 *940:17 *3839:24 0.000160428 -65 *1242:135 *3839:45 0.000557147 -66 *1242:148 *3839:30 2.07144e-05 -67 *1242:159 *3839:24 0.000138983 -68 *1242:159 *3839:30 0.000546488 -69 *1265:149 *3839:30 0.000123605 -70 *1266:194 *3839:30 0.000261971 -71 *1266:205 *3839:30 0.000365683 -72 *1266:222 *3839:30 0.000287119 -73 *1302:6 *3839:24 0.00328171 -74 *1302:6 *3839:30 0.00050762 -75 *1374:6 *3839:30 0.00246451 -76 *1853:64 *3839:24 0 -77 *2773:68 *3839:30 2.06112e-05 -78 *2775:99 *3839:24 0.000293178 -79 *2856:316 *3839:39 0.0023467 -80 *2895:281 *3839:39 0.00023328 -81 *3170:213 *3839:45 5.99701e-05 -82 *3170:232 *3839:45 1.21258e-05 -83 *3215:199 *27463:B2 0.000197314 -84 *3340:6 *3839:45 0.000558862 -85 *3609:77 *29912:A 8.36572e-05 -86 *3609:77 *3839:7 0.000397918 -87 *3694:63 *3839:24 2.61321e-05 -*RES -1 *28641:Q *3839:7 21.7643 -2 *3839:7 *3839:13 37.5 -3 *3839:13 *24975:B2 9.3 -4 *3839:13 *3839:21 5.03571 -5 *3839:21 *3839:24 47.8661 -6 *3839:24 *3839:30 47.6875 -7 *3839:30 *3839:39 48.0357 -8 *3839:39 *3839:45 20.2277 -9 *3839:45 *27463:B2 31.3759 -10 *3839:45 *27825:B2 9.72857 -11 *3839:7 *29912:A 38.7464 -*END - -*D_NET *3840 0.0235393 -*CONN -*I *27501:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25410:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27850:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29398:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28385:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *27501:A1 0 -2 *25410:B2 0.00110607 -3 *27850:B2 0.000142598 -4 *29398:A 1.69531e-05 -5 *28385:Q 0.00073136 -6 *3840:46 0.00268774 -7 *3840:30 0.00262868 -8 *3840:27 0.00229395 -9 *3840:10 0.00185265 -10 *25410:B2 *5500:57 0.000180279 -11 *25410:B2 *5646:38 2.60984e-05 -12 *25410:B2 *5651:39 0.000175498 -13 *25410:B2 *5661:64 4.00349e-05 -14 *25410:B2 *5936:78 2.36158e-05 -15 *29398:A *5947:89 5.33005e-05 -16 *3840:10 *25943:A0 0.000346765 -17 *3840:10 *28039:A 0.000265447 -18 *3840:10 *28385:RESET_B 0 -19 *3840:27 *3909:31 9.71108e-05 -20 *3840:27 *4127:49 2.04866e-05 -21 *3840:27 *4152:5 0.000125355 -22 *3840:27 *4152:50 0.000354175 -23 *3840:27 *5458:24 0.000115903 -24 *3840:27 *5493:25 7.48301e-06 -25 *3840:30 *27501:B2 2.89114e-05 -26 *3840:30 *3957:43 1.31802e-05 -27 *3840:46 *27896:A 2.78512e-05 -28 *3840:46 *3970:40 3.69047e-06 -29 *3840:46 *5510:116 0.000762777 -30 *3840:46 *5646:38 0.000203397 -31 *3840:46 *5706:196 0.000114416 -32 *25326:A2 *3840:46 6.57032e-05 -33 *25326:B1 *3840:46 3.10619e-05 -34 *25410:B1 *25410:B2 1.98972e-05 -35 *25410:C1 *25410:B2 0.000546198 -36 *27495:B1 *3840:30 0.000175892 -37 *27495:B2 *3840:30 0.000203299 -38 *27500:C1 *3840:27 0.000262397 -39 *27501:A2 *3840:46 0.000233948 -40 *27503:A *3840:30 0.000107648 -41 *27850:B1 *27850:B2 7.20217e-06 -42 *27850:C1 *27850:B2 1.05731e-05 -43 *27856:B *3840:46 0.000464893 -44 *27861:B1 *3840:27 0.000471569 -45 *27900:C1 *3840:46 0.000428908 -46 *28084:RESET_B *3840:10 6.42877e-05 -47 *28389:CLK *25410:B2 5.54183e-05 -48 *30274:A *25410:B2 0.000232624 -49 *1470:27 *25410:B2 0.000139946 -50 *1476:107 *3840:10 5.41794e-05 -51 *1476:107 *3840:27 0.000165911 -52 *1547:36 *25410:B2 7.52819e-05 -53 *1644:19 *3840:46 0.000178425 -54 *1899:31 *3840:30 7.77935e-05 -55 *1899:31 *3840:46 0.00018077 -56 *2855:72 *3840:30 0.000219711 -57 *3099:17 *3840:46 0.000425683 -58 *3176:111 *3840:46 0.000221359 -59 *3182:97 *3840:46 0.000115934 -60 *3194:129 *3840:27 0.000298483 -61 *3406:11 *3840:27 0.00103836 -62 *3424:26 *27850:B2 0.000123667 -63 *3428:14 *3840:10 5.41794e-05 -64 *3428:14 *3840:27 0.000318071 -65 *3457:28 *25410:B2 0.000130008 -66 *3469:19 *27850:B2 2.68594e-05 -67 *3482:37 *27850:B2 1.90936e-05 -68 *3666:75 *3840:27 4.60232e-06 -69 *3710:39 *29398:A 5.33005e-05 -70 *3710:39 *3840:10 0.00110594 -71 *3749:46 *3840:27 0.00012401 -72 *3775:55 *3840:46 0.000560453 -*RES -1 *28385:Q *3840:10 29.4607 -2 *3840:10 *29398:A 14.3357 -3 *3840:10 *3840:27 39.875 -4 *3840:27 *3840:30 14.4107 -5 *3840:30 *3840:46 41.0536 -6 *3840:46 *27850:B2 21.0679 -7 *3840:46 *25410:B2 38.3937 -8 *3840:30 *27501:A1 9.3 -*END - -*D_NET *3841 0.0617669 -*CONN -*I *29287:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27520:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25343:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27875:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28386:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29287:A 0.000559186 -2 *27520:A1 0.000656014 -3 *25343:B2 0 -4 *27875:B2 0.000366439 -5 *28386:Q 4.2725e-05 -6 *3841:68 0.00284399 -7 *3841:67 0.00337534 -8 *3841:55 0.00381421 -9 *3841:25 0.00615516 -10 *3841:18 0.00500671 -11 *3841:13 0.00413659 -12 *3841:8 0.00289368 -13 *27520:A1 *4131:36 0.000430246 -14 *27875:B2 *27875:A1 0.00030267 -15 *29287:A *25653:A0 0.000392923 -16 *29287:A *25654:A0 1.5424e-05 -17 *29287:A *25944:A0 0.000401398 -18 *29287:A *29368:A 0.000604179 -19 *29287:A *30525:A 0.000437756 -20 *3841:8 *25944:A0 2.72545e-05 -21 *3841:8 *28386:RESET_B 9.80173e-05 -22 *3841:13 *28386:RESET_B 9.99644e-06 -23 *3841:13 *28387:D 0.000203316 -24 *3841:13 *28995:A 0.000814402 -25 *3841:13 *5705:5 4.47653e-05 -26 *3841:13 *5710:8 4.95506e-05 -27 *3841:13 *6036:27 2.13481e-06 -28 *3841:18 *28135:D 0.000676624 -29 *3841:25 *27100:A0 0.000342715 -30 *3841:25 *5646:63 3.77315e-05 -31 *3841:25 *5718:174 0 -32 *3841:25 *5744:37 0 -33 *3841:55 *27100:A0 0.000575317 -34 *3841:55 *5691:93 8.2758e-06 -35 *3841:55 *5936:70 0.000173981 -36 *3841:67 *5483:151 0.000341954 -37 *3841:67 *5600:14 6.57032e-05 -38 *3841:68 *3867:80 0.000738508 -39 *3841:68 *4043:81 9.99931e-06 -40 *3841:68 *4129:74 4.05174e-06 -41 *3841:68 *4185:59 0.000140107 -42 *3841:68 *5583:88 0.000127017 -43 *3841:68 *5584:13 0.000973831 -44 *3841:68 *5612:12 7.34455e-06 -45 *3841:68 *5612:18 0.000174341 -46 *3841:68 *5612:22 0.00021455 -47 *3841:68 *5861:14 0.000181211 -48 *3841:68 *5861:52 0.000103635 -49 *25283:C *3841:68 6.09762e-05 -50 *27488:A1 *27520:A1 5.52238e-05 -51 *27520:A2 *27520:A1 9.19433e-05 -52 *27520:B1 *27520:A1 9.41642e-05 -53 *27520:C1 *27520:A1 2.09645e-05 -54 *27875:B1 *27875:B2 3.02652e-05 -55 *27875:C1 *27875:B2 5.74747e-06 -56 *27905:C1 *3841:55 0 -57 *28386:CLK *3841:8 3.34295e-05 -58 *28387:CLK *3841:13 2.00576e-05 -59 *28845:A *3841:68 0.000783802 -60 *28885:A *29287:A 0.000126439 -61 *28885:A *3841:8 8.15095e-05 -62 *29367:A *29287:A 0.000105116 -63 *719:17 *29287:A 0.00125549 -64 *1178:69 *3841:68 4.28249e-05 -65 *1218:38 *3841:68 0.000361604 -66 *1243:66 *3841:68 0.000522132 -67 *1249:34 *3841:68 8.40344e-05 -68 *1266:64 *3841:68 0.000571933 -69 *1271:162 *3841:68 0.00384297 -70 *1273:81 *3841:55 0 -71 *1287:60 *29287:A 6.8646e-06 -72 *1327:83 *3841:55 6.35864e-05 -73 *1363:85 *27875:B2 1.90936e-05 -74 *1363:85 *3841:25 0.000219422 -75 *1427:94 *3841:55 1.09611e-05 -76 *1470:64 *3841:55 1.04894e-05 -77 *1611:13 *3841:68 0.000126958 -78 *1617:30 *3841:25 0 -79 *1624:84 *3841:25 0 -80 *1653:47 *3841:67 0.00056481 -81 *1700:24 *3841:68 0.000148976 -82 *1700:37 *3841:68 0.000496058 -83 *1700:44 *3841:68 0.00108204 -84 *1780:13 *29287:A 6.05161e-06 -85 *2845:80 *3841:25 5.24014e-05 -86 *2852:191 *3841:67 9.41642e-05 -87 *2856:176 *3841:68 0.000193996 -88 *2866:167 *27520:A1 0.000121787 -89 *2882:53 *3841:55 0.00156336 -90 *2883:53 *3841:25 0.000682458 -91 *2883:58 *27520:A1 0.000822521 -92 *2883:58 *3841:25 3.70515e-05 -93 *2883:71 *27520:A1 3.17148e-05 -94 *2888:117 *27520:A1 4.11218e-05 -95 *2888:117 *3841:18 0.000533138 -96 *2893:64 *3841:68 0.00219576 -97 *2893:72 *3841:55 3.97677e-05 -98 *3174:83 *27875:B2 3.0379e-05 -99 *3457:22 *3841:18 2.85957e-05 -100 *3482:19 *27875:B2 1.90936e-05 -101 *3546:6 *3841:68 8.26576e-05 -102 *3662:30 *3841:25 0 -103 *3699:58 *3841:55 0.00168698 -104 *3775:39 *27520:A1 0.000257619 -105 *3806:62 *27520:A1 3.36969e-05 -106 *3806:62 *3841:18 0.000534968 -107 *3831:40 *3841:13 0.000370817 -108 *3831:40 *3841:18 0.00181714 -109 *3832:33 *3841:55 6.35864e-05 -110 *3834:33 *3841:67 0.000905246 -*RES -1 *28386:Q *3841:8 15.7821 -2 *3841:8 *3841:13 32.9821 -3 *3841:13 *3841:18 39.8125 -4 *3841:18 *3841:25 8.9184 -5 *3841:25 *27875:B2 22.6966 -6 *3841:25 *3841:55 49.1828 -7 *3841:55 *3841:67 32.3929 -8 *3841:67 *3841:68 95.4464 -9 *3841:68 *25343:B2 13.8 -10 *3841:18 *27520:A1 38.7911 -11 *3841:8 *29287:A 34.6036 -*END - -*D_NET *3842 0.00804345 -*CONN -*I *27628:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27289:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *29350:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25360:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28642:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *27628:A1 0.00033522 -2 *27289:A1 4.52429e-05 -3 *29350:A 0.000141892 -4 *25360:B2 0.00047421 -5 *28642:Q 1.61169e-05 -6 *3842:44 0.00103969 -7 *3842:23 0.000892798 -8 *3842:7 0.000582005 -9 *25360:B2 *25360:A1 3.99614e-06 -10 *25360:B2 *27619:B2 3.03032e-05 -11 *25360:B2 *3933:24 1.98839e-05 -12 *27628:A1 *27628:B1 5.33005e-05 -13 *27628:A1 *5196:62 0.000136958 -14 *27628:A1 *5517:30 1.01241e-05 -15 *29350:A *27073:A1 0.000213996 -16 *3842:44 *27331:B2 6.26774e-06 -17 *3842:44 *5517:30 0.000748802 -18 *3842:44 *5583:58 0.000637554 -19 *25360:A2 *25360:B2 0.000135028 -20 *27289:B1 *27289:A1 5.33005e-05 -21 *27289:B1 *27628:A1 2.20282e-05 -22 *27289:B1 *3842:44 0.000107222 -23 *27331:A1 *3842:44 1.39137e-05 -24 *27331:A2 *3842:44 9.8963e-05 -25 *27617:B1 *3842:44 3.05155e-05 -26 *27628:A2 *27628:A1 0.00011108 -27 *27768:A2 *27628:A1 4.15179e-05 -28 *27768:A2 *3842:44 3.59179e-05 -29 *1182:32 *3842:7 7.62658e-05 -30 *1271:104 *25360:B2 5.52302e-05 -31 *1271:122 *25360:B2 0.000190983 -32 *2780:43 *3842:7 7.62658e-05 -33 *2852:187 *27289:A1 5.33005e-05 -34 *2852:191 *27289:A1 1.98839e-05 -35 *2854:16 *27628:A1 9.88255e-05 -36 *2867:39 *25360:B2 8.25843e-06 -37 *2883:35 *29350:A 0.000426978 -38 *2894:26 *25360:B2 0.000369064 -39 *2894:26 *3842:23 0.000162511 -40 *2894:26 *3842:44 1.90936e-05 -41 *2895:43 *3842:44 9.70968e-05 -42 *3178:149 *27628:A1 6.02871e-05 -43 *3673:23 *27628:A1 9.83388e-05 -44 *3829:36 *25360:B2 8.09423e-05 -45 *3829:36 *3842:23 6.92907e-05 -46 *3829:36 *3842:44 4.2985e-05 -*RES -1 *28642:Q *3842:7 14.5411 -2 *3842:7 *25360:B2 23.4786 -3 *3842:7 *3842:23 2.25 -4 *3842:23 *29350:A 18.0321 -5 *3842:23 *3842:44 25.0714 -6 *3842:44 *27289:A1 14.7464 -7 *3842:44 *27628:A1 20.6393 -*END - -*D_NET *3843 0.0178374 -*CONN -*I *25241:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27541:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27902:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *29250:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28397:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *25241:B2 4.2829e-05 -2 *27541:A1 0 -3 *27902:A1 0.000257068 -4 *29250:A 5.59451e-05 -5 *28397:Q 0.000104275 -6 *3843:51 0.0021339 -7 *3843:22 0.00425547 -8 *3843:17 0.00228952 -9 *3843:8 0.000542404 -10 *25241:B2 *5655:65 5.33005e-05 -11 *27902:A1 *5442:27 1.58163e-05 -12 *3843:8 *5620:30 3.03318e-05 -13 *3843:17 *5620:30 6.33096e-05 -14 *3843:22 *5629:44 0.000245206 -15 *3843:22 *5655:65 0.000508622 -16 *3843:51 *5698:26 4.01691e-05 -17 *25241:A1 *25241:B2 5.33005e-05 -18 *25311:A1 *3843:8 3.4517e-05 -19 *25957:S *29250:A 0.000175892 -20 *27540:A *3843:51 0.000392205 -21 *27541:B1 *3843:51 3.84707e-05 -22 *27858:B2 *3843:51 0.000132911 -23 *27867:A1 *3843:51 0.00107088 -24 *27901:A1 *3843:51 0.000113391 -25 *27902:B1 *27902:A1 6.26574e-05 -26 *1273:47 *3843:17 0.000198276 -27 *1442:14 *27902:A1 0.000531975 -28 *1442:14 *3843:51 0.000508451 -29 *1535:39 *3843:17 2.22043e-05 -30 *1587:36 *29250:A 0.000175892 -31 *1600:41 *3843:8 0.000115446 -32 *1600:41 *3843:17 0.000314362 -33 *1624:76 *3843:51 5.21897e-05 -34 *1721:14 *3843:17 3.82587e-05 -35 *1721:35 *25241:B2 5.1588e-05 -36 *1721:35 *3843:17 6.54117e-05 -37 *2865:57 *3843:17 5.05027e-05 -38 *2871:39 *3843:22 0.000102128 -39 *3096:15 *3843:51 4.21768e-06 -40 *3129:10 *3843:51 0 -41 *3183:86 *25241:B2 4.38621e-05 -42 *3183:86 *3843:17 0.000182881 -43 *3183:98 *27902:A1 5.52302e-05 -44 *3196:52 *3843:17 0.00011594 -45 *3205:55 *3843:51 1.42335e-05 -46 *3411:20 *3843:51 0.000449694 -47 *3425:27 *3843:22 0.00097132 -48 *3506:25 *27902:A1 0.000533697 -49 *3506:25 *3843:51 0.000499908 -50 *3663:56 *3843:51 2.04825e-05 -51 *3778:44 *3843:51 0 -52 *3817:22 *3843:51 6.91613e-06 -*RES -1 *28397:Q *3843:8 15.7732 -2 *3843:8 *29250:A 15.5679 -3 *3843:8 *3843:17 9.23214 -4 *3843:17 *3843:22 32.5357 -5 *3843:22 *27902:A1 22.175 -6 *3843:22 *3843:51 46.4168 -7 *3843:51 *27541:A1 9.3 -8 *3843:17 *25241:B2 15.0679 -*END - -*D_NET *3844 0.0573548 -*CONN -*I *27568:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25223:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29990:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27928:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28398:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27568:A1 0.00130086 -2 *25223:B2 9.04164e-06 -3 *29990:A 0 -4 *27928:A1 0 -5 *28398:Q 3.23816e-05 -6 *3844:75 0.00478386 -7 *3844:74 0.0035695 -8 *3844:63 0.000429858 -9 *3844:59 0.00126954 -10 *3844:41 0.00381513 -11 *3844:40 0.0034068 -12 *3844:25 0.00320331 -13 *3844:20 0.00337988 -14 *3844:13 0.000390784 -15 *3844:7 0.000773493 -16 *3844:7 *28398:RESET_B 9.41642e-05 -17 *3844:13 *5517:18 3.06186e-05 -18 *3844:13 *5749:32 0.000216875 -19 *3844:13 *6225:79 0.000352235 -20 *3844:20 *5483:135 5.2177e-05 -21 *3844:20 *5869:32 0.000452863 -22 *3844:20 *6225:79 5.52302e-05 -23 *3844:25 *24880:A 0.000231535 -24 *3844:25 *25958:A1 3.3824e-05 -25 *3844:25 *27894:A1 0.000139632 -26 *3844:25 *27894:A2 2.12087e-05 -27 *3844:25 *29150:A 0.000295613 -28 *3844:25 *5691:149 8.25843e-06 -29 *3844:25 *5691:157 5.84403e-05 -30 *3844:25 *5711:67 0.000939639 -31 *3844:40 *24926:B 0.000409442 -32 *3844:40 *5517:18 0.000548431 -33 *3844:40 *5749:32 5.21988e-05 -34 *3844:41 *25358:A2 1.68854e-05 -35 *3844:41 *28642:D 0.000141039 -36 *3844:41 *5505:42 0.000310531 -37 *3844:41 *5606:33 5.03223e-05 -38 *3844:59 *3946:45 0.000773239 -39 *3844:59 *5653:41 9.41642e-05 -40 *3844:63 *4133:108 0.00017754 -41 *3844:75 *25221:B2 0.000365522 -42 *3844:75 *27933:A1 2.22877e-05 -43 *3844:75 *3913:70 0.00152255 -44 *3844:75 *5621:17 4.58194e-05 -45 *3844:75 *5936:33 0.000636786 -46 *25209:A2 *3844:59 0.000339346 -47 *25221:B1 *3844:75 1.8226e-05 -48 *25222:C1 *3844:75 0.000219289 -49 *25223:A2 *25223:B2 2.84269e-05 -50 *25223:B1 *25223:B2 2.84269e-05 -51 *25289:A2 *3844:41 0.000259904 -52 *25387:B1 *3844:7 4.87953e-05 -53 *25387:B1 *3844:20 0.00028843 -54 *25958:S *3844:25 0.000127643 -55 *26828:S *3844:41 0.000125649 -56 *27568:A2 *27568:A1 0.000840218 -57 *27569:D *27568:A1 9.41642e-05 -58 *27614:B1 *3844:41 2.06178e-05 -59 *27628:A2 *3844:59 9.58126e-05 -60 *27636:D *3844:41 0.000394381 -61 *27894:B1 *3844:25 0.000307818 -62 *27928:A2 *3844:25 1.55638e-05 -63 *27928:B1 *3844:25 6.05161e-06 -64 *27933:B1 *3844:75 0.000797491 -65 *27933:B2 *27568:A1 0.000147031 -66 *27933:B2 *3844:75 0.000355205 -67 *27940:B *3844:75 0.000219289 -68 *27941:A3 *3844:75 0.00030355 -69 *28626:CLK *3844:25 6.05161e-06 -70 *29992:A *3844:25 0.00046996 -71 *1140:10 *3844:25 3.07967e-05 -72 *1178:82 *3844:75 0.00171052 -73 *1218:29 *3844:75 0.000967031 -74 *1245:51 *3844:41 5.68451e-05 -75 *1248:81 *3844:75 0.000301016 -76 *1250:163 *3844:40 0.000512513 -77 *1254:144 *3844:41 0.000226291 -78 *1265:36 *3844:59 0.000773239 -79 *1271:104 *3844:41 4.1879e-05 -80 *1289:54 *3844:13 0.000144038 -81 *1407:89 *3844:25 0.00119203 -82 *1419:53 *3844:41 0.000743727 -83 *1455:19 *3844:41 0.000272008 -84 *1580:12 *3844:41 0.000821557 -85 *1592:13 *3844:41 3.90513e-05 -86 *1607:8 *3844:41 0.005276 -87 *1607:8 *3844:59 5.82662e-05 -88 *1653:35 *3844:40 0 -89 *1670:20 *3844:41 2.06112e-05 -90 *1701:11 *3844:13 0.000126566 -91 *1701:11 *3844:20 5.71472e-05 -92 *1900:17 *3844:13 0.000110735 -93 *2781:87 *3844:41 0.000167397 -94 *2781:88 *3844:59 0.000814896 -95 *2855:142 *3844:63 0.000488395 -96 *2855:142 *3844:74 0.000151315 -97 *2859:117 *27568:A1 3.51393e-05 -98 *2859:127 *27568:A1 1.81887e-05 -99 *2860:56 *3844:40 0.000267503 -100 *2877:51 *3844:59 0.000341 -101 *2895:34 *3844:41 8.87913e-05 -102 *2895:43 *3844:41 7.62355e-05 -103 *3178:144 *3844:59 1.02504e-05 -104 *3196:42 *3844:41 5.59013e-05 -105 *3436:13 *3844:25 4.29414e-05 -106 *3673:23 *3844:59 0.000424513 -107 *3818:32 *27568:A1 0.000175082 -108 *3829:8 *3844:63 0.000485156 -109 *3829:8 *3844:74 0.000159346 -*RES -1 *28398:Q *3844:7 14.7464 -2 *3844:7 *3844:13 11.3036 -3 *3844:13 *3844:20 15.4286 -4 *3844:20 *3844:25 45.9464 -5 *3844:25 *27928:A1 9.3 -6 *3844:13 *29990:A 9.3 -7 *3844:7 *3844:40 15.2222 -8 *3844:40 *3844:41 77.9911 -9 *3844:41 *3844:59 49.9286 -10 *3844:59 *3844:63 12.7679 -11 *3844:63 *25223:B2 14.0857 -12 *3844:63 *3844:74 6.75 -13 *3844:74 *3844:75 67.0714 -14 *3844:75 *27568:A1 31.2286 -*END - -*D_NET *3845 0.0530209 -*CONN -*I *25159:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27945:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27575:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30070:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28399:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25159:B2 4.44619e-05 -2 *27945:A1 0.00163424 -3 *27575:A1 0 -4 *30070:A 0 -5 *28399:Q 0.000224654 -6 *3845:62 0.00250582 -7 *3845:59 0.0054935 -8 *3845:47 0.0017866 -9 *3845:31 0.00746721 -10 *3845:9 0.00132781 -11 *27945:A1 *25357:B2 0.00138283 -12 *27945:A1 *5210:13 0.000175892 -13 *27945:A1 *5650:67 0.00012831 -14 *27945:A1 *5650:75 0.00126385 -15 *3845:9 *25959:A1 5.49166e-05 -16 *3845:9 *5622:74 0.000261197 -17 *3845:31 *25160:A 7.88932e-05 -18 *3845:31 *25959:A1 0.000218404 -19 *3845:31 *3996:19 0.000123739 -20 *3845:31 *5622:74 0.00037187 -21 *3845:47 *29549:A 0.000222244 -22 *3845:47 *3880:50 0 -23 *3845:47 *3893:97 1.39841e-05 -24 *3845:47 *4088:79 0.000388051 -25 *3845:47 *4140:47 1.98839e-05 -26 *3845:47 *5680:343 0.000152242 -27 *3845:59 *5600:47 0.0012234 -28 *3845:62 *3875:73 0.00146809 -29 *3845:62 *5217:14 0 -30 *3845:62 *5623:137 0.000120255 -31 *3845:62 *5626:69 0.00020996 -32 *25212:C *3845:59 0.000848735 -33 *25295:A2 *3845:59 0.000940501 -34 *25312:D *3845:59 0.000108872 -35 *25339:A1 *3845:31 0.000288033 -36 *25376:B1 *27945:A1 0.000760629 -37 *25753:A *3845:47 5.81579e-05 -38 *25954:A *3845:31 0.000173377 -39 *27575:A2 *3845:47 0.000420164 -40 *27878:C1 *3845:47 1.08359e-05 -41 *27932:C1 *3845:62 0 -42 *27945:B1 *27945:A1 0.000139187 -43 *1218:18 *3845:62 5.15952e-05 -44 *1242:37 *3845:59 7.96047e-05 -45 *1282:136 *3845:59 0.000673932 -46 *1282:158 *3845:59 2.05803e-05 -47 *1420:22 *3845:59 9.0874e-05 -48 *1457:117 *3845:62 0 -49 *1480:17 *25159:B2 7.11861e-05 -50 *1600:41 *3845:9 0.000323681 -51 *1603:13 *25159:B2 7.1959e-05 -52 *1648:24 *3845:59 0.000121787 -53 *1657:19 *3845:31 9.74665e-05 -54 *1657:56 *3845:62 0 -55 *1719:12 *3845:59 0.00786636 -56 *1721:14 *3845:9 0.000321075 -57 *1722:21 *3845:59 0.00176996 -58 *2852:228 *3845:47 0.00100874 -59 *2852:231 *3845:47 0.000142004 -60 *2860:64 *3845:31 0.000307967 -61 *2860:64 *3845:47 0.00256132 -62 *2860:71 *3845:47 5.96006e-05 -63 *3067:12 *3845:47 1.18064e-05 -64 *3158:47 *3845:47 0.000817519 -65 *3178:55 *3845:31 0.000579826 -66 *3178:55 *3845:47 0.000449564 -67 *3191:37 *3845:9 0.000135028 -68 *3212:44 *3845:47 7.95708e-05 -69 *3389:20 *3845:59 0.00191654 -70 *3485:14 *27945:A1 5.33005e-05 -71 *3662:19 *3845:47 3.4323e-06 -72 *3788:49 *3845:47 0.00102804 -73 *3792:80 *3845:47 0.000195749 -*RES -1 *28399:Q *3845:9 25.2643 -2 *3845:9 *30070:A 9.3 -3 *3845:9 *3845:31 21.6716 -4 *3845:31 *3845:47 41.8961 -5 *3845:47 *27575:A1 9.3 -6 *3845:31 *3845:59 28.7746 -7 *3845:59 *3845:62 23.9018 -8 *3845:62 *27945:A1 41.05 -9 *3845:59 *25159:B2 15.1571 -*END - -*D_NET *3846 0.0491146 -*CONN -*I *30160:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27351:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25322:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27679:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28643:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *30160:A 0.00115904 -2 *27351:A1 4.37595e-05 -3 *25322:B2 0.000809145 -4 *27679:A1 7.60462e-05 -5 *28643:Q 0 -6 *3846:42 0.00133143 -7 *3846:34 0.00301293 -8 *3846:33 0.00477343 -9 *3846:11 0.00239136 -10 *3846:8 0.00246535 -11 *3846:7 0.00241366 -12 *3846:4 0.00118364 -13 *25322:B2 *5609:165 1.31802e-07 -14 *25322:B2 *5639:124 0.000401178 -15 *25322:B2 *5748:16 0.000149911 -16 *27679:A1 *27679:A2 7.41811e-05 -17 *27679:A1 *27679:B1 4.37649e-05 -18 *27679:A1 *27699:A2 5.49544e-05 -19 *30160:A *26976:A1 0.000352663 -20 *30160:A *4699:9 0.000466503 -21 *30160:A *4928:13 0.00034777 -22 *30160:A *5701:9 1.21289e-05 -23 *30160:A *5736:10 0.000552037 -24 *30160:A *5771:30 2.22674e-05 -25 *30160:A *5772:70 0.000169366 -26 *3846:7 *4928:13 9.60939e-05 -27 *3846:7 *5772:70 9.60939e-05 -28 *3846:8 *29226:A 0.000609386 -29 *3846:8 *4003:8 0.000541704 -30 *3846:8 *4003:20 0.000524101 -31 *3846:8 *4029:16 0.00199693 -32 *3846:8 *4029:24 0.00059205 -33 *3846:8 *4080:22 0.000252854 -34 *3846:8 *5639:229 3.83164e-05 -35 *3846:8 *5701:169 0.000410417 -36 *3846:8 *5716:121 0.000193118 -37 *3846:8 *5795:60 0.00162571 -38 *3846:8 *5824:153 0.0012141 -39 *3846:8 *5824:159 0.00019608 -40 *3846:11 *27679:A2 0.000257619 -41 *3846:11 *27699:A2 0.000247716 -42 *3846:33 *27699:B1 4.37712e-06 -43 *3846:33 *4017:56 2.35215e-05 -44 *3846:33 *4132:38 0 -45 *3846:33 *5641:243 1.90936e-05 -46 *3846:33 *5646:232 1.31821e-05 -47 *3846:33 *5649:220 0.000130336 -48 *3846:34 *26885:A1 0.000284626 -49 *3846:34 *27137:A1 5.66795e-05 -50 *3846:34 *27339:B2 0.000216829 -51 *3846:34 *27676:A1 9.16325e-06 -52 *3846:34 *28684:RESET_B 0.000210318 -53 *3846:34 *3898:41 0.000227083 -54 *3846:34 *3924:73 7.6377e-05 -55 *3846:34 *3992:54 0.000334153 -56 *3846:34 *3992:66 1.43434e-05 -57 *3846:34 *5632:131 0.000245342 -58 *3846:34 *5649:217 0.000453561 -59 *3846:34 *5655:217 0.000408289 -60 *3846:34 *5661:267 0.000110632 -61 *3846:34 *5708:117 2.61337e-05 -62 *3846:34 *5748:16 0.000721058 -63 *3846:42 *3992:54 0.000864585 -64 *3846:42 *5748:16 0.000538126 -65 *25186:A2 *3846:33 1.34859e-05 -66 *25314:B1 *27679:A1 1.24368e-05 -67 *25343:A1 *3846:42 0.000123605 -68 *27338:B1 *3846:34 0.000185339 -69 *27344:A1 *3846:34 8.19176e-05 -70 *27347:A2 *3846:34 4.5539e-05 -71 *27351:B1 *27351:A1 2.59355e-05 -72 *27682:B *3846:34 0.000107843 -73 *27682:C *3846:34 1.14483e-05 -74 *27682:D *3846:34 0.000138443 -75 *27751:A2 *3846:34 0.000197991 -76 *29197:A *3846:8 0.000311887 -77 *29413:A *3846:8 0.00021962 -78 *1256:113 *3846:34 2.11419e-05 -79 *1261:25 *3846:34 0.000170498 -80 *1269:231 *25322:B2 0.00136246 -81 *1286:10 *3846:34 0.000220448 -82 *1507:20 *27351:A1 9.41642e-05 -83 *1558:14 *3846:34 0.000217119 -84 *1639:35 *25322:B2 6.05161e-06 -85 *1643:14 *25322:B2 0.00180636 -86 *1643:14 *3846:42 7.90803e-05 -87 *1863:14 *3846:8 0.000330902 -88 *2759:111 *3846:33 0.00013361 -89 *2761:98 *3846:33 0.000739574 -90 *2761:114 *3846:34 0.000371942 -91 *2768:107 *3846:8 2.04825e-05 -92 *2769:227 *30160:A 0.000645043 -93 *2773:118 *3846:33 1.89948e-05 -94 *2780:297 *30160:A 1.26938e-05 -95 *2784:67 *3846:34 0 -96 *2794:95 *3846:8 9.39787e-05 -97 *2794:102 *3846:8 2.02907e-05 -98 *2794:107 *3846:8 4.05107e-05 -99 *2872:260 *3846:34 0.00026537 -100 *2877:221 *25322:B2 2.36643e-05 -101 *2891:270 *3846:33 7.21314e-05 -102 *2895:316 *3846:33 2.83129e-05 -103 *3230:6 *3846:34 0 -104 *3598:18 *3846:33 2.10272e-05 -105 *3796:70 *25322:B2 0.000402825 -106 *3833:16 *3846:34 0.00421864 -107 *3833:20 *3846:34 1.50904e-05 -*RES -1 *28643:Q *3846:4 9.3 -2 *3846:4 *3846:7 5.44643 -3 *3846:7 *3846:8 73.5893 -4 *3846:8 *3846:11 7.08929 -5 *3846:11 *27679:A1 11.0857 -6 *3846:11 *3846:33 23.2139 -7 *3846:33 *3846:34 76.6429 -8 *3846:34 *3846:42 13.9018 -9 *3846:42 *25322:B2 47.1259 -10 *3846:42 *27351:A1 14.7464 -11 *3846:4 *30160:A 49.4786 -*END - -*D_NET *3847 0.0882032 -*CONN -*I *27698:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27363:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25259:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29799:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28644:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27698:A1 0.000393975 -2 *27363:B2 0.000303425 -3 *25259:B2 1.3686e-05 -4 *29799:A 0.000248005 -5 *28644:Q 0 -6 *3847:87 0.00448712 -7 *3847:72 0.00543312 -8 *3847:64 0.00463973 -9 *3847:41 0.00419024 -10 *3847:35 0.00199231 -11 *3847:25 0.0049049 -12 *3847:17 0.00186319 -13 *3847:14 0.00226563 -14 *3847:5 0.00413641 -15 *27363:B2 *27694:C1 7.466e-05 -16 *27698:A1 *27679:A2 2.83221e-05 -17 *27698:A1 *3977:15 4.00276e-06 -18 *27698:A1 *5633:103 8.29843e-06 -19 *3847:14 *28442:D 0.000192702 -20 *3847:14 *29838:A 0.000563243 -21 *3847:14 *4528:14 6.71336e-05 -22 *3847:14 *4740:33 0.000203556 -23 *3847:14 *5521:41 0.000136782 -24 *3847:14 *5526:14 4.15526e-05 -25 *3847:14 *5757:176 0.000182717 -26 *3847:14 *6009:23 5.17596e-05 -27 *3847:17 *4352:11 0.00379562 -28 *3847:17 *5448:11 0 -29 *3847:17 *5757:176 0.000163529 -30 *3847:25 *25125:A1 3.30446e-05 -31 *3847:25 *25604:A0 3.32582e-05 -32 *3847:25 *26839:A0 0.000120421 -33 *3847:25 *27075:A0 0 -34 *3847:25 *27102:A0 2.06112e-05 -35 *3847:25 *27484:S 8.63892e-05 -36 *3847:25 *27759:A1 0.000766054 -37 *3847:25 *28668:D 0 -38 *3847:25 *4018:17 3.50568e-05 -39 *3847:25 *4528:14 0.000236838 -40 *3847:25 *4796:30 0.000857905 -41 *3847:25 *4952:13 0.000841461 -42 *3847:25 *5005:14 1.18064e-05 -43 *3847:25 *5535:14 0.000187418 -44 *3847:25 *5599:72 0.000549088 -45 *3847:25 *5657:14 4.43698e-05 -46 *3847:25 *5700:122 0 -47 *3847:25 *5749:47 5.29536e-05 -48 *3847:25 *5945:18 3.45503e-05 -49 *3847:25 *6319:25 0.00273085 -50 *3847:35 *28652:D 0.000370316 -51 *3847:35 *5629:132 2.82663e-05 -52 *3847:35 *5657:14 0.000106387 -53 *3847:35 *5657:197 6.52047e-05 -54 *3847:35 *5657:198 0.000709832 -55 *3847:64 *27717:A1 0 -56 *3847:64 *3860:38 0 -57 *3847:64 *4133:108 0.000432759 -58 *3847:64 *5583:112 0.000430763 -59 *3847:64 *5609:137 0.000767937 -60 *3847:64 *5629:139 0.000235741 -61 *3847:64 *5644:172 2.21972e-05 -62 *3847:64 *5650:51 0.00042481 -63 *3847:64 *5657:198 5.68312e-06 -64 *3847:64 *5687:114 5.29004e-05 -65 *3847:64 *5700:77 0.000356584 -66 *3847:64 *5713:84 0 -67 *3847:64 *5754:102 0 -68 *3847:64 *5754:127 0.000103244 -69 *3847:72 *5629:164 0.000352463 -70 *3847:72 *5644:172 4.22416e-05 -71 *3847:72 *5748:28 7.83659e-05 -72 *3847:87 *26958:A0 0.000231317 -73 *3847:87 *27683:A1 0.000293678 -74 *3847:87 *28629:RESET_B 0.000154498 -75 *3847:87 *3963:60 0.000107789 -76 *3847:87 *4094:20 0.000168461 -77 *3847:87 *5582:89 0.00212881 -78 *3847:87 *5607:71 8.661e-05 -79 *3847:87 *5629:164 9.93218e-05 -80 *3847:87 *5702:38 0.000192889 -81 *3847:87 *5765:95 2.09897e-05 -82 *3847:87 *5892:74 6.59118e-05 -83 *25259:B1 *25259:B2 2.84269e-05 -84 *25321:B2 *3847:35 0.000171892 -85 *26921:S *27363:B2 1.90111e-05 -86 *27039:S *3847:25 2.17663e-05 -87 *27363:B1 *27363:B2 0.00021619 -88 *27363:C1 *27363:B2 5.49489e-05 -89 *27365:B2 *3847:72 0.00094817 -90 *27671:A1 *3847:35 6.46173e-05 -91 *27671:A2 *3847:35 2.11068e-05 -92 *27694:A2 *27363:B2 0.000220688 -93 *27698:B2 *27698:A1 0.000113035 -94 *27698:C1 *27698:A1 3.77297e-05 -95 *28620:CLK *3847:64 1.34436e-05 -96 *28787:D *3847:35 0.000172779 -97 *29826:A *3847:25 4.16383e-05 -98 *30287:A *3847:14 6.53083e-05 -99 *30287:A *3847:25 0.00031432 -100 *30686:A *3847:14 5.80706e-06 -101 *19:25 *29799:A 0 -102 *20:10 *29799:A 5.98882e-05 -103 *20:10 *3847:17 0 -104 *22:18 *29799:A 0 -105 *39:26 *3847:14 0 -106 *1218:109 *3847:25 0.000764574 -107 *1252:61 *3847:35 0.000137113 -108 *1252:83 *3847:35 4.12839e-05 -109 *1262:160 *3847:64 0.000101545 -110 *1266:64 *3847:87 0.000272844 -111 *1267:76 *3847:87 0.00122678 -112 *1282:68 *3847:25 9.87983e-06 -113 *1536:27 *3847:41 0.000367623 -114 *1536:27 *3847:64 0.000145177 -115 *1539:11 *3847:25 0.000221678 -116 *1635:14 *3847:87 0.000157596 -117 *2777:49 *3847:25 4.64236e-05 -118 *2780:100 *3847:14 0.000189306 -119 *2784:26 *3847:25 8.64454e-05 -120 *2798:60 *3847:25 1.90936e-05 -121 *2844:246 *27363:B2 0 -122 *2853:164 *3847:64 3.48271e-05 -123 *2853:187 *3847:64 9.69507e-06 -124 *2867:25 *3847:35 7.02772e-05 -125 *2886:164 *3847:35 0.00134973 -126 *2886:175 *3847:35 0.000711921 -127 *2889:34 *3847:64 0.000106109 -128 *2889:36 *3847:87 1.3409e-05 -129 *2889:48 *3847:87 0.000185417 -130 *2891:165 *3847:87 0.000110341 -131 *3185:65 *3847:64 0.000130975 -132 *3196:30 *3847:25 0.000320166 -133 *3205:180 *3847:41 0.00014559 -134 *3205:210 *3847:64 0.000649659 -135 *3205:230 *3847:72 9.41642e-05 -136 *3206:95 *3847:41 0.000146143 -137 *3206:95 *3847:64 0.000171976 -138 *3216:26 *3847:25 3.93018e-05 -139 *3245:13 *27363:B2 0.000420944 -140 *3316:17 *3847:41 0.000133384 -141 *3638:49 *3847:25 6.60584e-05 -142 *3638:60 *3847:25 5.18401e-05 -143 *3642:8 *3847:25 0.000176903 -144 *3642:99 *3847:25 0.000301622 -145 *3677:48 *27363:B2 2.51923e-05 -146 *3704:14 *3847:87 0.0105272 -147 *3704:45 *3847:72 0.000265243 -148 *3739:122 *3847:72 0.000222807 -149 *3756:18 *3847:87 0.000432331 -150 *3756:20 *3847:72 0.000350243 -151 *3756:20 *3847:87 0.00191757 -152 *3782:16 *3847:25 0.000121348 -153 *3782:45 *3847:25 8.23597e-06 -154 *3782:66 *3847:25 9.75741e-06 -155 *3782:66 *3847:35 0.000725465 -156 *3820:77 *3847:25 0 -157 *3820:79 *3847:64 0.000428625 -158 *3820:87 *3847:64 0.000308154 -159 *3820:91 *3847:72 0.000392621 -160 *3820:105 *3847:87 0.00317344 -161 *3821:19 *3847:64 0.000168777 -162 *3821:19 *3847:72 0.00214391 -*RES -1 *28644:Q *3847:5 13.8 -2 *3847:5 *3847:14 21.5889 -3 *3847:14 *3847:17 47.75 -4 *3847:17 *29799:A 18.175 -5 *3847:5 *3847:25 87.4018 -6 *3847:25 *3847:35 44.8304 -7 *3847:35 *3847:41 15.4821 -8 *3847:41 *25259:B2 14.0857 -9 *3847:41 *3847:64 43.4696 -10 *3847:64 *3847:72 44.0625 -11 *3847:72 *27363:B2 22.0902 -12 *3847:72 *3847:87 140.679 -13 *3847:87 *27698:A1 19.4071 -*END - -*D_NET *3848 0.0827852 -*CONN -*I *29955:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24836:A I *D sky130_fd_sc_hd__inv_2 -*I *27391:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27731:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25208:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28645:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29955:A 0.00143241 -2 *24836:A 0.00109916 -3 *27391:A1 9.58726e-05 -4 *27731:B2 5.19418e-05 -5 *25208:A1 0 -6 *28645:Q 1.16062e-05 -7 *3848:95 0.00259034 -8 *3848:61 0.00365368 -9 *3848:40 0.00737883 -10 *3848:38 0.00455801 -11 *3848:30 0.00156225 -12 *3848:20 0.000950411 -13 *3848:14 0.00300196 -14 *3848:13 0.00319749 -15 *3848:7 0.00330782 -16 *24836:A *30847:A 4.27338e-05 -17 *24836:A *3874:102 1.5424e-05 -18 *27731:B2 *5593:15 9.46929e-05 -19 *27731:B2 *5809:13 0.000178847 -20 *29955:A *3930:22 0 -21 *29955:A *3939:104 0 -22 *29955:A *5296:20 2.14879e-05 -23 *29955:A *5364:13 0 -24 *29955:A *5676:307 0.000136572 -25 *29955:A *5775:171 0 -26 *29955:A *5903:11 0.000301273 -27 *29955:A *5905:37 0.00249114 -28 *29955:A *6284:17 0.000185437 -29 *3848:7 *28645:D 5.52302e-05 -30 *3848:7 *28645:RESET_B 5.52302e-05 -31 *3848:13 *4043:32 0.000679675 -32 *3848:13 *5695:37 9.85424e-06 -33 *3848:13 *5772:100 4.65944e-05 -34 *3848:13 *5905:48 9.41642e-05 -35 *3848:14 *26887:A0 0.000222548 -36 *3848:14 *27368:B2 0.000198705 -37 *3848:14 *28195:D 3.03282e-05 -38 *3848:14 *30414:A 2.79472e-05 -39 *3848:14 *3978:44 0.00156026 -40 *3848:14 *4017:44 0.00270971 -41 *3848:14 *4043:32 0.00249662 -42 *3848:14 *4204:10 6.59765e-05 -43 *3848:14 *5590:161 2.11419e-05 -44 *3848:14 *5656:350 0.000111341 -45 *3848:14 *5658:149 0.00092633 -46 *3848:14 *5795:181 0.00023313 -47 *3848:14 *5795:188 0.00171342 -48 *3848:14 *5810:17 0.000392783 -49 *3848:14 *5810:77 0.000518976 -50 *3848:14 *5903:28 0.000450469 -51 *3848:30 *3861:38 5.20232e-05 -52 *3848:30 *5609:236 5.20298e-05 -53 *3848:30 *6351:24 4.49325e-05 -54 *3848:38 *27701:B1 0.000130051 -55 *3848:38 *28653:RESET_B 0.000232655 -56 *3848:38 *3900:38 6.98665e-05 -57 *3848:38 *5630:250 0.000362147 -58 *3848:38 *5765:95 8.38362e-05 -59 *3848:38 *6351:24 1.34916e-05 -60 *3848:38 *6351:26 0.000236399 -61 *3848:40 *27342:B2 0.00106384 -62 *3848:40 *28539:SET_B 4.28365e-05 -63 *3848:40 *28653:D 0.000122887 -64 *3848:40 *28653:RESET_B 0.000307782 -65 *3848:40 *3900:38 0.000191408 -66 *3848:40 *3900:40 0.000442953 -67 *3848:40 *5633:152 6.5919e-05 -68 *3848:40 *5643:40 0.000900981 -69 *3848:40 *5651:129 2.03455e-05 -70 *3848:40 *5651:248 0.000559698 -71 *3848:40 *5663:69 0.00127483 -72 *3848:40 *5708:164 0.000299955 -73 *3848:61 *25208:B2 3.10313e-06 -74 *3848:61 *27048:A1 8.7378e-05 -75 *3848:61 *4146:56 0.000107807 -76 *3848:61 *5583:112 0.000173356 -77 *3848:61 *5651:129 0.000158309 -78 *3848:61 *5700:77 8.68437e-05 -79 *3848:61 *5700:83 8.25843e-06 -80 *3848:95 *24835:A 0.000143065 -81 *3848:95 *30848:A 0 -82 *3848:95 *30849:A 0.000145753 -83 *3848:95 *4043:29 0.000113083 -84 *3848:95 *4043:32 0.000134904 -85 *3848:95 *4491:14 9.41642e-05 -86 *3848:95 *4898:11 0.000204945 -87 *3848:95 *5172:23 8.37616e-05 -88 *3848:95 *5397:35 0.000292226 -89 *3848:95 *5397:45 0.000122313 -90 *3848:95 *5458:67 6.58597e-05 -91 *3848:95 *5695:37 1.89507e-06 -92 *3848:95 *5709:290 0 -93 *3848:95 *5770:17 0.000257948 -94 *3848:95 *5879:237 8.55586e-05 -95 mgmt_gpio_oeb[22] *24836:A 0 -96 mgmt_gpio_oeb[24] *3848:95 0.00018077 -97 mgmt_gpio_out[22] *24836:A 2.90311e-05 -98 mgmt_gpio_out[24] *3848:95 0.000224577 -99 *25190:C1 *3848:38 1.08359e-05 -100 *25208:A2 *3848:61 7.37323e-05 -101 *25277:A1 *3848:61 6.58875e-05 -102 *25277:A2 *3848:61 7.14892e-05 -103 *25277:B1 *3848:61 8.25843e-06 -104 *25277:B2 *3848:61 0.000216755 -105 *25693:A1 *3848:95 0.000467605 -106 *26857:S *3848:95 1.21258e-05 -107 *26958:S *3848:40 0.000371432 -108 *27048:S *3848:61 2.59355e-05 -109 *27342:C1 *3848:40 0.000106445 -110 *27364:A *3848:40 0.000326956 -111 *27364:C *3848:40 0.000247315 -112 *27374:C *3848:40 0.000151904 -113 *27391:A2 *27391:A1 6.06178e-05 -114 *27391:B1 *27391:A1 1.27848e-05 -115 *27391:C1 *27391:A1 1.85392e-05 -116 *27672:B2 *3848:40 1.27625e-05 -117 *27732:C1 *3848:30 9.67075e-05 -118 *28501:CLK *3848:14 0.000746494 -119 *28746:CLK *3848:14 0.00031345 -120 *29737:A *3848:95 8.68127e-05 -121 *29789:A *3848:38 2.04825e-05 -122 *30708:A *24836:A 0.000488493 -123 *59:21 *3848:95 0.000119277 -124 *554:20 *3848:95 0.000142661 -125 *778:15 *3848:95 0 -126 *889:22 *3848:95 2.01359e-05 -127 *970:16 *29955:A 0.000915545 -128 *1026:19 *29955:A 0.000565933 -129 *1178:105 *3848:40 0.000160692 -130 *1178:105 *3848:61 0.000142936 -131 *1180:22 *3848:95 0.00012401 -132 *1185:184 *3848:20 1.90303e-05 -133 *1277:161 *3848:40 0.000243832 -134 *1290:23 *3848:61 3.24493e-05 -135 *1439:16 *3848:61 0 -136 *1526:8 *3848:20 0.00017966 -137 *1526:8 *3848:30 0.000616302 -138 *1528:9 *3848:61 0.000135028 -139 *1835:35 *24836:A 0.000961599 -140 *2758:125 *3848:40 0.000293573 -141 *2760:91 *3848:40 1.721e-05 -142 *2769:209 *29955:A 5.58428e-05 -143 *2778:194 *3848:38 4.11218e-05 -144 *2779:26 *3848:13 2.79421e-05 -145 *2779:33 *3848:13 0.000497344 -146 *2779:48 *29955:A 0.000211979 -147 *2779:48 *3848:13 3.32605e-05 -148 *2781:162 *3848:40 0.000197977 -149 *2782:116 *3848:40 0.00802445 -150 *2850:179 *3848:61 1.90936e-05 -151 *2853:187 *3848:61 0 -152 *2860:259 *3848:40 8.47076e-05 -153 *2886:335 *3848:40 0.00067318 -154 *2894:210 *3848:61 0.000671091 -155 *2894:303 *3848:40 0.000232046 -156 *2894:308 *3848:30 4.00629e-05 -157 *2894:308 *3848:38 0.00142983 -158 *2905:14 *3848:40 0.00068684 -159 *2920:8 *3848:61 2.04825e-05 -160 *2978:36 *3848:61 0.000140421 -161 *3174:283 *3848:30 0.000303092 -162 *3184:95 *3848:61 0.000610725 -163 *3251:11 *3848:38 5.84171e-05 -164 *3604:18 *3848:14 0.000379325 -165 *3604:110 *3848:95 0 -166 *3679:59 *3848:40 0.000127466 -167 *3783:89 *3848:38 0.000145399 -168 *3809:20 *3848:14 9.90819e-06 -169 *3822:18 *3848:14 0.000247289 -170 *3822:18 *3848:20 0.000188376 -171 *3822:18 *3848:30 0.000568245 -172 *3835:36 *3848:14 0 -*RES -1 *28645:Q *3848:7 14.3357 -2 *3848:7 *3848:13 19.1786 -3 *3848:13 *3848:14 96.0536 -4 *3848:14 *3848:20 12.5 -5 *3848:20 *3848:30 24.0179 -6 *3848:30 *3848:38 23.4821 -7 *3848:38 *3848:40 123.527 -8 *3848:40 *3848:61 41.3509 -9 *3848:61 *25208:A1 9.3 -10 *3848:30 *27731:B2 15.5679 -11 *3848:20 *27391:A1 15.175 -12 *3848:7 *3848:95 49.6071 -13 *3848:95 *24836:A 38.9786 -14 *3848:7 *29955:A 30.5573 -*END - -*D_NET *3849 0.0435449 -*CONN -*I *30337:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27405:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27754:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25081:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28646:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *30337:A 0 -2 *27405:A1 0.000142949 -3 *27754:A1 0 -4 *25081:A1 0 -5 *28646:Q 0.000232503 -6 *3849:69 0.00158141 -7 *3849:50 0.00378975 -8 *3849:48 0.00406186 -9 *3849:30 0.00343762 -10 *3849:11 0.00167365 -11 *3849:11 *25314:B2 9.41642e-05 -12 *3849:11 *28646:RESET_B 4.58194e-05 -13 *3849:11 *5640:167 6.42095e-05 -14 *3849:11 *6280:6 0.000370271 -15 *3849:30 *27755:B1 0.000155083 -16 *3849:30 *27763:A1 0.000153601 -17 *3849:30 *3901:63 6.30931e-05 -18 *3849:30 *3914:34 3.21015e-05 -19 *3849:30 *3979:32 0.000143738 -20 *3849:30 *4133:12 0.0009463 -21 *3849:30 *4148:24 5.66311e-05 -22 *3849:30 *5640:167 4.87953e-05 -23 *3849:30 *5644:250 2.1599e-05 -24 *3849:30 *5645:156 0.000126031 -25 *3849:30 *5650:297 0 -26 *3849:30 *5704:18 0.000116942 -27 *3849:48 *27400:A1 6.59118e-05 -28 *3849:48 *27765:B2 4.81493e-05 -29 *3849:48 *3901:63 8.6229e-06 -30 *3849:48 *3901:79 0.000341237 -31 *3849:48 *4148:24 0.000574954 -32 *3849:48 *5587:44 0.00107359 -33 *3849:48 *5643:237 1.74766e-05 -34 *3849:48 *5644:237 1.03222e-05 -35 *3849:48 *5652:45 5.72865e-05 -36 *3849:48 *5660:217 4.88232e-05 -37 *3849:48 *5688:115 4.65475e-05 -38 *3849:48 *5836:284 2.63501e-05 -39 *3849:50 *25111:A1 0.000113602 -40 *3849:50 *27173:A1 0.000100831 -41 *3849:50 *5643:227 0.00248016 -42 *3849:50 *5653:145 0.000170646 -43 *3849:50 *5656:120 0.000210088 -44 *3849:69 *3857:91 0.00151118 -45 *3849:69 *5610:54 1.47779e-05 -46 *3849:69 *5641:70 4.31921e-05 -47 *3849:69 *5795:106 0.00183869 -48 *3849:69 *5816:24 2.63501e-05 -49 *25082:C1 *3849:69 0.000135028 -50 *25140:B2 *3849:30 0.000195555 -51 *25203:B1 *3849:30 0.000207145 -52 *27402:B1 *3849:48 0.00038277 -53 *27402:B1 *3849:50 0.00015234 -54 *27404:A2 *3849:48 0.000169792 -55 *27405:A2 *27405:A1 0.000211031 -56 *27406:C1 *27405:A1 9.41642e-05 -57 *27409:A *3849:48 0.00029484 -58 *27409:C *3849:30 0.000141676 -59 *27409:D *3849:30 0.000202834 -60 *27756:A1 *3849:30 1.20192e-05 -61 *1224:23 *3849:69 0.000181169 -62 *1235:113 *3849:69 0.000297756 -63 *1253:23 *3849:69 4.91209e-05 -64 *1261:199 *27405:A1 1.21258e-05 -65 *1268:37 *3849:69 0.000675442 -66 *1276:76 *3849:50 0.000311567 -67 *1293:129 *3849:48 0.000463412 -68 *1326:116 *3849:50 0.000562631 -69 *1326:116 *3849:69 1.00541e-05 -70 *1410:89 *3849:50 0.000402609 -71 *1462:10 *3849:30 0.000165023 -72 *1463:55 *3849:11 0.000136951 -73 *1463:55 *3849:30 9.60939e-05 -74 *1485:39 *3849:50 0.000111243 -75 *1485:39 *3849:69 0.001011 -76 *1486:19 *3849:50 0.000193111 -77 *1698:8 *3849:48 0.000338754 -78 *1698:25 *3849:30 4.4316e-05 -79 *1834:49 *3849:30 0.000233307 -80 *2780:223 *3849:30 0.000167679 -81 *2844:318 *3849:48 0.000329428 -82 *2882:246 *3849:48 0.000678237 -83 *2891:326 *3849:50 0.000279949 -84 *2963:12 *3849:48 0.00016252 -85 *3183:169 *3849:50 0.00615264 -86 *3314:25 *3849:48 0.000132519 -87 *3314:26 *3849:48 0.000416665 -88 *3542:42 *3849:69 0.000179112 -89 *3706:35 *3849:50 0.000810502 -90 *3732:11 *3849:11 0.000370271 -91 *3732:43 *3849:30 0 -92 *3758:25 *3849:30 0.000183617 -*RES -1 *28646:Q *3849:11 25.5857 -2 *3849:11 *3849:30 40.2032 -3 *3849:30 *3849:48 33.0626 -4 *3849:48 *3849:50 80.7232 -5 *3849:50 *3849:69 45.9589 -6 *3849:69 *25081:A1 9.3 -7 *3849:48 *27754:A1 13.8 -8 *3849:30 *27405:A1 16.7107 -9 *3849:11 *30337:A 9.3 -*END - -*D_NET *3850 0.0693033 -*CONN -*I *27776:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *6394:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6393:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27429:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25044:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *29804:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28647:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27776:A1 0 -2 *6394:DIODE 0.000238961 -3 *6393:DIODE 3.37849e-05 -4 *27429:A1 0.000185845 -5 *25044:B2 0 -6 *29804:A 2.17614e-05 -7 *28647:Q 0.000157665 -8 *3850:64 0.00064846 -9 *3850:41 0.000839172 -10 *3850:38 0.00202872 -11 *3850:24 0.00925471 -12 *3850:17 0.00849996 -13 *3850:8 0.000424349 -14 *6394:DIODE *27776:B1 0.000175892 -15 *6394:DIODE *4006:51 1.38323e-05 -16 *6394:DIODE *5631:71 1.21258e-05 -17 *27429:A1 *3930:70 9.89983e-05 -18 *29804:A *25006:A1 9.71197e-05 -19 *29804:A *5538:28 9.71197e-05 -20 *3850:8 *25044:A1 5.53507e-05 -21 *3850:8 *6380:89 8.28647e-05 -22 *3850:17 *25044:A1 9.18765e-06 -23 *3850:17 *5720:31 0.000180807 -24 *3850:17 *6386:35 0.000349102 -25 *3850:24 *27440:A0 2.06112e-05 -26 *3850:24 *3851:31 0.00063671 -27 *3850:24 *3851:54 0.00028713 -28 *3850:24 *3915:55 0.00281129 -29 *3850:24 *3916:20 9.89552e-05 -30 *3850:24 *4085:62 0.000511596 -31 *3850:24 *5531:18 0.0148921 -32 *3850:24 *5614:18 3.15282e-05 -33 *3850:24 *5633:13 9.87983e-06 -34 *3850:24 *5633:26 9.00983e-05 -35 *3850:24 *5633:40 0.000832919 -36 *3850:24 *5644:20 1.24548e-05 -37 *3850:24 *5644:37 8.03071e-05 -38 *3850:24 *5650:14 0.000616523 -39 *3850:24 *5720:31 0.000145161 -40 *3850:24 *5751:148 0.000353917 -41 *3850:24 *6386:35 0.000547545 -42 *3850:38 *3969:16 0.00138458 -43 *3850:38 *5594:27 9.62238e-05 -44 *3850:64 *27776:A2 0.000137983 -45 *3850:64 *3969:16 0.000103197 -46 *3850:64 *4006:51 1.33343e-05 -47 *3850:64 *5649:310 0.000194271 -48 *3850:64 *5692:31 1.02821e-05 -49 *25056:B1 *3850:24 0.00160167 -50 *27229:A *3850:8 3.06035e-05 -51 *27230:B *3850:8 0.000104439 -52 *27429:A2 *27429:A1 0.000339346 -53 *27429:A2 *3850:41 2.4357e-05 -54 *27429:A2 *3850:64 6.39829e-05 -55 *27429:B1 *27429:A1 2.59355e-05 -56 *27429:B2 *27429:A1 6.81636e-05 -57 *27449:C *3850:24 0.000357023 -58 *27449:D *3850:24 0.000283823 -59 *27474:A *3850:24 0.000165074 -60 *27613:C *3850:24 5.50309e-05 -61 *27777:C1 *3850:64 0.000127079 -62 *27802:A2 *3850:24 0.000264565 -63 *29805:A *3850:17 0 -64 *30345:A *3850:24 0.000171375 -65 *30522:A *3850:24 5.06883e-05 -66 *1240:134 *3850:8 1.98839e-05 -67 *1240:137 *3850:8 0 -68 *1240:137 *3850:17 0 -69 *1328:183 *3850:24 2.26541e-05 -70 *1378:8 *3850:24 0.00259494 -71 *2767:66 *3850:24 0.000331064 -72 *2776:23 *3850:24 0.000214984 -73 *2791:66 *3850:38 5.31904e-05 -74 *2838:31 *3850:17 9.87983e-06 -75 *2844:179 *3850:24 0.000378673 -76 *2866:34 *3850:24 0.000424526 -77 *2866:36 *3850:24 0.000213136 -78 *2866:241 *3850:24 0.000318272 -79 *2882:303 *3850:41 0.000384673 -80 *2883:220 *3850:38 0.000129147 -81 *2885:123 *3850:24 0.000719175 -82 *2885:129 *3850:24 0.000295545 -83 *2885:138 *3850:24 1.721e-05 -84 *2888:194 *3850:24 9.17621e-05 -85 *2892:161 *3850:24 0.00117855 -86 *2895:256 *3850:24 0.000141146 -87 *2986:18 *3850:24 0.000494769 -88 *3029:20 *3850:24 0.000943363 -89 *3040:41 *3850:24 0.000116701 -90 *3147:30 *3850:24 0.000232571 -91 *3147:42 *3850:24 6.82922e-05 -92 *3150:116 *3850:24 0.000349415 -93 *3153:284 *3850:24 0.000173055 -94 *3170:232 *3850:38 0.000175831 -95 *3185:164 *3850:24 0.000158398 -96 *3192:9 *3850:24 0.000238948 -97 *3193:37 *3850:24 0.000190098 -98 *3194:19 *3850:24 1.90936e-05 -99 *3206:199 *3850:24 2.33437e-05 -100 *3215:179 *3850:24 0.000119419 -101 *3320:18 *3850:38 0.000185659 -102 *3320:18 *3850:64 0.000300169 -103 *3326:11 *27429:A1 0.000100652 -104 *3327:15 *3850:24 0.00149022 -105 *3367:46 *3850:24 0.00012233 -106 *3387:6 *3850:24 0.00460349 -107 *3580:87 *3850:38 0.00040452 -108 *3785:11 *3850:24 9.70394e-05 -109 *3785:44 *3850:38 0 -*RES -1 *28647:Q *3850:8 16.9964 -2 *3850:8 *29804:A 14.7464 -3 *3850:8 *3850:17 5.28571 -4 *3850:17 *25044:B2 13.8 -5 *3850:17 *3850:24 293.679 -6 *3850:24 *3850:38 34.6964 -7 *3850:38 *3850:41 11.6071 -8 *3850:41 *27429:A1 23.05 -9 *3850:41 *6393:DIODE 9.83571 -10 *3850:38 *3850:64 14.5179 -11 *3850:64 *6394:DIODE 12.3179 -12 *3850:64 *27776:A1 9.3 -*END - -*D_NET *3851 0.0231521 -*CONN -*I *27443:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27800:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25015:B2 I *D sky130_fd_sc_hd__a221o_2 -*I *30520:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28648:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *27443:B2 0.000466087 -2 *27800:B2 1.16062e-05 -3 *25015:B2 0.000335313 -4 *30520:A 0 -5 *28648:Q 0.000318449 -6 *3851:56 0.000599509 -7 *3851:54 0.00212795 -8 *3851:31 0.00459684 -9 *3851:12 0.00257384 -10 *25015:B2 *5707:251 8.73799e-06 -11 *25015:B2 *6191:17 0.000124837 -12 *27443:B2 *5633:40 0.000185277 -13 *27443:B2 *5644:11 0.000379856 -14 *27443:B2 *5947:23 9.41854e-05 -15 *27443:B2 *5947:27 2.89114e-05 -16 *27800:B2 *27800:B1 5.52302e-05 -17 *3851:31 *27440:A0 1.90936e-05 -18 *3851:31 *5570:23 4.65519e-05 -19 *3851:31 *5675:213 0.000183334 -20 *3851:31 *5720:31 0.000132714 -21 *3851:31 *5751:83 0.000359829 -22 *3851:31 *5751:148 0.000353917 -23 *3851:54 *3943:20 0 -24 *3851:54 *5585:105 4.59115e-05 -25 *3851:54 *5628:17 4.28017e-05 -26 *3851:54 *5633:13 7.69776e-06 -27 *3851:54 *5633:26 0.00167429 -28 *3851:54 *5649:29 0 -29 *3851:54 *5863:191 1.94879e-05 -30 *3851:56 *5644:11 6.74192e-05 -31 *24983:A2 *3851:54 0.00014778 -32 *25015:A2 *25015:B2 1.57155e-05 -33 *25015:B1 *25015:B2 2.78554e-05 -34 *25063:B1 *3851:12 3.47641e-06 -35 *27231:A2 *3851:12 5.52302e-05 -36 *27443:B1 *27443:B2 0.000188328 -37 *27612:B *3851:54 0.000360919 -38 *27612:C *3851:54 0 -39 *27613:C *3851:54 4.31992e-05 -40 *27613:C *3851:56 0.000246716 -41 *27638:B *3851:54 7.69776e-06 -42 *27640:C *3851:54 1.94945e-05 -43 *27802:A2 *3851:54 0.000253729 -44 *30345:A *3851:31 0.000171375 -45 *30349:A *3851:54 6.09572e-05 -46 *30613:A *3851:31 3.00826e-05 -47 *11:17 *3851:12 0.000394533 -48 *12:20 *3851:31 5.00194e-05 -49 *19:25 *3851:12 6.25005e-05 -50 *23:22 *3851:12 4.39575e-05 -51 *244:57 *3851:12 0.000169003 -52 *1245:142 *3851:31 1.69025e-05 -53 *1254:67 *3851:12 0.000108415 -54 *1254:67 *3851:31 4.39044e-05 -55 *1277:98 *3851:31 0.0019685 -56 *1277:98 *3851:54 0.000196269 -57 *1326:57 *27443:B2 4.13496e-05 -58 *1339:10 *25015:B2 9.58126e-05 -59 *1802:82 *3851:54 8.40344e-05 -60 *2776:23 *3851:54 0.000213269 -61 *2792:128 *3851:54 4.11173e-05 -62 *2800:55 *3851:12 0.000229412 -63 *2800:55 *3851:31 9.71844e-05 -64 *2832:78 *3851:54 5.66157e-05 -65 *2838:31 *3851:31 0.000354165 -66 *2852:297 *27443:B2 0.000219711 -67 *2866:34 *27443:B2 0.000377348 -68 *3040:41 *27443:B2 0.000114468 -69 *3040:41 *3851:31 0 -70 *3147:30 *3851:54 0.000261161 -71 *3147:42 *3851:54 0.000143798 -72 *3163:20 *3851:54 0.000118256 -73 *3163:29 *3851:54 7.0128e-05 -74 *3179:21 *3851:54 7.83659e-05 -75 *3185:22 *3851:56 3.06406e-05 -76 *3206:179 *27800:B2 5.52302e-05 -77 *3850:24 *3851:31 0.00063671 -78 *3850:24 *3851:54 0.00028713 -*RES -1 *28648:Q *3851:12 31.1214 -2 *3851:12 *30520:A 13.8 -3 *3851:12 *3851:31 44.2727 -4 *3851:31 *25015:B2 20.0143 -5 *3851:31 *3851:54 47.4196 -6 *3851:54 *3851:56 3.3125 -7 *3851:56 *27800:B2 14.3357 -8 *3851:56 *27443:B2 27.4607 -*END - -*D_NET *3852 0.0140023 -*CONN -*I *27467:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27828:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *24988:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *29273:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28649:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27467:A1 0 -2 *27828:A1 0 -3 *24988:A1 0.000366864 -4 *29273:A 0 -5 *28649:Q 0 -6 *3852:51 0.00119665 -7 *3852:24 0.0009699 -8 *3852:9 0.000699677 -9 *3852:5 0.00129329 -10 *24988:A1 *24988:B2 1.28809e-05 -11 *24988:A1 *4121:81 0.000360102 -12 *24988:A1 *5601:119 0.000544526 -13 *24988:A1 *5631:26 0.00033763 -14 *3852:9 *27080:A1 3.11088e-05 -15 *3852:9 *5485:147 0.000248906 -16 *3852:9 *5635:104 0.000132671 -17 *3852:24 *27080:A1 8.09163e-05 -18 *3852:24 *4121:81 0.000265378 -19 *3852:24 *5814:12 0.000136227 -20 *3852:24 *5814:21 0.00019358 -21 *3852:51 *27467:B2 2.59355e-05 -22 *3852:51 *4138:62 0.000480441 -23 *3852:51 *5485:147 0.00185062 -24 *3852:51 *5635:104 0.000393603 -25 *3852:51 *5650:327 0.000615918 -26 *24988:A2 *24988:A1 0.000745215 -27 *27467:A2 *3852:51 3.61025e-05 -28 *27467:B1 *3852:51 0.000168864 -29 *1269:238 *3852:51 0.0011295 -30 *1363:127 *24988:A1 1.17968e-05 -31 *1363:127 *3852:24 7.88844e-05 -32 *2758:132 *3852:24 0.000517982 -33 *2791:87 *3852:24 9.91086e-05 -34 *2848:233 *3852:51 0.000713024 -35 *2891:147 *3852:24 0.000265025 -*RES -1 *28649:Q *3852:5 13.8 -2 *3852:5 *3852:9 8.19643 -3 *3852:9 *29273:A 9.3 -4 *3852:9 *3852:24 28.125 -5 *3852:24 *24988:A1 26.0143 -6 *3852:24 *27828:A1 13.8 -7 *3852:5 *3852:51 42.8571 -8 *3852:51 *27467:A1 9.3 -*END - -*D_NET *3853 0.0256546 -*CONN -*I *27494:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27853:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25386:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *29325:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28395:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *27494:A1 0.000714712 -2 *27853:A1 0.000235314 -3 *25386:B2 6.64337e-05 -4 *29325:A 0.000115652 -5 *28395:Q 0 -6 *3853:72 0.00231134 -7 *3853:45 0.00474522 -8 *3853:19 0.00339294 -9 *3853:5 0.000528869 -10 *25386:B2 *25270:B2 0.000263102 -11 *27494:A1 *5706:196 0.000291323 -12 *27853:A1 *3973:83 1.90936e-05 -13 *27853:A1 *4371:19 1.84765e-05 -14 *29325:A *3988:33 1.4363e-05 -15 *29325:A *5499:59 2.62628e-05 -16 *29325:A *5711:153 2.06178e-05 -17 *29325:A *6225:79 0.000263108 -18 *3853:19 *24911:A 5.58875e-06 -19 *3853:19 *3988:33 0.00028696 -20 *3853:19 *5499:59 0.000132699 -21 *3853:19 *5711:153 0.000146275 -22 *3853:19 *5711:186 0.000124777 -23 *3853:45 *25339:B2 4.27575e-05 -24 *3853:45 *25959:A0 0.000149832 -25 *3853:45 *3988:33 4.18834e-05 -26 *3853:45 *5622:74 3.8524e-05 -27 *3853:45 *5625:60 0.000398185 -28 *3853:45 *5706:242 0.000109026 -29 *3853:72 *25391:B2 2.01997e-05 -30 *25121:A2 *3853:45 0.000462745 -31 *25270:A2 *3853:19 0.000150318 -32 *25310:A2 *3853:45 0.000870284 -33 *25339:A1 *3853:45 0.00025482 -34 *25339:A2 *3853:45 2.53281e-05 -35 *25385:A1 *3853:45 0.000366161 -36 *25393:C1 *3853:72 0.000136951 -37 *25954:A *3853:45 0 -38 *25955:S *3853:19 0.0002128 -39 *27858:B2 *3853:72 4.65519e-05 -40 *27927:A1 *3853:45 0.000215901 -41 *28857:A *27494:A1 5.99532e-05 -42 *30138:A *27853:A1 0.000302287 -43 *1240:11 *3853:45 0.000195765 -44 *1250:187 *25386:B2 0.000263102 -45 *1250:187 *3853:45 1.55667e-05 -46 *1273:47 *3853:45 0.000176282 -47 *1323:16 *3853:45 5.7628e-05 -48 *1363:62 *3853:45 7.16065e-05 -49 *1363:70 *27853:A1 5.30167e-05 -50 *1363:70 *3853:72 0.00187647 -51 *1395:53 *3853:45 0.000457978 -52 *1441:21 *3853:45 0.000158473 -53 *1442:13 *3853:45 0.000112413 -54 *1455:28 *3853:45 9.79419e-05 -55 *1657:19 *3853:45 1.90936e-05 -56 *1701:11 *29325:A 5.33005e-05 -57 *1900:17 *29325:A 8.56752e-05 -58 *2848:53 *27494:A1 7.09384e-05 -59 *2852:220 *27494:A1 9.41642e-05 -60 *2853:61 *27494:A1 8.77405e-05 -61 *2855:39 *3853:72 0.000135028 -62 *3051:10 *27494:A1 0.000136682 -63 *3165:254 *27494:A1 8.49829e-05 -64 *3192:60 *27494:A1 8.74121e-05 -65 *3209:59 *27494:A1 0.00011271 -66 *3397:8 *27853:A1 1.20729e-05 -67 *3397:8 *3853:72 1.78465e-05 -68 *3421:10 *3853:72 0.00166492 -69 *3503:19 *27494:A1 0.000904498 -70 *3556:20 *3853:72 0.00045834 -71 *3568:99 *3853:45 0 -72 *3672:45 *27853:A1 0.00023621 -73 *3672:45 *3853:72 0.000229079 -*RES -1 *28395:Q *3853:5 13.8 -2 *3853:5 *29325:A 17.1214 -3 *3853:5 *3853:19 9.89286 -4 *3853:19 *25386:B2 16.3893 -5 *3853:19 *3853:45 24.8168 -6 *3853:45 *27853:A1 20.2018 -7 *3853:45 *3853:72 47.1195 -8 *3853:72 *27494:A1 35.3536 -*END - -*D_NET *3854 0.0195261 -*CONN -*I *25289:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *30449:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27878:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27511:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28396:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25289:B2 0.000380826 -2 *30449:A 0 -3 *27878:A1 5.2159e-05 -4 *27511:A1 0.00104813 -5 *28396:Q 0 -6 *3854:37 0.000759544 -7 *3854:11 0.00276371 -8 *3854:10 0.00399385 -9 *3854:4 0.00270914 -10 *25289:B2 *25956:A1 0.000235757 -11 *25289:B2 *29031:A 5.49489e-05 -12 *25289:B2 *3997:19 8.43894e-06 -13 *25289:B2 *5499:59 1.52978e-05 -14 *25289:B2 *5608:15 7.58035e-05 -15 *25289:B2 *5608:19 0.00026281 -16 *27511:A1 *25753:B 0.000175512 -17 *27511:A1 *4140:47 4.33742e-05 -18 *27511:A1 *5063:5 1.64621e-05 -19 *27878:A1 *5706:200 8.22771e-05 -20 *27878:A1 *5706:219 6.5919e-05 -21 *3854:10 *25160:A 6.95556e-05 -22 *3854:10 *28396:RESET_B 0.000130284 -23 *3854:10 *28399:D 0.000389268 -24 *3854:10 *28399:RESET_B 8.92098e-05 -25 *3854:10 *4001:27 5.00234e-05 -26 *3854:10 *5666:231 7.55769e-05 -27 *3854:11 *5063:5 3.66245e-05 -28 *3854:37 *28396:RESET_B 5.33005e-05 -29 *3854:37 *29031:A 0.000177545 -30 *3854:37 *5608:15 9.10285e-05 -31 *3854:37 *5869:32 2.30169e-05 -32 *24926:A *3854:37 6.12434e-05 -33 *25289:B1 *3854:37 8.47076e-05 -34 *25339:A1 *3854:11 0.000805126 -35 *28396:CLK *3854:10 2.24079e-05 -36 *28399:CLK *3854:10 1.50012e-05 -37 *30071:A *3854:10 0.000126887 -38 *1252:12 *3854:37 5.52238e-05 -39 *1254:144 *25289:B2 0 -40 *1264:163 *27878:A1 0.000148196 -41 *1323:5 *25289:B2 3.66245e-05 -42 *1327:98 *3854:10 0.000258373 -43 *1587:22 *27511:A1 0.00101595 -44 *1587:22 *3854:11 0.00128661 -45 *1588:18 *3854:10 0.000300137 -46 *1897:15 *27511:A1 0.000305561 -47 *2778:66 *3854:37 0.000135353 -48 *2780:72 *25289:B2 0.0001701 -49 *3067:12 *27511:A1 0.000146092 -50 *3178:43 *3854:10 0.000158302 -51 *3178:43 *3854:37 2.58821e-05 -52 *3568:93 *3854:10 4.16984e-05 -53 *3647:9 *3854:10 0.000269649 -54 *3790:32 *25289:B2 7.43174e-05 -55 *3794:33 *25289:B2 5.33072e-05 -*RES -1 *28396:Q *3854:4 9.3 -2 *3854:4 *3854:10 39.2857 -3 *3854:10 *3854:11 21.4821 -4 *3854:11 *27511:A1 33.9964 -5 *3854:11 *27878:A1 20.2464 -6 *3854:4 *3854:37 15.7143 -7 *3854:37 *30449:A 9.3 -8 *3854:37 *25289:B2 28.0679 -*END - -*D_NET *3855 0.0119595 -*CONN -*I *29133:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27325:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25358:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27629:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28650:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *29133:A 8.95988e-05 -2 *27325:B2 0 -3 *25358:A1 0.000882731 -4 *27629:A1 0 -5 *28650:Q 4.20075e-05 -6 *3855:30 0.00104734 -7 *3855:21 0.00119636 -8 *3855:7 0.00136097 -9 *3855:5 0.000131606 -10 *25358:A1 *25358:A2 0.000172098 -11 *25358:A1 *27136:A1 0.000266172 -12 *25358:A1 *27325:A1 3.82357e-05 -13 *25358:A1 *29135:A 5.52238e-05 -14 *25358:A1 *5606:27 0.000195734 -15 *29133:A *28650:SET_B 0 -16 *3855:5 *5750:217 3.03145e-05 -17 *3855:21 *27629:B1 0.000214155 -18 *3855:21 *3992:28 0.0018455 -19 *3855:21 *5653:28 0.000712609 -20 *3855:30 *27325:A1 1.28809e-05 -21 *3855:30 *3992:28 7.84494e-05 -22 *25358:B1 *25358:A1 1.21258e-05 -23 *26827:A *25358:A1 0.000119303 -24 *27136:S *25358:A1 0.000357694 -25 *27325:B1 *25358:A1 1.86845e-05 -26 *27325:C1 *3855:30 9.54798e-06 -27 *27629:A2 *3855:21 7.56621e-05 -28 *27629:C1 *3855:21 3.08382e-06 -29 *29136:A *25358:A1 3.05203e-05 -30 *1446:12 *3855:21 0.000672915 -31 *1691:10 *3855:21 6.55155e-05 -32 *1691:10 *3855:30 0.000321098 -33 *1691:14 *3855:21 0.000124897 -34 *2852:181 *3855:21 0.000257619 -35 *2852:187 *3855:21 8.43535e-06 -36 *2853:24 *3855:30 0.000131429 -37 *2882:31 *3855:21 9.58126e-05 -38 *3153:17 *29133:A 7.95355e-05 -39 *3153:17 *3855:5 0.000117552 -40 *3197:31 *29133:A 0.000177815 -41 *3197:45 *3855:21 0.000908303 -*RES -1 *28650:Q *3855:5 10.4518 -2 *3855:5 *3855:7 4.5 -3 *3855:7 *3855:21 48.1786 -4 *3855:21 *27629:A1 9.3 -5 *3855:7 *3855:30 9.00446 -6 *3855:30 *25358:A1 25.2687 -7 *3855:30 *27325:B2 9.3 -8 *3855:5 *29133:A 11.4964 -*END - -*D_NET *3856 0.0209077 -*CONN -*I *27900:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25280:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27542:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29189:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28132:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *27900:A1 0.000374484 -2 *25280:A1 0.000274773 -3 *27542:B2 3.85673e-05 -4 *29189:A 0 -5 *28132:Q 0.000604505 -6 *3856:43 0.00144924 -7 *3856:29 0.00140172 -8 *3856:26 0.00229398 -9 *3856:13 0.00233531 -10 *25280:A1 *5510:116 4.96113e-05 -11 *3856:13 *25637:A0 0.00107241 -12 *3856:13 *28130:D 2.74584e-05 -13 *3856:13 *3866:11 6.42338e-05 -14 *3856:13 *5732:68 0.000236898 -15 *3856:13 *5732:82 0 -16 *3856:26 *25637:A0 1.38323e-05 -17 *3856:26 *27531:A1 9.71197e-05 -18 *3856:26 *27531:A2 1.98839e-05 -19 *3856:26 *27531:B2 0.000470382 -20 *3856:43 *3908:85 0.000218679 -21 *25635:S *3856:13 0.000300804 -22 *25637:S *3856:13 0 -23 *27492:C1 *3856:26 0.00108822 -24 *27531:B1 *3856:26 0.00143598 -25 *27540:A *25280:A1 6.45024e-05 -26 *27542:A1 *27542:B2 3.10313e-06 -27 *27542:A1 *3856:29 6.41377e-05 -28 *27542:A1 *3856:43 4.15666e-05 -29 *27542:A2 *3856:43 9.26707e-06 -30 *27547:C *3856:43 0.000263531 -31 *27855:B2 *27900:A1 0.000373872 -32 *27855:B2 *3856:43 0.000388233 -33 *27855:C1 *27900:A1 9.60939e-05 -34 *29190:A *3856:13 1.98839e-05 -35 *29393:A *3856:13 4.43256e-05 -36 *1421:71 *3856:13 0.000205104 -37 *1421:71 *3856:26 6.05161e-06 -38 *1427:41 *3856:26 0.000811731 -39 *1470:20 *3856:29 0.000514623 -40 *1827:11 *3856:13 0.000186662 -41 *2855:60 *3856:26 0.000165653 -42 *2855:72 *3856:26 0.000406539 -43 *2880:215 *3856:43 0.000261817 -44 *3085:12 *3856:26 0.000573914 -45 *3096:15 *3856:43 0.000257619 -46 *3164:69 *27900:A1 7.41514e-05 -47 *3164:84 *27900:A1 0.000700005 -48 *3164:84 *3856:43 0.000244697 -49 *3196:111 *3856:26 0.000136681 -50 *3404:26 *25280:A1 0.000227732 -51 *3713:63 *3856:26 0.000359646 -52 *3779:45 *25280:A1 0.00016588 -53 *3780:34 *27900:A1 0.000186316 -54 *3827:24 *27900:A1 0.000186316 -*RES -1 *28132:Q *3856:13 35.8089 -2 *3856:13 *29189:A 9.3 -3 *3856:13 *3856:26 46.1607 -4 *3856:26 *3856:29 11.6116 -5 *3856:29 *27542:B2 10.0321 -6 *3856:29 *3856:43 24.6205 -7 *3856:43 *25280:A1 24.2286 -8 *3856:43 *27900:A1 29.6214 -*END - -*D_NET *3857 0.0514819 -*CONN -*I *27555:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25220:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27926:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30304:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28133:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27555:B2 5.13885e-05 -2 *25220:B2 0 -3 *27926:A1 0.000277839 -4 *30304:A 0 -5 *28133:Q 0 -6 *3857:91 0.0028273 -7 *3857:80 0.00360863 -8 *3857:74 0.00220233 -9 *3857:49 0.00436152 -10 *3857:37 0.00304285 -11 *3857:36 0.00332823 -12 *3857:21 0.00207433 -13 *3857:4 0.00507377 -14 *3857:21 *25461:A2 6.4992e-05 -15 *3857:21 *25652:B 0.000184199 -16 *3857:21 *4154:27 1.97725e-05 -17 *3857:21 *4185:30 0.000685308 -18 *3857:21 *4192:168 0.00071222 -19 *3857:21 *4759:8 0.000723848 -20 *3857:21 *5256:23 9.04237e-05 -21 *3857:21 *5614:114 8.88357e-06 -22 *3857:36 *3909:37 0.000102777 -23 *3857:36 *5742:111 0.000558262 -24 *3857:37 *5685:333 0.000589407 -25 *3857:49 *25218:B2 0 -26 *3857:49 *3923:32 3.7538e-06 -27 *3857:49 *4035:60 0.000142102 -28 *3857:49 *5614:97 0.000255973 -29 *3857:49 *5685:333 0 -30 *3857:49 *5691:16 4.1547e-05 -31 *3857:49 *5691:51 0.000131039 -32 *3857:49 *5694:31 0.000443626 -33 *3857:49 *5729:276 6.90381e-06 -34 *3857:49 *5744:119 4.43356e-05 -35 *3857:74 *27965:A 0.0012962 -36 *3857:74 *5210:25 0.000138531 -37 *3857:74 *5646:157 0.000406005 -38 *3857:80 *5795:113 0.00100031 -39 *3857:91 *24934:A 0.000135028 -40 *3857:91 *24934:B 0.000240184 -41 *3857:91 *24948:B 0.000463591 -42 *3857:91 *5795:106 0.000378432 -43 *6597:DIODE *3857:91 9.41642e-05 -44 *25107:B1 *3857:80 4.82947e-05 -45 *25213:B2 *27926:A1 8.25843e-06 -46 *25220:B1 *3857:91 0.000293212 -47 *25220:C1 *3857:91 4.08637e-05 -48 *25334:B *3857:80 0.000217003 -49 *25461:A1 *3857:21 0.000239684 -50 *25515:B1 *3857:21 5.62233e-05 -51 *27555:B1 *27555:B2 2.99572e-05 -52 *27926:B1 *27926:A1 5.01909e-05 -53 *27926:B1 *3857:49 0 -54 *27926:B2 *27926:A1 5.52238e-05 -55 *27960:B1 *3857:49 0.000501058 -56 *27966:B2 *3857:74 0.000120975 -57 *28280:CLK *3857:21 7.44798e-05 -58 *30220:A *3857:36 0.00105978 -59 *30378:A *3857:36 2.59355e-05 -60 *359:14 *3857:21 7.58623e-05 -61 *411:25 *3857:21 1.19321e-05 -62 *412:14 *3857:36 0.000627926 -63 *495:15 *3857:36 5.18801e-05 -64 *1173:82 *3857:21 0.000117886 -65 *1260:7 *3857:91 4.85033e-05 -66 *1274:14 *3857:91 0.000298483 -67 *1287:25 *3857:21 8.6281e-05 -68 *1327:78 *3857:80 0.000102105 -69 *1427:119 *3857:80 4.58194e-05 -70 *1501:43 *3857:91 1.72823e-05 -71 *1545:28 *3857:49 0.000503772 -72 *1730:13 *3857:74 4.65519e-05 -73 *1745:38 *3857:21 0.000561468 -74 *1749:21 *3857:21 0 -75 *1763:22 *3857:21 0.000148083 -76 *1766:24 *3857:21 0.000429542 -77 *1772:29 *3857:21 0.000159105 -78 *1795:26 *3857:21 0.000371092 -79 *1868:49 *3857:36 9.0145e-05 -80 *1887:70 *3857:49 1.1183e-05 -81 *2860:132 *3857:49 1.0562e-05 -82 *2865:133 *3857:36 0.000101129 -83 *2867:149 *3857:80 0.00017309 -84 *2872:112 *3857:36 0.000877557 -85 *2872:112 *3857:37 0.000176941 -86 *2872:134 *3857:49 5.00194e-05 -87 *2886:89 *3857:49 9.20762e-05 -88 *2889:272 *3857:49 1.94945e-05 -89 *2889:281 *27555:B2 6.05161e-06 -90 *2889:281 *3857:37 9.3111e-05 -91 *3170:92 *3857:74 8.80673e-05 -92 *3176:175 *3857:74 6.52284e-05 -93 *3178:157 *27926:A1 0.000364381 -94 *3182:134 *3857:74 5.25024e-05 -95 *3205:98 *3857:74 0.000119121 -96 *3215:71 *3857:49 0.000346104 -97 *3482:19 *27926:A1 0.000362673 -98 *3503:28 *3857:49 0.000137731 -99 *3506:19 *3857:74 0.000348257 -100 *3561:88 *3857:21 4.65519e-05 -101 *3570:79 *3857:21 8.7935e-05 -102 *3646:20 *3857:49 0.000191499 -103 *3662:43 *3857:74 0.000374797 -104 *3776:44 *3857:74 0.00201244 -105 *3776:54 *3857:74 0.0013373 -106 *3849:69 *3857:91 0.00151118 -*RES -1 *28133:Q *3857:4 9.3 -2 *3857:4 *3857:21 41.4375 -3 *3857:21 *30304:A 9.3 -4 *3857:4 *3857:36 49.9286 -5 *3857:36 *3857:37 7.51786 -6 *3857:37 *3857:49 23.2449 -7 *3857:49 *27926:A1 24.2368 -8 *3857:49 *3857:74 44.2269 -9 *3857:74 *3857:80 24.5179 -10 *3857:80 *3857:91 45.6116 -11 *3857:91 *25220:B2 9.3 -12 *3857:37 *27555:B2 10.2643 -*END - -*D_NET *3858 0.0289347 -*CONN -*I *27589:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27954:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25104:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29890:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28134:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *27589:B2 7.31212e-05 -2 *27954:A1 1.13725e-05 -3 *25104:B2 0.00273225 -4 *29890:A 0 -5 *28134:Q 0.000287397 -6 *3858:28 0.00524765 -7 *3858:22 0.00305588 -8 *3858:19 0.00263212 -9 *3858:7 0.00244078 -10 *25104:B2 *4064:56 0.000306069 -11 *25104:B2 *4065:53 0 -12 *25104:B2 *4105:78 3.28681e-06 -13 *25104:B2 *5457:22 0.00014259 -14 *25104:B2 *5640:63 6.90381e-06 -15 *25104:B2 *5656:189 0.000205983 -16 *27589:B2 *4118:29 2.59355e-05 -17 *27589:B2 *4152:60 0.000120975 -18 *27589:B2 *5823:58 0.000114154 -19 *27954:A1 *4023:16 4.50149e-05 -20 *3858:19 *25450:A2 8.28647e-05 -21 *3858:19 *25845:A0 0.000137983 -22 *3858:19 *25845:A1 0.000138606 -23 *3858:19 *28333:D 5.52238e-05 -24 *3858:19 *28990:A 0.00101847 -25 *3858:19 *4117:25 0.000227539 -26 *3858:19 *4142:26 9.58181e-05 -27 *3858:19 *5651:176 7.90637e-05 -28 *3858:19 *5666:55 0.000302588 -29 *3858:19 *5686:118 0.000113183 -30 *3858:22 *27937:A2 0.000111348 -31 *3858:22 *3948:24 1.71178e-05 -32 *3858:22 *4152:60 0.00113256 -33 *3858:22 *5823:58 0.000193125 -34 *3858:22 *5844:17 8.40344e-05 -35 *3858:28 *4023:16 6.39829e-05 -36 *3858:28 *4105:20 0.00183112 -37 *3858:28 *5630:63 4.50914e-05 -38 *3858:28 *5630:71 0.000118615 -39 *25104:B1 *25104:B2 6.22199e-05 -40 *25104:C1 *25104:B2 0.000212934 -41 *25191:A2 *3858:22 0.000322735 -42 *25842:S *3858:19 0.000107769 -43 *27560:A2 *3858:22 2.06178e-05 -44 *27946:A2 *25104:B2 3.72368e-05 -45 *27946:C1 *25104:B2 4.65519e-05 -46 *30242:A *3858:19 0.0001566 -47 *1263:28 *25104:B2 0.00036978 -48 *1362:79 *25104:B2 8.01492e-05 -49 *1423:37 *25104:B2 0.00141505 -50 *1430:90 *25104:B2 0.000243101 -51 *1435:33 *25104:B2 0.000490137 -52 *1563:26 *27954:A1 3.5626e-05 -53 *1563:26 *3858:28 6.5919e-05 -54 *1665:28 *25104:B2 2.48798e-05 -55 *1685:40 *25104:B2 0 -56 *1878:13 *3858:19 7.02611e-05 -57 *2856:196 *25104:B2 0.000223801 -58 *2867:149 *25104:B2 1.82913e-05 -59 *3413:41 *25104:B2 0.000953539 -60 *3626:68 *3858:19 0.000102075 -61 *3626:70 *3858:19 1.52978e-05 -62 *3626:87 *3858:7 9.89622e-05 -63 *3626:87 *3858:19 0.000181796 -64 *3699:24 *25104:B2 0.000244816 -65 *3702:26 *27589:B2 2.59355e-05 -66 *3766:71 *25104:B2 8.76591e-06 -*RES -1 *28134:Q *3858:7 13.0321 -2 *3858:7 *29890:A 9.3 -3 *3858:7 *3858:19 47.7321 -4 *3858:19 *3858:22 19.5 -5 *3858:22 *3858:28 39.1696 -6 *3858:28 *25104:B2 40.6832 -7 *3858:28 *27954:A1 14.3804 -8 *3858:22 *27589:B2 15.9786 -*END - -*D_NET *3859 0.0165487 -*CONN -*I *27673:A I *D sky130_fd_sc_hd__and2_1 -*I *27336:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29860:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25309:A1 I *D sky130_fd_sc_hd__a221o_2 -*I *28651:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *27673:A 0.000217158 -2 *27336:B2 0.000770964 -3 *29860:A 0 -4 *25309:A1 0 -5 *28651:Q 0 -6 *3859:42 0.00178838 -7 *3859:29 0.00118077 -8 *3859:11 0.00171237 -9 *3859:4 0.00133186 -10 *27673:A *4080:44 0.000389197 -11 *27673:A *5632:161 0.000216755 -12 *3859:11 *28651:D 5.33005e-05 -13 *3859:29 *28651:D 9.41642e-05 -14 *3859:29 *4088:39 0.000460998 -15 *3859:29 *5656:153 5.25337e-05 -16 *3859:42 *4080:44 0.00010326 -17 *3859:42 *5627:90 0.000343956 -18 *25309:A2 *3859:29 0.00123726 -19 *27336:A1 *27336:B2 0.00108567 -20 *27336:C1 *27336:B2 3.64749e-05 -21 *27682:D *27336:B2 0.000110209 -22 *27752:A2 *27673:A 0.000216755 -23 *28651:CLK *3859:11 0.000124459 -24 *30412:A *3859:42 2.42516e-05 -25 *1178:174 *27336:B2 0.000120634 -26 *1276:102 *3859:29 0.00140567 -27 *1406:24 *3859:11 0.00012401 -28 *1626:27 *3859:29 0.00120059 -29 *2771:111 *3859:11 0.000125724 -30 *2781:136 *3859:42 3.30337e-05 -31 *2891:200 *27673:A 0.000398807 -32 *2891:200 *3859:42 0.000101545 -33 *3185:107 *27336:B2 0.000381864 -34 *3576:63 *3859:11 4.08637e-05 -35 *3576:63 *3859:29 0.00106521 -*RES -1 *28651:Q *3859:4 9.3 -2 *3859:4 *3859:11 16.4375 -3 *3859:11 *3859:29 43.0357 -4 *3859:29 *25309:A1 9.3 -5 *3859:11 *29860:A 9.3 -6 *3859:4 *3859:42 14.3839 -7 *3859:42 *27336:B2 29.9652 -8 *3859:42 *27673:A 21.2643 -*END - -*D_NET *3860 0.0366615 -*CONN -*I *29820:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27717:A1 I *D sky130_fd_sc_hd__a211o_1 -*I *27357:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25269:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28652:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *29820:A 0.000800864 -2 *27717:A1 0.0017358 -3 *27357:B2 0.000202701 -4 *25269:A1 0.00349174 -5 *28652:Q 1.13303e-05 -6 *3860:38 0.00418258 -7 *3860:9 0.00580576 -8 *3860:8 0.000882137 -9 *25269:A1 *25100:A 0.00059804 -10 *25269:A1 *28343:D 5.30836e-05 -11 *25269:A1 *28652:RESET_B 0.00164996 -12 *25269:A1 *3989:20 0.000139215 -13 *25269:A1 *5646:17 0.0037388 -14 *25269:A1 *5657:197 0 -15 *25269:A1 *5700:118 4.38953e-05 -16 *25269:A1 *5713:109 0 -17 *27357:B2 *27716:B1 0.000132862 -18 *27357:B2 *5657:198 8.62861e-05 -19 *27357:B2 *5754:102 1.20475e-05 -20 *27717:A1 *5583:112 0 -21 *27717:A1 *5584:76 9.56573e-05 -22 *27717:A1 *5643:40 6.8194e-05 -23 *27717:A1 *5644:158 0.000622305 -24 *27717:A1 *5657:198 0.000111666 -25 *27717:A1 *5694:71 1.72506e-05 -26 *27717:A1 *5694:251 5.2747e-05 -27 *27717:A1 *5700:98 9.34324e-05 -28 *29820:A *25321:A1 0.000342228 -29 *29820:A *27084:A1 0.000260574 -30 *29820:A *5530:58 0.000110238 -31 *29820:A *5646:17 0.000522652 -32 *29820:A *5694:94 0.000110238 -33 *3860:9 *25321:A1 2.83129e-05 -34 *3860:38 *28692:D 0.00013415 -35 *3860:38 *3873:67 1.12886e-05 -36 *3860:38 *5644:158 7.1232e-05 -37 *3860:38 *5687:114 0 -38 *3860:38 *5687:129 3.78063e-05 -39 *3860:38 *5687:212 1.92036e-05 -40 *3860:38 *5754:102 0.000786947 -41 *3860:38 *5757:109 6.50685e-05 -42 *25097:B1 *25269:A1 0.000180171 -43 *25100:B *25269:A1 0.000137561 -44 *25269:A2 *25269:A1 0.000201761 -45 *27317:B *29820:A 0 -46 *27333:A2 *3860:38 0.00026052 -47 *27357:B1 *27357:B2 1.86094e-05 -48 *27357:C1 *27717:A1 0.000120829 -49 *27695:B1 *27717:A1 2.42377e-05 -50 *1252:61 *3860:38 2.89453e-06 -51 *1289:44 *25269:A1 4.33438e-05 -52 *1547:12 *29820:A 0.000565338 -53 *1550:34 *3860:38 0.000507441 -54 *1639:14 *3860:38 0.00100192 -55 *1844:120 *27357:B2 3.60756e-05 -56 *1844:138 *27357:B2 1.86552e-05 -57 *2777:71 *27717:A1 4.86982e-05 -58 *2777:71 *3860:38 0.0010225 -59 *2781:51 *25269:A1 1.65001e-05 -60 *2781:51 *29820:A 8.72544e-05 -61 *2781:51 *3860:9 3.31789e-05 -62 *2782:106 *27717:A1 6.99087e-05 -63 *2853:24 *3860:38 2.4175e-05 -64 *2853:164 *27717:A1 1.99901e-06 -65 *2855:15 *25269:A1 0 -66 *2855:32 *25269:A1 0 -67 *2875:196 *27717:A1 5.00194e-05 -68 *2886:41 *25269:A1 0.00139128 -69 *2886:164 *3860:38 0.000110559 -70 *2886:175 *27357:B2 8.4442e-05 -71 *2889:11 *29820:A 5.00194e-05 -72 *2889:198 *29820:A 6.47441e-05 -73 *2891:104 *3860:38 0.000976424 -74 *2895:28 *3860:38 0.000258098 -75 *2918:10 *3860:8 9.59532e-06 -76 *2938:17 *29820:A 9.41642e-05 -77 *3165:216 *25269:A1 0.000258585 -78 *3168:25 *25269:A1 0.000296257 -79 *3168:25 *29820:A 0.000222087 -80 *3168:25 *3860:9 3.79304e-05 -81 *3184:95 *27717:A1 0 -82 *3185:264 *25269:A1 9.58909e-05 -83 *3209:52 *25269:A1 9.52076e-05 -84 *3641:28 *25269:A1 0 -85 *3796:62 *3860:8 9.59532e-06 -86 *3796:62 *3860:38 0.00100475 -87 *3847:64 *27717:A1 0 -88 *3847:64 *3860:38 0 -*RES -1 *28652:Q *3860:8 17.4868 -2 *3860:8 *3860:9 0.227167 -3 *3860:9 *25269:A1 41.5984 -4 *3860:9 *3860:38 37.4035 -5 *3860:38 *27357:B2 23.432 -6 *3860:38 *27717:A1 25.1088 -7 *3860:8 *29820:A 29.3667 -*END - -*D_NET *3861 0.0346315 -*CONN -*I *25181:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27732:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *24835:A I *D sky130_fd_sc_hd__inv_2 -*I *27388:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29786:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28653:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25181:A1 0.000170109 -2 *27732:B2 6.4814e-05 -3 *24835:A 0.000946996 -4 *27388:B2 4.94028e-06 -5 *29786:A 3.59657e-05 -6 *28653:Q 0.000180561 -7 *3861:53 0.0017555 -8 *3861:40 0.00347331 -9 *3861:38 0.00313007 -10 *3861:32 0.00106307 -11 *3861:21 0.00105726 -12 *3861:17 0.000757992 -13 *3861:8 0.000285073 -14 *24835:A *28450:D 0.000225616 -15 *24835:A *30848:A 9.90367e-05 -16 *24835:A *4898:11 0.00101588 -17 *24835:A *5173:14 5.4695e-05 -18 *24835:A *5683:29 9.42368e-05 -19 *24835:A *5709:290 0.000753417 -20 *24835:A *5879:237 8.40344e-05 -21 *24835:A *6253:13 6.58013e-05 -22 *29786:A *5600:195 1.58163e-05 -23 *3861:8 *3976:73 3.69047e-06 -24 *3861:17 *3874:16 9.90115e-06 -25 *3861:21 *28661:D 0.00014411 -26 *3861:21 *3874:16 0.000409488 -27 *3861:21 *4069:66 6.057e-07 -28 *3861:21 *4069:74 7.46681e-05 -29 *3861:21 *5633:152 0.000150318 -30 *3861:32 *5633:152 0.000901143 -31 *3861:38 *5609:236 6.27066e-05 -32 *3861:38 *5633:97 0 -33 *3861:38 *5824:265 4.27853e-05 -34 *3861:38 *6351:24 0 -35 *3861:40 *25722:A1 0.000187328 -36 *3861:40 *25722:S 0.000348328 -37 *3861:40 *27063:B 9.24395e-05 -38 *3861:40 *28676:D 0.000307878 -39 *3861:40 *29639:A 0.000153013 -40 *3861:40 *3900:21 0.000134171 -41 *3861:40 *3900:28 0 -42 *3861:40 *4069:48 0.000178246 -43 *3861:40 *5587:130 2.04825e-05 -44 *3861:40 *5633:97 1.99881e-05 -45 *3861:40 *5903:35 0.000687526 -46 *3861:40 *6274:8 0 -47 *3861:53 *4403:20 6.25005e-05 -48 *3861:53 *4812:13 1.721e-05 -49 *3861:53 *4898:11 0 -50 *3861:53 *5709:272 7.69776e-06 -51 *3861:53 *5716:192 0 -52 *3861:53 *5905:48 0.000269422 -53 *25190:B1 *3861:32 8.81673e-05 -54 *25205:B1 *3861:40 0.00130408 -55 *25206:B *3861:40 0.000189615 -56 *26850:S *3861:53 0.000248416 -57 *27388:B1 *27388:B2 1.28809e-05 -58 *27732:C1 *27732:B2 5.39829e-05 -59 *27732:C1 *3861:38 1.98839e-05 -60 *30569:A *3861:53 0.000425398 -61 *554:20 *24835:A 0.000140751 -62 *778:15 *3861:53 0.000468299 -63 *1178:174 *3861:8 0.000109263 -64 *1272:101 *3861:40 0.000755815 -65 *1276:107 *25181:A1 5.33005e-05 -66 *1276:120 *25181:A1 0.000413704 -67 *1501:20 *25181:A1 0.000344413 -68 *1501:20 *3861:8 0.00017096 -69 *1501:20 *3861:17 0.000148903 -70 *1525:14 *3861:40 0.00015333 -71 *1526:8 *3861:8 0.000172607 -72 *1526:8 *3861:17 0.00017152 -73 *1526:8 *3861:21 0.000144752 -74 *1526:8 *3861:32 2.10583e-05 -75 *2754:34 *3861:40 0 -76 *2754:41 *3861:40 2.80306e-05 -77 *2754:41 *3861:53 0.000559421 -78 *2760:157 *3861:40 0.000363275 -79 *2779:26 *3861:53 2.95726e-05 -80 *2779:67 *3861:40 0.00512229 -81 *2787:161 *27388:B2 6.26774e-06 -82 *2788:16 *3861:40 4.75908e-05 -83 *2894:308 *3861:38 0.000469014 -84 *2894:308 *3861:40 0.000188537 -85 *3170:245 *3861:32 4.00349e-05 -86 *3170:251 *3861:32 0.000160001 -87 *3170:251 *3861:38 0.000262498 -88 *3174:283 *27732:B2 0.000149915 -89 *3174:283 *3861:38 0.000303972 -90 *3259:13 *3861:40 3.56212e-05 -91 *3679:55 *3861:21 0.000839801 -92 *3679:55 *3861:32 3.23658e-05 -93 *3822:18 *3861:21 0.000107282 -94 *3822:18 *3861:32 0.000459949 -95 *3848:30 *3861:38 5.20232e-05 -96 *3848:95 *24835:A 0.000143065 -*RES -1 *28653:Q *3861:8 18.2286 -2 *3861:8 *29786:A 14.3357 -3 *3861:8 *3861:17 2.40179 -4 *3861:17 *3861:21 15.3839 -5 *3861:21 *27388:B2 13.9295 -6 *3861:21 *3861:32 19.5 -7 *3861:32 *3861:38 14.7946 -8 *3861:38 *3861:40 83.7857 -9 *3861:40 *3861:53 30.1875 -10 *3861:53 *24835:A 37.6571 -11 *3861:32 *27732:B2 11.2152 -12 *3861:17 *25181:A1 18.4429 -*END - -*D_NET *3862 0.0246396 -*CONN -*I *30173:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27402:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27752:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25076:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28654:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *30173:A 0.000558546 -2 *27402:B2 0.00130082 -3 *27752:A1 0.000196623 -4 *25076:A1 0.00173499 -5 *28654:Q 0.000100091 -6 *3862:42 0.00271373 -7 *3862:21 0.00415339 -8 *3862:8 0.00186075 -9 *25076:A1 *25077:B2 5.30637e-06 -10 *25076:A1 *25196:B2 9.11048e-06 -11 *27402:B2 *25130:A1 2.04825e-05 -12 *27402:B2 *5587:44 0.000104341 -13 *27402:B2 *5644:237 0.000772821 -14 *27402:B2 *5661:245 3.58774e-05 -15 *27402:B2 *5688:320 5.28985e-05 -16 *27402:B2 *6258:12 0.000508897 -17 *27752:A1 *5601:175 1.94945e-05 -18 *27752:A1 *5632:161 7.20953e-05 -19 *27752:A1 *5649:151 2.45098e-05 -20 *30173:A *28654:D 0.000617216 -21 *30173:A *28667:D 2.87212e-05 -22 *30173:A *4146:79 0.000786404 -23 *30173:A *5650:250 6.96586e-05 -24 *3862:8 *28654:RESET_B 1.63453e-05 -25 *3862:8 *5688:349 6.04862e-05 -26 *3862:21 *28654:D 0.000270798 -27 *3862:21 *28654:RESET_B 0.000131136 -28 *3862:21 *5688:349 2.09897e-05 -29 *3862:21 *5764:22 2.95642e-05 -30 *3862:42 *5587:44 0.000253036 -31 *3862:42 *5601:166 0.000130714 -32 *3862:42 *6258:12 0.000583344 -33 *25076:A2 *25076:A1 0.000832947 -34 *25196:A2 *25076:A1 8.85712e-05 -35 *25196:C1 *25076:A1 7.6612e-06 -36 *25251:B *3862:42 0.000218549 -37 *27402:A1 *27402:B2 3.17016e-05 -38 *27412:A2 *27402:B2 1.90936e-05 -39 *27752:A2 *27752:A1 3.07485e-05 -40 *28654:CLK *3862:21 1.03807e-05 -41 *28842:A *3862:42 0.000121891 -42 *1260:81 *3862:8 2.05484e-05 -43 *1260:81 *3862:21 1.32023e-05 -44 *1265:112 *3862:21 1.7606e-05 -45 *1267:65 *27752:A1 8.94556e-05 -46 *1274:221 *3862:42 0.00182371 -47 *1292:24 *3862:42 0 -48 *1292:65 *27402:B2 0.000217685 -49 *1361:29 *30173:A 0 -50 *1396:168 *25076:A1 0.000180764 -51 *1433:29 *27402:B2 9.28722e-05 -52 *1516:17 *3862:21 5.52302e-05 -53 *1693:14 *25076:A1 0.000158207 -54 *2779:107 *30173:A 0.000156673 -55 *2873:17 *3862:42 9.5171e-05 -56 *2874:290 *25076:A1 0.000274848 -57 *2877:299 *3862:42 0.000240423 -58 *2882:246 *3862:42 0.00214088 -59 *3215:242 *27752:A1 4.18413e-05 -60 *3540:23 *30173:A 0.000156673 -61 *3729:44 *27752:A1 5.98228e-05 -62 *3740:41 *25076:A1 0.000179798 -63 *3823:40 *27752:A1 1.94945e-05 -*RES -1 *28654:Q *3862:8 15.3268 -2 *3862:8 *3862:21 20.0625 -3 *3862:21 *25076:A1 48.1036 -4 *3862:21 *3862:42 18.8165 -5 *3862:42 *27752:A1 24.1055 -6 *3862:42 *27402:B2 30.3578 -7 *3862:8 *30173:A 24.2786 -*END - -*D_NET *3863 0.0139732 -*CONN -*I *27424:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27775:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30228:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25047:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28655:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27424:B2 2.1892e-06 -2 *27775:A1 0.000782981 -3 *30228:A 0.000197445 -4 *25047:B2 0.000418893 -5 *28655:Q 0 -6 *3863:37 0.00133267 -7 *3863:21 0.000915011 -8 *3863:4 0.00058896 -9 *25047:B2 *5631:47 0.00117287 -10 *27775:A1 *25050:B1 0.000545399 -11 *27775:A1 *27775:B1 1.24368e-05 -12 *27775:A1 *3915:49 0.000192538 -13 *27775:A1 *3969:16 1.55203e-05 -14 *27775:A1 *5631:58 0.000123625 -15 *30228:A *27125:S 9.58181e-05 -16 *30228:A *5658:113 9.41642e-05 -17 *30228:A *5777:247 0.000219711 -18 *3863:21 *27125:S 0.00012642 -19 *3863:21 *5631:47 0.00016834 -20 *3863:37 *5631:47 0.000153459 -21 *3863:37 *5631:58 0.000103363 -22 *25047:A2 *25047:B2 1.38323e-05 -23 *25047:B1 *25047:B2 3.03567e-05 -24 *25050:A1 *3863:37 3.06376e-05 -25 *27424:B1 *27424:B2 1.28809e-05 -26 *27424:B1 *3863:37 7.15575e-05 -27 *27775:A2 *27775:A1 0.000196323 -28 *27775:A2 *3863:37 0.000675217 -29 *27780:B *27775:A1 1.65169e-05 -30 *28655:CLK *3863:21 9.60939e-05 -31 *1246:104 *25047:B2 0.000887654 -32 *1246:111 *25047:B2 0.000141522 -33 *1246:111 *3863:37 0.00125257 -34 *2866:311 *27775:A1 0.000342302 -35 *2980:10 *27775:A1 0.00171333 -36 *3176:254 *25047:B2 0.000173055 -37 *3176:254 *3863:37 0.000161141 -38 *3580:11 *3863:21 9.41642e-05 -39 *3580:94 *27775:A1 0.00072011 -40 *3785:25 *3863:21 8.20967e-05 -*RES -1 *28655:Q *3863:4 9.3 -2 *3863:4 *25047:B2 33.8893 -3 *3863:4 *3863:21 13.5536 -4 *3863:21 *30228:A 13.1214 -5 *3863:21 *3863:37 27.4286 -6 *3863:37 *27775:A1 44.3 -7 *3863:37 *27424:B2 9.42946 -*END - -*D_NET *3864 0.0419521 -*CONN -*I *30460:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25012:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27458:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27810:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28656:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *30460:A 0.000530257 -2 *25012:A1 0.00191606 -3 *27458:B2 1.87572e-05 -4 *27810:A1 0.00125822 -5 *28656:Q 0.000180221 -6 *3864:86 0.00247291 -7 *3864:63 0.00247231 -8 *3864:43 0.00411878 -9 *3864:39 0.00249012 -10 *3864:23 0.00317461 -11 *3864:5 0.00235883 -12 *27458:B2 *3878:43 1.90936e-05 -13 *27458:B2 *5602:80 1.38323e-05 -14 *27810:A1 *27454:A1 0.000399823 -15 *27810:A1 *3942:60 0.000176275 -16 *27810:A1 *5467:18 3.66878e-05 -17 *27810:A1 *5649:66 0.000158989 -18 *30460:A *5675:97 0.000364967 -19 *3864:5 *28656:RESET_B 8.79458e-05 -20 *3864:5 *5700:260 0.000137571 -21 *3864:23 *28520:D 0.000156081 -22 *3864:23 *28584:RESET_B 0.000264129 -23 *3864:23 *28585:RESET_B 0.000362538 -24 *3864:23 *28592:D 0.000549408 -25 *3864:23 *28656:D 0.000100823 -26 *3864:23 *30865:A 4.2985e-06 -27 *3864:23 *4124:116 0.000206117 -28 *3864:23 *5602:53 0.000350028 -29 *3864:23 *5606:78 0.000306284 -30 *3864:23 *5678:85 0.000745692 -31 *3864:23 *5700:260 0.000100697 -32 *3864:23 *5753:177 0.000631287 -33 *3864:23 *5753:186 0.000117189 -34 *3864:23 *5755:92 0.000152848 -35 *3864:23 *5755:125 4.97876e-05 -36 *3864:23 *6017:11 9.60808e-05 -37 *3864:39 *25030:B2 0.000117574 -38 *3864:39 *28733:D 2.40523e-05 -39 *3864:39 *29695:A 6.57032e-05 -40 *3864:39 *4124:116 0.00078808 -41 *3864:39 *4150:65 0.000360154 -42 *3864:39 *5602:53 0.000192889 -43 *3864:39 *5863:163 0.000101908 -44 *3864:43 *3982:71 5.25862e-06 -45 *3864:43 *5660:52 1.90243e-05 -46 *3864:63 *3878:43 0.000262136 -47 *3864:63 *5639:61 0.000167996 -48 *3864:63 *5654:29 0.00014854 -49 *3864:63 *5654:52 0.000549693 -50 *3864:86 *3878:43 0.000271612 -51 *3864:86 *3878:49 0.000406611 -52 *3864:86 *4072:50 9.58181e-05 -53 *25012:B1 *25012:A1 2.58896e-05 -54 *25012:B2 *25012:A1 0.000144565 -55 *25028:C1 *3864:39 0.000123288 -56 *27089:S *30460:A 8.91726e-05 -57 *27458:A2 *3864:63 2.63501e-05 -58 *27458:A2 *3864:86 0.000112667 -59 *27458:B1 *27458:B2 3.00808e-05 -60 *27810:B1 *27810:A1 0.000259324 -61 *27826:B2 *3864:86 0.000120547 -62 *30410:A *3864:23 2.58997e-05 -63 *557:11 *3864:5 0.000178786 -64 *1258:100 *3864:86 0.000223599 -65 *1290:186 *27810:A1 0.00145528 -66 *1290:186 *3864:39 0.000220298 -67 *1290:186 *3864:43 0.00139944 -68 *1308:19 *3864:63 0 -69 *1321:11 *25012:A1 0.000828477 -70 *1328:175 *27810:A1 1.94945e-05 -71 *1347:19 *30460:A 0.000180777 -72 *1385:33 *3864:63 7.07984e-05 -73 *1490:72 *3864:23 0.000381191 -74 *2764:209 *3864:63 0.0018009 -75 *2772:196 *3864:23 0.000103249 -76 *2775:50 *3864:23 0.000291278 -77 *2776:117 *3864:39 0.000745705 -78 *2781:21 *30460:A 0.000100735 -79 *2792:104 *3864:86 7.30519e-05 -80 *2792:108 *3864:63 0.000137276 -81 *2792:108 *3864:86 0.000367394 -82 *2860:366 *27810:A1 0.000340519 -83 *2861:42 *27458:B2 2.06178e-05 -84 *2883:187 *3864:63 0.000158874 -85 *2885:82 *3864:63 0 -86 *2885:91 *3864:86 0.000149668 -87 *2895:256 *3864:63 0.000116697 -88 *3018:22 *3864:63 0.000125466 -89 *3170:167 *27810:A1 1.21258e-05 -90 *3179:67 *3864:43 0.000101862 -91 *3215:178 *27810:A1 4.65519e-05 -92 *3558:223 *3864:63 2.996e-06 -93 *3574:43 *3864:39 8.97632e-06 -94 *3630:25 *25012:A1 0.000334219 -95 *3633:5 *30460:A 7.32272e-05 -96 *3633:9 *30460:A 9.31011e-05 -97 *3734:16 *3864:23 0.000647417 -98 *3812:14 *25012:A1 1.39137e-05 -99 *3838:22 *27810:A1 1.37164e-05 -*RES -1 *28656:Q *3864:5 13.9429 -2 *3864:5 *3864:23 49.0563 -3 *3864:23 *3864:39 49.4732 -4 *3864:39 *3864:43 8.5919 -5 *3864:43 *27810:A1 27.157 -6 *3864:43 *3864:63 12.9159 -7 *3864:63 *27458:B2 17.7725 -8 *3864:63 *3864:86 30.2886 -9 *3864:86 *25012:A1 38.0857 -10 *3864:5 *30460:A 30.0857 -*END - -*D_NET *3865 0.0400529 -*CONN -*I *24980:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27473:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27826:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30308:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28657:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *24980:A1 0.000584514 -2 *27473:B2 6.07809e-05 -3 *27826:A1 0.0017014 -4 *30308:A 0.000210694 -5 *28657:Q 0.00141274 -6 *3865:44 0.00238501 -7 *3865:38 0.00295587 -8 *3865:37 0.00374292 -9 *3865:33 0.00223681 -10 *3865:17 0.00186585 -11 *24980:A1 *24980:A2 9.41642e-05 -12 *24980:A1 *24980:B2 0.000475893 -13 *24980:A1 *4098:42 9.15621e-06 -14 *24980:A1 *5536:31 0.00036457 -15 *24980:A1 *5602:52 0 -16 *27473:B2 *5643:303 2.14166e-05 -17 *27826:A1 *3878:49 9.41642e-05 -18 *27826:A1 *5643:303 0.00146566 -19 *30308:A *27106:A0 6.71327e-05 -20 *30308:A *28725:D 9.58181e-05 -21 *30308:A *4007:6 0.000569553 -22 *30308:A *5602:52 0.000571251 -23 *3865:17 *27106:A0 0.000145258 -24 *3865:17 *27106:A1 0.000136733 -25 *3865:17 *27106:S 7.54676e-05 -26 *3865:17 *28725:D 2.12005e-05 -27 *3865:17 *5608:70 9.58632e-05 -28 *3865:17 *5675:119 8.22025e-05 -29 *3865:33 *28725:D 0.000491545 -30 *3865:33 *5602:52 0.000489609 -31 *3865:33 *5873:91 0.000111976 -32 *3865:37 *3890:24 4.65519e-05 -33 *3865:38 *3955:16 0.00134556 -34 *3865:38 *5639:28 0.00340211 -35 *3865:38 *5645:39 0.000100847 -36 *3865:38 *5647:18 4.98496e-06 -37 *3865:38 *5647:39 0.000432143 -38 *3865:38 *5647:41 1.51936e-05 -39 *3865:44 *3956:17 0.000739001 -40 *24990:A2 *3865:44 0.000240183 -41 *27447:B2 *3865:38 0.000113555 -42 *27459:A *3865:38 0.000899212 -43 *27473:A1 *27473:B2 1.21963e-05 -44 *27473:C1 *27473:B2 1.32056e-05 -45 *27473:C1 *27826:A1 6.42095e-05 -46 *27802:A2 *3865:37 0.000159583 -47 *27826:A2 *27826:A1 1.01912e-05 -48 *28181:CLK *3865:17 4.19016e-05 -49 *1308:19 *3865:38 0.00101344 -50 *1308:19 *3865:44 0.000108872 -51 *1317:29 *3865:37 8.69554e-05 -52 *2760:69 *3865:38 7.6644e-05 -53 *2790:29 *3865:37 0 -54 *2859:313 *3865:44 0.000212404 -55 *2864:125 *3865:38 0.00296736 -56 *2864:132 *3865:38 1.77672e-05 -57 *2872:186 *3865:44 8.50725e-05 -58 *2882:185 *27826:A1 0.000162186 -59 *2892:140 *27826:A1 1.89351e-05 -60 *3206:207 *3865:38 0.00013664 -61 *3206:207 *3865:44 0.00135942 -62 *3371:13 *27826:A1 3.48013e-05 -63 *3633:27 *24980:A1 1.21258e-05 -64 *3721:68 *3865:38 0.000421904 -65 *3773:24 *3865:38 0.00230608 -66 *3799:25 *3865:38 8.91638e-05 -67 *3799:36 *3865:38 0.000346823 -68 *3826:60 *3865:38 0.000148561 -69 *3826:60 *3865:44 0.000171923 -*RES -1 *28657:Q *3865:17 39.4607 -2 *3865:17 *30308:A 26.6571 -3 *3865:17 *3865:33 14.4117 -4 *3865:33 *3865:37 5.8352 -5 *3865:37 *3865:38 89.375 -6 *3865:38 *3865:44 25.0446 -7 *3865:44 *27826:A1 42.5857 -8 *3865:44 *27473:B2 10.6973 -9 *3865:33 *24980:A1 24.1652 -*END - -*D_NET *3866 0.019601 -*CONN -*I *27851:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27499:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25392:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *29392:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28130:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *27851:A1 0.00120887 -2 *27499:A1 0 -3 *25392:A1 0 -4 *29392:A 0 -5 *28130:Q 0.000354046 -6 *3866:44 0.0016324 -7 *3866:35 0.00248176 -8 *3866:20 0.00240727 -9 *3866:11 0.000703083 -10 *27851:A1 *5634:53 6.42095e-05 -11 *27851:A1 *5936:78 8.43535e-06 -12 *27851:A1 *5947:85 0.00356087 -13 *3866:11 *25635:A0 6.86693e-05 -14 *3866:11 *25637:A1 0.000130718 -15 *3866:20 *25392:B2 0.000136958 -16 *3866:20 *25635:A0 8.68595e-05 -17 *3866:35 *5493:25 0.000591441 -18 *3866:35 *5710:13 1.03968e-05 -19 *3866:44 *5947:85 1.42637e-05 -20 *25149:B *3866:35 0.000193356 -21 *25670:A *3866:35 2.47753e-05 -22 *27499:A2 *27851:A1 0.000221212 -23 *27499:B1 *3866:44 2.89016e-05 -24 *27499:B2 *3866:44 0.000452378 -25 *27542:A1 *3866:35 0.000212414 -26 *27851:A2 *27851:A1 3.22455e-05 -27 *27851:B2 *27851:A1 1.28809e-05 -28 *27900:B1 *27851:A1 0.000110146 -29 *27903:B2 *27851:A1 0.000464471 -30 *29393:A *3866:11 0.000128161 -31 *1421:56 *3866:20 3.34366e-05 -32 *1421:71 *3866:20 2.48938e-05 -33 *1421:71 *3866:35 0.000220448 -34 *1427:11 *3866:20 4.13496e-05 -35 *1427:24 *3866:20 1.21258e-05 -36 *1427:142 *3866:35 0.000225513 -37 *1470:20 *3866:35 0.000121607 -38 *1833:11 *3866:35 0.00026546 -39 *2889:231 *27851:A1 0.00150633 -40 *2892:65 *3866:35 0.000295211 -41 *3051:23 *27851:A1 0.000686629 -42 *3182:97 *27851:A1 5.33005e-05 -43 *3750:20 *3866:20 5.66157e-05 -44 *3750:20 *3866:35 0.00065263 -45 *3856:13 *3866:11 6.42338e-05 -*RES -1 *28130:Q *3866:11 25.8357 -2 *3866:11 *29392:A 9.3 -3 *3866:11 *3866:20 11.1071 -4 *3866:20 *25392:A1 13.8 -5 *3866:20 *3866:35 48.4464 -6 *3866:35 *3866:44 7.29464 -7 *3866:44 *27499:A1 9.3 -8 *3866:44 *27851:A1 48.6036 -*END - -*D_NET *3867 0.0471515 -*CONN -*I *25291:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27876:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27524:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30518:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28131:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25291:B2 0.000260887 -2 *27876:A1 1.63023e-05 -3 *27524:B2 3.08771e-05 -4 *30518:A 0.000180799 -5 *28131:Q 6.24184e-05 -6 *3867:80 0.00313667 -7 *3867:60 0.0048384 -8 *3867:44 0.00341699 -9 *3867:37 0.00255249 -10 *3867:24 0.00276228 -11 *3867:6 0.0018602 -12 *25291:B2 *5584:13 0.000682581 -13 *30518:A *25636:A0 0.000139019 -14 *30518:A *5007:16 8.43535e-06 -15 *30518:A *5740:15 5.33005e-05 -16 *3867:6 *4127:32 0.000170654 -17 *3867:6 *5740:15 0.000148189 -18 *3867:24 *29027:A 1.21289e-05 -19 *3867:24 *4113:36 0.00028515 -20 *3867:24 *4127:32 0.000240349 -21 *3867:24 *4646:13 0.000385095 -22 *3867:24 *5727:217 0.00038907 -23 *3867:24 *5739:252 0.00038214 -24 *3867:24 *5740:29 5.74499e-06 -25 *3867:37 *5717:108 3.09371e-05 -26 *3867:44 *5706:188 5.58941e-05 -27 *3867:60 *5196:53 0.000183885 -28 *3867:60 *5621:34 1.81922e-05 -29 *3867:60 *5623:89 4.00349e-05 -30 *3867:60 *5653:41 0.00295108 -31 *3867:60 *5653:61 0.0003872 -32 *3867:80 *27635:B2 4.70264e-05 -33 *3867:80 *3987:58 4.48128e-05 -34 *3867:80 *3998:50 5.15107e-05 -35 *3867:80 *4185:59 4.84757e-06 -36 *3867:80 *4952:14 0.000423114 -37 *3867:80 *5583:88 0.000334575 -38 *3867:80 *5605:56 0.000346818 -39 *3867:80 *5795:96 4.88232e-05 -40 *3867:80 *5861:52 0.000202123 -41 *25107:C1 *3867:80 0.00165657 -42 *25119:B *3867:60 7.71495e-06 -43 *25225:D *3867:80 8.76591e-06 -44 *25305:A2 *3867:60 2.89317e-05 -45 *25871:S *3867:6 1.17968e-05 -46 *25871:S *3867:24 0.000118427 -47 *27520:C1 *3867:37 0.000263102 -48 *27524:A2 *3867:37 0.000232396 -49 *27524:B1 *27524:B2 2.62604e-05 -50 *27524:B1 *3867:37 0.000302933 -51 *27525:D *3867:37 0.000747983 -52 *27876:B1 *3867:44 2.59355e-05 -53 *27876:B1 *3867:60 8.43535e-06 -54 *27876:B2 *3867:44 1.28809e-05 -55 *27876:C1 *3867:44 5.33005e-05 -56 *27881:D *3867:44 5.41794e-05 -57 *1178:82 *3867:80 1.14338e-05 -58 *1218:37 *3867:80 0.000263228 -59 *1218:187 *3867:80 4.75671e-06 -60 *1243:58 *3867:80 0.00116996 -61 *1271:161 *3867:80 0.00129304 -62 *1273:96 *3867:60 0.00112688 -63 *1281:103 *3867:80 0.000876289 -64 *1421:93 *3867:60 8.92267e-05 -65 *1421:100 *3867:80 0 -66 *1454:16 *3867:80 0.000177418 -67 *1476:75 *3867:24 0.000386121 -68 *1622:13 *27524:B2 1.54153e-06 -69 *1622:13 *3867:24 0.000373077 -70 *1622:17 *3867:24 4.89698e-05 -71 *1622:17 *3867:37 0.000137506 -72 *1700:24 *25291:B2 0.000685017 -73 *1700:37 *3867:80 0.000235956 -74 *1700:44 *3867:80 1.34355e-05 -75 *1864:49 *3867:44 0.000117044 -76 *1864:49 *3867:60 5.49544e-05 -77 *1864:51 *3867:44 0.00173274 -78 *2856:97 *3867:37 9.80405e-05 -79 *2889:272 *3867:37 0.00140016 -80 *2891:60 *3867:37 0.000552531 -81 *3176:83 *27876:A1 1.3701e-05 -82 *3176:83 *3867:60 0.00030115 -83 *3176:110 *3867:60 1.65787e-05 -84 *3178:149 *3867:60 0.00317456 -85 *3182:55 *3867:60 0.000237342 -86 *3314:26 *3867:60 4.18834e-05 -87 *3666:35 *3867:80 0.000742613 -88 *3831:83 *3867:24 0.00028515 -89 *3841:68 *3867:80 0.000738508 -*RES -1 *28131:Q *3867:6 16.05 -2 *3867:6 *30518:A 17.2107 -3 *3867:6 *3867:24 41.4643 -4 *3867:24 *27524:B2 10.0321 -5 *3867:24 *3867:37 35.6786 -6 *3867:37 *3867:44 29.2857 -7 *3867:44 *27876:A1 9.72857 -8 *3867:44 *3867:60 49.6078 -9 *3867:60 *3867:80 44.3579 -10 *3867:80 *25291:B2 22.8804 -*END - -*D_NET *3868 0.0803485 -*CONN -*I *27322:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27661:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25393:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29436:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28658:Q O *D sky130_fd_sc_hd__dfstp_4 -*CAP -1 *27322:A1 0.000623359 -2 *27661:B2 5.78186e-05 -3 *25393:B2 4.94028e-06 -4 *29436:A 0.00014542 -5 *28658:Q 0 -6 *3868:74 0.00533324 -7 *3868:73 0.0063643 -8 *3868:62 0.00254452 -9 *3868:61 0.00159685 -10 *3868:56 0.00130243 -11 *3868:41 0.00136218 -12 *3868:32 0.00348304 -13 *3868:15 0.00391697 -14 *3868:5 0.000152008 -15 *25393:B2 *25391:B2 1.28809e-05 -16 *25393:B2 *4074:59 6.26774e-06 -17 *27322:A1 *3989:66 5.34149e-05 -18 *27322:A1 *5504:67 0.000175892 -19 *27322:A1 *5657:29 0.000123116 -20 *27322:A1 *5657:38 1.58163e-05 -21 *27322:A1 *5750:196 9.41642e-05 -22 *27322:A1 *5873:153 0.000527386 -23 *29436:A *5873:153 8.09493e-05 -24 *3868:15 *5873:153 2.06112e-05 -25 *3868:32 *5486:20 0.00114818 -26 *3868:32 *5629:20 0.000747566 -27 *3868:32 *5634:26 6.83428e-05 -28 *3868:32 *5691:139 8.94556e-05 -29 *3868:32 *5861:69 8.3e-05 -30 *3868:41 *5491:44 0.000190083 -31 *3868:41 *5619:17 5.58941e-05 -32 *3868:41 *5665:14 5.41794e-05 -33 *3868:56 *5491:44 0.000374538 -34 *3868:62 *25305:B2 0.000461131 -35 *3868:62 *27875:A1 0.000555504 -36 *3868:62 *3881:33 0.000264089 -37 *3868:62 *3881:35 9.738e-05 -38 *3868:62 *3961:64 0.000935981 -39 *3868:73 *4141:49 2.32501e-05 -40 *3868:73 *5605:99 0.000788773 -41 *3868:74 *25154:B 9.11494e-05 -42 *3868:74 *25901:A1 0.000290986 -43 *3868:74 *27286:B1 6.64099e-05 -44 *3868:74 *27947:A2 1.94945e-05 -45 *3868:74 *29191:A 0.000223599 -46 *3868:74 *3881:54 0.00073821 -47 *3868:74 *4011:52 0.00134982 -48 *3868:74 *4011:54 0.000128569 -49 *3868:74 *4087:61 2.47627e-05 -50 *3868:74 *4087:63 0.00175154 -51 *3868:74 *5216:12 2.06178e-05 -52 *3868:74 *5617:57 0.000416707 -53 *3868:74 *5649:176 0.000337934 -54 *25077:C1 *3868:74 0.000337637 -55 *25372:B1 *3868:74 2.70725e-06 -56 *25373:A *3868:74 0.00012401 -57 *25393:A2 *3868:41 0.000177821 -58 *27325:C1 *27322:A1 0.000300272 -59 *27619:A2 *3868:32 0.000803561 -60 *27667:B1 *27661:B2 4.58194e-05 -61 *27759:C1 *29436:A 9.60875e-05 -62 *28474:CLK *3868:74 6.5185e-05 -63 *29328:A *3868:74 0.00012401 -64 *1223:15 *3868:74 9.96929e-05 -65 *1244:128 *29436:A 0.00011178 -66 *1253:12 *3868:74 0.00125714 -67 *1253:23 *3868:74 5.08844e-05 -68 *1263:28 *3868:73 9.12718e-05 -69 *1271:104 *3868:32 0.000303917 -70 *1273:81 *3868:62 0.000246136 -71 *1273:203 *3868:62 0.000121549 -72 *1289:44 *3868:32 8.48485e-05 -73 *1294:147 *27322:A1 0.000627327 -74 *1294:147 *29436:A 0.000101257 -75 *1294:147 *3868:15 1.10632e-05 -76 *1395:126 *3868:73 0.00147441 -77 *1395:133 *3868:74 5.13665e-05 -78 *1395:146 *3868:74 0.00134568 -79 *1396:120 *3868:74 0.00018392 -80 *1396:171 *3868:74 0.000233322 -81 *1407:29 *3868:62 0.000578123 -82 *1407:36 *3868:56 0.00222798 -83 *1411:68 *3868:61 0.000177821 -84 *1427:104 *3868:62 1.13265e-05 -85 *1442:14 *3868:56 0.002232 -86 *1449:121 *3868:41 3.66228e-05 -87 *1450:28 *3868:74 1.27529e-05 -88 *1450:33 *3868:74 0.002295 -89 *1452:103 *3868:41 9.58126e-05 -90 *1470:67 *3868:62 0.000999343 -91 *1539:21 *27322:A1 0.000175892 -92 *1615:14 *3868:73 0 -93 *2776:65 *3868:32 0.000231801 -94 *2834:52 *3868:32 0.00121183 -95 *2834:59 *3868:32 0.00138404 -96 *2834:59 *3868:41 0.000346759 -97 *2851:24 *3868:73 2.05803e-05 -98 *2851:24 *3868:74 0.00024721 -99 *2855:15 *3868:32 0.000196543 -100 *2855:32 *3868:32 0.000518224 -101 *2856:196 *3868:73 4.65519e-05 -102 *2889:217 *3868:56 6.05161e-06 -103 *3164:69 *3868:56 0.000136951 -104 *3173:158 *3868:73 4.79905e-05 -105 *3205:43 *29436:A 0.000111921 -106 *3220:16 *3868:74 0.000154801 -107 *3220:18 *3868:74 0 -108 *3220:44 *3868:73 5.00194e-05 -109 *3547:25 *3868:32 0.000306445 -110 *3560:18 *29436:A 2.22424e-05 -111 *3591:54 *3868:74 2.21225e-05 -112 *3699:22 *3868:74 0.00620098 -113 *3699:24 *3868:74 0.00869191 -114 *3699:40 *3868:62 0.00290531 -115 *3699:52 *3868:62 9.99931e-06 -116 *3779:97 *3868:62 0.000196064 -117 *3790:57 *3868:41 0.000188369 -118 *3790:57 *3868:56 0.000383788 -*RES -1 *28658:Q *3868:5 13.8 -2 *3868:5 *29436:A 17.9071 -3 *3868:5 *3868:15 0.276786 -4 *3868:15 *3868:32 46.3492 -5 *3868:32 *3868:41 20.1964 -6 *3868:41 *25393:B2 13.9295 -7 *3868:41 *3868:56 45.5179 -8 *3868:56 *3868:61 10.7679 -9 *3868:61 *3868:62 50.0625 -10 *3868:62 *3868:73 17.4752 -11 *3868:73 *3868:74 193.652 -12 *3868:74 *27661:B2 14.7464 -13 *3868:15 *27322:A1 29.5946 -*END - -*D_NET *3869 0.0156749 -*CONN -*I *27531:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25280:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27912:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29178:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28142:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *27531:A1 0.000184731 -2 *25280:B2 0.000156719 -3 *27912:B2 3.99204e-05 -4 *29178:A 5.8917e-05 -5 *28142:Q 0.000125072 -6 *3869:34 0.00211006 -7 *3869:28 0.00271993 -8 *3869:15 0.00179924 -9 *3869:5 0.00136145 -10 *25280:B2 *5510:116 1.72394e-05 -11 *27912:B2 *5442:23 3.04785e-05 -12 *29178:A *5691:341 5.26091e-05 -13 *3869:5 *5442:23 5.67612e-05 -14 *3869:15 *5442:23 0.000465775 -15 *3869:28 *27532:B2 0 -16 *3869:28 *5442:23 2.42662e-05 -17 *3869:34 *27532:B2 1.60321e-05 -18 *3869:34 *3960:29 1.98839e-05 -19 *3869:34 *5510:98 9.02017e-05 -20 *3869:34 *5718:126 0.00027299 -21 *3869:34 *5718:174 0.000295568 -22 *25280:C1 *25280:B2 0.000171006 -23 *27531:B1 *27531:A1 5.52238e-05 -24 *27532:C1 *3869:34 4.82947e-05 -25 *27863:B2 *27531:A1 0.000318204 -26 *27863:B2 *3869:28 0.000404652 -27 *27911:A2 *3869:28 0.000221641 -28 *27912:A1 *3869:28 0.000221634 -29 *1421:33 *25280:B2 0 -30 *1427:8 *29178:A 0.000150618 -31 *1449:135 *3869:34 4.05548e-05 -32 *1897:19 *3869:34 0.000293854 -33 *2789:25 *3869:28 3.61589e-06 -34 *2874:54 *3869:34 0.00218674 -35 *2874:57 *3869:34 0.00101341 -36 *3454:11 *27531:A1 0.000157768 -37 *3454:11 *3869:28 0.000377405 -38 *3804:58 *3869:28 1.52978e-05 -39 *3856:26 *27531:A1 9.71197e-05 -*RES -1 *28142:Q *3869:5 10.8625 -2 *3869:5 *29178:A 20.2464 -3 *3869:5 *3869:15 14.0893 -4 *3869:15 *27912:B2 10.3179 -5 *3869:15 *3869:28 18.5536 -6 *3869:28 *3869:34 48.9286 -7 *3869:34 *25280:B2 16.4116 -8 *3869:28 *27531:A1 19.1214 -*END - -*D_NET *3870 0.0224931 -*CONN -*I *25175:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27558:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27938:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30317:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28143:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *25175:B2 0.00170419 -2 *27558:B2 4.95747e-06 -3 *27938:B2 0.000315562 -4 *30317:A 0.000227073 -5 *28143:Q 0.000125898 -6 *3870:52 0.00337406 -7 *3870:34 0.00203937 -8 *3870:18 0.00161924 -9 *3870:8 0.0012921 -10 *25175:B2 *25185:B2 0.000537024 -11 *25175:B2 *3935:47 0.000475551 -12 *25175:B2 *5646:102 0.000302665 -13 *27558:B2 *6493:DIODE 1.28809e-05 -14 *27558:B2 *4065:107 6.05161e-06 -15 *27938:B2 *25874:A1 4.60355e-05 -16 *27938:B2 *27157:A0 8.00806e-05 -17 *27938:B2 *4103:18 0.00049432 -18 *30317:A *5712:49 1.37292e-05 -19 *3870:18 *25824:A1 0.000394111 -20 *3870:18 *5253:44 0.000152087 -21 *3870:18 *5706:38 0.00090205 -22 *3870:34 *5253:44 2.5998e-05 -23 *3870:34 *5706:38 0.000306231 -24 *3870:52 *3935:32 0.00241373 -25 *3870:52 *4130:103 0.000634672 -26 *3870:52 *4130:109 3.69047e-06 -27 *3870:52 *5650:122 0.000124875 -28 *3870:52 *5657:90 1.19191e-05 -29 *3870:52 *5657:114 9.18765e-06 -30 *3870:52 *5687:29 0.00012316 -31 *25213:C1 *25175:B2 3.69047e-06 -32 *25429:A3 *30317:A 3.27033e-05 -33 *27557:A1 *3870:52 9.3445e-05 -34 *27558:A2 *3870:52 0.000135028 -35 *27558:C1 *3870:52 9.54798e-06 -36 *27925:A2 *25175:B2 8.48827e-06 -37 *27925:B2 *25175:B2 2.18902e-05 -38 *27938:C1 *27938:B2 0.000165587 -39 *27941:A1 *25175:B2 0.000178425 -40 *402:13 *3870:18 0.000299409 -41 *406:8 *30317:A 0 -42 *406:8 *3870:8 0 -43 *408:20 *3870:34 4.30918e-05 -44 *1396:64 *27558:B2 1.31802e-07 -45 *1440:85 *25175:B2 0.000216755 -46 *1456:82 *3870:18 2.59024e-05 -47 *1738:106 *30317:A 0.000138916 -48 *1740:26 *30317:A 4.70842e-05 -49 *1829:34 *30317:A 0.000197502 -50 *1829:34 *3870:8 0.00010302 -51 *1829:41 *30317:A 0.000203106 -52 *2789:27 *27938:B2 1.51251e-05 -53 *2789:44 *27938:B2 7.58497e-05 -54 *2834:142 *27938:B2 1.76352e-05 -55 *2834:142 *3870:34 0.000517233 -56 *2834:142 *3870:52 0.000154904 -57 *2853:107 *3870:52 8.90643e-05 -58 *2853:113 *3870:52 2.99822e-05 -59 *2882:135 *3870:52 0.00104796 -60 *2891:81 *3870:52 2.41198e-05 -61 *3174:99 *25175:B2 0.000305189 -62 *3711:34 *3870:18 0.000164921 -63 *3752:33 *3870:52 0.000219711 -64 *3766:93 *3870:52 0.000135244 -*RES -1 *28143:Q *3870:8 15.8268 -2 *3870:8 *30317:A 19.2375 -3 *3870:8 *3870:18 6.41173 -4 *3870:18 *27938:B2 25.2412 -5 *3870:18 *3870:34 4.46593 -6 *3870:34 *27558:B2 13.9295 -7 *3870:34 *3870:52 48.5357 -8 *3870:52 *25175:B2 42.3 -*END - -*D_NET *3871 0.0468696 -*CONN -*I *27953:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27590:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25107:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30275:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28144:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27953:B2 0.00109074 -2 *27590:B2 1.87124e-05 -3 *25107:B2 3.74281e-05 -4 *30275:A 0 -5 *28144:Q 0 -6 *3871:94 0.00246004 -7 *3871:73 0.00245489 -8 *3871:51 0.00478688 -9 *3871:50 0.00682124 -10 *3871:32 0.00397798 -11 *3871:17 0.00116424 -12 *3871:4 0.00196614 -13 *27590:B2 *27590:A1 4.01136e-06 -14 *27590:B2 *4092:35 4.71135e-06 -15 *27953:B2 *6494:DIODE 0.000286046 -16 *27953:B2 *6546:DIODE 0.000140794 -17 *27953:B2 *27590:A1 6.55258e-05 -18 *27953:B2 *27953:A1 6.96994e-05 -19 *27953:B2 *4118:50 0.000173472 -20 *27953:B2 *4131:62 0.000148874 -21 *27953:B2 *5657:132 9.56113e-05 -22 *3871:17 *24868:B 0.000113005 -23 *3871:17 *25651:A0 0.000219711 -24 *3871:17 *25657:A1 0.00025351 -25 *3871:17 *28238:D 0.000470804 -26 *3871:17 *4065:10 0.000365841 -27 *3871:17 *5545:50 0.000159939 -28 *3871:32 *4065:21 0.000418692 -29 *3871:32 *5077:11 1.81709e-05 -30 *3871:32 *5686:100 2.79421e-05 -31 *3871:32 *5712:30 0.000721036 -32 *3871:50 *24895:B 4.884e-05 -33 *3871:50 *28295:RESET_B 2.29097e-05 -34 *3871:50 *28313:D 9.38921e-05 -35 *3871:50 *28997:A 2.59355e-05 -36 *3871:50 *4064:40 0.000163629 -37 *3871:50 *4143:11 0.000367292 -38 *3871:50 *4144:35 0.000932786 -39 *3871:50 *5693:56 2.11419e-05 -40 *3871:50 *5707:9 7.57673e-05 -41 *3871:50 *5741:158 0.000161441 -42 *3871:51 *29003:A 0.000711724 -43 *3871:51 *5795:113 0.000518305 -44 *3871:73 *25880:A0 0.000147625 -45 *3871:73 *28262:RESET_B 0.000358445 -46 *3871:73 *28333:D 0.000168496 -47 *3871:73 *4065:21 0.00114147 -48 *3871:73 *5209:39 0.000240425 -49 *3871:73 *5693:32 5.39232e-05 -50 *3871:94 *25860:A0 0.00075035 -51 *3871:94 *27590:A1 7.70134e-06 -52 *3871:94 *27908:B1 0.000192257 -53 *3871:94 *27937:A2 4.21517e-05 -54 *3871:94 *4092:35 0.000174548 -55 *3871:94 *4100:21 1.00073e-05 -56 *3871:94 *4142:34 9.87983e-06 -57 *3871:94 *5657:132 0.000551332 -58 *3871:94 *5839:11 0.000603757 -59 *25107:B1 *25107:B2 5.7661e-06 -60 *25107:B1 *3871:51 2.13481e-06 -61 *25107:C1 *25107:B2 2.33041e-05 -62 *25107:C1 *3871:51 1.21258e-05 -63 *25334:B *3871:51 0.00165543 -64 *25369:A2 *3871:50 4.58194e-05 -65 *25880:S *3871:73 0.0001231 -66 *27546:A2 *27953:B2 0.000114596 -67 *27590:A2 *27953:B2 3.06478e-05 -68 *27921:A2 *3871:32 0.000497055 -69 *28297:CLK *3871:73 0.000111411 -70 *29432:A *3871:73 1.02504e-05 -71 *29885:A *3871:32 1.58163e-05 -72 *29906:A *3871:73 1.81314e-05 -73 *30245:A *3871:94 0.000260574 -74 *30535:A *3871:94 0.000435452 -75 *1194:14 *3871:17 0.000128419 -76 *1207:11 *3871:73 0.000346189 -77 *1242:61 *25107:B2 0 -78 *1287:21 *3871:17 0.000161587 -79 *1396:45 *3871:32 0.00125206 -80 *1399:19 *3871:51 0.000655678 -81 *1423:66 *3871:50 7.40526e-05 -82 *1427:149 *3871:17 9.01053e-05 -83 *1427:149 *3871:32 0.000414897 -84 *1427:149 *3871:73 0.000517565 -85 *1448:46 *3871:94 4.37992e-05 -86 *1665:29 *3871:94 0.000727765 -87 *1744:112 *3871:17 2.06112e-05 -88 *2850:121 *27953:B2 9.09436e-06 -89 *2895:180 *3871:94 6.12434e-05 -90 *3143:11 *27953:B2 3.30337e-05 -91 *3196:145 *3871:17 0.000572328 -92 *3196:179 *3871:73 0.000416588 -93 *3216:184 *3871:94 0.000112496 -94 *3413:25 *3871:94 0.000417275 -95 *3541:38 *3871:94 7.69776e-06 -96 *3558:17 *3871:17 2.79421e-05 -97 *3558:17 *3871:32 4.51726e-05 -98 *3561:19 *3871:32 0.000177815 -99 *3570:86 *3871:17 0.000136958 -100 *3570:97 *3871:17 5.52238e-05 -101 *3616:7 *3871:17 0.00022152 -102 *3616:122 *3871:17 6.57815e-05 -103 *3637:134 *3871:73 7.4826e-05 -104 *3697:33 *3871:50 8.23597e-06 -105 *3711:16 *3871:73 0.000218409 -106 *3740:17 *3871:73 3.0285e-05 -107 *3740:30 *3871:73 5.67727e-05 -108 *3830:36 *3871:73 8.85664e-07 -109 *3830:51 *3871:73 0.00019394 -*RES -1 *28144:Q *3871:4 9.3 -2 *3871:4 *3871:17 46 -3 *3871:17 *30275:A 9.3 -4 *3871:4 *3871:32 38.5804 -5 *3871:32 *3871:50 43.6597 -6 *3871:50 *3871:51 55.9821 -7 *3871:51 *25107:B2 10.1616 -8 *3871:32 *3871:73 49.2411 -9 *3871:73 *3871:94 46.2522 -10 *3871:94 *27590:B2 9.72857 -11 *3871:94 *27953:B2 27.5098 -*END - -*D_NET *3872 0.0625222 -*CONN -*I *29879:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27345:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27689:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25324:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28659:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *29879:A 0.00111296 -2 *27345:B2 0.000742155 -3 *27689:B2 5.88544e-05 -4 *25324:A1 0.000572458 -5 *28659:Q 8.62305e-05 -6 *3872:86 0.0017206 -7 *3872:79 0.00252441 -8 *3872:72 0.00273872 -9 *3872:43 0.00311736 -10 *3872:39 0.00275839 -11 *3872:28 0.00288908 -12 *3872:17 0.00197389 -13 *3872:9 0.00163139 -14 *25324:A1 *26879:A0 6.09899e-05 -15 *25324:A1 *4015:26 9.60875e-05 -16 *25324:A1 *5687:87 8.8707e-05 -17 *25324:A1 *5687:114 9.58692e-05 -18 *27345:B2 *27345:A1 0.000181675 -19 *27345:B2 *3886:67 0.000130991 -20 *27345:B2 *5657:241 0.000438732 -21 *29879:A *25563:A1 1.94054e-05 -22 *29879:A *25952:A1 0.00119529 -23 *29879:A *4952:13 0 -24 *29879:A *5485:121 0.000123238 -25 *29879:A *5485:127 0.000162047 -26 *29879:A *5535:70 0.000109523 -27 *29879:A *5535:71 0.000323159 -28 *29879:A *5718:70 3.19604e-05 -29 *29879:A *6042:20 8.6229e-06 -30 *29879:A *6183:14 0.000116773 -31 *3872:9 *25952:A1 0.000250498 -32 *3872:9 *5481:6 2.26973e-05 -33 *3872:9 *5485:121 0.00013182 -34 *3872:17 *5486:22 0.00088274 -35 *3872:28 *28627:SET_B 0.00021427 -36 *3872:28 *5442:51 2.59024e-05 -37 *3872:28 *5486:20 8.6229e-06 -38 *3872:28 *5486:22 0.00276643 -39 *3872:28 *6067:17 0.000282842 -40 *3872:28 *6225:92 9.65172e-05 -41 *3872:28 *6317:70 0.000277976 -42 *3872:39 *5442:51 0 -43 *3872:39 *5635:15 0.000274119 -44 *3872:39 *5700:149 2.71935e-05 -45 *3872:39 *5750:63 9.20825e-05 -46 *3872:43 *5629:137 0.000746248 -47 *3872:43 *5629:139 6.78521e-05 -48 *3872:43 *5687:114 0.000108872 -49 *3872:72 *25273:A1 0.000373005 -50 *3872:72 *27030:A1 0.000218019 -51 *3872:72 *3977:24 0.000808645 -52 *3872:72 *4185:59 0.000411948 -53 *3872:72 *5605:56 1.94945e-05 -54 *3872:72 *5634:113 0.00044323 -55 *3872:72 *5634:122 0.000905415 -56 *3872:79 *27683:A1 0.000104587 -57 *3872:79 *3977:24 0.000135821 -58 *3872:79 *5629:164 1.55388e-05 -59 *3872:79 *5641:38 7.36162e-05 -60 *3872:79 *5641:55 0.00012401 -61 *3872:79 *5652:12 0.00204178 -62 *3872:79 *5700:50 0.000104194 -63 *3872:86 *3886:67 0.000945181 -64 *3872:86 *4106:37 4.25213e-05 -65 *3872:86 *5643:66 5.06883e-05 -66 *3872:86 *5644:205 0.000205105 -67 *3872:86 *5657:241 0.000932506 -68 *25125:C1 *3872:28 0.000308601 -69 *25277:B1 *3872:72 2.05107e-05 -70 *25277:C1 *3872:72 0.000138035 -71 *25324:A2 *25324:A1 7.34187e-06 -72 *25324:B1 *25324:A1 3.57366e-05 -73 *25340:B2 *27345:B2 0.000126757 -74 *27182:S *3872:28 0.000363714 -75 *27345:A2 *27345:B2 7.13226e-06 -76 *27345:C1 *27345:B2 7.09445e-05 -77 *27349:A1 *3872:79 0.00021216 -78 *27349:A1 *3872:86 0.000129235 -79 *27352:A *27345:B2 0.00079599 -80 *27594:A1 *29879:A 5.58809e-05 -81 *27689:C1 *27689:B2 2.94641e-05 -82 *28787:CLK *3872:43 8.22793e-06 -83 *28800:CLK *3872:43 0.000553111 -84 *28939:A *3872:17 0.000871813 -85 *29739:A *29879:A 0.000346155 -86 *977:15 *29879:A 0 -87 *1182:26 *3872:43 3.7863e-05 -88 *1228:73 *3872:28 0.000315216 -89 *1228:73 *3872:39 0.000131059 -90 *1228:73 *3872:43 0.000140362 -91 *1245:51 *3872:43 9.00679e-05 -92 *1245:68 *3872:39 0.0026084 -93 *1245:72 *3872:17 4.75152e-06 -94 *1256:40 *3872:43 0.000417436 -95 *1264:83 *29879:A 0.000518686 -96 *1265:228 *3872:43 1.90936e-05 -97 *1273:102 *25324:A1 2.18792e-05 -98 *1273:122 *25324:A1 4.61308e-05 -99 *1290:31 *3872:43 0.000103852 -100 *1294:243 *29879:A 0.000200147 -101 *1328:98 *3872:28 0.000146575 -102 *1328:140 *25324:A1 9.41642e-05 -103 *1328:140 *3872:43 0.000347362 -104 *1507:25 *27689:B2 9.65702e-05 -105 *1536:13 *3872:9 2.11419e-05 -106 *1536:13 *3872:28 0.000446765 -107 *1536:21 *3872:28 0.000318565 -108 *2758:23 *3872:43 0.000213868 -109 *2758:37 *25324:A1 9.41642e-05 -110 *2768:33 *3872:79 9.99989e-05 -111 *2768:56 *27345:B2 0.000614258 -112 *2768:56 *3872:86 0.00197486 -113 *2784:26 *29879:A 0 -114 *2798:60 *3872:17 9.41642e-05 -115 *2848:33 *3872:39 1.09611e-05 -116 *2853:164 *3872:43 0.000618071 -117 *2864:28 *3872:39 6.90381e-06 -118 *2913:8 *27345:B2 8.5662e-05 -119 *2913:8 *3872:86 0.000380611 -120 *3185:65 *3872:72 9.46746e-05 -121 *3185:65 *3872:79 6.5872e-05 -122 *3185:92 *3872:79 3.11336e-05 -123 *3197:31 *3872:43 0.000337178 -124 *3206:84 *3872:43 0.000139643 -125 *3206:95 *3872:72 0.000787034 -126 *3216:46 *3872:28 4.65519e-05 -127 *3221:15 *25324:A1 0.000804132 -128 *3233:26 *3872:86 2.25946e-05 -129 *3388:17 *3872:39 0.0025732 -130 *3574:111 *3872:43 0.000150618 -131 *3643:26 *3872:43 0.000742861 -132 *3664:16 *3872:79 0.000207569 -133 *3677:48 *3872:79 0.000364239 -134 *3704:31 *3872:79 0 -135 *3794:86 *3872:72 1.27529e-05 -136 *3794:86 *3872:79 0.00232823 -137 *3820:79 *3872:43 7.19796e-05 -*RES -1 *28659:Q *3872:9 17.8941 -2 *3872:9 *3872:17 25.1689 -3 *3872:17 *3872:28 47.0803 -4 *3872:28 *3872:39 19.7892 -5 *3872:39 *3872:43 44.0089 -6 *3872:43 *25324:A1 22.3179 -7 *3872:43 *3872:72 38.9643 -8 *3872:72 *3872:79 47.2375 -9 *3872:79 *3872:86 36.5261 -10 *3872:86 *27689:B2 15.1795 -11 *3872:86 *27345:B2 33.2286 -12 *3872:9 *29879:A 27.4165 -*END - -*D_NET *3873 0.0480749 -*CONN -*I *27366:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27700:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25270:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29829:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28660:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27366:B2 0.000707945 -2 *27700:B2 0.000329567 -3 *25270:B2 0.000527418 -4 *29829:A 0.00012412 -5 *28660:Q 0 -6 *3873:90 0.00232162 -7 *3873:79 0.00208498 -8 *3873:73 0.00179839 -9 *3873:67 0.00292089 -10 *3873:34 0.00280119 -11 *3873:6 0.00256119 -12 *3873:5 0.00208666 -13 *25270:B2 *25270:A1 1.56e-05 -14 *27366:B2 *27366:B1 0.000165339 -15 *27366:B2 *3912:66 4.38243e-05 -16 *27366:B2 *5748:36 2.77143e-05 -17 *27700:B2 *5643:58 0.000590812 -18 *29829:A *27093:A0 2.70189e-05 -19 *29829:A *5694:119 0 -20 *29829:A *5720:191 0.000108044 -21 *3873:6 *27093:A0 1.88175e-05 -22 *3873:6 *5694:119 0 -23 *3873:6 *5720:191 0.000290926 -24 *3873:34 *29031:A 1.80265e-05 -25 *3873:34 *30871:A 0 -26 *3873:34 *4873:22 0.000262222 -27 *3873:34 *4907:28 0.000671897 -28 *3873:34 *4907:40 0.000516865 -29 *3873:34 *5757:48 0.000190621 -30 *3873:67 *25263:A1 9.28665e-05 -31 *3873:67 *27277:A_N 1.53528e-05 -32 *3873:67 *3886:26 0.000109198 -33 *3873:67 *3886:47 0.000124162 -34 *3873:67 *4796:30 0.00178184 -35 *3873:67 *5535:25 4.18662e-06 -36 *3873:67 *5599:247 2.75143e-05 -37 *3873:67 *5667:108 0.000180569 -38 *3873:67 *5694:119 0 -39 *3873:67 *5700:237 1.1984e-05 -40 *3873:67 *5720:191 0.000481858 -41 *3873:67 *5757:109 2.63501e-05 -42 *3873:67 *6225:102 3.15692e-05 -43 *3873:73 *25321:A1 0.000495201 -44 *3873:73 *27354:A2 0.000237456 -45 *3873:73 *27695:A2 0.00018598 -46 *3873:73 *29488:A 0.000117189 -47 *3873:73 *5700:111 0.000679576 -48 *3873:79 *27714:B2 6.11203e-06 -49 *3873:79 *3925:27 4.54426e-05 -50 *3873:79 *4185:60 9.41642e-05 -51 *3873:79 *6351:40 0.00148827 -52 *3873:90 *27713:B2 0.000354522 -53 *3873:90 *5609:144 0.000541514 -54 *3873:90 *5627:41 9.31011e-05 -55 *25270:A2 *25270:B2 0.00015546 -56 *25270:B1 *25270:B2 3.39139e-05 -57 *25271:B1 *29829:A 0.000175892 -58 *25386:B2 *25270:B2 0.000263102 -59 *25387:C1 *25270:B2 6.24758e-05 -60 *26876:S *27700:B2 0.000961168 -61 *27366:A2 *27366:B2 2.57166e-05 -62 *27713:C1 *3873:90 0.00016564 -63 *1218:123 *29829:A 0.000177545 -64 *1245:68 *3873:34 4.33002e-05 -65 *1250:163 *25270:B2 0.000641235 -66 *1250:187 *25270:B2 0.000164622 -67 *1277:161 *27366:B2 0.000355935 -68 *1358:19 *27366:B2 4.65519e-05 -69 *1639:14 *3873:73 0.00110889 -70 *1639:25 *3873:90 0.000135994 -71 *1639:28 *3873:90 0.00070466 -72 *2776:48 *3873:34 8.94556e-05 -73 *2777:71 *3873:90 0.000352215 -74 *2777:94 *3873:90 0.000614309 -75 *2782:53 *3873:34 0.000411069 -76 *2792:145 *3873:67 3.64112e-05 -77 *2860:26 *3873:67 1.0562e-05 -78 *2875:207 *27366:B2 0.000362878 -79 *2880:178 *27366:B2 9.01594e-05 -80 *2880:180 *27366:B2 1.24368e-05 -81 *2886:11 *3873:67 4.65519e-05 -82 *2886:164 *3873:67 0.00203772 -83 *2892:16 *3873:67 3.0305e-05 -84 *2917:26 *27366:B2 3.73407e-05 -85 *2917:26 *3873:90 0.000754029 -86 *2918:10 *3873:73 0.000790397 -87 *2920:8 *3873:79 0.000533179 -88 *2958:48 *3873:79 0.00212286 -89 *2958:48 *3873:90 0.00160823 -90 *2958:56 *3873:67 0.000254347 -91 *3174:29 *3873:67 4.45982e-05 -92 *3178:144 *3873:73 0.000135028 -93 *3191:37 *25270:B2 0.00172048 -94 *3191:37 *3873:34 0.000158067 -95 *3572:36 *3873:34 2.39923e-05 -96 *3743:51 *27366:B2 0.000337889 -97 *3790:11 *3873:34 0.00018927 -98 *3790:32 *3873:34 0.00125135 -99 *3796:62 *3873:73 1.18496e-05 -100 *3796:68 *3873:90 0.000138261 -101 *3796:70 *27366:B2 4.38265e-05 -102 *3796:70 *3873:90 3.17148e-05 -103 *3820:91 *27366:B2 2.69614e-05 -104 *3822:56 *3873:79 9.60875e-05 -105 *3860:38 *3873:67 1.12886e-05 -*RES -1 *28660:Q *3873:5 13.8 -2 *3873:5 *3873:6 3.91964 -3 *3873:6 *29829:A 17.0589 -4 *3873:6 *3873:34 44.0418 -5 *3873:34 *25270:B2 26.6482 -6 *3873:5 *3873:67 36.4633 -7 *3873:67 *3873:73 35.5268 -8 *3873:73 *3873:79 38 -9 *3873:79 *3873:90 49.9286 -10 *3873:90 *27700:B2 23.3714 -11 *3873:90 *27366:B2 34.7292 -*END - -*D_NET *3874 0.0857446 -*CONN -*I *27737:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *24834:A I *D sky130_fd_sc_hd__inv_2 -*I *27387:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *29788:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25175:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28661:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27737:B2 0.000767965 -2 *24834:A 0 -3 *27387:A1 0 -4 *29788:A 0 -5 *25175:A1 0 -6 *28661:Q 0 -7 *3874:102 0.00179935 -8 *3874:91 0.00387373 -9 *3874:79 0.00373814 -10 *3874:78 0.00166375 -11 *3874:76 0.00144571 -12 *3874:54 0.00179236 -13 *3874:53 0.00126553 -14 *3874:41 0.00218054 -15 *3874:37 0.00569209 -16 *3874:26 0.00408372 -17 *3874:18 0.00314627 -18 *3874:16 0.00333586 -19 *3874:9 0.000864096 -20 *3874:5 0.000253273 -21 *27737:B2 *31010:A 0.000146232 -22 *27737:B2 *4095:57 7.02772e-05 -23 *27737:B2 *5589:96 4.35421e-05 -24 *27737:B2 *5595:32 0.000138178 -25 *27737:B2 *5643:166 0.000219711 -26 *27737:B2 *5862:14 0.000110029 -27 *3874:9 *27094:A0 2.36643e-05 -28 *3874:9 *4030:36 0.000194185 -29 *3874:9 *4069:66 4.6387e-05 -30 *3874:9 *4069:74 6.45408e-05 -31 *3874:16 *3976:73 8.73103e-05 -32 *3874:16 *4030:47 0 -33 *3874:16 *4069:74 0.00119937 -34 *3874:18 *27372:B2 0.000110558 -35 *3874:18 *3952:51 0.00438634 -36 *3874:18 *3952:63 1.19468e-05 -37 *3874:18 *3952:67 0.000572014 -38 *3874:18 *3964:16 0.000184932 -39 *3874:18 *4030:47 0.000990251 -40 *3874:18 *4030:48 0.000131691 -41 *3874:18 *4145:30 0.000605002 -42 *3874:18 *5873:232 6.81895e-05 -43 *3874:26 *3900:48 0.00040047 -44 *3874:26 *5583:126 0.000148911 -45 *3874:26 *5604:63 0.000218409 -46 *3874:26 *5651:129 0.000177418 -47 *3874:37 *28604:D 0.000303972 -48 *3874:37 *5597:7 1.98839e-05 -49 *3874:37 *5597:20 0.000209342 -50 *3874:37 *5754:168 5.50949e-05 -51 *3874:41 *25185:A1 0.000695302 -52 *3874:41 *25185:A2 0.000216755 -53 *3874:41 *25185:B2 0.001674 -54 *3874:41 *4133:92 9.07971e-06 -55 *3874:41 *5605:99 0.000834778 -56 *3874:53 *4030:36 0.000397537 -57 *3874:53 *4069:66 0.000387989 -58 *3874:76 *25238:A1 0.000218404 -59 *3874:76 *27120:A1 0.000298483 -60 *3874:76 *3899:38 0.000655799 -61 *3874:76 *3912:7 2.12005e-05 -62 *3874:79 *3899:14 0.000373557 -63 *3874:79 *3899:24 0.000143629 -64 *3874:79 *5759:18 0.000333747 -65 *3874:91 *26922:A1 0.000306473 -66 *3874:91 *28484:D 1.44954e-05 -67 *3874:91 *28500:D 0.000285071 -68 *3874:91 *28508:D 0.000190267 -69 *3874:91 *29050:A 0 -70 *3874:91 *4402:16 4.32957e-05 -71 *3874:91 *5640:264 3.06406e-05 -72 *3874:91 *5701:83 9.1309e-05 -73 *3874:91 *5701:101 0.000328773 -74 *3874:91 *5701:113 8.95055e-05 -75 *3874:91 *5702:29 0 -76 *3874:91 *5759:18 0.000101071 -77 *3874:91 *5768:169 0.000552189 -78 *3874:91 *5771:8 7.32029e-05 -79 *3874:91 *5863:60 4.6845e-05 -80 *3874:102 *25742:A0 0.000394694 -81 *3874:102 *25742:A1 0.000190542 -82 *3874:102 *28484:D 0.00011327 -83 *3874:102 *29157:A 0 -84 *3874:102 *4231:14 0 -85 *3874:102 *5701:9 0.000261235 -86 *3874:102 *5701:29 0.000189634 -87 *3874:102 *5701:83 4.52555e-05 -88 *3874:102 *5759:11 0.000254749 -89 *3874:102 *5771:30 3.4323e-06 -90 *3874:102 *5771:39 4.25716e-05 -91 *3874:102 *5771:50 0.00014482 -92 *3874:102 *5926:63 0 -93 mgmt_gpio_oeb[23] *3874:102 0.000503022 -94 mgmt_gpio_out[22] *3874:102 1.88048e-05 -95 mgmt_gpio_out[23] *3874:102 0.000146626 -96 *24836:A *3874:102 1.5424e-05 -97 *25118:C1 *3874:26 0.00022117 -98 *25175:A2 *3874:41 0.000216755 -99 *25175:B1 *3874:41 8.84398e-05 -100 *25185:B1 *3874:41 3.97677e-05 -101 *25207:C *3874:18 0.000150625 -102 *25213:C1 *3874:41 3.97677e-05 -103 *25689:A1 *3874:102 0 -104 *25691:A1 *3874:102 0 -105 *26967:S *3874:91 0.000436167 -106 *26976:S *3874:102 2.06178e-05 -107 *27094:S *3874:9 5.99573e-05 -108 *27094:S *3874:16 5.33005e-05 -109 *27387:A2 *3874:54 7.37323e-05 -110 *27387:B1 *3874:76 0.000137561 -111 *27707:C1 *3874:91 0 -112 *28546:CLK *3874:37 0.000605779 -113 *29123:A *3874:91 0.000325634 -114 *29203:A *3874:91 0.000127439 -115 *29294:A *3874:91 1.69153e-05 -116 *29756:A *3874:37 5.52302e-05 -117 *30161:A *3874:91 0.000164542 -118 *30707:A *3874:102 0 -119 *30708:A *3874:102 0.00010096 -120 *1252:207 *3874:9 9.41642e-05 -121 *1252:207 *3874:16 5.33005e-05 -122 *1256:115 *3874:76 2.42516e-05 -123 *1262:172 *3874:26 8.64454e-05 -124 *1273:203 *3874:41 0.000286046 -125 *1439:16 *3874:26 4.15183e-05 -126 *1501:20 *3874:16 0.00118606 -127 *1501:20 *3874:18 0 -128 *1501:22 *3874:18 0.000609921 -129 *1510:8 *27737:B2 0 -130 *1520:8 *3874:18 0.000106043 -131 *1527:6 *3874:18 0.00537678 -132 *1574:6 *3874:79 0.00387566 -133 *1826:238 *3874:91 0.000111218 -134 *1835:35 *3874:102 0.000357054 -135 *1835:40 *3874:102 0 -136 *2760:164 *3874:91 6.47099e-05 -137 *2763:196 *3874:79 0 -138 *2763:196 *3874:91 0 -139 *2766:79 *3874:102 1.50551e-05 -140 *2767:167 *3874:91 1.94945e-05 -141 *2768:90 *3874:76 0.000662286 -142 *2768:90 *3874:79 0.00430896 -143 *2768:96 *3874:91 1.721e-05 -144 *2769:227 *3874:102 7.69776e-06 -145 *2779:26 *3874:102 0 -146 *2779:170 *3874:26 3.17148e-05 -147 *2779:170 *3874:37 0.00207416 -148 *2848:282 *3874:76 9.41642e-05 -149 *2860:184 *3874:26 0.000216755 -150 *2860:242 *3874:16 0.000132455 -151 *2864:257 *3874:18 0.000272545 -152 *2864:293 *27737:B2 0.000517474 -153 *2870:21 *3874:37 7.07155e-06 -154 *2875:302 *3874:16 1.30977e-05 -155 *2880:30 *3874:18 0.000128905 -156 *2883:226 *27737:B2 6.53029e-05 -157 *2883:226 *3874:54 4.09641e-05 -158 *2883:231 *3874:76 7.79196e-05 -159 *2932:6 *3874:76 0.000475735 -160 *3153:143 *3874:26 0.00133635 -161 *3153:221 *3874:76 0.000422728 -162 *3179:167 *3874:79 0.000143652 -163 *3259:25 *3874:18 0.000100832 -164 *3678:60 *3874:76 0.000475074 -165 *3679:55 *3874:54 4.58194e-05 -166 *3717:18 *3874:91 0.000137224 -167 *3717:25 *3874:79 7.02091e-05 -168 *3717:25 *3874:91 0.000579572 -169 *3783:71 *3874:54 4.37694e-05 -170 *3783:71 *3874:76 5.74499e-06 -171 *3835:38 *3874:16 0 -172 *3861:17 *3874:16 9.90115e-06 -173 *3861:21 *3874:16 0.000409488 -*RES -1 *28661:Q *3874:5 13.8 -2 *3874:5 *3874:9 8 -3 *3874:9 *3874:16 28.8304 -4 *3874:16 *3874:18 94.9911 -5 *3874:18 *3874:26 33.1071 -6 *3874:26 *3874:37 45.9107 -7 *3874:37 *3874:41 42.8571 -8 *3874:41 *25175:A1 9.3 -9 *3874:9 *29788:A 9.3 -10 *3874:5 *3874:53 9.78571 -11 *3874:53 *3874:54 5.05357 -12 *3874:54 *27387:A1 9.3 -13 *3874:54 *3874:76 46.9821 -14 *3874:76 *3874:78 4.5 -15 *3874:78 *3874:79 58.8661 -16 *3874:79 *3874:91 49.5536 -17 *3874:91 *3874:102 44.3125 -18 *3874:102 *24834:A 9.3 -19 *3874:53 *27737:B2 33.9786 -*END - -*D_NET *3875 0.0958639 -*CONN -*I *25161:A1 I *D sky130_fd_sc_hd__a221o_2 -*I *27765:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27401:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *29705:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28662:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25161:A1 0 -2 *27765:B2 0.00157079 -3 *27401:A1 7.77525e-05 -4 *29705:A 0 -5 *28662:Q 5.33693e-05 -6 *3875:122 0.00274925 -7 *3875:118 0.00325806 -8 *3875:100 0.00456101 -9 *3875:93 0.00440029 -10 *3875:73 0.00562008 -11 *3875:71 0.0040211 -12 *3875:63 0.00361001 -13 *3875:51 0.0071888 -14 *3875:35 0.00569993 -15 *3875:16 0.000808779 -16 *3875:10 0.00114618 -17 *3875:7 0.00211427 -18 *27765:B2 *27748:B1 2.35167e-05 -19 *27765:B2 *4070:39 0.000401326 -20 *27765:B2 *4122:28 0.00056234 -21 *27765:B2 *4135:70 0.00114487 -22 *27765:B2 *5630:292 0.000734544 -23 *27765:B2 *5643:237 0.000169671 -24 *27765:B2 *5643:253 2.84269e-05 -25 *27765:B2 *5645:156 0.000416163 -26 *27765:B2 *5704:18 4.8817e-05 -27 *3875:7 *25606:A0 0.000135028 -28 *3875:7 *28662:D 5.79452e-05 -29 *3875:10 *4796:20 0.00011562 -30 *3875:10 *5448:16 0.000757797 -31 *3875:16 *4882:11 0.000576044 -32 *3875:16 *5437:9 2.14757e-05 -33 *3875:16 *5448:11 0.0038169 -34 *3875:16 *5515:15 0.000352081 -35 *3875:16 *5757:176 0.000162346 -36 *3875:35 *24968:A 9.80173e-05 -37 *3875:35 *25105:A1 5.16632e-05 -38 *3875:35 *25596:A0 0.000254775 -39 *3875:35 *28112:SET_B 8.83765e-05 -40 *3875:35 *28113:SET_B 0.000261059 -41 *3875:35 *29030:A 0.000889999 -42 *3875:35 *4796:20 1.34355e-05 -43 *3875:35 *5448:16 4.00349e-05 -44 *3875:35 *5483:130 0.000145802 -45 *3875:35 *5499:34 3.25078e-05 -46 *3875:35 *5504:49 0.000268072 -47 *3875:35 *5511:23 5.10772e-05 -48 *3875:35 *5518:62 0 -49 *3875:35 *5757:21 0.00213002 -50 *3875:51 *24947:B 0.000552531 -51 *3875:51 *25150:B 0.000182658 -52 *3875:51 *25953:A1 0 -53 *3875:51 *29268:A 5.21676e-05 -54 *3875:51 *4310:10 0.000552475 -55 *3875:51 *5010:18 3.57844e-05 -56 *3875:51 *5620:46 0 -57 *3875:51 *5665:268 0 -58 *3875:51 *5698:203 0.00117948 -59 *3875:51 *6317:85 0.000187259 -60 *3875:51 *6317:100 0.000147346 -61 *3875:63 *25330:A1 0.00186263 -62 *3875:63 *25391:A1 0 -63 *3875:63 *25942:A 2.24813e-05 -64 *3875:63 *26837:B1 6.30043e-05 -65 *3875:63 *28610:D 0 -66 *3875:63 *3989:20 4.75152e-06 -67 *3875:63 *5217:14 0 -68 *3875:63 *5657:38 0 -69 *3875:63 *5661:42 0 -70 *3875:63 *6317:100 0.000248898 -71 *3875:71 *25330:A1 9.41642e-05 -72 *3875:71 *5217:14 5.55278e-05 -73 *3875:73 *3987:58 1.62489e-05 -74 *3875:73 *4070:63 0 -75 *3875:73 *5217:14 0 -76 *3875:73 *5583:22 0 -77 *3875:73 *5600:37 0 -78 *3875:73 *5622:22 0 -79 *3875:73 *5623:137 0.000885926 -80 *3875:73 *5626:69 1.94945e-05 -81 *3875:73 *5655:150 0 -82 *3875:73 *5713:37 9.59532e-06 -83 *3875:93 *25107:A1 0 -84 *3875:93 *4070:63 0 -85 *3875:93 *5641:70 1.42931e-05 -86 *3875:93 *5713:37 0 -87 *3875:93 *5861:34 0 -88 *3875:100 *25127:A 0.000185846 -89 *3875:100 *25196:B2 0.00035751 -90 *3875:100 *4128:52 0.000171767 -91 *3875:100 *5216:29 0.000194073 -92 *3875:118 *24943:A 2.05803e-05 -93 *3875:118 *25111:A1 0.000301693 -94 *3875:118 *25157:B2 0.000123605 -95 *3875:118 *28731:RESET_B 0.000205271 -96 *3875:118 *4122:43 0 -97 *3875:118 *4128:52 0.00225079 -98 *3875:118 *5656:153 0.0014834 -99 *3875:122 *5656:153 0.000106126 -100 pll_trim[22] *3875:35 2.93517e-05 -101 *24913:A *3875:100 2.07414e-05 -102 *24923:A *3875:93 7.26622e-05 -103 *24924:A *3875:73 0.000201297 -104 *24968:B *3875:35 5.52238e-05 -105 *25161:B1 *3875:51 0.000143905 -106 *25171:B *3875:73 0 -107 *25177:A1 *3875:73 9.80363e-06 -108 *25196:C1 *3875:100 1.78132e-05 -109 *25269:B1 *3875:63 4.00349e-05 -110 *25330:A2 *3875:63 0.000119899 -111 *25330:B1 *3875:71 5.49544e-05 -112 *25331:A2 *3875:73 0.0003619 -113 *25391:B1 *3875:63 0 -114 *25396:B1 *3875:63 9.97552e-05 -115 *25413:A *3875:63 0 -116 *27037:S *3875:63 8.28437e-05 -117 *27401:A2 *27401:A1 5.49489e-05 -118 *27401:B1 *27401:A1 3.47641e-06 -119 *27401:B1 *3875:122 0.000154703 -120 *27753:A1 *3875:118 7.29646e-05 -121 *27765:A1 *27765:B2 2.84269e-05 -122 *27905:C1 *3875:63 8.6229e-06 -123 *27906:C1 *3875:63 4.95793e-05 -124 *27963:C1 *3875:51 0.000299623 -125 *27963:C1 *3875:63 1.44706e-05 -126 *29338:A *3875:63 0.000193832 -127 *29339:A *3875:63 2.89791e-06 -128 *29447:A *3875:73 0.000112857 -129 *29767:A *3875:35 0.000274304 -130 *30646:A *3875:10 0.000535336 -131 *30679:A *3875:10 0 -132 *30727:A *3875:16 0.000107779 -133 *14:24 *3875:16 0 -134 *171:9 *3875:16 0.00131697 -135 *456:17 *3875:35 0.00122623 -136 *1182:42 *3875:71 0.00013941 -137 *1218:18 *3875:73 8.47484e-05 -138 *1227:26 *3875:73 0 -139 *1233:21 *3875:100 1.9416e-05 -140 *1235:23 *3875:73 0 -141 *1240:47 *3875:35 2.47186e-05 -142 *1248:14 *3875:35 7.83587e-05 -143 *1257:69 *3875:51 0.000944506 -144 *1262:19 *3875:93 6.17251e-05 -145 *1262:25 *3875:93 6.03167e-05 -146 *1262:25 *3875:100 0.00306877 -147 *1263:36 *3875:73 9.63301e-06 -148 *1263:41 *3875:73 1.99815e-05 -149 *1263:49 *3875:73 0.00104903 -150 *1263:50 *3875:73 0 -151 *1263:62 *3875:51 0.00129673 -152 *1263:88 *3875:51 1.64276e-05 -153 *1276:21 *3875:63 0 -154 *1276:76 *3875:118 0.00173084 -155 *1282:159 *3875:93 8.54768e-06 -156 *1287:132 *3875:118 2.11423e-05 -157 *1287:149 *3875:118 4.65519e-05 -158 *1288:48 *3875:100 4.9447e-05 -159 *1326:122 *3875:118 0.000241956 -160 *1328:50 *3875:63 0 -161 *1401:77 *3875:63 2.91583e-05 -162 *1415:18 *3875:118 9.25014e-06 -163 *1420:22 *3875:63 2.04745e-05 -164 *1433:29 *27401:A1 1.34631e-05 -165 *1435:143 *3875:118 0.000184365 -166 *1441:14 *3875:51 0.000162047 -167 *1449:115 *3875:63 2.996e-06 -168 *1453:17 *3875:51 0.000133633 -169 *1455:11 *3875:51 8.90783e-05 -170 *1476:143 *3875:73 0.000165271 -171 *1478:10 *3875:118 0.000110498 -172 *1480:17 *3875:63 7.26252e-06 -173 *1480:17 *3875:73 0.000808303 -174 *1480:35 *3875:63 0.000129912 -175 *1485:39 *3875:51 1.02849e-05 -176 *1535:13 *3875:35 7.90803e-05 -177 *1645:16 *3875:73 1.19387e-05 -178 *1648:17 *3875:73 5.86836e-05 -179 *1652:18 *3875:93 0.000367247 -180 *1657:40 *3875:63 0 -181 *1657:56 *3875:93 2.65243e-05 -182 *1657:70 *3875:93 0.000256364 -183 *1693:18 *3875:100 0 -184 *1834:84 *3875:73 5.60463e-06 -185 *2775:160 *3875:118 4.65324e-05 -186 *2806:9 *3875:16 3.19564e-05 -187 *2855:32 *3875:63 2.58035e-05 -188 *2872:295 *3875:118 2.71873e-05 -189 *2872:299 *27765:B2 0.000198706 -190 *2882:246 *27765:B2 0.000902699 -191 *2883:39 *3875:71 2.54304e-06 -192 *2888:59 *3875:63 0 -193 *3220:44 *3875:73 0 -194 *3314:25 *27765:B2 0.00147668 -195 *3413:41 *3875:93 1.2146e-05 -196 *3460:21 *3875:51 1.71329e-05 -197 *3568:110 *3875:35 0.000337914 -198 *3569:92 *3875:35 0.000785316 -199 *3639:50 *3875:35 4.23642e-05 -200 *3662:79 *3875:73 0.000242006 -201 *3680:34 *27765:B2 0.000279845 -202 *3729:38 *3875:118 9.41642e-05 -203 *3784:79 *3875:100 0 -204 *3810:47 *3875:118 8.69554e-05 -205 *3845:62 *3875:73 0.00146809 -206 *3849:48 *27765:B2 4.81493e-05 -*RES -1 *28662:Q *3875:7 15.1571 -2 *3875:7 *3875:10 14.9464 -3 *3875:10 *3875:16 48.2321 -4 *3875:16 *29705:A 9.3 -5 *3875:7 *3875:35 48.7299 -6 *3875:35 *3875:51 48.7482 -7 *3875:51 *3875:63 49.1183 -8 *3875:63 *3875:71 14.5893 -9 *3875:71 *3875:73 77.3839 -10 *3875:73 *3875:93 25.7775 -11 *3875:93 *3875:100 48.4043 -12 *3875:100 *3875:118 48.9927 -13 *3875:118 *3875:122 5.28217 -14 *3875:122 *27401:A1 18.4332 -15 *3875:122 *27765:B2 36.7492 -16 *3875:51 *25161:A1 13.8 -*END - -*D_NET *3876 0.0305647 -*CONN -*I *30157:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25067:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27788:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27424:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28663:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *30157:A 0.000269436 -2 *25067:A1 0 -3 *27788:B2 4.09699e-06 -4 *27424:A1 0.00178309 -5 *28663:Q 0.000832675 -6 *3876:62 0.00132303 -7 *3876:38 0.00435292 -8 *3876:17 0.00501462 -9 *3876:16 0.00130786 -10 *27424:A1 *4085:27 0.000160136 -11 *27424:A1 *4086:28 0.000158306 -12 *27424:A1 *5642:126 0 -13 *27788:B2 *27788:B1 1.28809e-05 -14 *27788:B2 *29089:A 1.28809e-05 -15 *30157:A *4125:24 9.60337e-06 -16 *3876:16 *28663:RESET_B 6.89332e-05 -17 *3876:16 *29046:A 0.000149044 -18 *3876:16 *4125:24 4.43299e-05 -19 *3876:16 *5642:126 1.88878e-05 -20 *3876:16 *5767:201 0.0007386 -21 *3876:17 *5642:126 0 -22 *3876:38 *29089:A 1.19191e-05 -23 *3876:38 *3993:14 0.000602948 -24 *3876:38 *5639:199 0.000382677 -25 *3876:38 *5642:126 0.000162016 -26 *3876:38 *5643:203 0.00248641 -27 *3876:38 *5645:220 0.00188921 -28 *3876:38 *5662:97 0.0013801 -29 *3876:38 *5703:42 0.00169169 -30 *3876:38 *5767:201 0.000303584 -31 *3876:38 *5873:281 0.000105621 -32 *3876:62 *26959:A1 8.25843e-06 -33 *3876:62 *27792:A 0 -34 *3876:62 *28607:D 0.000799825 -35 *3876:62 *29089:A 7.08706e-05 -36 *3876:62 *4147:21 0.000455412 -37 *3876:62 *4147:31 0.000318732 -38 *3876:62 *5582:183 3.51368e-05 -39 *3876:62 *5682:141 9.08427e-05 -40 *6433:DIODE *3876:62 0.000259273 -41 *27114:S *3876:62 2.59355e-05 -42 *27424:A2 *27424:A1 0.00020139 -43 *27427:B1 *27424:A1 5.33005e-05 -44 *27782:B2 *3876:62 0.000189885 -45 *27788:A1 *3876:38 2.30116e-06 -46 *27833:B2 *3876:62 0.000353744 -47 *28663:CLK *3876:16 7.0127e-05 -48 *940:17 *3876:62 0.000175892 -49 *1288:157 *3876:62 0.000340948 -50 *1371:51 *27424:A1 0.000516765 -51 *1371:51 *30157:A 0.000136129 -52 *1371:51 *3876:17 0.000128443 -53 *1392:10 *27424:A1 0.000139491 -54 *3206:256 *3876:62 4.038e-06 -55 *3378:8 *3876:38 4.00349e-05 -56 *3378:8 *3876:62 0.000223974 -57 *3705:62 *27424:A1 0.000349412 -58 *3811:32 *3876:62 0.000297074 -*RES -1 *28663:Q *3876:16 29.7867 -2 *3876:16 *3876:17 0.4794 -3 *3876:17 *27424:A1 34.7994 -4 *3876:17 *3876:38 22.7029 -5 *3876:38 *27788:B2 13.9295 -6 *3876:38 *3876:62 43.5179 -7 *3876:62 *25067:A1 9.3 -8 *3876:16 *30157:A 17.9866 -*END - -*D_NET *3877 0.0302189 -*CONN -*I *30492:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27805:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27451:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25018:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28664:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *30492:A 0.000156829 -2 *27805:B2 0.000131222 -3 *27451:B2 0.000577443 -4 *25018:B2 0.001118 -5 *28664:Q 0 -6 *3877:41 0.00176073 -7 *3877:26 0.00221781 -8 *3877:23 0.00260174 -9 *3877:5 0.00262631 -10 *3877:4 0.000229157 -11 *25018:B2 *28664:D 0.00014285 -12 *27451:B2 *27444:A1 0.000100745 -13 *27451:B2 *5639:45 9.21418e-06 -14 *27805:B2 *24971:A1 0.000250388 -15 *3877:23 *24971:A1 0.000136958 -16 *3877:23 *28624:RESET_B 8.08577e-05 -17 *3877:23 *3903:26 0.000655891 -18 *3877:23 *3993:14 0.000146966 -19 *3877:23 *5752:56 0.000270032 -20 *3877:23 *5752:66 0.00015415 -21 *3877:23 *5970:18 1.94879e-05 -22 *3877:26 *25023:B2 0.000143188 -23 *3877:26 *3903:26 0.00222965 -24 *3877:26 *4059:16 0.000223599 -25 *3877:26 *5504:86 0.000467365 -26 *3877:26 *5642:34 0.00163733 -27 *3877:26 *5645:39 0.000140165 -28 *3877:26 *5660:23 0.000293921 -29 *3877:26 *5663:19 0.000161619 -30 *3877:41 *27796:B1 5.22083e-05 -31 *3877:41 *3903:42 0.00158255 -32 *3877:41 *5504:86 7.46668e-05 -33 *3877:41 *5660:35 6.33204e-05 -34 *25018:A2 *25018:B2 7.26363e-05 -35 *27451:A1 *27451:B2 5.37446e-05 -36 *27451:B1 *27451:B2 3.11501e-05 -37 *27451:C1 *27451:B2 6.00298e-05 -38 *27796:B2 *3877:41 3.18676e-05 -39 *28726:CLK *3877:23 0.000168526 -40 *1244:71 *3877:26 0.0015719 -41 *1304:40 *3877:26 5.16376e-05 -42 *1308:19 *27451:B2 0.000278296 -43 *1342:11 *25018:B2 0.000276232 -44 *1342:11 *30492:A 0.000266672 -45 *1342:11 *3877:5 2.42662e-05 -46 *1346:8 *3877:23 0.00167197 -47 *1346:8 *3877:26 1.30327e-05 -48 *2790:8 *3877:23 0 -49 *3164:214 *3877:41 4.05548e-05 -50 *3164:222 *3877:41 8.27238e-06 -51 *3179:66 *3877:41 0.000111777 -52 *3682:50 *3877:41 1.4396e-05 -53 *3799:37 *27451:B2 0.0013112 -54 *3800:29 *27451:B2 0.0015287 -55 *3826:26 *3877:23 0 -56 *3826:49 *3877:23 0.000501555 -57 *3826:52 *3877:41 0.00167418 -*RES -1 *28664:Q *3877:4 9.3 -2 *3877:4 *3877:5 0.946429 -3 *3877:5 *25018:B2 23.0187 -4 *3877:5 *3877:23 49.2679 -5 *3877:23 *3877:26 47.2768 -6 *3877:26 *3877:41 42.8641 -7 *3877:41 *27451:B2 35.0366 -8 *3877:23 *27805:B2 11.8893 -9 *3877:4 *30492:A 12.6214 -*END - -*D_NET *3878 0.0525268 -*CONN -*I *30295:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27466:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27839:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *24991:B2 I *D sky130_fd_sc_hd__a221o_2 -*I *28665:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *30295:A 0 -2 *27466:B2 0.000742836 -3 *27839:B2 0.000706163 -4 *24991:B2 5.61181e-05 -5 *28665:Q 0.000114609 -6 *3878:49 0.00237118 -7 *3878:43 0.00519585 -8 *3878:42 0.00459866 -9 *3878:24 0.000914709 -10 *3878:22 0.0019121 -11 *3878:9 0.0014931 -12 *24991:B2 *5694:183 5.81579e-05 -13 *27466:B2 *27466:A1 1.37532e-05 -14 *27466:B2 *27823:A1 0.000933617 -15 *27466:B2 *4112:21 0.000149797 -16 *27839:B2 *27839:B1 0.000152591 -17 *3878:9 *25063:A1 0.00013921 -18 *3878:9 *27098:A0 0.000126004 -19 *3878:9 *28665:RESET_B 6.05223e-05 -20 *3878:22 *24973:A1 0.000112045 -21 *3878:22 *25063:A1 0.000285777 -22 *3878:22 *27098:A0 0.000272147 -23 *3878:22 *28681:D 0.000184976 -24 *3878:22 *4907:10 2.32117e-05 -25 *3878:22 *5534:49 0.000311681 -26 *3878:22 *5585:115 7.47029e-06 -27 *3878:22 *5753:28 5.98167e-05 -28 *3878:22 *5753:32 0.000147212 -29 *3878:24 *5585:115 0.000453124 -30 *3878:42 *30833:A 2.90824e-05 -31 *3878:42 *5585:115 8.86422e-05 -32 *3878:42 *5970:43 8.97999e-05 -33 *3878:43 *3942:31 2.35889e-05 -34 *3878:43 *3942:35 0.0036818 -35 *3878:43 *3942:37 0.000145211 -36 *3878:43 *3942:60 0.000369404 -37 *3878:43 *5654:29 0.00200278 -38 *3878:43 *5654:52 1.12323e-05 -39 *3878:43 *5678:176 0.00032787 -40 *3878:43 *5756:60 7.55747e-05 -41 *3878:49 *27823:A1 0.000183623 -42 *3878:49 *3904:50 0.000242913 -43 *3878:49 *5675:34 0.00034394 -44 *24991:A1 *24991:B2 1.24368e-05 -45 *24991:A2 *24991:B2 1.54142e-05 -46 *24991:B1 *24991:B2 7.12204e-05 -47 *24992:B2 *27839:B2 3.36e-06 -48 *27098:S *3878:22 0 -49 *27116:S *3878:22 0.000213868 -50 *27458:A2 *3878:43 0.000476245 -51 *27458:B2 *3878:43 1.90936e-05 -52 *27478:B2 *3878:49 0.000855916 -53 *27478:C1 *3878:49 2.21972e-05 -54 *27809:C1 *3878:43 0.000648426 -55 *27826:A1 *3878:49 9.41642e-05 -56 *28489:CLK *3878:43 0.000236099 -57 *28624:CLK *3878:42 0.000112428 -58 *28624:CLK *3878:43 0.000157596 -59 *28681:CLK *3878:22 4.58194e-05 -60 *30635:A *3878:9 0.000141962 -61 *1104:16 *3878:22 4.21517e-05 -62 *1258:100 *3878:49 0.000219331 -63 *1275:105 *27466:B2 0.000303355 -64 *1300:8 *3878:43 0.00154499 -65 *1317:13 *3878:42 0 -66 *1355:20 *3878:43 6.74751e-05 -67 *1366:13 *3878:24 0.000471433 -68 *1385:34 *27466:B2 9.8389e-05 -69 *1798:19 *3878:22 0.000358119 -70 *2784:204 *3878:22 5.26864e-05 -71 *2792:104 *27466:B2 0.000923118 -72 *2792:104 *3878:43 0.000169676 -73 *2792:104 *3878:49 0.00250268 -74 *2792:108 *3878:43 0.000735639 -75 *2792:112 *3878:43 0.000220687 -76 *2792:114 *3878:43 0.0044404 -77 *2861:42 *3878:43 0.000362752 -78 *2866:282 *27839:B2 0.00022266 -79 *2872:187 *3878:49 1.20719e-05 -80 *2883:158 *3878:43 9.90115e-06 -81 *2883:163 *3878:43 0.000269791 -82 *2889:162 *3878:43 0.000188616 -83 *3018:22 *3878:43 0.000759862 -84 *3194:24 *3878:43 8.1064e-05 -85 *3345:6 *27466:B2 0.000214611 -86 *3362:11 *3878:43 0.00213371 -87 *3629:18 *3878:43 0.000392997 -88 *3635:8 *3878:24 0.000329101 -89 *3635:10 *3878:24 0.000164058 -90 *3635:12 *3878:24 0.000169451 -91 *3635:31 *3878:22 0.00071381 -92 *3635:31 *3878:24 2.84892e-05 -93 *3635:68 *3878:24 0.000466394 -94 *3635:81 *3878:24 0.000160967 -95 *3635:81 *3878:42 0.000194705 -96 *3761:18 *27839:B2 0.000213927 -97 *3787:29 *3878:43 0 -98 *3800:27 *3878:43 0 -99 *3813:47 *27839:B2 9.26676e-06 -100 *3864:63 *3878:43 0.000262136 -101 *3864:86 *3878:43 0.000271612 -102 *3864:86 *3878:49 0.000406611 -*RES -1 *28665:Q *3878:9 21.6036 -2 *3878:9 *3878:22 42.0625 -3 *3878:22 *3878:24 17.5804 -4 *3878:24 *24991:B2 15.3357 -5 *3878:24 *3878:42 11.1908 -6 *3878:42 *3878:43 152.062 -7 *3878:43 *3878:49 42.5536 -8 *3878:49 *27839:B2 26.1036 -9 *3878:49 *27466:B2 44.4652 -10 *3878:9 *30295:A 9.3 -*END - -*D_NET *3879 0.00863148 -*CONN -*I *25392:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27489:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27864:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29390:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28140:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25392:B2 0.000146505 -2 *27489:B2 0.000540476 -3 *27864:B2 0.000163971 -4 *29390:A 0 -5 *28140:Q 0.000130003 -6 *3879:23 0.00120833 -7 *3879:18 0.000751611 -8 *3879:9 0.000231225 -9 *25392:B2 *5691:341 8.67877e-05 -10 *27489:B2 *27485:B2 5.33005e-05 -11 *27489:B2 *27531:B2 9.65075e-05 -12 *27489:B2 *5711:10 0 -13 *27489:B2 *5711:39 1.08359e-05 -14 *27864:B2 *5711:39 6.42145e-05 -15 *3879:9 *25647:A0 5.52302e-05 -16 *3879:9 *28140:D 3.9733e-05 -17 *3879:9 *5691:341 0.000319013 -18 *3879:18 *25647:A0 5.52238e-05 -19 *3879:18 *5691:341 5.21676e-05 -20 *3879:23 *5711:15 0.00114721 -21 *3879:23 *5711:39 8.57023e-05 -22 *27865:D *27864:B2 5.544e-05 -23 *1427:8 *25392:B2 0.000243627 -24 *1427:8 *3879:9 8.13847e-05 -25 *1427:8 *3879:18 0.000171375 -26 *1427:11 *25392:B2 9.60939e-05 -27 *1449:135 *3879:23 0.000721462 -28 *3165:268 *27489:B2 0.000240491 -29 *3165:297 *27489:B2 0.000341781 -30 *3168:83 *27489:B2 0.0002355 -31 *3168:83 *27864:B2 6.19181e-05 -32 *3196:111 *27489:B2 0.000689932 -33 *3805:59 *27489:B2 0.000181799 -34 *3814:36 *27864:B2 0.000135667 -35 *3866:20 *25392:B2 0.000136958 -*RES -1 *28140:Q *3879:9 22.9071 -2 *3879:9 *29390:A 9.3 -3 *3879:9 *3879:18 7.28571 -4 *3879:18 *3879:23 21.8571 -5 *3879:23 *27864:B2 17.7687 -6 *3879:23 *27489:B2 29.3357 -7 *3879:18 *25392:B2 18.3179 -*END - -*D_NET *3880 0.0204735 -*CONN -*I *27888:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25311:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27512:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *29220:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28141:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *27888:B2 1.61395e-05 -2 *25311:B2 0 -3 *27512:A1 0.000401283 -4 *29220:A 0 -5 *28141:Q 0.000374751 -6 *3880:50 0.00293303 -7 *3880:23 0.00444277 -8 *3880:17 0.00145593 -9 *3880:11 0.000706088 -10 *27512:A1 *3919:46 1.90936e-05 -11 *27512:A1 *5013:14 5.15925e-05 -12 *27512:A1 *5063:15 7.65804e-05 -13 *27512:A1 *5657:174 4.22908e-05 -14 *27888:B2 *27508:B2 3.03567e-05 -15 *3880:11 *25648:A0 9.92409e-05 -16 *3880:11 *5778:31 0 -17 *3880:17 *25648:A0 0.000137437 -18 *3880:17 *27508:B2 0.000192352 -19 *3880:23 *27508:B2 9.89914e-05 -20 *3880:23 *4139:33 0.00156743 -21 *3880:50 *4139:33 0.000824452 -22 *3880:50 *4139:53 9.34108e-05 -23 *3880:50 *5488:86 6.30931e-05 -24 *3880:50 *5685:55 0.000607106 -25 *3880:50 *5718:88 0.000129859 -26 *27508:A1 *3880:17 1.21289e-05 -27 *27508:A2 *3880:23 5.81579e-05 -28 *27508:A2 *3880:50 0.000438359 -29 *27508:C1 *3880:23 0.0005201 -30 *27515:B *27512:A1 0.000197407 -31 *27515:B *3880:50 3.48235e-05 -32 *27888:A2 *3880:23 0.000135933 -33 *27888:B1 *27888:B2 3.57366e-05 -34 *27888:B1 *3880:23 0.00049853 -35 *1327:98 *3880:50 6.30931e-05 -36 *1427:8 *3880:11 0.000138015 -37 *1427:71 *3880:50 6.26774e-06 -38 *1587:36 *3880:50 7.70134e-06 -39 *2860:64 *3880:50 0 -40 *3064:13 *3880:23 0.000848945 -41 *3067:12 *27512:A1 0.000268396 -42 *3212:44 *3880:50 0.00120364 -43 *3212:51 *3880:23 0.000608147 -44 *3212:51 *3880:50 0.000379112 -45 *3506:25 *3880:50 4.73953e-05 -46 *3568:17 *3880:50 6.9327e-05 -47 *3662:19 *3880:50 4.32957e-05 -48 *3788:15 *27512:A1 0 -49 *3788:18 *3880:23 0.000178847 -50 *3788:49 *3880:50 8.53515e-05 -51 *3792:80 *3880:50 4.53834e-05 -52 *3801:56 *27512:A1 0.000162724 -53 *3801:56 *3880:50 2.34204e-05 -54 *3845:47 *3880:50 0 -*RES -1 *28141:Q *3880:11 24.9964 -2 *3880:11 *29220:A 9.3 -3 *3880:11 *3880:17 6.69643 -4 *3880:17 *3880:23 34.625 -5 *3880:23 *27512:A1 23.6214 -6 *3880:23 *3880:50 47.5418 -7 *3880:50 *25311:B2 9.3 -8 *3880:17 *27888:B2 10.0143 -*END - -*D_NET *3881 0.0560159 -*CONN -*I *25408:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27665:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27304:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29375:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28666:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *25408:A1 0.000637142 -2 *27665:B2 0 -3 *27304:B2 8.36034e-05 -4 *29375:A 1.69531e-05 -5 *28666:Q 1.76505e-05 -6 *3881:62 0.00196616 -7 *3881:61 0.00207055 -8 *3881:56 0.00166287 -9 *3881:54 0.0027321 -10 *3881:35 0.00197398 -11 *3881:33 0.00184635 -12 *3881:30 0.00218666 -13 *3881:10 0.00117427 -14 *3881:5 0.000755044 -15 *29375:A *27100:A1 5.33005e-05 -16 *29375:A *5873:175 5.33005e-05 -17 *3881:5 *3971:59 1.98839e-05 -18 *3881:10 *3971:59 4.82865e-05 -19 *3881:30 *27100:A0 2.84109e-05 -20 *3881:30 *27100:A1 0.000149797 -21 *3881:30 *28666:D 7.55747e-05 -22 *3881:33 *25305:B2 0.000215751 -23 *3881:33 *25397:A1 6.0992e-05 -24 *3881:33 *3920:88 0.00167977 -25 *3881:33 *3961:64 0.000940845 -26 *3881:35 *3920:88 1.721e-05 -27 *3881:56 *25075:A 0.000193125 -28 *3881:56 *3920:40 2.94273e-05 -29 *3881:56 *5630:63 0.000274487 -30 *3881:56 *5808:53 0.000678682 -31 *3881:61 *5715:213 0.000137561 -32 *3881:62 *3920:40 0.00625132 -33 *3881:62 *4350:96 0.000113887 -34 *3881:62 *5607:47 0.00359775 -35 *25123:B *3881:56 0.00258979 -36 *25167:A *3881:56 1.81805e-05 -37 *25312:D *25408:A1 0.000711678 -38 *25312:D *3881:10 0.000140142 -39 *25312:D *3881:30 7.57946e-05 -40 *25408:A2 *25408:A1 0.000240959 -41 *25412:B *25408:A1 5.33005e-05 -42 *27304:A2 *27304:B2 0 -43 *27304:C1 *3881:35 6.8646e-06 -44 *27304:C1 *3881:54 8.10363e-06 -45 *27955:A *3881:56 4.00349e-05 -46 *1217:24 *3881:54 0.000634088 -47 *1239:14 *3881:30 3.15795e-05 -48 *1252:26 *3881:30 0.000312735 -49 *1253:23 *3881:54 0.00011262 -50 *1273:203 *3881:33 0.000256137 -51 *1281:60 *25408:A1 0.00017754 -52 *1281:64 *25408:A1 0.000709302 -53 *1287:132 *3881:56 1.81805e-05 -54 *1288:54 *3881:56 0.00164181 -55 *1395:126 *3881:35 0.000152533 -56 *1395:126 *3881:54 0.000638064 -57 *1395:133 *3881:54 3.44344e-05 -58 *1399:11 *3881:54 0.000107938 -59 *1400:54 *3881:10 0.000143571 -60 *1400:54 *3881:30 6.72518e-05 -61 *1403:16 *3881:54 0.00141713 -62 *1403:26 *3881:54 1.53472e-05 -63 *1403:26 *3881:56 0.00126661 -64 *1407:29 *3881:33 0.00110216 -65 *1460:41 *3881:54 0.000800105 -66 *1460:41 *3881:56 0.000125379 -67 *1470:67 *3881:33 0.000999343 -68 *1615:14 *3881:56 0.000302271 -69 *1685:40 *3881:56 0.000647151 -70 *1689:8 *3881:62 0.0024324 -71 *2851:24 *3881:54 0.000125685 -72 *2864:196 *27304:B2 6.11843e-05 -73 *2865:152 *27304:B2 4.31477e-05 -74 *2883:39 *3881:5 5.33005e-05 -75 *2883:39 *3881:10 9.41642e-05 -76 *3182:55 *3881:30 0.000339346 -77 *3220:28 *3881:35 4.30345e-05 -78 *3220:44 *3881:35 0.00123939 -79 *3413:16 *3881:62 0.000764611 -80 *3685:80 *3881:54 1.59454e-05 -81 *3699:24 *3881:54 1.45616e-05 -82 *3699:38 *27304:B2 0.000112983 -83 *3699:40 *3881:35 0.000714159 -84 *3699:52 *3881:30 0.000356597 -85 *3738:41 *3881:62 0.000111243 -86 *3779:97 *3881:33 0.000196064 -87 *3790:63 *3881:30 0.000857606 -88 *3868:62 *3881:33 0.000264089 -89 *3868:62 *3881:35 9.738e-05 -90 *3868:74 *3881:54 0.00073821 -*RES -1 *28666:Q *3881:5 9.83571 -2 *3881:5 *3881:10 7.39286 -3 *3881:10 *29375:A 14.3357 -4 *3881:10 *3881:30 39.4464 -5 *3881:30 *3881:33 38.7589 -6 *3881:33 *3881:35 20.9196 -7 *3881:35 *27304:B2 16.0009 -8 *3881:35 *3881:54 43.0536 -9 *3881:54 *3881:56 52.6429 -10 *3881:56 *3881:61 10.3571 -11 *3881:61 *3881:62 80.875 -12 *3881:62 *27665:B2 13.8 -13 *3881:5 *25408:A1 34.3893 -*END - -*D_NET *3882 0.0658584 -*CONN -*I *25279:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27536:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27909:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *29180:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28152:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *25279:B2 0.000693835 -2 *27536:A1 7.66358e-05 -3 *27909:B2 0 -4 *29180:A 0.00158785 -5 *28152:Q 0.00101516 -6 *3882:70 0.00284953 -7 *3882:55 0.00228619 -8 *3882:47 0.00832745 -9 *3882:34 0.0121691 -10 *3882:12 0.00639081 -11 *25279:B2 *5698:36 0.000125616 -12 *29180:A *25617:A0 0.000253336 -13 *29180:A *29015:A 9.20825e-05 -14 *29180:A *4240:37 0 -15 *29180:A *4257:15 0.00244373 -16 *29180:A *4336:11 0.00157538 -17 *3882:12 *28365:D 8.9946e-05 -18 *3882:12 *3883:31 0 -19 *3882:12 *3892:18 0.000125915 -20 *3882:12 *5078:20 1.12406e-05 -21 *3882:12 *5493:14 6.44735e-05 -22 *3882:34 *3883:31 0 -23 *3882:34 *3960:43 0.000123625 -24 *3882:34 *4130:58 0.000104194 -25 *3882:34 *5442:23 1.95631e-05 -26 *3882:47 *27532:B2 0.000212248 -27 *3882:47 *29002:A 0.000115624 -28 *3882:47 *3883:31 0 -29 *3882:47 *3947:61 0 -30 *3882:47 *3960:28 0.00188641 -31 *3882:47 *4130:77 0.00390378 -32 *3882:47 *4142:17 0.00285205 -33 *3882:47 *4142:34 2.11419e-05 -34 *3882:47 *4143:41 0.00248434 -35 *3882:47 *5442:23 2.66549e-05 -36 *3882:47 *5493:18 0.000187235 -37 *3882:47 *5651:189 0.000521531 -38 *3882:47 *5657:88 0.00106953 -39 *3882:47 *5694:19 0.000100843 -40 *3882:47 *5706:38 8.33331e-06 -41 *3882:47 *5728:205 0.000367155 -42 *3882:47 *5797:11 1.36734e-05 -43 *3882:70 *27538:B2 9.41642e-05 -44 *3882:70 *5657:174 0.000100823 -45 *25279:A1 *25279:B2 5.33005e-05 -46 *27507:B1 *3882:34 0.000118291 -47 *27508:C1 *3882:34 0.00010508 -48 *27536:A2 *27536:A1 7.41177e-05 -49 *27536:B1 *27536:A1 9.66977e-05 -50 *27536:C1 *27536:A1 3.30408e-05 -51 *27541:B2 *25279:B2 2.05715e-05 -52 *27585:A2 *3882:47 0.00125307 -53 *27867:A1 *25279:B2 0 -54 *29206:A *29180:A 0.000160526 -55 *29359:A *29180:A 0.000110946 -56 *30535:A *3882:47 2.11419e-05 -57 *466:15 *29180:A 0.000923724 -58 *572:19 *29180:A 0.000674284 -59 *1221:21 *3882:47 0.000140228 -60 *1263:122 *29180:A 0.000226671 -61 *1408:28 *25279:B2 0.000147206 -62 *1471:95 *3882:47 0 -63 *1897:19 *25279:B2 0 -64 *2860:106 *3882:70 0.000161868 -65 *2865:77 *3882:34 0.00010115 -66 *2865:77 *3882:55 0.000138024 -67 *2865:98 *27536:A1 4.08637e-05 -68 *2865:98 *3882:70 9.71197e-05 -69 *2871:45 *3882:70 0.00156874 -70 *2871:46 *3882:55 6.54347e-05 -71 *2874:101 *3882:47 0.000916911 -72 *3096:15 *25279:B2 0.000591325 -73 *3103:17 *25279:B2 0.000139742 -74 *3156:124 *3882:47 4.79792e-05 -75 *3168:83 *3882:47 0.000371906 -76 *3192:86 *3882:47 0.00105094 -77 *3192:118 *3882:47 0.000211203 -78 *3413:25 *3882:47 7.24111e-05 -79 *3684:21 *3882:47 0 -80 *3698:19 *3882:47 9.99655e-06 -81 *3702:26 *3882:47 0.000247861 -82 *3711:79 *3882:47 5.33611e-05 -83 *3713:63 *3882:47 0 -84 *3793:40 *3882:70 9.91086e-05 -85 *3805:47 *29180:A 0.000818971 -86 *3805:59 *3882:47 1.85416e-05 -87 *3817:22 *25279:B2 0.000428955 -88 *3817:39 *25279:B2 5.58941e-05 -*RES -1 *28152:Q *3882:12 23.6236 -2 *3882:12 *29180:A 35.2498 -3 *3882:12 *3882:34 10.4302 -4 *3882:34 *3882:47 44.2095 -5 *3882:47 *27909:B2 9.3 -6 *3882:34 *3882:55 9.70464 -7 *3882:55 *27536:A1 11.0679 -8 *3882:55 *3882:70 43.8393 -9 *3882:70 *25279:B2 30.55 -*END - -*D_NET *3883 0.0654437 -*CONN -*I *25178:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27560:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27936:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30031:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28153:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25178:B2 0.000308131 -2 *27560:B2 0.000386553 -3 *27936:B2 0 -4 *30031:A 0.00253593 -5 *28153:Q 0 -6 *3883:34 0.000410724 -7 *3883:31 0.012754 -8 *3883:8 0.0152814 -9 *3883:4 0.000323773 -10 *25178:B2 *25919:A1 0.000274932 -11 *25178:B2 *28368:RESET_B 0.00012401 -12 *25178:B2 *5303:9 0.00102817 -13 *25178:B2 *5342:19 0.000437762 -14 *25178:B2 *5738:37 0.000148196 -15 *27560:B2 *24889:A0 5.87854e-05 -16 *27560:B2 *4065:53 0.00015732 -17 *30031:A *25617:A0 0.000199841 -18 *30031:A *26835:A0 6.22755e-05 -19 *30031:A *28365:D 0 -20 *30031:A *28368:D 0.00551287 -21 *30031:A *4184:14 2.06112e-05 -22 *30031:A *4274:16 0.000562943 -23 *30031:A *4276:17 0.000423537 -24 *30031:A *4978:17 9.45868e-05 -25 *30031:A *5027:17 0.000755723 -26 *30031:A *5078:20 0.000177278 -27 *30031:A *5079:20 8.79307e-05 -28 *30031:A *5476:8 2.21972e-05 -29 *30031:A *5510:59 1.27792e-05 -30 *30031:A *5685:163 0.000238761 -31 *3883:8 *5489:41 1.01912e-05 -32 *3883:31 *28368:D 2.34503e-05 -33 *3883:31 *3892:47 0.000210142 -34 *3883:31 *3909:37 0.000120363 -35 *3883:31 *3947:61 1.76841e-05 -36 *3883:31 *3958:34 0.000130975 -37 *3883:31 *3984:73 0.0017231 -38 *3883:31 *5489:49 0.000130975 -39 *3883:31 *5510:59 6.63171e-06 -40 *3883:31 *5657:125 0.000137276 -41 *3883:31 *5666:124 1.52525e-05 -42 *25919:S *25178:B2 1.98839e-05 -43 *27499:B1 *3883:31 7.51188e-05 -44 *27522:C1 *3883:31 0.000108889 -45 *27560:B1 *27560:B2 5.33005e-05 -46 *27560:B1 *3883:31 0 -47 *27565:B1 *27560:B2 5.92249e-05 -48 *27585:A2 *3883:31 0.000230483 -49 *27936:A1 *27560:B2 4.1331e-06 -50 *27936:A1 *3883:34 1.26512e-05 -51 *28368:CLK *25178:B2 0 -52 *30087:A *30031:A 0.0014969 -53 *750:19 *30031:A 2.02801e-05 -54 *758:26 *30031:A 0.000429501 -55 *1396:83 *3883:31 0.000432914 -56 *1471:86 *3883:31 0.000109787 -57 *1471:95 *3883:31 0.00134121 -58 *1603:36 *3883:31 0.000118293 -59 *1748:20 *3883:31 0.000787809 -60 *1887:22 *3883:31 0.00016464 -61 *2855:91 *3883:31 0.000160692 -62 *2855:121 *3883:31 0.000135432 -63 *2877:69 *3883:31 0.000925817 -64 *2894:87 *3883:31 1.53766e-05 -65 *3156:162 *3883:31 0.000373191 -66 *3158:66 *3883:31 0.000210142 -67 *3168:129 *3883:31 0.000219124 -68 *3192:107 *3883:31 2.66789e-06 -69 *3197:138 *3883:31 0.0017029 -70 *3541:43 *27560:B2 0 -71 *3541:43 *3883:31 0.000128129 -72 *3688:31 *27560:B2 8.89385e-05 -73 *3688:31 *3883:31 0.000110225 -74 *3688:31 *3883:34 2.30314e-05 -75 *3698:19 *3883:31 9.67968e-05 -76 *3698:31 *3883:31 0.000468604 -77 *3713:39 *3883:31 0.00191418 -78 *3713:94 *3883:31 0.000169835 -79 *3723:25 *3883:31 0.000114668 -80 *3802:26 *3883:31 0.00112272 -81 *3802:38 *3883:31 0.00699874 -82 *3805:28 *30031:A 7.0351e-05 -83 *3882:12 *3883:31 0 -84 *3882:34 *3883:31 0 -85 *3882:47 *3883:31 0 -*RES -1 *28153:Q *3883:4 9.3 -2 *3883:4 *3883:8 8.18679 -3 *3883:8 *30031:A 27.5511 -4 *3883:8 *3883:31 49.5041 -5 *3883:31 *3883:34 3.99036 -6 *3883:34 *27936:B2 13.8 -7 *3883:34 *27560:B2 21.5143 -8 *3883:4 *25178:B2 30.2286 -*END - -*D_NET *3884 0.0398586 -*CONN -*I *27576:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27963:B2 I *D sky130_fd_sc_hd__a221o_2 -*I *25132:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *29999:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28154:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *27576:A1 0.000319632 -2 *27963:B2 0 -3 *25132:B2 0.000941731 -4 *29999:A 0.00130128 -5 *28154:Q 0 -6 *3884:112 0.00258334 -7 *3884:89 0.00320293 -8 *3884:88 0.00151763 -9 *3884:53 0.00368013 -10 *3884:24 0.00289572 -11 *3884:4 0.00311517 -12 *25132:B2 *25940:A0 0.000635491 -13 *25132:B2 *25940:A1 6.87812e-05 -14 *25132:B2 *28224:D 0.000177545 -15 *25132:B2 *28383:RESET_B 0.000177815 -16 *25132:B2 *4947:16 2.06178e-05 -17 *25132:B2 *5313:11 1.88266e-05 -18 *25132:B2 *5685:122 0.000536382 -19 *27576:A1 *3919:51 0.000103974 -20 *29999:A *25662:A0 0.000268259 -21 *29999:A *4899:13 4.22135e-06 -22 *3884:24 *25399:A1 0.000226136 -23 *3884:24 *25621:A1 0.000321278 -24 *3884:24 *25662:A0 0.000346564 -25 *3884:24 *25919:A0 0.000245853 -26 *3884:24 *28154:RESET_B 3.30417e-05 -27 *3884:24 *28368:D 0.00022459 -28 *3884:24 *5484:15 9.40156e-05 -29 *3884:24 *5489:28 1.21258e-05 -30 *3884:24 *5489:41 0.000344623 -31 *3884:24 *5495:57 7.02611e-05 -32 *3884:24 *5497:39 7.80307e-05 -33 *3884:24 *5665:127 2.64077e-05 -34 *3884:24 *5691:298 9.99644e-06 -35 *3884:24 *5730:43 2.8034e-05 -36 *3884:53 *25617:A0 0.000104599 -37 *3884:53 *25756:A1 0.000542899 -38 *3884:53 *5313:11 0.000636584 -39 *3884:53 *5484:64 2.69486e-05 -40 *3884:53 *5510:59 0.000470443 -41 *3884:53 *5685:142 0.00147759 -42 *3884:53 *6323:10 4.37561e-05 -43 *3884:88 *4140:47 6.8293e-05 -44 *3884:88 *5313:11 0.000210696 -45 *3884:88 *5619:39 1.24368e-05 -46 *3884:88 *5619:58 3.97677e-05 -47 *3884:88 *5665:66 0.000192669 -48 *3884:89 *3919:51 0.000721042 -49 *3884:112 *27902:B2 0.00041903 -50 *3884:112 *4129:61 0.00011266 -51 *3884:112 *4139:53 0.00021603 -52 *3884:112 *5442:27 0.00042237 -53 pll_div[1] *3884:24 0.000449546 -54 pll_sel[0] *3884:24 5.33005e-05 -55 *25132:B1 *25132:B2 5.57375e-05 -56 *25399:A2 *3884:24 0 -57 *25754:S *3884:53 5.33005e-05 -58 *25756:S *3884:53 9.41642e-05 -59 *25906:A *3884:88 0 -60 *25920:S *3884:24 4.87854e-05 -61 *25931:S *3884:88 0.000192957 -62 *26821:S *29999:A 5.52238e-05 -63 *27576:A2 *27576:A1 7.13226e-06 -64 *27869:B1 *25132:B2 1.90936e-05 -65 *27891:C *3884:112 0.00016647 -66 *27892:B2 *3884:112 0.000137983 -67 *27963:C1 *3884:112 5.05565e-05 -68 *28368:CLK *3884:53 2.45832e-05 -69 *30032:A *29999:A 0.000943252 -70 *30087:A *29999:A 0.000104778 -71 *505:17 *29999:A 0.000170473 -72 *565:17 *25132:B2 0.00019402 -73 *698:11 *29999:A 0.000522432 -74 *698:11 *3884:24 0.000716096 -75 *716:16 *3884:53 0.000135028 -76 *758:26 *29999:A 0.000114854 -77 *1294:81 *3884:112 6.81895e-05 -78 *1327:98 *3884:112 0.000152378 -79 *1452:35 *25132:B2 0.000148298 -80 *1587:16 *3884:88 6.91457e-05 -81 *1629:14 *3884:112 0.000153032 -82 *1865:8 *3884:88 0.00141 -83 *1865:13 *3884:88 0.000120506 -84 *1865:26 *3884:88 0.000253172 -85 *1865:30 *25132:B2 2.95642e-05 -86 *1865:30 *3884:88 0.000130687 -87 *1897:15 *3884:88 0.000280221 -88 *2744:51 *29999:A 0.000225616 -89 *2745:75 *3884:24 8.25843e-06 -90 *2856:56 *3884:112 6.26774e-06 -91 *2860:64 *3884:88 3.13644e-05 -92 *2892:17 *3884:112 7.63056e-05 -93 *2892:26 *27576:A1 0.00011762 -94 *2892:26 *3884:112 0.000130142 -95 *2892:40 *27576:A1 3.57366e-05 -96 *3129:10 *3884:112 0.000426985 -97 *3183:103 *3884:112 0.000177821 -98 *3216:59 *3884:112 6.26774e-06 -99 *3469:8 *3884:112 7.02772e-05 -100 *3650:28 *25132:B2 2.06178e-05 -101 *3650:38 *25132:B2 4.24752e-05 -102 *3650:38 *3884:88 0.000335866 -103 *3663:10 *25132:B2 0.000119673 -104 *3671:75 *3884:89 0.000665989 -105 *3671:77 *27576:A1 5.08481e-05 -106 *3671:77 *3884:89 2.66087e-05 -107 *3805:47 *25132:B2 0.00081064 -*RES -1 *28154:Q *3884:4 9.3 -2 *3884:4 *3884:24 49.9643 -3 *3884:24 *29999:A 45.2464 -4 *3884:4 *3884:53 34.3396 -5 *3884:53 *25132:B2 35.7321 -6 *3884:53 *3884:88 42.8534 -7 *3884:88 *3884:89 9.6875 -8 *3884:89 *3884:112 48.8568 -9 *3884:112 *27963:B2 9.3 -10 *3884:89 *27576:A1 18.9786 -*END - -*D_NET *3885 0.0128122 -*CONN -*I *27346:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27687:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25292:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30418:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28667:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *27346:A1 0.000499695 -2 *27687:B2 0.000240109 -3 *25292:B2 0.000446516 -4 *30418:A 0.000309505 -5 *28667:Q 6.67026e-05 -6 *3885:26 0.001789 -7 *3885:17 0.00167959 -8 *3885:5 0.000453725 -9 *25292:B2 *4054:47 0.000529845 -10 *25292:B2 *4054:66 2.28932e-05 -11 *27346:A1 *27346:B1 3.63587e-05 -12 *30418:A *27101:A1 6.05161e-06 -13 *30418:A *5609:189 0.000427144 -14 *3885:26 *4054:44 0.000160937 -15 *3885:26 *5609:183 0.00071909 -16 *3885:26 *5609:189 0.0003441 -17 *3885:26 *5634:140 0.000885163 -18 *3885:26 *5650:250 0.000658019 -19 *3885:26 *5778:190 9.35781e-05 -20 *25251:C *30418:A 0.000298483 -21 *25293:D *25292:B2 5.52238e-05 -22 *27019:S *3885:26 0.000185413 -23 *27346:B2 *27346:A1 0.000206258 -24 *27346:C1 *27346:A1 0.000260574 -25 *27687:B1 *27687:B2 0.000550802 -26 *1275:62 *3885:26 2.06178e-05 -27 *1275:225 *3885:26 0.000165754 -28 *1456:134 *27346:A1 5.41229e-05 -29 *1456:134 *3885:5 1.56615e-05 -30 *1456:134 *3885:17 1.65169e-05 -31 *2774:110 *30418:A 0.000428858 -32 *2774:110 *3885:26 0.000204238 -33 *2877:194 *27687:B2 0.000128775 -34 *3197:70 *25292:B2 3.81226e-05 -35 *3197:70 *27687:B2 2.12087e-05 -36 *3216:203 *3885:26 0.000186042 -37 *3540:23 *3885:26 0.000607558 -*RES -1 *28667:Q *3885:5 10.0411 -2 *3885:5 *30418:A 26.8893 -3 *3885:5 *3885:17 0.946429 -4 *3885:17 *3885:26 40.4464 -5 *3885:26 *25292:B2 17.7464 -6 *3885:26 *27687:B2 15.175 -7 *3885:17 *27346:A1 17.8 -*END - -*D_NET *3886 0.0628897 -*CONN -*I *27371:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27702:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *29824:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25263:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28668:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27371:A1 0.000157501 -2 *27702:B2 0 -3 *29824:A 5.10659e-05 -4 *25263:A1 0.000731687 -5 *28668:Q 0 -6 *3886:79 0.00178178 -7 *3886:78 0.00259502 -8 *3886:67 0.00407236 -9 *3886:55 0.00367014 -10 *3886:51 0.00380849 -11 *3886:50 0.00372262 -12 *3886:47 0.0014961 -13 *3886:26 0.00151232 -14 *3886:4 0.000864482 -15 *25263:A1 *5442:51 0.000455849 -16 *25263:A1 *5873:120 2.79421e-05 -17 *27371:A1 *4068:31 8.17828e-05 -18 *29824:A *27102:A1 9.60875e-05 -19 *3886:26 *5694:119 0 -20 *3886:26 *5720:205 3.34295e-05 -21 *3886:47 *27039:A1 9.60939e-05 -22 *3886:47 *28788:D 0.000325561 -23 *3886:47 *5591:16 0.000328389 -24 *3886:47 *5667:111 1.93639e-05 -25 *3886:47 *5720:205 0.000164824 -26 *3886:47 *5720:215 0.000146584 -27 *3886:47 *5757:94 0.000110238 -28 *3886:51 *27129:A1 7.83587e-05 -29 *3886:51 *27715:B1 7.0509e-05 -30 *3886:51 *3938:40 2.53056e-05 -31 *3886:51 *3978:78 0.000731914 -32 *3886:51 *4055:33 0.00175043 -33 *3886:51 *4068:41 0 -34 *3886:51 *4146:56 0 -35 *3886:51 *5583:112 4.50149e-05 -36 *3886:51 *5584:76 9.03127e-05 -37 *3886:51 *5596:47 4.09607e-05 -38 *3886:51 *5600:114 0.000455879 -39 *3886:51 *5600:139 0.000279669 -40 *3886:51 *5630:22 0.000834278 -41 *3886:51 *5630:26 0.000471005 -42 *3886:51 *5630:36 0.0018082 -43 *3886:51 *5635:90 0.000890782 -44 *3886:51 *5652:11 0.000242913 -45 *3886:51 *6225:116 0.00163801 -46 *3886:55 *25200:B2 1.94945e-05 -47 *3886:55 *4068:31 0.000422886 -48 *3886:55 *4068:41 1.37767e-05 -49 *3886:55 *5589:20 1.90936e-05 -50 *3886:55 *5600:139 1.17921e-05 -51 *3886:67 *5650:250 4.37712e-06 -52 *3886:67 *5652:12 0.000120376 -53 *3886:67 *5653:146 0.000879019 -54 *3886:78 *3963:87 0.000300949 -55 *3886:78 *4146:79 0.00015259 -56 *3886:78 *5645:104 2.28513e-05 -57 *3886:78 *5645:108 0.00112777 -58 *3886:79 *27702:B1 4.92175e-05 -59 *3886:79 *28588:RESET_B 1.0562e-05 -60 *3886:79 *4003:30 0.00331635 -61 *3886:79 *5645:108 0.000237533 -62 *3886:79 *5645:136 6.95627e-05 -63 *3886:79 *5646:232 3.57548e-05 -64 *3886:79 *5873:304 0.000123295 -65 *25263:A2 *25263:A1 0.000171488 -66 *25263:B1 *25263:A1 1.74352e-05 -67 *25343:A1 *3886:67 0 -68 *27342:A1 *3886:78 9.41642e-05 -69 *27345:B2 *3886:67 0.000130991 -70 *27352:A *3886:78 0.000137568 -71 *27371:B1 *27371:A1 2.21972e-05 -72 *27692:C *3886:67 0.000104056 -73 *29498:A *3886:47 4.00349e-05 -74 *30387:A *3886:79 1.11048e-05 -75 *30512:A *3886:78 0.000143883 -76 *1266:64 *3886:67 0 -77 *1270:41 *3886:79 0.000394014 -78 *1287:184 *3886:79 5.00087e-06 -79 *1328:98 *25263:A1 5.80467e-05 -80 *1511:39 *3886:67 0.000522175 -81 *1549:14 *3886:79 0.000202537 -82 *1549:24 *3886:79 0.00134251 -83 *1582:25 *25263:A1 0.000141067 -84 *2758:23 *3886:51 0.000214135 -85 *2759:120 *3886:78 0.000888616 -86 *2759:120 *3886:79 3.90046e-05 -87 *2768:56 *3886:78 0.000272757 -88 *2773:118 *3886:79 0.000560703 -89 *2784:88 *3886:79 0.00114745 -90 *2792:145 *3886:26 9.54798e-06 -91 *2794:141 *3886:67 0.00134862 -92 *2834:31 *25263:A1 4.96113e-05 -93 *2850:177 *3886:47 0.00162023 -94 *2852:181 *3886:51 0.000412628 -95 *2853:262 *3886:79 0.000469262 -96 *2871:305 *3886:78 4.58194e-05 -97 *2875:46 *3886:51 2.04825e-05 -98 *2882:206 *3886:67 0 -99 *2885:175 *3886:79 6.35864e-05 -100 *2892:17 *25263:A1 0.000198573 -101 *2894:21 *3886:51 0.00033888 -102 *2904:11 *3886:79 1.4219e-05 -103 *2913:8 *3886:67 0.000828785 -104 *2922:36 *3886:51 0 -105 *2922:36 *3886:55 0 -106 *2929:13 *3886:51 0.00123745 -107 *2932:34 *27371:A1 8.5117e-05 -108 *2932:34 *3886:55 0.000119604 -109 *2958:56 *25263:A1 0.000189359 -110 *2958:56 *3886:47 7.48409e-06 -111 *3170:19 *3886:50 0.000300412 -112 *3170:152 *3886:51 0.000468701 -113 *3170:163 *3886:51 0.000569667 -114 *3184:43 *3886:47 0.000752036 -115 *3184:53 *3886:47 0.000630433 -116 *3216:46 *25263:A1 0.000564103 -117 *3236:13 *27371:A1 9.66977e-05 -118 *3242:6 *3886:67 0.000120376 -119 *3263:11 *3886:51 0.00123031 -120 *3572:20 *3886:47 9.41642e-05 -121 *3573:16 *25263:A1 0.000142979 -122 *3598:18 *3886:79 0.000169317 -123 *3598:75 *3886:79 1.84099e-05 -124 *3872:86 *3886:67 0.000945181 -125 *3873:67 *25263:A1 9.28665e-05 -126 *3873:67 *3886:26 0.000109198 -127 *3873:67 *3886:47 0.000124162 -*RES -1 *28668:Q *3886:4 9.3 -2 *3886:4 *25263:A1 37.5857 -3 *3886:4 *3886:26 7.17857 -4 *3886:26 *29824:A 14.7464 -5 *3886:26 *3886:47 49.6607 -6 *3886:47 *3886:50 7.5 -7 *3886:50 *3886:51 111.384 -8 *3886:51 *3886:55 6.58036 -9 *3886:55 *3886:67 49.2948 -10 *3886:67 *3886:78 40.1977 -11 *3886:78 *3886:79 58.4107 -12 *3886:79 *27702:B2 13.8 -13 *3886:55 *27371:A1 17.05 -*END - -*D_NET *3887 0.0524413 -*CONN -*I *30027:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24833:A I *D sky130_fd_sc_hd__inv_2 -*I *27380:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25174:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *27727:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28669:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *30027:A 0.000147115 -2 *24833:A 0.000898044 -3 *27380:B2 0.000272689 -4 *25174:B2 7.94446e-05 -5 *27727:B2 0.00085577 -6 *28669:Q 6.85225e-05 -7 *3887:97 0.0028656 -8 *3887:94 0.00207511 -9 *3887:91 0.00162288 -10 *3887:60 0.00169289 -11 *3887:42 0.00360016 -12 *3887:41 0.0025611 -13 *3887:17 0.00215442 -14 *3887:9 0.00199865 -15 *3887:7 0.00024738 -16 *24833:A *26858:A1 4.15526e-05 -17 *24833:A *26860:A0 6.20091e-06 -18 *24833:A *26860:A1 0.000119179 -19 *24833:A *28452:D 4.00349e-05 -20 *24833:A *30850:A 5.71472e-05 -21 *24833:A *30889:A 0 -22 *24833:A *4786:16 6.4974e-06 -23 *24833:A *6262:14 1.90936e-05 -24 *27380:B2 *27380:B1 1.80232e-05 -25 *27380:B2 *4108:98 2.44181e-05 -26 *27727:B2 *27727:A1 0.000797292 -27 *27727:B2 *27727:B1 0.000191284 -28 *30027:A *5873:281 0.000175892 -29 *30027:A *5926:68 0.000210833 -30 *3887:7 *28669:D 0.000123471 -31 *3887:7 *5795:206 7.61264e-05 -32 *3887:9 *28669:D 8.33813e-05 -33 *3887:9 *5795:206 4.58194e-05 -34 *3887:17 *27727:A1 0.000219289 -35 *3887:17 *28669:D 9.41642e-05 -36 *3887:17 *5645:235 0.000392291 -37 *3887:17 *5695:133 0.000576109 -38 *3887:17 *5795:206 7.31843e-05 -39 *3887:41 *27144:B 8.98342e-05 -40 *3887:41 *4082:70 0.000122334 -41 *3887:41 *4134:6 0.00102623 -42 *3887:41 *4134:22 0.000177735 -43 *3887:41 *4134:45 9.8494e-05 -44 *3887:41 *4147:46 5.35383e-05 -45 *3887:41 *5628:185 0 -46 *3887:41 *5631:72 0.00012401 -47 *3887:41 *5819:24 9.79037e-06 -48 *3887:42 *28631:D 6.3494e-05 -49 *3887:42 *3939:63 0.000118715 -50 *3887:42 *3965:56 3.65905e-05 -51 *3887:42 *4082:70 0.000113088 -52 *3887:42 *4082:74 0.00232863 -53 *3887:42 *4082:76 2.23987e-05 -54 *3887:42 *4108:98 2.25252e-05 -55 *3887:42 *5819:20 4.09488e-06 -56 *3887:60 *3939:51 0.000237415 -57 *3887:60 *3965:56 0.00117418 -58 *3887:60 *4108:98 2.06178e-05 -59 *3887:60 *5630:161 0.000140489 -60 *3887:60 *5643:148 3.68027e-05 -61 *3887:60 *5645:104 0 -62 *3887:60 *5649:120 0.000607337 -63 *3887:60 *5660:157 0.000424633 -64 *3887:91 *27741:A1 6.15879e-05 -65 *3887:91 *27741:B1 0.000243669 -66 *3887:91 *29988:A 0.000368322 -67 *3887:91 *4082:33 0.00176092 -68 *3887:91 *5645:235 0.000747735 -69 *3887:91 *5663:222 0.000141546 -70 *3887:94 *26914:A1 7.99341e-05 -71 *3887:94 *29988:A 5.33005e-05 -72 *3887:97 *28453:RESET_B 0.000139559 -73 *3887:97 *29153:A 6.19639e-06 -74 *3887:97 *29973:A 0.000769864 -75 *3887:97 *3913:106 1.61405e-05 -76 *3887:97 *5462:55 2.2548e-05 -77 *3887:97 *5590:191 4.20068e-05 -78 *3887:97 *5683:32 0 -79 *3887:97 *5781:10 0 -80 *3887:97 *5829:42 0.00126852 -81 *3887:97 *5829:50 0.000540306 -82 *3887:97 *5829:68 0.000946417 -83 *3887:97 *6284:17 1.34009e-05 -84 mgmt_gpio_oeb[26] *24833:A 0.000271345 -85 mgmt_gpio_oeb[27] *24833:A 0 -86 mgmt_gpio_out[27] *24833:A 0.000125502 -87 *25172:B1 *3887:41 4.43299e-05 -88 *25172:B2 *3887:41 5.29951e-05 -89 *27087:S *27380:B2 8.55871e-05 -90 *27382:B1 *27380:B2 6.77834e-05 -91 *27390:C1 *3887:41 0.000171683 -92 *27420:A2 *3887:41 5.39293e-05 -93 *27742:B1 *3887:91 0.000203752 -94 *27742:C1 *3887:91 4.06087e-07 -95 *28693:CLK *3887:97 0.000160501 -96 *28709:CLK *3887:97 3.83163e-05 -97 *29126:A *3887:97 5.32513e-05 -98 *62:10 *24833:A 0 -99 *522:10 *24833:A 7.24063e-05 -100 *785:21 *3887:97 9.45051e-05 -101 *1026:19 *3887:97 0.000107222 -102 *1178:158 *3887:60 0.000329544 -103 *1242:104 *25174:B2 0.000221212 -104 *1261:227 *3887:41 4.37712e-06 -105 *1274:126 *3887:60 6.54117e-05 -106 *1292:133 *3887:94 0.000218685 -107 *1292:153 *3887:94 8.27532e-05 -108 *1494:5 *25174:B2 6.81459e-05 -109 *1826:154 *3887:60 0.000132072 -110 *2756:57 *3887:97 7.39203e-06 -111 *2756:66 *3887:97 9.85424e-06 -112 *2771:64 *3887:91 0.000121573 -113 *2773:94 *27727:B2 3.32631e-05 -114 *2774:31 *30027:A 0.000175892 -115 *2786:136 *3887:97 0.000107305 -116 *2788:168 *3887:91 0.000253375 -117 *2848:369 *3887:41 5.00194e-05 -118 *2866:317 *3887:42 0.00012401 -119 *2871:259 *3887:60 0.000511291 -120 *2871:277 *3887:60 8.76591e-06 -121 *2874:321 *3887:60 5.69617e-05 -122 *2943:11 *27380:B2 5.49544e-05 -123 *3153:171 *3887:42 0.000459499 -124 *3153:171 *3887:60 0.000326383 -125 *3165:99 *27727:B2 1.38323e-05 -126 *3177:85 *3887:42 0.000120169 -127 *3177:85 *3887:60 0.00143492 -128 *3291:17 *30027:A 0.000212555 -129 *3291:17 *3887:41 1.99901e-06 -130 *3291:17 *3887:91 0.000707826 -131 *3537:20 *3887:97 0.00027025 -132 *3538:59 *3887:97 7.60485e-05 -133 *3538:75 *3887:97 0.000425182 -134 *3692:58 *3887:41 7.84002e-05 -135 *3692:58 *3887:42 0.00120253 -136 *3692:62 *3887:42 0.00296274 -137 *3705:14 *3887:91 0.000412243 -138 *3705:22 *3887:41 0.000120286 -139 *3718:89 *3887:42 0.000482126 -140 *3796:163 *3887:91 8.79284e-05 -*RES -1 *28669:Q *3887:7 11.0857 -2 *3887:7 *3887:9 0.946429 -3 *3887:9 *3887:17 19.8393 -4 *3887:17 *27727:B2 20.9607 -5 *3887:17 *3887:41 34.9482 -6 *3887:41 *3887:42 56.4375 -7 *3887:42 *3887:60 49.8409 -8 *3887:60 *25174:B2 11.4786 -9 *3887:42 *27380:B2 18.4964 -10 *3887:9 *3887:91 49.9107 -11 *3887:91 *3887:94 7.5 -12 *3887:94 *3887:97 47.8214 -13 *3887:97 *24833:A 29.1214 -14 *3887:7 *30027:A 22.925 -*END - -*D_NET *3888 0.0379058 -*CONN -*I *27762:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30190:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27399:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25122:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28670:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27762:B2 0.000145792 -2 *30190:A 2.99504e-05 -3 *27399:B2 0 -4 *25122:A1 0.00198831 -5 *28670:Q 0.000189922 -6 *3888:44 0.000175743 -7 *3888:12 0.00504776 -8 *3888:10 0.00324181 -9 *3888:8 0.000372282 -10 *25122:A1 *25357:B2 7.20217e-06 -11 *25122:A1 *27303:B2 0.000220943 -12 *25122:A1 *3920:83 0.000135028 -13 *25122:A1 *3962:61 0.000126345 -14 *25122:A1 *4141:49 4.65519e-05 -15 *27762:B2 *27104:S 0.000186793 -16 *27762:B2 *5642:172 0.000456636 -17 *30190:A *5642:172 9.41642e-05 -18 *3888:8 *4027:40 0.000105471 -19 *3888:10 *4128:24 1.24437e-06 -20 *3888:12 *24954:A 0.00014734 -21 *3888:12 *29327:A 1.81805e-05 -22 *3888:12 *4022:59 0.000312215 -23 *3888:12 *4109:46 1.27625e-05 -24 *3888:12 *4109:53 0.0020855 -25 *3888:12 *4128:24 0.000253695 -26 *3888:12 *4199:8 5.41797e-06 -27 *3888:12 *5216:12 0.000169485 -28 *3888:12 *5216:29 0.00012294 -29 *3888:12 *5688:115 0.00117812 -30 *3888:12 *5832:8 3.35083e-05 -31 *3888:12 *5832:25 9.88444e-05 -32 *25088:A2 *25122:A1 2.47761e-06 -33 *25104:A1 *25122:A1 0.000248569 -34 *25122:A2 *25122:A1 0.000198253 -35 *25122:B1 *25122:A1 0.000292758 -36 *25122:C1 *25122:A1 4.55127e-05 -37 *27281:B1 *3888:12 0.000148911 -38 *27304:C1 *25122:A1 7.54313e-05 -39 *1185:102 *3888:12 0.000123618 -40 *1239:14 *25122:A1 4.11173e-05 -41 *1239:90 *3888:12 2.06178e-05 -42 *1247:43 *25122:A1 0.000461976 -43 *1248:98 *25122:A1 0.00125247 -44 *1262:28 *3888:12 2.49484e-05 -45 *1269:21 *3888:12 2.06112e-05 -46 *1269:40 *3888:12 0.000558923 -47 *1282:158 *25122:A1 2.63501e-05 -48 *1405:96 *3888:8 6.43196e-05 -49 *1411:60 *25122:A1 0.000135968 -50 *1415:38 *3888:12 3.68236e-05 -51 *1443:10 *25122:A1 1.81887e-05 -52 *1448:100 *3888:12 0.00209612 -53 *1456:38 *25122:A1 0.00222425 -54 *1627:12 *3888:12 4.06087e-07 -55 *1631:16 *3888:12 0.000311298 -56 *1719:12 *3888:10 0.000111243 -57 *1719:12 *3888:12 0.00120905 -58 *1781:16 *3888:12 0.00215114 -59 *1781:25 *3888:12 0.000997475 -60 *2867:120 *25122:A1 6.09973e-05 -61 *3177:136 *3888:8 0.000177494 -62 *3177:136 *3888:10 0.000531598 -63 *3389:20 *25122:A1 0.000530064 -64 *3413:41 *25122:A1 0.000111841 -65 *3552:30 *3888:8 0.000187491 -66 *3552:30 *3888:10 0.000543192 -67 *3552:30 *3888:12 0.00149183 -68 *3699:40 *25122:A1 1.18064e-05 -69 *3771:22 *27762:B2 9.60939e-05 -70 *3771:22 *30190:A 9.41642e-05 -71 *3771:28 *3888:12 0.00416041 -*RES -1 *28670:Q *3888:8 18.5321 -2 *3888:8 *3888:10 7.10714 -3 *3888:10 *3888:12 113.509 -4 *3888:12 *25122:A1 43.109 -5 *3888:10 *27399:B2 13.8 -6 *3888:8 *3888:44 4.5 -7 *3888:44 *30190:A 10.2464 -8 *3888:44 *27762:B2 13.9429 -*END - -*D_NET *3889 0.0692071 -*CONN -*I *25045:B2 I *D sky130_fd_sc_hd__a221o_2 -*I *27433:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27785:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30126:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28671:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25045:B2 0 -2 *27433:B2 3.73499e-05 -3 *27785:B2 0.000549654 -4 *30126:A 1.69531e-05 -5 *28671:Q 3.82074e-05 -6 *3889:79 0.000793445 -7 *3889:66 0.00225245 -8 *3889:64 0.00148555 -9 *3889:62 0.00457199 -10 *3889:61 0.00500176 -11 *3889:31 0.00201609 -12 *3889:8 0.00151671 -13 *3889:7 0.00056519 -14 *27433:B2 *27433:B1 3.36484e-05 -15 *27433:B2 *28516:D 8.67797e-05 -16 *27785:B2 *27433:B1 4.02038e-05 -17 *27785:B2 *28516:D 0.000180072 -18 *27785:B2 *5432:36 2.58748e-05 -19 *27785:B2 *5662:111 6.21188e-05 -20 *30126:A *28517:D 5.33005e-05 -21 *3889:7 *4108:13 6.05161e-06 -22 *3889:8 *5847:44 0.000146983 -23 *3889:31 *27433:A1 1.71655e-05 -24 *3889:31 *3995:58 0.00163424 -25 *3889:31 *5628:98 0.000303898 -26 *3889:31 *5766:29 4.59848e-05 -27 *3889:31 *5847:44 3.18672e-05 -28 *3889:31 *5863:143 0 -29 *3889:31 *5872:42 0.000121805 -30 *3889:61 *4108:13 0.00033799 -31 *3889:61 *4217:15 0.000222244 -32 *3889:61 *5847:44 1.18554e-05 -33 *3889:62 *27175:A1 0.000339186 -34 *3889:62 *3890:24 0.000110783 -35 *3889:62 *3890:47 0.000126976 -36 *3889:62 *3890:68 0.00163185 -37 *3889:62 *4046:38 1.53472e-05 -38 *3889:62 *4108:36 0.000628042 -39 *3889:62 *4111:11 0.000458827 -40 *3889:62 *4217:15 0.000316631 -41 *3889:62 *5628:66 0.000282994 -42 *3889:62 *5628:73 0.00176839 -43 *3889:62 *5628:98 0.00192187 -44 *3889:62 *5639:98 0.00210932 -45 *3889:62 *5639:104 0.000208335 -46 *3889:62 *5639:147 0.000440397 -47 *3889:62 *5642:71 0.00157504 -48 *3889:62 *5656:261 0.00167556 -49 *3889:62 *5656:275 0.000298371 -50 *3889:62 *5662:33 0.000967245 -51 *3889:62 *5847:44 0.00498966 -52 *3889:62 *5847:59 0.00331636 -53 *3889:62 *5872:25 0.00017963 -54 *3889:62 *5873:60 0.000171395 -55 *3889:62 *5873:74 6.2914e-05 -56 *3889:62 *5873:76 0.00585256 -57 *3889:66 *28672:D 0.000260579 -58 *3889:66 *30503:A 0.000620482 -59 *3889:66 *3981:21 0.00107117 -60 *3889:66 *3981:42 0.000761173 -61 *3889:66 *4046:38 0.000619387 -62 *3889:66 *5492:12 0.00450749 -63 *3889:66 *5662:33 0.000247681 -64 *3889:79 *25045:A1 0.000121342 -65 *3889:79 *25045:B1 3.67885e-05 -66 *3889:79 *28724:D 0.000290271 -67 *3889:79 *5459:12 2.49484e-05 -68 *3889:79 *5492:10 0.000473206 -69 *3889:79 *5492:12 0.000474095 -70 *3889:79 *5667:271 9.41642e-05 -71 *3889:79 *5713:208 0.000364762 -72 *6575:DIODE *3889:79 2.14658e-05 -73 *25029:C1 *3889:62 0 -74 *25045:A2 *3889:79 1.46576e-05 -75 *25061:B1 *3889:61 2.91286e-05 -76 *25061:C1 *3889:8 4.37111e-05 -77 *25061:C1 *3889:31 1.04048e-05 -78 *25061:C1 *3889:61 0.000219975 -79 *28561:CLK *3889:62 1.19409e-05 -80 *28765:CLK *3889:66 6.81083e-05 -81 *29704:A *30126:A 5.33005e-05 -82 *29903:A *3889:31 0.000291238 -83 *849:17 *27433:B2 5.49544e-05 -84 *849:17 *27785:B2 0.000423607 -85 *849:17 *3889:31 0.000731143 -86 *1260:161 *3889:31 0.00107941 -87 *1275:142 *3889:61 9.82517e-05 -88 *1304:16 *3889:31 3.15517e-05 -89 *1332:10 *3889:62 8.62625e-05 -90 *1342:14 *3889:66 2.26424e-05 -91 *1343:13 *3889:62 0.000186215 -92 *1366:13 *3889:79 0 -93 *1367:5 *3889:79 1.98839e-05 -94 *1367:11 *3889:79 2.28598e-05 -95 *1367:16 *3889:79 0.00161492 -96 *1367:18 *3889:62 0.000820259 -97 *1367:18 *3889:66 2.14474e-05 -98 *1367:22 *3889:62 3.8991e-05 -99 *1367:43 *3889:62 0.000143169 -100 *1371:51 *3889:31 3.77877e-05 -101 *2773:8 *3889:62 5.00841e-06 -102 *2892:242 *27785:B2 3.02754e-05 -103 *3165:74 *27785:B2 0.000233661 -104 *3179:244 *3889:31 0.000110498 -105 *3633:33 *3889:66 0.000808688 -106 *3733:59 *3889:61 2.16719e-05 -107 *3798:98 *27785:B2 0.000177338 -*RES -1 *28671:Q *3889:7 14.3357 -2 *3889:7 *3889:8 1.94643 -3 *3889:8 *30126:A 14.3357 -4 *3889:8 *3889:31 24.7398 -5 *3889:31 *27785:B2 29.3893 -6 *3889:31 *27433:B2 10.675 -7 *3889:7 *3889:61 18.9821 -8 *3889:61 *3889:62 193.804 -9 *3889:62 *3889:64 0.535714 -10 *3889:64 *3889:66 58.7143 -11 *3889:66 *3889:79 41.5893 -12 *3889:79 *25045:B2 9.3 -*END - -*D_NET *3890 0.030333 -*CONN -*I *30464:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25011:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27455:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27797:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28672:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *30464:A 0 -2 *25011:B2 0 -3 *27455:B2 4.91485e-05 -4 *27797:B2 0.000539371 -5 *28672:Q 0.00013465 -6 *3890:68 0.00116931 -7 *3890:47 0.00392673 -8 *3890:24 0.00668985 -9 *3890:9 0.00357685 -10 *27455:B2 *27455:B1 3.09839e-05 -11 *27797:B2 *27441:A1 7.45939e-06 -12 *27797:B2 *5642:35 1.28809e-05 -13 *27797:B2 *5645:47 4.30608e-05 -14 *27797:B2 *5660:35 1.37051e-05 -15 *27797:B2 *5863:177 4.73891e-05 -16 *3890:9 *27106:A1 8.86413e-05 -17 *3890:24 *27106:A1 0.000125355 -18 *3890:24 *27166:A0 7.43578e-06 -19 *3890:24 *3995:41 0.000181254 -20 *3890:24 *4007:6 0.000565707 -21 *3890:24 *5492:22 0.000154703 -22 *3890:24 *5602:52 0.000138671 -23 *3890:24 *5602:53 0 -24 *3890:24 *5628:45 0.000167547 -25 *3890:24 *5662:33 0.000400261 -26 *3890:24 *5873:91 0.00124586 -27 *3890:47 *25030:A1 0.000177963 -28 *3890:47 *3995:44 0.000197964 -29 *3890:47 *4007:6 0.000231104 -30 *3890:47 *5386:12 0 -31 *3890:47 *5628:66 1.94999e-05 -32 *3890:47 *5628:67 0.000176585 -33 *3890:47 *5642:57 0.000141621 -34 *3890:47 *5642:71 0.000129097 -35 *3890:47 *5662:36 0.000436026 -36 *3890:47 *5847:74 0.000241979 -37 *3890:47 *5863:163 0 -38 *3890:47 *5873:76 0.000126976 -39 *3890:68 *27455:A1 0.000341597 -40 *3890:68 *27455:B1 1.46231e-05 -41 *3890:68 *28489:RESET_B 0.000298483 -42 *3890:68 *4186:34 6.8377e-06 -43 *3890:68 *5602:76 0.000502801 -44 *3890:68 *5639:98 0.000889068 -45 *74:11 *27797:B2 7.50511e-05 -46 *244:65 *3890:9 0.000125724 -47 *1290:201 *3890:24 0.00107531 -48 *1290:201 *3890:47 0.00189667 -49 *1294:193 *3890:24 5.14757e-05 -50 *1294:193 *3890:47 0.000268799 -51 *1307:11 *3890:24 0.000135028 -52 *1317:29 *3890:24 0.000117881 -53 *1317:30 *3890:47 0.000116226 -54 *1350:25 *3890:68 4.58194e-05 -55 *1354:16 *3890:47 0.000171255 -56 *1367:18 *3890:24 0.000356897 -57 *1367:22 *3890:47 0.000126976 -58 *1367:43 *3890:68 0.000142052 -59 *2773:8 *3890:68 0.000398387 -60 *2776:109 *3890:9 6.42242e-05 -61 *3865:37 *3890:24 4.65519e-05 -62 *3889:62 *3890:24 0.000110783 -63 *3889:62 *3890:47 0.000126976 -64 *3889:62 *3890:68 0.00163185 -*RES -1 *28672:Q *3890:9 21.7107 -2 *3890:9 *3890:24 27.1193 -3 *3890:24 *27797:B2 22.2056 -4 *3890:24 *3890:47 21.3707 -5 *3890:47 *27455:B2 10.2643 -6 *3890:47 *3890:68 41.2679 -7 *3890:68 *25011:B2 9.3 -8 *3890:9 *30464:A 9.3 -*END - -*D_NET *3891 0.0551887 -*CONN -*I *24978:B2 I *D sky130_fd_sc_hd__a221o_4 -*I *29420:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27836:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27472:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28673:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *24978:B2 0.000504089 -2 *29420:A 0.000192177 -3 *27836:B2 8.97242e-05 -4 *27472:B2 0 -5 *28673:Q 8.12184e-05 -6 *3891:113 0.00229109 -7 *3891:89 0.0010123 -8 *3891:66 0.00284971 -9 *3891:65 0.00206614 -10 *3891:58 0.00137429 -11 *3891:43 0.00209299 -12 *3891:29 0.00234129 -13 *3891:13 0.0021845 -14 *3891:7 0.0025564 -15 *24978:B2 *24978:A1 5.49489e-05 -16 *24978:B2 *4060:23 9.41642e-05 -17 *27836:B2 *27798:A1 2.59355e-05 -18 *27836:B2 *27836:A2 1.32056e-05 -19 *27836:B2 *27836:B1 0.000139215 -20 *27836:B2 *5680:168 4.86391e-05 -21 *29420:A *27107:A1 1.77323e-05 -22 *29420:A *4045:50 0 -23 *29420:A *5795:221 0.00013921 -24 *29420:A *5829:149 4.35597e-05 -25 *29420:A *5863:132 5.49489e-05 -26 *29420:A *5863:143 7.04364e-05 -27 *3891:7 *27013:A1 0.000223884 -28 *3891:7 *5696:77 9.83442e-05 -29 *3891:13 *27107:A1 0.000121085 -30 *3891:13 *5801:19 7.16486e-05 -31 *3891:29 *28495:D 0.000353923 -32 *3891:29 *4045:50 0 -33 *3891:29 *4214:20 6.13706e-05 -34 *3891:29 *4511:10 9.91086e-05 -35 *3891:29 *5639:165 0.000629552 -36 *3891:29 *5801:19 0 -37 *3891:29 *5863:143 0.00151174 -38 *3891:43 *3954:13 0.000135028 -39 *3891:43 *5630:230 0.00082913 -40 *3891:43 *5652:184 0.00133987 -41 *3891:43 *5928:20 0.000143439 -42 *3891:58 *27798:B2 0.000219289 -43 *3891:58 *27836:B1 1.6271e-05 -44 *3891:58 *28551:RESET_B 6.57648e-05 -45 *3891:58 *5630:217 0.000219289 -46 *3891:58 *5645:220 7.6644e-05 -47 *3891:58 *5652:166 0.00149511 -48 *3891:58 *5652:184 0.000102585 -49 *3891:58 *5767:197 0.000128696 -50 *3891:58 *5892:40 0 -51 *3891:65 *27798:A1 0.000119738 -52 *3891:65 *27836:B1 2.30245e-05 -53 *3891:65 *5656:281 0.00055631 -54 *3891:65 *5676:110 0.000525842 -55 *3891:65 *5863:161 1.09595e-05 -56 *3891:65 *5863:163 4.78056e-05 -57 *3891:66 *4073:26 2.79437e-05 -58 *3891:66 *4073:39 4.75786e-05 -59 *3891:66 *5643:316 2.35995e-05 -60 *3891:66 *5645:199 0.00240505 -61 *3891:66 *5652:143 0.00373562 -62 *3891:89 *5645:80 0.000164148 -63 *3891:89 *5660:105 8.43535e-06 -64 *3891:89 *5660:113 6.89028e-05 -65 *3891:89 *5663:35 0.00014854 -66 *3891:113 *28479:D 0.000231887 -67 *3891:113 *5386:12 0.00039572 -68 *3891:113 *5669:317 5.53312e-05 -69 *3891:113 *5669:338 0.000148109 -70 *3891:113 *5825:8 0 -71 *3891:113 *5876:16 0.000467424 -72 *3891:113 *5911:53 0 -73 *3891:113 *5911:64 0.000403683 -74 *3891:113 *5914:6 0.000653134 -75 *25042:A2 *3891:43 0.00016502 -76 *27469:A2 *3891:66 0.000120724 -77 *27472:A1 *3891:89 2.388e-05 -78 *27472:A2 *3891:89 0.000382744 -79 *27473:C1 *3891:89 0.000182927 -80 *27784:A1 *3891:29 9.60939e-05 -81 *27784:C1 *3891:29 2.06178e-05 -82 *27786:C1 *3891:43 7.40526e-05 -83 *27791:A *3891:29 9.41642e-05 -84 *27798:A2 *3891:58 5.58875e-06 -85 *27798:A2 *3891:65 4.6025e-06 -86 *27799:C1 *27836:B2 6.05161e-06 -87 *27824:B2 *3891:66 0.00190695 -88 *28913:A *3891:113 9.38156e-05 -89 *29081:A *3891:29 0 -90 *30144:A *3891:113 0.000407481 -91 *244:65 *3891:113 0.000256383 -92 *244:158 *3891:113 9.17281e-05 -93 *1697:20 *29420:A 1.90936e-05 -94 *1697:20 *3891:13 0.000140904 -95 *2763:25 *3891:13 1.94879e-05 -96 *2763:25 *3891:113 0.000370864 -97 *2768:220 *3891:58 0.000123295 -98 *2769:31 *3891:29 0.000427696 -99 *2775:99 *3891:13 0.000456011 -100 *2855:311 *3891:66 0.00283562 -101 *2855:311 *3891:89 0.00168201 -102 *3164:258 *3891:89 0.000117304 -103 *3164:267 *3891:66 0.000378288 -104 *3164:267 *3891:89 6.35237e-05 -105 *3164:304 *3891:43 0.000474801 -106 *3165:55 *3891:66 0.000210222 -107 *3165:73 *3891:58 0.000224386 -108 *3177:61 *3891:89 0.00167526 -109 *3179:82 *3891:66 1.50738e-05 -110 *3378:8 *3891:29 0 -111 *3378:26 *3891:58 0.00196746 -112 *3681:52 *3891:29 1.23776e-05 -113 *3733:51 *3891:13 2.11419e-05 -114 *3733:51 *3891:113 0.00112751 -115 *3744:20 *3891:13 0.000107007 -116 *3748:60 *3891:89 0.000111989 -117 *3786:25 *3891:58 0.000126061 -*RES -1 *28673:Q *3891:7 15.9786 -2 *3891:7 *3891:13 8.94545 -3 *3891:13 *3891:29 46.4018 -4 *3891:29 *3891:43 36.0893 -5 *3891:43 *3891:58 43.3571 -6 *3891:58 *3891:65 16.7143 -7 *3891:65 *3891:66 74.3482 -8 *3891:66 *3891:89 47.7192 -9 *3891:89 *27472:B2 9.3 -10 *3891:58 *27836:B2 15.9786 -11 *3891:13 *29420:A 17.8625 -12 *3891:7 *3891:113 47.1071 -13 *3891:113 *24978:B2 21.7286 -*END - -*D_NET *3892 0.0508355 -*CONN -*I *27492:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27861:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25408:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29400:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28150:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *27492:B2 3.15433e-06 -2 *27861:B2 0.000150026 -3 *25408:B2 0.00084646 -4 *29400:A 0 -5 *28150:Q 0 -6 *3892:75 0.0042696 -7 *3892:57 0.00425677 -8 *3892:47 0.00171165 -9 *3892:37 0.00213943 -10 *3892:33 0.00169128 -11 *3892:18 0.00280797 -12 *3892:5 0.0033847 -13 *25408:B2 *3921:54 0.000196139 -14 *25408:B2 *5666:190 0.000345251 -15 *25408:B2 *5666:202 0.000175892 -16 *3892:18 *25617:A0 0.000380108 -17 *3892:18 *25761:A0 0.00126059 -18 *3892:18 *28150:D 9.20102e-05 -19 *3892:18 *28365:D 0.000178527 -20 *3892:18 *3893:41 2.89016e-05 -21 *3892:18 *4184:14 0 -22 *3892:18 *4187:22 0 -23 *3892:18 *4276:17 3.56346e-05 -24 *3892:18 *4331:27 0.000620495 -25 *3892:18 *4332:24 0 -26 *3892:18 *4336:11 0 -27 *3892:18 *4977:22 9.86094e-06 -28 *3892:18 *5026:35 0.000752797 -29 *3892:18 *5078:20 0.00279919 -30 *3892:18 *5493:14 6.73707e-06 -31 *3892:18 *5510:59 0.000281744 -32 *3892:18 *5685:151 5.57893e-05 -33 *3892:18 *5733:72 0 -34 *3892:33 *25754:A0 0 -35 *3892:33 *4964:17 0.000225465 -36 *3892:33 *5489:41 0.000515582 -37 *3892:33 *5493:14 3.14502e-05 -38 *3892:33 *5510:59 0.000344515 -39 *3892:37 *3973:41 3.01877e-05 -40 *3892:37 *5489:41 1.21637e-05 -41 *3892:37 *5489:45 0.00235791 -42 *3892:47 *27486:A1 7.69776e-06 -43 *3892:47 *27854:B2 0.000189906 -44 *3892:47 *3895:88 0.000277425 -45 *3892:47 *3958:34 0.00186164 -46 *3892:47 *5489:45 7.47029e-06 -47 *3892:47 *5489:49 0.000182682 -48 *3892:57 *3895:88 6.35819e-05 -49 *3892:75 *25390:B2 1.498e-05 -50 *3892:75 *4101:28 0.000418961 -51 *3892:75 *5457:21 0.000404754 -52 *3892:75 *5629:89 1.82011e-05 -53 *3892:75 *5661:70 0.00241631 -54 *3892:75 *5666:179 0.000698113 -55 *3892:75 *5892:145 0.000242366 -56 *25268:C1 *3892:37 7.17836e-05 -57 *25399:B1 *3892:33 0 -58 *25408:B1 *25408:B2 4.2821e-05 -59 *27492:A1 *27492:B2 1.31802e-07 -60 *27492:A1 *3892:57 0.000188757 -61 *27492:C1 *3892:57 9.60939e-05 -62 *27861:A2 *27861:B2 6.78319e-05 -63 *27861:A2 *3892:57 1.68854e-05 -64 *28020:S *3892:37 0.000113536 -65 *29193:A *3892:18 0.000141101 -66 *29206:A *3892:18 0.000450539 -67 *29231:A *3892:18 9.58624e-05 -68 *502:18 *3892:18 7.35637e-05 -69 *564:19 *3892:37 2.85964e-05 -70 *699:22 *3892:33 4.13496e-05 -71 *749:24 *3892:18 4.32347e-05 -72 *1294:88 *25408:B2 0.000148215 -73 *1437:29 *3892:75 0.000165885 -74 *1452:57 *3892:33 4.43904e-05 -75 *1452:57 *3892:37 0.000517904 -76 *1471:86 *3892:47 2.19495e-05 -77 *1619:25 *3892:75 0.00193483 -78 *1624:76 *3892:75 4.39952e-05 -79 *1723:27 *25408:B2 0.000257619 -80 *1831:38 *3892:18 0.000346634 -81 *1899:39 *27861:B2 3.04311e-05 -82 *2865:98 *27492:B2 1.28809e-05 -83 *2865:98 *3892:57 0.000134717 -84 *2871:62 *3892:57 4.93881e-05 -85 *2872:90 *3892:57 4.12855e-05 -86 *2874:68 *3892:57 0 -87 *2875:69 *3892:75 5.46346e-05 -88 *2889:254 *3892:75 0.000263781 -89 *2892:65 *27861:B2 0.000144233 -90 *2892:65 *3892:57 0.000745147 -91 *3060:9 *3892:75 0.000107191 -92 *3064:13 *3892:37 0.000352672 -93 *3165:254 *3892:57 0.000132214 -94 *3165:257 *3892:57 5.52238e-05 -95 *3170:59 *3892:75 0.00015217 -96 *3176:110 *3892:75 0.000157549 -97 *3192:67 *3892:57 0.00057471 -98 *3192:67 *3892:75 6.92539e-05 -99 *3197:164 *3892:47 9.87983e-06 -100 *3216:99 *3892:47 1.39702e-05 -101 *3404:20 *27861:B2 5.07619e-05 -102 *3424:26 *3892:75 8.28025e-05 -103 *3666:77 *3892:37 0.000227713 -104 *3666:77 *3892:47 0.00226213 -105 *3666:98 *3892:37 0.000276053 -106 *3736:34 *3892:75 8.3285e-05 -107 *3775:55 *3892:75 0.000155532 -108 *3792:33 *3892:33 0.000443238 -109 *3792:51 *3892:18 6.09762e-05 -110 *3882:12 *3892:18 0.000125915 -111 *3883:31 *3892:47 0.000210142 -*RES -1 *28150:Q *3892:5 13.8 -2 *3892:5 *3892:18 47.0743 -3 *3892:18 *29400:A 13.8 -4 *3892:5 *3892:33 23.8125 -5 *3892:33 *3892:37 32.6429 -6 *3892:37 *3892:47 41.9512 -7 *3892:47 *3892:57 22.5446 -8 *3892:57 *3892:75 35.1648 -9 *3892:75 *25408:B2 24.7687 -10 *3892:57 *27861:B2 17.2732 -11 *3892:47 *27492:B2 9.42946 -*END - -*D_NET *3893 0.0329319 -*CONN -*I *27886:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25310:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27507:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *29198:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28151:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *27886:B2 6.64335e-05 -2 *25310:B2 0.000760097 -3 *27507:B2 0.00029476 -4 *29198:A 0 -5 *28151:Q 8.6066e-05 -6 *3893:97 0.00366736 -7 *3893:63 0.00316411 -8 *3893:52 0.00189235 -9 *3893:41 0.00150911 -10 *3893:8 0.00300236 -11 *27507:B2 *5493:18 0.000386703 -12 *3893:8 *25661:A1 0.000104377 -13 *3893:8 *5705:122 2.03044e-05 -14 *3893:41 *25617:A0 0.000168347 -15 *3893:41 *25761:A0 0.000459376 -16 *3893:41 *4240:37 1.90936e-05 -17 *3893:41 *4900:26 2.33863e-05 -18 *3893:41 *4965:16 9.87807e-05 -19 *3893:41 *5011:12 0.000890649 -20 *3893:41 *5170:19 0.000362642 -21 *3893:41 *5698:106 0.000342068 -22 *3893:41 *6014:20 9.87983e-06 -23 *3893:52 *25658:B 5.21676e-05 -24 *3893:52 *28017:A1 0.000503715 -25 *3893:52 *28369:RESET_B 0.00022901 -26 *3893:52 *3908:35 0 -27 *3893:52 *3919:12 0.000384458 -28 *3893:52 *3919:23 8.91726e-05 -29 *3893:52 *3960:43 1.69115e-05 -30 *3893:52 *4130:34 0 -31 *3893:52 *4131:35 0.000196269 -32 *3893:52 *4139:28 0 -33 *3893:52 *5011:12 0.000310601 -34 *3893:52 *5125:19 0 -35 *3893:52 *5493:14 9.73205e-05 -36 *3893:52 *5665:76 5.99425e-05 -37 *3893:52 *5710:166 7.69776e-06 -38 *3893:52 *5710:183 2.87085e-05 -39 *3893:97 *30007:A 0 -40 *3893:97 *3908:66 6.05161e-06 -41 *3893:97 *3910:28 0 -42 *3893:97 *3919:46 0.000100535 -43 *3893:97 *4130:58 7.02772e-05 -44 *3893:97 *4140:47 0.000180791 -45 *27507:A2 *3893:97 0.000102812 -46 *27507:B1 *27507:B2 0.000199764 -47 *27507:B1 *3893:52 6.25422e-05 -48 *27508:C1 *3893:52 0.000312743 -49 *27511:B1 *3893:97 0.000139907 -50 *27515:B *3893:97 4.11218e-05 -51 *27526:B2 *3893:97 0.000645646 -52 *27575:A2 *3893:97 0.000301196 -53 *27877:A2 *25310:B2 0.000262504 -54 *27877:B1 *3893:97 8.43535e-06 -55 *27878:C1 *25310:B2 0.000426985 -56 *28017:S *3893:52 0.000371955 -57 *28018:S *3893:52 7.01607e-05 -58 *28835:CLK *3893:52 0.000166269 -59 *28869:A *25310:B2 0.000758773 -60 *29193:A *3893:41 0.00173122 -61 *29193:A *3893:52 0.000333849 -62 *29194:A *3893:41 0.000181803 -63 *29231:A *3893:41 0.00107439 -64 *30015:A *3893:41 0.000153397 -65 *460:33 *3893:52 0.000133184 -66 *570:26 *3893:41 0.000625769 -67 *571:19 *3893:41 3.20942e-05 -68 *699:22 *3893:41 2.50608e-05 -69 *1407:69 *25310:B2 0.0015614 -70 *1407:89 *25310:B2 6.42095e-05 -71 *1452:27 *25310:B2 9.41642e-05 -72 *2852:231 *3893:97 1.54142e-05 -73 *2860:71 *3893:97 0.000266653 -74 *3158:43 *3893:97 7.49387e-06 -75 *3158:47 *3893:97 2.13481e-06 -76 *3212:51 *3893:97 0 -77 *3536:10 *3893:52 1.68854e-05 -78 *3568:8 *25310:B2 1.54142e-05 -79 *3568:93 *25310:B2 0.000855646 -80 *3651:80 *3893:52 0.000132394 -81 *3651:87 *3893:52 0.000176639 -82 *3788:15 *3893:97 4.28365e-05 -83 *3789:32 *3893:97 9.60875e-05 -84 *3791:84 *3893:97 6.8194e-05 -85 *3801:21 *3893:41 0.00164996 -86 *3845:47 *3893:97 1.39841e-05 -87 *3892:18 *3893:41 2.89016e-05 -*RES -1 *28151:Q *3893:8 18.7011 -2 *3893:8 *3893:41 49.8664 -3 *3893:41 *29198:A 9.3 -4 *3893:8 *3893:52 40.9168 -5 *3893:52 *27507:B2 25.4607 -6 *3893:52 *3893:63 2.58929 -7 *3893:63 *3893:97 45.5481 -8 *3893:97 *25310:B2 33.3893 -9 *3893:63 *27886:B2 10.2464 -*END - -*D_NET *3894 0.0250047 -*CONN -*I *29235:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27614:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27325:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25402:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28674:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *29235:A 0.0012548 -2 *27614:A1 0.00105974 -3 *27325:A1 0.00018588 -4 *25402:B2 0.000299675 -5 *28674:Q 0.000313525 -6 *3894:36 0.00175112 -7 *3894:34 0.00185095 -8 *3894:30 0.00200276 -9 *3894:11 0.00112463 -10 *3894:10 0.00173598 -11 *25402:B2 *25402:A2 1.46576e-05 -12 *25402:B2 *3972:13 0.000107071 -13 *27614:A1 *27160:A0 5.33005e-05 -14 *27614:A1 *3985:19 0.000119303 -15 *27614:A1 *3985:27 8.10238e-05 -16 *27614:A1 *3985:33 8.24053e-05 -17 *27614:A1 *3992:28 0.000813877 -18 *29235:A *28627:D 0.000353199 -19 *29235:A *5005:14 0.000135028 -20 *29235:A *6317:70 3.82242e-05 -21 *3894:10 *5005:14 7.31331e-05 -22 *3894:10 *5526:16 0 -23 *3894:30 *3987:21 6.24302e-05 -24 *3894:30 *3997:6 0.000170264 -25 *3894:30 *3997:19 0.000101391 -26 *3894:30 *5526:16 0.00135835 -27 *3894:30 *5713:146 0.000704516 -28 *3894:34 *5713:146 0 -29 *3894:34 *5873:142 0.0011323 -30 *3894:36 *3992:28 0.00105523 -31 *25358:A1 *27325:A1 3.82357e-05 -32 *27325:B1 *27325:A1 2.59355e-05 -33 *27614:B1 *27614:A1 3.45371e-05 -34 *1244:28 *3894:10 0.000334965 -35 *1244:122 *3894:10 0.000101091 -36 *1278:23 *25402:B2 5.58186e-05 -37 *1446:10 *3894:34 0.00117819 -38 *1446:12 *27614:A1 0.000803662 -39 *1446:12 *3894:34 0.000120574 -40 *1446:12 *3894:36 0.00109524 -41 *1539:14 *3894:30 0 -42 *1539:14 *3894:34 0.00047957 -43 *1539:21 *3894:34 0.0018541 -44 *1539:21 *3894:36 1.36825e-05 -45 *1624:35 *29235:A 1.94146e-05 -46 *1718:12 *25402:B2 1.02936e-05 -47 *1826:61 *3894:10 1.96517e-05 -48 *3216:46 *3894:34 0.000145136 -49 *3820:8 *29235:A 5.71472e-05 -50 *3820:25 *25402:B2 0.000389285 -51 *3820:25 *29235:A 0.000121642 -52 *3820:25 *3894:11 5.88068e-05 -53 *3855:30 *27325:A1 1.28809e-05 -*RES -1 *28674:Q *3894:10 24.5232 -2 *3894:10 *3894:11 2.17857 -3 *3894:11 *25402:B2 15.8179 -4 *3894:11 *3894:30 24.6518 -5 *3894:30 *3894:34 38.9107 -6 *3894:34 *3894:36 14.8482 -7 *3894:36 *27325:A1 16.3893 -8 *3894:36 *27614:A1 33.2107 -9 *3894:10 *29235:A 26.8357 -*END - -*D_NET *3895 0.0350269 -*CONN -*I *25269:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27531:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *29169:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27913:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28162:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *25269:B2 0.00128946 -2 *27531:B2 0.00027634 -3 *29169:A 0 -4 *27913:A1 0.00100432 -5 *28162:Q 9.40109e-05 -6 *3895:88 0.00388989 -7 *3895:58 0.00335637 -8 *3895:29 0.00212277 -9 *3895:7 0.00115739 -10 *3895:5 0.000612546 -11 *25269:B2 *25295:A1 0.000908113 -12 *25269:B2 *25396:A1 3.28686e-05 -13 *25269:B2 *3906:47 0.000252458 -14 *27531:B2 *5711:10 0.000116427 -15 *27913:A1 *25491:B 1.60861e-05 -16 *27913:A1 *27885:B2 0 -17 *27913:A1 *28259:D 0.00016646 -18 *27913:A1 *4126:39 3.23569e-05 -19 *27913:A1 *5583:11 0.000251544 -20 *27913:A1 *5699:106 0.000107586 -21 *27913:A1 *5727:217 6.8646e-06 -22 *27913:A1 *5739:252 0.000366508 -23 *3895:5 *25673:A1 0.000178847 -24 *3895:5 *25943:A0 0.000221641 -25 *3895:29 *25491:A 7.83659e-05 -26 *3895:29 *25943:A0 0.000136951 -27 *3895:29 *27884:A1 0.000771646 -28 *3895:29 *27884:A2 0.000327064 -29 *3895:29 *4140:61 0.000158834 -30 *3895:29 *4140:66 0.000389 -31 *3895:29 *4153:8 0.000315165 -32 *3895:29 *5710:8 0.000329801 -33 *3895:29 *5710:13 0.000354835 -34 *3895:29 *5739:252 3.06878e-06 -35 *3895:29 *5936:79 8.55871e-05 -36 *3895:58 *25673:A1 0.000291535 -37 *3895:58 *27848:B2 0.000437934 -38 *3895:58 *4126:48 0.000148911 -39 *3895:58 *5711:10 6.57616e-05 -40 *3895:58 *5936:79 0.000112261 -41 *3895:88 *27854:B2 0.000903047 -42 *3895:88 *3906:29 0.000849678 -43 *3895:88 *3906:47 7.69278e-05 -44 *3895:88 *4130:58 4.49626e-05 -45 *25269:B1 *25269:B2 2.84026e-05 -46 *25295:A2 *25269:B2 0.000160345 -47 *25396:B1 *25269:B2 0.000559259 -48 *25396:C1 *25269:B2 8.93791e-05 -49 *25835:S *3895:29 0.000380704 -50 *27489:B2 *27531:B2 9.65075e-05 -51 *27517:B2 *3895:29 5.33005e-05 -52 *27856:B *3895:88 5.49489e-05 -53 *27856:D *3895:88 3.32631e-05 -54 *27866:C *3895:88 0.000128321 -55 *27867:B1 *25269:B2 1.98839e-05 -56 *27867:B1 *3895:88 5.33005e-05 -57 *27873:B1 *3895:88 5.33005e-05 -58 *27885:C1 *27913:A1 9.44476e-05 -59 *30537:A *27913:A1 0.000120708 -60 *1427:41 *27531:B2 0.000223885 -61 *1427:41 *3895:88 0 -62 *1456:82 *27913:A1 0.000344944 -63 *1476:103 *27913:A1 0.000489418 -64 *1833:36 *3895:29 8.6229e-06 -65 *1877:10 *3895:29 0.000369557 -66 *2865:98 *3895:88 9.41642e-05 -67 *2871:62 *3895:88 6.54117e-05 -68 *2886:41 *25269:B2 1.10868e-05 -69 *2886:41 *3895:88 0.00188762 -70 *3165:254 *25269:B2 1.54682e-05 -71 *3165:254 *3895:88 0.0041541 -72 *3165:257 *3895:88 0.000527951 -73 *3165:268 *27531:B2 3.17148e-05 -74 *3165:268 *3895:58 0.000220448 -75 *3165:297 *3895:58 0.00049437 -76 *3209:59 *3895:88 0.000213996 -77 *3216:99 *3895:88 9.77021e-06 -78 *3414:33 *3895:29 0.000223319 -79 *3713:63 *3895:88 8.94556e-05 -80 *3780:34 *3895:88 8.6886e-05 -81 *3805:59 *3895:58 0.000116039 -82 *3815:59 *3895:29 3.17148e-05 -83 *3827:24 *3895:88 8.51784e-05 -84 *3830:36 *27913:A1 4.41036e-05 -85 *3831:28 *3895:29 0.000107996 -86 *3856:26 *27531:B2 0.000470382 -87 *3892:47 *3895:88 0.000277425 -88 *3892:57 *3895:88 6.35819e-05 -*RES -1 *28162:Q *3895:5 11.8893 -2 *3895:5 *3895:7 0.732143 -3 *3895:7 *3895:29 49.8571 -4 *3895:29 *27913:A1 39.3893 -5 *3895:7 *29169:A 9.3 -6 *3895:5 *3895:58 18.7857 -7 *3895:58 *27531:B2 21.3 -8 *3895:58 *3895:88 49.698 -9 *3895:88 *25269:B2 34.9071 -*END - -*D_NET *3896 0.0396472 -*CONN -*I *30326:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25179:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27924:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27557:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28163:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *30326:A 4.64125e-05 -2 *25179:B2 0.000906818 -3 *27924:A1 0.00129407 -4 *27557:B2 0.000279832 -5 *28163:Q 0.000214219 -6 *3896:86 0.00274271 -7 *3896:56 0.00297989 -8 *3896:26 0.00255046 -9 *3896:17 0.00318244 -10 *3896:8 0.00102237 -11 *25179:B2 *5685:35 0.000879829 -12 *25179:B2 *5687:58 0.000132201 -13 *25179:B2 *5694:43 0.000483872 -14 *27557:B2 *28717:D 0.000175892 -15 *27557:B2 *30359:A 5.96516e-05 -16 *27557:B2 *3974:5 0.000105471 -17 *27557:B2 *4103:18 0.000205313 -18 *27924:A1 *27924:B2 4.94575e-06 -19 *27924:A1 *4117:32 3.49204e-05 -20 *27924:A1 *5699:17 0.00026288 -21 *30326:A *25674:A1 2.59355e-05 -22 *30326:A *28164:RESET_B 5.33005e-05 -23 *3896:8 *25461:A2 0.000135028 -24 *3896:8 *4126:39 0.00038751 -25 *3896:8 *5007:31 0.000381331 -26 *3896:17 *29106:A 7.44413e-05 -27 *3896:17 *30431:A 0.000137561 -28 *3896:17 *4126:39 0.000158091 -29 *3896:17 *4877:10 4.85033e-05 -30 *3896:17 *5007:31 9.54301e-05 -31 *3896:26 *3897:32 4.926e-05 -32 *3896:26 *4064:37 0.000143836 -33 *3896:26 *5728:172 0.000259079 -34 *3896:56 *4064:37 0.000223543 -35 *3896:56 *4142:26 3.024e-05 -36 *3896:56 *5007:34 0.00149021 -37 *3896:56 *5253:44 0.000335743 -38 *3896:56 *5728:205 0.00126464 -39 *3896:86 *27513:B2 0.000426296 -40 *3896:86 *3897:32 0.000524919 -41 *3896:86 *4877:10 2.42516e-05 -42 *3896:86 *5687:58 9.41642e-05 -43 *6632:DIODE *3896:86 9.58689e-05 -44 *25179:B1 *25179:B2 0.000257619 -45 *25244:A1 *3896:86 0.000437192 -46 *25244:A2 *3896:86 0.000321845 -47 *25244:B1 *3896:86 0.000128961 -48 *25461:A3 *3896:17 0.000221894 -49 *25461:A3 *3896:86 0.000422728 -50 *25674:S *30326:A 0 -51 *27158:S *27924:A1 1.50738e-05 -52 *27871:A2 *3896:26 8.38972e-05 -53 *27924:A2 *27924:A1 3.43837e-05 -54 *27939:A *27924:A1 0.000136958 -55 *27959:A2 *3896:56 7.08424e-05 -56 *28298:CLK *27924:A1 0.000265453 -57 *29331:A *27924:A1 0.000112777 -58 *30289:A *3896:56 8.46266e-05 -59 *437:23 *3896:8 0.000135028 -60 *1358:25 *3896:56 0.000239897 -61 *1360:20 *25179:B2 0.000329065 -62 *1430:65 *25179:B2 0.00032674 -63 *1440:40 *25179:B2 0.00141454 -64 *1440:40 *3896:86 0.00269296 -65 *1440:56 *3896:26 4.65519e-05 -66 *1470:90 *25179:B2 0.000718098 -67 *1563:17 *3896:86 0.000177545 -68 *1603:36 *27557:B2 4.31187e-05 -69 *1832:41 *25179:B2 0.000257619 -70 *2789:27 *3896:26 8.23185e-05 -71 *2834:109 *3896:26 0.000109105 -72 *2834:142 *27557:B2 0.000198205 -73 *2874:101 *3896:56 0.000273035 -74 *2891:81 *3896:86 0.000270227 -75 *2894:106 *3896:56 7.48679e-06 -76 *2894:107 *27924:A1 0.00138551 -77 *2895:122 *3896:86 1.4487e-05 -78 *3069:7 *3896:86 2.60673e-05 -79 *3156:133 *3896:56 9.78828e-05 -80 *3196:125 *3896:17 0.000200172 -81 *3479:8 *27924:A1 0.00152749 -82 *3637:17 *3896:56 1.94945e-05 -83 *3684:21 *27924:A1 0.000154703 -84 *3684:21 *3896:26 0.0008389 -85 *3684:21 *3896:56 0.00065536 -86 *3685:20 *3896:56 8.45694e-05 -87 *3697:57 *27557:B2 9.22165e-05 -88 *3749:46 *3896:26 0 -89 *3776:90 *3896:86 0.000611142 -*RES -1 *28163:Q *3896:8 20.4429 -2 *3896:8 *3896:17 15.9643 -3 *3896:17 *3896:26 14.4486 -4 *3896:26 *27557:B2 26.4805 -5 *3896:26 *3896:56 41.1382 -6 *3896:56 *27924:A1 45.4875 -7 *3896:17 *3896:86 47.3929 -8 *3896:86 *25179:B2 46.3357 -9 *3896:8 *30326:A 14.7643 -*END - -*D_NET *3897 0.0271133 -*CONN -*I *30219:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27959:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27585:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25151:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28164:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *30219:A 0.00033981 -2 *27959:A1 7.94408e-05 -3 *27585:A1 0.000903819 -4 *25151:A1 0.000444945 -5 *28164:Q 4.70928e-05 -6 *3897:50 0.00098326 -7 *3897:48 0.00134445 -8 *3897:36 0.00188713 -9 *3897:32 0.00196823 -10 *3897:7 0.00225739 -11 *25151:A1 *25870:B 0.000136882 -12 *25151:A1 *4185:42 0.00034127 -13 *27585:A1 *27959:B2 2.59355e-05 -14 *27585:A1 *3936:51 6.09762e-05 -15 *27585:A1 *3958:16 0.00119503 -16 *27585:A1 *4066:33 4.87854e-05 -17 *27585:A1 *4101:24 0.000874113 -18 *27585:A1 *4101:28 4.46618e-05 -19 *27585:A1 *4350:48 4.21517e-05 -20 *27959:A1 *4066:33 0 -21 *30219:A *25675:A1 9.41642e-05 -22 *30219:A *4113:36 0.00039042 -23 *30219:A *4185:30 0.000243407 -24 *30219:A *5742:111 9.60875e-05 -25 *3897:32 *27513:B2 9.41642e-05 -26 *3897:32 *4100:22 5.19522e-06 -27 *3897:32 *4113:36 0.000186503 -28 *3897:32 *4116:51 0.000300182 -29 *3897:32 *4152:51 0.000191337 -30 *3897:32 *5727:281 1.72319e-05 -31 *3897:36 *4100:22 0.000251286 -32 *3897:48 *3961:45 5.58941e-05 -33 *3897:48 *4131:36 0.00296975 -34 *3897:48 *4185:42 0.000593389 -35 *25151:B1 *25151:A1 8.25843e-06 -36 *25461:A1 *30219:A 0.000243378 -37 *27585:A2 *27585:A1 5.03772e-05 -38 *27585:B1 *27585:A1 5.33005e-05 -39 *27591:C *27585:A1 0.000128154 -40 *27871:B1 *3897:32 0.000809844 -41 *27915:A *3897:32 6.85222e-05 -42 *27925:B2 *3897:48 4.22135e-06 -43 *27959:A2 *27959:A1 2.18485e-05 -44 *1423:71 *25151:A1 8.55871e-05 -45 *1423:86 *25151:A1 0.000125355 -46 *1423:86 *3897:48 5.96516e-05 -47 *1440:56 *3897:32 0.000572334 -48 *1456:82 *30219:A 0.000379054 -49 *1456:82 *3897:32 0.000242707 -50 *1470:90 *25151:A1 7.73799e-05 -51 *1763:22 *3897:32 0.0004159 -52 *1828:42 *3897:32 6.30931e-05 -53 *1844:44 *3897:32 2.7651e-05 -54 *1872:31 *3897:32 2.45204e-05 -55 *1877:53 *30219:A 1.90936e-05 -56 *1887:7 *25151:A1 0.000426248 -57 *2845:127 *3897:48 0.000780681 -58 *2866:133 *27585:A1 0.000118364 -59 *2866:149 *27585:A1 8.51213e-05 -60 *2866:149 *3897:48 0.00139251 -61 *2877:105 *3897:48 0.00022007 -62 *2895:134 *3897:32 9.58689e-05 -63 *3089:13 *3897:32 0.000193004 -64 *3101:17 *3897:48 0.000227658 -65 *3184:130 *27959:A1 5.33005e-05 -66 *3184:137 *27585:A1 8.43535e-06 -67 *3184:137 *27959:A1 5.33005e-05 -68 *3184:202 *3897:7 2.59355e-05 -69 *3450:29 *3897:48 0.000162368 -70 *3452:32 *25151:A1 3.45371e-05 -71 *3741:38 *27585:A1 0.000114992 -72 *3741:38 *3897:48 0.000133379 -73 *3741:68 *27585:A1 0.000172584 -74 *3793:40 *3897:32 2.02794e-05 -75 *3793:40 *3897:36 3.10532e-05 -76 *3802:58 *3897:36 0.000170955 -77 *3806:62 *3897:48 3.38861e-06 -78 *3830:36 *30219:A 2.04825e-05 -79 *3896:26 *3897:32 4.926e-05 -80 *3896:86 *3897:32 0.000524919 -*RES -1 *28164:Q *3897:7 14.3357 -2 *3897:7 *3897:32 49.2522 -3 *3897:32 *3897:36 7.8125 -4 *3897:36 *25151:A1 19.6036 -5 *3897:36 *3897:48 49.3571 -6 *3897:48 *3897:50 4.5 -7 *3897:50 *27585:A1 43.3536 -8 *3897:50 *27959:A1 10.9786 -9 *3897:7 *30219:A 27.688 -*END - -*D_NET *3898 0.0197885 -*CONN -*I *30363:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27690:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27335:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25308:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28675:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *30363:A 0 -2 *27690:A1 0 -3 *27335:A1 0 -4 *25308:B2 0.000299486 -5 *28675:Q 0.000121871 -6 *3898:61 0.00196163 -7 *3898:41 0.0032268 -8 *3898:35 0.00126901 -9 *3898:32 0.00108473 -10 *3898:11 0.000903267 -11 *25308:B2 *4044:14 0.000143694 -12 *25308:B2 *4115:30 0.00014204 -13 *25308:B2 *5605:151 2.59355e-05 -14 *25308:B2 *5905:124 0.000257619 -15 *3898:32 *3907:32 0.000183685 -16 *3898:32 *5655:217 5.4695e-05 -17 *3898:32 *5655:232 5.33005e-05 -18 *3898:32 *5748:10 0.000653614 -19 *3898:35 *4119:13 8.85532e-05 -20 *3898:35 *4119:24 2.59355e-05 -21 *3898:35 *5584:250 1.58163e-05 -22 *3898:35 *5642:151 5.74499e-06 -23 *3898:41 *3924:62 0.000613488 -24 *3898:41 *4119:24 9.7187e-05 -25 *3898:41 *5584:250 0.000108353 -26 *3898:41 *5609:219 8.19176e-05 -27 *3898:41 *5655:203 2.23987e-05 -28 *3898:41 *5655:217 0.000475941 -29 *3898:41 *5764:274 6.64121e-05 -30 *3898:41 *5905:124 9.55596e-05 -31 *3898:61 *3976:73 2.55889e-05 -32 *3898:61 *4132:38 0.000135968 -33 *3898:61 *5638:119 0.00138912 -34 *3898:61 *5748:10 0.00138984 -35 *25206:A *3898:11 3.28686e-05 -36 *25206:C *3898:11 3.4517e-05 -37 *26978:S *3898:11 0.000159935 -38 *27335:B1 *3898:61 9.06855e-05 -39 *27336:A1 *3898:61 4.00679e-05 -40 *28629:CLK *3898:32 5.31158e-05 -41 *28675:CLK *3898:32 5.52302e-05 -42 *1246:146 *3898:11 8.17274e-05 -43 *1246:146 *3898:32 0.000384667 -44 *1393:23 *3898:11 9.41642e-05 -45 *1393:23 *3898:32 0.000681226 -46 *1523:7 *3898:32 1.65169e-05 -47 *2759:111 *3898:11 0.000161649 -48 *2787:167 *3898:41 0.000177821 -49 *2852:142 *3898:32 0.00012401 -50 *2860:287 *25308:B2 2.59355e-05 -51 *2880:58 *3898:61 0.000300673 -52 *2895:316 *3898:41 1.34436e-05 -53 *3164:346 *3898:41 9.54798e-06 -54 *3226:14 *3898:32 0.000181963 -55 *3233:13 *3898:61 0.000385095 -56 *3306:6 *3898:32 0.000265601 -57 *3809:32 *3898:32 0.000135028 -58 *3833:16 *3898:41 0.00103677 -59 *3846:34 *3898:41 0.000227083 -*RES -1 *28675:Q *3898:11 21.8268 -2 *3898:11 *3898:32 48.125 -3 *3898:32 *3898:35 3.73214 -4 *3898:35 *3898:41 33.375 -5 *3898:41 *25308:B2 23.3714 -6 *3898:41 *3898:61 48.3214 -7 *3898:61 *27335:A1 9.3 -8 *3898:35 *27690:A1 9.3 -9 *3898:11 *30363:A 9.3 -*END - -*D_NET *3899 0.0566797 -*CONN -*I *29233:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27704:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27368:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25278:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28676:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29233:A 0.000158528 -2 *27704:B2 4.84375e-05 -3 *27368:A1 0 -4 *25278:B2 0.000712073 -5 *28676:Q 0 -6 *3899:54 0.00192739 -7 *3899:40 0.00541339 -8 *3899:38 0.00585237 -9 *3899:26 0.00249815 -10 *3899:24 0.00125209 -11 *3899:14 0.00212502 -12 *3899:4 0.00182687 -13 *25278:B2 *3939:21 0.000379878 -14 *25278:B2 *3964:48 4.01136e-06 -15 *25278:B2 *4185:60 9.07766e-06 -16 *27704:B2 *27704:A1 9.40307e-05 -17 *29233:A *5651:400 3.80414e-05 -18 *3899:14 *27003:A0 5.33005e-05 -19 *3899:14 *28676:D 0.000136951 -20 *3899:14 *4970:17 9.60939e-05 -21 *3899:14 *5651:400 0.000271015 -22 *3899:24 *25255:A1 2.83284e-06 -23 *3899:24 *5702:29 0 -24 *3899:24 *5759:18 0.000103244 -25 *3899:26 *5655:232 0.000631032 -26 *3899:38 *5605:170 1.90936e-05 -27 *3899:38 *5663:126 0.000144827 -28 *3899:40 *27197:A0 8.44353e-05 -29 *3899:40 *27197:A1 8.42484e-06 -30 *3899:40 *28540:D 0.000102545 -31 *3899:40 *3912:58 0 -32 *3899:40 *3912:82 6.38447e-05 -33 *3899:40 *4041:12 0.000304418 -34 *3899:40 *4069:89 0.000690094 -35 *3899:40 *5667:40 0 -36 *3899:40 *5759:18 0.000353612 -37 *3899:54 *3938:50 1.79205e-05 -38 *3899:54 *4146:56 0.00142286 -39 *3899:54 *5597:20 0.000216755 -40 *3899:54 *5630:22 0.000152146 -41 *3899:54 *5630:26 0.00111481 -42 *3899:54 *5641:23 0.000133412 -43 *6600:DIODE *25278:B2 0.000189914 -44 *6654:DIODE *3899:40 7.29712e-05 -45 *25182:B1 *3899:40 5.31113e-05 -46 *25229:A2 *25278:B2 0.000290509 -47 *25249:B1 *3899:40 0.00011984 -48 *25278:A2 *25278:B2 0 -49 *25278:C1 *25278:B2 0.000234624 -50 *27335:B1 *3899:38 0.000323612 -51 *27335:B1 *3899:40 0.000104259 -52 *27359:C1 *3899:38 0.000788875 -53 *27363:B1 *3899:40 7.58841e-05 -54 *27363:C1 *3899:40 3.53382e-05 -55 *27369:B1 *3899:38 9.97612e-05 -56 *27383:B1 *3899:40 2.22043e-05 -57 *27694:B1 *3899:40 0 -58 *27710:B2 *3899:54 0.000616261 -59 *27711:B2 *3899:54 0 -60 *28539:CLK *3899:40 0.000263186 -61 *28676:CLK *3899:14 7.77652e-05 -62 *30383:A *3899:38 0.000297644 -63 *30497:A *3899:40 0.000169246 -64 *1178:48 *25278:B2 0.000382744 -65 *1242:104 *3899:40 0.000107968 -66 *1244:198 *25278:B2 0.000426985 -67 *1261:25 *3899:40 4.11218e-05 -68 *1261:163 *3899:40 0.000963634 -69 *1269:117 *3899:38 0.00024379 -70 *1275:94 *3899:40 1.90936e-05 -71 *1285:26 *3899:40 0.000273048 -72 *1286:26 *3899:40 1.94945e-05 -73 *1288:127 *3899:24 9.91086e-05 -74 *1288:138 *3899:24 8.55871e-05 -75 *1439:16 *3899:54 1.14338e-05 -76 *1556:26 *3899:38 0 -77 *1680:28 *3899:26 4.34627e-05 -78 *2760:164 *3899:24 0.000457672 -79 *2763:185 *27704:B2 9.81603e-05 -80 *2763:196 *3899:14 0.000118438 -81 *2768:89 *3899:38 2.61486e-05 -82 *2768:89 *3899:40 0.00182186 -83 *2768:90 *3899:24 8.43312e-05 -84 *2768:90 *3899:26 0.00212211 -85 *2768:90 *3899:38 0.00122427 -86 *2779:170 *3899:54 0.000218679 -87 *2786:55 *3899:38 4.18834e-05 -88 *2848:191 *25278:B2 1.90936e-05 -89 *2850:179 *3899:54 0.000273729 -90 *2860:271 *3899:38 0.000108511 -91 *2879:156 *3899:26 0.000170245 -92 *2880:137 *25278:B2 9.88371e-05 -93 *2888:212 *3899:40 0.00121449 -94 *2888:252 *3899:26 0.000278064 -95 *2891:111 *3899:40 0.000276252 -96 *2891:165 *3899:40 0.000111082 -97 *2894:210 *3899:54 9.91574e-05 -98 *2895:316 *3899:38 2.21972e-05 -99 *2917:16 *3899:40 0.00135724 -100 *2917:24 *3899:40 0.000851765 -101 *3245:14 *3899:40 0.0030163 -102 *3582:8 *29233:A 2.89016e-05 -103 *3599:11 *3899:14 0.000129147 -104 *3623:12 *3899:40 0.00018011 -105 *3623:33 *3899:40 1.01912e-05 -106 *3666:122 *25278:B2 3.22265e-05 -107 *3691:20 *3899:24 2.90164e-05 -108 *3717:25 *3899:24 0.000525787 -109 *3717:30 *3899:24 0.000183108 -110 *3717:30 *3899:26 0.000504425 -111 *3717:30 *3899:38 0.000768046 -112 *3730:22 *3899:40 0.000137386 -113 *3730:28 *3899:40 0.00145352 -114 *3782:98 *3899:40 0.00226868 -115 *3796:79 *3899:40 4.16113e-05 -116 *3808:17 *3899:24 8.85532e-05 -117 *3820:91 *3899:40 0 -118 *3874:76 *3899:38 0.000655799 -119 *3874:79 *3899:14 0.000373557 -120 *3874:79 *3899:24 0.000143629 -*RES -1 *28676:Q *3899:4 9.3 -2 *3899:4 *3899:14 29.8929 -3 *3899:14 *3899:24 22.3304 -4 *3899:24 *3899:26 28.2054 -5 *3899:26 *3899:38 47.1069 -6 *3899:38 *3899:40 128.839 -7 *3899:40 *3899:54 46.117 -8 *3899:54 *25278:B2 34.8402 -9 *3899:26 *27368:A1 13.8 -10 *3899:14 *27704:B2 15.175 -11 *3899:4 *29233:A 11.9071 -*END - -*D_NET *3900 0.0817935 -*CONN -*I *24832:A I *D sky130_fd_sc_hd__inv_2 -*I *30083:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27729:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27392:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25185:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28677:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *24832:A 0.00124994 -2 *30083:A 0 -3 *27729:B2 2.85848e-05 -4 *27392:B2 4.94028e-06 -5 *25185:A1 0.000925847 -6 *28677:Q 0 -7 *3900:99 0.00414449 -8 *3900:66 0.00279562 -9 *3900:60 0.00392132 -10 *3900:48 0.00306255 -11 *3900:40 0.0036768 -12 *3900:38 0.00325237 -13 *3900:28 0.00233571 -14 *3900:26 0.00210025 -15 *3900:21 0.00204504 -16 *3900:6 0.00188871 -17 *3900:5 0.00311298 -18 *24832:A *26862:A1 0 -19 *24832:A *30009:A 1.90936e-05 -20 *24832:A *3916:14 9.39454e-05 -21 *24832:A *4034:8 8.28032e-05 -22 *24832:A *4254:17 3.2086e-05 -23 *24832:A *5181:22 0.000159342 -24 *24832:A *5680:30 7.48679e-06 -25 *24832:A *5680:40 0.000679002 -26 *24832:A *5702:212 3.08351e-05 -27 *24832:A *5775:160 0 -28 *24832:A *6217:20 0.000100831 -29 *24832:A *6222:14 0.000467348 -30 *24832:A *6271:17 0.000132974 -31 *24832:A *6279:29 9.65172e-05 -32 *25185:A1 *25185:A2 0.000216755 -33 *25185:A1 *4133:92 5.68354e-05 -34 *27392:B2 *5658:160 6.26774e-06 -35 *27729:B2 *5639:204 1.98839e-05 -36 *3900:21 *4030:27 0.00075074 -37 *3900:21 *5628:202 0.00069627 -38 *3900:21 *5639:204 5.50115e-05 -39 *3900:21 *5903:35 0.000130527 -40 *3900:26 *5903:35 0.000449499 -41 *3900:28 *5903:35 2.90049e-05 -42 *3900:40 *5633:152 6.39829e-05 -43 *3900:48 *25333:A1 5.33005e-05 -44 *3900:48 *5583:126 0.000150618 -45 *3900:48 *5714:47 8.25843e-06 -46 *3900:60 *27030:A0 1.90936e-05 -47 *3900:60 *28468:RESET_B 0.000478527 -48 *3900:60 *4015:31 7.57673e-05 -49 *3900:60 *5643:40 0.000168781 -50 *3900:60 *5707:100 2.30116e-06 -51 *3900:60 *5713:305 0.000178847 -52 *3900:99 *29946:A 0.000142732 -53 *3900:99 *3967:14 0.000784615 -54 *3900:99 *4017:33 0.000734487 -55 *3900:99 *4034:8 0.000129551 -56 *3900:99 *4082:13 0.000224379 -57 *3900:99 *4136:8 0.000240597 -58 *3900:99 *5641:204 9.75577e-05 -59 *3900:99 *5676:47 3.34493e-05 -60 *3900:99 *5709:312 7.58841e-05 -61 *3900:99 *5775:60 2.7236e-05 -62 *3900:99 *5775:160 5.79628e-05 -63 *3900:99 *5820:85 0.0013185 -64 *3900:99 *5863:25 0.000115185 -65 *3900:99 *5911:28 2.29026e-05 -66 *25118:C1 *3900:48 0.000222878 -67 *25138:B1 *25185:A1 0.000136682 -68 *25205:B1 *3900:28 2.62836e-05 -69 *26864:S *24832:A 0.0003206 -70 *27388:B1 *3900:38 0.000266641 -71 *27388:C1 *3900:38 1.11775e-05 -72 *27704:B1 *3900:21 0.000159053 -73 *27729:B1 *3900:21 9.7049e-06 -74 *27729:B1 *3900:26 1.97695e-05 -75 *28536:CLK *24832:A 0.000184607 -76 *29085:A *24832:A 1.94879e-05 -77 *29789:A *3900:38 0.000305685 -78 *1083:15 *24832:A 0 -79 *1178:69 *3900:60 0.00169526 -80 *1256:173 *3900:99 0 -81 *1262:172 *3900:48 8.83765e-05 -82 *1265:161 *3900:99 0.00059043 -83 *1272:101 *3900:28 0.000173733 -84 *1290:8 *3900:66 0.000149896 -85 *1361:147 *3900:26 2.06178e-05 -86 *1439:16 *3900:48 4.15183e-05 -87 *1654:20 *3900:66 0.00014759 -88 *1680:28 *3900:28 3.78386e-05 -89 *1697:44 *3900:21 0 -90 *1697:44 *3900:26 1.90936e-05 -91 *1835:84 *24832:A 6.25005e-05 -92 *1864:116 *3900:66 0.00224989 -93 *1864:134 *3900:60 0.000182996 -94 *2754:20 *3900:40 0.00592931 -95 *2754:20 *3900:48 0.00259874 -96 *2754:20 *3900:60 2.60389e-05 -97 *2754:26 *3900:40 0.000274622 -98 *2754:28 *3900:26 0.000952124 -99 *2754:28 *3900:28 0.00399314 -100 *2754:28 *3900:38 0.000119065 -101 *2754:28 *3900:40 0.00108885 -102 *2756:93 *24832:A 6.5891e-05 -103 *2759:31 *3900:21 8.40344e-05 -104 *2762:16 *3900:6 0.000166911 -105 *2762:16 *3900:21 3.51442e-05 -106 *2773:94 *3900:28 9.65217e-05 -107 *2779:170 *3900:48 0.000121573 -108 *2781:162 *3900:40 0.000196269 -109 *2782:106 *3900:60 6.13772e-05 -110 *2782:116 *3900:40 0.00635174 -111 *2782:116 *3900:60 1.90936e-05 -112 *2792:62 *3900:99 9.69041e-05 -113 *2793:14 *3900:99 0.00014101 -114 *2859:172 *3900:48 0.000451861 -115 *2859:199 *3900:48 0.00056275 -116 *2860:259 *3900:40 8.3e-05 -117 *2864:321 *3900:26 4.18827e-05 -118 *2864:321 *3900:28 0.00014499 -119 *2870:43 *3900:60 1.68854e-05 -120 *2886:191 *3900:48 9.41642e-05 -121 *2888:269 *27392:B2 1.28809e-05 -122 *2889:92 *3900:38 1.11775e-05 -123 *2894:303 *3900:40 0.000597701 -124 *2894:308 *3900:28 0.0024554 -125 *2894:308 *3900:38 0.000641652 -126 *3153:143 *3900:48 2.65075e-05 -127 *3582:8 *3900:21 0.000189275 -128 *3582:41 *3900:6 8.03397e-05 -129 *3582:41 *3900:21 0.000185205 -130 *3582:41 *3900:99 1.01912e-05 -131 *3609:30 *24832:A 0 -132 *3609:45 *24832:A 1.10997e-05 -133 *3835:36 *3900:6 0 -134 *3835:36 *3900:21 0 -135 *3835:36 *3900:99 0 -136 *3837:20 *3900:99 0.000618958 -137 *3848:38 *3900:38 6.98665e-05 -138 *3848:40 *3900:38 0.000191408 -139 *3848:40 *3900:40 0.000442953 -140 *3861:40 *3900:21 0.000134171 -141 *3861:40 *3900:28 0 -142 *3874:26 *3900:48 0.00040047 -143 *3874:41 *25185:A1 0.000695302 -*RES -1 *28677:Q *3900:5 13.8 -2 *3900:5 *3900:6 4.375 -3 *3900:6 *3900:21 36.3785 -4 *3900:21 *3900:26 17.1964 -5 *3900:26 *3900:28 53.0982 -6 *3900:28 *3900:38 14.8661 -7 *3900:38 *3900:40 99.0893 -8 *3900:40 *3900:48 48.5714 -9 *3900:48 *3900:60 46.1786 -10 *3900:60 *3900:66 35.0714 -11 *3900:66 *25185:A1 25.05 -12 *3900:26 *27392:B2 13.9295 -13 *3900:21 *27729:B2 9.83571 -14 *3900:6 *30083:A 13.8 -15 *3900:5 *3900:99 38.1559 -16 *3900:99 *24832:A 42.5589 -*END - -*D_NET *3901 0.0453053 -*CONN -*I *27407:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25111:A1 I *D sky130_fd_sc_hd__a221o_2 -*I *27748:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30260:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28678:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27407:B2 0 -2 *25111:A1 0.00369925 -3 *27748:A1 0.000695693 -4 *30260:A 0.000226673 -5 *28678:Q 3.07369e-05 -6 *3901:79 0.00523076 -7 *3901:63 0.00238964 -8 *3901:35 0.00299653 -9 *3901:27 0.00242662 -10 *3901:5 0.00124133 -11 *25111:A1 *24943:A 0.000612053 -12 *25111:A1 *25110:B2 0.000177821 -13 *25111:A1 *25111:A2 0.000115045 -14 *25111:A1 *5587:44 0.000783217 -15 *25111:A1 *5605:127 5.24731e-05 -16 *25111:A1 *5643:227 0.000175831 -17 *25111:A1 *5652:45 0.000131185 -18 *25111:A1 *5836:284 0.00144093 -19 *27748:A1 *27748:B1 0.000186118 -20 *27748:A1 *4049:38 2.6269e-05 -21 *27748:A1 *5630:292 1.08359e-05 -22 *27748:A1 *5649:243 0.000118986 -23 *30260:A *26992:A0 0.000135028 -24 *30260:A *26992:A1 0.000135028 -25 *3901:5 *28678:RESET_B 5.52302e-05 -26 *3901:27 *25314:B2 0.00043662 -27 *3901:27 *27407:A2 0.000138092 -28 *3901:27 *28126:RESET_B 0.000363715 -29 *3901:27 *28678:RESET_B 0.000378589 -30 *3901:27 *5640:235 3.79467e-05 -31 *3901:27 *5768:52 0.000259057 -32 *3901:27 *5879:44 1.89507e-06 -33 *3901:35 *25314:B2 6.26774e-06 -34 *3901:35 *3979:19 0.000137536 -35 *3901:35 *4031:28 2.25229e-05 -36 *3901:63 *4148:24 6.54654e-05 -37 *3901:63 *5836:300 0.00117047 -38 *3901:79 *25145:B2 0.000378616 -39 *3901:79 *25147:A1 0 -40 *3901:79 *3953:28 0.00057438 -41 *3901:79 *5688:115 8.92267e-05 -42 *3901:79 *5836:284 3.92073e-05 -43 *3901:79 *5836:298 5.54075e-05 -44 *25111:C1 *25111:A1 6.74077e-05 -45 *25148:B *3901:79 4.0764e-05 -46 *25163:A2 *25111:A1 0.000216472 -47 *25340:B1 *25111:A1 1.83035e-05 -48 *26906:S *27748:A1 0.000330453 -49 *26906:S *3901:35 0.00156511 -50 *27405:A2 *3901:63 9.41642e-05 -51 *27406:C1 *3901:63 0.000136951 -52 *27406:C1 *3901:79 0.000135789 -53 *27407:A1 *3901:27 1.58163e-05 -54 *27407:C1 *3901:35 1.88175e-05 -55 *27409:A *3901:63 0.000598338 -56 *27409:A *3901:79 9.34289e-05 -57 *27748:A2 *27748:A1 5.52238e-05 -58 *28842:A *25111:A1 0 -59 *1225:149 *30260:A 0.000517226 -60 *1248:131 *25111:A1 0.000276342 -61 *1256:160 *30260:A 0.000517226 -62 *1276:76 *25111:A1 0.000807843 -63 *1288:48 *25111:A1 0 -64 *1292:65 *3901:79 0.000151586 -65 *1292:81 *3901:79 8.84789e-05 -66 *1293:129 *3901:79 0.00190331 -67 *1430:90 *25111:A1 9.25014e-06 -68 *1433:23 *3901:63 0.000247229 -69 *1467:27 *3901:79 0.000103238 -70 *1473:14 *25111:A1 0.000228368 -71 *1483:68 *25111:A1 0.000826917 -72 *1519:13 *3901:63 8.75574e-05 -73 *1557:16 *3901:79 1.50181e-05 -74 *1834:49 *3901:63 0.000594363 -75 *2774:74 *3901:27 0.000126148 -76 *2844:304 *25111:A1 0.000165601 -77 *2844:318 *3901:79 0.00106234 -78 *2852:161 *3901:63 1.21258e-05 -79 *2886:295 *25111:A1 0.0011471 -80 *2892:292 *3901:79 2.28661e-05 -81 *2893:7 *3901:63 0.000265212 -82 *2963:12 *3901:79 0.000438269 -83 *3162:8 *3901:27 0 -84 *3183:169 *25111:A1 0.000123605 -85 *3314:26 *25111:A1 0.000111243 -86 *3552:22 *3901:79 2.09826e-05 -87 *3600:8 *3901:27 4.48113e-05 -88 *3600:17 *3901:27 6.66577e-05 -89 *3600:93 *3901:27 6.8646e-06 -90 *3680:32 *3901:63 0.000136356 -91 *3689:57 *25111:A1 0.000426985 -92 *3699:24 *25111:A1 0.000130285 -93 *3700:72 *25111:A1 0.00126998 -94 *3706:35 *25111:A1 0.000196688 -95 *3732:43 *3901:63 0.000261945 -96 *3753:84 *3901:79 2.40261e-05 -97 *3836:16 *3901:27 0.00140769 -98 *3849:30 *3901:63 6.30931e-05 -99 *3849:48 *3901:63 8.6229e-06 -100 *3849:48 *3901:79 0.000341237 -101 *3849:50 *25111:A1 0.000113602 -102 *3875:118 *25111:A1 0.000301693 -*RES -1 *28678:Q *3901:5 9.83571 -2 *3901:5 *30260:A 26.4607 -3 *3901:5 *3901:27 36.1205 -4 *3901:27 *3901:35 28.0402 -5 *3901:35 *27748:A1 28.0143 -6 *3901:35 *3901:63 49.7679 -7 *3901:63 *3901:79 47.6911 -8 *3901:79 *25111:A1 39.4825 -9 *3901:27 *27407:B2 9.3 -*END - -*D_NET *3902 0.022366 -*CONN -*I *29089:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27789:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25050:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27432:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28679:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *29089:A 0.00026664 -2 *27789:A1 0.000581349 -3 *25050:B2 0.0007096 -4 *27432:A1 9.41745e-05 -5 *28679:Q 0 -6 *3902:32 0.00195944 -7 *3902:24 0.00223469 -8 *3902:9 0.00117397 -9 *3902:5 0.000942941 -10 *25050:B2 *25050:B1 5.52302e-05 -11 *27789:A1 *27789:B2 5.49544e-05 -12 *27789:A1 *4071:19 0.000177596 -13 *27789:A1 *4123:14 2.15339e-05 -14 *27789:A1 *4123:20 0.000142869 -15 *27789:A1 *5910:69 6.06291e-05 -16 *29089:A *27788:B1 5.71758e-05 -17 *29089:A *27792:A 3.92624e-05 -18 *29089:A *4147:20 0.000389872 -19 *29089:A *5643:203 5.32956e-05 -20 *3902:9 *5643:203 0.000639769 -21 *3902:24 *5607:102 6.57032e-05 -22 *3902:24 *5676:87 0.000117673 -23 *3902:24 *5862:35 9.88324e-05 -24 *3902:24 *5911:165 0.000135165 -25 *3902:32 *27785:B1 1.94879e-05 -26 *3902:32 *5676:87 0.000728952 -27 *25071:C *3902:24 0.000237013 -28 *27432:A2 *27432:A1 1.46576e-05 -29 *27437:A *3902:32 0.000324546 -30 *27788:A1 *29089:A 3.19941e-05 -31 *27788:B2 *29089:A 1.28809e-05 -32 *27788:C1 *29089:A 0.000260574 -33 *27789:B1 *27789:A1 0.000183957 -34 *27791:C *27789:A1 9.58181e-05 -35 *1242:135 *25050:B2 0.000462135 -36 *1252:207 *25050:B2 2.59355e-05 -37 *1266:194 *25050:B2 0.000460413 -38 *1364:19 *3902:32 2.00842e-05 -39 *1365:16 *3902:32 0.00102335 -40 *1372:12 *3902:24 0.000794031 -41 *1372:12 *3902:32 0.00183485 -42 *2761:53 *27789:A1 1.21258e-05 -43 *2761:53 *3902:24 0.000136951 -44 *2773:68 *27432:A1 0.000257619 -45 *2773:68 *3902:9 0.000919461 -46 *2774:10 *3902:24 0.00022117 -47 *2792:85 *3902:24 0.000108514 -48 *2792:85 *3902:32 0.000640196 -49 *2792:95 *3902:32 0.00142892 -50 *2872:336 *3902:32 0.00019863 -51 *2872:355 *3902:32 3.98079e-05 -52 *3338:8 *27789:A1 1.4396e-05 -53 *3338:8 *29089:A 4.30452e-05 -54 *3338:8 *3902:9 0.00063983 -55 *3367:31 *27432:A1 0.000121149 -56 *3367:31 *3902:9 0.000185723 -57 *3705:62 *25050:B2 0.000712609 -58 *3876:38 *29089:A 1.19191e-05 -59 *3876:62 *29089:A 7.08706e-05 -*RES -1 *28679:Q *3902:5 13.8 -2 *3902:5 *3902:9 22.2857 -3 *3902:9 *27432:A1 11.8893 -4 *3902:9 *3902:24 26.9464 -5 *3902:24 *3902:32 49 -6 *3902:32 *25050:B2 31.8357 -7 *3902:24 *27789:A1 32.0321 -8 *3902:5 *29089:A 29.9071 -*END - -*D_NET *3903 0.0285972 -*CONN -*I *30469:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27796:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27441:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25006:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28680:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *30469:A 0.000150574 -2 *27796:A1 0.000341921 -3 *27441:B2 0.00055497 -4 *25006:B2 0.000722663 -5 *28680:Q 0 -6 *3903:42 0.00216099 -7 *3903:26 0.00335502 -8 *3903:25 0.00209091 -9 *3903:23 0.000224322 -10 *3903:4 0.000796411 -11 *25006:B2 *25006:A1 5.73359e-05 -12 *25006:B2 *27116:A1 0.000226507 -13 *25006:B2 *27215:B 0.000180777 -14 *25006:B2 *3904:21 0 -15 *25006:B2 *5352:22 0.000100831 -16 *25006:B2 *5538:28 0.000225193 -17 *25006:B2 *5538:35 0.00153405 -18 *27441:B2 *27441:A1 0.000156414 -19 *27796:A1 *27441:A1 1.21421e-05 -20 *27796:A1 *5642:35 9.41642e-05 -21 *27796:A1 *5660:35 1.98839e-05 -22 *27796:A1 *5663:22 7.29712e-05 -23 *3903:23 *28680:RESET_B 3.99614e-06 -24 *3903:26 *27097:A0 8.75582e-05 -25 *3903:26 *3904:21 0.000542599 -26 *3903:26 *5663:19 0.000154703 -27 *3903:26 *5675:140 0.000616094 -28 *3903:26 *5752:46 0 -29 *3903:26 *5752:56 0 -30 *3903:42 *5645:39 0.000961261 -31 *3903:42 *5645:47 0.000119929 -32 *3903:42 *5660:23 0.000126976 -33 *27097:S *25006:B2 4.46186e-06 -34 *27097:S *3903:26 7.30305e-05 -35 *27098:S *25006:B2 0.000144313 -36 *27116:S *25006:B2 0.000252358 -37 *27441:A2 *27441:B2 6.7881e-05 -38 *27796:A2 *27796:A1 0.000139907 -39 *27797:C1 *27796:A1 7.5779e-05 -40 *23:22 *25006:B2 0.000510565 -41 *1244:71 *3903:26 0.000576163 -42 *1346:8 *3903:26 0.00389722 -43 *2864:119 *27441:B2 0.000221359 -44 *3179:66 *3903:42 0.000108985 -45 *3682:50 *3903:42 0.0013421 -46 *3800:13 *3903:26 5.4771e-05 -47 *3826:52 *3903:42 0.00096901 -48 *3877:23 *3903:26 0.000655891 -49 *3877:26 *3903:26 0.00222965 -50 *3877:41 *3903:42 0.00158255 -*RES -1 *28680:Q *3903:4 9.3 -2 *3903:4 *25006:B2 40.7286 -3 *3903:4 *3903:23 1.26786 -4 *3903:23 *3903:25 4.5 -5 *3903:25 *3903:26 72.8304 -6 *3903:26 *3903:42 45.6479 -7 *3903:42 *27441:B2 18.7643 -8 *3903:42 *27796:A1 23.7286 -9 *3903:23 *30469:A 11.4786 -*END - -*D_NET *3904 0.0504531 -*CONN -*I *27823:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27480:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *24972:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30301:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28681:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27823:A1 0.000836414 -2 *27480:B2 1.91817e-05 -3 *24972:B2 4.09699e-06 -4 *30301:A 0 -5 *28681:Q 0.000411082 -6 *3904:50 0.00131924 -7 *3904:38 0.00485549 -8 *3904:36 0.00453387 -9 *3904:25 0.00122295 -10 *3904:21 0.00235583 -11 *3904:12 0.00169007 -12 *27823:A1 *27823:B1 0.000142968 -13 *27823:A1 *27823:B2 5.49544e-05 -14 *27823:A1 *4112:21 6.05161e-06 -15 *3904:12 *25633:A0 0.000184325 -16 *3904:12 *28681:D 7.89362e-05 -17 *3904:12 *28681:RESET_B 0.000185456 -18 *3904:12 *5352:22 2.49459e-05 -19 *3904:12 *5752:35 2.48098e-05 -20 *3904:12 *5753:32 0.000311525 -21 *3904:21 *5352:22 4.75521e-05 -22 *3904:21 *5675:140 0.000117974 -23 *3904:21 *5753:32 0.00346185 -24 *3904:25 *5642:13 0.00140334 -25 *3904:25 *5649:8 5.51502e-05 -26 *3904:36 *5649:8 6.7257e-05 -27 *3904:38 *27648:B 2.07809e-05 -28 *3904:38 *3942:60 0.000192906 -29 *3904:38 *4086:68 0 -30 *3904:38 *5649:8 0.000271743 -31 *3904:38 *5654:21 0.000280866 -32 *3904:38 *5654:23 0.000521578 -33 *3904:38 *5656:14 0.00101418 -34 *3904:50 *3917:27 0.000175892 -35 *24971:A2 *3904:25 0.000121573 -36 *24971:A2 *3904:36 0.000220455 -37 *24972:B1 *24972:B2 1.28809e-05 -38 *24972:C1 *3904:25 1.02504e-05 -39 *24972:C1 *3904:36 0.000140368 -40 *25006:B2 *3904:21 0 -41 *27097:S *3904:21 0 -42 *27098:S *3904:12 0 -43 *27458:B1 *3904:38 0.000117189 -44 *27466:B2 *27823:A1 0.000933617 -45 *27479:A2 *3904:50 0.000135028 -46 *27480:B1 *3904:50 0.000169738 -47 *27646:A *3904:38 1.59689e-05 -48 *27647:C *3904:36 0 -49 *27647:C *3904:38 0 -50 *27650:A *3904:38 0.00127266 -51 *27816:B *3904:38 7.95917e-06 -52 *27816:C *3904:38 0.000846518 -53 *1244:71 *3904:21 0.000785143 -54 *1275:105 *27823:A1 0.0010892 -55 *1302:29 *24972:B2 1.28809e-05 -56 *1385:34 *3904:50 0.000101545 -57 *1490:128 *27480:B2 8.43535e-06 -58 *2764:209 *3904:38 0.000125424 -59 *2844:201 *3904:38 0.000183617 -60 *2872:187 *27823:A1 0.00111716 -61 *2872:187 *3904:50 0.000241198 -62 *2883:129 *3904:38 0.000171368 -63 *2883:143 *3904:38 0.0019572 -64 *2883:158 *3904:38 0.00238391 -65 *2883:188 *3904:38 0.00247663 -66 *2888:183 *3904:50 1.90936e-05 -67 *2889:166 *3904:38 0.00115158 -68 *2895:259 *3904:38 0.00415765 -69 *3013:11 *3904:38 0.000383295 -70 *3165:33 *27823:A1 0.000138813 -71 *3190:15 *3904:38 0 -72 *3193:51 *3904:38 0.000746057 -73 *3193:59 *3904:38 0.00014833 -74 *3193:60 *3904:38 0.000288628 -75 *3345:6 *3904:38 0.00139886 -76 *3796:14 *3904:21 0.000192976 -77 *3800:13 *3904:21 0.000307523 -78 *3878:49 *27823:A1 0.000183623 -79 *3878:49 *3904:50 0.000242913 -80 *3903:26 *3904:21 0.000542599 -*RES -1 *28681:Q *3904:12 22.6571 -2 *3904:12 *30301:A 13.8 -3 *3904:12 *3904:21 49.25 -4 *3904:21 *3904:25 19.8214 -5 *3904:25 *24972:B2 13.9295 -6 *3904:25 *3904:36 4 -7 *3904:36 *3904:38 155.554 -8 *3904:38 *3904:50 27.2679 -9 *3904:50 *27480:B2 14.0857 -10 *3904:50 *27823:A1 39.425 -*END - -*D_NET *3905 0.0259199 -*CONN -*I *27501:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25391:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27848:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *29160:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28160:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *27501:B2 0.000464064 -2 *25391:B2 0.00309407 -3 *27848:A1 2.33057e-05 -4 *29160:A 0 -5 *28160:Q 0.000332342 -6 *3905:39 0.00498059 -7 *3905:23 0.00210824 -8 *3905:11 0.000994827 -9 *25391:B2 *25391:A1 1.11453e-05 -10 *25391:B2 *27854:B2 0.00032316 -11 *25391:B2 *3908:85 0.000270542 -12 *25391:B2 *4074:59 0.000367416 -13 *25391:B2 *4131:36 0.000164133 -14 *25391:B2 *5624:28 0.000262686 -15 *27501:B2 *4131:36 9.68652e-05 -16 *3905:11 *25671:A1 4.57445e-05 -17 *3905:11 *28161:D 0.00030824 -18 *3905:11 *5698:310 5.52238e-05 -19 *3905:11 *5733:145 0 -20 *3905:11 *5778:39 0.00016341 -21 *3905:23 *27848:B2 1.65169e-05 -22 *3905:23 *28161:D 0.000753871 -23 *3905:39 *5657:49 0 -24 *25279:A2 *25391:B2 0.000311676 -25 *25393:A2 *25391:B2 1.46576e-05 -26 *25393:B2 *25391:B2 1.28809e-05 -27 *25393:C1 *25391:B2 0.000262498 -28 *25672:S *3905:11 0.000139913 -29 *27486:C1 *3905:39 9.87983e-06 -30 *27491:B1 *25391:B2 9.29324e-05 -31 *27493:C *3905:39 0.000728445 -32 *27542:C1 *25391:B2 2.06112e-05 -33 *27542:C1 *27501:B2 0.000174362 -34 *27848:B1 *27848:A1 4.54415e-05 -35 *27848:B1 *3905:23 4.58194e-05 -36 *27865:A *3905:39 1.07719e-05 -37 *29159:A *3905:11 8.40933e-05 -38 *29159:A *3905:23 0.000245952 -39 *1362:118 *25391:B2 0.000136595 -40 *1421:53 *25391:B2 0.000136615 -41 *1427:59 *25391:B2 1.97731e-05 -42 *1899:31 *27501:B2 2.89114e-05 -43 *2855:39 *25391:B2 0.00187619 -44 *2886:41 *25391:B2 0.00030971 -45 *2886:50 *25391:B2 4.73953e-05 -46 *2886:55 *25391:B2 2.79405e-05 -47 *2895:97 *27501:B2 0.000678315 -48 *3124:15 *25391:B2 0.000469132 -49 *3164:90 *3905:39 0.00109428 -50 *3164:100 *27848:A1 1.04232e-05 -51 *3164:100 *3905:23 1.11526e-05 -52 *3164:100 *3905:39 1.69364e-05 -53 *3165:254 *3905:39 0.00104729 -54 *3192:60 *3905:39 0.00112798 -55 *3197:164 *3905:39 1.09241e-05 -56 *3209:59 *25391:B2 0.00124519 -57 *3506:25 *25391:B2 6.35819e-05 -58 *3697:71 *3905:39 3.67142e-05 -59 *3778:44 *25391:B2 0 -60 *3778:77 *25391:B2 6.52967e-05 -61 *3806:62 *25391:B2 2.15383e-05 -62 *3806:62 *27501:B2 0.000352544 -63 *3840:30 *27501:B2 2.89114e-05 -64 *3853:72 *25391:B2 2.01997e-05 -*RES -1 *28160:Q *3905:11 26.2107 -2 *3905:11 *29160:A 9.3 -3 *3905:11 *3905:23 12.3929 -4 *3905:23 *27848:A1 10.0321 -5 *3905:23 *3905:39 26.2956 -6 *3905:39 *25391:B2 48.5006 -7 *3905:39 *27501:B2 26.4518 -*END - -*D_NET *3906 0.0170088 -*CONN -*I *25295:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27514:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27873:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *29158:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28161:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25295:B2 0 -2 *27514:B2 2.01913e-05 -3 *27873:A1 0 -4 *29158:A 0 -5 *28161:Q 0.000206404 -6 *3906:47 0.00357749 -7 *3906:29 0.00528679 -8 *3906:15 0.00212301 -9 *3906:7 0.000640316 -10 *3906:7 *5691:341 0 -11 *3906:15 *25672:A1 5.71472e-05 -12 *3906:15 *4127:49 2.42516e-05 -13 *3906:47 *27854:B2 0.000140779 -14 *25269:B2 *3906:47 0.000252458 -15 *27492:A1 *3906:29 1.98839e-05 -16 *27492:A2 *3906:29 0.000280584 -17 *27492:B1 *3906:29 5.33005e-05 -18 *27514:A2 *3906:47 0.000135297 -19 *27514:C1 *27514:B2 6.78955e-06 -20 *27514:C1 *3906:29 3.80387e-05 -21 *27514:C1 *3906:47 5.19358e-05 -22 *27547:A *3906:47 9.50968e-06 -23 *27547:C *3906:47 5.17154e-05 -24 *27856:D *3906:47 9.71197e-05 -25 *27873:A2 *3906:29 9.41642e-05 -26 *27873:B1 *3906:15 2.89114e-05 -27 *27873:B1 *3906:29 0.000114922 -28 *2850:72 *3906:47 7.53109e-06 -29 *2865:98 *3906:29 0.000549576 -30 *3070:14 *3906:47 1.21258e-05 -31 *3165:254 *3906:47 0.000469519 -32 *3209:59 *3906:47 0.000674084 -33 *3209:64 *3906:47 0.00105833 -34 *3895:88 *3906:29 0.000849678 -35 *3895:88 *3906:47 7.69278e-05 -*RES -1 *28161:Q *3906:7 12.3179 -2 *3906:7 *29158:A 9.3 -3 *3906:7 *3906:15 6.30357 -4 *3906:15 *27873:A1 9.3 -5 *3906:15 *3906:29 24.8929 -6 *3906:29 *27514:B2 9.72857 -7 *3906:29 *3906:47 45.7723 -8 *3906:47 *25295:B2 9.3 -*END - -*D_NET *3907 0.0885738 -*CONN -*I *29458:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27658:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27297:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *6395:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25395:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28682:Q O *D sky130_fd_sc_hd__dfstp_4 -*CAP -1 *29458:A 0.00135217 -2 *27658:B2 0 -3 *27297:A1 0 -4 *6395:DIODE 0 -5 *25395:A1 0.00109794 -6 *28682:Q 0.000228802 -7 *3907:108 0.00256993 -8 *3907:101 0.00354465 -9 *3907:76 0.00279873 -10 *3907:63 0.00365442 -11 *3907:51 0.00211088 -12 *3907:45 0.00136458 -13 *3907:32 0.00573199 -14 *3907:30 0.00521221 -15 *3907:27 0.00191982 -16 *3907:16 0.00241833 -17 *3907:6 0.00179232 -18 *25395:A1 *5622:26 8.55871e-05 -19 *25395:A1 *5623:83 0.000475297 -20 *25395:A1 *5626:99 0.000183743 -21 *29458:A *27074:A0 9.23437e-05 -22 *29458:A *3924:34 1.90936e-05 -23 *29458:A *5893:10 0.000102207 -24 *3907:6 *27128:A0 0.000182778 -25 *3907:6 *4509:13 0.000169315 -26 *3907:6 *5708:278 1.57821e-05 -27 *3907:6 *5748:6 0.000130555 -28 *3907:16 *30479:A 0.000285337 -29 *3907:16 *5708:9 4.04996e-05 -30 *3907:16 *5708:278 6.27379e-05 -31 *3907:16 *5748:6 2.58997e-05 -32 *3907:27 *26915:A1 0.000146425 -33 *3907:27 *27675:B2 2.83129e-05 -34 *3907:27 *28611:D 0.000199743 -35 *3907:27 *28707:D 0.000151333 -36 *3907:27 *5593:36 0 -37 *3907:27 *5640:264 4.26272e-05 -38 *3907:27 *5646:258 0.000208341 -39 *3907:27 *5708:9 0.000635629 -40 *3907:27 *5708:25 0.000140062 -41 *3907:27 *5748:6 0.000161886 -42 *3907:30 *28729:D 0.00013059 -43 *3907:30 *5748:6 0.00172754 -44 *3907:30 *5748:8 9.30603e-06 -45 *3907:32 *27344:B2 8.70269e-05 -46 *3907:32 *27346:B1 2.35985e-05 -47 *3907:32 *27683:B2 6.53937e-05 -48 *3907:32 *27758:A1 5.66157e-05 -49 *3907:32 *28571:SET_B 2.06725e-05 -50 *3907:32 *4017:56 4.53834e-05 -51 *3907:32 *4080:54 0.00310527 -52 *3907:32 *4119:24 1.18451e-05 -53 *3907:32 *4119:26 0.000724805 -54 *3907:32 *4132:58 4.52262e-05 -55 *3907:32 *5638:110 0.00040742 -56 *3907:32 *5638:130 0.00122288 -57 *3907:32 *5655:193 1.51623e-05 -58 *3907:32 *5655:201 2.0586e-05 -59 *3907:32 *5748:6 1.27625e-05 -60 *3907:32 *5748:10 0.00753158 -61 *3907:45 *27066:A1 9.77414e-05 -62 *3907:45 *27297:B1 2.2095e-05 -63 *3907:45 *4132:58 0.0019088 -64 *3907:45 *5604:57 2.78608e-05 -65 *3907:45 *5655:182 5.59013e-05 -66 *3907:45 *5655:193 0.00112715 -67 *3907:45 *5661:210 0.000216755 -68 *3907:45 *5754:189 0.000352212 -69 *3907:45 *5754:213 0.00022996 -70 *3907:51 *27297:B1 3.03071e-05 -71 *3907:63 *27297:B1 0 -72 *3907:63 *5626:49 6.81786e-05 -73 *3907:76 *25394:B2 0.000185903 -74 *3907:76 *27932:A2 0.00083697 -75 *3907:76 *3987:58 4.32957e-05 -76 *3907:76 *4063:59 0.000945502 -77 *3907:76 *5605:81 5.52634e-05 -78 *3907:76 *5626:99 1.95781e-05 -79 *3907:101 *5772:22 9.15842e-06 -80 *3907:101 *5795:19 0.00122096 -81 *3907:108 *27658:A1 9.45048e-06 -82 *3907:108 *27658:A2 3.46801e-05 -83 *3907:108 *28510:D 3.47176e-05 -84 *3907:108 *28744:D 0.000182729 -85 *3907:108 *4083:10 0.000116226 -86 *3907:108 *4096:11 5.97381e-05 -87 *3907:108 *4141:21 2.47753e-05 -88 *3907:108 *5709:254 0.00176856 -89 *3907:108 *5769:7 0.000140243 -90 *25214:B1 *25395:A1 0.00036007 -91 *25217:D *25395:A1 0.000115902 -92 *25217:D *3907:76 0.000177893 -93 *25287:A1 *3907:32 0.000741375 -94 *25395:A2 *25395:A1 1.46576e-05 -95 *27137:S *3907:32 0.000710555 -96 *27140:S *3907:32 0.000577322 -97 *27146:S *3907:27 4.1834e-05 -98 *27297:B2 *3907:45 0.000316638 -99 *27297:B2 *3907:51 0.000129971 -100 *27336:A1 *3907:32 0.000289253 -101 *27348:B1 *3907:45 0.000255228 -102 *27677:C1 *3907:32 0.000148031 -103 *27682:B *3907:32 2.21972e-05 -104 *28510:CLK *3907:108 0.000139751 -105 *28760:CLK *29458:A 4.58194e-05 -106 *28760:CLK *3907:16 0.000157662 -107 *30324:A *25395:A1 0.00110874 -108 *30439:A *3907:27 0 -109 *30456:A *3907:108 0.000440032 -110 *976:31 *29458:A 0.000188586 -111 *1218:29 *3907:76 0 -112 *1241:10 *3907:76 0.000941889 -113 *1253:34 *3907:76 8.05323e-05 -114 *1253:50 *3907:76 0.000173055 -115 *1265:128 *3907:32 0.000103253 -116 *1279:44 *3907:76 6.95597e-05 -117 *1280:6 *25395:A1 0 -118 *1286:72 *3907:27 8.84757e-05 -119 *1363:88 *25395:A1 0.000398474 -120 *1438:19 *3907:63 3.4016e-05 -121 *1449:84 *3907:76 0.000359414 -122 *1451:39 *3907:63 2.5868e-05 -123 *1484:23 *3907:76 0.000154703 -124 *1545:28 *3907:76 1.90936e-05 -125 *1642:20 *3907:45 7.80096e-05 -126 *1834:84 *3907:76 0.00185925 -127 *1835:6 *3907:101 2.45881e-05 -128 *1863:14 *3907:16 0.000272477 -129 *1863:14 *3907:27 0.00129639 -130 *2771:84 *3907:27 4.14498e-05 -131 *2779:26 *29458:A 0.000127886 -132 *2779:140 *3907:45 7.86964e-05 -133 *2780:272 *29458:A 3.29283e-05 -134 *2784:112 *3907:32 0.000362931 -135 *2791:199 *3907:30 5.83304e-05 -136 *2791:233 *3907:27 0.000770835 -137 *2791:233 *3907:30 0.000858345 -138 *2794:95 *29458:A 0 -139 *2866:70 *3907:51 2.47753e-05 -140 *2866:70 *3907:63 2.13481e-06 -141 *2866:85 *3907:63 0.00083118 -142 *2874:227 *3907:32 0.000107807 -143 *2886:244 *3907:32 0.00335285 -144 *2886:258 *3907:32 2.06725e-05 -145 *2892:197 *3907:32 0.000988437 -146 *3168:54 *25395:A1 0.00143509 -147 *3176:62 *3907:76 2.41159e-05 -148 *3182:55 *25395:A1 2.04825e-05 -149 *3184:118 *3907:51 0 -150 *3184:118 *3907:63 6.37237e-05 -151 *3185:107 *3907:32 0.00014833 -152 *3226:14 *3907:32 0.000331657 -153 *3305:19 *3907:63 0.000190644 -154 *3545:8 *3907:76 0.000645789 -155 *3601:62 *3907:101 9.8126e-05 -156 *3603:83 *29458:A 0.000206204 -157 *3686:27 *29458:A 5.11653e-05 -158 *3758:43 *3907:63 4.22135e-06 -159 *3758:54 *3907:63 0.000179793 -160 *3781:16 *3907:30 0.000334165 -161 *3781:16 *3907:32 0.000409074 -162 *3783:110 *3907:45 0.000157061 -163 *3898:32 *3907:32 0.000183685 -*RES -1 *28682:Q *3907:6 19.6929 -2 *3907:6 *3907:16 7.82143 -3 *3907:16 *3907:27 39.6642 -4 *3907:27 *3907:30 23.2768 -5 *3907:30 *3907:32 153.58 -6 *3907:32 *3907:45 40.4196 -7 *3907:45 *3907:51 3.14286 -8 *3907:51 *3907:63 37.6786 -9 *3907:63 *3907:76 47.1613 -10 *3907:76 *25395:A1 46.6839 -11 *3907:51 *6395:DIODE 9.3 -12 *3907:45 *27297:A1 9.3 -13 *3907:16 *3907:101 26.875 -14 *3907:101 *3907:108 42.7857 -15 *3907:108 *27658:B2 9.3 -16 *3907:6 *29458:A 39.5535 -*END - -*D_NET *3908 0.0216973 -*CONN -*I *27897:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27538:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25267:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30570:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28834:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *27897:B2 0.000107713 -2 *27538:A1 0 -3 *25267:A1 6.44355e-05 -4 *30570:A 0.000823583 -5 *28834:Q 0 -6 *3908:85 0.00122762 -7 *3908:67 0.00126815 -8 *3908:66 0.00110571 -9 *3908:35 0.00194171 -10 *3908:4 0.00174339 -11 *30570:A *25760:A0 0.000650941 -12 *30570:A *25760:A1 2.94328e-05 -13 *30570:A *28229:SET_B 5.7616e-05 -14 *30570:A *28834:D 9.71197e-05 -15 *30570:A *4261:17 0.000225616 -16 *3908:35 *25267:B2 4.87953e-05 -17 *3908:35 *25658:B 0.000710107 -18 *3908:35 *4130:34 0.000423612 -19 *3908:35 *4130:58 0.000282984 -20 *3908:66 *27538:B2 0 -21 *3908:66 *3909:19 0.00021717 -22 *3908:66 *4140:24 9.25014e-06 -23 *3908:67 *27538:B2 0.000137983 -24 *3908:85 *4074:52 9.60939e-05 -25 *3908:85 *4131:36 0.000958186 -26 *3908:85 *5510:98 4.7312e-05 -27 *25267:A2 *3908:35 0.000137983 -28 *25267:A2 *3908:66 4.27935e-05 -29 *25267:B1 *25267:A1 5.46824e-05 -30 *25267:B1 *3908:66 0.000140279 -31 *25268:C1 *3908:66 6.84575e-05 -32 *25391:B2 *3908:85 0.000270542 -33 *25759:A *30570:A 2.84398e-05 -34 *27491:B2 *3908:66 0.000945184 -35 *27507:A2 *3908:66 0.000730323 -36 *27510:C1 *3908:66 0.000514932 -37 *27515:B *3908:66 0.000488583 -38 *27526:B2 *3908:66 0.000152801 -39 *27539:C1 *3908:85 0.00105923 -40 *27866:D *3908:85 9.41642e-05 -41 *28017:S *3908:35 5.20023e-05 -42 *28369:CLK *3908:35 6.20855e-06 -43 *28835:CLK *3908:35 6.85164e-05 -44 *1263:122 *30570:A 0.000478108 -45 *1323:17 *25267:A1 2.28499e-05 -46 *1323:17 *3908:35 7.48301e-06 -47 *1323:17 *3908:66 2.42516e-05 -48 *1395:84 *3908:35 8.25843e-06 -49 *1437:42 *3908:66 1.91512e-05 -50 *1452:57 *3908:35 0.000106067 -51 *2860:106 *3908:67 6.59074e-05 -52 *2860:106 *3908:85 2.84026e-05 -53 *3050:10 *3908:85 9.41642e-05 -54 *3064:13 *3908:66 0.000221365 -55 *3081:8 *3908:66 4.04959e-05 -56 *3096:15 *27897:B2 5.41794e-05 -57 *3096:15 *3908:85 0.000257644 -58 *3209:64 *27897:B2 8.76184e-05 -59 *3651:80 *3908:35 3.5609e-05 -60 *3651:87 *3908:35 5.09849e-05 -61 *3671:28 *30570:A 0.000908716 -62 *3671:28 *3908:35 3.03145e-05 -63 *3724:39 *3908:66 0.000142801 -64 *3788:15 *3908:66 0.000121573 -65 *3791:75 *3908:35 2.59093e-05 -66 *3791:84 *3908:35 0.00072511 -67 *3801:56 *3908:66 0 -68 *3806:62 *3908:85 0.00054976 -69 *3817:39 *27897:B2 0.000236208 -70 *3856:43 *3908:85 0.000218679 -71 *3893:52 *3908:35 0 -72 *3893:97 *3908:66 6.05161e-06 -*RES -1 *28834:Q *3908:4 9.3 -2 *3908:4 *30570:A 40.5946 -3 *3908:4 *3908:35 41.6518 -4 *3908:35 *25267:A1 11.3714 -5 *3908:35 *3908:66 47.6607 -6 *3908:66 *3908:67 2.58929 -7 *3908:67 *27538:A1 9.3 -8 *3908:67 *3908:85 47.5 -9 *3908:85 *27897:B2 21.4607 -*END - -*D_NET *3909 0.0377844 -*CONN -*I *27567:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25210:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27929:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30061:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28835:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27567:A1 4.73737e-05 -2 *25210:B2 0.00171912 -3 *27929:B2 0.000934651 -4 *30061:A 0 -5 *28835:Q 0.000248793 -6 *3909:40 0.00426092 -7 *3909:37 0.00273377 -8 *3909:31 0.00219342 -9 *3909:19 0.003059 -10 *3909:12 0.00219363 -11 *25210:B2 *25210:A1 0.000344491 -12 *25210:B2 *25218:B2 0.000446304 -13 *25210:B2 *3923:32 0 -14 *25210:B2 *4035:60 3.34295e-05 -15 *25210:B2 *4130:103 5.89519e-05 -16 *25210:B2 *5691:51 2.01997e-05 -17 *25210:B2 *5691:93 0.000142979 -18 *25210:B2 *5694:42 0.000259267 -19 *25210:B2 *5729:276 0.000136676 -20 *27567:A1 *3974:47 1.24469e-05 -21 *27929:B2 *27960:A2 0.000117037 -22 *27929:B2 *28379:RESET_B 0 -23 *27929:B2 *4087:26 0.000112063 -24 *27929:B2 *5614:88 7.20793e-05 -25 *27929:B2 *5691:51 0 -26 *3909:12 *3910:14 0.000162391 -27 *3909:12 *5691:269 0.000125355 -28 *3909:19 *27538:B2 0 -29 *3909:19 *27862:A2 6.28248e-05 -30 *3909:19 *3910:14 0.000118876 -31 *3909:19 *4140:24 5.74562e-05 -32 *3909:19 *5497:39 0 -33 *3909:19 *5634:71 0.00279805 -34 *3909:31 *27495:A1 1.90936e-05 -35 *3909:31 *27862:A2 2.10898e-05 -36 *3909:31 *3957:43 0.00114877 -37 *3909:31 *4152:50 6.40293e-05 -38 *3909:31 *5666:124 0.000142294 -39 *3909:31 *5666:128 0.000137912 -40 *3909:37 *25307:A1 5.41797e-06 -41 *3909:37 *27522:A1 0.000221332 -42 *3909:37 *3974:22 8.64475e-05 -43 *3909:37 *3974:47 0.000149757 -44 *3909:40 *25218:B2 0.000684756 -45 *3909:40 *3958:29 0.00020356 -46 *3909:40 *3984:33 0 -47 *3909:40 *4130:103 0.000146916 -48 *3909:40 *5253:44 3.73138e-05 -49 *6624:DIODE *27567:A1 1.58163e-05 -50 *25210:B1 *25210:B2 5.05761e-05 -51 *25210:C1 *25210:B2 9.60939e-05 -52 *25268:A2 *3909:19 0 -53 *25300:B1 *25210:B2 0 -54 *25307:C1 *3909:31 0.000147561 -55 *27486:A2 *3909:19 0.000233746 -56 *27495:B2 *3909:31 2.06112e-05 -57 *27525:C *3909:37 1.50087e-05 -58 *27525:D *3909:37 0.000149419 -59 *27535:A2 *3909:19 0.000188985 -60 *27567:A2 *27567:A1 1.21436e-05 -61 *27567:B1 *27567:A1 5.52238e-05 -62 *27890:B *3909:19 7.5779e-05 -63 *27929:A1 *27929:B2 5.49544e-05 -64 *27945:A2 *25210:B2 0.000247834 -65 *1395:84 *3909:12 2.79421e-05 -66 *1408:36 *3909:40 5.00194e-05 -67 *1430:65 *25210:B2 7.95355e-05 -68 *1456:41 *27929:B2 2.84109e-05 -69 *1471:64 *3909:12 0.000187377 -70 *1471:64 *3909:19 0.000575019 -71 *1471:86 *3909:19 0.000489297 -72 *1476:57 *3909:40 0 -73 *1563:17 *25210:B2 0 -74 *1603:15 *25210:B2 0.000244768 -75 *1864:114 *25210:B2 4.88232e-05 -76 *2848:123 *3909:40 2.01997e-05 -77 *2855:91 *3909:31 0.000327172 -78 *2855:91 *3909:37 1.721e-05 -79 *2859:95 *3909:37 4.1879e-05 -80 *2859:117 *3909:37 0.000357138 -81 *2865:133 *27567:A1 2.11419e-05 -82 *2865:133 *3909:37 0.000846907 -83 *2880:197 *27929:B2 0.00093056 -84 *3051:23 *3909:19 1.95475e-05 -85 *3051:23 *3909:31 8.95982e-05 -86 *3081:8 *3909:19 0.000175191 -87 *3081:8 *3909:31 7.99502e-05 -88 *3123:14 *27929:B2 2.86824e-05 -89 *3209:103 *27929:B2 6.8646e-06 -90 *3209:117 *27929:B2 6.057e-07 -91 *3216:141 *3909:31 0.000128552 -92 *3216:141 *3909:37 9.25014e-06 -93 *3216:142 *3909:37 0.00205895 -94 *3503:28 *25210:B2 0.000530374 -95 *3666:75 *3909:31 0.00102479 -96 *3666:77 *3909:19 0.00124225 -97 *3724:33 *3909:31 0.000405398 -98 *3802:38 *3909:19 0.000135432 -99 *3802:58 *27929:B2 1.95732e-05 -100 *3828:61 *25210:B2 9.45773e-05 -101 *3840:27 *3909:31 9.71108e-05 -102 *3857:36 *3909:37 0.000102777 -103 *3883:31 *3909:37 0.000120363 -104 *3908:66 *3909:19 0.00021717 -*RES -1 *28835:Q *3909:12 19.9071 -2 *3909:12 *30061:A 13.8 -3 *3909:12 *3909:19 54.9196 -4 *3909:19 *3909:31 35.1189 -5 *3909:31 *3909:37 32.9643 -6 *3909:37 *3909:40 7.4567 -7 *3909:40 *27929:B2 28.3927 -8 *3909:40 *25210:B2 37.7573 -9 *3909:37 *27567:A1 15.0411 -*END - -*D_NET *3910 0.0587425 -*CONN -*I *25110:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27950:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27575:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30007:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28836:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25110:B2 0.00174388 -2 *27950:B2 3.89389e-05 -3 *27575:B2 0 -4 *30007:A 0.000368454 -5 *28836:Q 0.00040062 -6 *3910:76 0.00182071 -7 *3910:73 0.00198268 -8 *3910:51 0.00562984 -9 *3910:50 0.0046908 -10 *3910:43 0.00204402 -11 *3910:28 0.0020192 -12 *3910:14 0.00175001 -13 *25110:B2 *4113:116 4.41879e-05 -14 *25110:B2 *5590:47 2.26872e-05 -15 *25110:B2 *5634:156 7.02772e-05 -16 *25110:B2 *5634:170 1.98839e-05 -17 *25110:B2 *5823:51 0.000112954 -18 *25110:B2 *5878:14 1.17921e-05 -19 *30007:A *3918:41 3.71247e-05 -20 *30007:A *5489:45 3.42387e-05 -21 *30007:A *5665:76 0.00024202 -22 *3910:14 *5126:11 9.41642e-05 -23 *3910:14 *5497:39 1.85396e-05 -24 *3910:28 *3919:46 9.09059e-05 -25 *3910:28 *5665:76 0.000102481 -26 *3910:28 *5738:82 0.000486091 -27 *3910:43 *25933:A1 7.74135e-05 -28 *3910:43 *4129:38 0.000652665 -29 *3910:43 *4139:53 9.60939e-05 -30 *3910:43 *5699:147 0.00110535 -31 *3910:43 *5738:82 0.00114768 -32 *3910:50 *27883:A1 0.000878178 -33 *3910:50 *5699:147 0.000460264 -34 *3910:51 *25668:A1 0.000614976 -35 *3910:51 *25932:A1 0.00026235 -36 *3910:51 *27552:B2 0.000145411 -37 *3910:51 *27879:A2 0.000141984 -38 *3910:51 *27961:B2 0.00010284 -39 *3910:51 *4105:32 0.000170216 -40 *3910:51 *5614:88 0.000123605 -41 *3910:51 *5699:140 0.00148479 -42 *3910:51 *5878:22 0.000120771 -43 *3910:73 *4092:45 1.09611e-05 -44 *3910:73 *4105:23 5.00194e-05 -45 *3910:73 *4105:78 0 -46 *3910:73 *5196:47 0 -47 *3910:73 *5614:76 4.1991e-05 -48 *3910:73 *5656:189 0.000124676 -49 *3910:73 *5778:94 4.37712e-06 -50 *25110:B1 *25110:B2 5.33005e-05 -51 *25111:A1 *25110:B2 0.000177821 -52 *25111:C1 *25110:B2 0.000260574 -53 *25268:A2 *3910:28 0.00011802 -54 *25279:A2 *3910:50 0.000174256 -55 *25300:A2 *3910:51 0.000145916 -56 *25399:B1 *3910:14 0.000392483 -57 *27574:A2 *3910:73 0.000436246 -58 *27578:A1 *3910:51 0.000150618 -59 *27581:B *3910:51 0.000132309 -60 *27903:A2 *3910:51 0.000138993 -61 *27950:C1 *3910:76 2.59355e-05 -62 *29356:A *3910:14 4.05285e-05 -63 *1263:216 *3910:73 0 -64 *1362:97 *3910:51 0.00376825 -65 *1363:62 *3910:28 0.00161111 -66 *1395:62 *3910:14 0.000323437 -67 *1395:146 *25110:B2 1.90936e-05 -68 *1396:168 *25110:B2 0.000143452 -69 *1408:28 *3910:28 0.000486091 -70 *1408:28 *3910:43 0.000373823 -71 *1409:6 *3910:73 1.20729e-05 -72 *1412:17 *25110:B2 0.000744615 -73 *1423:86 *3910:51 3.22033e-05 -74 *1435:33 *25110:B2 0.000195431 -75 *1448:15 *25110:B2 0.000552081 -76 *1448:15 *3910:76 9.46083e-05 -77 *1471:64 *3910:14 0.000155527 -78 *1472:25 *3910:73 5.98536e-06 -79 *1476:212 *3910:73 0.00149677 -80 *1483:68 *25110:B2 0.00054993 -81 *1563:17 *3910:51 4.00349e-05 -82 *1587:16 *3910:28 0.000404677 -83 *1685:40 *3910:73 0 -84 *3105:8 *3910:51 0.00397298 -85 *3129:18 *3910:51 0.000545346 -86 *3129:20 *3910:51 0.000455601 -87 *3145:8 *3910:51 0.00389829 -88 *3164:84 *3910:50 0.000428486 -89 *3209:127 *25110:B2 1.01618e-05 -90 *3209:127 *3910:76 1.22576e-05 -91 *3214:112 *3910:51 3.89176e-05 -92 *3220:24 *25110:B2 2.06112e-05 -93 *3411:20 *3910:43 3.11228e-05 -94 *3411:20 *3910:50 4.00349e-05 -95 *3411:32 *3910:43 0.000957297 -96 *3689:57 *25110:B2 1.54142e-05 -97 *3700:72 *25110:B2 0.000763445 -98 *3754:16 *3910:73 9.42462e-06 -99 *3765:30 *3910:51 0.000209807 -100 *3765:30 *3910:73 0.00195824 -101 *3778:25 *3910:43 0 -102 *3819:41 *3910:73 1.02849e-05 -103 *3832:49 *3910:51 0.000134252 -104 *3893:97 *30007:A 0 -105 *3893:97 *3910:28 0 -106 *3909:12 *3910:14 0.000162391 -107 *3909:19 *3910:14 0.000118876 -*RES -1 *28836:Q *3910:14 29.1118 -2 *3910:14 *30007:A 18.8616 -3 *3910:14 *3910:28 12.832 -4 *3910:28 *27575:B2 13.8 -5 *3910:28 *3910:43 41.5089 -6 *3910:43 *3910:50 28.9911 -7 *3910:50 *3910:51 119.277 -8 *3910:51 *3910:73 48.7431 -9 *3910:73 *3910:76 5.45089 -10 *3910:76 *27950:B2 10.0321 -11 *3910:76 *25110:B2 38.6782 -*END - -*D_NET *3911 0.0184813 -*CONN -*I *30425:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27339:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25340:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27680:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28683:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *30425:A 0.000136362 -2 *27339:A1 0.000704265 -3 *25340:A1 0.00235206 -4 *27680:B2 0.000255575 -5 *28683:Q 4.12574e-05 -6 *3911:51 0.00090817 -7 *3911:17 0.00319451 -8 *3911:7 0.00069568 -9 *25340:A1 *5627:75 1.11775e-05 -10 *25340:A1 *5627:90 0.000116935 -11 *25340:A1 *5644:205 2.71873e-05 -12 *25340:A1 *5644:218 0.00171291 -13 *25340:A1 *5708:80 0.000572336 -14 *27339:A1 *27119:S 9.60939e-05 -15 *27339:A1 *27339:B2 0.000259542 -16 *27339:A1 *28683:D 0.000467849 -17 *27680:B2 *27680:B1 9.60875e-05 -18 *27680:B2 *5609:189 1.61405e-05 -19 *27680:B2 *5644:218 0.000221279 -20 *30425:A *4080:44 0.000187286 -21 *30425:A *5589:57 0.000175892 -22 *30425:A *5795:82 0.000180978 -23 *3911:17 *4080:44 0.000347895 -24 *3911:17 *5795:82 0.000975912 -25 *3911:51 *4080:44 0.000139453 -26 *3911:51 *5795:82 0.000133009 -27 *25093:A2 *3911:17 9.60939e-05 -28 *25340:A2 *25340:A1 4.37992e-05 -29 *27311:B1 *25340:A1 9.68222e-06 -30 *27339:A2 *27339:A1 1.00733e-05 -31 *30426:A *27339:A1 4.13496e-05 -32 *1178:174 *27680:B2 3.50637e-05 -33 *1178:174 *3911:17 6.9388e-05 -34 *1276:102 *27680:B2 0.000309395 -35 *1276:102 *3911:17 0.000172194 -36 *1633:45 *25340:A1 0.000142939 -37 *1660:48 *25340:A1 3.4323e-06 -38 *2853:262 *3911:7 0.000158415 -39 *2860:287 *27339:A1 0.000204655 -40 *2867:260 *3911:7 0.000158415 -41 *2874:249 *25340:A1 4.73891e-05 -42 *2875:275 *25340:A1 5.46547e-06 -43 *2877:274 *25340:A1 0.000926917 -44 *2880:58 *27680:B2 5.4684e-05 -45 *2883:231 *27339:A1 5.33005e-05 -46 *2883:250 *30425:A 0.000175892 -47 *3162:34 *25340:A1 0.00106423 -48 *3299:8 *27680:B2 4.1879e-05 -49 *3797:101 *3911:17 0.000630806 -*RES -1 *28683:Q *3911:7 15.3625 -2 *3911:7 *3911:17 26.8074 -3 *3911:17 *27680:B2 19.7879 -4 *3911:17 *25340:A1 32.4198 -5 *3911:7 *3911:51 1.94643 -6 *3911:51 *27339:A1 27.0857 -7 *3911:51 *30425:A 18.1214 -*END - -*D_NET *3912 0.0429571 -*CONN -*I *30388:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27358:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27712:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25258:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28684:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *30388:A 0.000284701 -2 *27358:A1 2.30855e-05 -3 *27712:A1 0 -4 *25258:A1 0.000486477 -5 *28684:Q 6.94452e-05 -6 *3912:82 0.00206183 -7 *3912:66 0.00227034 -8 *3912:58 0.00255556 -9 *3912:35 0.00318729 -10 *3912:27 0.00248843 -11 *3912:11 0.0013192 -12 *3912:7 0.000488554 -13 *25258:A1 *25258:A2 2.60464e-05 -14 *30388:A *27021:A0 0.000105471 -15 *30388:A *27120:A1 0.000107598 -16 *30388:A *5702:135 0.00034188 -17 *3912:7 *27120:A1 0.000220943 -18 *3912:11 *5605:171 8.33813e-05 -19 *3912:27 *25238:B2 8.66875e-05 -20 *3912:27 *27120:S 6.69937e-05 -21 *3912:27 *27358:B2 5.33005e-05 -22 *3912:27 *28492:D 3.15517e-05 -23 *3912:27 *3951:28 8.43535e-06 -24 *3912:27 *5605:171 0.000257619 -25 *3912:27 *5632:115 0.000250834 -26 *3912:27 *5764:230 0.000402838 -27 *3912:27 *5764:239 6.63119e-05 -28 *3912:27 *5765:95 7.01273e-05 -29 *3912:27 *5905:124 0.000111348 -30 *3912:35 *28547:D 5.58875e-06 -31 *3912:35 *4069:89 0.000766765 -32 *3912:35 *5645:104 3.7993e-05 -33 *3912:35 *5702:84 0.000347092 -34 *3912:35 *5764:127 0.000134892 -35 *3912:35 *5764:230 0.000154703 -36 *3912:58 *27197:A1 0.000312585 -37 *3912:58 *4041:12 2.21327e-05 -38 *3912:58 *5645:104 4.65519e-05 -39 *3912:58 *5657:232 0.00258635 -40 *3912:66 *5641:38 2.5206e-05 -41 *3912:66 *5657:206 0.00107647 -42 *3912:66 *5657:232 0.00047653 -43 *3912:82 *27712:A2 3.93247e-05 -44 *3912:82 *5609:137 3.19311e-05 -45 *3912:82 *5627:41 0.000104587 -46 *3912:82 *5629:139 0.000192756 -47 *3912:82 *5644:158 0.000335877 -48 *3912:82 *5644:172 0.000591708 -49 *3912:82 *5657:198 4.10571e-05 -50 *3912:82 *5657:206 4.11218e-05 -51 *3912:82 *5754:130 0.000266744 -52 *25237:A1 *30388:A 3.69047e-06 -53 *25277:A2 *3912:82 1.94879e-05 -54 *25318:A2 *3912:58 0 -55 *27335:B1 *3912:27 8.69554e-05 -56 *27351:A2 *3912:58 8.05173e-05 -57 *27351:B1 *3912:58 3.38201e-05 -58 *27358:A2 *3912:11 3.22325e-05 -59 *27365:A1 *3912:66 8.74121e-05 -60 *27365:B1 *3912:66 4.57379e-05 -61 *27366:B2 *3912:66 4.38243e-05 -62 *28539:CLK *3912:35 6.48314e-06 -63 *28752:CLK *3912:35 2.01997e-05 -64 *28752:CLK *3912:58 0.0001722 -65 *1242:104 *3912:58 0.000315187 -66 *1256:113 *3912:27 6.05161e-06 -67 *1256:115 *3912:7 0.000262686 -68 *1261:41 *3912:58 5.13156e-05 -69 *1261:168 *30388:A 6.37408e-06 -70 *1261:168 *3912:11 5.31337e-05 -71 *1266:104 *3912:35 1.73201e-05 -72 *1269:231 *3912:58 6.54117e-05 -73 *1556:23 *30388:A 5.05056e-05 -74 *1568:15 *3912:35 0.000100823 -75 *1574:21 *3912:27 5.11642e-05 -76 *1574:21 *3912:35 0.00168287 -77 *1596:16 *3912:82 0.000188252 -78 *1650:16 *3912:66 8.73634e-05 -79 *1844:120 *25258:A1 0.000157396 -80 *2768:69 *3912:35 0.000767619 -81 *2768:89 *3912:27 3.28286e-05 -82 *2768:89 *3912:35 0.00103555 -83 *2768:90 *3912:27 0.000649608 -84 *2794:163 *3912:58 0.00013158 -85 *2853:164 *3912:82 0.000456097 -86 *2853:187 *3912:82 9.3655e-05 -87 *2859:242 *3912:35 0.00121703 -88 *2867:260 *3912:27 1.94945e-05 -89 *2871:298 *3912:35 0.000112777 -90 *2872:260 *3912:35 2.53379e-05 -91 *2877:349 *3912:11 4.58194e-05 -92 *2877:349 *3912:27 7.35479e-05 -93 *2880:178 *3912:66 6.52967e-05 -94 *2882:276 *3912:27 0.000468526 -95 *2886:188 *3912:82 0.000668222 -96 *2886:241 *3912:58 1.51467e-05 -97 *2888:212 *3912:58 2.25652e-05 -98 *2889:34 *25258:A1 0.000221008 -99 *2891:111 *3912:82 0.000135968 -100 *2891:138 *3912:58 0.000486114 -101 *2891:165 *3912:58 0.00223868 -102 *2922:20 *3912:27 2.98245e-05 -103 *3245:14 *3912:82 6.82091e-06 -104 *3574:111 *3912:82 0.00135243 -105 *3623:12 *3912:35 4.53578e-05 -106 *3623:12 *3912:58 0.00010876 -107 *3623:33 *3912:35 5.58875e-06 -108 *3678:60 *30388:A 0.000204525 -109 *3678:60 *3912:11 0.00015956 -110 *3678:76 *3912:58 6.28248e-05 -111 *3730:42 *3912:58 5.04378e-05 -112 *3739:131 *3912:35 5.29944e-05 -113 *3782:98 *3912:58 1.90936e-05 -114 *3782:98 *3912:66 3.60676e-05 -115 *3782:98 *3912:82 0.000521628 -116 *3820:87 *3912:66 0.000649627 -117 *3820:91 *3912:58 5.41797e-06 -118 *3820:91 *3912:66 0.000159539 -119 *3820:98 *3912:35 0.000154904 -120 *3820:98 *3912:58 0.00137147 -121 *3874:76 *3912:7 2.12005e-05 -122 *3899:40 *3912:58 0 -123 *3899:40 *3912:82 6.38447e-05 -*RES -1 *28684:Q *3912:7 16.3893 -2 *3912:7 *3912:11 7.69643 -3 *3912:11 *3912:27 32.2921 -4 *3912:27 *3912:35 49.8145 -5 *3912:35 *3912:58 49.619 -6 *3912:58 *3912:66 26.8393 -7 *3912:66 *3912:82 48.7518 -8 *3912:82 *25258:A1 21.3714 -9 *3912:66 *27712:A1 9.3 -10 *3912:11 *27358:A1 9.72857 -11 *3912:7 *30388:A 20.7286 -*END - -*D_NET *3913 0.0912156 -*CONN -*I *29964:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24831:A I *D sky130_fd_sc_hd__inv_2 -*I *27725:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27385:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25218:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *6396:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *28685:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29964:A 0.000220531 -2 *24831:A 0.00109595 -3 *27725:B2 0 -4 *27385:B2 0.000248995 -5 *25218:A1 4.71573e-05 -6 *6396:DIODE 0.000268451 -7 *28685:Q 2.15003e-05 -8 *3913:106 0.00176199 -9 *3913:73 0.00212838 -10 *3913:70 0.00294874 -11 *3913:57 0.00229471 -12 *3913:52 0.00291186 -13 *3913:50 0.00283782 -14 *3913:43 0.00213056 -15 *3913:36 0.00150393 -16 *3913:33 0.00169632 -17 *3913:26 0.00328365 -18 *3913:25 0.00181685 -19 *3913:21 0.00113078 -20 *3913:16 0.00175921 -21 *3913:5 0.00111588 -22 *6396:DIODE *25218:B2 8.82704e-05 -23 *24831:A *24821:A 0.000723981 -24 *24831:A *26864:A0 0 -25 *24831:A *30851:A 3.98559e-05 -26 *24831:A *5296:20 0.00104974 -27 *24831:A *5588:85 2.35126e-05 -28 *24831:A *5893:81 4.79414e-05 -29 *24831:A *6010:21 0.000109066 -30 *24831:A *6285:21 9.54798e-06 -31 *25218:A1 *25218:B2 1.22027e-05 -32 *29964:A *30009:A 0.000531226 -33 *29964:A *4254:17 5.33005e-05 -34 *29964:A *5364:13 6.25005e-05 -35 *29964:A *5544:5 5.33005e-05 -36 *29964:A *5903:11 0.000518147 -37 *3913:5 *6268:23 1.00733e-05 -38 *3913:16 *27190:A1 0.000321131 -39 *3913:16 *5695:46 0 -40 *3913:16 *5695:50 1.77757e-05 -41 *3913:16 *5771:142 0.00018899 -42 *3913:16 *5771:157 0.000167687 -43 *3913:16 *5772:112 0.000204428 -44 *3913:16 *6260:10 0.000259549 -45 *3913:16 *6268:23 0.000239615 -46 *3913:16 *6284:17 0.000288222 -47 *3913:21 *27126:B 1.36591e-05 -48 *3913:21 *27190:A1 5.84171e-05 -49 *3913:21 *4030:18 0.000123116 -50 *3913:21 *4082:6 4.46232e-05 -51 *3913:21 *5590:165 0.000716861 -52 *3913:21 *5656:350 2.59355e-05 -53 *3913:21 *5695:50 0.000170654 -54 *3913:21 *5695:55 0.000708118 -55 *3913:21 *5771:187 3.63304e-05 -56 *3913:21 *5820:85 2.11419e-05 -57 *3913:25 *5656:350 2.59355e-05 -58 *3913:26 *27111:A1 7.83659e-05 -59 *3913:26 *4030:18 0.00057939 -60 *3913:26 *5627:251 0.000116057 -61 *3913:26 *5628:189 0.000383922 -62 *3913:26 *5647:109 0.000759116 -63 *3913:26 *5652:204 1.34261e-05 -64 *3913:26 *5777:208 4.18834e-05 -65 *3913:33 *4108:123 0.00044789 -66 *3913:33 *5630:246 6.53397e-05 -67 *3913:33 *5647:109 0.00108851 -68 *3913:33 *5649:273 0.000225106 -69 *3913:36 *4095:67 0.00014833 -70 *3913:36 *5595:22 0.000708496 -71 *3913:43 *5595:22 0.000493837 -72 *3913:43 *5632:53 0.000917844 -73 *3913:43 *5632:71 0.000503521 -74 *3913:43 *5649:120 6.35819e-05 -75 *3913:50 *25627:A0 7.40526e-05 -76 *3913:50 *28123:D 0.000123126 -77 *3913:50 *28563:D 0.000124404 -78 *3913:50 *5632:53 0.00014941 -79 *3913:52 *26877:A0 0.000259301 -80 *3913:52 *27147:A0 6.99044e-05 -81 *3913:52 *5632:53 2.35985e-05 -82 *3913:52 *5700:308 0.000164585 -83 *3913:52 *5700:329 0.0016444 -84 *3913:57 *3965:85 0.0038927 -85 *3913:57 *3965:95 8.43535e-06 -86 *3913:70 *25221:A1 1.21258e-05 -87 *3913:70 *25221:A2 0.000218409 -88 *3913:70 *4129:77 1.88048e-05 -89 *3913:70 *5585:54 2.61337e-05 -90 *3913:70 *5936:33 0.000526087 -91 *3913:73 *24892:A 0.000283456 -92 *3913:73 *5626:99 0.000214749 -93 *3913:106 *28693:RESET_B 0.000520928 -94 *3913:106 *30009:A 6.3514e-05 -95 *3913:106 *3926:15 0.000469823 -96 *3913:106 *5701:58 0.000197764 -97 *3913:106 *5829:42 4.1879e-05 -98 *3913:106 *5903:11 0.0014598 -99 *3913:106 *6260:10 8.27532e-05 -100 *3913:106 *6268:23 4.87854e-05 -101 mgmt_gpio_oeb[28] *24831:A 0.000312209 -102 *6608:DIODE *3913:50 9.09094e-05 -103 *25197:A *3913:43 0.00192703 -104 *25197:C *3913:43 5.66471e-06 -105 *25207:B *3913:43 6.81786e-05 -106 *25218:B1 *6396:DIODE 1.64271e-05 -107 *25221:B1 *3913:70 1.83136e-05 -108 *25224:B *3913:57 9.58126e-05 -109 *26950:S *3913:16 0.000109601 -110 *27385:A1 *27385:B2 0.000124471 -111 *27386:B1 *3913:43 7.67241e-05 -112 *27386:C1 *27385:B2 2.59355e-05 -113 *27724:C1 *3913:21 0.000123288 -114 *27726:C1 *3913:26 0.000309245 -115 *27728:C1 *3913:26 0.000165099 -116 *27738:C1 *3913:33 0.000153591 -117 *29506:A *3913:26 0.00022604 -118 *30010:A *29964:A 6.09762e-05 -119 *63:17 *24831:A 0.00014285 -120 *970:16 *3913:16 5.85247e-05 -121 *978:14 *3913:16 2.2628e-05 -122 *1178:69 *3913:70 0.000168058 -123 *1180:16 *24831:A 9.90367e-05 -124 *1218:188 *3913:57 0.00401336 -125 *1262:97 *3913:33 7.6644e-05 -126 *1267:163 *3913:33 0.000219289 -127 *1274:126 *3913:43 1.437e-05 -128 *1279:61 *3913:73 5.98871e-05 -129 *1279:75 *6396:DIODE 9.33978e-07 -130 *1279:75 *25218:A1 9.53821e-06 -131 *1279:75 *3913:73 1.1396e-05 -132 *1289:19 *3913:70 7.83659e-05 -133 *1290:14 *3913:70 0.00154024 -134 *1454:10 *3913:70 0.000146474 -135 *1455:96 *3913:73 0.000709653 -136 *1510:8 *3913:36 0.000716222 -137 *1510:8 *3913:43 0.000150618 -138 *1510:27 *3913:43 2.16689e-05 -139 *1514:16 *3913:26 0.00207469 -140 *1514:16 *3913:33 2.02292e-05 -141 *1514:18 *3913:33 0.000606633 -142 *1514:18 *3913:43 5.90443e-06 -143 *1576:27 *3913:70 2.88045e-05 -144 *1826:154 *3913:43 0 -145 *1826:170 *3913:33 8.6229e-06 -146 *2758:90 *3913:52 0.000143188 -147 *2770:127 *3913:21 0.00167354 -148 *2781:162 *3913:43 0.000201907 -149 *2786:22 *3913:21 0.00121984 -150 *2786:22 *3913:26 6.6461e-05 -151 *2786:38 *3913:26 0.000156724 -152 *2786:38 *3913:33 4.38265e-05 -153 *2786:55 *3913:33 0.00139544 -154 *2787:161 *3913:33 1.90936e-05 -155 *2848:191 *3913:52 4.26759e-05 -156 *2848:206 *3913:52 0.00192188 -157 *2853:224 *3913:50 0.00155597 -158 *2853:224 *3913:52 0.000144274 -159 *2860:209 *3913:50 0.000173552 -160 *2860:209 *3913:52 0.000293438 -161 *2860:242 *3913:43 0.000379615 -162 *2860:242 *3913:50 0.000346407 -163 *2860:245 *27385:B2 0 -164 *2866:248 *3913:50 4.28365e-05 -165 *2938:6 *3913:52 0.000149254 -166 *3165:89 *3913:26 0.000695889 -167 *3283:8 *3913:33 4.97567e-06 -168 *3306:6 *3913:70 0.000148189 -169 *3538:16 *3913:50 0.000804744 -170 *3538:16 *3913:52 0.000407164 -171 *3538:22 *3913:52 0.00274471 -172 *3538:24 *3913:52 0.000352855 -173 *3547:11 *3913:73 0.000295273 -174 *3666:144 *3913:50 0.000957133 -175 *3718:79 *3913:21 4.08637e-05 -176 *3718:79 *3913:25 5.33005e-05 -177 *3718:79 *3913:26 0.000345874 -178 *3783:47 *3913:26 0.00214215 -179 *3783:66 *3913:33 0.000258035 -180 *3844:75 *3913:70 0.00152255 -181 *3887:97 *3913:106 1.61405e-05 -*RES -1 *28685:Q *3913:5 9.72857 -2 *3913:5 *3913:16 20.6607 -3 *3913:16 *3913:21 41.2857 -4 *3913:21 *3913:25 5.03571 -5 *3913:25 *3913:26 60.0804 -6 *3913:26 *3913:33 45.8482 -7 *3913:33 *3913:36 13.7321 -8 *3913:36 *3913:43 43.5714 -9 *3913:43 *3913:50 35.625 -10 *3913:50 *3913:52 60.8393 -11 *3913:52 *3913:57 48.9643 -12 *3913:57 *3913:70 47.4286 -13 *3913:70 *3913:73 26.8036 -14 *3913:73 *6396:DIODE 12.3 -15 *3913:73 *25218:A1 10.3357 -16 *3913:36 *27385:B2 17.6393 -17 *3913:21 *27725:B2 9.3 -18 *3913:5 *3913:106 11.7539 -19 *3913:106 *24831:A 39.2657 -20 *3913:106 *29964:A 19.5054 -*END - -*D_NET *3914 0.0171669 -*CONN -*I *29296:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27755:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25146:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27414:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28686:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29296:A 0 -2 *27755:B2 0.000132834 -3 *25146:B2 0.000763452 -4 *27414:B2 0.00084753 -5 *28686:Q 7.77732e-05 -6 *3914:34 0.00214454 -7 *3914:26 0.0015176 -8 *3914:7 0.00119464 -9 *25146:B2 *25145:B2 0.000127359 -10 *25146:B2 *27400:B2 1.8995e-06 -11 *25146:B2 *4076:48 9.4906e-05 -12 *25146:B2 *5601:175 8.7425e-05 -13 *25146:B2 *5644:237 0.000951304 -14 *25146:B2 *5650:285 9.61073e-06 -15 *25146:B2 *5836:284 8.91326e-05 -16 *27414:B2 *27137:A0 0.000145239 -17 *27414:B2 *4080:44 4.21517e-05 -18 *27414:B2 *5630:274 2.59355e-05 -19 *27414:B2 *5708:58 0.000121418 -20 *27414:B2 *5795:78 0.00102564 -21 *27755:B2 *27755:B1 4.38058e-05 -22 *27755:B2 *5650:285 3.33583e-05 -23 *3914:26 *4120:47 0.000214006 -24 *3914:26 *5593:20 0.000225002 -25 *3914:26 *5795:78 0.000248026 -26 *3914:34 *27755:B1 1.42701e-05 -27 *3914:34 *28574:RESET_B 1.66706e-05 -28 *3914:34 *5645:156 0.00174692 -29 *27406:A2 *25146:B2 2.03618e-05 -30 *27409:C *25146:B2 0.000128078 -31 *27409:C *27755:B2 0.000193665 -32 *27415:C1 *27414:B2 5.33005e-05 -33 *27605:A2 *25146:B2 0.000130033 -34 *27756:A1 *3914:34 4.65519e-05 -35 *27756:B2 *25146:B2 4.21517e-05 -36 *27756:B2 *27755:B2 4.32309e-05 -37 *28574:CLK *3914:34 0.00146377 -38 *29307:A *3914:7 4.87854e-05 -39 *1361:30 *27414:B2 5.41797e-06 -40 *1361:30 *3914:26 1.88578e-05 -41 *1467:27 *25146:B2 6.20441e-06 -42 *1509:16 *25146:B2 6.05161e-06 -43 *1660:31 *27414:B2 0.0010769 -44 *1834:49 *25146:B2 0.000997398 -45 *1834:49 *3914:34 2.66789e-06 -46 *2780:223 *25146:B2 0.000224387 -47 *2852:161 *3914:26 0.000467849 -48 *2891:212 *25146:B2 4.22135e-06 -49 *3162:20 *27414:B2 0.000122942 -50 *3177:121 *27414:B2 8.25843e-06 -51 *3177:136 *25146:B2 2.79421e-05 -52 *3732:43 *27755:B2 5.33005e-05 -53 *3849:30 *3914:34 3.21015e-05 -*RES -1 *28686:Q *3914:7 14.7464 -2 *3914:7 *27414:B2 43.0857 -3 *3914:7 *3914:26 12.6071 -4 *3914:26 *3914:34 15.4066 -5 *3914:34 *25146:B2 36.3303 -6 *3914:34 *27755:B2 17.0411 -7 *3914:26 *29296:A 9.3 -*END - -*D_NET *3915 0.0331021 -*CONN -*I *29067:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27778:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27421:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25056:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28687:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *29067:A 0 -2 *27778:B2 6.96613e-06 -3 *27421:B2 0 -4 *25056:A1 0.000355979 -5 *28687:Q 0.000154036 -6 *3915:55 0.0013952 -7 *3915:49 0.0017487 -8 *3915:37 0.00532738 -9 *3915:19 0.00511234 -10 *3915:9 0.000641508 -11 *25056:A1 *25056:A2 1.04232e-05 -12 *25056:A1 *3917:16 0.000991697 -13 *3915:9 *27123:A1 1.5424e-05 -14 *3915:9 *28607:RESET_B 5.52302e-05 -15 *3915:9 *3969:14 5.89454e-05 -16 *3915:9 *5774:37 0.000135028 -17 *3915:19 *27123:A0 0.000136958 -18 *3915:19 *27123:A1 0.000351156 -19 *3915:19 *3939:102 0.000130382 -20 *3915:19 *5627:237 0.000137983 -21 *3915:19 *5639:199 0.000600973 -22 *3915:19 *5695:124 9.58487e-05 -23 *3915:19 *5829:149 0.000114438 -24 *3915:37 *27117:B 0.000828487 -25 *3915:37 *28631:D 0.000110694 -26 *3915:37 *29053:A 3.64468e-05 -27 *3915:37 *3965:35 0.00062733 -28 *3915:37 *4138:18 0.000124184 -29 *3915:37 *5644:94 0.000532531 -30 *3915:37 *5650:329 0.000148189 -31 *3915:37 *5655:238 0.000544756 -32 *3915:37 *5662:111 1.08359e-05 -33 *3915:37 *5702:135 0.000591242 -34 *3915:37 *5777:215 7.70696e-05 -35 *3915:37 *5814:12 0.00013668 -36 *3915:37 *5829:149 1.09611e-05 -37 *3915:49 *25050:B1 0.000714097 -38 *3915:49 *3969:16 0.00110585 -39 *3915:49 *5635:147 0.000181142 -40 *3915:55 *4134:96 0.00130976 -41 *3915:55 *5633:63 0.000181056 -42 *25056:B1 *25056:A1 6.10501e-05 -43 *27421:A2 *3915:37 0.000207559 -44 *27421:A2 *3915:49 0.000443604 -45 *27427:C1 *3915:55 1.94945e-05 -46 *27775:A1 *3915:49 0.000192538 -47 *30162:A *3915:55 1.33343e-05 -48 *1260:118 *3915:37 8.3828e-05 -49 *1826:226 *3915:9 0.000219711 -50 *1826:226 *3915:19 1.38323e-05 -51 *2760:135 *3915:37 2.04825e-05 -52 *2776:158 *3915:55 8.55871e-05 -53 *2778:194 *3915:37 0.000117919 -54 *2782:147 *3915:49 0.000339346 -55 *2784:162 *3915:19 1.5872e-05 -56 *2791:73 *25056:A1 0.000993412 -57 *2791:163 *3915:19 0.000190444 -58 *2791:163 *3915:37 2.01997e-05 -59 *2860:315 *3915:37 0.000422997 -60 *2872:376 *3915:37 4.83837e-05 -61 *2874:359 *3915:37 8.94491e-05 -62 *2885:129 *3915:55 0.000731454 -63 *2885:138 *3915:55 0.000312553 -64 *3153:284 *3915:55 1.90936e-05 -65 *3170:232 *3915:55 0.000119121 -66 *3215:309 *27778:B2 2.11419e-05 -67 *3291:17 *3915:37 3.1454e-05 -68 *3320:18 *3915:9 0.000138861 -69 *3537:38 *3915:19 0.000132096 -70 *3565:10 *27778:B2 2.11419e-05 -71 *3694:77 *3915:37 7.20217e-06 -72 *3694:77 *3915:49 3.77065e-05 -73 *3785:16 *3915:55 0.000177821 -74 *3824:12 *3915:37 9.41687e-05 -75 *3850:24 *3915:55 0.00281129 -*RES -1 *28687:Q *3915:9 22.425 -2 *3915:9 *3915:19 18.2746 -3 *3915:19 *3915:37 48.5952 -4 *3915:37 *3915:49 34.7321 -5 *3915:49 *3915:55 47.3214 -6 *3915:55 *25056:A1 27.4071 -7 *3915:37 *27421:B2 9.3 -8 *3915:19 *27778:B2 17.4868 -9 *3915:9 *29067:A 9.3 -*END - -*D_NET *3916 0.0695199 -*CONN -*I *29424:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25025:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27812:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27448:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28688:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29424:A 0.000826792 -2 *25025:A1 0 -3 *27812:B2 0.000204987 -4 *27448:B2 0.000531975 -5 *28688:Q 0 -6 *3916:39 0.00173796 -7 *3916:34 0.00153693 -8 *3916:23 0.000896479 -9 *3916:20 0.00641667 -10 *3916:18 0.00790219 -11 *3916:14 0.00250443 -12 *3916:4 0.00148515 -13 *27812:B2 *27812:B1 9.41642e-05 -14 *27812:B2 *5644:17 0.000257619 -15 *27812:B2 *5650:31 0.000165123 -16 *27812:B2 *5650:178 5.96516e-05 -17 *29424:A *26979:A1 5.71472e-05 -18 *29424:A *28457:D 0.000193819 -19 *29424:A *30857:A 0.000102184 -20 *29424:A *3930:32 9.074e-05 -21 *29424:A *3965:134 0 -22 *29424:A *4252:9 9.90431e-05 -23 *29424:A *5775:128 0 -24 *29424:A *5906:15 0.000393849 -25 *29424:A *6223:17 0 -26 *3916:14 *4034:8 0.000158608 -27 *3916:14 *4034:42 0.000905183 -28 *3916:14 *4252:9 0.000178847 -29 *3916:14 *5702:212 7.55804e-05 -30 *3916:14 *5906:15 1.98839e-05 -31 *3916:14 *6217:20 4.33438e-05 -32 *3916:14 *6271:17 0.000354932 -33 *3916:18 *27773:B2 0.000860406 -34 *3916:18 *28535:RESET_B 1.94879e-05 -35 *3916:18 *3978:130 0 -36 *3916:18 *4032:8 0.00029086 -37 *3916:18 *4032:10 0.00053634 -38 *3916:18 *4034:8 0 -39 *3916:18 *4084:18 1.14072e-05 -40 *3916:18 *4136:60 4.04969e-05 -41 *3916:18 *5586:51 0.00019252 -42 *3916:18 *5646:311 0 -43 *3916:18 *5655:264 2.74787e-05 -44 *3916:18 *5870:19 0.000309148 -45 *3916:20 *27431:A1 0.000889365 -46 *3916:20 *4069:32 1.94879e-05 -47 *3916:20 *4084:18 0.000203183 -48 *3916:20 *4085:62 0.00206265 -49 *3916:20 *5435:16 2.09826e-05 -50 *3916:20 *5535:46 0.00266313 -51 *3916:20 *5603:24 6.28203e-05 -52 *3916:20 *5614:18 6.35864e-05 -53 *3916:20 *5627:208 9.61073e-06 -54 *3916:20 *5627:216 0.0008353 -55 *3916:20 *5635:147 0.000145868 -56 *3916:20 *5635:165 0.000239485 -57 *3916:20 *5649:290 0.00095815 -58 *3916:20 *5649:310 0.000444242 -59 *3916:20 *5767:29 0.000577594 -60 *3916:20 *5767:41 0.000119656 -61 *3916:23 *5602:80 8.67275e-05 -62 *3916:23 *5604:63 5.33005e-05 -63 *3916:23 *5604:72 0.000250061 -64 *3916:34 *5627:162 0.000178425 -65 *3916:34 *5632:18 0.00025049 -66 *3916:34 *5679:8 9.25014e-06 -67 *3916:34 *5679:19 0.000165911 -68 *3916:39 *5589:174 0.00133604 -69 *3916:39 *5650:31 0.000145239 -70 *3916:39 *5667:348 0.00020714 -71 *3916:39 *5678:11 0.00035838 -72 *3916:39 *5678:47 0.00035753 -73 *6617:DIODE *3916:34 9.58181e-05 -74 *24832:A *3916:14 9.39454e-05 -75 *25025:B1 *3916:23 5.33005e-05 -76 *25025:B1 *3916:34 3.17148e-05 -77 *25059:C1 *3916:20 0.000120506 -78 *27454:B2 *3916:39 4.75911e-05 -79 *27474:A *3916:20 0.000219068 -80 *27780:A *3916:20 0.000331063 -81 *27780:C *3916:20 2.98051e-05 -82 *27813:A2 *27448:B2 0.000246057 -83 *27830:A1 *3916:20 4.32957e-05 -84 *27830:B2 *3916:20 0.000112563 -85 *29912:A *29424:A 0.000349365 -86 *1083:15 *3916:14 9.71482e-05 -87 *1083:15 *3916:18 9.19272e-05 -88 *1185:72 *3916:34 2.68654e-05 -89 *1246:90 *3916:39 0.000250281 -90 *1262:191 *3916:34 2.55806e-05 -91 *1266:132 *3916:20 4.38243e-05 -92 *1269:145 *3916:20 0.00021371 -93 *1273:167 *3916:20 0 -94 *1275:195 *3916:20 2.04825e-05 -95 *1328:175 *27448:B2 0.000245207 -96 *1368:37 *3916:34 0.000412599 -97 *1368:37 *3916:39 6.6988e-05 -98 *1378:8 *3916:20 0.000630267 -99 *1378:21 *3916:20 0.000807108 -100 *1378:36 *3916:20 0.00038698 -101 *1389:10 *3916:18 0.0015817 -102 *1389:10 *3916:20 2.26912e-05 -103 *1697:32 *3916:20 4.1067e-05 -104 *2773:84 *3916:20 6.56941e-05 -105 *2791:20 *3916:18 8.46316e-05 -106 *2791:66 *3916:20 8.98003e-05 -107 *2791:275 *3916:18 0.000130643 -108 *2844:164 *27448:B2 2.84269e-05 -109 *2860:366 *27448:B2 0.00165841 -110 *2861:29 *27448:B2 9.22222e-06 -111 *2866:241 *3916:20 4.38243e-05 -112 *2874:359 *3916:20 4.28365e-05 -113 *2882:178 *3916:39 0.00122434 -114 *2882:185 *3916:34 0.000533413 -115 *2882:185 *3916:39 0.000367507 -116 *2882:303 *3916:20 6.87203e-05 -117 *2888:194 *3916:20 0.000275893 -118 *2892:166 *3916:20 0.00110856 -119 *2895:256 *27448:B2 0.000149746 -120 *3029:20 *3916:20 6.96886e-05 -121 *3153:284 *3916:20 0.000146512 -122 *3153:300 *3916:18 0 -123 *3153:300 *3916:20 0.000901824 -124 *3153:313 *3916:18 1.97086e-05 -125 *3164:319 *3916:20 4.20041e-05 -126 *3174:225 *3916:20 0.000632643 -127 *3174:239 *3916:20 0.000710671 -128 *3174:261 *3916:20 0.000375813 -129 *3176:230 *3916:34 0.000129805 -130 *3185:164 *3916:20 0.00041992 -131 *3185:180 *3916:20 0.00108841 -132 *3215:179 *3916:20 0.00226426 -133 *3327:15 *3916:20 3.76225e-05 -134 *3367:46 *3916:20 0.00319468 -135 *3580:42 *3916:20 7.05447e-05 -136 *3580:47 *3916:20 0.0016074 -137 *3744:31 *3916:20 9.01905e-05 -138 *3759:16 *3916:20 0.000170488 -139 *3760:12 *3916:34 0.000577494 -140 *3761:18 *3916:20 6.13706e-05 -141 *3837:33 *3916:20 0.000686851 -142 *3837:43 *3916:20 8.42696e-05 -143 *3838:22 *27448:B2 0.00112353 -144 *3850:24 *3916:20 9.89552e-05 -*RES -1 *28688:Q *3916:4 9.3 -2 *3916:4 *3916:14 21.9732 -3 *3916:14 *3916:18 43.7857 -4 *3916:18 *3916:20 200.67 -5 *3916:20 *3916:23 10.375 -6 *3916:23 *3916:34 30.9286 -7 *3916:34 *3916:39 36.1607 -8 *3916:39 *27448:B2 40.2643 -9 *3916:39 *27812:B2 13.9429 -10 *3916:23 *25025:A1 9.3 -11 *3916:4 *29424:A 35.5857 -*END - -*D_NET *3917 0.0235052 -*CONN -*I *30105:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27829:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27476:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *24992:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28689:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *30105:A 0.000257801 -2 *27829:B2 0.000204843 -3 *27476:A1 0.000216897 -4 *24992:A1 0 -5 *28689:Q 5.05023e-05 -6 *3917:33 0.00042174 -7 *3917:27 0.00217299 -8 *3917:22 0.00298618 -9 *3917:16 0.00213588 -10 *3917:7 0.001631 -11 *27476:A1 *5644:62 0.000106182 -12 *27829:B2 *5644:62 0.000383166 -13 *30105:A *27125:A1 0.000216755 -14 *3917:7 *28689:RESET_B 1.07719e-05 -15 *3917:16 *5633:63 0.0014876 -16 *3917:22 *5633:60 0.000546601 -17 *3917:22 *5633:63 0.000604792 -18 *3917:27 *5604:91 0.000221822 -19 *3917:27 *5633:60 0.000729282 -20 *24992:B1 *3917:27 0.000145215 -21 *24992:B2 *3917:27 2.84269e-05 -22 *25056:A1 *3917:16 0.000991697 -23 *25069:B *3917:16 3.37561e-05 -24 *27476:A2 *27476:A1 5.76114e-05 -25 *1242:128 *3917:22 0.000352215 -26 *1261:53 *3917:22 0.000132707 -27 *1273:161 *30105:A 0.000425105 -28 *1273:161 *3917:16 0.000821764 -29 *1288:264 *3917:27 0.000153315 -30 *1318:14 *3917:27 8.25843e-06 -31 *1328:183 *3917:22 0.000288497 -32 *1328:183 *3917:27 0.00036571 -33 *1378:21 *30105:A 2.59355e-05 -34 *1390:15 *3917:16 0.000142026 -35 *2791:73 *3917:16 0.00024792 -36 *2791:73 *3917:22 0.00153223 -37 *2794:177 *3917:27 0.00195436 -38 *3185:182 *30105:A 0.000416676 -39 *3185:182 *3917:16 0.000821034 -40 *3904:50 *3917:27 0.000175892 -*RES -1 *28689:Q *3917:7 14.3357 -2 *3917:7 *3917:16 44.7411 -3 *3917:16 *3917:22 28.2589 -4 *3917:22 *3917:27 45.7857 -5 *3917:27 *24992:A1 9.3 -6 *3917:22 *3917:33 4.5 -7 *3917:33 *27476:A1 12.7286 -8 *3917:33 *27829:B2 13.1214 -9 *3917:7 *30105:A 21.5679 -*END - -*D_NET *3918 0.0399633 -*CONN -*I *25400:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27486:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27854:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30556:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28832:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25400:B2 0.00256383 -2 *27486:A1 0.000159411 -3 *27854:B2 0.00166156 -4 *30556:A 0.000877759 -5 *28832:Q 0 -6 *3918:83 0.0038978 -7 *3918:45 0.00256044 -8 *3918:41 0.00186519 -9 *3918:16 0.00252544 -10 *3918:4 0.00185593 -11 *25400:B2 *25594:A0 0.000151248 -12 *25400:B2 *29381:A 1.98863e-05 -13 *25400:B2 *29394:A 0.000167762 -14 *25400:B2 *5149:22 1.20504e-05 -15 *25400:B2 *5200:25 2.22043e-05 -16 *25400:B2 *5279:29 1.95404e-05 -17 *25400:B2 *5520:48 1.28809e-05 -18 *25400:B2 *5599:158 0.000130421 -19 *25400:B2 *5685:126 1.98839e-05 -20 *25400:B2 *5685:142 1.29654e-05 -21 *25400:B2 *5706:219 6.08608e-05 -22 *25400:B2 *5711:86 6.26774e-06 -23 *25400:B2 *5718:77 0.00147466 -24 *25400:B2 *5718:88 0.000132304 -25 *25400:B2 *5933:17 5.06537e-05 -26 *25400:B2 *6054:21 5.24546e-05 -27 *25400:B2 *6332:39 0.000190128 -28 *27486:A1 *27486:B2 6.05161e-06 -29 *30556:A *5074:15 0.0018514 -30 *30556:A *5710:84 2.11419e-05 -31 *3918:16 *28021:A1 0 -32 *3918:16 *5041:23 0.000180777 -33 *3918:16 *5489:45 0 -34 *3918:16 *5685:218 1.49057e-05 -35 *3918:41 *28021:A1 0.000129764 -36 *3918:41 *28835:RESET_B 0.00022287 -37 *3918:41 *5489:45 0 -38 *3918:41 *5691:269 2.88381e-05 -39 *3918:41 *5691:276 9.60337e-06 -40 *3918:83 *25617:A0 5.52302e-05 -41 *3918:83 *25617:A1 9.60939e-05 -42 *3918:83 *29018:A 0.000137983 -43 *3918:83 *4282:17 0.000104452 -44 *3918:83 *5041:23 0.00135659 -45 *3918:83 *5488:64 0.00034481 -46 *3918:83 *5510:87 0.000393101 -47 *3918:83 *5685:142 0.00013247 -48 *3918:83 *5710:190 0.000219711 -49 *3918:83 *5734:8 0.000711607 -50 *3918:83 *5734:12 5.6852e-05 -51 *25178:A2 *3918:83 4.8529e-05 -52 *25267:A2 *3918:41 7.09433e-05 -53 *25391:B2 *27854:B2 0.00032316 -54 *25617:S *25400:B2 0.000306304 -55 *25617:S *3918:83 0.000127177 -56 *26822:S *25400:B2 0.000180764 -57 *27486:A2 *27486:A1 5.70399e-06 -58 *27486:B1 *27486:A1 0 -59 *27491:B1 *27854:B2 6.57132e-05 -60 *27491:B2 *27854:B2 4.54527e-05 -61 *27493:C *27486:A1 1.01803e-05 -62 *27507:A2 *3918:41 3.2061e-05 -63 *27547:C *27854:B2 3.10885e-05 -64 *27886:B1 *3918:41 0.000314538 -65 *27886:B1 *3918:45 8.85664e-07 -66 *27887:C1 *3918:41 0.000327545 -67 *27918:B1_N *25400:B2 7.20217e-06 -68 *28369:CLK *3918:16 0.000509208 -69 *30007:A *3918:41 3.71247e-05 -70 *30062:A *3918:41 0.000317594 -71 *460:33 *25400:B2 2.51132e-05 -72 *461:19 *25400:B2 4.4316e-05 -73 *462:17 *25400:B2 6.30454e-05 -74 *1141:20 *25400:B2 0.000276233 -75 *1427:41 *27854:B2 0 -76 *1430:29 *30556:A 0.000468243 -77 *1457:51 *30556:A 0.000187782 -78 *1471:56 *3918:16 4.28249e-05 -79 *1471:86 *27854:B2 4.79414e-05 -80 *1471:86 *3918:41 8.85664e-07 -81 *1471:86 *3918:45 0.00173434 -82 *1671:24 *25400:B2 3.61886e-05 -83 *1715:18 *25400:B2 0.000874819 -84 *1715:18 *3918:83 0.000640157 -85 *1831:18 *3918:16 0.000200581 -86 *2865:115 *27486:A1 9.67203e-05 -87 *2865:115 *3918:45 0 -88 *2871:79 *3918:45 0 -89 *2878:75 *27854:B2 4.75152e-06 -90 *2880:215 *27854:B2 4.26759e-05 -91 *2886:41 *27854:B2 0.000229559 -92 *3062:10 *3918:83 1.21317e-05 -93 *3158:66 *27486:A1 0.000148907 -94 *3158:66 *3918:45 1.34316e-05 -95 *3197:164 *3918:45 0.000118179 -96 *3209:64 *27854:B2 0.000975717 -97 *3216:99 *27854:B2 0.000148871 -98 *3216:99 *3918:45 0.0015623 -99 *3460:21 *25400:B2 1.76164e-05 -100 *3651:75 *30556:A 3.7143e-05 -101 *3651:80 *30556:A 0.000186276 -102 *3736:51 *27854:B2 0.000471778 -103 *3791:75 *3918:16 0.000189546 -104 *3791:75 *3918:41 0.000115753 -105 *3791:84 *3918:41 0.000264717 -106 *3804:17 *30556:A 0.000104349 -107 *3806:33 *30556:A 0 -108 *3892:47 *27486:A1 7.69776e-06 -109 *3892:47 *27854:B2 0.000189906 -110 *3895:88 *27854:B2 0.000903047 -111 *3906:47 *27854:B2 0.000140779 -*RES -1 *28832:Q *3918:4 9.3 -2 *3918:4 *3918:16 16.6696 -3 *3918:16 *30556:A 23.8985 -4 *3918:16 *3918:41 27.4107 -5 *3918:41 *3918:45 24.75 -6 *3918:45 *27854:B2 37.2251 -7 *3918:45 *27486:A1 16.8625 -8 *3918:4 *3918:83 47.2679 -9 *3918:83 *25400:B2 40.4148 -*END - -*D_NET *3919 0.0382436 -*CONN -*I *25323:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27879:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27507:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30572:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28833:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25323:B2 0 -2 *27879:B2 0.000325843 -3 *27507:A1 0 -4 *30572:A 3.80647e-05 -5 *28833:Q 0.000416137 -6 *3919:82 0.00239348 -7 *3919:78 0.00356999 -8 *3919:63 0.00274135 -9 *3919:51 0.00302148 -10 *3919:48 0.00178248 -11 *3919:46 0.00164761 -12 *3919:23 0.00174848 -13 *3919:12 0.000555074 -14 *27879:B2 *5583:15 8.55871e-05 -15 *27879:B2 *5718:183 0.000298499 -16 *30572:A *4139:28 0.000184745 -17 *30572:A *5698:55 9.92409e-05 -18 *3919:12 *28018:A0 1.69115e-05 -19 *3919:12 *28018:A1 0.000127172 -20 *3919:12 *5493:18 0 -21 *3919:23 *5493:18 0 -22 *3919:46 *27538:B2 8.83836e-05 -23 *3919:46 *29543:A 5.33005e-05 -24 *3919:46 *3960:43 0.000639951 -25 *3919:46 *4140:24 0.000850157 -26 *3919:46 *4140:47 0.000333615 -27 *3919:46 *5063:15 4.30581e-05 -28 *3919:46 *5313:7 5.52302e-05 -29 *3919:46 *5657:174 8.64475e-05 -30 *3919:51 *4140:47 4.29471e-05 -31 *3919:51 *5510:116 0.000430401 -32 *3919:63 *28156:D 0.000119704 -33 *3919:63 *5718:183 0.000133789 -34 *3919:82 *3963:129 2.66241e-05 -35 *25244:C1 *27879:B2 4.70095e-05 -36 *25268:A2 *3919:46 7.03295e-05 -37 *25268:B1 *3919:46 0.0001839 -38 *25305:A2 *3919:82 3.58774e-05 -39 *25312:A *3919:82 0.000198153 -40 *25323:A1 *3919:82 1.3701e-05 -41 *25323:B1 *3919:82 0.000347807 -42 *27500:B1 *3919:63 0.000188679 -43 *27507:A2 *3919:46 9.26904e-05 -44 *27507:B1 *3919:23 3.51442e-05 -45 *27511:B1 *3919:51 0.0007391 -46 *27512:A1 *3919:46 1.90936e-05 -47 *27515:B *3919:46 9.54798e-06 -48 *27519:A1 *3919:63 2.16719e-05 -49 *27526:A1 *3919:46 2.89016e-05 -50 *27526:B1 *3919:46 0.000474111 -51 *27576:A1 *3919:51 0.000103974 -52 *28018:S *3919:12 0.000148712 -53 *28157:CLK *3919:63 0.000134606 -54 *28833:CLK *3919:12 9.41642e-05 -55 *29301:A *3919:63 0.00012378 -56 *29317:A *3919:51 0.000123391 -57 *29344:A *3919:63 0.000316894 -58 *1440:19 *3919:82 5.33005e-05 -59 *1440:23 *3919:82 0.000925794 -60 *1476:132 *27879:B2 6.86693e-05 -61 *1476:132 *3919:78 0.000622451 -62 *1476:132 *3919:82 0.000526094 -63 *1476:143 *3919:82 0.0010113 -64 *1587:16 *3919:46 0.000262752 -65 *1600:17 *3919:12 2.28598e-05 -66 *1897:19 *3919:51 0.00214311 -67 *1897:19 *3919:63 0.000795368 -68 *1897:31 *3919:63 0.000259778 -69 *2845:80 *3919:63 0.000488506 -70 *3082:13 *3919:46 0.000137983 -71 *3209:85 *27879:B2 0.000199086 -72 *3220:51 *3919:82 0.00116882 -73 *3671:75 *3919:51 5.41797e-06 -74 *3671:77 *3919:51 0.000219477 -75 *3726:32 *3919:63 0.000628338 -76 *3737:34 *27879:B2 0.000175892 -77 *3752:54 *3919:63 1.43349e-05 -78 *3779:41 *3919:51 3.10885e-05 -79 *3779:45 *3919:51 0.00107387 -80 *3779:59 *3919:63 0.000378266 -81 *3788:15 *3919:46 2.95746e-06 -82 *3828:7 *3919:78 0.000135028 -83 *3884:89 *3919:51 0.000721042 -84 *3893:52 *3919:12 0.000384458 -85 *3893:52 *3919:23 8.91726e-05 -86 *3893:97 *3919:46 0.000100535 -87 *3910:28 *3919:46 9.09059e-05 -*RES -1 *28833:Q *3919:12 23.5321 -2 *3919:12 *30572:A 15.5679 -3 *3919:12 *3919:23 6.75 -4 *3919:23 *27507:A1 9.3 -5 *3919:23 *3919:46 47.1776 -6 *3919:46 *3919:48 4.5 -7 *3919:48 *3919:51 49.3839 -8 *3919:51 *3919:63 38.9911 -9 *3919:63 *27879:B2 25.4964 -10 *3919:63 *3919:78 14.3125 -11 *3919:78 *3919:82 43.7768 -12 *3919:82 *25323:B2 9.3 -*END - -*D_NET *3920 0.0684709 -*CONN -*I *27303:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25397:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27666:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29452:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28690:Q O *D sky130_fd_sc_hd__dfstp_4 -*CAP -1 *27303:B2 6.91709e-05 -2 *25397:A1 0.000675954 -3 *27666:B2 1.68973e-05 -4 *29452:A 0.000413908 -5 *28690:Q 0 -6 *3920:88 0.00164423 -7 *3920:83 0.00175621 -8 *3920:63 0.00193674 -9 *3920:51 0.00202505 -10 *3920:40 0.0039109 -11 *3920:39 0.00310383 -12 *3920:31 0.00185668 -13 *3920:20 0.0031642 -14 *3920:4 0.00173832 -15 *25397:A1 *25305:B2 8.85664e-07 -16 *25397:A1 *3945:57 0.000345257 -17 *27303:B2 *3962:61 0.000103211 -18 *29452:A *27127:A1 0 -19 *29452:A *29004:A 0 -20 *29452:A *29958:A 0.000356028 -21 *29452:A *4141:21 0.000554145 -22 *3920:20 *4141:21 0.000694648 -23 *3920:20 *5715:17 5.52238e-05 -24 *3920:20 *5832:8 0 -25 *3920:31 *27666:A2 1.46576e-05 -26 *3920:31 *28602:SET_B 0.000145661 -27 *3920:31 *3959:28 0.000153047 -28 *3920:31 *4128:18 0 -29 *3920:31 *4128:20 0.000490695 -30 *3920:31 *5832:8 0 -31 *3920:40 *25372:B2 1.53472e-05 -32 *3920:40 *27665:B1 0.000982632 -33 *3920:40 *28579:SET_B 0.00105279 -34 *3920:40 *4027:20 0.00109932 -35 *3920:40 *4113:125 0.000110242 -36 *3920:40 *4350:96 2.28159e-05 -37 *3920:40 *5607:27 0.000407939 -38 *3920:40 *5716:14 9.21418e-06 -39 *3920:63 *24891:B 0.000163042 -40 *3920:63 *4064:56 0.000740775 -41 *3920:63 *5640:63 2.01997e-05 -42 *3920:63 *5846:23 9.86249e-07 -43 *3920:63 *5846:25 0.0001034 -44 *3920:83 *3962:61 9.99987e-05 -45 *3920:83 *5626:29 0.000175892 -46 *3920:83 *5848:27 0.000189948 -47 *25081:A2 *3920:83 0.000141638 -48 *25082:A1 *3920:63 4.79453e-05 -49 *25082:C1 *3920:63 3.50637e-05 -50 *25122:A1 *27303:B2 0.000220943 -51 *25122:A1 *3920:83 0.000135028 -52 *25122:A2 *3920:83 0.000141079 -53 *25122:C1 *3920:83 3.69047e-06 -54 *25123:B *3920:40 0.00186594 -55 *25397:A2 *25397:A1 0.000306324 -56 *27655:B2 *3920:31 0.00205124 -57 *27666:A1 *3920:31 0.00014252 -58 *27666:B1 *3920:40 0.00110382 -59 *27666:C1 *3920:40 0.00144225 -60 *27667:C1 *27666:B2 4.74489e-06 -61 *27667:C1 *3920:31 0.000122091 -62 *27668:C *3920:20 0.000256574 -63 *27668:C *3920:31 0.000232929 -64 *29132:A *3920:40 0.000314458 -65 *29320:A *3920:31 0.000317602 -66 *1217:24 *3920:83 0.000869613 -67 *1273:203 *3920:88 0.000133937 -68 *1288:54 *3920:40 7.47029e-06 -69 *1398:11 *3920:40 0.00100429 -70 *1400:21 *3920:63 8.69554e-05 -71 *1401:95 *3920:88 8.55772e-05 -72 *1401:109 *3920:88 0.000218313 -73 *1401:117 *3920:83 0.000773771 -74 *1403:26 *3920:51 0.000784972 -75 *1407:29 *25397:A1 0.00109935 -76 *1430:76 *3920:83 3.68776e-05 -77 *1430:76 *3920:88 0.00180305 -78 *1439:49 *3920:63 0.00041776 -79 *1442:14 *25397:A1 0.00150574 -80 *1442:14 *3920:88 0.000210829 -81 *1443:12 *3920:51 0.00076254 -82 *1448:15 *3920:51 0.000136682 -83 *1464:56 *3920:40 0.000590876 -84 *1470:64 *25397:A1 0.000269473 -85 *1488:14 *3920:51 1.90303e-05 -86 *1615:14 *3920:40 3.91344e-05 -87 *1685:40 *3920:63 0.000830454 -88 *1689:8 *3920:40 4.27398e-05 -89 *1689:8 *3920:51 0.0015824 -90 *1689:8 *3920:63 0.00199122 -91 *1823:12 *3920:20 0.000820817 -92 *2786:156 *29452:A 6.74911e-05 -93 *2786:156 *3920:20 4.13595e-05 -94 *2792:30 *3920:20 0 -95 *2852:191 *25397:A1 1.98839e-05 -96 *2856:196 *3920:63 0 -97 *3176:202 *3920:63 1.86077e-05 -98 *3220:44 *3920:88 0.000833389 -99 *3413:16 *3920:40 0.000401246 -100 *3558:189 *3920:83 3.04311e-05 -101 *3589:23 *3920:20 0.000190798 -102 *3591:51 *3920:31 0.000249216 -103 *3686:83 *3920:51 0.00014854 -104 *3686:83 *3920:63 0.000169604 -105 *3738:28 *3920:40 0.00159593 -106 *3738:41 *3920:40 0.000313602 -107 *3738:47 *3920:40 0.000833291 -108 *3738:58 *3920:51 1.50087e-05 -109 *3739:92 *3920:83 5.33005e-05 -110 *3764:5 *3920:31 3.06878e-06 -111 *3764:7 *3920:31 1.75608e-05 -112 *3881:33 *25397:A1 6.0992e-05 -113 *3881:33 *3920:88 0.00167977 -114 *3881:35 *3920:88 1.721e-05 -115 *3881:56 *3920:40 2.94273e-05 -116 *3881:62 *3920:40 0.00625132 -*RES -1 *28690:Q *3920:4 9.3 -2 *3920:4 *29452:A 28.8179 -3 *3920:4 *3920:20 37.3304 -4 *3920:20 *3920:31 49.2589 -5 *3920:31 *27666:B2 9.58571 -6 *3920:31 *3920:39 4.5 -7 *3920:39 *3920:40 125.5 -8 *3920:40 *3920:51 41.375 -9 *3920:51 *3920:63 41.0924 -10 *3920:63 *3920:83 42.9375 -11 *3920:83 *3920:88 38.4018 -12 *3920:88 *25397:A1 37.2196 -13 *3920:83 *27303:B2 11.4786 -*END - -*D_NET *3921 0.0204943 -*CONN -*I *25265:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29300:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27896:A I *D sky130_fd_sc_hd__and2_1 -*I *27544:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28157:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *25265:B2 0 -2 *29300:A 0 -3 *27896:A 0.000243798 -4 *27544:A1 0.00021253 -5 *28157:Q 0 -6 *3921:77 0.00279719 -7 *3921:54 0.00446611 -8 *3921:13 0.000670904 -9 *3921:11 0.00051248 -10 *3921:4 0.00196683 -11 *3921:11 *27500:B2 5.33005e-05 -12 *3921:11 *27846:A 0.000187557 -13 *3921:11 *3931:26 0.000290354 -14 *3921:11 *4023:28 0.000341111 -15 *3921:13 *28157:D 4.00679e-05 -16 *3921:54 *27846:A 0.000227603 -17 *3921:54 *5666:190 0.00035013 -18 *3921:54 *5666:202 0.000163455 -19 *3921:77 *24939:A 3.56998e-05 -20 *3921:77 *25330:A1 5.41797e-06 -21 *3921:77 *26837:A1 0.000136682 -22 *3921:77 *30791:A 0 -23 *3921:77 *5634:52 0.000994667 -24 *3921:77 *5861:65 6.81786e-05 -25 *3921:77 *5947:74 0.000242398 -26 *25265:C1 *3921:77 2.99155e-05 -27 *25408:B2 *3921:54 0.000196139 -28 *25413:C *3921:77 9.83001e-05 -29 *26837:A2 *3921:77 1.89507e-06 -30 *27544:A2 *27544:A1 4.41061e-05 -31 *27544:B1 *27544:A1 3.51368e-05 -32 *27846:B *3921:54 4.25074e-05 -33 *27851:C1 *3921:54 0.000344342 -34 *29301:A *27544:A1 5.33005e-05 -35 *1239:14 *3921:54 4.16877e-05 -36 *1248:56 *3921:77 1.90936e-05 -37 *1273:81 *3921:54 0.000275097 -38 *1326:13 *3921:77 0.000169376 -39 *1400:95 *3921:77 0.000252779 -40 *1427:94 *3921:54 6.5919e-05 -41 *1437:29 *3921:11 3.97677e-05 -42 *1437:29 *3921:13 6.05161e-06 -43 *1644:36 *3921:54 0.000116595 -44 *1721:35 *3921:77 6.06448e-05 -45 *2875:63 *3921:77 0.00159273 -46 *2891:33 *27544:A1 0.000432849 -47 *2891:33 *3921:11 9.60875e-05 -48 *2891:33 *3921:13 0.000469356 -49 *3153:17 *3921:77 3.10313e-06 -50 *3153:32 *3921:77 0.000758554 -51 *3153:55 *3921:54 3.43742e-05 -52 *3170:41 *3921:77 5.59515e-05 -53 *3215:112 *3921:11 1.01075e-05 -54 *3752:56 *27896:A 0.000556299 -55 *3779:59 *27896:A 0.000557946 -56 *3840:46 *27896:A 2.78512e-05 -*RES -1 *28157:Q *3921:4 9.3 -2 *3921:4 *3921:11 16.5982 -3 *3921:11 *3921:13 4.64286 -4 *3921:13 *27544:A1 14.2643 -5 *3921:13 *27896:A 26.2464 -6 *3921:11 *29300:A 9.3 -7 *3921:4 *3921:54 35.4911 -8 *3921:54 *3921:77 47.2684 -9 *3921:77 *25265:B2 9.3 -*END - -*D_NET *3922 0.0068024 -*CONN -*I *25210:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27931:A1 I *D sky130_fd_sc_hd__a2111o_1 -*I *30310:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27552:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28158:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25210:A1 0.000525819 -2 *27931:A1 0 -3 *30310:A 0 -4 *27552:B2 0.000390012 -5 *28158:Q 0 -6 *3922:35 0.000882294 -7 *3922:11 0.000978473 -8 *3922:4 0.000944935 -9 *27552:B2 *25668:A1 0.000288984 -10 *3922:11 *25668:A1 1.98839e-05 -11 *3922:11 *28158:D 0.000141846 -12 *3922:11 *28378:RESET_B 4.70821e-05 -13 *3922:35 *28158:D 6.05161e-06 -14 *25210:A2 *25210:A1 1.40245e-05 -15 *25210:B1 *25210:A1 6.54603e-05 -16 *25210:B2 *25210:A1 0.000344491 -17 *27552:A1 *27552:B2 7.13171e-06 -18 *27552:A2 *27552:B2 5.87072e-06 -19 *27570:A1 *27552:B2 0.000558746 -20 *27570:A1 *3922:11 1.58163e-05 -21 *27931:A2 *25210:A1 2.59355e-05 -22 *27931:D1 *3922:35 0.000259273 -23 *27941:A2 *3922:35 0.000175892 -24 *1430:65 *25210:A1 7.14469e-05 -25 *3173:110 *3922:11 0.000304068 -26 *3215:57 *25210:A1 6.05161e-06 -27 *3482:19 *25210:A1 7.29712e-05 -28 *3765:30 *27552:B2 0.000148712 -29 *3779:97 *25210:A1 8.43535e-06 -30 *3779:97 *3922:35 8.08521e-05 -31 *3780:53 *3922:11 2.94124e-05 -32 *3828:61 *3922:11 0.000237022 -33 *3910:51 *27552:B2 0.000145411 -*RES -1 *28158:Q *3922:4 9.3 -2 *3922:4 *3922:11 18.6607 -3 *3922:11 *27552:B2 27.6393 -4 *3922:11 *30310:A 9.3 -5 *3922:4 *3922:35 6.32143 -6 *3922:35 *27931:A1 9.3 -7 *3922:35 *25210:A1 25.6571 -*END - -*D_NET *3923 0.0217401 -*CONN -*I *27573:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25082:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27960:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30226:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28159:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *27573:A1 0.00127022 -2 *25082:B2 0.00107391 -3 *27960:B2 0.000315143 -4 *30226:A 0.00016345 -5 *28159:Q 8.14302e-06 -6 *3923:51 0.0030874 -7 *3923:32 0.00325135 -8 *3923:8 0.00236452 -9 *25082:B2 *3936:51 5.31139e-06 -10 *27573:A1 *27957:B2 4.3143e-05 -11 *27573:A1 *4105:32 0.000305929 -12 *27573:A1 *5196:47 9.49067e-05 -13 *27573:A1 *5457:22 0 -14 *27573:A1 *5614:76 0.000152594 -15 *27573:A1 *5656:189 3.84202e-05 -16 *27960:B2 *27960:A2 2.16819e-05 -17 *27960:B2 *5687:14 5.27506e-05 -18 *30226:A *4035:60 0.000111348 -19 *30226:A *5196:47 6.20441e-06 -20 *3923:8 *4035:60 2.06178e-05 -21 *3923:32 *4023:18 4.40142e-05 -22 *3923:32 *4036:40 0.000135968 -23 *3923:32 *5196:47 9.83912e-06 -24 *3923:32 *5614:88 0.000417619 -25 *3923:32 *5691:51 2.01997e-05 -26 *3923:51 *4036:40 0.00014833 -27 *3923:51 *5457:22 0 -28 *25082:A2 *25082:B2 6.52372e-05 -29 *25082:C1 *25082:B2 1.72733e-05 -30 *25210:B2 *3923:32 0 -31 *27559:A *3923:32 0.000194212 -32 *27581:B *27573:A1 4.78952e-05 -33 *27581:B *3923:32 0.000922459 -34 *27581:B *3923:51 0.000907946 -35 *27592:A1 *25082:B2 0.00114172 -36 *27946:C1 *27573:A1 0.000472648 -37 *27960:B1 *27960:B2 1.47273e-05 -38 *27960:B1 *3923:32 0.000421122 -39 *27960:C1 *27960:B2 1.33343e-05 -40 *510:26 *30226:A 0.000178425 -41 *510:26 *3923:32 0.000149425 -42 *1358:25 *27960:B2 0.000115864 -43 *1362:79 *27573:A1 0 -44 *1362:79 *3923:51 0 -45 *1399:18 *25082:B2 2.11419e-05 -46 *1400:21 *25082:B2 2.39635e-05 -47 *1409:6 *27960:B2 5.58875e-06 -48 *1422:52 *27573:A1 4.47378e-05 -49 *1470:90 *3923:32 0.000739823 -50 *1563:17 *3923:32 0.00127142 -51 *1563:25 *3923:32 0.000140758 -52 *1685:22 *27573:A1 9.13964e-05 -53 *3129:20 *3923:32 0.000110498 -54 *3145:8 *3923:32 0.000123605 -55 *3173:110 *3923:32 3.04056e-05 -56 *3173:110 *3923:51 1.68891e-05 -57 *3185:320 *30226:A 0.000114999 -58 *3461:26 *27960:B2 0 -59 *3503:28 *3923:8 2.06178e-05 -60 *3662:34 *3923:32 0 -61 *3724:88 *25082:B2 2.63501e-05 -62 *3762:42 *25082:B2 1.94879e-05 -63 *3765:30 *27960:B2 6.53083e-05 -64 *3779:59 *3923:32 6.57425e-05 -65 *3780:34 *3923:32 9.15364e-05 -66 *3802:58 *3923:32 3.41984e-05 -67 *3818:32 *3923:32 0.000260635 -68 *3818:43 *30226:A 0.000178425 -69 *3832:43 *3923:32 0.000413482 -70 *3857:49 *3923:32 3.7538e-06 -*RES -1 *28159:Q *3923:8 17.4868 -2 *3923:8 *30226:A 20.6601 -3 *3923:8 *3923:32 33.4658 -4 *3923:32 *27960:B2 23.3446 -5 *3923:32 *3923:51 1.9646 -6 *3923:51 *25082:B2 29.55 -7 *3923:51 *27573:A1 23.9969 -*END - -*D_NET *3924 0.0530106 -*CONN -*I *27677:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27351:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25317:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30204:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28691:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *27677:B2 5.94421e-05 -2 *27351:B2 6.91483e-05 -3 *25317:A1 0.000785542 -4 *30204:A 0.000268344 -5 *28691:Q 0 -6 *3924:82 0.00126701 -7 *3924:73 0.00177083 -8 *3924:64 0.00167798 -9 *3924:62 0.0017703 -10 *3924:53 0.00271366 -11 *3924:38 0.00294273 -12 *3924:34 0.0027672 -13 *3924:18 0.00168311 -14 *3924:4 0.00244263 -15 *25317:A1 *5748:16 0.000170496 -16 *27677:B2 *5632:161 6.34778e-05 -17 *27677:B2 *5649:151 2.76645e-05 -18 *30204:A *29679:A 0.000440698 -19 *30204:A *4043:47 0.000480027 -20 *30204:A *4446:13 0.000371903 -21 *3924:18 *27199:A0 0.000570226 -22 *3924:18 *28554:D 0.000353079 -23 *3924:18 *28993:A 0.000436908 -24 *3924:18 *4037:18 0.000217413 -25 *3924:18 *4446:13 8.20967e-05 -26 *3924:18 *4928:13 0.000101545 -27 *3924:18 *5702:16 0.000346743 -28 *3924:18 *5736:10 3.56976e-05 -29 *3924:34 *26985:A0 0.000148112 -30 *3924:34 *28691:D 5.41794e-05 -31 *3924:34 *4699:9 0.00013104 -32 *3924:34 *4928:13 0.000719287 -33 *3924:34 *5736:24 0.000248439 -34 *3924:34 *5893:10 0.000185266 -35 *3924:38 *28524:RESET_B 0.00111822 -36 *3924:38 *30146:A 0.000313106 -37 *3924:38 *3963:21 0.000689494 -38 *3924:38 *4094:12 0 -39 *3924:38 *5629:172 0.000510668 -40 *3924:38 *5639:229 4.58764e-05 -41 *3924:38 *5736:24 0.000665011 -42 *3924:38 *5777:103 0.000115084 -43 *3924:38 *5777:111 0.000125731 -44 *3924:38 *5910:16 4.19624e-06 -45 *3924:53 *27110:A1 0.000309096 -46 *3924:53 *27198:A1 0.000125731 -47 *3924:53 *27679:A2 0.00017591 -48 *3924:53 *28628:D 7.29712e-05 -49 *3924:53 *28753:D 8.89289e-05 -50 *3924:53 *4029:24 0.000164769 -51 *3924:53 *5629:166 0.00135357 -52 *3924:53 *5629:172 0.000107229 -53 *3924:53 *5646:232 0.000764482 -54 *3924:53 *5692:47 3.30337e-05 -55 *3924:62 *27697:A2 0.000134168 -56 *3924:62 *3977:16 0.00158164 -57 *3924:62 *5655:203 0.000890609 -58 *3924:64 *5655:203 0.000135558 -59 *3924:73 *5632:161 0.000188549 -60 *3924:73 *5655:201 0.000563433 -61 *3924:73 *5655:203 0.00139943 -62 *3924:73 *5708:117 5.58941e-05 -63 *3924:73 *5748:16 0.000339583 -64 *3924:82 *27345:A1 2.59024e-05 -65 *3924:82 *5748:16 0.000874059 -66 *25190:C1 *3924:62 0.000165742 -67 *25343:A1 *3924:73 2.22043e-05 -68 *25343:A1 *3924:82 0.000341284 -69 *26886:S *3924:38 6.69937e-05 -70 *27057:S *3924:53 6.71336e-05 -71 *27338:C1 *3924:73 0.000121582 -72 *27344:A1 *3924:73 2.16719e-05 -73 *27347:C1 *3924:73 0.000518579 -74 *27351:B1 *27351:B2 1.55083e-05 -75 *27351:C1 *27351:B2 1.24368e-05 -76 *27677:A1 *27677:B2 6.05161e-06 -77 *27682:B *27677:B2 1.02821e-05 -78 *27703:C1 *3924:53 0.000256559 -79 *29458:A *3924:34 1.90936e-05 -80 *29725:A *3924:62 2.83129e-05 -81 *30249:A *3924:18 2.71936e-05 -82 *1024:19 *3924:18 3.62291e-05 -83 *1242:76 *25317:A1 6.37237e-05 -84 *1267:80 *3924:62 0.000511591 -85 *1269:110 *3924:64 0.000153243 -86 *1286:101 *3924:38 0 -87 *1507:20 *27351:B2 2.01e-05 -88 *1635:14 *25317:A1 0.00174458 -89 *1657:76 *25317:A1 0.000176466 -90 *2759:119 *3924:62 5.00194e-05 -91 *2761:67 *3924:53 1.90936e-05 -92 *2761:114 *3924:73 0.000494047 -93 *2763:196 *3924:38 0.00035637 -94 *2766:79 *3924:34 9.60875e-05 -95 *2776:241 *3924:38 0.0001354 -96 *2778:152 *3924:62 0.000495842 -97 *2779:80 *3924:53 0.000216755 -98 *2791:169 *3924:38 0.00017309 -99 *2794:101 *3924:38 0.000665279 -100 *2794:101 *3924:53 0.000316555 -101 *2875:258 *3924:82 0.000224096 -102 *2875:302 *3924:73 0.000103262 -103 *2877:197 *25317:A1 9.41642e-05 -104 *2877:221 *25317:A1 0.00065973 -105 *2879:83 *25317:A1 8.8707e-05 -106 *3215:211 *3924:64 0.000290986 -107 *3215:218 *27677:B2 3.66921e-05 -108 *3704:10 *3924:34 0 -109 *3704:14 *3924:62 0.00158408 -110 *3783:105 *3924:73 2.63501e-05 -111 *3783:105 *3924:82 1.4477e-06 -112 *3783:108 *25317:A1 0.000170496 -113 *3783:108 *3924:82 0.000868068 -114 *3833:16 *3924:62 0.000130508 -115 *3833:16 *3924:64 0.000729177 -116 *3833:16 *3924:73 0.00144693 -117 *3833:20 *3924:73 6.67881e-05 -118 *3846:34 *3924:73 7.6377e-05 -119 *3898:41 *3924:62 0.000613488 -*RES -1 *28691:Q *3924:4 9.3 -2 *3924:4 *3924:18 43.4107 -3 *3924:18 *30204:A 18.4607 -4 *3924:4 *3924:34 26.7589 -5 *3924:34 *3924:38 48.7679 -6 *3924:38 *3924:53 44.5089 -7 *3924:53 *3924:62 41.8645 -8 *3924:62 *3924:64 9.53571 -9 *3924:64 *3924:73 46.9305 -10 *3924:73 *3924:82 22.2951 -11 *3924:82 *25317:A1 35.3893 -12 *3924:82 *27351:B2 15.1973 -13 *3924:64 *27677:B2 15.3357 -*END - -*D_NET *3925 0.00874454 -*CONN -*I *27714:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27357:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *29757:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25259:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28692:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27714:B2 0.00034182 -2 *27357:A1 0.00018344 -3 *29757:A 3.03859e-05 -4 *25259:A1 0.000995186 -5 *28692:Q 0 -6 *3925:27 0.000830647 -7 *3925:18 0.000380341 -8 *3925:4 0.00103975 -9 *25259:A1 *28692:D 0.000109263 -10 *27714:B2 *27714:A1 0.000219289 -11 *27714:B2 *5605:60 0.000109263 -12 *3925:18 *6351:40 0.000125724 -13 *3925:27 *6351:40 0.000686067 -14 *25259:B1 *25259:A1 3.36177e-05 -15 *27357:A2 *27357:A1 0.000405709 -16 *1242:206 *25259:A1 3.28686e-05 -17 *1242:230 *25259:A1 0.000162665 -18 *1578:10 *25259:A1 0.000137983 -19 *1844:138 *27357:A1 2.06778e-05 -20 *2850:179 *27714:B2 0.000717663 -21 *2850:179 *3925:18 0.00012401 -22 *2850:179 *3925:27 0.000782658 -23 *2920:7 *27357:A1 0.00030267 -24 *2920:8 *27714:B2 0.000694953 -25 *3182:27 *29757:A 4.82947e-05 -26 *3183:46 *29757:A 9.58181e-05 -27 *3643:13 *25259:A1 1.65169e-05 -28 *3643:26 *25259:A1 6.57032e-05 -29 *3873:79 *27714:B2 6.11203e-06 -30 *3873:79 *3925:27 4.54426e-05 -*RES -1 *28692:Q *3925:4 9.3 -2 *3925:4 *25259:A1 21.8 -3 *3925:4 *3925:18 6.14286 -4 *3925:18 *29757:A 14.7464 -5 *3925:18 *3925:27 10.4464 -6 *3925:27 *27357:A1 18.4429 -7 *3925:27 *27714:B2 25.5143 -*END - -*D_NET *3926 0.0477029 -*CONN -*I *30009:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24830:A I *D sky130_fd_sc_hd__inv_2 -*I *27723:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27384:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25174:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *28693:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *30009:A 0.000413019 -2 *24830:A 0.000124306 -3 *27723:B2 0.00010485 -4 *27384:A1 4.00438e-05 -5 *25174:A1 0.000546606 -6 *28693:Q 0.000294758 -7 *3926:48 0.0011923 -8 *3926:44 0.0028897 -9 *3926:42 0.00233164 -10 *3926:40 0.00150405 -11 *3926:32 0.00159191 -12 *3926:29 0.00196937 -13 *3926:18 0.00232013 -14 *3926:15 0.000964821 -15 *24830:A *28697:RESET_B 0 -16 *25174:A1 *3965:70 0.00148565 -17 *27384:A1 *3965:61 7.18448e-05 -18 *27723:B2 *27723:A1 1.81754e-05 -19 *30009:A *5544:5 0.000226507 -20 *30009:A *5701:58 0.000963816 -21 *30009:A *5903:11 0.000194095 -22 *30009:A *6222:14 2.06178e-05 -23 *30009:A *6224:18 0.000224583 -24 *3926:15 *4254:17 0.000212234 -25 *3926:15 *5701:58 0.000472921 -26 *3926:15 *6259:24 0.000153802 -27 *3926:18 *28697:RESET_B 0 -28 *3926:18 *3939:104 0.000353889 -29 *3926:18 *5296:20 1.02969e-05 -30 *3926:29 *28697:RESET_B 0 -31 *3926:29 *3939:104 0.000189753 -32 *3926:29 *3965:10 0 -33 *3926:29 *3965:22 0 -34 *3926:29 *4056:13 0.000101249 -35 *3926:29 *4056:53 0.000352137 -36 *3926:29 *5676:292 0 -37 *3926:29 *5682:11 0.000148189 -38 *3926:29 *5695:80 0.000483582 -39 *3926:29 *5775:171 0.000140489 -40 *3926:29 *5820:85 0.000829762 -41 *3926:29 *5829:207 0.000121897 -42 *3926:29 *5911:28 2.42954e-05 -43 *3926:29 *6261:17 4.04359e-05 -44 *3926:32 *5829:68 8.79856e-06 -45 *3926:40 *27722:A2 0.000123405 -46 *3926:40 *27728:A2 1.90936e-05 -47 *3926:40 *5631:113 0 -48 *3926:40 *5644:106 0.000978349 -49 *3926:40 *5646:311 7.40571e-05 -50 *3926:40 *5656:321 0.00015608 -51 *3926:40 *5656:327 1.4477e-06 -52 *3926:40 *5781:12 6.50686e-05 -53 *3926:40 *5829:68 0.000105093 -54 *3926:44 *27737:A2 4.04359e-05 -55 *3926:44 *5654:88 2.14378e-05 -56 *3926:44 *5781:12 0.00559185 -57 *3926:48 *4108:123 1.8038e-05 -58 *3926:48 *5630:176 2.06112e-05 -59 *3926:48 *5654:86 5.05167e-05 -60 *3926:48 *5654:88 3.73407e-05 -61 *24832:A *30009:A 1.90936e-05 -62 *27382:A2 *3926:48 1.34741e-05 -63 *27395:A *3926:48 0.000682616 -64 *27395:B *3926:48 0.000445343 -65 *27395:C *3926:48 0.000681086 -66 *27723:C1 *27723:B2 0.000119135 -67 *27723:C1 *3926:40 0.00103702 -68 *27724:C1 *27723:B2 8.34819e-06 -69 *27733:A *3926:40 0.000527853 -70 *27733:B *3926:40 0.00100376 -71 *29964:A *30009:A 0.000531226 -72 *29967:A *3926:29 0.000607754 -73 *29989:A *3926:32 1.03106e-05 -74 *29989:A *3926:40 1.37595e-05 -75 *30712:A *3926:15 4.00349e-05 -76 *1258:75 *3926:48 0.000163294 -77 *1265:161 *27723:B2 0.000266923 -78 *2766:14 *3926:29 0.000319208 -79 *2766:23 *3926:29 0.000330016 -80 *2766:57 *3926:29 0.000961574 -81 *2767:167 *3926:40 3.71349e-05 -82 *2769:209 *3926:18 2.04825e-05 -83 *2769:209 *3926:29 8.79156e-05 -84 *2779:48 *3926:29 2.83562e-06 -85 *2792:62 *3926:29 0.000423674 -86 *2844:275 *25174:A1 0.00150985 -87 *2844:275 *3926:48 2.04825e-05 -88 *2844:277 *3926:48 4.06623e-05 -89 *2859:283 *3926:44 0.000115624 -90 *2867:222 *25174:A1 0.000111243 -91 *2867:226 *25174:A1 1.32511e-05 -92 *2867:226 *3926:48 0.000187613 -93 *2867:249 *3926:48 3.89006e-05 -94 *2871:278 *27384:A1 2.59355e-05 -95 *2871:298 *27384:A1 6.05161e-06 -96 *3179:123 *3926:44 0.000838575 -97 *3179:123 *3926:48 6.41658e-05 -98 *3292:11 *3926:44 0.000477578 -99 *3537:35 *3926:29 0.000202425 -100 *3679:39 *3926:44 9.58727e-05 -101 *3705:51 *3926:44 0.00250334 -102 *3705:61 *3926:44 5.74475e-05 -103 *3718:83 *3926:40 0.001678 -104 *3718:83 *3926:44 0.000265761 -105 *3731:61 *3926:40 0.000123589 -106 *3913:106 *30009:A 6.3514e-05 -107 *3913:106 *3926:15 0.000469823 -*RES -1 *28693:Q *3926:15 21.2902 -2 *3926:15 *3926:18 8.39214 -3 *3926:18 *3926:29 48.0224 -4 *3926:29 *3926:32 3.99036 -5 *3926:32 *3926:40 49.985 -6 *3926:40 *3926:42 0.535714 -7 *3926:42 *3926:44 74.6518 -8 *3926:44 *3926:48 19.7857 -9 *3926:48 *25174:A1 33.6571 -10 *3926:48 *27384:A1 14.7643 -11 *3926:32 *27723:B2 17.1259 -12 *3926:18 *24830:A 15.3268 -13 *3926:15 *30009:A 21.2258 -*END - -*D_NET *3927 0.034064 -*CONN -*I *30195:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27413:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27757:A1 I *D sky130_fd_sc_hd__a2111o_2 -*I *25107:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28694:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *30195:A 0.000109329 -2 *27413:A1 0.000187984 -3 *27757:A1 0.00076638 -4 *25107:A1 0.00100368 -5 *28694:Q 0 -6 *3927:44 0.00208876 -7 *3927:20 0.00339448 -8 *3927:19 0.00304515 -9 *3927:12 0.00213745 -10 *3927:5 0.000458039 -11 *25107:A1 *24901:B 0 -12 *25107:A1 *24942:A 0.000185006 -13 *25107:A1 *5700:29 6.57032e-05 -14 *25107:A1 *5861:34 3.27526e-05 -15 *27413:A1 *5661:245 0.000260574 -16 *27413:A1 *5792:40 6.09572e-05 -17 *27757:A1 *27754:A2 0.000110029 -18 *27757:A1 *5650:268 0.000295164 -19 *27757:A1 *5650:280 0.000148189 -20 *3927:12 *3959:63 0.000362823 -21 *3927:19 *3959:63 0.000956581 -22 *3927:19 *5688:115 4.58725e-05 -23 *3927:20 *25196:B2 1.34969e-05 -24 *3927:20 *4022:60 0.00411216 -25 *3927:20 *4088:39 0.00028606 -26 *3927:20 *4109:56 3.44802e-05 -27 *3927:20 *5590:79 6.47405e-05 -28 *3927:20 *5688:115 0.000100493 -29 *3927:44 *5661:245 0.000795984 -30 *24923:A *25107:A1 0.000144859 -31 *25104:A1 *3927:20 0.000121549 -32 *25107:A2 *25107:A1 1.46576e-05 -33 *25107:B1 *25107:A1 3.57366e-05 -34 *25108:D *25107:A1 4.16984e-05 -35 *25382:C1 *3927:20 2.07441e-05 -36 *26847:A *3927:20 0.000808811 -37 *27412:A2 *27413:A1 0.000250061 -38 *27412:A2 *3927:44 0.000421074 -39 *27413:B1 *27413:A1 0 -40 *1235:124 *3927:20 0.000145618 -41 *1235:148 *3927:20 0.000153041 -42 *1242:61 *25107:A1 3.03071e-05 -43 *1262:19 *25107:A1 5.43441e-05 -44 *1262:25 *3927:20 6.62339e-05 -45 *1262:66 *27757:A1 0.000346906 -46 *1268:11 *25107:A1 5.33005e-05 -47 *1268:37 *25107:A1 4.85033e-05 -48 *1282:159 *3927:20 0.00325185 -49 *1282:174 *3927:20 0.000224912 -50 *1429:18 *25107:A1 0 -51 *1451:6 *3927:20 1.24912e-05 -52 *1451:26 *25107:A1 6.17358e-05 -53 *1451:26 *3927:20 5.19522e-06 -54 *1494:38 *3927:20 0.00011221 -55 *1559:10 *3927:20 2.07441e-05 -56 *1652:18 *25107:A1 0 -57 *1657:56 *25107:A1 0.00114068 -58 *1667:8 *3927:20 7.6644e-05 -59 *1672:32 *3927:20 6.30932e-05 -60 *1693:14 *3927:20 1.40849e-05 -61 *2775:156 *3927:20 0.000410085 -62 *2874:290 *3927:20 0.00219752 -63 *2893:26 *27757:A1 0.000979536 -64 *2970:25 *27413:A1 0.000123295 -65 *2970:25 *27757:A1 0.000398461 -66 *3173:158 *3927:20 0.000289702 -67 *3185:118 *27757:A1 0.000146474 -68 *3215:218 *27757:A1 0.000139907 -69 *3215:242 *27757:A1 9.41642e-05 -70 *3784:36 *30195:A 0 -71 *3784:54 *3927:20 0.000194201 -72 *3810:47 *30195:A 0.000185489 -73 *3810:47 *3927:12 2.06178e-05 -74 *3810:47 *3927:44 2.11419e-05 -75 *3875:93 *25107:A1 0 -*RES -1 *28694:Q *3927:5 13.8 -2 *3927:5 *3927:12 8.11669 -3 *3927:12 *3927:19 8.9397 -4 *3927:19 *3927:20 86.4911 -5 *3927:20 *25107:A1 45.05 -6 *3927:12 *3927:44 29.199 -7 *3927:44 *27757:A1 47.7107 -8 *3927:44 *27413:A1 23.4964 -9 *3927:5 *30195:A 16.3536 -*END - -*D_NET *3928 0.0110363 -*CONN -*I *30234:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27772:A I *D sky130_fd_sc_hd__and2_1 -*I *25062:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27422:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28695:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30234:A 0.000193208 -2 *27772:A 4.16788e-05 -3 *25062:B2 0.0010089 -4 *27422:A1 0.000219874 -5 *28695:Q 8.31973e-05 -6 *3928:20 0.00188799 -7 *3928:8 0.00087047 -8 *3928:5 0.000445984 -9 *25062:B2 *25062:A2 0 -10 *25062:B2 *27060:A0 1.65183e-05 -11 *25062:B2 *5589:96 0.00104371 -12 *27772:A *27378:A1 0.000139913 -13 *30234:A *5692:31 6.05161e-06 -14 *3928:5 *5692:31 4.58194e-05 -15 *3928:20 *27117:A 1.94879e-05 -16 *3928:20 *4121:62 4.40015e-05 -17 *3928:20 *5628:121 2.04825e-05 -18 *25062:C1 *25062:B2 0.000504449 -19 *27141:S *25062:B2 5.60201e-05 -20 *27421:B1 *3928:20 2.04825e-05 -21 *27422:A2 *25062:B2 8.51331e-05 -22 *27422:A2 *27422:A1 6.26182e-05 -23 *27422:B1 *27422:A1 6.05161e-06 -24 *27422:C1 *27422:A1 0 -25 *27775:A2 *25062:B2 0.000634658 -26 *27775:B2 *3928:20 0.000193634 -27 *1246:111 *25062:B2 0.000636373 -28 *1262:100 *25062:B2 5.97367e-05 -29 *1826:178 *3928:20 4.19819e-05 -30 *2848:369 *30234:A 2.13481e-06 -31 *2872:376 *3928:8 4.28365e-05 -32 *2874:359 *30234:A 4.00679e-05 -33 *2874:359 *3928:8 1.90936e-05 -34 *2882:303 *27772:A 0.000181803 -35 *2883:220 *25062:B2 0.000343526 -36 *3537:47 *3928:8 0.000310806 -37 *3537:47 *3928:20 0.000798197 -38 *3565:24 *3928:8 0.000119736 -39 *3565:24 *3928:20 0.000768202 -40 *3580:94 *27772:A 2.14658e-05 -*RES -1 *28695:Q *3928:5 10.2464 -2 *3928:5 *3928:8 8.875 -3 *3928:8 *3928:20 22.0357 -4 *3928:20 *27422:A1 12.8893 -5 *3928:20 *25062:B2 42.5009 -6 *3928:8 *27772:A 15.5679 -7 *3928:5 *30234:A 11.8 -*END - -*D_NET *3929 0.0117586 -*CONN -*I *27454:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27810:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30407:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25017:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28696:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27454:A1 0.000644114 -2 *27810:B2 0 -3 *30407:A 0 -4 *25017:A1 0.00104725 -5 *28696:Q 0.000232438 -6 *3929:46 0.000854474 -7 *3929:34 0.000466914 -8 *3929:13 0.00153624 -9 *25017:A1 *25017:B2 3.16326e-05 -10 *25017:A1 *27133:A0 0.000304482 -11 *25017:A1 *27163:A0 7.2394e-05 -12 *25017:A1 *5650:31 0.000165156 -13 *25017:A1 *5755:32 0.000209639 -14 *25017:A1 *5755:38 3.17067e-05 -15 *25017:A1 *5755:224 0.000129185 -16 *27454:A1 *5658:12 1.81805e-05 -17 *27454:A1 *5936:25 2.59355e-05 -18 *3929:13 *28696:D 0.000115361 -19 *3929:34 *27133:A0 0.00017721 -20 *25017:A2 *25017:A1 1.00731e-05 -21 *25017:B1 *3929:46 4.58194e-05 -22 *25017:C1 *25017:A1 2.59355e-05 -23 *27454:B2 *27454:A1 1.85392e-05 -24 *27454:C1 *27454:A1 2.89016e-05 -25 *27810:A1 *27454:A1 0.000399823 -26 *27810:B1 *27454:A1 1.21258e-05 -27 *30408:A *25017:A1 0.000110946 -28 *1242:230 *25017:A1 4.28211e-05 -29 *1246:76 *3929:34 0.000177754 -30 *1246:76 *3929:46 0.000129068 -31 *1290:179 *3929:34 0.000303627 -32 *1292:223 *27454:A1 0.000957476 -33 *2786:112 *25017:A1 2.46861e-05 -34 *2791:139 *25017:A1 1.90936e-05 -35 *2791:139 *3929:13 0.000180741 -36 *2860:363 *27454:A1 0.000195555 -37 *2860:366 *27454:A1 2.35985e-05 -38 *2885:58 *3929:46 8.36743e-05 -39 *2998:30 *25017:A1 3.48818e-05 -40 *2998:30 *3929:34 0.000166286 -41 *3170:167 *27454:A1 0.000343579 -42 *3170:167 *3929:34 0.000278937 -43 *3170:167 *3929:46 0.00026757 -44 *3176:230 *27454:A1 0.00014529 -45 *3537:74 *25017:A1 0.000372563 -46 *3669:20 *25017:A1 0.000215343 -47 *3838:8 *27454:A1 0.000151333 -48 *3838:10 *27454:A1 0.000761164 -49 *3838:22 *27454:A1 0.000169095 -*RES -1 *28696:Q *3929:13 18.9071 -2 *3929:13 *25017:A1 45.1036 -3 *3929:13 *3929:34 12.5179 -4 *3929:34 *30407:A 9.3 -5 *3929:34 *3929:46 5.625 -6 *3929:46 *27810:B2 9.3 -7 *3929:46 *27454:A1 39.925 -*END - -*D_NET *3930 0.0594623 -*CONN -*I *29919:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27463:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27831:A1 I *D sky130_fd_sc_hd__a2111o_1 -*I *24977:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28697:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29919:A 5.51439e-05 -2 *27463:A1 6.98663e-05 -3 *27831:A1 0.000975226 -4 *24977:A1 0.000217926 -5 *28697:Q 0.00122245 -6 *3930:94 0.00203387 -7 *3930:86 0.00234038 -8 *3930:79 0.0021106 -9 *3930:70 0.00325941 -10 *3930:55 0.00359463 -11 *3930:33 0.00188189 -12 *3930:32 0.00205343 -13 *3930:23 0.00179427 -14 *3930:22 0.00133817 -15 *24977:A1 *25068:A2 0.000118369 -16 *24977:A1 *3978:130 0.000194726 -17 *24977:A1 *4137:16 5.71083e-05 -18 *27463:A1 *5836:45 8.25843e-06 -19 *29919:A *5544:5 0.000212153 -20 *29919:A *6223:17 0.000117553 -21 *3930:22 *24827:A 1.08359e-05 -22 *3930:22 *5364:13 0.000252633 -23 *3930:22 *5701:58 0.000315165 -24 *3930:22 *5905:37 0 -25 *3930:22 *6222:14 0.000222666 -26 *3930:22 *6224:18 0.000396568 -27 *3930:22 *6267:17 7.14469e-05 -28 *3930:23 *24827:A 0.000397918 -29 *3930:23 *5544:5 0.00061302 -30 *3930:23 *5701:58 1.54142e-05 -31 *3930:23 *6223:17 6.87574e-05 -32 *3930:23 *6224:18 5.52302e-05 -33 *3930:32 *24976:B1 0.000130057 -34 *3930:32 *27195:A 6.8194e-05 -35 *3930:32 *28740:RESET_B 1.94945e-05 -36 *3930:32 *28758:D 2.90501e-05 -37 *3930:32 *3965:134 0.00137381 -38 *3930:32 *4033:8 0 -39 *3930:32 *4085:16 0.000197203 -40 *3930:32 *5775:128 0 -41 *3930:32 *5906:15 8.359e-05 -42 *3930:33 *25068:A2 0.000371395 -43 *3930:55 *25048:A1 7.00592e-05 -44 *3930:55 *26916:A0 0.000124649 -45 *3930:55 *4006:21 0.000508132 -46 *3930:55 *4137:16 0.000350966 -47 *3930:70 *27141:A1 0.000257221 -48 *3930:70 *3941:21 0.000211333 -49 *3930:70 *4006:51 0.000581369 -50 *3930:70 *4137:20 0.00128758 -51 *3930:70 *5637:43 0.00195804 -52 *3930:70 *5639:199 0.000133706 -53 *3930:86 *5718:387 0.000181885 -54 *3930:94 *5718:387 0.00011062 -55 *24976:C1 *3930:32 1.18064e-05 -56 *25047:B1 *3930:94 0.000170171 -57 *25047:C1 *3930:94 4.90268e-05 -58 *25053:B1 *3930:55 0.000810357 -59 *25054:C1 *3930:70 0.000153742 -60 *27429:A1 *3930:70 9.89983e-05 -61 *27463:A2 *27463:A1 1.58163e-05 -62 *27842:A2 *27831:A1 0.000257619 -63 *29087:A *3930:70 8.49278e-05 -64 *29262:A *3930:32 5.62306e-05 -65 *29424:A *3930:32 9.074e-05 -66 *29922:A *3930:55 9.78828e-05 -67 *29955:A *3930:22 0 -68 *30148:A *3930:79 0.000136682 -69 *940:17 *3930:55 7.07668e-05 -70 *1242:135 *3930:86 0.000951447 -71 *1246:104 *27831:A1 0.000127163 -72 *1246:104 *3930:94 0.0020942 -73 *1265:149 *3930:70 0.0030857 -74 *1266:186 *3930:86 0.000951447 -75 *1270:75 *3930:86 0.000926782 -76 *1273:161 *3930:70 1.41029e-05 -77 *1273:161 *3930:79 0.00182334 -78 *1273:167 *3930:70 1.17855e-05 -79 *1288:157 *3930:55 0.000222238 -80 *1293:223 *27831:A1 0.00178422 -81 *1302:6 *3930:70 0.000646263 -82 *1365:16 *3930:70 4.99283e-06 -83 *1374:6 *3930:70 0.000110498 -84 *1390:15 *3930:79 0.000416686 -85 *1697:32 *3930:70 0.000133362 -86 *1853:64 *3930:55 0.000385268 -87 *2769:182 *3930:32 0.000230827 -88 *2769:187 *3930:32 0.000842909 -89 *2769:209 *3930:32 1.26352e-05 -90 *2774:162 *3930:94 6.94775e-05 -91 *2848:233 *27831:A1 8.78763e-05 -92 *2882:303 *3930:70 0.000208166 -93 *2892:177 *3930:94 0.000143263 -94 *3170:213 *3930:86 2.35215e-05 -95 *3170:232 *3930:86 0.000474534 -96 *3176:234 *27831:A1 0.00228303 -97 *3176:234 *3930:94 0.000187178 -98 *3176:254 *3930:94 0.000659776 -99 *3185:182 *3930:79 0.00182677 -100 *3215:192 *27831:A1 7.27549e-05 -101 *3326:11 *3930:70 9.12098e-05 -102 *3371:13 *27831:A1 3.61656e-05 -103 *3582:134 *3930:70 1.11048e-05 -104 *3668:11 *3930:94 0.000308434 -105 *3668:34 *3930:86 0.000340661 -106 *3668:34 *3930:94 0.000524154 -107 *3772:14 *3930:70 0.000248891 -108 *3796:163 *3930:55 0.000301871 -109 *3837:36 *3930:70 0.000444119 -110 *3839:24 *3930:55 0.000115263 -*RES -1 *28697:Q *3930:22 44.2286 -2 *3930:22 *3930:23 5.875 -3 *3930:23 *3930:32 49.3571 -4 *3930:32 *3930:33 7.10714 -5 *3930:33 *24977:A1 23.0321 -6 *3930:33 *3930:55 43.4821 -7 *3930:55 *3930:70 49.6227 -8 *3930:70 *3930:79 40.9464 -9 *3930:79 *3930:86 26.0475 -10 *3930:86 *3930:94 35.5804 -11 *3930:94 *27831:A1 47.0589 -12 *3930:86 *27463:A1 14.7464 -13 *3930:23 *29919:A 11.4786 -*END - -*D_NET *3931 0.0182191 -*CONN -*I *25395:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27500:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27846:A I *D sky130_fd_sc_hd__and2_1 -*I *29343:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28155:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25395:B2 0.00091707 -2 *27500:B2 0.00251256 -3 *27846:A 0.000156295 -4 *29343:A 2.59948e-05 -5 *28155:Q 0.000131965 -6 *3931:26 0.00336315 -7 *3931:17 0.00176927 -8 *3931:10 0.000315872 -9 *25395:B2 *5646:93 0.000175892 -10 *27500:B2 *27500:A1 5.02944e-05 -11 *27846:A *4023:28 1.11775e-05 -12 *29343:A *25665:A1 2.59355e-05 -13 *3931:10 *27872:A 0.000146474 -14 *3931:10 *27874:B2 4.82947e-05 -15 *3931:10 *4023:28 0.000146474 -16 *3931:17 *25665:A1 5.49166e-05 -17 *3931:26 *5646:93 3.34295e-05 -18 *25395:B1 *25395:B2 0.000105932 -19 *27500:A2 *27500:B2 2.18792e-05 -20 *27500:B1 *27500:B2 0.000366507 -21 *27500:C1 *27500:B2 1.24368e-05 -22 *27846:B *27846:A 0.000183058 -23 *1363:88 *25395:B2 0.00175319 -24 *1400:41 *25395:B2 0.000103977 -25 *1437:29 *3931:26 0.000346483 -26 *1832:16 *3931:26 0.000254661 -27 *1832:25 *3931:26 0.000104768 -28 *1832:41 *3931:26 6.80677e-05 -29 *2882:64 *3931:10 0.000175892 -30 *2891:33 *3931:26 0.000165123 -31 *3168:54 *29343:A 1.58163e-05 -32 *3168:54 *3931:17 2.61099e-05 -33 *3173:94 *3931:26 0.000913274 -34 *3215:112 *27846:A 5.05056e-05 -35 *3215:112 *3931:17 9.41642e-05 -36 *3215:112 *3931:26 0.000297255 -37 *3832:37 *25395:B2 0.00221322 -38 *3832:37 *3931:17 0.00030294 -39 *3921:11 *27500:B2 5.33005e-05 -40 *3921:11 *27846:A 0.000187557 -41 *3921:11 *3931:26 0.000290354 -42 *3921:54 *27846:A 0.000227603 -*RES -1 *28155:Q *3931:10 22.0143 -2 *3931:10 *29343:A 9.83571 -3 *3931:10 *3931:17 3.82143 -4 *3931:17 *3931:26 33.25 -5 *3931:26 *27846:A 18.3536 -6 *3931:26 *27500:B2 42.1571 -7 *3931:17 *25395:B2 35.733 -*END - -*D_NET *3932 0.011085 -*CONN -*I *27872:A I *D sky130_fd_sc_hd__and2_1 -*I *25326:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27509:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30544:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28156:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27872:A 0.000417123 -2 *25326:B2 0.000536224 -3 *27509:A1 0.00116312 -4 *30544:A 5.84876e-05 -5 *28156:Q 3.83946e-05 -6 *3932:18 0.00181921 -7 *3932:15 0.000536988 -8 *3932:5 9.68822e-05 -9 *25326:B2 *25667:A1 7.55769e-05 -10 *25326:B2 *5386:11 4.95966e-05 -11 *25326:B2 *5666:179 4.50149e-05 -12 *27509:A1 *3944:29 0.000120628 -13 *27509:A1 *3971:42 0.000393714 -14 *27509:A1 *5386:11 0.000357601 -15 *27872:A *4023:28 0.000291085 -16 *27872:A *5653:165 5.33005e-05 -17 *27872:A *5706:188 1.91414e-05 -18 *30544:A *4153:62 4.58194e-05 -19 *3932:5 *4153:62 4.36664e-05 -20 *3932:18 *4023:28 3.98962e-05 -21 *3932:18 *5744:76 0.000108516 -22 *27509:A2 *27509:A1 5.49489e-05 -23 *27896:B *25326:B2 6.93221e-05 -24 *30545:A *27872:A 0.000122812 -25 *1411:97 *30544:A 7.7206e-05 -26 *1411:97 *3932:5 0.000139485 -27 *2895:79 *25326:B2 0.000121613 -28 *2895:79 *27509:A1 0.00102543 -29 *2895:122 *27509:A1 0.000133244 -30 *3065:10 *27509:A1 0.000137561 -31 *3101:29 *27509:A1 9.23226e-05 -32 *3215:112 *25326:B2 0.000355611 -33 *3215:112 *27872:A 0.00048738 -34 *3215:112 *3932:18 0.000197282 -35 *3752:54 *27509:A1 4.83837e-05 -36 *3780:34 *25326:B2 0.000573919 -37 *3806:62 *27509:A1 0.000131161 -38 *3831:53 *25326:B2 8.49829e-05 -39 *3831:53 *27509:A1 0.00042698 -40 *3832:37 *27872:A 0.000348908 -41 *3931:10 *27872:A 0.000146474 -*RES -1 *28156:Q *3932:5 10.6571 -2 *3932:5 *30544:A 10.675 -3 *3932:5 *3932:15 4.5 -4 *3932:15 *3932:18 6.11536 -5 *3932:18 *27509:A1 25.2946 -6 *3932:18 *25326:B2 28.7857 -7 *3932:15 *27872:A 25.9964 -*END - -*D_NET *3933 0.0083734 -*CONN -*I *27319:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25360:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27619:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *29135:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28698:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *27319:B2 0.000389886 -2 *25360:A1 2.18071e-05 -3 *27619:B2 0.000150464 -4 *29135:A 0.000144612 -5 *28698:Q 9.37471e-05 -6 *3933:24 0.000911342 -7 *3933:17 0.00138322 -8 *3933:7 0.00049262 -9 *27319:B2 *27614:B2 0.000233406 -10 *27319:B2 *4051:49 0.000679789 -11 *27319:B2 *5196:78 9.60939e-05 -12 *27319:B2 *5635:50 0.000370844 -13 *27619:B2 *27331:B2 0.000182261 -14 *27619:B2 *5517:30 7.49761e-05 -15 *29135:A *27136:A1 5.33005e-05 -16 *29135:A *28650:SET_B 8.6969e-05 -17 *29135:A *5750:196 0.000160456 -18 *3933:7 *5713:96 0.000114596 -19 *3933:17 *27082:A1 0.000156018 -20 *3933:17 *28650:SET_B 0.000122689 -21 *3933:17 *4051:49 0.00010047 -22 *3933:17 *5635:50 1.76492e-05 -23 *3933:17 *5661:21 2.57615e-05 -24 *3933:24 *5634:95 4.00679e-05 -25 *25358:A1 *29135:A 5.52238e-05 -26 *25360:A2 *3933:24 0.000136432 -27 *25360:B2 *25360:A1 3.99614e-06 -28 *25360:B2 *27619:B2 3.03032e-05 -29 *25360:B2 *3933:24 1.98839e-05 -30 *27332:D *27319:B2 8.43535e-06 -31 *28719:CLK *29135:A 0.000248943 -32 *28719:CLK *3933:17 0.000469968 -33 *1250:72 *3933:17 1.09232e-05 -34 *1271:104 *3933:24 0.000248896 -35 *1277:107 *27619:B2 1.90936e-05 -36 *2781:87 *3933:17 8.34352e-05 -37 *2867:25 *3933:24 9.39236e-05 -38 *2867:39 *27619:B2 9.71197e-05 -39 *2867:39 *3933:24 0.000702008 -40 *2882:46 *27319:B2 1.38323e-05 -41 *2883:35 *27319:B2 2.79421e-05 -*RES -1 *28698:Q *3933:7 14.9518 -2 *3933:7 *29135:A 17.8 -3 *3933:7 *3933:17 7.41071 -4 *3933:17 *3933:24 17.2857 -5 *3933:24 *27619:B2 21.8 -6 *3933:24 *25360:A1 9.72857 -7 *3933:17 *27319:B2 33.3893 -*END - -*D_NET *3934 0.0415441 -*CONN -*I *25258:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27899:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27529:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30403:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28147:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *25258:B2 0.000696356 -2 *27899:A1 1.8004e-05 -3 *27529:B2 0.000235086 -4 *30403:A 0.000273487 -5 *28147:Q 0 -6 *3934:62 0.00260671 -7 *3934:60 0.00208094 -8 *3934:50 0.00314137 -9 *3934:30 0.00474246 -10 *3934:28 0.00288961 -11 *3934:5 0.00160851 -12 *25258:B2 *25258:A2 0.000714953 -13 *25258:B2 *5635:63 0.000362047 -14 *25258:B2 *5661:188 0.000363577 -15 *27529:B2 *27529:A1 0.000426296 -16 *27529:B2 *4100:22 0.000145119 -17 *30403:A *4646:13 0.000310292 -18 *30403:A *5706:118 0.000196104 -19 *30403:A *5712:52 0.000162463 -20 *30403:A *5727:170 0.000137983 -21 *3934:28 *28326:D 9.78027e-05 -22 *3934:28 *5583:11 0.000858191 -23 *3934:28 *5712:49 0.000435839 -24 *3934:28 *5712:52 0.000168305 -25 *3934:30 *5583:11 0.00040518 -26 *3934:30 *5583:15 0.00114952 -27 *3934:50 *5583:15 0.00183026 -28 *3934:62 *3963:125 0.000170886 -29 *3934:62 *5600:37 9.08883e-05 -30 *25209:A2 *25258:B2 0.000221815 -31 *25438:A3 *3934:28 0.000230482 -32 *27899:A2 *27899:A1 1.24368e-05 -33 *27899:A2 *3934:60 2.22085e-06 -34 *27899:B1 *3934:50 1.74858e-05 -35 *27899:B2 *3934:50 0.000300608 -36 *28085:RESET_B *3934:28 0.000137983 -37 *30324:A *3934:62 0.00014051 -38 *30432:A *3934:28 0.000773525 -39 *1243:66 *3934:62 9.41642e-05 -40 *1246:36 *3934:62 0.00082188 -41 *1395:18 *3934:62 0.000303972 -42 *1476:143 *3934:60 3.63775e-05 -43 *1476:143 *3934:62 0.00149788 -44 *1829:48 *3934:28 0 -45 *1844:44 *27529:B2 0.000177596 -46 *1844:120 *25258:B2 5.49248e-05 -47 *1864:59 *30403:A 6.4443e-05 -48 *1897:31 *3934:50 0.000182423 -49 *2866:167 *27529:B2 6.05161e-06 -50 *2877:51 *25258:B2 0.000262679 -51 *3085:12 *27529:B2 7.62712e-05 -52 *3414:20 *3934:28 0.000344413 -53 *3455:17 *3934:28 5.52302e-05 -54 *3710:28 *30403:A 0.000515369 -55 *3710:28 *3934:28 0.000439976 -56 *3726:32 *3934:50 0.00018394 -57 *3783:121 *3934:62 0.00100791 -58 *3793:40 *27529:B2 3.72685e-05 -59 *3830:71 *3934:50 0.0013848 -60 *3830:88 *27899:A1 8.25843e-06 -61 *3830:88 *3934:50 2.13481e-06 -62 *3830:88 *3934:60 1.35546e-05 -63 *3830:89 *3934:62 0.00581726 -*RES -1 *28147:Q *3934:5 13.8 -2 *3934:5 *30403:A 23.6036 -3 *3934:5 *3934:28 34.6429 -4 *3934:28 *3934:30 19.4286 -5 *3934:30 *27529:B2 24.4786 -6 *3934:30 *3934:50 48.375 -7 *3934:50 *27899:A1 9.83571 -8 *3934:50 *3934:60 2.60714 -9 *3934:60 *3934:62 59.2679 -10 *3934:62 *25258:B2 34.0857 -*END - -*D_NET *3935 0.0383012 -*CONN -*I *27564:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25185:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27925:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30306:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28148:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *27564:A1 0.000807295 -2 *25185:B2 0.00134655 -3 *27925:A1 0 -4 *30306:A 0.000188638 -5 *28148:Q 0 -6 *3935:47 0.00257797 -7 *3935:32 0.00489036 -8 *3935:28 0.00477331 -9 *3935:16 0.00168381 -10 *3935:4 0.00341685 -11 *27564:A1 *5717:117 0.00062818 -12 *30306:A *4548:15 4.58194e-05 -13 *3935:16 *25540:A2 0.00042298 -14 *3935:16 *28148:RESET_B 3.10397e-05 -15 *3935:16 *29173:A 0.000195562 -16 *3935:16 *29485:A 7.73026e-05 -17 *3935:16 *4196:18 0.000144129 -18 *3935:16 *5542:21 5.29944e-05 -19 *3935:28 *27157:A1 0.000135028 -20 *3935:28 *28148:RESET_B 4.88132e-05 -21 *3935:28 *28328:D 0.00025226 -22 *3935:28 *5699:41 7.40571e-05 -23 *3935:28 *5743:60 2.22999e-05 -24 *3935:32 *27157:A1 0.000102327 -25 *3935:32 *28330:RESET_B 1.34548e-05 -26 *3935:32 *28717:D 2.88018e-06 -27 *3935:32 *5699:41 2.89016e-05 -28 *3935:32 *5717:117 0.000128154 -29 *3935:47 *4077:49 2.14658e-05 -30 *3935:47 *5646:102 0.000301016 -31 *25175:A2 *25185:B2 0.000216755 -32 *25175:B1 *25185:B2 1.21258e-05 -33 *25175:B2 *25185:B2 0.000537024 -34 *25175:B2 *3935:47 0.000475551 -35 *25185:B1 *25185:B2 0.00204809 -36 *25213:C1 *25185:B2 1.40034e-05 -37 *25439:A0 *3935:16 0.00019384 -38 *25935:S *3935:47 1.04232e-05 -39 *27558:A2 *3935:32 6.64096e-05 -40 *27564:B1 *27564:A1 0.00014444 -41 *27569:D *27564:A1 1.46148e-05 -42 *27925:A2 *25185:B2 1.46576e-05 -43 *27925:B2 *3935:47 0.00267833 -44 *27929:A1 *27564:A1 4.70371e-05 -45 *28148:CLK *3935:16 1.07719e-05 -46 *28165:SET_B *3935:16 3.44848e-05 -47 *28167:RESET_B *3935:16 0.00047669 -48 *30360:A *3935:32 0.000554221 -49 *374:18 *3935:32 2.13481e-06 -50 *1194:37 *30306:A 2.42516e-05 -51 *1194:37 *3935:16 0.00122225 -52 *1273:203 *25185:B2 0.000298483 -53 *1738:92 *30306:A 0.000180777 -54 *1771:8 *3935:16 4.32957e-05 -55 *1771:29 *3935:16 0.000330599 -56 *1827:54 *3935:28 6.28355e-05 -57 *1877:66 *3935:28 7.55747e-05 -58 *2875:119 *27564:A1 0.000236288 -59 *2877:105 *27564:A1 5.21937e-05 -60 *2895:171 *27564:A1 1.00375e-05 -61 *3174:99 *3935:47 2.79047e-05 -62 *3196:143 *3935:28 1.65169e-05 -63 *3480:35 *3935:47 5.46971e-05 -64 *3503:28 *3935:47 5.31113e-05 -65 *3570:86 *3935:28 3.14048e-05 -66 *3616:122 *3935:28 0.000107985 -67 *3657:7 *3935:28 2.32287e-05 -68 *3763:20 *27564:A1 0.000636716 -69 *3763:20 *3935:32 0.000126439 -70 *3766:93 *3935:47 0.00063383 -71 *3870:52 *3935:32 0.00241373 -72 *3874:41 *25185:B2 0.001674 -*RES -1 *28148:Q *3935:4 9.3 -2 *3935:4 *3935:16 47.2857 -3 *3935:16 *30306:A 12.7107 -4 *3935:4 *3935:28 31.9286 -5 *3935:28 *3935:32 41.5893 -6 *3935:32 *3935:47 42.9821 -7 *3935:47 *27925:A1 9.3 -8 *3935:47 *25185:B2 44.0679 -9 *3935:32 *27564:A1 39.2464 -*END - -*D_NET *3936 0.0406002 -*CONN -*I *27948:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25122:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27588:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30282:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28149:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27948:A1 0.0017831 -2 *25122:B2 0.00154552 -3 *27588:B2 2.37855e-05 -4 *30282:A 0.00107323 -5 *28149:Q 0 -6 *3936:51 0.00544728 -7 *3936:35 0.00347135 -8 *3936:33 0.00329934 -9 *3936:4 0.00304367 -10 *25122:B2 *4012:39 0.000192286 -11 *27948:A1 *27946:B2 1.07753e-05 -12 *27948:A1 *4012:39 0.000192724 -13 *27948:A1 *5640:64 0 -14 *27948:A1 *5845:25 2.08447e-05 -15 *27948:A1 *5909:44 0.00141662 -16 *30282:A *25657:A0 0.000588525 -17 *30282:A *28144:D 9.73694e-05 -18 *30282:A *28149:RESET_B 0.000235473 -19 *30282:A *30790:A 0.000309854 -20 *30282:A *4127:75 0.000684675 -21 *30282:A *4560:14 2.04866e-05 -22 *30282:A *5136:11 9.25014e-06 -23 *30282:A *5545:59 0.000385095 -24 *30282:A *5742:163 2.58757e-05 -25 *3936:33 *24903:A 0.000476702 -26 *3936:33 *24903:B 0.000167684 -27 *3936:33 *25651:A0 0.000347463 -28 *3936:33 *28144:D 0.000126905 -29 *3936:33 *28149:RESET_B 9.41642e-05 -30 *3936:33 *28332:D 0.000309329 -31 *3936:33 *29024:A 5.33005e-05 -32 *3936:33 *29910:A 4.58194e-05 -33 *3936:33 *5743:60 2.95642e-05 -34 *3936:33 *5743:74 8.49829e-05 -35 *3936:33 *5778:49 0.000838015 -36 *3936:33 *5806:8 0.000574458 -37 *3936:35 *28963:A 0.000275357 -38 *3936:35 *5666:36 0.000265286 -39 *3936:51 *27588:A1 2.09645e-05 -40 *3936:51 *4101:24 6.28203e-05 -41 *3936:51 *5196:47 0 -42 *3936:51 *5640:63 5.78562e-05 -43 *25082:A1 *3936:51 0.000429761 -44 *25082:B2 *3936:51 5.31139e-06 -45 *25122:C1 *25122:B2 1.38106e-05 -46 *27581:B *3936:51 2.35215e-05 -47 *27585:A1 *3936:51 6.09762e-05 -48 *27588:A2 *3936:51 9.19433e-05 -49 *27588:C1 *3936:35 0.000221641 -50 *27592:A1 *3936:51 0.000596625 -51 *27946:A2 *27948:A1 0.000163759 -52 *27946:C1 *3936:51 0 -53 *27948:B1 *27948:A1 0.000589492 -54 *27956:A *27948:A1 0.000194065 -55 *27956:C *27948:A1 0.000195166 -56 *27966:C1 *25122:B2 8.23597e-06 -57 *28066:RESET_B *30282:A 0.000120895 -58 *28938:A *3936:33 5.27821e-05 -59 *28938:A *3936:35 0.000185104 -60 *30473:A *3936:35 0.000852304 -61 *1247:43 *25122:B2 0.000142272 -62 *1360:20 *25122:B2 1.94945e-05 -63 *1362:79 *3936:51 7.33887e-05 -64 *1423:54 *3936:51 0.000461848 -65 *1443:10 *25122:B2 6.26774e-06 -66 *1476:21 *3936:35 0.000132473 -67 *1476:21 *3936:51 0.000633783 -68 *1476:209 *3936:51 0.000109167 -69 *1511:18 *27948:A1 0.000329376 -70 *1647:46 *27948:A1 5.00087e-06 -71 *1665:20 *27948:A1 1.78465e-05 -72 *1685:22 *3936:51 3.84095e-05 -73 *1829:26 *3936:33 0 -74 *1829:34 *3936:33 2.6949e-05 -75 *1875:36 *30282:A 1.02366e-05 -76 *2864:203 *3936:51 8.69554e-05 -77 *2874:102 *3936:35 0.00187327 -78 *2874:102 *3936:51 0.000244738 -79 *3156:162 *3936:51 1.21813e-05 -80 *3182:134 *25122:B2 0.000117869 -81 *3461:26 *25122:B2 1.46911e-05 -82 *3506:19 *25122:B2 0.000181227 -83 *3637:7 *3936:35 1.98839e-05 -84 *3685:66 *3936:35 0.00029449 -85 *3685:66 *3936:51 0.000674218 -86 *3686:83 *25122:B2 0.00113724 -87 *3715:32 *3936:51 0 -88 *3724:88 *25122:B2 2.05803e-05 -89 *3724:88 *27948:A1 0.00197906 -90 *3724:88 *3936:51 0.000220605 -91 *3776:44 *27948:A1 0.000111243 -92 *3819:41 *3936:51 9.22109e-05 -*RES -1 *28149:Q *3936:4 9.3 -2 *3936:4 *30282:A 41.2821 -3 *3936:4 *3936:33 48.4286 -4 *3936:33 *3936:35 32.1652 -5 *3936:35 *27588:B2 9.72857 -6 *3936:35 *3936:51 20.6371 -7 *3936:51 *25122:B2 24.7346 -8 *3936:51 *27948:A1 37.8111 -*END - -*D_NET *3937 0.00854545 -*CONN -*I *27676:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30373:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25308:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27339:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28699:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *27676:A1 6.58448e-05 -2 *30373:A 3.42059e-05 -3 *25308:A1 0.000738305 -4 *27339:B2 0.000370642 -5 *28699:Q 0.000266126 -6 *3937:37 0.000367922 -7 *3937:8 0.00122813 -8 *3937:7 0.000653185 -9 *25308:A1 *5605:170 8.25843e-06 -10 *27339:B2 *5649:217 0.00021346 -11 *27339:B2 *5905:124 0.000341276 -12 *27676:A1 *5649:217 0.000172026 -13 *25308:A2 *25308:A1 2.59355e-05 -14 *27339:A1 *27339:B2 0.000259542 -15 *27751:A2 *27676:A1 0.000146474 -16 *27751:A2 *30373:A 9.60939e-05 -17 *28699:CLK *3937:7 5.82566e-05 -18 *1256:113 *25308:A1 0.00034188 -19 *1260:91 *30373:A 0.000139907 -20 *1260:91 *3937:37 0.000287072 -21 *1265:128 *30373:A 2.14658e-05 -22 *1265:128 *3937:37 0.000145239 -23 *1271:211 *25308:A1 0.000119426 -24 *2787:161 *27339:B2 0.000339346 -25 *2794:122 *27339:B2 0.000213277 -26 *2794:122 *3937:8 0.000242851 -27 *2794:122 *3937:37 0.000414783 -28 *2860:287 *27339:B2 0.000125355 -29 *3241:14 *27339:B2 0.000213277 -30 *3241:14 *3937:8 0.000252101 -31 *3241:14 *3937:37 0.000417793 -32 *3846:34 *27339:B2 0.000216829 -33 *3846:34 *27676:A1 9.16325e-06 -*RES -1 *28699:Q *3937:7 16.5946 -2 *3937:7 *3937:8 3.46429 -3 *3937:8 *27339:B2 34.5143 -4 *3937:8 *25308:A1 22.5679 -5 *3937:7 *3937:37 13.0893 -6 *3937:37 *30373:A 10.6571 -7 *3937:37 *27676:A1 20.55 -*END - -*D_NET *3938 0.0356787 -*CONN -*I *27715:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27373:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29822:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25274:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28700:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27715:A1 5.1795e-05 -2 *27373:B2 0.00045779 -3 *29822:A 0 -4 *25274:A1 6.73491e-05 -5 *28700:Q 0 -6 *3938:50 0.00170762 -7 *3938:40 0.00292848 -8 *3938:38 0.00276598 -9 *3938:25 0.00124838 -10 *3938:17 0.00278732 -11 *3938:5 0.00282922 -12 *27373:B2 *5627:38 0.000221815 -13 *27715:A1 *27162:A1 3.14163e-05 -14 *27715:A1 *27715:B1 4.26759e-05 -15 *27715:A1 *4146:56 9.54798e-06 -16 *27715:A1 *5638:62 4.87953e-05 -17 *3938:17 *27138:A0 0.00024777 -18 *3938:17 *28700:D 0.000189259 -19 *3938:17 *5667:113 0.000380725 -20 *3938:17 *5757:43 2.48338e-05 -21 *3938:17 *5757:48 0.000111948 -22 *3938:17 *6319:25 0.00011069 -23 *3938:25 *27138:A1 0 -24 *3938:25 *5667:113 0.000185501 -25 *3938:38 *27327:A 0.000115676 -26 *3938:38 *5641:19 0.000228632 -27 *3938:38 *5667:111 0.00027836 -28 *3938:38 *5667:113 0.00110982 -29 *3938:38 *5667:312 4.15161e-05 -30 *3938:38 *5717:60 9.49939e-05 -31 *3938:38 *6225:114 7.62815e-05 -32 *3938:40 *27715:B1 9.9988e-05 -33 *3938:40 *4055:19 8.04174e-05 -34 *3938:40 *4055:33 0.00110279 -35 *3938:40 *5641:19 5.97552e-05 -36 *3938:40 *5641:23 0 -37 *3938:40 *5694:94 0.00131777 -38 *3938:40 *5720:225 7.79781e-06 -39 *3938:40 *5720:237 9.63449e-05 -40 *3938:50 *27048:A1 0.000146976 -41 *3938:50 *4146:56 0.00147968 -42 *3938:50 *5641:23 0.0014114 -43 *27327:B *3938:38 8.68127e-05 -44 *27373:A1 *27373:B2 0.00028591 -45 *27373:A1 *3938:50 0.000478881 -46 *27376:B1 *3938:38 0.00115006 -47 *27376:B1 *3938:40 0.000197054 -48 *28660:CLK *3938:17 2.83129e-05 -49 *29710:A *3938:40 0.000123133 -50 *29833:A *3938:38 4.28249e-05 -51 *29841:A *3938:38 0.000193636 -52 *1242:230 *3938:40 1.31511e-05 -53 *1250:163 *25274:A1 0.00014351 -54 *1262:172 *27373:B2 0.00075685 -55 *1271:88 *25274:A1 1.02504e-05 -56 *1485:23 *25274:A1 0.00011845 -57 *1550:34 *3938:17 0.000112777 -58 *2782:53 *3938:17 0.000107388 -59 *2842:11 *3938:25 9.54798e-06 -60 *2842:11 *3938:38 0.000167518 -61 *2845:22 *3938:38 4.00349e-05 -62 *2849:30 *3938:17 0 -63 *2849:30 *3938:25 0 -64 *2850:177 *3938:38 2.90164e-05 -65 *2889:11 *3938:40 6.09762e-05 -66 *2889:22 *3938:40 0.000132237 -67 *2889:22 *3938:50 0.000226349 -68 *2894:210 *3938:50 0.000579794 -69 *2938:17 *3938:40 0.00232001 -70 *3184:43 *3938:17 5.23109e-05 -71 *3191:37 *3938:17 0.0024918 -72 *3574:75 *27373:B2 0.000548848 -73 *3795:28 *3938:50 0.000930895 -74 *3886:51 *3938:40 2.53056e-05 -75 *3899:54 *3938:50 1.79205e-05 -*RES -1 *28700:Q *3938:5 13.8 -2 *3938:5 *3938:17 48.4346 -3 *3938:17 *25274:A1 15.7464 -4 *3938:5 *3938:25 2.55357 -5 *3938:25 *29822:A 13.8 -6 *3938:25 *3938:38 30.3125 -7 *3938:38 *3938:40 46.2679 -8 *3938:40 *3938:50 45.2411 -9 *3938:50 *27373:B2 22.7152 -10 *3938:40 *27715:A1 15.3268 -*END - -*D_NET *3939 0.0937239 -*CONN -*I *29425:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24829:A I *D sky130_fd_sc_hd__inv_2 -*I *27381:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27731:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25214:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28701:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29425:A 0.000102854 -2 *24829:A 0.00173809 -3 *27381:B2 3.15433e-06 -4 *27731:A1 0.000586884 -5 *25214:A1 0 -6 *28701:Q 0 -7 *3939:104 0.00400439 -8 *3939:102 0.00389824 -9 *3939:94 0.00343211 -10 *3939:65 0.000590038 -11 *3939:63 0.00278725 -12 *3939:51 0.00466746 -13 *3939:50 0.0042973 -14 *3939:33 0.00215954 -15 *3939:21 0.00384958 -16 *3939:11 0.00334626 -17 *3939:4 0.00114215 -18 *24829:A *24821:A 0.000115015 -19 *24829:A *26864:A0 0.000372854 -20 *24829:A *29982:A 0.00140278 -21 *24829:A *30854:A 0.000424526 -22 *24829:A *30856:A 7.69372e-05 -23 *24829:A *4803:18 5.27254e-05 -24 *24829:A *5364:13 0.000989833 -25 *24829:A *5397:53 0.000127066 -26 *24829:A *5449:28 0.000176783 -27 *24829:A *5451:23 0 -28 *24829:A *5453:32 1.80032e-05 -29 *24829:A *5462:55 0 -30 *24829:A *5676:307 1.31653e-05 -31 *24829:A *5880:24 7.14469e-05 -32 *24829:A *6039:24 0.000121201 -33 *27381:B2 *4107:26 1.31802e-07 -34 *27731:A1 *31010:A 0.000260152 -35 *27731:A1 *4107:26 0.000405083 -36 *27731:A1 *5593:15 0.000630881 -37 *27731:A1 *5809:13 0.000512233 -38 *29425:A *27139:A1 0.000218679 -39 *29425:A *5583:134 0.000105471 -40 *3939:11 *5584:76 0 -41 *3939:11 *5650:31 0.000148244 -42 *3939:21 *25273:A1 7.5437e-05 -43 *3939:21 *5667:67 0.000569457 -44 *3939:21 *5694:251 4.26759e-05 -45 *3939:21 *5694:283 0.000160478 -46 *3939:33 *25273:A1 0.00165647 -47 *3939:33 *25273:A2 9.30682e-05 -48 *3939:33 *3992:42 0.00038021 -49 *3939:33 *5604:34 0.00111425 -50 *3939:33 *5687:73 2.91131e-05 -51 *3939:50 *26893:A1 6.58294e-06 -52 *3939:50 *3946:18 0.000103873 -53 *3939:50 *5667:60 8.98081e-05 -54 *3939:50 *5667:67 0.000890243 -55 *3939:50 *5667:348 4.55864e-05 -56 *3939:50 *5694:283 0.000752454 -57 *3939:51 *30346:A 1.08359e-05 -58 *3939:51 *3965:56 0.00209286 -59 *3939:51 *3965:82 0.00202544 -60 *3939:51 *5632:44 0.000228845 -61 *3939:51 *5647:82 5.55763e-05 -62 *3939:51 *5660:157 0.00112984 -63 *3939:51 *5718:360 0.00104794 -64 *3939:63 *4134:45 0.00158187 -65 *3939:63 *5647:82 6.7812e-05 -66 *3939:94 *27132:A1 9.33161e-05 -67 *3939:94 *4121:30 0.000749949 -68 *3939:94 *5609:245 1.43349e-05 -69 *3939:94 *5656:313 0.000130794 -70 *3939:102 *4121:24 5.3252e-05 -71 *3939:102 *4121:30 9.94362e-05 -72 *3939:102 *4138:18 4.75511e-05 -73 *3939:102 *4205:22 0.000109601 -74 *3939:102 *5609:245 0.000112777 -75 *3939:102 *5627:237 3.52028e-05 -76 *3939:102 *5650:352 0.000790113 -77 *3939:102 *5651:378 2.06112e-05 -78 *3939:102 *5695:110 0.000449602 -79 *3939:102 *5695:124 4.29471e-05 -80 *3939:102 *5800:68 4.21517e-05 -81 *3939:104 *26864:A0 4.30584e-06 -82 *3939:104 *26872:S 5.4528e-05 -83 *3939:104 *27069:A0 0.000108824 -84 *3939:104 *28697:RESET_B 0 -85 *3939:104 *28748:D 0 -86 *3939:104 *29630:A 3.25339e-05 -87 *3939:104 *3965:130 8.83836e-05 -88 *3939:104 *4004:46 0.000221095 -89 *3939:104 *4205:10 5.58941e-05 -90 *3939:104 *4205:22 0.00040527 -91 *3939:104 *4817:18 7.91281e-05 -92 *3939:104 *5676:26 0.00201483 -93 *3939:104 *5676:47 1.90936e-05 -94 *3939:104 *5676:307 0.000183794 -95 *3939:104 *5800:19 0.000135968 -96 *3939:104 *5800:43 0.0002535 -97 *3939:104 *5814:10 4.28321e-05 -98 *3939:104 *5905:16 0 -99 *3939:104 *5911:43 3.4323e-06 -100 *3939:104 *5912:54 9.91086e-05 -101 *3939:104 *6259:24 7.83659e-05 -102 mgmt_gpio_oeb[31] *24829:A 0 -103 mgmt_gpio_out[30] *24829:A 0.000339021 -104 *25214:A2 *3939:33 0.000115413 -105 *25214:B1 *3939:33 6.02515e-05 -106 *25217:B *3939:33 0.000135028 -107 *25273:B1 *3939:33 5.23296e-05 -108 *25278:B2 *3939:21 0.000379878 -109 *26892:A *3939:51 0.000240484 -110 *26946:A *3939:102 6.48721e-05 -111 *26946:A *3939:104 0.00138481 -112 *27069:S *3939:104 0.000718946 -113 *27126:A *3939:104 0.000166552 -114 *27132:S *3939:94 9.2316e-05 -115 *27134:S *24829:A 2.06112e-05 -116 *27381:A2 *27381:B2 1.28809e-05 -117 *27381:A2 *27731:A1 4.35597e-05 -118 *27381:B1 *27731:A1 1.73088e-05 -119 *27381:C1 *3939:63 9.54798e-06 -120 *27381:C1 *3939:94 0.000659844 -121 *27428:A2 *3939:63 8.94491e-05 -122 *27454:A2 *3939:11 0 -123 *27744:A1 *3939:51 6.82306e-05 -124 *29737:A *24829:A 0.000112799 -125 *29955:A *3939:104 0 -126 *29978:A *3939:104 0.000118187 -127 *30236:A *3939:94 5.52302e-05 -128 *30712:A *24829:A 0 -129 *66:13 *24829:A 7.44805e-05 -130 *137:17 *24829:A 0.000342083 -131 *139:26 *24829:A 4.50149e-05 -132 *783:17 *24829:A 0 -133 *1178:48 *3939:21 0.000384443 -134 *1178:124 *3939:51 0.00259708 -135 *1178:158 *3939:51 0.000203636 -136 *1185:175 *3939:63 0.00182725 -137 *1185:175 *3939:94 0.0001326 -138 *1244:198 *3939:21 0.000462548 -139 *1246:62 *3939:21 0.00283027 -140 *1276:120 *3939:51 0.00169563 -141 *1277:188 *3939:51 0.000110948 -142 *1512:11 *3939:51 2.52223e-05 -143 *1700:44 *3939:33 9.41642e-05 -144 *1826:117 *3939:50 0 -145 *2758:90 *3939:21 0.000388772 -146 *2760:26 *3939:50 0.000178751 -147 *2760:109 *3939:51 0 -148 *2760:157 *3939:63 4.10444e-05 -149 *2765:18 *3939:102 8.6281e-05 -150 *2773:84 *3939:102 6.51414e-05 -151 *2779:48 *3939:104 4.11173e-05 -152 *2780:150 *3939:51 0 -153 *2786:66 *3939:94 0.000332543 -154 *2787:144 *3939:63 0.000237596 -155 *2848:206 *3939:51 0.000313339 -156 *2848:242 *3939:51 0.000121549 -157 *2856:344 *3939:102 0.000148506 -158 *2860:351 *3939:51 0.000100823 -159 *2872:163 *3939:21 0.000182915 -160 *2872:163 *3939:50 2.29047e-05 -161 *2872:376 *3939:94 6.78889e-05 -162 *2874:158 *3939:11 9.02928e-05 -163 *2874:169 *3939:50 2.35215e-05 -164 *2874:169 *3939:51 0.000282886 -165 *2874:190 *3939:51 6.48314e-06 -166 *2877:234 *3939:51 0.000168856 -167 *2880:108 *3939:51 0.000179731 -168 *2893:64 *3939:33 0.000504522 -169 *3153:165 *3939:51 0.00374568 -170 *3164:319 *3939:102 0.000485238 -171 *3170:245 *27731:A1 9.41642e-05 -172 *3170:245 *3939:63 8.83449e-05 -173 *3174:188 *3939:11 4.65519e-05 -174 *3177:85 *3939:51 5.28498e-05 -175 *3177:85 *3939:63 4.8817e-05 -176 *3179:123 *3939:51 6.54117e-05 -177 *3185:229 *3939:102 0.000271939 -178 *3292:11 *3939:51 3.78516e-05 -179 *3293:14 *3939:50 0.000165782 -180 *3293:14 *3939:51 0.000426009 -181 *3293:34 *3939:50 8.69554e-05 -182 *3537:38 *3939:102 0.000900114 -183 *3537:47 *3939:102 0.000298482 -184 *3537:73 *3939:11 1.94945e-05 -185 *3558:213 *3939:51 4.28365e-05 -186 *3565:24 *3939:94 0.000693787 -187 *3607:26 *3939:102 2.20983e-05 -188 *3607:76 *3939:102 1.67878e-05 -189 *3608:12 *3939:104 0 -190 *3627:50 *3939:51 0.000649536 -191 *3669:63 *3939:11 2.11419e-05 -192 *3692:62 *3939:51 0.000101028 -193 *3692:62 *3939:63 0.000110498 -194 *3705:51 *3939:63 3.59265e-05 -195 *3718:89 *3939:63 8.40344e-05 -196 *3718:89 *3939:94 0.000942275 -197 *3887:42 *3939:63 0.000118715 -198 *3887:60 *3939:51 0.000237415 -199 *3915:19 *3939:102 0.000130382 -200 *3926:18 *3939:104 0.000353889 -201 *3926:29 *3939:104 0.000189753 -*RES -1 *28701:Q *3939:4 9.3 -2 *3939:4 *3939:11 13.8058 -3 *3939:11 *3939:21 48.2857 -4 *3939:21 *3939:33 42.4196 -5 *3939:33 *25214:A1 9.3 -6 *3939:11 *3939:50 27.2639 -7 *3939:50 *3939:51 128.232 -8 *3939:51 *3939:63 19.446 -9 *3939:63 *3939:65 4.5 -10 *3939:65 *27731:A1 25.0679 -11 *3939:65 *27381:B2 9.42946 -12 *3939:63 *3939:94 45.9196 -13 *3939:94 *3939:102 42.6071 -14 *3939:102 *3939:104 59.4732 -15 *3939:104 *24829:A 48.2812 -16 *3939:4 *29425:A 11.9071 -*END - -*D_NET *3940 0.0144739 -*CONN -*I *29529:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27751:A1 I *D sky130_fd_sc_hd__a221o_2 -*I *27400:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25093:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28702:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29529:A 0 -2 *27751:A1 0.000216966 -3 *27400:B2 0.00166753 -4 *25093:B2 0.000101456 -5 *28702:Q 0.000583255 -6 *3940:28 0.00241601 -7 *3940:15 0.000895555 -8 *3940:11 0.00084584 -9 *25093:B2 *3998:44 0.000213657 -10 *27400:B2 *25147:A2 0.00016197 -11 *27400:B2 *28710:D 4.97904e-05 -12 *27400:B2 *3953:28 4.5185e-05 -13 *27400:B2 *5587:44 6.72323e-06 -14 *27400:B2 *5688:85 0.0002938 -15 *27400:B2 *5688:97 0.000136165 -16 *27400:B2 *5777:53 0.000105924 -17 *27751:A1 *28710:RESET_B 0.000320842 -18 *27751:A1 *5662:144 4.03058e-05 -19 *3940:11 *28702:D 2.79421e-05 -20 *3940:11 *5765:37 0.000110242 -21 *3940:11 *6247:18 1.71589e-05 -22 *3940:11 *6247:31 0.000109154 -23 *3940:28 *28710:RESET_B 9.41642e-05 -24 *3940:28 *3998:41 0.000736473 -25 *3940:28 *3998:44 0.000752035 -26 *3940:28 *5777:53 0.000386349 -27 *25093:A2 *3940:11 0.000342318 -28 *25093:B1 *25093:B2 5.49544e-05 -29 *25146:A2 *27400:B2 0.000243809 -30 *25146:B1 *27400:B2 0 -31 *25146:B2 *27400:B2 1.8995e-06 -32 *27410:C1 *27400:B2 2.59355e-05 -33 *27415:A1 *27400:B2 7.68942e-05 -34 *27416:C *3940:28 0.000137464 -35 *27751:B1 *27751:A1 2.59355e-05 -36 *27751:B2 *27751:A1 1.36772e-05 -37 *1225:119 *3940:15 0.000463591 -38 *1256:96 *3940:28 0.000110498 -39 *1260:91 *27751:A1 0.00034474 -40 *1292:81 *27400:B2 0.000210538 -41 *1293:129 *27400:B2 2.35215e-05 -42 *1433:23 *27400:B2 4.73891e-05 -43 *1467:27 *27400:B2 0.000147117 -44 *1562:45 *25093:B2 0.000215372 -45 *1562:45 *3940:28 0.000720441 -46 *1700:6 *3940:28 2.07506e-05 -47 *1834:49 *27400:B2 0 -48 *2771:104 *27400:B2 0.000105924 -49 *2771:111 *3940:28 0.000110774 -50 *2845:300 *27751:A1 9.10423e-05 -51 *2845:300 *3940:28 9.58181e-05 -52 *2848:282 *27400:B2 3.93449e-05 -53 *2848:300 *27400:B2 3.03345e-05 -54 *2872:299 *27400:B2 2.09826e-05 -55 *2875:275 *3940:11 1.71589e-05 -56 *2882:246 *27400:B2 6.05161e-06 -57 *2892:292 *27400:B2 5.85849e-05 -58 *2970:25 *25093:B2 2.59355e-05 -59 *3314:25 *27400:B2 0.000132869 -60 *3552:22 *3940:11 0.000135532 -61 *3552:22 *3940:15 2.12005e-05 -62 *3680:34 *27400:B2 2.09826e-05 -*RES -1 *28702:Q *3940:11 27.4786 -2 *3940:11 *3940:15 9.55357 -3 *3940:15 *25093:B2 17.1929 -4 *3940:15 *3940:28 25 -5 *3940:28 *27400:B2 30.723 -6 *3940:28 *27751:A1 15.7464 -7 *3940:11 *29529:A 9.3 -*END - -*D_NET *3941 0.00320855 -*CONN -*I *27435:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27774:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25052:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30145:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28703:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27435:B2 0 -2 *27774:A1 0.00022612 -3 *25052:B2 0 -4 *30145:A 4.74179e-05 -5 *28703:Q 9.02475e-05 -6 *3941:21 0.000328879 -7 *3941:9 0.000427085 -8 *3941:8 0.000367155 -9 *27774:A1 *4107:26 5.79915e-05 -10 *30145:A *27141:A1 6.05161e-06 -11 *30145:A *5879:98 2.12005e-05 -12 *3941:8 *4085:18 1.17968e-05 -13 *3941:8 *4085:27 0.000216448 -14 *3941:9 *27141:A1 7.95355e-05 -15 *3941:9 *5862:23 9.41642e-05 -16 *3941:9 *5879:98 4.58194e-05 -17 *3941:9 *5879:112 0.000110763 -18 *25052:A1 *27774:A1 2.13114e-05 -19 *25052:B1 *27774:A1 4.53378e-05 -20 *25052:B1 *3941:21 6.26774e-06 -21 *27774:A2 *27774:A1 1.38392e-05 -22 *1266:194 *3941:9 8.55871e-05 -23 *1271:221 *30145:A 5.49544e-05 -24 *1271:221 *3941:21 0.000140142 -25 *1273:167 *3941:21 3.32346e-05 -26 *1365:16 *3941:21 9.54798e-06 -27 *1392:10 *3941:8 0.000237913 -28 *3837:43 *27774:A1 0.000218409 -29 *3930:70 *3941:21 0.000211333 -*RES -1 *28703:Q *3941:8 21.4607 -2 *3941:8 *3941:9 5.46429 -3 *3941:9 *30145:A 10.2464 -4 *3941:9 *3941:21 11.9866 -5 *3941:21 *25052:B2 9.3 -6 *3941:21 *27774:A1 13.992 -7 *3941:8 *27435:B2 9.3 -*END - -*D_NET *3942 0.0287494 -*CONN -*I *27815:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27444:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25009:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30509:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28704:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *27815:A1 0.000386148 -2 *27444:B2 0.000313314 -3 *25009:A1 0.000323587 -4 *30509:A 6.55435e-05 -5 *28704:Q 4.69356e-05 -6 *3942:60 0.00227332 -7 *3942:37 0.00229276 -8 *3942:35 0.00146331 -9 *3942:31 0.00180077 -10 *3942:17 0.0014466 -11 *3942:6 0.000805751 -12 *25009:A1 *5585:99 0.000474819 -13 *27444:B2 *27444:A1 0.000218679 -14 *30509:A *5694:183 0.000137983 -15 *3942:6 *3943:17 0.000153047 -16 *3942:6 *6191:17 8.15939e-05 -17 *3942:17 *3943:17 0.000165911 -18 *3942:17 *4086:68 0.000118377 -19 *3942:17 *6191:17 0.000381982 -20 *3942:35 *3981:53 0.000171368 -21 *3942:35 *5504:86 7.6644e-05 -22 *3942:60 *5650:198 0 -23 *3942:60 *5654:23 0.000121549 -24 *24972:B1 *3942:17 3.78609e-05 -25 *24983:A2 *25009:A1 0.000212283 -26 *24983:A2 *3942:31 0.000144475 -27 *25009:A2 *25009:A1 0.000515689 -28 *27444:B1 *27444:B2 5.33005e-05 -29 *27650:C *3942:35 0.000125724 -30 *27807:B1 *3942:35 0.000280346 -31 *27810:A1 *3942:60 0.000176275 -32 *27815:A2 *27815:A1 1.55917e-05 -33 *27815:B1 *27815:A1 4.20029e-05 -34 *27816:A *27815:A1 5.04841e-06 -35 *27816:B *27815:A1 4.71132e-05 -36 *27816:B *3942:60 1.19191e-05 -37 *27816:C *27815:A1 2.99994e-05 -38 *27816:D *27815:A1 0.000158221 -39 *1218:137 *25009:A1 0.000363661 -40 *1218:137 *3942:31 7.77751e-05 -41 *1218:152 *3942:17 0 -42 *1218:152 *3942:31 9.47613e-05 -43 *1218:154 *3942:17 0 -44 *1282:77 *25009:A1 3.51368e-05 -45 *1300:8 *3942:31 0.000807428 -46 *1308:19 *3942:60 0 -47 *1385:16 *3942:31 0.000864811 -48 *1385:16 *3942:35 0.00154688 -49 *1385:16 *3942:37 0.000285604 -50 *1385:16 *3942:60 0.00215326 -51 *2764:209 *3942:60 0.000432035 -52 *2776:23 *25009:A1 2.44318e-05 -53 *2856:294 *3942:60 6.82091e-06 -54 *2877:359 *27444:B2 2.6299e-05 -55 *2883:158 *3942:60 0.000144876 -56 *2889:162 *27815:A1 1.59373e-05 -57 *3165:27 *3942:60 0.000135968 -58 *3193:59 *3942:60 0.000486389 -59 *3193:60 *3942:60 0.000138744 -60 *3206:189 *27815:A1 5.33005e-05 -61 *3206:199 *27815:A1 1.12463e-05 -62 *3345:6 *3942:60 0.000121549 -63 *3362:11 *3942:60 0.00133377 -64 *3878:43 *3942:31 2.35889e-05 -65 *3878:43 *3942:35 0.0036818 -66 *3878:43 *3942:37 0.000145211 -67 *3878:43 *3942:60 0.000369404 -68 *3904:38 *3942:60 0.000192906 -*RES -1 *28704:Q *3942:6 15.7464 -2 *3942:6 *30509:A 15.1571 -3 *3942:6 *3942:17 19.1964 -4 *3942:17 *25009:A1 20.9429 -5 *3942:17 *3942:31 20.0625 -6 *3942:31 *3942:35 48.2857 -7 *3942:35 *3942:37 3.65179 -8 *3942:37 *27444:B2 17.9384 -9 *3942:37 *3942:60 45.5214 -10 *3942:60 *27815:A1 20.6036 -*END - -*D_NET *3943 0.0556606 -*CONN -*I *30321:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24969:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27464:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27825:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28705:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *30321:A 0 -2 *24969:B2 0 -3 *27464:B2 5.18815e-05 -4 *27825:A1 0.000501899 -5 *28705:Q 0.00018737 -6 *3943:41 0.00110785 -7 *3943:37 0.00162236 -8 *3943:30 0.00190671 -9 *3943:24 0.00264625 -10 *3943:22 0.00183404 -11 *3943:20 0.00284569 -12 *3943:19 0.00281947 -13 *3943:17 0.000560772 -14 *3943:9 0.000748142 -15 *3943:9 *27143:A1 0.000112995 -16 *3943:9 *27461:A1 0.000386561 -17 *3943:9 *5678:183 0.000384139 -18 *3943:17 *24969:A1 7.02611e-05 -19 *3943:17 *6191:17 6.94744e-05 -20 *3943:20 *24985:B2 0 -21 *3943:20 *28128:RESET_B 0.000267807 -22 *3943:20 *4085:87 0.00148329 -23 *3943:20 *5129:30 0.00024076 -24 *3943:20 *5129:32 0.000809857 -25 *3943:20 *5129:38 0.00168915 -26 *3943:20 *5459:20 3.34687e-05 -27 *3943:20 *5585:105 0 -28 *3943:20 *5656:28 0.00195338 -29 *3943:20 *5981:26 1.0946e-05 -30 *3943:24 *25031:A1 0.00127142 -31 *3943:24 *27808:B2 0.000773313 -32 *3943:24 *4085:73 0.000115153 -33 *3943:24 *5129:38 5.82596e-05 -34 *3943:24 *5650:198 1.90936e-05 -35 *3943:24 *5656:34 8.76367e-05 -36 *3943:30 *4137:32 0.000274643 -37 *3943:37 *5614:18 0.000423003 -38 *3943:37 *5627:181 1.90936e-05 -39 *3943:37 *5627:197 0.00258712 -40 *3943:41 *4134:96 0.000176569 -41 *24969:B1 *3943:17 4.87854e-05 -42 *24985:A2 *3943:20 0.000535934 -43 *24991:A2 *3943:17 8.33968e-05 -44 *24991:B1 *3943:17 0.000725831 -45 *24996:A *3943:30 0.000100823 -46 *25009:A2 *3943:20 0 -47 *25010:C1 *3943:37 1.62539e-05 -48 *25031:A2 *3943:24 0.000105028 -49 *27449:A *3943:20 0.000691257 -50 *27464:B1 *27464:B2 2.07394e-05 -51 *27464:C1 *27464:B2 1.33343e-05 -52 *27464:C1 *27825:A1 0.000714116 -53 *27647:B *3943:20 4.61027e-05 -54 *27652:D *3943:20 6.77572e-05 -55 *27794:B1 *3943:24 0.000517082 -56 *27800:A2 *3943:20 5.58875e-06 -57 *27801:B1 *3943:20 3.32442e-05 -58 *27813:C1 *3943:24 0.000335208 -59 *30322:A *3943:9 0.000219711 -60 *30348:A *3943:20 0.000221455 -61 *1218:154 *3943:17 6.77435e-05 -62 *1250:122 *3943:17 1.21258e-05 -63 *1278:58 *3943:17 0.000128557 -64 *1864:141 *3943:24 4.58764e-05 -65 *2767:62 *3943:37 0.000143949 -66 *2792:128 *3943:20 7.69776e-06 -67 *2832:38 *3943:20 0 -68 *2832:47 *3943:20 0 -69 *2856:279 *3943:20 3.50559e-05 -70 *2856:293 *3943:20 7.21871e-05 -71 *2856:293 *3943:24 0.000669873 -72 *2864:156 *3943:30 1.94945e-05 -73 *2883:188 *3943:41 0.00166825 -74 *2895:266 *3943:41 0.00167067 -75 *3020:15 *3943:17 0.000147474 -76 *3163:20 *3943:20 0 -77 *3163:29 *3943:20 0 -78 *3163:40 *3943:20 0.00110816 -79 *3164:20 *3943:20 0.00051747 -80 *3170:196 *3943:24 0.000103882 -81 *3170:196 *3943:30 0.0015653 -82 *3170:201 *3943:30 0.000319617 -83 *3170:201 *3943:37 0.00271208 -84 *3174:225 *27825:A1 0.00024384 -85 *3185:182 *27825:A1 0.000242125 -86 *3205:10 *3943:20 0.00112429 -87 *3205:270 *3943:37 0.000247229 -88 *3212:11 *3943:20 0.000145876 -89 *3340:6 *3943:30 0.00155299 -90 *3340:6 *3943:37 5.84955e-05 -91 *3340:19 *3943:24 0.00193359 -92 *3340:19 *3943:30 0.000269107 -93 *3370:11 *3943:37 0.00013921 -94 *3721:50 *3943:24 0.000546824 -95 *3721:68 *3943:24 0.000246879 -96 *3735:58 *3943:24 0.000590761 -97 *3735:63 *3943:24 0.00206369 -98 *3787:18 *3943:20 5.01117e-05 -99 *3826:26 *3943:17 0.000219711 -100 *3851:54 *3943:20 0 -101 *3942:6 *3943:17 0.000153047 -102 *3942:17 *3943:17 0.000165911 -*RES -1 *28705:Q *3943:9 25.4607 -2 *3943:9 *3943:17 25.5179 -3 *3943:17 *3943:19 4.5 -4 *3943:19 *3943:20 89.4554 -5 *3943:20 *3943:22 0.535714 -6 *3943:22 *3943:24 64.5536 -7 *3943:24 *3943:30 29.7321 -8 *3943:30 *3943:37 49.0268 -9 *3943:37 *3943:41 26.6071 -10 *3943:41 *27825:A1 28.5679 -11 *3943:41 *27464:B2 10.3938 -12 *3943:17 *24969:B2 9.3 -13 *3943:9 *30321:A 9.3 -*END - -*D_NET *3944 0.0326823 -*CONN -*I *25397:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27850:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27502:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29368:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28145:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *25397:B2 0.000731957 -2 *27850:A1 0.000662363 -3 *27502:B2 2.08032e-05 -4 *29368:A 0.000422276 -5 *28145:Q 0.000338857 -6 *3944:45 0.00282883 -7 *3944:29 0.00166215 -8 *3944:25 0.00253143 -9 *3944:11 0.00308573 -10 *25397:B2 *3945:57 0.000125186 -11 *25397:B2 *3971:59 0.000262679 -12 *25397:B2 *5500:57 3.1412e-05 -13 *27850:A1 *5500:57 6.7466e-05 -14 *27850:A1 *5581:64 8.65688e-05 -15 *27850:A1 *5666:190 0.000188884 -16 *29368:A *25653:A0 0.000195291 -17 *29368:A *25944:A0 0.000606109 -18 *29368:A *6069:42 0.000217042 -19 *3944:11 *28145:RESET_B 2.59355e-05 -20 *3944:11 *29588:A 7.91281e-05 -21 *3944:25 *25634:B 0.00152384 -22 *3944:25 *25641:A1 0.000129694 -23 *3944:25 *25871:A1 5.33334e-05 -24 *3944:25 *25945:A0 4.29837e-05 -25 *3944:25 *27509:B2 3.99839e-05 -26 *3944:25 *29370:A 6.57032e-05 -27 *3944:25 *3971:23 4.58194e-05 -28 *3944:25 *5712:92 0.00116445 -29 *3944:29 *27509:B2 5.28563e-05 -30 *3944:45 *27502:A1 9.35347e-05 -31 *3944:45 *5386:11 0.00296694 -32 *3944:45 *5666:179 2.09897e-05 -33 *25302:A2 *27850:A1 6.87975e-06 -34 *25302:B1 *25397:B2 5.08999e-05 -35 *25302:B1 *27850:A1 2.06112e-05 -36 *25397:B1 *25397:B2 5.68722e-05 -37 *25546:A0 *29368:A 7.35857e-05 -38 *25546:A0 *3944:25 0.000415202 -39 *25641:S *3944:25 5.33005e-05 -40 *25642:S *3944:25 0.00043108 -41 *25834:A *3944:25 0.00103988 -42 *27502:A2 *3944:45 3.28686e-05 -43 *27502:C1 *27502:B2 9.98413e-06 -44 *27502:C1 *3944:29 1.59481e-05 -45 *27502:C1 *3944:45 2.41198e-05 -46 *27503:D *3944:29 0.000514639 -47 *27503:D *3944:45 0.000259708 -48 *27509:A1 *3944:29 0.000120628 -49 *27509:A2 *3944:29 5.49489e-05 -50 *27509:B1 *3944:29 4.43256e-05 -51 *27850:B1 *27850:A1 0.000138606 -52 *28389:CLK *27850:A1 0.000663542 -53 *28666:CLK *27850:A1 0.000139946 -54 *28885:A *3944:11 0.00071409 -55 *29287:A *29368:A 0.000604179 -56 *29371:A *3944:25 5.36e-05 -57 *30274:A *27850:A1 4.69738e-05 -58 *1287:60 *3944:11 0.000118352 -59 *1294:95 *27850:A1 5.49331e-05 -60 *1360:27 *25397:B2 7.19424e-05 -61 *1363:85 *25397:B2 0.000221809 -62 *1411:68 *25397:B2 0.000236839 -63 *1411:97 *3944:25 9.46083e-05 -64 *1411:97 *3944:29 1.36161e-05 -65 *1411:97 *3944:45 0.000895448 -66 *1830:33 *3944:11 0.000221163 -67 *1844:66 *29368:A 1.17968e-05 -68 *1864:70 *29368:A 2.16719e-05 -69 *2852:191 *25397:B2 0.000305895 -70 *2856:97 *3944:45 9.41642e-05 -71 *2880:215 *3944:45 1.94945e-05 -72 *2883:53 *3944:45 0.00211499 -73 *3065:10 *3944:29 8.43535e-06 -74 *3170:51 *27850:A1 0.000245888 -75 *3170:59 *27850:A1 3.28686e-05 -76 *3170:68 *25397:B2 0.000196336 -77 *3457:28 *27850:A1 0.000177782 -78 *3482:19 *25397:B2 0.000620151 -79 *3830:15 *3944:25 0.000812466 -80 *3830:26 *3944:25 0.000135028 -*RES -1 *28145:Q *3944:11 28.4964 -2 *3944:11 *29368:A 30.3357 -3 *3944:11 *3944:25 50.6473 -4 *3944:25 *3944:29 5.89286 -5 *3944:29 *27502:B2 9.72857 -6 *3944:29 *3944:45 20.8441 -7 *3944:45 *27850:A1 30.1125 -8 *3944:45 *25397:B2 41.2911 -*END - -*D_NET *3945 0.03448 -*CONN -*I *25305:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27875:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27520:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30525:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28146:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *25305:B2 0.000310435 -2 *27875:A1 0.00100169 -3 *27520:B2 0.000169237 -4 *30525:A 0.000836287 -5 *28146:Q 0 -6 *3945:57 0.00257121 -7 *3945:54 0.00348515 -8 *3945:36 0.0023953 -9 *3945:34 0.00263589 -10 *3945:23 0.00437165 -11 *3945:4 0.00257204 -12 *25305:B2 *6397:DIODE 0.000179439 -13 *25305:B2 *5491:44 0.000190939 -14 *27875:A1 *28666:SET_B 0.000104171 -15 *27875:A1 *5491:44 0.000760852 -16 *30525:A *25495:B2 0.000337637 -17 *30525:A *25944:A0 0.000439685 -18 *30525:A *28146:D 0.000114814 -19 *30525:A *28146:RESET_B 2.65133e-05 -20 *30525:A *5739:27 0.000137505 -21 *30525:A *5739:37 0.000235184 -22 *3945:23 *25871:A1 0.000815415 -23 *3945:23 *28146:RESET_B 5.9555e-05 -24 *3945:23 *28325:D 0.000349819 -25 *3945:23 *5651:76 5.74499e-06 -26 *3945:34 *25642:A1 0.000666256 -27 *3945:34 *28136:D 0.00025265 -28 *3945:34 *3971:23 1.46617e-05 -29 *3945:34 *4100:26 4.53834e-05 -30 *3945:34 *4101:28 0.000144093 -31 *3945:34 *5386:11 4.22593e-05 -32 *3945:34 *5712:117 6.26073e-05 -33 *3945:54 *5653:165 0.00233608 -34 *25305:B1 *25305:B2 5.09596e-06 -35 *25397:A1 *25305:B2 8.85664e-07 -36 *25397:A1 *3945:57 0.000345257 -37 *25397:A2 *3945:57 6.12335e-05 -38 *25397:B1 *3945:57 0.000137983 -39 *25397:B2 *3945:57 0.000125186 -40 *25834:A *3945:23 0.000301438 -41 *25834:A *3945:34 0.000147244 -42 *25873:S *27520:B2 4.58194e-05 -43 *25873:S *3945:54 0.000224872 -44 *27520:C1 *27520:B2 1.28809e-05 -45 *27875:A2 *27875:A1 0.000114596 -46 *27875:B1 *27875:A1 1.28809e-05 -47 *27875:B2 *27875:A1 0.00030267 -48 *27881:B *3945:54 0.000121884 -49 *28136:CLK *3945:34 8.48807e-05 -50 *29287:A *30525:A 0.000437756 -51 *1273:81 *27875:A1 1.81709e-05 -52 *1440:23 *25305:B2 0.000105687 -53 *1470:64 *25305:B2 1.93337e-05 -54 *1877:10 *3945:23 9.70065e-05 -55 *2852:191 *3945:57 0.000228384 -56 *2871:105 *3945:34 1.14982e-05 -57 *2882:64 *3945:34 0.000200406 -58 *2892:81 *3945:34 8.88746e-05 -59 *2892:94 *27520:B2 0.0002406 -60 *2892:94 *3945:34 0.000632607 -61 *3045:10 *3945:34 9.25014e-06 -62 *3170:59 *27875:A1 0.000140088 -63 *3174:78 *27875:A1 1.43665e-05 -64 *3182:97 *3945:54 0.000123538 -65 *3469:19 *27875:A1 3.97677e-05 -66 *3594:19 *3945:34 0.000109132 -67 *3736:5 *3945:23 0.000272371 -68 *3736:25 *3945:23 8.46829e-05 -69 *3790:57 *27875:A1 0.00017224 -70 *3814:63 *27520:B2 0.000244022 -71 *3830:70 *3945:34 0.000242848 -72 *3868:62 *25305:B2 0.000461131 -73 *3868:62 *27875:A1 0.000555504 -74 *3881:33 *25305:B2 0.000215751 -*RES -1 *28146:Q *3945:4 9.3 -2 *3945:4 *30525:A 34.8357 -3 *3945:4 *3945:23 27.3929 -4 *3945:23 *3945:34 46.1208 -5 *3945:34 *3945:36 4.5 -6 *3945:36 *27520:B2 22.5366 -7 *3945:36 *3945:54 38.2857 -8 *3945:54 *3945:57 19.8214 -9 *3945:57 *27875:A1 35.1571 -10 *3945:57 *25305:B2 22.608 -*END - -*D_NET *3946 0.0248543 -*CONN -*I *25362:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27316:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27629:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29155:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28482:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *25362:A1 0 -2 *27316:A1 0 -3 *27629:B2 0.000362972 -4 *29155:A 3.93105e-05 -5 *28482:Q 0.000715241 -6 *3946:50 0.00040623 -7 *3946:45 0.00123222 -8 *3946:32 0.00120895 -9 *3946:30 0.00419164 -10 *3946:29 0.00426474 -11 *3946:18 0.000847637 -12 *27629:B2 *4052:30 0.000771161 -13 *3946:18 *26893:A1 0.000112667 -14 *3946:18 *28482:SET_B 0.000190105 -15 *3946:18 *5656:68 0 -16 *3946:18 *5694:283 4.79414e-05 -17 *3946:30 *26965:A1 0.000635941 -18 *3946:30 *5635:79 0.00146039 -19 *3946:45 *4052:30 0.00113359 -20 *3946:45 *5505:57 0.000323179 -21 *3946:45 *5584:43 0.000374427 -22 *6633:DIODE *3946:30 0.000178425 -23 *25118:B1 *3946:18 6.13772e-05 -24 *25118:C1 *3946:30 0.000506759 -25 *25362:A2 *3946:30 5.33005e-05 -26 *25362:A2 *3946:32 1.04232e-05 -27 *25362:A2 *3946:45 3.57366e-05 -28 *25362:B1 *3946:45 5.33005e-05 -29 *27316:A2 *3946:50 2.95866e-05 -30 *27316:C1 *3946:50 1.4487e-05 -31 *27629:C1 *27629:B2 0.00013101 -32 *27634:B2 *3946:50 1.3701e-05 -33 *282:21 *27629:B2 0.000904818 -34 *282:21 *3946:45 0.000252498 -35 *1265:36 *3946:45 6.66424e-05 -36 *1275:26 *3946:45 0.000993649 -37 *1439:19 *3946:30 6.31181e-05 -38 *1439:23 *3946:30 1.51029e-05 -39 *1490:26 *3946:18 1.90936e-05 -40 *1490:26 *3946:29 0.000261669 -41 *1691:25 *3946:45 9.41642e-05 -42 *2760:33 *3946:18 1.39711e-05 -43 *2768:25 *3946:30 8.25843e-06 -44 *2768:33 *3946:30 6.20497e-05 -45 *2791:117 *3946:18 2.22043e-05 -46 *2791:117 *3946:29 0.000254271 -47 *2852:187 *27629:B2 1.21032e-05 -48 *2867:192 *3946:18 0.000164928 -49 *2870:21 *3946:45 0.000100831 -50 *2870:43 *3946:45 0.00018064 -51 *2871:194 *29155:A 0.000139907 -52 *2874:169 *3946:18 2.71841e-05 -53 *2878:147 *3946:50 2.59355e-05 -54 *3185:48 *29155:A 7.02611e-05 -55 *3293:34 *3946:18 7.15886e-05 -56 *3627:50 *3946:18 6.28948e-05 -57 *3667:41 *3946:50 9.58181e-05 -58 *3673:11 *3946:30 4.70078e-05 -59 *3673:15 *3946:30 0.000154793 -60 *3673:15 *3946:45 9.75089e-05 -61 *3751:44 *3946:45 0.000287857 -62 *3844:59 *3946:45 0.000773239 -63 *3939:50 *3946:18 0.000103873 -*RES -1 *28482:Q *3946:18 25.4211 -2 *3946:18 *29155:A 15.1571 -3 *3946:18 *3946:29 7.96429 -4 *3946:29 *3946:30 49.8214 -5 *3946:30 *3946:32 0.428571 -6 *3946:32 *3946:45 47.6429 -7 *3946:45 *3946:50 5.875 -8 *3946:50 *27629:B2 30.5143 -9 *3946:50 *27316:A1 9.3 -10 *3946:32 *25362:A1 9.3 -*END - -*D_NET *3947 0.0406107 -*CONN -*I *29749:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25234:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27910:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27534:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *28232:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *29749:A 0.00061591 -2 *25234:B2 0 -3 *27910:A1 0 -4 *27534:A1 0.000898723 -5 *28232:Q 1.69464e-05 -6 *3947:68 0.00227662 -7 *3947:61 0.00279145 -8 *3947:45 0.00327732 -9 *3947:33 0.00289905 -10 *3947:15 0.0017001 -11 *3947:5 0.00129767 -12 *27534:A1 *25644:A1 5.49489e-05 -13 *27534:A1 *28139:D 0.000141345 -14 *27534:A1 *5614:100 0.000177821 -15 *27534:A1 *5909:62 0.00159453 -16 *29749:A *25768:A1 0.000665909 -17 *29749:A *28232:SET_B 9.91251e-05 -18 *29749:A *28335:D 0.000295908 -19 *29749:A *29479:A 9.60875e-05 -20 *29749:A *4350:8 9.76928e-05 -21 *29749:A *5250:7 9.41642e-05 -22 *3947:5 *28232:SET_B 9.90367e-05 -23 *3947:15 *28336:D 0.000933277 -24 *3947:15 *5231:21 5.935e-05 -25 *3947:15 *5669:48 0.000149379 -26 *3947:15 *5688:205 0.00073727 -27 *3947:15 *5715:125 0.000232024 -28 *3947:33 *4103:68 8.75036e-05 -29 *3947:33 *5719:55 0.000102195 -30 *3947:33 *6036:46 0.00173487 -31 *3947:45 *27910:A2 1.46576e-05 -32 *3947:45 *3975:34 0.000217955 -33 *3947:45 *5719:55 0.000796097 -34 *3947:45 *5721:9 2.47054e-05 -35 *3947:45 *5729:301 2.89967e-05 -36 *3947:45 *5890:13 0.00125607 -37 *3947:61 *27909:A2 0.0001014 -38 *3947:61 *27910:B2 1.36772e-05 -39 *3947:61 *3984:73 1.82358e-05 -40 *3947:61 *5656:189 1.09611e-05 -41 *3947:61 *5721:9 5.94317e-05 -42 *3947:68 *25644:A1 0.00010516 -43 *3947:68 *27563:A1 1.25486e-05 -44 *3947:68 *27566:B2 4.34648e-05 -45 *3947:68 *28047:B 0.000318248 -46 *3947:68 *4113:86 1.90936e-05 -47 *3947:68 *5623:23 7.40839e-05 -48 *3947:68 *5909:61 0.000887726 -49 *25254:C1 *3947:33 0.000149904 -50 *25416:A2 *27534:A1 0.000128958 -51 *25416:B2 *27534:A1 2.11419e-05 -52 *25645:S *27534:A1 0.000303972 -53 *27560:B1 *3947:61 0.000131428 -54 *27560:B1 *3947:68 0.000137276 -55 *27566:A1 *3947:68 0.000751032 -56 *27910:B1 *3947:45 7.20217e-06 -57 *27910:B1 *3947:61 0.000474139 -58 *27951:A2 *3947:45 0.00025407 -59 *29342:A *29749:A 0.000136958 -60 *29807:A *3947:15 6.94441e-06 -61 *30165:A *3947:15 0.000128617 -62 *30255:A *3947:68 0.00038842 -63 *30490:A *3947:68 2.84109e-05 -64 *1205:22 *3947:68 0.000298929 -65 *1287:97 *3947:45 8.2607e-05 -66 *1287:97 *3947:61 0.00026373 -67 *1422:28 *3947:61 1.94945e-05 -68 *1873:32 *3947:33 1.59233e-05 -69 *2855:121 *3947:61 1.60961e-05 -70 *2855:121 *3947:68 0.00013629 -71 *2871:110 *27534:A1 9.4202e-05 -72 *3136:10 *3947:45 5.5469e-05 -73 *3136:12 *3947:45 0.00110329 -74 *3192:107 *3947:68 0.000315464 -75 *3197:105 *3947:61 0.000969827 -76 *3197:125 *3947:68 0 -77 *3216:142 *3947:68 0 -78 *3216:163 *3947:61 0.000101088 -79 *3216:184 *3947:45 0.000195769 -80 *3413:25 *3947:61 0.000179568 -81 *3541:38 *3947:61 2.26973e-05 -82 *3558:17 *3947:68 0.000108726 -83 *3583:24 *3947:15 0.000485285 -84 *3687:40 *3947:33 1.00541e-05 -85 *3688:78 *3947:33 0.000136958 -86 *3698:19 *3947:61 0 -87 *3711:79 *3947:61 9.08134e-05 -88 *3713:94 *3947:61 0.000647189 -89 *3714:8 *3947:68 0.000261227 -90 *3714:28 *3947:68 0.000936768 -91 *3724:20 *27534:A1 0.00121486 -92 *3752:14 *3947:33 3.52767e-05 -93 *3752:19 *3947:33 5.84267e-05 -94 *3753:26 *3947:33 0.00172827 -95 *3763:20 *3947:45 0.000396457 -96 *3775:28 *3947:33 0.000335012 -97 *3882:47 *3947:61 0 -98 *3883:31 *3947:61 1.76841e-05 -*RES -1 *28232:Q *3947:5 10.2464 -2 *3947:5 *3947:15 31.2321 -3 *3947:15 *3947:33 49.0975 -4 *3947:33 *3947:45 42.2768 -5 *3947:45 *3947:61 25.4836 -6 *3947:61 *3947:68 47.0089 -7 *3947:68 *27534:A1 48.9429 -8 *3947:45 *27910:A1 9.3 -9 *3947:15 *25234:B2 9.3 -10 *3947:5 *29749:A 33.3357 -*END - -*D_NET *3948 0.0415411 -*CONN -*I *25199:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29419:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27937:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27553:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28233:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25199:B2 0.000321187 -2 *29419:A 0.000177161 -3 *27937:A1 0 -4 *27553:B2 0 -5 *28233:Q 0 -6 *3948:64 0.00145562 -7 *3948:41 0.00333581 -8 *3948:24 0.00521932 -9 *3948:23 0.00286279 -10 *3948:17 0.00203227 -11 *3948:4 0.00201027 -12 *3948:17 *28233:D 5.7256e-05 -13 *3948:17 *28233:RESET_B 0.000131445 -14 *3948:17 *28338:D 0.000312209 -15 *3948:17 *29479:A 8.04343e-05 -16 *3948:23 *25788:A1 0.000135028 -17 *3948:23 *28246:D 0.000100823 -18 *3948:23 *5688:213 0.0001898 -19 *3948:23 *5721:10 0.00106969 -20 *3948:24 *25361:B2 0.000136024 -21 *3948:24 *27937:A2 9.20464e-06 -22 *3948:24 *28303:RESET_B 0.000102006 -23 *3948:24 *4092:21 0.000102917 -24 *3948:24 *4152:60 0 -25 *3948:24 *5634:170 1.53212e-05 -26 *3948:24 *5669:101 0.00134821 -27 *3948:24 *5721:10 0.000396266 -28 *3948:24 *5823:58 0.000269137 -29 *3948:24 *5824:28 0.000958905 -30 *3948:41 *28997:A 0.000305759 -31 *3948:41 *3984:33 0.000471021 -32 *3948:64 *25765:B 0.000181796 -33 *3948:64 *25782:A0 0.000198691 -34 *3948:64 *25895:A0 2.16719e-05 -35 *3948:64 *28233:RESET_B 0.000151526 -36 *3948:64 *28244:D 0.000103253 -37 *3948:64 *5688:158 0.000101545 -38 *3948:64 *5688:173 0.00062922 -39 *3948:64 *5721:20 0.00211446 -40 *3948:64 *6036:46 0.00176166 -41 *3948:64 *6036:52 0.000221282 -42 *25191:A2 *3948:24 0.000505532 -43 *25191:A2 *3948:41 0.00133756 -44 *25198:B2 *3948:41 0 -45 *25199:B1 *25199:B2 7.5054e-05 -46 *25361:B1 *3948:24 3.35685e-06 -47 *25765:A *3948:64 5.33005e-05 -48 *25784:S *3948:24 0.000604831 -49 *27553:A2 *3948:41 0.000135028 -50 *27564:B1 *3948:41 2.06178e-05 -51 *27569:D *3948:41 1.90936e-05 -52 *28233:CLK *3948:17 8.5353e-05 -53 *29495:A *29419:A 0.00022266 -54 *29606:A *3948:17 0.000128331 -55 *1399:24 *3948:41 0.00015699 -56 *1867:16 *3948:17 2.89016e-05 -57 *1867:16 *3948:64 0.000139009 -58 *1870:29 *3948:24 0.000162118 -59 *1883:94 *29419:A 0.000215455 -60 *1883:94 *3948:23 9.22948e-05 -61 *1883:99 *3948:23 0.00027095 -62 *1887:70 *3948:41 0.000215598 -63 *2483:28 *3948:17 0 -64 *2554:17 *29419:A 0.000209334 -65 *2554:17 *3948:23 0.00166228 -66 *2844:98 *3948:41 0.000733963 -67 *2866:149 *3948:41 0 -68 *2877:87 *3948:41 0.000300131 -69 *3101:17 *3948:41 0 -70 *3156:162 *3948:41 0 -71 *3216:184 *3948:24 0.000191408 -72 *3452:6 *3948:24 0.00117689 -73 *3452:6 *3948:41 0.00149143 -74 *3461:7 *25199:B2 0.000148482 -75 *3461:11 *25199:B2 0.000478626 -76 *3564:10 *3948:24 0.000469799 -77 *3566:7 *25199:B2 0.000117543 -78 *3576:111 *3948:17 6.09789e-05 -79 *3585:11 *3948:24 0.000138015 -80 *3585:41 *3948:24 0.000169037 -81 *3688:78 *3948:23 0.000178847 -82 *3700:40 *3948:24 8.24028e-05 -83 *3749:108 *3948:41 4.85033e-05 -84 *3802:58 *3948:41 0.000303304 -85 *3858:22 *3948:24 1.71178e-05 -*RES -1 *28233:Q *3948:4 9.3 -2 *3948:4 *3948:17 28.8929 -3 *3948:17 *3948:23 41.8571 -4 *3948:23 *3948:24 58.1071 -5 *3948:24 *3948:41 47.4985 -6 *3948:41 *27553:B2 9.3 -7 *3948:24 *27937:A1 13.8 -8 *3948:17 *29419:A 18.8357 -9 *3948:4 *3948:64 46.2143 -10 *3948:64 *25199:B2 21.8759 -*END - -*D_NET *3949 0.0239705 -*CONN -*I *25144:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30130:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27950:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27574:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28234:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *25144:B2 0.000769967 -2 *30130:A 0 -3 *27950:A1 0 -4 *27574:B2 7.56773e-06 -5 *28234:Q 4.08266e-05 -6 *3949:41 0.0010491 -7 *3949:28 0.00113903 -8 *3949:16 0.00215536 -9 *3949:13 0.00178882 -10 *3949:5 0.00108488 -11 *25144:B2 *25913:A1 0.000127446 -12 *25144:B2 *28370:D 0.000321745 -13 *25144:B2 *4079:15 5.21937e-05 -14 *25144:B2 *5646:195 0.000207152 -15 *25144:B2 *5729:35 0.000682231 -16 *25144:B2 *5760:69 0.000113038 -17 *25144:B2 *5760:74 0.000391561 -18 *3949:5 *5966:16 1.58163e-05 -19 *3949:13 *4077:16 0.000203056 -20 *3949:13 *4078:16 0.00227985 -21 *3949:13 *4078:19 0.000139913 -22 *3949:13 *5761:192 7.02611e-05 -23 *3949:13 *5778:103 0.00169761 -24 *3949:13 *5966:16 0.000192855 -25 *3949:16 *27551:A1 0.000305369 -26 *3949:16 *5778:99 0.000465732 -27 *3949:16 *5778:103 0.000939072 -28 *3949:28 *5301:27 9.41642e-05 -29 *3949:28 *5614:76 0 -30 *3949:28 *5634:170 2.28598e-05 -31 *3949:28 *5778:94 4.38058e-05 -32 *3949:28 *5778:99 2.92768e-05 -33 *3949:41 *5966:16 7.96948e-05 -34 *25091:B1 *3949:28 9.91086e-05 -35 *25144:B1 *25144:B2 0.000168457 -36 *25156:A2 *3949:16 0.000148911 -37 *25925:S *25144:B2 0.000151333 -38 *27574:B1 *27574:B2 2.84269e-05 -39 *27574:C1 *27574:B2 1.63076e-05 -40 *27948:B2 *3949:28 0.00103973 -41 *29663:A *3949:41 0.000216755 -42 *1210:19 *3949:28 0.000340605 -43 *1410:33 *3949:28 2.11362e-05 -44 *1423:13 *3949:28 5.33978e-05 -45 *1447:78 *25144:B2 0.000193356 -46 *1447:78 *3949:41 0.000100736 -47 *1449:20 *3949:16 0.000189251 -48 *1475:23 *3949:16 0.000245342 -49 *1475:36 *3949:5 9.71197e-05 -50 *1475:36 *3949:41 0.000512893 -51 *1483:48 *3949:16 5.41794e-05 -52 *1483:48 *3949:28 0.000283691 -53 *1488:24 *3949:28 0.000505335 -54 *1896:8 *25144:B2 5.66157e-05 -55 *2773:155 *25144:B2 0.000167273 -56 *3105:8 *3949:16 0.000153004 -57 *3105:8 *3949:28 0.00193883 -58 *3133:11 *3949:28 0.0002386 -59 *3156:175 *3949:28 0.000241839 -60 *3209:127 *3949:28 5.33005e-05 -61 *3214:121 *3949:28 2.28066e-05 -62 *3765:30 *3949:16 0 -63 *3776:33 *25144:B2 0.00012189 -*RES -1 *28234:Q *3949:5 10.2464 -2 *3949:5 *3949:13 44.4286 -3 *3949:13 *3949:16 34.0714 -4 *3949:16 *3949:28 48.7143 -5 *3949:28 *27574:B2 14.0857 -6 *3949:16 *27950:A1 9.3 -7 *3949:5 *3949:41 7.10714 -8 *3949:41 *30130:A 9.3 -9 *3949:41 *25144:B2 43.1393 -*END - -*D_NET *3950 0.00937062 -*CONN -*I *29873:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27350:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27688:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25337:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28483:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *29873:A 5.71031e-05 -2 *27350:B2 0.00029048 -3 *27688:A1 0.000410857 -4 *25337:A1 0.000381601 -5 *28483:Q 0.000127176 -6 *3950:25 0.00105439 -7 *3950:21 0.000460272 -8 *3950:7 0.000558893 -9 *25337:A1 *25337:B2 0.000587062 -10 *25337:A1 *5589:20 0.000108447 -11 *27350:B2 *5661:220 8.03074e-05 -12 *27688:A1 *3992:54 7.69776e-06 -13 *27688:A1 *5748:16 2.06112e-05 -14 *3950:25 *5627:75 5.25862e-06 -15 *3950:25 *5661:220 0.000225312 -16 *25293:A *27350:B2 1.32511e-05 -17 *25293:A *3950:25 0.000149892 -18 *25337:A2 *25337:A1 0.000239721 -19 *25343:A1 *27688:A1 0.000832204 -20 *1225:15 *29873:A 3.97677e-05 -21 *1225:15 *3950:25 0.000156545 -22 *1268:53 *25337:A1 3.87499e-05 -23 *1275:94 *27688:A1 0.00084891 -24 *1396:129 *3950:7 0.00015546 -25 *1416:97 *25337:A1 0.00045109 -26 *1416:97 *3950:21 0.0001393 -27 *2877:194 *27350:B2 0.000643415 -28 *2877:194 *3950:25 0.000134636 -29 *3185:92 *27350:B2 0.000500059 -30 *3197:57 *27688:A1 5.49489e-05 -31 *3306:6 *25337:A1 0.000449369 -32 *3306:6 *3950:21 0.000147835 -*RES -1 *28483:Q *3950:7 15.3625 -2 *3950:7 *25337:A1 25.9964 -3 *3950:7 *3950:21 6.44643 -4 *3950:21 *3950:25 13.2411 -5 *3950:25 *27688:A1 23.6881 -6 *3950:25 *27350:B2 22.8804 -7 *3950:21 *29873:A 10.2464 -*END - -*D_NET *3951 0.0287916 -*CONN -*I *29104:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27701:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27358:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25230:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28484:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *29104:A 0.00141109 -2 *27701:A1 0.000368872 -3 *27358:B2 2.66909e-05 -4 *25230:B2 9.91925e-05 -5 *28484:Q 0 -6 *3951:28 0.000659329 -7 *3951:20 0.00222232 -8 *3951:18 0.00171508 -9 *3951:15 0.00145706 -10 *3951:8 0.00195049 -11 *3951:4 0.0022996 -12 *25230:B2 *5663:126 0.000208701 -13 *27701:A1 *5879:98 0.000426141 -14 *29104:A *28637:RESET_B 0.000240968 -15 *29104:A *28746:RESET_B 0.000249737 -16 *29104:A *30567:A 3.14163e-05 -17 *29104:A *4875:18 0.00016307 -18 *29104:A *5912:67 0.000186224 -19 *29104:A *6261:17 9.06952e-05 -20 *3951:8 *26857:A0 0 -21 *3951:8 *29702:A 0.000142726 -22 *3951:8 *5716:192 0 -23 *3951:8 *5803:10 0.000968961 -24 *3951:8 *5803:14 4.30584e-06 -25 *3951:8 *6351:10 0.00109398 -26 *3951:15 *29202:A 0.000423368 -27 *3951:15 *5646:294 4.34472e-05 -28 *3951:15 *5803:14 0.00257676 -29 *3951:15 *6351:10 2.09607e-05 -30 *3951:15 *6351:12 0.000710625 -31 *3951:18 *5803:14 9.3242e-05 -32 *3951:18 *5803:27 0.000117373 -33 *3951:20 *27369:A2 1.94879e-05 -34 *3951:20 *27699:A2 3.91517e-05 -35 *3951:20 *28629:D 0.000281415 -36 *3951:20 *3978:65 2.88901e-05 -37 *3951:20 *5584:263 0.00046743 -38 *3951:20 *5803:27 0.000788115 -39 *3951:20 *5824:213 4.53834e-05 -40 *3951:20 *5873:304 0.000359035 -41 *3951:20 *6225:139 0.00061993 -42 *3951:20 *6351:12 0.00026474 -43 *3951:28 *5663:126 4.56535e-05 -44 *3951:28 *6225:139 0.000544236 -45 *25186:A2 *3951:20 0.000283695 -46 *27708:B *3951:18 0.000457262 -47 *27708:C *3951:15 1.21258e-05 -48 *27708:C *3951:18 8.32242e-05 -49 *27708:D *3951:15 5.52302e-05 -50 *27709:A *3951:20 0.000145405 -51 *28904:A *29104:A 0.000208175 -52 *29082:A *29104:A 5.33005e-05 -53 *29113:A *29104:A 5.33005e-05 -54 *29113:A *3951:8 3.17148e-05 -55 *30161:A *29104:A 7.84156e-05 -56 *978:14 *29104:A 2.59355e-05 -57 *1261:168 *25230:B2 1.721e-05 -58 *1293:149 *3951:20 0.0001988 -59 *1524:38 *27701:A1 7.53238e-05 -60 *2760:163 *3951:18 0.000209456 -61 *2788:93 *3951:15 0.000645041 -62 *2877:349 *3951:28 0.00030267 -63 *2888:232 *25230:B2 4.58919e-05 -64 *2932:6 *3951:20 0.000980473 -65 *2932:6 *3951:28 0.000549138 -66 *2933:12 *3951:18 5.15925e-05 -67 *2933:12 *3951:20 0.000243871 -68 *3604:110 *29104:A 0.000160119 -69 *3678:23 *3951:18 0 -70 *3691:34 *25230:B2 0.00012871 -71 *3691:34 *3951:28 5.26224e-05 -72 *3730:8 *27701:A1 7.53238e-05 -73 *3912:27 *27358:B2 5.33005e-05 -74 *3912:27 *3951:28 8.43535e-06 -*RES -1 *28484:Q *3951:4 9.3 -2 *3951:4 *3951:8 30.1607 -3 *3951:8 *3951:15 43.7679 -4 *3951:15 *3951:18 10.9821 -5 *3951:18 *3951:20 40.8036 -6 *3951:20 *3951:28 20.5536 -7 *3951:28 *25230:B2 16.6571 -8 *3951:28 *27358:B2 14.3357 -9 *3951:20 *27701:A1 28.0679 -10 *3951:4 *29104:A 40.5143 -*END - -*D_NET *3952 0.0812668 -*CONN -*I *29660:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27736:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27383:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25196:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *24855:A I *D sky130_fd_sc_hd__inv_2 -*I *28485:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29660:A 0 -2 *27736:B2 0.0018429 -3 *27383:B2 7.74038e-05 -4 *25196:A1 0.00124008 -5 *24855:A 0.000423997 -6 *28485:Q 3.56129e-05 -7 *3952:68 0.00351198 -8 *3952:67 0.00245437 -9 *3952:63 0.000486198 -10 *3952:57 0.00244179 -11 *3952:51 0.00190709 -12 *3952:50 0.0021023 -13 *3952:46 0.000778089 -14 *3952:35 0.00199254 -15 *3952:27 0.00414684 -16 *3952:18 0.00430243 -17 *3952:16 0.00252527 -18 *3952:7 0.00104706 -19 *24855:A *27034:A1 3.14048e-05 -20 *24855:A *28180:D 0.000723827 -21 *24855:A *5628:17 3.63775e-05 -22 *24855:A *5753:119 2.89114e-05 -23 *25196:A1 *24943:A 0.000135028 -24 *27383:B2 *5873:232 0.000107946 -25 *27736:B2 *5649:128 0.00173151 -26 *27736:B2 *5649:137 9.14914e-05 -27 *3952:7 *28485:RESET_B 0.000137561 -28 *3952:16 *25118:B2 3.54398e-05 -29 *3952:16 *4082:80 0.00158178 -30 *3952:18 *25118:B2 0.000985551 -31 *3952:18 *27163:A0 1.01912e-05 -32 *3952:18 *28701:D 3.0305e-05 -33 *3952:18 *3991:11 0.000218019 -34 *3952:18 *4082:80 0 -35 *3952:18 *5459:51 0.00201323 -36 *3952:18 *5650:31 2.05715e-05 -37 *3952:18 *5757:127 0.000137103 -38 *3952:27 *27819:A2 0.000289848 -39 *3952:27 *5459:39 0.00078577 -40 *3952:27 *5640:13 0.000297804 -41 *3952:35 *5628:17 0.000492692 -42 *3952:35 *5642:27 0.000175892 -43 *3952:46 *26896:A1 6.06291e-05 -44 *3952:46 *4082:80 0.000346558 -45 *3952:50 *26896:A1 3.69047e-06 -46 *3952:50 *30346:A 0.000339346 -47 *3952:50 *3965:79 1.98839e-05 -48 *3952:51 *4030:48 0.000530982 -49 *3952:51 *5589:147 0.000335232 -50 *3952:51 *5601:16 0.000390568 -51 *3952:57 *5645:104 6.30912e-05 -52 *3952:57 *5649:128 0.000744274 -53 *3952:68 *28540:D 6.19664e-05 -54 *3952:68 *3976:55 4.87953e-05 -55 *24960:B *25196:A1 0.000876063 -56 *24960:B *3952:68 8.28901e-05 -57 *25182:B1 *3952:68 0.000343241 -58 *25182:B2 *3952:68 0.000135028 -59 *25196:A2 *25196:A1 1.64753e-05 -60 *27163:S *3952:18 3.15812e-05 -61 *27383:B1 *27383:B2 0.000218409 -62 *27460:A2 *3952:27 0.000159568 -63 *27615:A_N *3952:35 1.90936e-05 -64 *27633:A *3952:27 8.6051e-05 -65 *27657:C *3952:27 0.000245378 -66 *27746:A1 *3952:18 7.40571e-05 -67 *27770:A1 *3952:18 0.000125724 -68 *27807:C1 *3952:35 0.000275816 -69 *27820:B1 *3952:27 8.23597e-06 -70 *30093:A *24855:A 0.000131375 -71 *30093:A *3952:35 2.40574e-05 -72 *30484:A *3952:35 0.000310241 -73 *1237:43 *3952:27 0.000711685 -74 *1250:234 *3952:7 0.000137561 -75 *1258:75 *27736:B2 0.000247276 -76 *1266:119 *3952:57 0.000175197 -77 *1267:163 *27736:B2 0.00105489 -78 *1269:10 *25196:A1 0.000136676 -79 *1274:106 *3952:68 8.43535e-06 -80 *1274:126 *3952:68 0.000252192 -81 *1286:10 *3952:68 0.00183745 -82 *1290:148 *3952:18 0.00090804 -83 *1328:156 *3952:18 0.000191403 -84 *1406:38 *25196:A1 0.000177821 -85 *1516:10 *25196:A1 0.000505522 -86 *1516:10 *3952:68 0.000264608 -87 *1520:8 *3952:51 0.00230039 -88 *1520:8 *3952:63 1.90936e-05 -89 *1520:8 *3952:67 0.000569224 -90 *1520:20 *27736:B2 2.06178e-05 -91 *1801:38 *3952:27 0.000196544 -92 *1826:117 *3952:16 0.000217088 -93 *2758:125 *3952:46 0.000218679 -94 *2758:125 *3952:50 0.00129845 -95 *2768:25 *3952:16 0.000180951 -96 *2768:69 *3952:68 0.000368519 -97 *2781:51 *3952:27 0.000208371 -98 *2781:98 *3952:68 0.000264327 -99 *2787:128 *3952:16 0.00162761 -100 *2787:128 *3952:46 0.000355094 -101 *2788:22 *27736:B2 1.90936e-05 -102 *2790:29 *3952:27 0 -103 *2790:92 *3952:18 0.000365241 -104 *2791:117 *3952:18 0.000628577 -105 *2832:72 *3952:35 0.000178847 -106 *2832:78 *3952:35 2.24079e-05 -107 *2845:247 *3952:57 0.000111982 -108 *2845:250 *27736:B2 0.000483326 -109 *2852:297 *3952:27 0.000393908 -110 *2853:251 *27736:B2 7.95355e-05 -111 *2853:292 *27736:B2 0.000264608 -112 *2861:23 *3952:27 0.000535136 -113 *2864:292 *27736:B2 0.000144684 -114 *2874:169 *3952:18 9.84204e-06 -115 *2880:30 *27736:B2 0.000511588 -116 *2880:30 *3952:57 0.000947862 -117 *2880:30 *3952:67 0.000135432 -118 *2880:45 *27736:B2 0.00167562 -119 *2892:115 *3952:27 0.000173231 -120 *2892:126 *3952:27 6.30931e-05 -121 *2892:197 *3952:68 0.00015379 -122 *2895:227 *3952:27 4.73587e-05 -123 *2956:8 *27736:B2 0.000232046 -124 *3150:116 *3952:35 0.00013238 -125 *3153:140 *3952:18 0.000155837 -126 *3153:204 *27736:B2 0.000355554 -127 *3168:25 *3952:27 0.000304798 -128 *3205:230 *3952:51 0.000323848 -129 *3214:180 *3952:35 0.00281145 -130 *3574:66 *3952:16 0.000216324 -131 *3574:66 *3952:18 6.52778e-05 -132 *3628:12 *3952:18 0 -133 *3679:59 *3952:68 9.41642e-05 -134 *3740:41 *25196:A1 1.7784e-05 -135 *3740:41 *3952:68 0.00210544 -136 *3796:108 *27736:B2 9.65713e-05 -137 *3822:30 *27736:B2 0.000250665 -138 *3822:32 *3952:57 0.000198343 -139 *3825:37 *3952:35 1.4606e-05 -140 *3874:18 *3952:51 0.00438634 -141 *3874:18 *3952:63 1.19468e-05 -142 *3874:18 *3952:67 0.000572014 -*RES -1 *28485:Q *3952:7 15.1571 -2 *3952:7 *3952:16 34.8304 -3 *3952:16 *3952:18 51.6786 -4 *3952:18 *3952:27 48.0109 -5 *3952:27 *3952:35 45.7046 -6 *3952:35 *24855:A 18.8714 -7 *3952:7 *3952:46 11.3571 -8 *3952:46 *3952:50 17.3571 -9 *3952:50 *3952:51 57.3482 -10 *3952:51 *3952:57 9.1559 -11 *3952:57 *3952:63 7.46809 -12 *3952:63 *3952:67 11.9107 -13 *3952:67 *3952:68 54.75 -14 *3952:68 *25196:A1 31.925 -15 *3952:63 *27383:B2 15.9786 -16 *3952:57 *27736:B2 38.3634 -17 *3952:46 *29660:A 9.3 -*END - -*D_NET *3953 0.0322349 -*CONN -*I *30215:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27763:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27412:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25130:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28486:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *30215:A 0.000248143 -2 *27763:A1 0.000605572 -3 *27412:B2 0 -4 *25130:A1 0.000893963 -5 *28486:Q 0 -6 *3953:28 0.00183733 -7 *3953:12 0.00190341 -8 *3953:6 0.00256724 -9 *3953:5 0.00246092 -10 *25130:A1 *25130:B2 1.37532e-05 -11 *25130:A1 *25250:A1 0.000121016 -12 *25130:A1 *25250:B2 4.43964e-05 -13 *25130:A1 *5836:284 1.5942e-05 -14 *27763:A1 *4135:45 0.000309175 -15 *27763:A1 *5628:161 9.33715e-05 -16 *27763:A1 *5645:156 0.00050057 -17 *27763:A1 *5652:61 1.94879e-05 -18 *30215:A *28747:D 5.80706e-06 -19 *30215:A *5715:26 4.51213e-05 -20 *30215:A *5715:32 0.000141591 -21 *30215:A *5836:300 0.000262408 -22 *3953:6 *27605:B1 0.000157967 -23 *3953:6 *27765:A2 0.0021205 -24 *3953:6 *28743:D 0.000313134 -25 *3953:6 *28747:D 0.000184644 -26 *3953:6 *3979:32 0.000190479 -27 *3953:6 *4076:48 0.000187857 -28 *3953:6 *5715:32 0.00142443 -29 *3953:6 *5715:37 0.000228337 -30 *3953:6 *5729:176 0.000243107 -31 *3953:6 *5762:118 5.86836e-05 -32 *3953:6 *5836:298 1.09026e-05 -33 *3953:6 *5836:300 0.00597104 -34 *3953:6 *6258:12 0.000164052 -35 *3953:12 *5587:87 0.000133705 -36 *3953:12 *5644:250 8.92445e-05 -37 *3953:12 *5836:298 2.24654e-05 -38 *3953:28 *25145:A2 0 -39 *3953:28 *5587:44 0.00023283 -40 *3953:28 *5630:274 0.000249118 -41 *3953:28 *5644:237 0.000152711 -42 *3953:28 *5660:217 4.8817e-05 -43 *3953:28 *5836:284 0.000331245 -44 *3953:28 *5836:298 2.30116e-06 -45 *25130:A2 *25130:A1 7.41177e-05 -46 *27400:B2 *3953:28 4.5185e-05 -47 *27402:B2 *25130:A1 2.04825e-05 -48 *27409:A *3953:12 0.000349973 -49 *27409:A *3953:28 0.000590572 -50 *27412:A2 *25130:A1 8.66277e-05 -51 *27412:A2 *3953:28 1.02504e-05 -52 *27763:A2 *27763:A1 3.3254e-05 -53 *27763:C1 *27763:A1 5.33005e-05 -54 *1261:199 *27763:A1 8.20109e-05 -55 *1261:199 *3953:6 0.000110948 -56 *1262:66 *25130:A1 0.000208506 -57 *1292:81 *25130:A1 1.34316e-05 -58 *1293:129 *3953:28 0.000616282 -59 *1433:23 *3953:28 0.000490437 -60 *1433:29 *3953:28 0.000894617 -61 *1434:76 *27763:A1 3.96282e-05 -62 *1557:16 *25130:A1 7.39316e-05 -63 *1698:25 *27763:A1 3.80537e-05 -64 *1834:9 *3953:6 0.000122951 -65 *1834:49 *3953:12 8.7509e-05 -66 *1834:61 *25130:A1 0.000156241 -67 *1834:61 *3953:28 1.50087e-05 -68 *2760:179 *30215:A 9.71197e-05 -69 *2766:101 *30215:A 0.000121573 -70 *2780:261 *30215:A 0.000185427 -71 *2780:272 *30215:A 5.03772e-05 -72 *2891:236 *27763:A1 6.81431e-05 -73 *3552:27 *25130:A1 0.000651004 -74 *3753:84 *25130:A1 0.0015132 -75 *3849:30 *27763:A1 0.000153601 -76 *3901:79 *3953:28 0.00057438 -*RES -1 *28486:Q *3953:5 13.8 -2 *3953:5 *3953:6 79.9643 -3 *3953:6 *3953:12 16.4196 -4 *3953:12 *3953:28 35.4221 -5 *3953:28 *25130:A1 39.2286 -6 *3953:28 *27412:B2 13.8 -7 *3953:12 *27763:A1 24.7761 -8 *3953:5 *30215:A 22.7643 -*END - -*D_NET *3954 0.0112289 -*CONN -*I *27786:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30134:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27436:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25043:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28487:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27786:A1 0.000896095 -2 *30134:A 0 -3 *27436:B2 0.000128233 -4 *25043:A1 0.000227433 -5 *28487:Q 0.000229126 -6 *3954:40 0.00104203 -7 *3954:13 0.000949546 -8 *3954:8 0.000968941 -9 *25043:A1 *28551:D 0.000174329 -10 *25043:A1 *5656:281 0.000195562 -11 *27436:B2 *28703:RESET_B 9.60875e-05 -12 *27436:B2 *4149:57 1.28809e-05 -13 *27786:A1 *26898:A1 2.84109e-05 -14 *27786:A1 *26925:A0 1.90936e-05 -15 *27786:A1 *27786:B1 2.59355e-05 -16 *27786:A1 *27786:B2 2.95642e-05 -17 *27786:A1 *28511:D 9.13543e-06 -18 *27786:A1 *30875:A 2.03164e-05 -19 *27786:A1 *4357:21 6.68876e-05 -20 *27786:A1 *5628:106 4.19278e-05 -21 *27786:A1 *5847:43 0.000227826 -22 *27786:A1 *5872:42 0.00010415 -23 *27786:A1 *5892:25 7.45284e-05 -24 *27786:A1 *6207:19 6.79672e-06 -25 *27786:A1 *6244:23 0.000219289 -26 *3954:8 *5892:39 9.91086e-05 -27 *3954:13 *28551:D 0.000464471 -28 *3954:13 *28703:RESET_B 0.000126116 -29 *3954:13 *4110:16 0.000556162 -30 *3954:13 *4671:11 0.00085159 -31 *3954:40 *5892:39 0.000400038 -32 *25043:B2 *25043:A1 9.41642e-05 -33 *26997:S *27786:A1 6.53083e-05 -34 *27436:B1 *25043:A1 8.21992e-05 -35 *27436:B1 *27436:B2 5.41797e-06 -36 *27786:A2 *3954:8 0.000100823 -37 *27786:A2 *3954:40 0.000390324 -38 *28519:CLK *3954:8 0.000107938 -39 *28529:CLK *27786:A1 8.54704e-05 -40 *30133:A *3954:13 0.000135028 -41 *849:17 *27786:A1 0.000178614 -42 *1274:161 *25043:A1 5.52238e-05 -43 *1365:16 *25043:A1 1.98839e-05 -44 *2792:96 *27436:B2 4.15526e-05 -45 *2844:399 *25043:A1 0.000544586 -46 *2844:399 *27436:B2 0.000155259 -47 *2872:355 *27436:B2 7.90746e-05 -48 *2892:242 *27436:B2 9.82006e-05 -49 *3333:17 *27786:A1 1.21258e-05 -50 *3580:102 *27436:B2 9.41642e-05 -51 *3580:102 *3954:13 6.46535e-05 -52 *3720:19 *27786:A1 0.000392247 -53 *3891:43 *3954:13 0.000135028 -*RES -1 *28487:Q *3954:8 17.3179 -2 *3954:8 *3954:13 24.3214 -3 *3954:13 *25043:A1 21.8536 -4 *3954:13 *27436:B2 26.858 -5 *3954:8 *3954:40 9.78571 -6 *3954:40 *30134:A 9.3 -7 *3954:40 *27786:A1 36.7548 -*END - -*D_NET *3955 0.0150715 -*CONN -*I *30416:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27809:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25021:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27444:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28488:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30416:A 0.000166309 -2 *27809:B2 0.000520118 -3 *25021:B2 4.29478e-05 -4 *27444:A1 0.000791381 -5 *28488:Q 0 -6 *3955:16 0.00184803 -7 *3955:6 0.0015651 -8 *3955:4 0.000197584 -9 *27444:A1 *5639:28 0.0013753 -10 *27809:B2 *4186:34 2.83882e-05 -11 *30416:A *26899:A1 2.84026e-05 -12 *30416:A *28488:RESET_B 7.0185e-05 -13 *3955:16 *27184:A1 0.000335215 -14 *3955:16 *5639:28 5.41794e-05 -15 *3955:16 *5639:45 0.000534221 -16 *3955:16 *5639:61 2.06178e-05 -17 *25021:A2 *25021:B2 0.000135028 -18 *27444:B2 *27444:A1 0.000218679 -19 *27451:B2 *27444:A1 0.000100745 -20 *27451:C1 *3955:16 0.000148376 -21 *27454:B2 *27809:B2 0.000328969 -22 *27459:A *3955:16 0.000897442 -23 *27809:A1 *27809:B2 7.41329e-06 -24 *27809:B1 *27809:B2 2.5417e-05 -25 *27809:C1 *27809:B2 0.000115805 -26 *27816:A *3955:16 0.00103403 -27 *1294:179 *27444:A1 0.000197191 -28 *1308:19 *3955:16 0.000385745 -29 *1341:15 *25021:B2 0.000135028 -30 *1864:150 *27809:B2 0.000162373 -31 *2855:285 *27444:A1 0.00110844 -32 *2864:132 *3955:6 5.66854e-05 -33 *2864:132 *3955:16 4.00349e-05 -34 *2877:359 *27444:A1 5.74447e-05 -35 *2885:82 *3955:16 3.10667e-05 -36 *2889:162 *27809:B2 1.90936e-05 -37 *2895:256 *27809:B2 6.05161e-06 -38 *3017:10 *3955:16 2.58124e-05 -39 *3165:27 *27809:B2 2.21972e-05 -40 *3721:68 *3955:6 6.53083e-05 -41 *3721:68 *3955:16 0.000249269 -42 *3799:37 *27444:A1 7.83659e-05 -43 *3799:37 *3955:16 0.000253215 -44 *3800:29 *27444:A1 8.85664e-07 -45 *3800:29 *3955:16 0 -46 *3812:31 *27809:B2 0.000241819 -47 *3865:38 *3955:16 0.00134556 -*RES -1 *28488:Q *3955:4 9.3 -2 *3955:4 *3955:6 5.38393 -3 *3955:6 *3955:16 36.2054 -4 *3955:16 *27444:A1 38.2286 -5 *3955:16 *25021:B2 15.1571 -6 *3955:6 *27809:B2 24.9693 -7 *3955:4 *30416:A 12.2107 -*END - -*D_NET *3956 0.0251813 -*CONN -*I *30110:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24990:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27466:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27837:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28489:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *30110:A 6.79252e-05 -2 *24990:A1 0.000139341 -3 *27466:A1 3.38383e-05 -4 *27837:A1 0 -5 *28489:Q 0.000746215 -6 *3956:52 0.000351508 -7 *3956:37 0.00111046 -8 *3956:25 0.00196503 -9 *3956:17 0.0022545 -10 *3956:10 0.00232423 -11 *24990:A1 *6476:DIODE 3.79491e-05 -12 *24990:A1 *24990:B2 1.05731e-05 -13 *24990:A1 *5675:58 8.72374e-05 -14 *24990:A1 *5863:163 2.30043e-05 -15 *30110:A *26900:A1 5.20751e-05 -16 *3956:10 *28489:D 0.000138826 -17 *3956:10 *28489:RESET_B 0.000128809 -18 *3956:10 *5602:76 0.000153113 -19 *3956:10 *5660:102 4.80697e-05 -20 *3956:10 *5675:34 3.78791e-05 -21 *3956:17 *26900:A1 4.48647e-05 -22 *3956:17 *5652:95 9.18544e-05 -23 *3956:25 *5647:49 0.000153954 -24 *3956:37 *27470:A1 7.55468e-05 -25 *3956:37 *27837:B1 2.59355e-05 -26 *3956:37 *27837:B2 2.84269e-05 -27 *3956:37 *4099:24 5.74499e-06 -28 *3956:37 *4112:21 0.000263213 -29 *3956:52 *26900:A1 0.000105471 -30 *24990:B1 *24990:A1 2.20027e-05 -31 *24990:C1 *24990:A1 5.7903e-06 -32 *25010:A2 *3956:37 0.00138101 -33 *27466:A2 *3956:25 4.26825e-05 -34 *27466:B2 *27466:A1 1.37532e-05 -35 *27472:A1 *3956:17 8.37654e-05 -36 *27473:B1 *3956:17 3.91348e-05 -37 *27481:C *3956:25 0.000649279 -38 *27481:D *3956:25 5.74562e-05 -39 *27837:A2 *3956:37 0.000175892 -40 *27842:A3 *3956:17 4.58194e-05 -41 *28489:CLK *3956:17 2.08792e-05 -42 *30111:A *3956:10 7.54868e-05 -43 *1250:243 *3956:25 9.99931e-06 -44 *1250:245 *3956:25 0.00137162 -45 *1267:221 *3956:25 0.000127359 -46 *1274:137 *3956:37 8.07879e-05 -47 *1308:19 *3956:17 0.00021937 -48 *1308:19 *3956:25 0.000115606 -49 *1317:30 *24990:A1 0 -50 *1368:46 *3956:37 0.0011317 -51 *2760:69 *3956:17 0.000261799 -52 *2794:183 *3956:17 0.00155652 -53 *2866:294 *3956:37 0.00119681 -54 *2866:296 *3956:37 6.45024e-05 -55 *2872:186 *3956:17 2.42387e-05 -56 *2877:237 *3956:25 0.00014918 -57 *2885:97 *3956:25 1.77971e-05 -58 *2885:123 *3956:25 1.12406e-05 -59 *3031:10 *3956:17 0.00138633 -60 *3031:10 *3956:25 0.000622678 -61 *3165:33 *3956:25 0.000161383 -62 *3165:55 *3956:37 0.000154703 -63 *3177:69 *3956:37 0.000628104 -64 *3177:157 *3956:37 0.000968613 -65 *3386:22 *3956:25 0.000591301 -66 *3826:60 *3956:17 0.000472085 -67 *3865:44 *3956:17 0.000739001 -*RES -1 *28489:Q *3956:10 28.0679 -2 *3956:10 *3956:17 46.8393 -3 *3956:17 *3956:25 39.485 -4 *3956:25 *3956:37 41.9911 -5 *3956:37 *27837:A1 9.3 -6 *3956:25 *27466:A1 14.5321 -7 *3956:10 *3956:52 2.17857 -8 *3956:52 *24990:A1 21.3491 -9 *3956:52 *30110:A 10.675 -*END - -*D_NET *3957 0.0490227 -*CONN -*I *25352:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *29248:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27487:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27862:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28230:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25352:B2 0.001213 -2 *29248:A 0 -3 *27487:B2 0 -4 *27862:A1 0 -5 *28230:Q 0 -6 *3957:67 0.00374041 -7 *3957:43 0.000905722 -8 *3957:27 0.0010335 -9 *3957:22 0.00505457 -10 *3957:21 0.00505314 -11 *3957:17 0.000674095 -12 *3957:4 0.00307516 -13 *25352:B2 *25372:A1 5.51819e-05 -14 *25352:B2 *25372:B2 3.82227e-06 -15 *25352:B2 *4022:47 5.21937e-05 -16 *3957:17 *5250:8 0 -17 *3957:17 *5709:102 6.53629e-05 -18 *3957:17 *6356:24 0.00013372 -19 *3957:22 *25187:B2 0.00214506 -20 *3957:22 *25846:A 0.000501694 -21 *3957:22 *27937:B2 0.000168082 -22 *3957:22 *29682:A 2.49484e-05 -23 *3957:22 *4091:20 6.07075e-05 -24 *3957:22 *4100:21 2.4227e-05 -25 *3957:22 *4117:32 0.000802005 -26 *3957:22 *4126:84 0.000138542 -27 *3957:22 *5667:24 0.000232016 -28 *3957:22 *5715:137 0.00151653 -29 *3957:22 *5715:195 0.000207272 -30 *3957:22 *5833:65 0 -31 *3957:22 *5839:35 0.000103253 -32 *3957:27 *27487:A2 1.21258e-05 -33 *3957:43 *27495:A1 0.000259273 -34 *3957:43 *27862:A2 0.00011713 -35 *3957:67 *25887:A0 8.35766e-05 -36 *3957:67 *28336:RESET_B 3.63775e-05 -37 *3957:67 *29531:A 0.000303362 -38 *3957:67 *29812:A 5.52238e-05 -39 *3957:67 *4087:22 0.000128161 -40 *3957:67 *5709:94 8.27973e-05 -41 *3957:67 *5709:102 0.00032415 -42 *3957:67 *5758:75 0.000256808 -43 *3957:67 *5833:57 0.000126446 -44 *6632:DIODE *3957:22 9.96553e-05 -45 *25128:B *3957:22 5.93786e-05 -46 *25187:C1 *3957:22 0.000129868 -47 *25372:B1 *25352:B2 5.52302e-05 -48 *25372:B1 *3957:67 0 -49 *25788:S *3957:22 1.88048e-05 -50 *27487:A1 *3957:27 6.34436e-05 -51 *27487:A1 *3957:43 9.41642e-05 -52 *27488:C1 *3957:27 3.69047e-06 -53 *27495:B1 *3957:43 6.05161e-06 -54 *27495:B2 *3957:43 7.27091e-05 -55 *27500:C1 *3957:43 8.55871e-05 -56 *27587:A1 *3957:22 0.000192772 -57 *27588:C1 *3957:22 0.00122556 -58 *27589:A2 *3957:22 0.000324674 -59 *27862:B1 *3957:43 3.45371e-05 -60 *29741:A *3957:67 0.000177821 -61 *29750:A *3957:17 7.83659e-05 -62 *29832:A *3957:67 5.33005e-05 -63 *1677:8 *3957:22 0.000398098 -64 *1687:9 *25352:B2 3.63775e-05 -65 *1687:9 *3957:67 0.000105471 -66 *1688:16 *25352:B2 0.000484734 -67 *1870:8 *3957:22 3.06406e-05 -68 *1870:24 *3957:22 0.000334297 -69 *1899:39 *3957:43 0.000104536 -70 *2483:28 *3957:22 0.00014852 -71 *2844:69 *3957:22 0.00126933 -72 *2844:89 *3957:22 0.00232705 -73 *2860:128 *3957:43 0.000140597 -74 *2871:105 *3957:27 0.000257619 -75 *2871:105 *3957:43 3.97677e-05 -76 *2882:135 *3957:22 0.000248423 -77 *2882:142 *3957:22 9.07728e-05 -78 *2882:143 *3957:22 0.000440508 -79 *2895:146 *3957:22 0.00128793 -80 *2895:172 *3957:22 2.76617e-05 -81 *2895:180 *3957:22 0.0018068 -82 *3051:23 *3957:43 5.39376e-05 -83 *3069:8 *3957:22 0.00178076 -84 *3081:8 *3957:43 0.0011454 -85 *3115:19 *3957:22 0.000134704 -86 *3115:31 *3957:22 0.00208294 -87 *3576:115 *3957:17 5.41609e-05 -88 *3713:130 *3957:17 2.38501e-05 -89 *3713:130 *3957:21 0.000108068 -90 *3724:34 *3957:43 0.000140597 -91 *3736:63 *3957:22 3.16204e-05 -92 *3749:105 *3957:22 0.000141178 -93 *3763:10 *3957:67 5.33005e-05 -94 *3793:40 *3957:22 6.92803e-05 -95 *3793:52 *3957:22 0.000916601 -96 *3840:30 *3957:43 1.31802e-05 -97 *3909:31 *3957:43 0.00114877 -*RES -1 *28230:Q *3957:4 9.3 -2 *3957:4 *3957:17 19.0179 -3 *3957:17 *3957:21 6.67857 -4 *3957:21 *3957:22 160.107 -5 *3957:22 *3957:27 7.51786 -6 *3957:27 *3957:43 43.0357 -7 *3957:43 *27862:A1 9.3 -8 *3957:27 *27487:B2 9.3 -9 *3957:17 *29248:A 9.3 -10 *3957:4 *3957:67 47.0714 -11 *3957:67 *25352:B2 24.9607 -*END - -*D_NET *3958 0.0659599 -*CONN -*I *29801:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25316:A1 I *D sky130_fd_sc_hd__a211o_1 -*I *27522:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27887:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28231:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29801:A 0.000885939 -2 *25316:A1 0.000526102 -3 *27522:B2 3.86553e-05 -4 *27887:A1 0 -5 *28231:Q 0.000136222 -6 *3958:52 0.00439862 -7 *3958:49 0.00387252 -8 *3958:34 0.00223322 -9 *3958:33 0.00227035 -10 *3958:29 0.00131666 -11 *3958:16 0.00408442 -12 *3958:14 0.00321314 -13 *3958:10 0.000942215 -14 *3958:7 0.00159477 -15 *29801:A *25767:A1 4.51526e-05 -16 *29801:A *25883:A1 0.000217876 -17 *29801:A *26712:A1 4.87953e-05 -18 *29801:A *26735:A1 0.000276896 -19 *29801:A *5111:13 0.000444063 -20 *29801:A *5669:48 0.000231332 -21 *29801:A *5697:23 7.48091e-05 -22 *29801:A *6354:8 0.000646055 -23 *3958:10 *4350:8 2.28159e-05 -24 *3958:10 *6354:8 0.00185467 -25 *3958:14 *4350:8 0.000445478 -26 *3958:16 *27910:B2 2.34423e-05 -27 *3958:16 *4066:45 0.00125469 -28 *3958:16 *4090:34 0.000535839 -29 *3958:16 *4101:22 0.000238943 -30 *3958:16 *4101:24 7.48679e-06 -31 *3958:16 *4101:28 0.000228651 -32 *3958:16 *4113:116 0.000926091 -33 *3958:16 *4131:36 4.21857e-05 -34 *3958:16 *4350:8 8.89912e-05 -35 *3958:16 *4350:14 0.000285082 -36 *3958:16 *4350:31 0.000639205 -37 *3958:16 *4350:48 0.000515395 -38 *3958:29 *25218:B2 0.000104587 -39 *3958:29 *27555:A1 0.000425095 -40 *3958:29 *4101:28 0.000615138 -41 *3958:29 *6036:41 0.000550556 -42 *3958:33 *6036:41 9.41642e-05 -43 *3958:34 *25307:B2 0.000126764 -44 *3958:34 *28136:D 0.000150625 -45 *3958:34 *5489:49 0.00311761 -46 *3958:34 *5493:31 0.00106307 -47 *6603:DIODE *25316:A1 2.36643e-05 -48 *25198:A2 *3958:16 0.000105361 -49 *25316:B1 *25316:A1 5.38444e-06 -50 *25875:S *3958:16 0.000577115 -51 *27493:D *3958:34 9.67075e-05 -52 *27522:A2 *3958:29 0.000192679 -53 *27522:B1 *27522:B2 3.51393e-05 -54 *27522:B1 *3958:29 0.000219711 -55 *27545:B1 *3958:16 1.43864e-05 -56 *27546:A2 *3958:16 0.000662729 -57 *27585:A1 *3958:16 0.00119503 -58 *27586:B1 *3958:16 2.21972e-05 -59 *27586:C1 *3958:16 0.000302323 -60 *29342:A *29801:A 0.000178847 -61 *1362:40 *3958:52 0.00121814 -62 *1362:48 *3958:52 0.00130477 -63 *1410:47 *3958:16 7.83669e-05 -64 *1422:52 *3958:16 1.43864e-05 -65 *1464:135 *25316:A1 0.000895408 -66 *1464:135 *3958:52 0.00117207 -67 *1483:25 *3958:14 0.000537711 -68 *1483:25 *3958:16 3.52383e-05 -69 *1683:10 *29801:A 0.000501397 -70 *1683:10 *3958:10 0.00192521 -71 *1683:10 *3958:14 0.000163605 -72 *2855:91 *3958:34 0.00117132 -73 *2859:95 *3958:34 0.000699028 -74 *2865:126 *27522:B2 1.38323e-05 -75 *2865:126 *3958:29 5.74499e-06 -76 *2865:126 *3958:33 1.32853e-05 -77 *2866:149 *3958:16 0.000232046 -78 *2871:108 *3958:34 0.0012033 -79 *2875:100 *3958:16 0.000647349 -80 *2875:119 *3958:16 0.000378014 -81 *2883:89 *3958:29 6.56976e-05 -82 *2889:254 *3958:34 6.8194e-05 -83 *2892:94 *3958:29 0.00178788 -84 *3101:17 *3958:16 0.000426732 -85 *3143:11 *3958:16 6.607e-06 -86 *3184:137 *3958:16 8.44287e-05 -87 *3216:141 *3958:34 2.2628e-05 -88 *3413:41 *3958:16 0.00108747 -89 *3450:32 *3958:16 0.00199303 -90 *3666:75 *3958:34 0.000188276 -91 *3666:77 *3958:34 0.000427297 -92 *3741:38 *3958:16 0.000111346 -93 *3741:68 *3958:16 0.000604033 -94 *3814:63 *3958:29 0.000136958 -95 *3830:70 *3958:29 5.72597e-05 -96 *3883:31 *3958:34 0.000130975 -97 *3892:47 *3958:34 0.00186164 -98 *3909:40 *3958:29 0.00020356 -*RES -1 *28231:Q *3958:7 15.5679 -2 *3958:7 *3958:10 25.25 -3 *3958:10 *3958:14 11.7589 -4 *3958:14 *3958:16 93.6071 -5 *3958:16 *3958:29 45.4321 -6 *3958:29 *3958:33 5.44643 -7 *3958:33 *3958:34 72.375 -8 *3958:34 *27887:A1 13.8 -9 *3958:29 *27522:B2 10.3179 -10 *3958:10 *3958:49 4.5 -11 *3958:49 *3958:52 48.6071 -12 *3958:52 *25316:A1 18.8893 -13 *3958:7 *29801:A 37.175 -*END - -*D_NET *3959 0.0325131 -*CONN -*I *29357:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27605:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25403:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *27308:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28706:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *29357:A 0.000339869 -2 *27605:A1 0.00017304 -3 *25403:A1 0.000139016 -4 *27308:A1 0 -5 *28706:Q 5.32844e-05 -6 *3959:63 0.00269224 -7 *3959:45 0.00362338 -8 *3959:28 0.00217741 -9 *3959:20 0.0032172 -10 *3959:8 0.00233005 -11 *27605:A1 *27605:B1 3.08664e-05 -12 *29357:A *26865:A 0.000472908 -13 *3959:8 *5651:410 5.52302e-05 -14 *3959:20 *5832:8 0.000146578 -15 *3959:28 *27870:B2 0.000208379 -16 *3959:28 *28602:SET_B 0.00022495 -17 *3959:28 *4088:23 0.000736986 -18 *3959:28 *4128:20 0.00173387 -19 *3959:45 *25366:A2 0.000202771 -20 *3959:45 *4088:23 0.00021084 -21 *3959:45 *4088:26 2.87203e-05 -22 *3959:45 *4096:48 4.20026e-05 -23 *3959:45 *4109:46 1.00452e-05 -24 *3959:45 *4128:20 0.0003256 -25 *3959:45 *5627:139 0.00035753 -26 *3959:63 *26902:A0 0.0001826 -27 *3959:63 *26902:A1 0.00013921 -28 *3959:63 *27308:B2 0.000137983 -29 *3959:63 *4122:43 1.15916e-05 -30 *3959:63 *5656:153 0 -31 *3959:63 *5761:209 9.90639e-05 -32 *25257:B *3959:63 0.000421138 -33 *25383:C1 *3959:20 0 -34 *25403:A2 *25403:A1 7.29971e-05 -35 *27281:A2 *3959:45 1.08571e-05 -36 *27605:A2 *27605:A1 0.000242249 -37 *27605:A2 *3959:20 5.83304e-05 -38 *27605:B2 *27605:A1 4.20878e-05 -39 *27655:B2 *3959:28 0.000226996 -40 *28478:CLK *25403:A1 0.000260574 -41 *30298:A *3959:28 0.000145753 -42 *1287:132 *3959:63 2.80335e-05 -43 *1519:5 *3959:45 9.26907e-05 -44 *1524:22 *3959:63 0.000927054 -45 *1672:16 *3959:20 0.000203769 -46 *1672:16 *3959:45 0.00146775 -47 *1672:32 *3959:45 1.44954e-05 -48 *1672:32 *3959:63 0.000178445 -49 *1834:37 *29357:A 0.000289348 -50 *1834:37 *3959:8 1.90936e-05 -51 *2759:68 *3959:20 0 -52 *2759:80 *3959:20 9.71706e-05 -53 *2872:279 *3959:63 2.59355e-05 -54 *2872:295 *3959:63 0.000227072 -55 *2874:305 *3959:45 0.000919266 -56 *2874:305 *3959:63 0.00125892 -57 *2972:10 *3959:45 2.06178e-05 -58 *3173:158 *3959:63 0.000398262 -59 *3179:205 *3959:20 7.47921e-05 -60 *3309:13 *3959:20 0.000115139 -61 *3562:16 *3959:45 2.63501e-05 -62 *3562:16 *3959:63 0.000110026 -63 *3578:118 *29357:A 0.000297971 -64 *3578:118 *3959:8 1.90936e-05 -65 *3742:36 *3959:63 9.76123e-05 -66 *3742:48 *3959:63 9.76435e-06 -67 *3784:36 *3959:45 0.000111243 -68 *3784:36 *3959:63 0.000169341 -69 *3784:54 *3959:63 0.000145943 -70 *3784:79 *3959:63 0.00110075 -71 *3790:106 *3959:28 0.000455972 -72 *3790:106 *3959:45 6.07232e-05 -73 *3803:11 *3959:20 0.000145596 -74 *3809:32 *3959:45 0.000348207 -75 *3920:31 *3959:28 0.000153047 -76 *3927:12 *3959:63 0.000362823 -77 *3927:19 *3959:63 0.000956581 -*RES -1 *28706:Q *3959:8 14.6125 -2 *3959:8 *3959:20 22.373 -3 *3959:20 *3959:28 38.5536 -4 *3959:28 *3959:45 45.2691 -5 *3959:45 *3959:63 46.1005 -6 *3959:63 *27308:A1 9.3 -7 *3959:28 *25403:A1 16.8179 -8 *3959:20 *27605:A1 13.2643 -9 *3959:8 *29357:A 22.3625 -*END - -*D_NET *3960 0.0314323 -*CONN -*I *27898:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30447:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27532:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25268:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28137:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *27898:A1 0 -2 *30447:A 0 -3 *27532:A1 0 -4 *25268:B2 0 -5 *28137:Q 6.03179e-05 -6 *3960:86 0.0034366 -7 *3960:62 0.00377737 -8 *3960:43 0.00128396 -9 *3960:29 0.00132984 -10 *3960:28 0.00229855 -11 *3960:7 0.00265377 -12 *3960:28 *25641:A1 9.41642e-05 -13 *3960:28 *27860:A2 0.000167762 -14 *3960:28 *4130:77 2.89822e-05 -15 *3960:28 *5657:155 0.000154703 -16 *3960:28 *6036:38 0.000376231 -17 *3960:43 *27532:B2 1.3701e-05 -18 *3960:43 *4131:35 0 -19 *3960:43 *4139:28 0.00054712 -20 *3960:43 *4139:82 0.000361965 -21 *3960:43 *4140:24 9.91086e-05 -22 *3960:43 *5063:15 7.41888e-05 -23 *3960:62 *25643:A1 0.000230535 -24 *3960:62 *6036:38 0.000173874 -25 *3960:86 *25643:A1 0.000302657 -26 *3960:86 *27898:B1 2.22011e-05 -27 *3960:86 *5467:14 0.000348881 -28 *3960:86 *5646:93 0.000943963 -29 *3960:86 *5718:174 6.85979e-05 -30 *3960:86 *5744:37 0.000134674 -31 *25268:B1 *3960:43 1.97695e-05 -32 *25640:A *3960:86 0.000178425 -33 *27487:A1 *3960:7 7.37323e-05 -34 *27491:A1 *3960:28 0 -35 *27507:A2 *3960:43 0.000431857 -36 *27507:B1 *3960:43 0 -37 *27508:B1 *3960:43 0.00101414 -38 *27508:C1 *3960:43 0.000207118 -39 *27532:A2 *3960:43 1.46576e-05 -40 *27532:B1 *3960:28 3.22325e-05 -41 *27537:B *3960:43 0.000571269 -42 *27899:C1 *3960:86 3.08382e-06 -43 *1421:53 *3960:28 2.31868e-05 -44 *1617:30 *3960:86 3.17367e-05 -45 *1619:25 *3960:28 1.1984e-05 -46 *1828:7 *3960:62 0.000312573 -47 *1828:7 *3960:86 0.000537167 -48 *1832:41 *3960:86 0.000882024 -49 *2845:80 *3960:86 0 -50 *2852:199 *3960:86 3.56987e-05 -51 *2853:90 *3960:86 0.000213555 -52 *2860:128 *3960:86 0.000229332 -53 *2860:132 *3960:86 0.000179822 -54 *2865:77 *3960:43 0 -55 *2871:46 *3960:43 9.68207e-05 -56 *2872:90 *3960:28 0.000302573 -57 *2872:90 *3960:43 0.000210205 -58 *2872:93 *3960:28 0.00075595 -59 *2872:93 *3960:62 5.31122e-05 -60 *2874:57 *3960:29 5.33005e-05 -61 *2874:57 *3960:43 8.15091e-05 -62 *2874:68 *3960:28 1.10632e-05 -63 *2882:65 *3960:86 0.000340324 -64 *2882:67 *3960:62 8.25843e-06 -65 *2889:254 *3960:28 1.88026e-05 -66 *2889:254 *3960:62 5.1588e-05 -67 *2892:65 *3960:28 4.32957e-05 -68 *3168:83 *3960:28 0.00076681 -69 *3454:11 *3960:28 0.000106304 -70 *3662:30 *3960:86 0.000153072 -71 *3723:34 *3960:28 0.00117358 -72 *3723:34 *3960:62 0.000181599 -73 *3752:54 *3960:86 4.23326e-05 -74 *3805:59 *3960:28 0 -75 *3814:63 *3960:86 0.000177886 -76 *3830:70 *3960:86 0.000110139 -77 *3830:71 *3960:86 1.98839e-05 -78 *3869:34 *3960:29 1.98839e-05 -79 *3882:34 *3960:43 0.000123625 -80 *3882:47 *3960:28 0.00188641 -81 *3893:52 *3960:43 1.69115e-05 -82 *3919:46 *3960:43 0.000639951 -*RES -1 *28137:Q *3960:7 14.5411 -2 *3960:7 *3960:28 49.1947 -3 *3960:28 *3960:29 0.946429 -4 *3960:29 *3960:43 46.7009 -5 *3960:43 *25268:B2 9.3 -6 *3960:29 *27532:A1 9.3 -7 *3960:7 *3960:62 20.7321 -8 *3960:62 *30447:A 9.3 -9 *3960:62 *3960:86 49.1776 -10 *3960:86 *27898:A1 9.3 -*END - -*D_NET *3961 0.0217532 -*CONN -*I *25211:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27923:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30166:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27566:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28138:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *25211:A1 0.00103652 -2 *27923:A1 0 -3 *30166:A 0 -4 *27566:B2 0.000309045 -5 *28138:Q 0 -6 *3961:64 0.00259577 -7 *3961:45 0.00420707 -8 *3961:25 0.00278891 -9 *3961:4 0.000450132 -10 *25211:A1 *24892:A 0.000244658 -11 *27566:B2 *24879:C_N 0.000137777 -12 *27566:B2 *5661:123 1.43864e-05 -13 *27566:B2 *5686:35 0.000131291 -14 *27566:B2 *5838:31 7.63245e-05 -15 *27566:B2 *5909:61 2.01997e-05 -16 *27566:B2 *5909:62 4.43299e-05 -17 *3961:25 *4127:84 0.00030294 -18 *3961:45 *27923:B1 2.89016e-05 -19 *3961:45 *27923:B2 0.00038021 -20 *3961:45 *4127:84 0.00277983 -21 *3961:64 *27923:B1 8.43535e-06 -22 *3961:64 *5623:37 6.03224e-05 -23 *24892:B *25211:A1 8.5729e-05 -24 *25138:C1 *3961:64 0.000591138 -25 *25211:A2 *25211:A1 0.000116526 -26 *25211:B1 *25211:A1 2.98258e-05 -27 *25212:D *25211:A1 5.33005e-05 -28 *25874:S *27566:B2 7.4427e-05 -29 *27564:B2 *3961:45 2.84269e-05 -30 *27566:B1 *27566:B2 6.35819e-05 -31 *27566:B1 *3961:25 2.79421e-05 -32 *27588:B1 *3961:45 3.03145e-05 -33 *27925:C1 *3961:64 8.25843e-06 -34 *27930:A1 *3961:45 0.000244784 -35 *27930:A2 *3961:45 1.21145e-05 -36 *27930:B1 *3961:45 5.65084e-05 -37 *1273:203 *3961:64 0.000121549 -38 *1360:20 *3961:64 0.000597017 -39 *1448:160 *3961:64 0.000298483 -40 *1456:64 *27566:B2 0.000277903 -41 *1494:48 *25211:A1 0.000278614 -42 *1730:13 *25211:A1 0.000278614 -43 *2845:127 *3961:45 5.41794e-05 -44 *2883:89 *3961:45 3.28686e-05 -45 *3153:98 *3961:64 6.89823e-05 -46 *3205:98 *3961:64 0.000598724 -47 *3471:10 *3961:45 3.5388e-05 -48 *3558:17 *27566:B2 4.00349e-05 -49 *3749:108 *3961:64 5.47548e-05 -50 *3868:62 *3961:64 0.000935981 -51 *3881:33 *3961:64 0.000940845 -52 *3897:48 *3961:45 5.58941e-05 -53 *3947:68 *27566:B2 4.34648e-05 -*RES -1 *28138:Q *3961:4 9.3 -2 *3961:4 *27566:B2 29.8896 -3 *3961:4 *3961:25 3 -4 *3961:25 *30166:A 9.3 -5 *3961:25 *3961:45 49.0714 -6 *3961:45 *27923:A1 9.3 -7 *3961:45 *3961:64 48.4464 -8 *3961:64 *25211:A1 37.4964 -*END - -*D_NET *3962 0.0196854 -*CONN -*I *30103:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25117:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27958:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27587:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28139:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *30103:A 0 -2 *25117:B2 0 -3 *27958:A1 0.000306423 -4 *27587:B2 4.55879e-05 -5 *28139:Q 0.000277403 -6 *3962:61 0.0015211 -7 *3962:35 0.00328418 -8 *3962:18 0.00180898 -9 *3962:13 0.00058414 -10 *27587:B2 *5623:23 5.33005e-05 -11 *3962:13 *24879:A 5.56679e-05 -12 *3962:13 *25437:A1 0.000177545 -13 *3962:13 *28139:D 9.41642e-05 -14 *3962:35 *25875:A1 0.000185967 -15 *3962:35 *5623:23 0.000887034 -16 *3962:35 *5626:29 0.000483045 -17 *3962:35 *5686:15 6.34436e-05 -18 *3962:61 *25357:B2 9.58126e-05 -19 *3962:61 *4141:49 0.000124521 -20 *3962:61 *5626:29 0.000490937 -21 *25081:A2 *3962:61 2.49484e-05 -22 *25117:B1 *3962:61 6.23141e-05 -23 *25122:A1 *3962:61 0.000126345 -24 *25122:A2 *3962:61 4.96113e-05 -25 *25331:B1 *3962:61 0.000676798 -26 *25416:A2 *3962:13 0.000175892 -27 *25416:B2 *3962:13 3.97677e-05 -28 *25875:S *3962:35 0.00108212 -29 *27303:A2 *3962:61 6.7491e-05 -30 *27303:B2 *3962:61 0.000103211 -31 *27587:A1 *3962:35 2.36643e-05 -32 *27587:A2 *3962:35 0.000815728 -33 *27587:B1 *27587:B2 1.58163e-05 -34 *27587:B1 *3962:35 1.21258e-05 -35 *27958:A2 *27958:A1 0.00032187 -36 *27958:B1 *27958:A1 2.98258e-05 -37 *27965:B *27958:A1 0.000175892 -38 *30343:A *3962:35 0.000179745 -39 *1217:24 *3962:61 0.000118052 -40 *1401:117 *3962:61 0.000118052 -41 *1423:66 *3962:18 0.000731763 -42 *1430:76 *3962:61 0.000101545 -43 *1451:39 *3962:61 0.000380654 -44 *2871:110 *3962:13 0.000206292 -45 *3216:142 *3962:13 0.000202339 -46 *3480:35 *27958:A1 0.000355861 -47 *3503:28 *27958:A1 0.00035829 -48 *3724:20 *3962:18 0.000731763 -49 *3739:92 *3962:35 0.00145066 -50 *3739:92 *3962:61 0.000307684 -51 *3920:83 *3962:61 9.99987e-05 -*RES -1 *28139:Q *3962:13 25.1214 -2 *3962:13 *3962:18 18.8393 -3 *3962:18 *27587:B2 10.2643 -4 *3962:18 *3962:35 38.5 -5 *3962:35 *27958:A1 26.8 -6 *3962:35 *3962:61 49.1964 -7 *3962:61 *25117:B2 9.3 -8 *3962:13 *30103:A 9.3 -*END - -*D_NET *3963 0.0753081 -*CONN -*I *27338:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25305:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *6397:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27675:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30438:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28707:Q O *D sky130_fd_sc_hd__dfstp_4 -*CAP -1 *27338:A1 0.000108038 -2 *25305:A1 0 -3 *6397:DIODE 0.00027634 -4 *27675:A1 0 -5 *30438:A 0.00013808 -6 *28707:Q 0 -7 *3963:129 0.000460824 -8 *3963:125 0.00375529 -9 *3963:122 0.00370402 -10 *3963:119 0.00140331 -11 *3963:109 0.00325845 -12 *3963:93 0.00291906 -13 *3963:87 0.00212366 -14 *3963:72 0.00213718 -15 *3963:60 0.00323558 -16 *3963:42 0.00452606 -17 *3963:21 0.0027578 -18 *3963:4 0.000769201 -19 *27338:A1 *27691:A1 0.000301094 -20 *30438:A *5768:161 0.000183015 -21 *3963:21 *25232:A1 1.98839e-05 -22 *3963:21 *27675:B1 2.59355e-05 -23 *3963:21 *27675:B2 0.000343491 -24 *3963:21 *5590:161 0.000222666 -25 *3963:21 *5629:172 0.000690223 -26 *3963:21 *5768:161 0.000624778 -27 *3963:42 *27679:A2 0.000107753 -28 *3963:42 *27699:A1 4.15161e-05 -29 *3963:42 *4081:34 0.000242272 -30 *3963:42 *4120:20 0.000253158 -31 *3963:42 *4120:30 0.000359644 -32 *3963:42 *5582:156 1.90936e-05 -33 *3963:42 *5587:102 0.000128059 -34 *3963:42 *5646:267 6.14836e-06 -35 *3963:42 *5647:125 0.000106304 -36 *3963:42 *5658:160 7.6644e-05 -37 *3963:42 *5701:113 8.22793e-06 -38 *3963:42 *5702:29 2.41224e-05 -39 *3963:42 *5759:18 0.000452876 -40 *3963:60 *27697:A2 0.00122066 -41 *3963:60 *5582:95 0.000411653 -42 *3963:60 *5632:131 0.000171028 -43 *3963:60 *5663:138 0.000370995 -44 *3963:60 *5663:179 0.000186594 -45 *3963:60 *5702:38 1.65417e-05 -46 *3963:72 *27691:A2 4.13882e-05 -47 *3963:72 *3977:16 0.00140276 -48 *3963:72 *5629:166 0.0014047 -49 *3963:72 *5632:115 0 -50 *3963:72 *5652:27 0.000166428 -51 *3963:87 *5652:27 0.000346879 -52 *3963:93 *27348:A1 2.24804e-05 -53 *3963:93 *4054:26 0.00021151 -54 *3963:93 *5634:123 0.00063289 -55 *3963:93 *5634:140 0.000106933 -56 *3963:93 *5643:66 0.000156202 -57 *3963:109 *3992:54 0.000833542 -58 *3963:109 *5597:20 2.59024e-05 -59 *3963:109 *5656:89 0.00063003 -60 *3963:109 *5700:55 0.000154071 -61 *3963:109 *5713:62 0.000627634 -62 *3963:109 *5754:179 0.00014833 -63 *3963:119 *3992:42 0.000924499 -64 *3963:125 *5600:37 0.00109823 -65 *25186:A2 *3963:60 8.92267e-05 -66 *25190:C1 *3963:60 0.000297688 -67 *25305:A2 *3963:129 0.000103297 -68 *25305:B2 *6397:DIODE 0.000179439 -69 *25312:A *6397:DIODE 0 -70 *25312:A *3963:129 5.33005e-05 -71 *27316:A2 *3963:119 0.000548724 -72 *27316:C1 *3963:119 5.33005e-05 -73 *27332:A *3963:109 0.000186557 -74 *27338:A2 *27338:A1 7.37323e-05 -75 *27349:B1 *3963:93 0.000103253 -76 *27351:B1 *3963:93 0.000506591 -77 *27352:A *3963:87 1.17968e-05 -78 *27352:D *3963:93 2.07371e-05 -79 *27367:A *3963:60 0.00131982 -80 *27683:C1 *3963:109 0.000337637 -81 *27703:A2 *3963:42 0.000174211 -82 *28467:CLK *3963:109 0.00035583 -83 *28572:CLK *30438:A 6.95299e-05 -84 *28604:CLK *3963:119 0.00011258 -85 *29725:A *3963:60 0.000213273 -86 *30324:A *3963:125 4.60153e-05 -87 *30512:A *3963:87 0.00014559 -88 *1185:88 *3963:93 0.000178503 -89 *1246:36 *3963:125 0.0018225 -90 *1250:43 *3963:122 8.2059e-05 -91 *1267:80 *3963:60 6.14836e-06 -92 *1270:33 *3963:87 0.000664655 -93 *1286:26 *3963:60 0.000510206 -94 *1286:85 *30438:A 0.000107139 -95 *1286:85 *3963:21 0.000109492 -96 *1286:101 *3963:21 1.02821e-05 -97 *1287:184 *3963:72 0.000157622 -98 *1293:149 *3963:60 1.54245e-05 -99 *1327:83 *3963:129 0.000161693 -100 *1361:114 *3963:42 9.1157e-05 -101 *1363:108 *3963:119 0.00071791 -102 *1395:18 *3963:129 0.000106894 -103 *1395:29 *3963:129 1.13346e-05 -104 *1440:19 *6397:DIODE 8.43535e-06 -105 *1440:19 *3963:129 6.05161e-06 -106 *1440:23 *6397:DIODE 2.41198e-05 -107 *1476:143 *3963:125 0.000150185 -108 *1507:25 *3963:87 3.69047e-06 -109 *1574:6 *3963:42 0.000718953 -110 *2759:111 *3963:42 0 -111 *2759:120 *3963:87 0.000714013 -112 *2761:53 *3963:60 8.92267e-05 -113 *2761:98 *3963:60 0 -114 *2761:114 *3963:60 0 -115 *2763:196 *3963:42 4.73891e-05 -116 *2767:167 *3963:21 2.05612e-05 -117 *2767:167 *3963:42 2.90548e-05 -118 *2768:50 *3963:93 0.000296235 -119 *2778:152 *3963:60 1.462e-05 -120 *2784:67 *3963:93 6.82091e-06 -121 *2784:67 *3963:109 0.00156602 -122 *2784:67 *3963:119 0.00020133 -123 *2794:122 *3963:72 3.18023e-05 -124 *2794:122 *3963:87 0.000521301 -125 *2794:141 *3963:87 0.00137333 -126 *2794:141 *3963:93 0.000145347 -127 *2844:246 *3963:93 0.000135028 -128 *2856:137 *3963:119 0.000465673 -129 *2859:187 *3963:109 6.74324e-05 -130 *2864:321 *3963:42 4.00349e-05 -131 *2875:232 *3963:109 0.000218726 -132 *2875:302 *3963:72 0.000150769 -133 *2879:35 *3963:119 0.000382662 -134 *2879:61 *3963:122 3.17148e-05 -135 *2913:8 *3963:87 0.00070088 -136 *3153:320 *3963:42 1.94879e-05 -137 *3162:40 *3963:122 0.000232158 -138 *3165:103 *3963:42 0.00014272 -139 *3179:154 *3963:60 0.000164764 -140 *3179:167 *3963:42 9.13908e-05 -141 *3179:186 *3963:42 6.77187e-05 -142 *3184:118 *3963:109 4.88232e-05 -143 *3197:46 *3963:119 0.0017164 -144 *3197:52 *3963:109 0.000356793 -145 *3206:304 *3963:21 0.000461937 -146 *3206:304 *3963:42 9.41642e-05 -147 *3233:16 *3963:87 2.2628e-05 -148 *3233:26 *3963:87 4.43126e-05 -149 *3233:26 *3963:93 0.00214377 -150 *3241:14 *3963:72 4.09607e-05 -151 *3241:14 *3963:87 3.2923e-05 -152 *3581:138 *3963:42 1.94879e-05 -153 *3644:10 *3963:109 0.000125466 -154 *3664:16 *3963:109 4.37712e-06 -155 *3667:28 *3963:119 0.000219711 -156 *3667:41 *3963:119 0.000221787 -157 *3678:47 *3963:42 0.000177924 -158 *3678:47 *3963:60 0.000149888 -159 *3742:48 *27338:A1 1.81887e-05 -160 *3742:50 *27338:A1 7.95355e-05 -161 *3756:13 *3963:60 0.000137955 -162 *3783:121 *3963:125 0.000356219 -163 *3821:19 *3963:60 0.00174193 -164 *3822:108 *30438:A 4.06875e-05 -165 *3847:87 *3963:60 0.000107789 -166 *3886:78 *3963:87 0.000300949 -167 *3919:82 *3963:129 2.66241e-05 -168 *3924:38 *3963:21 0.000689494 -169 *3934:62 *3963:125 0.000170886 -*RES -1 *28707:Q *3963:4 9.3 -2 *3963:4 *30438:A 22.6214 -3 *3963:4 *3963:21 29.1607 -4 *3963:21 *27675:A1 9.3 -5 *3963:21 *3963:42 49.0844 -6 *3963:42 *3963:60 49.2635 -7 *3963:60 *3963:72 33.5545 -8 *3963:72 *3963:87 45.3929 -9 *3963:87 *3963:93 42.7679 -10 *3963:93 *3963:109 48.8283 -11 *3963:109 *3963:119 47.7768 -12 *3963:119 *3963:122 7.66071 -13 *3963:122 *3963:125 48.5714 -14 *3963:125 *3963:129 8.51786 -15 *3963:129 *6397:DIODE 12.7286 -16 *3963:129 *25305:A1 9.3 -17 *3963:72 *27338:A1 16.8 -*END - -*D_NET *3964 0.0140175 -*CONN -*I *25278:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *29718:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27372:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27700:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28708:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25278:A1 0 -2 *29718:A 0 -3 *27372:B2 0.000177344 -4 *27700:A1 0.000329047 -5 *28708:Q 0.000197955 -6 *3964:48 0.00106202 -7 *3964:16 0.000792988 -8 *3964:11 0.000394532 -9 *3964:9 0.00136791 -10 *27372:B2 *4030:48 0.000234713 -11 *27700:A1 *5643:298 1.98839e-05 -12 *3964:9 *30346:A 0.000283122 -13 *3964:9 *3965:82 2.26424e-05 -14 *3964:9 *5640:27 0.000326465 -15 *3964:16 *4030:48 0.000359734 -16 *3964:48 *6484:DIODE 0.000219711 -17 *6600:DIODE *3964:48 3.65794e-05 -18 *25278:A2 *3964:48 7.34187e-06 -19 *25278:B2 *3964:48 4.01136e-06 -20 *27372:A1 *27372:B2 0.000175892 -21 *27700:A2 *27700:A1 0.000260152 -22 *1246:230 *3964:48 8.0203e-05 -23 *1250:234 *27372:B2 8.55871e-05 -24 *1293:220 *3964:9 0.000216755 -25 *1293:220 *3964:11 0.000339346 -26 *1293:220 *3964:16 0.000505335 -27 *1490:26 *3964:48 0.000194933 -28 *2780:6 *3964:48 0.00114489 -29 *2860:184 *3964:11 0.000245182 -30 *2860:184 *3964:16 0.000507258 -31 *2860:351 *3964:9 0.000205972 -32 *2860:351 *3964:11 9.41642e-05 -33 *2867:193 *3964:48 0.00108489 -34 *2871:194 *3964:48 0.00142202 -35 *3153:164 *27700:A1 0.000248138 -36 *3559:21 *3964:48 0.00107531 -37 *3874:18 *27372:B2 0.000110558 -38 *3874:18 *3964:16 0.000184932 -*RES -1 *28708:Q *3964:9 24.8536 -2 *3964:9 *3964:11 3.41071 -3 *3964:11 *3964:16 14.2321 -4 *3964:16 *27700:A1 19.2643 -5 *3964:16 *27372:B2 18.7286 -6 *3964:11 *29718:A 9.3 -7 *3964:9 *3964:48 46.0893 -8 *3964:48 *25278:A1 9.3 -*END - -*D_NET *3965 0.0817516 -*CONN -*I *24828:A I *D sky130_fd_sc_hd__inv_2 -*I *29968:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *6398:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25223:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27384:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27736:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28709:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *24828:A 4.6972e-05 -2 *29968:A 0 -3 *6398:DIODE 0.000111957 -4 *25223:A1 7.33953e-06 -5 *27384:B2 1.19853e-05 -6 *27736:A1 9.18289e-05 -7 *28709:Q 2.23854e-05 -8 *3965:134 0.00160792 -9 *3965:130 0.00320917 -10 *3965:95 0.000721459 -11 *3965:85 0.00243816 -12 *3965:82 0.00287546 -13 *3965:79 0.00166654 -14 *3965:70 0.00231647 -15 *3965:69 0.00168938 -16 *3965:61 0.000232376 -17 *3965:56 0.00126126 -18 *3965:39 0.00210742 -19 *3965:35 0.00197287 -20 *3965:29 0.00200502 -21 *3965:22 0.00209098 -22 *3965:10 0.00142625 -23 *3965:5 0.00201275 -24 *24828:A *30857:A 5.20298e-05 -25 *24828:A *30895:A 1.26938e-05 -26 *3965:10 *27148:A1 2.91494e-05 -27 *3965:10 *28709:D 9.41642e-05 -28 *3965:10 *28709:RESET_B 1.39726e-05 -29 *3965:10 *4004:16 0.0002925 -30 *3965:10 *5775:32 6.96405e-05 -31 *3965:22 *26961:A0 4.00349e-05 -32 *3965:22 *27148:A1 1.41706e-05 -33 *3965:22 *28464:D 0.000168579 -34 *3965:22 *29868:A 0.000160732 -35 *3965:22 *5676:47 0.00114952 -36 *3965:22 *5682:63 0.000572917 -37 *3965:22 *5814:12 4.11876e-05 -38 *3965:22 *5911:28 7.69776e-06 -39 *3965:29 *25561:A0 0.000516505 -40 *3965:29 *4205:22 5.31774e-05 -41 *3965:29 *5676:47 4.65356e-05 -42 *3965:29 *5810:17 0.000136958 -43 *3965:35 *27117:B 0.000158206 -44 *3965:35 *5609:236 0.000135028 -45 *3965:35 *5609:245 0.000857986 -46 *3965:35 *5644:94 1.08359e-05 -47 *3965:35 *5644:99 0.00123733 -48 *3965:35 *5662:116 0.000136958 -49 *3965:39 *27117:B 0.000555178 -50 *3965:39 *3991:38 0.00217934 -51 *3965:56 *4082:74 0.000352437 -52 *3965:56 *4108:98 4.46431e-05 -53 *3965:70 *5630:144 0.0023622 -54 *3965:70 *5630:161 0.000713167 -55 *3965:70 *5645:104 2.49001e-05 -56 *3965:70 *5654:86 0.000131218 -57 *3965:70 *5718:354 4.97121e-06 -58 *3965:79 *30346:A 0.000175892 -59 *3965:79 *5667:40 6.57603e-05 -60 *3965:79 *5667:60 0.000796784 -61 *3965:82 *26893:A1 6.33204e-05 -62 *3965:82 *30346:A 0.000856893 -63 *3965:82 *4186:26 0.00014759 -64 *3965:82 *5640:18 0.00177042 -65 *3965:82 *5640:27 1.43349e-05 -66 *3965:130 *27192:S 7.32955e-05 -67 *3965:130 *3978:130 7.69776e-06 -68 *3965:130 *4032:8 2.06178e-05 -69 *3965:130 *5680:66 4.03814e-05 -70 *3965:130 *5736:24 2.25235e-05 -71 *3965:130 *5775:32 0.00014478 -72 *3965:130 *5863:25 6.05176e-05 -73 *3965:130 *5870:19 5.44547e-05 -74 *3965:134 *24976:A1 0 -75 *3965:134 *30857:A 0 -76 *3965:134 *5455:7 0.000271345 -77 *3965:134 *5859:14 0.00195726 -78 *3965:134 *5906:15 0.000168939 -79 *3965:134 *6242:23 6.87245e-05 -80 *25065:B2 *3965:39 0.000654258 -81 *25174:A1 *3965:70 0.00148565 -82 *25192:A1 *3965:70 1.66595e-05 -83 *25223:A2 *6398:DIODE 8.43535e-06 -84 *25223:A2 *25223:A1 1.00733e-05 -85 *25223:A2 *3965:95 4.46148e-05 -86 *25223:B1 *6398:DIODE 0.000259542 -87 *25223:B1 *25223:A1 3.45371e-05 -88 *25224:B *3965:85 0 -89 *25224:B *3965:95 9.78144e-05 -90 *25224:D *3965:95 0.000135428 -91 *25225:A *6398:DIODE 0.000298483 -92 *25225:A *3965:95 0.000142519 -93 *25225:D *3965:95 0.000137983 -94 *27126:A *3965:130 8.64475e-05 -95 *27384:A1 *3965:61 7.18448e-05 -96 *27384:A2 *3965:61 7.13226e-06 -97 *27395:B *3965:61 0.000136682 -98 *27428:A2 *3965:39 6.90625e-05 -99 *27428:A2 *3965:56 2.06178e-05 -100 *27438:A2 *3965:56 5.56679e-05 -101 *27467:A2 *3965:70 4.15526e-05 -102 *28649:CLK *3965:70 0.000346765 -103 *28649:CLK *3965:79 0.000182111 -104 *29229:A *3965:130 0.000525394 -105 *29424:A *3965:134 0 -106 *29708:A *3965:134 0.000186662 -107 *29928:A *3965:130 0.000122091 -108 *30584:A *3965:134 6.32633e-05 -109 *1218:188 *3965:85 0.000364049 -110 *1225:203 *3965:130 0.000157727 -111 *1260:47 *3965:70 0.000143188 -112 *1281:145 *3965:70 2.22043e-05 -113 *1506:17 *3965:35 6.54393e-05 -114 *1527:39 *3965:85 0.000849078 -115 *1527:39 *3965:95 0.000469601 -116 *2760:109 *3965:70 0.00130358 -117 *2760:109 *3965:79 9.27418e-05 -118 *2767:142 *3965:22 2.81307e-05 -119 *2767:142 *3965:29 0.000132421 -120 *2769:182 *3965:134 0.000283504 -121 *2779:170 *3965:85 0.000713024 -122 *2780:6 *3965:79 0.000733977 -123 *2780:150 *3965:79 0.000136104 -124 *2787:139 *3965:56 0.000254867 -125 *2791:275 *3965:130 0.000387174 -126 *2793:14 *3965:5 0 -127 *2793:14 *3965:10 0.000342302 -128 *2793:14 *3965:130 5.33005e-05 -129 *2844:275 *3965:70 1.91414e-05 -130 *2848:242 *3965:56 0.000241956 -131 *2848:369 *3965:35 1.90936e-05 -132 *2856:137 *3965:85 0.000426985 -133 *2867:193 *3965:70 0.000121388 -134 *2867:199 *3965:70 0.000274242 -135 *2867:226 *3965:70 2.06178e-05 -136 *2871:277 *3965:70 0.000199719 -137 *2871:278 *3965:61 3.44871e-05 -138 *2871:298 *27384:B2 2.85377e-05 -139 *2871:298 *3965:61 8.43535e-06 -140 *2871:393 *3965:61 4.35421e-05 -141 *2875:344 *3965:39 0.000305635 -142 *2894:210 *3965:85 2.79421e-05 -143 *2894:361 *3965:85 0.000140549 -144 *2943:11 *3965:56 3.2976e-05 -145 *3153:180 *27736:A1 0.000134461 -146 *3153:180 *3965:39 0.000327789 -147 *3153:204 *27736:A1 2.09645e-05 -148 *3153:284 *3965:39 9.41642e-05 -149 *3215:305 *3965:35 0.00127996 -150 *3215:309 *3965:22 0.000110959 -151 *3285:16 *27736:A1 5.49544e-05 -152 *3285:16 *3965:39 0.000179194 -153 *3293:14 *3965:79 0.000156845 -154 *3293:14 *3965:82 0.000111243 -155 *3537:35 *3965:10 0.000336104 -156 *3565:10 *3965:29 0.00190827 -157 *3627:50 *3965:82 4.80941e-05 -158 *3627:52 *3965:82 7.12778e-05 -159 *3627:57 *3965:82 0.00011866 -160 *3692:62 *3965:56 0.000773452 -161 *3757:75 *3965:22 4.11218e-05 -162 *3757:75 *3965:29 0.00123338 -163 *3820:87 *3965:85 0.000135028 -164 *3824:12 *3965:39 0.000450437 -165 *3887:42 *3965:56 3.65905e-05 -166 *3887:60 *3965:56 0.00117418 -167 *3913:57 *3965:85 0.0038927 -168 *3913:57 *3965:95 8.43535e-06 -169 *3915:37 *3965:35 0.00062733 -170 *3926:29 *3965:10 0 -171 *3926:29 *3965:22 0 -172 *3930:32 *3965:134 0.00137381 -173 *3939:51 *3965:56 0.00209286 -174 *3939:51 *3965:82 0.00202544 -175 *3939:104 *3965:130 8.83836e-05 -176 *3952:50 *3965:79 1.98839e-05 -177 *3964:9 *3965:82 2.26424e-05 -*RES -1 *28709:Q *3965:5 9.72857 -2 *3965:5 *3965:10 13.5 -3 *3965:10 *3965:22 25.1696 -4 *3965:22 *3965:29 37.3839 -5 *3965:29 *3965:35 40.5357 -6 *3965:35 *3965:39 37.3929 -7 *3965:39 *27736:A1 11.0679 -8 *3965:39 *3965:56 47.625 -9 *3965:56 *3965:61 8.64286 -10 *3965:61 *27384:B2 9.58571 -11 *3965:61 *3965:69 4.5 -12 *3965:69 *3965:70 55.5268 -13 *3965:70 *3965:79 27.1696 -14 *3965:79 *3965:82 40.4464 -15 *3965:82 *3965:85 46.8571 -16 *3965:85 *3965:95 12.3214 -17 *3965:95 *25223:A1 9.72857 -18 *3965:95 *6398:DIODE 12.7286 -19 *3965:10 *29968:A 13.8 -20 *3965:5 *3965:130 35.3326 -21 *3965:130 *3965:134 46.375 -22 *3965:134 *24828:A 19.0321 -*END - -*D_NET *3966 0.00525475 -*CONN -*I *27749:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30175:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27413:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25146:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28710:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27749:A1 0.000149844 -2 *30175:A 0 -3 *27413:B2 0.0003106 -4 *25146:A1 9.00336e-05 -5 *28710:Q 0.000147071 -6 *3966:37 0.000297497 -7 *3966:11 0.000827857 -8 *3966:7 0.000721949 -9 *27413:B2 *5650:280 0.000722964 -10 *27749:A1 *27149:A1 4.00679e-05 -11 *27749:A1 *3998:41 0.000212912 -12 *27749:A1 *5777:53 0.000212912 -13 *3966:11 *4043:70 5.24605e-05 -14 *3966:37 *25147:A2 6.09057e-05 -15 *3966:37 *4043:70 3.86217e-05 -16 *3966:37 *5688:85 2.11419e-05 -17 *25146:A2 *25146:A1 9.85469e-06 -18 *27400:B1 *27749:A1 6.81786e-05 -19 *27413:B1 *27413:B2 7.45939e-06 -20 *27413:C1 *27413:B2 5.49489e-05 -21 *27756:A2 *27413:B2 0.00037982 -22 *1279:109 *3966:11 6.81895e-05 -23 *1562:33 *3966:11 0.000105696 -24 *1700:6 *3966:11 3.34687e-05 -25 *1700:6 *3966:37 0.000204601 -26 *2845:300 *3966:7 9.0145e-05 -27 *3177:121 *25146:A1 1.58163e-05 -28 *3177:121 *3966:11 0.000175783 -29 *3177:136 *25146:A1 1.40324e-05 -30 *3758:41 *27413:B2 0.000119924 -*RES -1 *28710:Q *3966:7 15.5679 -2 *3966:7 *3966:11 12.2143 -3 *3966:11 *25146:A1 10.6571 -4 *3966:11 *27413:B2 28.9116 -5 *3966:7 *3966:37 8.32143 -6 *3966:37 *30175:A 9.3 -7 *3966:37 *27749:A1 22.5143 -*END - -*D_NET *3967 0.0239619 -*CONN -*I *29946:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27773:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25058:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27423:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28711:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *29946:A 6.29021e-05 -2 *27773:A1 0.000338997 -3 *25058:A1 0 -4 *27423:B2 0.000585919 -5 *28711:Q 5.04731e-05 -6 *3967:29 0.00174142 -7 *3967:18 0.00286195 -8 *3967:14 0.00293246 -9 *3967:7 0.00100039 -10 *27423:B2 *5594:27 0.000181926 -11 *27773:A1 *27773:B2 6.05161e-06 -12 *27773:A1 *27822:B1 2.62395e-05 -13 *27773:A1 *27822:B2 5.74499e-06 -14 *27773:A1 *5638:195 0.000206581 -15 *27773:A1 *5646:311 1.90936e-05 -16 *27773:A1 *5655:264 9.65816e-05 -17 *29946:A *4136:8 0.000134196 -18 *3967:7 *27192:A1 0.000135028 -19 *3967:14 *27152:A1 0.000251714 -20 *3967:14 *28196:D 0.000282631 -21 *3967:14 *28732:D 0.000162824 -22 *3967:14 *29942:A 0.00025 -23 *3967:14 *4136:8 0.00158538 -24 *3967:18 *28599:D 5.20196e-05 -25 *3967:18 *30197:A 0.000169371 -26 *3967:18 *5638:195 0.000112431 -27 *3967:18 *5639:199 5.20882e-05 -28 *3967:18 *5652:200 7.83587e-05 -29 *3967:18 *5654:157 4.38058e-05 -30 *3967:18 *5696:77 0.000138171 -31 *3967:18 *5774:22 3.71496e-05 -32 *3967:18 *5774:128 0.000172205 -33 *3967:18 *5905:9 6.46107e-05 -34 *3967:18 *5905:68 0 -35 *3967:29 *27060:A1 2.79421e-05 -36 *3967:29 *4107:26 3.16198e-05 -37 *3967:29 *5905:86 0.000201906 -38 *25048:B1 *3967:18 1.00023e-05 -39 *25058:A2 *3967:29 0.000549698 -40 *25058:B1 *3967:29 7.82164e-05 -41 *25725:S *3967:18 0.000223403 -42 *26946:A *3967:18 3.6983e-05 -43 *26973:A *3967:29 0.000109869 -44 *27423:A1 *27423:B2 2.93709e-05 -45 *27423:A2 *27423:B2 7.13226e-06 -46 *27423:B1 *27423:B2 2.12005e-05 -47 *27423:C1 *27423:B2 5.97265e-05 -48 *27428:B1 *27423:B2 0.000170917 -49 *27438:B2 *27423:B2 1.17921e-05 -50 *27775:A2 *3967:29 0.000350175 -51 *29077:A *3967:18 0.000230612 -52 *1246:111 *3967:29 0.000168397 -53 *1246:126 *3967:29 0.000163475 -54 *1293:181 *3967:18 0.00023132 -55 *1826:188 *3967:18 7.33494e-06 -56 *1826:188 *3967:29 0.00123603 -57 *1853:38 *3967:18 2.15573e-05 -58 *1853:64 *3967:18 9.18765e-06 -59 *2759:31 *27773:A1 0.000133698 -60 *2759:31 *3967:18 0.000123892 -61 *2765:18 *3967:18 3.98737e-05 -62 *2793:14 *3967:7 9.41642e-05 -63 *2891:311 *3967:18 1.41706e-05 -64 *2891:311 *3967:29 2.72476e-05 -65 *2980:10 *3967:29 0.00104888 -66 *2997:20 *27423:B2 0.000879571 -67 *3153:313 *27773:A1 3.87074e-05 -68 *3170:245 *3967:29 3.69047e-06 -69 *3582:121 *3967:18 5.03472e-05 -70 *3694:69 *3967:18 0.0016278 -71 *3694:77 *3967:29 2.4004e-05 -72 *3746:22 *27423:B2 0.000937386 -73 *3837:20 *3967:14 0.000164591 -74 *3900:99 *29946:A 0.000142732 -75 *3900:99 *3967:14 0.000784615 -*RES -1 *28711:Q *3967:7 15.1571 -2 *3967:7 *3967:14 29.8475 -3 *3967:14 *3967:18 39.221 -4 *3967:18 *3967:29 41.5179 -5 *3967:29 *27423:B2 31.5991 -6 *3967:18 *25058:A1 13.8 -7 *3967:14 *27773:A1 19.6295 -8 *3967:7 *29946:A 15.7464 -*END - -*D_NET *3968 0.019348 -*CONN -*I *30427:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27813:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25017:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27442:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28712:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *30427:A 0.000109885 -2 *27813:A1 0.00156441 -3 *25017:B2 8.41777e-05 -4 *27442:B2 0.00110366 -5 *28712:Q 0 -6 *3968:22 0.00140054 -7 *3968:20 0.00334672 -8 *3968:5 0.00167949 -9 *27442:B2 *5680:241 1.17968e-05 -10 *27813:A1 *27813:B2 8.52807e-05 -11 *27813:A1 *5755:51 0.00112758 -12 *30427:A *5633:41 0.000229519 -13 *30427:A *5652:95 9.60875e-05 -14 *30427:A *5680:232 0.000240484 -15 *3968:20 *28696:D 6.32026e-05 -16 *3968:20 *29711:A 6.30553e-05 -17 *3968:20 *4649:20 0.000129251 -18 *3968:20 *4927:15 0.000244573 -19 *3968:20 *5631:26 4.98496e-06 -20 *3968:20 *5633:41 0.000574855 -21 *3968:20 *5658:31 8.38091e-05 -22 *3968:20 *5680:232 0.000576577 -23 *3968:20 *5680:239 0.00130823 -24 *3968:20 *5680:241 0.000279398 -25 *3968:20 *5714:36 0.000549323 -26 *3968:22 *5680:241 0.000222416 -27 *25017:A1 *25017:B2 3.16326e-05 -28 *25017:A2 *25017:B2 9.30324e-05 -29 *25017:C1 *25017:B2 5.33005e-05 -30 *25017:C1 *27442:B2 0.000523814 -31 *27441:A2 *27442:B2 0.000218771 -32 *27442:C1 *27442:B2 5.33005e-05 -33 *27460:B2 *27442:B2 0.000280913 -34 *27460:B2 *3968:20 2.35889e-05 -35 *27460:B2 *3968:22 0.00022123 -36 *27813:A2 *27813:A1 0.000451878 -37 *27813:B1 *27813:A1 3.55336e-06 -38 *30408:A *3968:20 1.00689e-05 -39 *1844:138 *3968:20 2.02794e-05 -40 *2764:169 *30427:A 9.60875e-05 -41 *2882:173 *27442:B2 0.000122369 -42 *2885:58 *3968:20 0.000181472 -43 *2885:58 *3968:22 0.000113806 -44 *2889:151 *3968:20 1.94945e-05 -45 *3018:30 *3968:20 6.7848e-05 -46 *3040:31 *3968:20 0.0013363 -47 *3185:48 *3968:20 0.000112262 -48 *3669:20 *3968:20 0 -49 *3670:47 *3968:20 0.000133659 -*RES -1 *28712:Q *3968:5 13.8 -2 *3968:5 *3968:20 49.2831 -3 *3968:20 *3968:22 5.58929 -4 *3968:22 *27442:B2 33.7866 -5 *3968:22 *25017:B2 15.8357 -6 *3968:20 *27813:A1 34.1929 -7 *3968:5 *30427:A 17.9071 -*END - -*D_NET *3969 0.0349919 -*CONN -*I *27822:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *29942:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27467:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *24987:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28713:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27822:A1 0.000567821 -2 *29942:A 0.000197077 -3 *27467:B2 2.04425e-05 -4 *24987:A1 0.000481809 -5 *28713:Q 0 -6 *3969:20 0.00129027 -7 *3969:16 0.0030153 -8 *3969:14 0.00400079 -9 *3969:7 0.00200556 -10 *3969:4 0.000602793 -11 *24987:A1 *24987:B2 0.00010042 -12 *24987:A1 *4125:53 0.000103977 -13 *24987:A1 *5535:54 0.000605418 -14 *27822:A1 *25048:A1 0.000175892 -15 *27822:A1 *25048:B2 0.000254042 -16 *27822:A1 *25053:A2 6.24243e-05 -17 *27822:A1 *4006:21 9.43803e-05 -18 *27822:A1 *4006:51 3.14643e-05 -19 *27822:A1 *5646:311 0.00031119 -20 *27822:A1 *5655:264 1.90936e-05 -21 *29942:A *25629:A1 0.000178847 -22 *29942:A *27152:A1 3.43622e-05 -23 *29942:A *4136:8 0.000318391 -24 *29942:A *5638:195 5.33005e-05 -25 *3969:7 *25048:B2 1.33343e-05 -26 *3969:7 *4136:11 0.000183726 -27 *3969:7 *5646:311 0.000183726 -28 *3969:14 *26941:A1 8.39356e-05 -29 *3969:14 *27152:A1 3.68193e-05 -30 *3969:14 *4136:8 3.10885e-05 -31 *3969:14 *5582:183 0.00101673 -32 *3969:14 *5774:44 0.000105521 -33 *3969:14 *5911:173 0.000881131 -34 *3969:16 *25050:B1 0.00010807 -35 *3969:16 *28615:D 0.000261934 -36 *3969:16 *28655:D 4.21517e-05 -37 *3969:16 *28689:D 0.00047157 -38 *3969:16 *5635:147 0.000191071 -39 *3969:16 *5649:310 0.000197633 -40 *3969:20 *5535:54 0.00112431 -41 *3969:20 *5604:117 7.79781e-06 -42 *3969:20 *5631:47 0 -43 *24987:A2 *24987:A1 3.28686e-05 -44 *25048:B1 *27822:A1 4.88637e-05 -45 *25053:B1 *27822:A1 5.30637e-06 -46 *25053:C1 *27822:A1 5.49248e-05 -47 *27429:A2 *3969:16 6.56976e-05 -48 *27431:B1 *3969:14 2.06178e-05 -49 *27467:B1 *27467:B2 5.33005e-05 -50 *27775:A1 *3969:16 1.55203e-05 -51 *27777:C1 *3969:16 0.000127079 -52 *27779:C1 *3969:14 0.000285002 -53 *28689:CLK *3969:16 7.00549e-05 -54 *28689:CLK *3969:20 1.28259e-05 -55 *1293:173 *3969:14 0.00139744 -56 *1293:181 *3969:14 9.65268e-05 -57 *1302:6 *27822:A1 9.8958e-05 -58 *1313:8 *24987:A1 5.33005e-05 -59 *2791:45 *3969:14 0.000692518 -60 *2791:71 *3969:16 0.000718549 -61 *2791:73 *24987:A1 0.000605418 -62 *2791:73 *3969:16 0.000916505 -63 *2791:73 *3969:20 0.00189588 -64 *2883:220 *3969:16 6.42338e-05 -65 *2888:291 *3969:14 6.14378e-05 -66 *3176:254 *3969:16 0.000106304 -67 *3320:16 *3969:14 4.77207e-05 -68 *3320:18 *3969:14 0.000267886 -69 *3367:43 *3969:14 0.000166506 -70 *3367:43 *3969:16 0.00129671 -71 *3580:8 *3969:16 1.87004e-05 -72 *3580:87 *3969:16 1.5942e-05 -73 *3580:94 *3969:16 0.000137616 -74 *3607:13 *3969:14 9.67203e-05 -75 *3607:76 *3969:14 0.00022195 -76 *3692:45 *3969:14 4.1834e-05 -77 *3731:39 *29942:A 5.96516e-05 -78 *3733:31 *3969:14 7.78829e-05 -79 *3785:16 *3969:16 0.000358677 -80 *3785:25 *3969:16 0.00209191 -81 *3785:41 *3969:16 0.000191403 -82 *3796:163 *27822:A1 7.48301e-06 -83 *3850:38 *3969:16 0.00138458 -84 *3850:64 *3969:16 0.000103197 -85 *3852:51 *27467:B2 2.59355e-05 -86 *3915:9 *3969:14 5.89454e-05 -87 *3915:49 *3969:16 0.00110585 -88 *3967:14 *29942:A 0.00025 -*RES -1 *28713:Q *3969:4 9.3 -2 *3969:4 *3969:7 6.26786 -3 *3969:7 *3969:14 48.2232 -4 *3969:14 *3969:16 74.8036 -5 *3969:16 *3969:20 25.0982 -6 *3969:20 *24987:A1 26.05 -7 *3969:20 *27467:B2 14.3357 -8 *3969:7 *29942:A 19.9429 -9 *3969:4 *27822:A1 28.5321 -*END - -*D_NET *3970 0.0222377 -*CONN -*I *29370:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27847:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25390:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27486:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28135:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *29370:A 0.000137745 -2 *27847:A1 0 -3 *25390:B2 0.00134709 -4 *27486:B2 0.000709376 -5 *28135:Q 0.000120932 -6 *3970:40 0.00348506 -7 *3970:8 0.00311431 -8 *3970:7 0.000525648 -9 *25390:B2 *27847:B1 3.57366e-05 -10 *25390:B2 *5685:39 0.000355265 -11 *27486:B2 *5936:79 0.000256034 -12 *29370:A *25641:A1 0.000135028 -13 *3970:7 *4153:48 0.00038021 -14 *3970:40 *27847:B1 2.42843e-05 -15 *3970:40 *27847:B2 5.33005e-05 -16 *3970:40 *27862:B2 0.000238286 -17 *3970:40 *5634:52 0.000316546 -18 *3970:40 *5661:70 0.000213238 -19 *3970:40 *5706:196 2.06112e-05 -20 *3970:40 *5947:74 8.94491e-05 -21 *25326:A2 *3970:40 3.08382e-06 -22 *25326:B1 *3970:40 1.24368e-05 -23 *25390:B1 *25390:B2 5.09596e-06 -24 *25390:C1 *25390:B2 3.61357e-05 -25 *27486:A1 *27486:B2 6.05161e-06 -26 *27486:A2 *27486:B2 1.05034e-05 -27 *27486:B1 *27486:B2 7.62278e-05 -28 *27493:C *27486:B2 0.000133602 -29 *27847:A2 *25390:B2 2.40459e-05 -30 *27847:A2 *3970:40 4.69738e-05 -31 *27850:C1 *25390:B2 0.000210429 -32 *27861:A2 *27486:B2 9.41642e-05 -33 *1277:16 *25390:B2 0.000207735 -34 *1437:15 *25390:B2 0.000176336 -35 *1437:29 *25390:B2 0.000841526 -36 *1442:14 *25390:B2 0.000355265 -37 *1619:25 *3970:40 0.000406969 -38 *1624:76 *25390:B2 0.000148693 -39 *1644:19 *25390:B2 6.34436e-05 -40 *1644:19 *3970:40 1.149e-05 -41 *1713:26 *25390:B2 0.000107024 -42 *1899:31 *3970:40 0.00128777 -43 *2852:212 *3970:40 4.65519e-05 -44 *2859:73 *27486:B2 0.000218181 -45 *2860:128 *27486:B2 0.000372933 -46 *2860:128 *29370:A 0.000212728 -47 *2860:128 *3970:8 0.000681496 -48 *2865:115 *27486:B2 0.000118986 -49 *2871:79 *27486:B2 0.00030114 -50 *2875:69 *25390:B2 0.000925752 -51 *2875:69 *3970:40 0.000838534 -52 *2875:85 *3970:40 0.000146616 -53 *2894:55 *3970:7 0.00038021 -54 *3055:16 *3970:40 0.000104587 -55 *3081:8 *27486:B2 0.000372584 -56 *3081:8 *29370:A 0.000203478 -57 *3081:8 *3970:8 0.000673677 -58 *3158:66 *27486:B2 0.000171667 -59 *3174:61 *25390:B2 0.000202792 -60 *3182:97 *3970:40 2.11419e-05 -61 *3197:164 *27486:B2 1.58533e-05 -62 *3404:20 *27486:B2 7.97198e-05 -63 *3404:26 *27486:B2 0.000132385 -64 *3556:29 *25390:B2 0.000109154 -65 *3840:46 *3970:40 3.69047e-06 -66 *3892:75 *25390:B2 1.498e-05 -67 *3944:25 *29370:A 6.57032e-05 -*RES -1 *28135:Q *3970:7 17.6214 -2 *3970:7 *3970:8 9.08036 -3 *3970:8 *27486:B2 42.3045 -4 *3970:8 *3970:40 20.3224 -5 *3970:40 *25390:B2 47.8813 -6 *3970:40 *27847:A1 9.3 -7 *3970:7 *29370:A 18.0143 -*END - -*D_NET *3971 0.0177505 -*CONN -*I *27509:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25330:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27874:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30531:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28136:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27509:B2 5.21812e-05 -2 *25330:B2 0 -3 *27874:A1 0 -4 *30531:A 0 -5 *28136:Q 0.000108776 -6 *3971:59 0.00193372 -7 *3971:44 0.00193372 -8 *3971:42 0.00164371 -9 *3971:23 0.00211868 -10 *3971:5 0.000531566 -11 *3971:5 *25642:A1 0.000257619 -12 *3971:5 *5712:117 7.64454e-05 -13 *3971:23 *25642:A1 4.08637e-05 -14 *3971:23 *4100:26 8.77986e-05 -15 *3971:23 *5712:117 1.58163e-05 -16 *3971:42 *27100:A0 5.95143e-05 -17 *3971:42 *28156:D 0.000944177 -18 *3971:42 *4035:60 0.0001933 -19 *3971:42 *5386:11 0.00132123 -20 *3971:42 *5712:117 0.000545539 -21 *3971:42 *5892:145 0 -22 *3971:59 *28666:D 3.29284e-05 -23 *3971:59 *5685:39 0.000395935 -24 *25330:B1 *3971:59 0.000343956 -25 *25397:B2 *3971:59 0.000262679 -26 *25665:S *3971:42 0.000886619 -27 *25834:A *3971:23 0.000502733 -28 *27509:A1 *3971:42 0.000393714 -29 *27509:B1 *27509:B2 9.41642e-05 -30 *27874:A2 *3971:59 4.38267e-05 -31 *27875:C1 *3971:42 0.00014686 -32 *27875:C1 *3971:59 9.60939e-05 -33 *28666:CLK *3971:59 9.68098e-05 -34 *1442:14 *3971:59 0.000394227 -35 *1713:13 *3971:59 0.000264596 -36 *2853:90 *3971:42 0.000247756 -37 *2860:128 *3971:23 0.00010891 -38 *2866:167 *3971:42 4.65519e-05 -39 *2871:81 *3971:42 4.38058e-05 -40 *2871:105 *3971:42 2.15339e-05 -41 *2882:53 *3971:59 0.000418148 -42 *2882:64 *3971:59 9.35794e-06 -43 *2883:39 *3971:59 0.000199696 -44 *2891:45 *3971:42 0.000247756 -45 *3045:10 *3971:23 8.61512e-05 -46 *3045:10 *3971:42 6.74279e-05 -47 *3081:8 *3971:23 0.000110841 -48 *3101:29 *3971:42 3.20942e-05 -49 *3174:83 *3971:42 2.1019e-05 -50 *3666:68 *3971:23 4.81668e-05 -51 *3881:5 *3971:59 1.98839e-05 -52 *3881:10 *3971:59 4.82865e-05 -53 *3944:25 *27509:B2 3.99839e-05 -54 *3944:25 *3971:23 4.58194e-05 -55 *3944:29 *27509:B2 5.28563e-05 -56 *3945:34 *3971:23 1.46617e-05 -*RES -1 *28136:Q *3971:5 11.8893 -2 *3971:5 *30531:A 9.3 -3 *3971:5 *3971:23 20.8204 -4 *3971:23 *3971:42 48.029 -5 *3971:42 *3971:44 4.5 -6 *3971:44 *27874:A1 9.3 -7 *3971:44 *3971:59 42.0893 -8 *3971:59 *25330:B2 9.3 -9 *3971:23 *27509:B2 10.6571 -*END - -*D_NET *3972 0.0225037 -*CONN -*I *29109:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25402:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27331:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27619:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28122:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *29109:A 6.99057e-05 -2 *25402:A1 0 -3 *27331:B2 0.000527798 -4 *27619:A1 0.00011441 -5 *28122:Q 0 -6 *3972:44 0.00169684 -7 *3972:38 0.00296128 -8 *3972:24 0.00295296 -9 *3972:13 0.0012743 -10 *3972:4 0.000297898 -11 *27331:B2 *5517:30 9.22222e-06 -12 *27331:B2 *5583:58 0.000656826 -13 *29109:A *5005:14 9.90431e-05 -14 *3972:13 *25402:A2 1.46576e-05 -15 *3972:13 *26845:A 0.000171375 -16 *3972:13 *3987:19 4.12023e-05 -17 *3972:13 *3987:21 9.03127e-05 -18 *3972:24 *25402:A2 1.02504e-05 -19 *3972:24 *25950:A1 0.00111409 -20 *3972:24 *26845:A 0.000271345 -21 *3972:24 *5636:10 0.000121255 -22 *3972:24 *5745:124 9.60298e-05 -23 *3972:24 *5745:135 0.000322642 -24 *3972:38 *24926:B 0.000227371 -25 *3972:38 *28344:SET_B 8.64475e-05 -26 *3972:38 *5483:145 0 -27 *3972:38 *5517:18 9.45051e-05 -28 *3972:38 *5517:20 0.000353947 -29 *3972:38 *5608:19 0.00052118 -30 *3972:44 *25374:B2 4.32957e-05 -31 *3972:44 *25892:A1 2.45832e-05 -32 *3972:44 *5517:20 0.00158248 -33 *3972:44 *5517:30 2.2303e-05 -34 *3972:44 *5691:109 0.000313086 -35 *6629:DIODE *27331:B2 3.69047e-06 -36 *25099:A2 *3972:38 0.000265077 -37 *25265:A2 *3972:44 6.66527e-05 -38 *25289:B1 *3972:38 0.000231133 -39 *25389:C1 *3972:44 4.30584e-06 -40 *25402:B2 *3972:13 0.000107071 -41 *25411:A2 *3972:44 7.42747e-05 -42 *25893:S *3972:38 3.50957e-05 -43 *27331:A1 *27331:B2 2.01e-05 -44 *27331:C1 *27331:B2 2.43082e-05 -45 *27619:A2 *27619:A1 0.000138527 -46 *27619:B1 *27619:A1 3.60088e-05 -47 *27619:B2 *27331:B2 0.000182261 -48 *282:19 *3972:24 0.000148182 -49 *282:21 *3972:24 0 -50 *1271:70 *3972:24 0.000240972 -51 *1277:107 *27331:B2 1.32511e-05 -52 *1277:131 *27331:B2 1.24437e-06 -53 *1278:23 *3972:13 0 -54 *1294:128 *3972:24 0.00010932 -55 *1326:35 *3972:44 6.30931e-05 -56 *1326:56 *3972:44 0.000170865 -57 *1485:23 *3972:24 0.000734289 -58 *1578:18 *27331:B2 0.000886619 -59 *1578:18 *3972:44 0.000474358 -60 *1718:12 *3972:13 0.000136951 -61 *1890:35 *3972:44 5.41794e-05 -62 *1890:39 *3972:38 0.000361742 -63 *1890:39 *3972:44 0.000154349 -64 *1890:47 *3972:38 0.000448228 -65 *2798:64 *29109:A 0.000121443 -66 *2798:64 *3972:13 6.32753e-05 -67 *2839:71 *29109:A 7.41514e-05 -68 *2839:71 *3972:13 6.03191e-05 -69 *2871:24 *3972:38 0.00017112 -70 *2886:34 *3972:44 7.6644e-05 -71 *2895:43 *27331:B2 8.45501e-06 -72 *3547:12 *3972:44 0 -73 *3816:43 *3972:38 0.000553067 -74 *3842:44 *27331:B2 6.26774e-06 -*RES -1 *28122:Q *3972:4 9.3 -2 *3972:4 *3972:13 15.0089 -3 *3972:13 *3972:24 46.9464 -4 *3972:24 *3972:38 41.3571 -5 *3972:38 *3972:44 27.6071 -6 *3972:44 *27619:A1 16.1214 -7 *3972:44 *27331:B2 28.0009 -8 *3972:13 *25402:A1 9.3 -9 *3972:4 *29109:A 11.6839 -*END - -*D_NET *3973 0.023883 -*CONN -*I *25272:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27538:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27911:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *29215:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28716:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *25272:A1 0 -2 *27538:B2 0.00126109 -3 *27911:A1 0.000677736 -4 *29215:A 0 -5 *28716:Q 3.70018e-05 -6 *3973:83 0.00258881 -7 *3973:41 0.00457964 -8 *3973:10 0.000834702 -9 *3973:5 0.000923708 -10 *27538:B2 *28355:D 2.86824e-05 -11 *27538:B2 *5497:39 1.98813e-05 -12 *27538:B2 *5657:174 0.0013277 -13 *27911:A1 *25267:B2 0.000195555 -14 *27911:A1 *5063:15 2.14658e-05 -15 *3973:5 *5665:96 2.89114e-05 -16 *3973:5 *5711:39 0.000161807 -17 *3973:5 *5731:57 4.17413e-05 -18 *3973:10 *28019:A0 2.95642e-05 -19 *3973:10 *5665:204 0.000377557 -20 *3973:10 *5698:78 0.000136788 -21 *3973:41 *25109:A 0.000508997 -22 *3973:41 *28835:D 9.92016e-05 -23 *3973:41 *5665:76 0.00026086 -24 *3973:41 *5665:96 0.00032036 -25 *3973:41 *5698:60 0.000136958 -26 *3973:41 *5711:39 1.24368e-05 -27 *3973:41 *5711:47 0.000649717 -28 *3973:83 *25109:A 0.000426387 -29 *3973:83 *5313:11 4.50033e-05 -30 *3973:83 *5430:12 0 -31 *3973:83 *5665:76 0.00115683 -32 *3973:83 *5711:47 9.90367e-05 -33 *3973:83 *5711:67 0.00141393 -34 *3973:83 *5738:59 0.000303424 -35 *3973:83 *5738:172 0.000324219 -36 *25268:A2 *27538:B2 0.000154951 -37 *25272:B1 *3973:83 2.59355e-05 -38 *25272:B2 *3973:83 1.33343e-05 -39 *25272:C1 *3973:83 6.33819e-05 -40 *25385:A1 *3973:83 0.000197216 -41 *27154:S *27911:A1 5.33005e-05 -42 *27853:A1 *3973:83 1.90936e-05 -43 *27911:A2 *27911:A1 2.8937e-05 -44 *27911:B1 *27911:A1 1.89026e-05 -45 *27912:C1 *27911:A1 2.98135e-05 -46 *27928:A2 *3973:83 0.000543696 -47 *30573:A *3973:41 0.000178847 -48 *1363:49 *27538:B2 0.000139854 -49 *1437:42 *27538:B2 0 -50 *1441:25 *3973:83 0.0005836 -51 *1725:8 *3973:83 0.000129688 -52 *1865:8 *3973:83 2.05413e-05 -53 *2789:17 *3973:10 8.00842e-05 -54 *2789:25 *27911:A1 0.000703525 -55 *2789:25 *3973:10 4.29471e-05 -56 *2860:106 *27538:B2 0.000275878 -57 *3081:8 *27538:B2 0 -58 *3571:13 *27911:A1 6.74974e-05 -59 *3571:15 *27911:A1 1.42135e-05 -60 *3666:77 *3973:41 6.30931e-05 -61 *3672:45 *3973:83 2.04825e-05 -62 *3687:73 *27911:A1 9.79734e-06 -63 *3724:39 *27538:B2 0.000548538 -64 *3788:49 *3973:83 5.52654e-05 -65 *3793:38 *27538:B2 3.13545e-05 -66 *3804:58 *27911:A1 0.000388805 -67 *3882:70 *27538:B2 9.41642e-05 -68 *3892:37 *3973:41 3.01877e-05 -69 *3908:66 *27538:B2 0 -70 *3908:67 *27538:B2 0.000137983 -71 *3909:19 *27538:B2 0 -72 *3919:46 *27538:B2 8.83836e-05 -*RES -1 *28716:Q *3973:5 10.8625 -2 *3973:5 *3973:10 13.9821 -3 *3973:10 *29215:A 9.3 -4 *3973:10 *27911:A1 38.1571 -5 *3973:5 *3973:41 23.3122 -6 *3973:41 *27538:B2 46.5225 -7 *3973:41 *3973:83 48.6455 -8 *3973:83 *25272:A1 9.3 -*END - -*D_NET *3974 0.0197367 -*CONN -*I *27922:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27567:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25218:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30359:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28717:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27922:A1 0.000492636 -2 *27567:B2 2.59948e-05 -3 *25218:B2 0.00397986 -4 *30359:A 0.000319745 -5 *28717:Q 0.000224335 -6 *3974:47 0.000573768 -7 *3974:22 0.00502625 -8 *3974:5 0.00153533 -9 *25218:B2 *25086:A 0.000177815 -10 *25218:B2 *5210:25 0.00019062 -11 *30359:A *28717:D 0.000177815 -12 *30359:A *4103:18 0.000143486 -13 *30359:A *4194:111 9.41642e-05 -14 *6396:DIODE *25218:B2 8.82704e-05 -15 *6624:DIODE *27567:B2 1.58163e-05 -16 *25210:B2 *25218:B2 0.000446304 -17 *25218:A1 *25218:B2 1.22027e-05 -18 *25218:B1 *25218:B2 9.96355e-05 -19 *25218:C1 *25218:B2 0.000135028 -20 *27557:A1 *3974:22 9.60939e-05 -21 *27557:A2 *3974:22 0.000104114 -22 *27557:B1 *30359:A 2.95693e-05 -23 *27557:B1 *3974:22 1.98839e-05 -24 *27557:B2 *30359:A 5.96516e-05 -25 *27557:B2 *3974:5 0.000105471 -26 *27567:A1 *3974:47 1.24469e-05 -27 *27568:A2 *25218:B2 0.00120367 -28 *27568:B2 *27567:B2 2.59355e-05 -29 *1395:126 *25218:B2 9.19723e-05 -30 *1407:5 *25218:B2 5.04841e-06 -31 *1407:13 *25218:B2 5.42547e-05 -32 *1407:108 *25218:B2 2.66128e-05 -33 *1440:85 *25218:B2 6.3494e-05 -34 *1545:28 *25218:B2 0.000726589 -35 *1689:21 *25218:B2 6.51414e-05 -36 *1748:20 *27922:A1 0.000160747 -37 *2834:142 *27922:A1 8.76842e-05 -38 *2834:142 *30359:A 0.000141907 -39 *2848:123 *25218:B2 5.00194e-05 -40 *2865:133 *27922:A1 0.00011367 -41 *2865:133 *3974:47 1.17968e-05 -42 *2888:125 *3974:22 0.000836701 -43 *3168:143 *27922:A1 0.000208308 -44 *3216:142 *27922:A1 0.000385161 -45 *3216:142 *3974:22 8.83836e-05 -46 *3216:142 *3974:47 0.000177708 -47 *3663:73 *25218:B2 0 -48 *3857:49 *25218:B2 0 -49 *3909:37 *3974:22 8.64475e-05 -50 *3909:37 *3974:47 0.000149757 -51 *3909:40 *25218:B2 0.000684756 -52 *3958:29 *25218:B2 0.000104587 -*RES -1 *28717:Q *3974:5 11.8893 -2 *3974:5 *30359:A 24.9964 -3 *3974:5 *3974:22 17.7232 -4 *3974:22 *25218:B2 43.609 -5 *3974:22 *3974:47 2.40179 -6 *3974:47 *27567:B2 14.3357 -7 *3974:47 *27922:A1 24.1393 -*END - -*D_NET *3975 0.0301811 -*CONN -*I *25077:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27947:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27582:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30252:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28718:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *25077:B2 0.000555475 -2 *27947:A1 0 -3 *27582:B2 0 -4 *30252:A 0.000674506 -5 *28718:Q 0 -6 *3975:44 0.00225466 -7 *3975:34 0.00189416 -8 *3975:31 0.00182735 -9 *3975:22 0.00420737 -10 *3975:4 0.0032495 -11 *25077:B2 *27947:B2 0.000313543 -12 *25077:B2 *4105:78 0.00054933 -13 *25077:B2 *4146:84 2.03391e-05 -14 *30252:A *25842:A0 0.000423611 -15 *30252:A *27158:A0 0.000142725 -16 *30252:A *28718:D 9.25713e-05 -17 *30252:A *28718:RESET_B 5.38227e-05 -18 *30252:A *4066:33 0.000216755 -19 *30252:A *5693:70 2.14658e-05 -20 *30252:A *5699:17 9.41642e-05 -21 *30252:A *5742:332 2.95726e-05 -22 *3975:22 *28718:RESET_B 1.02821e-05 -23 *3975:22 *5713:25 0.000356257 -24 *3975:31 *4066:46 0.000405022 -25 *3975:31 *4118:50 0.000214464 -26 *3975:31 *4131:56 0 -27 *3975:31 *5231:12 0.0015878 -28 *3975:31 *5719:55 0.000146828 -29 *3975:31 *5729:295 8.30045e-05 -30 *3975:31 *5808:12 8.55871e-05 -31 *3975:31 *5849:8 0.000346501 -32 *3975:44 *25329:A1 0.000265647 -33 *3975:44 *27895:B2 0.000422254 -34 *3975:44 *27947:B2 7.16452e-05 -35 *3975:44 *4077:29 6.86693e-05 -36 *25076:A1 *25077:B2 5.30637e-06 -37 *25077:A2 *25077:B2 5.7661e-06 -38 *25077:C1 *25077:B2 0.00031345 -39 *25233:B2 *3975:34 8.27772e-05 -40 *25233:B2 *3975:44 0.00014734 -41 *27579:A1 *3975:31 0.00138636 -42 *27586:A2 *3975:22 1.53191e-05 -43 *27586:A2 *3975:31 5.09065e-05 -44 *1396:168 *25077:B2 1.32552e-05 -45 *1396:171 *25077:B2 0.000315172 -46 *1398:11 *25077:B2 9.43803e-05 -47 *1411:15 *3975:31 0.00025577 -48 *1435:14 *3975:44 0.000218679 -49 *1450:28 *3975:44 7.46132e-05 -50 *1647:19 *3975:44 9.40164e-05 -51 *3136:10 *3975:34 6.057e-07 -52 *3168:153 *3975:22 0.000901569 -53 *3487:10 *25077:B2 0.000123617 -54 *3487:10 *3975:44 0.000425959 -55 *3561:16 *3975:22 0.0020361 -56 *3687:26 *3975:34 0.000120039 -57 *3697:33 *30252:A 4.07178e-05 -58 *3740:41 *25077:B2 0.000190988 -59 *3740:41 *3975:44 2.96143e-05 -60 *3753:37 *3975:31 0.000565387 -61 *3763:20 *3975:22 0.000945569 -62 *3763:20 *3975:31 0.000246705 -63 *3763:20 *3975:34 0.000439529 -64 *3763:20 *3975:44 0.000138804 -65 *3947:45 *3975:34 0.000217955 -*RES -1 *28718:Q *3975:4 9.3 -2 *3975:4 *30252:A 30.7107 -3 *3975:4 *3975:22 45.0982 -4 *3975:22 *3975:31 49.0982 -5 *3975:31 *3975:34 10.3929 -6 *3975:34 *27582:B2 13.8 -7 *3975:34 *3975:44 34.0893 -8 *3975:44 *27947:A1 9.3 -9 *3975:44 *25077:B2 33.7866 -*END - -*D_NET *3976 0.0216787 -*CONN -*I *30477:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27335:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27672:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25322:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28123:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *30477:A 7.03171e-05 -2 *27335:B2 2.03441e-05 -3 *27672:A1 9.95274e-05 -4 *25322:A1 0.000897351 -5 *28123:Q 7.43745e-05 -6 *3976:73 0.0015797 -7 *3976:55 0.00270389 -8 *3976:31 0.00146667 -9 *3976:9 0.00166294 -10 *3976:5 0.000687678 -11 *25322:A1 *25322:A2 0.000158442 -12 *25322:A1 *5609:165 0.000151394 -13 *25322:A1 *5663:69 0.000261957 -14 *25322:A1 *5764:179 7.89427e-05 -15 *27672:A1 *27672:A2 0.000135028 -16 *27672:A1 *27672:B1 3.97677e-05 -17 *30477:A *5630:144 0.000193249 -18 *30477:A *5718:354 0.000193249 -19 *3976:31 *5651:275 0.000171016 -20 *3976:31 *5663:69 8.92445e-05 -21 *3976:31 *5663:81 2.95642e-05 -22 *3976:55 *5614:30 3.69697e-05 -23 *3976:55 *5651:275 0.000512645 -24 *3976:55 *5663:81 0.000114316 -25 *3976:73 *28653:D 9.38921e-05 -26 *3976:73 *4068:16 2.58997e-05 -27 *3976:73 *5600:173 0.000257619 -28 *27335:B1 *27335:B2 5.7661e-06 -29 *27335:B1 *3976:73 2.59355e-05 -30 *27341:B2 *25322:A1 0.000241648 -31 *27353:A2 *3976:55 0.000179449 -32 *27689:C1 *27672:A1 0.000135028 -33 *28923:A *3976:73 0.000296574 -34 *29787:A *3976:73 0.000246794 -35 *1178:174 *3976:73 2.47907e-05 -36 *1269:238 *3976:5 6.35776e-05 -37 *1269:238 *3976:9 0.000348534 -38 *1277:176 *25322:A1 8.84373e-05 -39 *1501:20 *3976:73 0.000830498 -40 *1501:22 *3976:55 0.00118676 -41 *1501:22 *3976:73 1.09026e-05 -42 *1526:8 *3976:55 0.000218877 -43 *1526:8 *3976:73 0.00129874 -44 *2754:20 *25322:A1 0.000122689 -45 *2754:20 *3976:31 0.000276189 -46 *2754:20 *3976:55 0.000163471 -47 *2859:201 *25322:A1 0.000120975 -48 *2859:201 *3976:31 0.000192527 -49 *2859:214 *3976:31 3.29754e-05 -50 *2864:264 *3976:55 0.00101691 -51 *2864:266 *3976:55 0.000430998 -52 *2864:266 *3976:73 0.000250915 -53 *2864:292 *3976:73 8.34917e-05 -54 *2872:243 *3976:55 0.000126439 -55 *2877:221 *3976:9 0.000501655 -56 *2877:234 *3976:5 0.000183887 -57 *2877:234 *3976:9 0.000135028 -58 *2880:58 *3976:73 0.000505335 -59 *3259:25 *3976:55 0.000257755 -60 *3703:13 *25322:A1 5.33005e-05 -61 *3703:14 *25322:A1 3.06466e-05 -62 *3835:51 *25322:A1 1.98839e-05 -63 *3861:8 *3976:73 3.69047e-06 -64 *3874:16 *3976:73 8.73103e-05 -65 *3898:61 *3976:73 2.55889e-05 -66 *3952:68 *3976:55 4.87953e-05 -*RES -1 *28123:Q *3976:5 11.2732 -2 *3976:5 *3976:9 16.125 -3 *3976:9 *25322:A1 38.8536 -4 *3976:9 *3976:31 6.25 -5 *3976:31 *27672:A1 15.9786 -6 *3976:31 *3976:55 48.7321 -7 *3976:55 *3976:73 49.9286 -8 *3976:73 *27335:B2 9.72857 -9 *3976:5 *30477:A 20.8536 -*END - -*D_NET *3977 0.0578405 -*CONN -*I *29277:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27704:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27356:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25273:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28124:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29277:A 0.00022428 -2 *27704:A1 0.000212433 -3 *27356:B2 0.000237767 -4 *25273:A1 0.00146014 -5 *28124:Q 4.77561e-05 -6 *3977:24 0.00414616 -7 *3977:22 0.0037722 -8 *3977:16 0.0036648 -9 *3977:15 0.00324492 -10 *3977:8 0.00140513 -11 *3977:7 0.000560664 -12 *25273:A1 *25133:A1 0.00017754 -13 *25273:A1 *25273:A2 5.33005e-05 -14 *25273:A1 *4051:49 9.58632e-05 -15 *25273:A1 *5604:34 5.33005e-05 -16 *25273:A1 *5635:63 0.000151898 -17 *29277:A *25628:A1 0.000265447 -18 *29277:A *4094:17 0.000206641 -19 *29277:A *5638:162 0.000472721 -20 *29277:A *5647:125 0.000215891 -21 *3977:7 *5768:175 0.000178847 -22 *3977:8 *4094:17 0.000707844 -23 *3977:8 *5647:125 0.000713944 -24 *3977:15 *4094:17 0.000243389 -25 *3977:15 *4094:20 0.00173397 -26 *3977:15 *5587:102 0.00147956 -27 *3977:15 *5647:125 0.000219462 -28 *3977:16 *27012:A1 0.000188812 -29 *3977:16 *27021:A1 4.04292e-05 -30 *3977:16 *27691:A2 4.09646e-05 -31 *3977:16 *27697:A2 0.000981002 -32 *3977:16 *28596:D 0.000192827 -33 *3977:16 *5582:70 6.60156e-05 -34 *3977:16 *5582:89 0.000188967 -35 *3977:16 *5584:250 0.00014297 -36 *3977:16 *5629:166 0.000920283 -37 *3977:16 *5632:131 1.90936e-05 -38 *3977:16 *5645:119 0.00257074 -39 *3977:16 *5702:64 6.53397e-05 -40 *3977:22 *5629:164 2.10981e-05 -41 *3977:22 *5629:166 0.00324385 -42 *3977:24 *27030:A1 0.000216297 -43 *3977:24 *27683:A1 0.000151868 -44 *3977:24 *28515:D 0.000125731 -45 *3977:24 *5596:15 0.00212208 -46 *3977:24 *5627:57 0.000194956 -47 *3977:24 *5629:164 0.00156517 -48 *3977:24 *5652:12 0.000564114 -49 *25249:C1 *3977:22 0.000114171 -50 *27340:A1 *3977:16 0.000103267 -51 *27351:A2 *3977:22 0.000693327 -52 *27351:A2 *3977:24 5.20383e-05 -53 *27689:A1 *3977:22 0.000463415 -54 *27693:C *3977:24 4.51258e-05 -55 *27693:D *3977:24 0.00127113 -56 *27698:A1 *3977:15 4.00276e-06 -57 *27704:B2 *27704:A1 9.40307e-05 -58 *1244:198 *25273:A1 0.000185006 -59 *1266:64 *3977:22 0.000307701 -60 *1267:76 *3977:16 0.00038446 -61 *1272:47 *3977:22 0.000157584 -62 *1288:127 *27704:A1 0.000344835 -63 *1557:16 *3977:16 0.000110694 -64 *1574:26 *3977:16 5.7616e-05 -65 *1574:26 *3977:22 7.252e-05 -66 *1596:16 *27356:B2 7.64454e-05 -67 *1650:16 *3977:24 4.1879e-05 -68 *1826:238 *3977:7 0.000178847 -69 *2763:185 *27704:A1 7.44721e-05 -70 *2768:33 *3977:24 0.00019384 -71 *2771:84 *29277:A 6.57032e-05 -72 *2889:36 *3977:22 0.000116984 -73 *2889:36 *3977:24 6.22921e-05 -74 *3185:65 *25273:A1 0.00037129 -75 *3185:65 *3977:24 0.00130002 -76 *3185:92 *3977:24 6.81895e-05 -77 *3206:110 *3977:24 0.000244159 -78 *3252:13 *3977:16 0.00131981 -79 *3582:31 *27704:A1 0.000515585 -80 *3664:16 *3977:24 0.000542839 -81 *3664:27 *3977:24 0.000218325 -82 *3664:48 *3977:24 4.15183e-05 -83 *3704:14 *3977:15 0.000126485 -84 *3704:14 *3977:16 0.000151172 -85 *3704:14 *3977:22 1.50087e-05 -86 *3704:31 *3977:24 9.63124e-05 -87 *3739:122 *3977:24 0.000646808 -88 *3807:16 *3977:22 0.00114761 -89 *3807:49 *3977:22 0.000161673 -90 *3872:72 *25273:A1 0.000373005 -91 *3872:72 *3977:24 0.000808645 -92 *3872:79 *3977:24 0.000135821 -93 *3924:62 *3977:16 0.00158164 -94 *3939:21 *25273:A1 7.5437e-05 -95 *3939:33 *25273:A1 0.00165647 -96 *3963:72 *3977:16 0.00140276 -*RES -1 *28124:Q *3977:7 15.5679 -2 *3977:7 *3977:8 9.53571 -3 *3977:8 *3977:15 36.6964 -4 *3977:15 *3977:16 76.3214 -5 *3977:16 *3977:22 44.3036 -6 *3977:22 *3977:24 80.5714 -7 *3977:24 *25273:A1 44.8 -8 *3977:24 *27356:B2 17.2107 -9 *3977:8 *27704:A1 20.7821 -10 *3977:7 *29277:A 21.3 -*END - -*D_NET *3978 0.0799913 -*CONN -*I *24827:A I *D sky130_fd_sc_hd__inv_2 -*I *30025:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27739:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27379:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25183:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28125:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *24827:A 0.00113957 -2 *30025:A 1.69531e-05 -3 *27739:A1 0 -4 *27379:B2 0.000122243 -5 *25183:A1 0.00222676 -6 *28125:Q 0 -7 *3978:130 0.0032127 -8 *3978:109 0.00239876 -9 *3978:78 0.00316287 -10 *3978:66 0.00399931 -11 *3978:65 0.00451736 -12 *3978:49 0.00194533 -13 *3978:44 0.00184573 -14 *3978:33 0.00241176 -15 *3978:21 0.0021319 -16 *3978:4 0.00150561 -17 *24827:A *5680:52 0.00013124 -18 *24827:A *5701:58 0.000181796 -19 *24827:A *5775:128 3.78698e-05 -20 *24827:A *5788:18 5.21626e-05 -21 *24827:A *6218:16 0.000141058 -22 *24827:A *6223:17 0.000186662 -23 *24827:A *6224:18 9.25014e-06 -24 *25183:A1 *25183:A2 3.49649e-05 -25 *25183:A1 *27048:A1 8.27184e-06 -26 *25183:A1 *28620:RESET_B 0.000622129 -27 *25183:A1 *5583:112 5.33005e-05 -28 *25183:A1 *5600:114 0.000490453 -29 *25183:A1 *5754:127 3.45453e-05 -30 *27379:B2 *5584:263 0.000161031 -31 *27379:B2 *6351:12 0.00016792 -32 *30025:A *25629:A1 5.33005e-05 -33 *3978:21 *26961:A1 0.000362569 -34 *3978:21 *27152:A1 0.000435251 -35 *3978:21 *27739:A2 1.00733e-05 -36 *3978:21 *28125:RESET_B 7.17832e-05 -37 *3978:21 *5651:378 0.000115664 -38 *3978:21 *5651:382 3.08382e-06 -39 *3978:21 *5655:264 1.84523e-06 -40 *3978:21 *5663:192 1.56e-05 -41 *3978:21 *5663:222 1.92789e-05 -42 *3978:21 *5683:103 0.000274294 -43 *3978:21 *5683:106 2.29133e-05 -44 *3978:21 *5774:128 3.6572e-05 -45 *3978:21 *5774:137 7.77751e-05 -46 *3978:21 *5800:68 7.00294e-06 -47 *3978:21 *5905:16 0.000361373 -48 *3978:33 *27730:B2 6.05161e-06 -49 *3978:33 *27739:A2 3.45371e-05 -50 *3978:33 *27739:B1 1.98839e-05 -51 *3978:33 *27739:B2 5.52238e-05 -52 *3978:33 *4204:12 0.000491711 -53 *3978:33 *5651:400 9.49595e-05 -54 *3978:33 *5663:192 0.00162933 -55 *3978:44 *27392:A2 4.38621e-05 -56 *3978:44 *5631:88 2.06178e-05 -57 *3978:44 *5635:187 7.33495e-05 -58 *3978:44 *5654:99 0.000141406 -59 *3978:44 *5658:149 0.000337104 -60 *3978:44 *5795:188 0.000561182 -61 *3978:44 *5810:77 7.81263e-05 -62 *3978:49 *5633:97 0.000421074 -63 *3978:49 *5642:144 0.000299562 -64 *3978:65 *27369:A2 0.000502833 -65 *3978:65 *4107:39 1.1028e-05 -66 *3978:65 *5584:263 0.00014576 -67 *3978:65 *5589:37 2.01997e-05 -68 *3978:65 *5589:40 0.000488184 -69 *3978:65 *5593:15 0.000574034 -70 *3978:65 *5609:219 0.000234396 -71 *3978:65 *5824:213 4.33002e-05 -72 *3978:65 *5824:219 2.32455e-05 -73 *3978:65 *6225:135 7.69946e-05 -74 *3978:65 *6225:139 7.21528e-05 -75 *3978:65 *6351:12 0.00019554 -76 *3978:66 *4068:41 0.00184329 -77 *3978:66 *5589:31 0.000185417 -78 *3978:66 *5589:37 0.00323249 -79 *3978:66 *5641:38 0.000122341 -80 *3978:66 *5781:42 0.000187973 -81 *3978:78 *4146:56 0.00112272 -82 *3978:78 *5596:7 0.00017754 -83 *3978:78 *5630:26 0.0011243 -84 *3978:78 *6225:116 0.000731914 -85 *3978:109 *27822:B2 0.000169337 -86 *3978:109 *5655:264 0.000135363 -87 *3978:109 *5683:103 9.80173e-05 -88 *3978:109 *5774:137 6.57914e-05 -89 *3978:130 *27773:B2 0.000142691 -90 *3978:130 *27822:B2 0.000223596 -91 *3978:130 *4032:8 4.09982e-05 -92 *3978:130 *4032:10 4.12058e-05 -93 *3978:130 *4136:60 0 -94 *3978:130 *4137:16 0 -95 *3978:130 *5590:191 3.97939e-05 -96 *3978:130 *5629:229 0.000104664 -97 *3978:130 *5709:312 7.18419e-05 -98 *3978:130 *5736:24 0.000222271 -99 *3978:130 *5881:26 1.59689e-05 -100 *3978:130 *5914:18 0.000292646 -101 *24977:A1 *3978:130 0.000194726 -102 *24977:A2 *3978:130 1.01912e-05 -103 *25183:B1 *25183:A1 3.57366e-05 -104 *25208:C1 *25183:A1 9.99092e-05 -105 *25276:A1 *25183:A1 0.000636182 -106 *25276:B1 *25183:A1 0.000259549 -107 *25276:B2 *25183:A1 0 -108 *25725:S *3978:21 0 -109 *26953:S *24827:A 0.000364948 -110 *26953:S *3978:130 0.000164727 -111 *27152:S *3978:21 8.85532e-05 -112 *27371:C1 *3978:66 0.00044227 -113 *27378:B2 *3978:44 0.000805841 -114 *27701:C1 *3978:66 5.27506e-05 -115 *27713:A2 *3978:78 0.000136951 -116 *27742:B1 *3978:21 8.25843e-06 -117 *28503:CLK *3978:130 8.91822e-05 -118 *28653:CLK *3978:66 1.53262e-05 -119 *28908:A *3978:21 0.000223135 -120 *28924:A *3978:66 1.53472e-05 -121 *869:15 *24827:A 8.73559e-05 -122 *974:20 *24827:A 0 -123 *1083:15 *24827:A 0 -124 *1083:15 *3978:130 0 -125 *1260:102 *3978:65 2.06178e-05 -126 *1272:63 *3978:65 0.000140363 -127 *1272:72 *3978:65 0.000127571 -128 *1272:101 *3978:65 0.000246882 -129 *1389:10 *3978:109 0.000163475 -130 *1389:10 *3978:130 0.000485656 -131 *1390:15 *3978:130 5.3481e-05 -132 *2784:162 *3978:33 0.00129947 -133 *2785:12 *3978:21 0.000118983 -134 *2786:55 *3978:65 0.000167762 -135 *2791:13 *3978:130 9.8045e-05 -136 *2791:163 *3978:33 8.39011e-05 -137 *2845:286 *3978:65 0.000167762 -138 *2848:282 *3978:65 0.00013256 -139 *2850:179 *3978:66 0.000117919 -140 *2852:108 *3978:44 0.000108504 -141 *2852:125 *3978:44 4.33002e-05 -142 *2852:142 *27379:B2 2.79421e-05 -143 *2852:142 *3978:44 0.000101545 -144 *2891:270 *3978:49 0.000232298 -145 *2891:288 *3978:49 0.000269178 -146 *2894:210 *3978:66 0.000498033 -147 *2894:212 *3978:66 1.81805e-05 -148 *2894:237 *3978:66 2.19517e-05 -149 *2922:20 *3978:65 0.00151086 -150 *2922:36 *3978:66 0.00248907 -151 *2932:34 *3978:66 0.000671909 -152 *3153:313 *3978:109 0.000176077 -153 *3164:322 *3978:44 0.000157601 -154 *3164:374 *3978:44 0.00106595 -155 *3250:17 *3978:66 0.00148161 -156 *3250:23 *3978:66 0.00160591 -157 *3538:75 *3978:130 0.000204224 -158 *3718:47 *3978:130 1.90936e-05 -159 *3731:39 *30025:A 5.33005e-05 -160 *3743:28 *3978:65 0.000301434 -161 *3743:40 *3978:65 0.000127571 -162 *3743:42 *3978:66 0.00497117 -163 *3743:51 *3978:66 4.40021e-05 -164 *3770:35 *27379:B2 1.24368e-05 -165 *3809:20 *3978:44 0.00105735 -166 *3822:18 *3978:44 2.8046e-05 -167 *3830:95 *25183:A1 3.75081e-05 -168 *3835:36 *3978:33 0.000489989 -169 *3837:20 *3978:109 7.80714e-06 -170 *3839:13 *24827:A 0.000358884 -171 *3839:13 *3978:130 0 -172 *3848:14 *3978:44 0.00156026 -173 *3886:51 *3978:78 0.000731914 -174 *3916:18 *3978:130 0 -175 *3930:22 *24827:A 1.08359e-05 -176 *3930:23 *24827:A 0.000397918 -177 *3951:20 *3978:65 2.88901e-05 -178 *3965:130 *3978:130 7.69776e-06 -*RES -1 *28125:Q *3978:4 9.3 -2 *3978:4 *3978:21 33.2679 -3 *3978:21 *3978:33 39.5714 -4 *3978:33 *3978:44 47.8903 -5 *3978:44 *3978:49 18.9821 -6 *3978:49 *3978:65 34.5803 -7 *3978:65 *3978:66 115.634 -8 *3978:66 *3978:78 45.6607 -9 *3978:78 *25183:A1 42.6214 -10 *3978:49 *27379:B2 16.9964 -11 *3978:21 *27739:A1 9.3 -12 *3978:4 *3978:109 12.2143 -13 *3978:109 *30025:A 14.3357 -14 *3978:109 *3978:130 46.094 -15 *3978:130 *24827:A 37.5054 -*END - -*D_NET *3979 0.0143051 -*CONN -*I *29666:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27764:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27406:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25147:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28126:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29666:A 0.000158729 -2 *27764:A1 1.47637e-05 -3 *27406:B2 6.64736e-06 -4 *25147:A1 0.000585952 -5 *28126:Q 6.89425e-05 -6 *3979:32 0.00160567 -7 *3979:19 0.00200765 -8 *3979:7 0.00120748 -9 *25147:A1 *25145:A1 3.29037e-05 -10 *25147:A1 *25145:B2 0 -11 *25147:A1 *25147:B1 9.1407e-06 -12 *25147:A1 *4076:48 5.38009e-05 -13 *25147:A1 *5587:44 1.99099e-05 -14 *25147:A1 *5587:65 0.000131332 -15 *25147:A1 *5836:284 7.98259e-06 -16 *25147:A1 *5836:298 0.000422809 -17 *27764:A1 *27764:A2 1.04232e-05 -18 *29666:A *4031:18 7.85979e-05 -19 *29666:A *6247:16 0.000223592 -20 *3979:7 *28126:D 0.000216755 -21 *3979:19 *25202:A1 1.59782e-05 -22 *3979:19 *25202:A2 0.000370264 -23 *3979:19 *27764:A2 0.000512891 -24 *3979:19 *27764:B1 1.21258e-05 -25 *3979:19 *28598:RESET_B 7.82342e-05 -26 *3979:19 *4031:18 0.000125466 -27 *3979:19 *4031:28 0 -28 *3979:19 *4083:31 0.000379473 -29 *3979:19 *5607:71 0.000121495 -30 *3979:19 *6247:16 0.000319233 -31 *3979:19 *6247:18 0.000139842 -32 *3979:32 *27764:B1 1.58163e-05 -33 *3979:32 *27765:A2 0.00038529 -34 *3979:32 *5607:50 0.000429096 -35 *3979:32 *5607:71 0.000552103 -36 *3979:32 *5836:298 0.000208705 -37 *25145:B1 *25147:A1 4.26759e-05 -38 *25203:C1 *3979:19 8.6874e-05 -39 *27023:S *3979:19 0.000124767 -40 *27400:A2 *25147:A1 6.7e-06 -41 *27400:B1 *25147:A1 1.46205e-05 -42 *27406:A2 *25147:A1 3.37132e-05 -43 *27406:A2 *3979:32 1.89507e-06 -44 *27407:A1 *3979:19 2.06112e-05 -45 *27409:C *3979:32 0.00073589 -46 *27756:B2 *3979:32 0.000190872 -47 *27764:B2 *3979:32 0.000135028 -48 *28901:A *29666:A 0.000181796 -49 *30264:A *3979:19 0.00020246 -50 *1256:154 *3979:19 9.25014e-06 -51 *1261:199 *3979:32 0.000219015 -52 *1469:21 *25147:A1 6.35819e-05 -53 *2776:257 *3979:7 0.000216755 -54 *2780:228 *3979:32 8.35828e-05 -55 *2888:258 *3979:19 0.000136875 -56 *2891:212 *25147:A1 0.000116964 -57 *2891:236 *27406:B2 3.00808e-05 -58 *3179:186 *3979:32 0.000243848 -59 *3693:11 *3979:19 0.000122876 -60 *3693:21 *3979:19 0.000175892 -61 *3758:8 *3979:19 7.915e-05 -62 *3771:50 *27406:B2 2.84269e-05 -63 *3849:30 *3979:32 0.000143738 -64 *3901:35 *3979:19 0.000137536 -65 *3901:79 *25147:A1 0 -66 *3953:6 *3979:32 0.000190479 -*RES -1 *28126:Q *3979:7 15.9786 -2 *3979:7 *3979:19 31.1429 -3 *3979:19 *3979:32 33.3393 -4 *3979:32 *25147:A1 25.8134 -5 *3979:32 *27406:B2 14.0857 -6 *3979:19 *27764:A1 9.72857 -7 *3979:7 *29666:A 18.425 -*END - -*D_NET *3980 0.0128872 -*CONN -*I *29091:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27787:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25057:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27430:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28127:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29091:A 4.51357e-05 -2 *27787:A1 0.000648452 -3 *25057:A1 0.000224215 -4 *27430:B2 0.000335961 -5 *28127:Q 0 -6 *3980:46 0.000833454 -7 *3980:20 0.00234962 -8 *3980:4 0.00192931 -9 *25057:A1 *25057:A2 3.10656e-05 -10 *25057:A1 *25057:B1 0 -11 *25057:A1 *4086:27 0.00017754 -12 *25057:A1 *5647:175 0.000105229 -13 *27430:B2 *27430:A1 3.57366e-05 -14 *27430:B2 *4086:28 0.000954919 -15 *27430:B2 *5862:26 0.000951553 -16 *27787:A1 *25631:A1 0.000259025 -17 *27787:A1 *27787:B1 0.000467849 -18 *27787:A1 *4095:33 0.000777491 -19 *27787:A1 *5651:357 8.52692e-05 -20 *27787:A1 *5651:378 0.000420449 -21 *3980:20 *29052:A 5.33005e-05 -22 *3980:20 *3993:14 4.09079e-05 -23 *3980:20 *4085:18 3.73982e-05 -24 *3980:20 *4086:28 2.24646e-05 -25 *3980:20 *4149:34 6.13706e-05 -26 *3980:20 *5595:69 0.000176282 -27 *3980:20 *5682:180 0.000306589 -28 *3980:20 *5862:26 0.000110948 -29 *3980:46 *29052:A 9.41642e-05 -30 *25071:B *25057:A1 8.83414e-05 -31 *792:24 *3980:20 2.83129e-05 -32 *1364:19 *3980:20 0.000166037 -33 *2774:244 *29091:A 4.96113e-05 -34 *2774:244 *3980:46 6.8445e-06 -35 *2872:376 *27430:B2 3.37161e-05 -36 *3164:319 *3980:20 0.000385607 -37 *3206:249 *3980:20 0.000331808 -38 *3367:18 *3980:20 6.13706e-05 -39 *3757:15 *3980:20 4.37133e-05 -40 *3811:32 *27787:A1 0.000156125 -*RES -1 *28127:Q *3980:4 9.3 -2 *3980:4 *3980:20 25.359 -3 *3980:20 *27430:B2 27.1929 -4 *3980:20 *25057:A1 18.5857 -5 *3980:4 *3980:46 2.08929 -6 *3980:46 *27787:A1 34.6571 -7 *3980:46 *29091:A 10.2464 -*END - -*D_NET *3981 0.0249941 -*CONN -*I *27446:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *25007:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27806:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30503:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28128:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *27446:A1 0 -2 *25007:A1 0.000840174 -3 *27806:A1 0.000148801 -4 *30503:A 0.000256699 -5 *28128:Q 0.000755591 -6 *3981:53 0.0026487 -7 *3981:42 0.00288722 -8 *3981:21 0.00157271 -9 *3981:7 0.00135749 -10 *25007:A1 *5531:18 9.91086e-05 -11 *25007:A1 *5535:37 2.16858e-05 -12 *25007:A1 *5687:217 0.000681021 -13 *27806:A1 *27806:B2 3.03567e-05 -14 *27806:A1 *30882:A 0.000342302 -15 *30503:A *5667:271 0.000265453 -16 *3981:7 *28128:RESET_B 6.88294e-06 -17 *3981:21 *25702:A0 5.06936e-05 -18 *3981:21 *5442:74 7.83659e-05 -19 *3981:42 *25702:A0 0.000149904 -20 *3981:42 *27106:A1 0.000270028 -21 *3981:42 *28672:D 0.000258864 -22 *3981:42 *4046:38 0.000778813 -23 *3981:42 *4059:11 0.000315894 -24 *3981:42 *5662:33 0.000141621 -25 *3981:42 *5947:14 4.29471e-05 -26 *3981:53 *5656:33 8.55871e-05 -27 *3981:53 *5687:221 0.00217127 -28 *25007:A2 *25007:A1 1.92905e-05 -29 *25007:B1 *25007:A1 5.7661e-06 -30 *25019:C1 *3981:42 0.000175505 -31 *27446:A2 *25007:A1 0.000156047 -32 *27446:A2 *3981:53 4.08637e-05 -33 *27449:C *25007:A1 5.33005e-05 -34 *27449:C *3981:53 0.000137983 -35 *27803:A1 *3981:53 7.9908e-05 -36 *28128:CLK *3981:7 8.85532e-05 -37 *28765:CLK *3981:42 7.6644e-05 -38 *1307:23 *30503:A 0.00026353 -39 *1331:15 *25007:A1 3.14163e-05 -40 *1342:14 *30503:A 0.000617052 -41 *1342:14 *3981:21 0.000584875 -42 *1342:26 *3981:21 0.000126496 -43 *1342:26 *3981:42 0.00168668 -44 *1385:16 *3981:53 0.00017309 -45 *2855:285 *3981:53 0.000428908 -46 *3164:26 *3981:53 0.000105471 -47 *3352:10 *27806:A1 0.00010288 -48 *3363:10 *3981:53 0.0001319 -49 *3633:33 *3981:42 0.000806966 -50 *3634:13 *3981:7 0.000218544 -51 *3889:66 *30503:A 0.000620482 -52 *3889:66 *3981:21 0.00107117 -53 *3889:66 *3981:42 0.000761173 -54 *3942:35 *3981:53 0.000171368 -*RES -1 *28128:Q *3981:7 22.55 -2 *3981:7 *30503:A 24.4071 -3 *3981:7 *3981:21 14.4464 -4 *3981:21 *27806:A1 17.6393 -5 *3981:21 *3981:42 44.5 -6 *3981:42 *3981:53 46.0357 -7 *3981:53 *25007:A1 30.175 -8 *3981:53 *27446:A1 9.3 -*END - -*D_NET *3982 0.0503803 -*CONN -*I *27479:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27838:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *24973:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30299:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28129:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27479:B2 0.0014249 -2 *27838:A1 7.81928e-05 -3 *24973:A1 0.000957911 -4 *30299:A 0 -5 *28129:Q 0.000479439 -6 *3982:74 0.00153893 -7 *3982:71 0.00415175 -8 *3982:56 0.00578935 -9 *3982:46 0.00445741 -10 *3982:44 0.00422891 -11 *3982:17 0.00270559 -12 *3982:9 0.000782177 -13 *24973:A1 *24973:B2 0.00025015 -14 *24973:A1 *25633:A0 0.000176186 -15 *24973:A1 *4685:8 0.000686205 -16 *24973:A1 *4907:10 2.3942e-05 -17 *24973:A1 *5609:65 2.27132e-05 -18 *24973:A1 *5858:17 0.000202816 -19 *24973:A1 *6380:112 0.000171217 -20 *27479:B2 *4086:64 1.67647e-05 -21 *27479:B2 *5643:316 0.000166563 -22 *27479:B2 *5645:80 5.98813e-05 -23 *27479:B2 *5654:52 6.5887e-05 -24 *27479:B2 *5656:240 0.00063237 -25 *27479:B2 *5663:48 0.000134752 -26 *27838:A1 *27838:B1 6.05161e-06 -27 *3982:9 *28129:D 8.87037e-05 -28 *3982:9 *5240:8 0.000198234 -29 *3982:9 *5492:10 5.31834e-05 -30 *3982:17 *25633:A0 6.12668e-05 -31 *3982:17 *4685:8 0.000141734 -32 *3982:44 *5714:5 0.000100808 -33 *3982:44 *5753:32 0.00229052 -34 *3982:44 *5926:152 0 -35 *3982:46 *25023:A1 0.000355192 -36 *3982:46 *27166:A0 0.000151376 -37 *3982:46 *27805:A2 0.000596652 -38 *3982:46 *28725:RESET_B 3.39365e-05 -39 *3982:46 *30487:A 0 -40 *3982:46 *3994:13 0 -41 *3982:46 *5660:23 0.00107056 -42 *3982:46 *5663:22 0 -43 *3982:46 *5667:260 7.69776e-06 -44 *3982:46 *5678:129 0.000336109 -45 *3982:46 *5678:141 6.62925e-05 -46 *3982:46 *5753:32 0 -47 *3982:46 *5753:38 0.000135591 -48 *3982:46 *5863:177 0.000315034 -49 *3982:46 *5873:106 0.000215288 -50 *3982:56 *5642:57 9.76123e-05 -51 *3982:56 *5645:47 1.9268e-05 -52 *3982:56 *5645:71 3.28723e-05 -53 *3982:56 *5663:22 0 -54 *3982:56 *5675:58 0.000194537 -55 *3982:56 *5863:163 0.00180236 -56 *3982:56 *5863:177 0.000141393 -57 *3982:71 *30433:A 9.81721e-06 -58 *3982:71 *5652:109 0.000154703 -59 *3982:71 *5652:128 2.63501e-05 -60 *3982:71 *5656:240 2.3608e-05 -61 *3982:71 *5660:52 0.000714729 -62 *3982:71 *5660:64 0.000422827 -63 *3982:71 *5660:75 7.3099e-05 -64 *3982:71 *5660:102 0.00144434 -65 *3982:71 *5675:58 0.000207056 -66 *3982:71 *5863:163 0.00111541 -67 *3982:74 *27838:A2 2.06112e-05 -68 *3982:74 *27838:B1 1.03816e-05 -69 *3982:74 *5643:316 4.18429e-05 -70 *3982:74 *5663:48 1.94879e-05 -71 *24973:B1 *24973:A1 9.35228e-06 -72 *24974:C1 *24973:A1 5.33005e-05 -73 *24990:C1 *3982:71 8.76591e-06 -74 *25008:B1 *3982:56 5.41797e-06 -75 *25023:A2 *3982:46 0 -76 *27797:A1 *3982:56 2.90527e-05 -77 *27802:A2 *3982:46 4.32309e-05 -78 *27805:B1 *3982:46 6.2379e-05 -79 *27817:A *3982:56 0.000128154 -80 *27839:C1 *27479:B2 4.22135e-06 -81 *30285:A *3982:44 6.6489e-05 -82 *30292:A *3982:46 6.2379e-05 -83 *30300:A *3982:9 0.000112203 -84 *30437:A *3982:56 0.000303361 -85 *30484:A *3982:46 0.000303456 -86 *30613:A *24973:A1 5.74242e-05 -87 *30635:A *3982:17 2.84026e-05 -88 *30687:A *24973:A1 0.000303078 -89 *12:20 *24973:A1 0.000198839 -90 *26:17 *24973:A1 4.34219e-05 -91 *1244:62 *3982:44 1.1995e-05 -92 *1244:62 *3982:46 0.000543675 -93 *1258:100 *27479:B2 2.97136e-05 -94 *1290:186 *3982:71 4.22135e-06 -95 *1292:234 *3982:71 0.000190959 -96 *1294:179 *3982:56 9.55514e-05 -97 *1317:29 *3982:46 0 -98 *1317:30 *3982:46 0 -99 *1317:30 *3982:56 0 -100 *1354:16 *3982:71 0.000147718 -101 *1826:85 *3982:46 0 -102 *1864:150 *3982:71 0 -103 *2764:160 *27479:B2 0.000508745 -104 *2856:294 *27479:B2 0.000728223 -105 *2872:186 *27479:B2 5.66018e-05 -106 *3164:249 *3982:56 0.00111712 -107 *3179:67 *27479:B2 6.90348e-06 -108 *3179:67 *3982:56 0 -109 *3179:67 *3982:71 0 -110 *3179:67 *3982:74 3.42206e-06 -111 *3193:60 *27479:B2 0.000726391 -112 *3206:219 *27479:B2 0.000175804 -113 *3214:180 *3982:46 0.000162849 -114 *3343:10 *3982:56 0.00167393 -115 *3348:17 *3982:46 0.000138119 -116 *3635:31 *24973:A1 0.000113359 -117 *3635:33 *24973:A1 4.27842e-06 -118 *3748:60 *27479:B2 0 -119 *3864:43 *3982:71 5.25862e-06 -120 *3878:22 *24973:A1 0.000112045 -*RES -1 *28129:Q *3982:9 28.7464 -2 *3982:9 *30299:A 9.3 -3 *3982:9 *3982:17 5.48214 -4 *3982:17 *24973:A1 42.0321 -5 *3982:17 *3982:44 36.7054 -6 *3982:44 *3982:46 61.7321 -7 *3982:46 *3982:56 47.8214 -8 *3982:56 *3982:71 49.8128 -9 *3982:71 *3982:74 4.29393 -10 *3982:74 *27838:A1 14.7464 -11 *3982:74 *27479:B2 35.3354 -*END - -*D_NET *3983 0.0192038 -*CONN -*I *27498:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27863:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *29383:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25399:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28714:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27498:B2 0.00148408 -2 *27863:A1 0 -3 *29383:A 2.17614e-05 -4 *25399:B2 0.000427009 -5 *28714:Q 0.000139523 -6 *3983:52 0.00238824 -7 *3983:39 0.00104658 -8 *3983:24 0.00209348 -9 *3983:7 0.00192666 -10 *25399:B2 *25399:A1 5.40985e-05 -11 *25399:B2 *25923:A0 4.60513e-05 -12 *25399:B2 *4257:15 0.000557391 -13 *25399:B2 *4964:17 1.95625e-05 -14 *25399:B2 *5011:12 1.60441e-05 -15 *25399:B2 *5705:122 0.00011594 -16 *25399:B2 *5705:139 0.000114665 -17 *25399:B2 *6225:27 0.000939078 -18 *27498:B2 *27535:A1 0.000306934 -19 *27498:B2 *5634:71 0.000104332 -20 *29383:A *4131:29 9.71197e-05 -21 *29383:A *4140:24 9.71197e-05 -22 *3983:24 *25661:A1 0.000215563 -23 *3983:24 *28019:A0 0.000537934 -24 *3983:24 *28716:D 7.33059e-05 -25 *3983:24 *28834:D 0.000161732 -26 *3983:24 *4130:34 3.47797e-05 -27 *3983:24 *5490:39 0.000255879 -28 *3983:24 *5493:14 9.17133e-05 -29 *3983:24 *5624:107 7.25338e-05 -30 *3983:24 *5665:97 5.58941e-05 -31 *3983:24 *5665:101 7.93424e-05 -32 *3983:24 *5665:204 0 -33 *3983:24 *5698:87 0.00012401 -34 *3983:39 *28716:D 0.000303493 -35 *3983:52 *28716:D 0.000137939 -36 *3983:52 *5711:39 0.00023509 -37 *25267:A2 *3983:7 0.000177815 -38 *25399:B1 *25399:B2 9.80173e-05 -39 *25920:S *25399:B2 0.0001399 -40 *27153:A *3983:24 9.60875e-05 -41 *27498:B1 *27498:B2 5.50992e-06 -42 *27498:C1 *27498:B2 3.6297e-05 -43 *27535:B1 *27498:B2 0.000325268 -44 *27863:B2 *27498:B2 0.000390641 -45 *27888:B1 *3983:52 5.41794e-05 -46 *27911:B1 *3983:52 0.000534364 -47 *27912:C1 *3983:52 0.000127439 -48 *29193:A *3983:24 0.000528729 -49 *29199:A *3983:24 2.05413e-05 -50 *29216:A *3983:24 4.46186e-06 -51 *29216:A *3983:39 0.000158416 -52 *29216:A *3983:52 0.000108538 -53 *759:16 *3983:24 0.000286966 -54 *1163:17 *3983:24 0.000366111 -55 *1430:29 *3983:24 6.30931e-05 -56 *2789:25 *3983:52 0 -57 *3081:8 *27498:B2 0.000102672 -58 *3168:83 *27498:B2 4.66056e-05 -59 *3168:83 *3983:52 3.45899e-05 -60 *3212:68 *3983:52 3.00349e-05 -61 *3212:81 *3983:52 0 -62 *3791:84 *27498:B2 6.43196e-05 -63 *3801:21 *25399:B2 0.000197225 -64 *3804:14 *3983:24 0.000171952 -65 *3805:59 *3983:52 0.000204524 -66 *3814:28 *3983:24 4.91171e-05 -67 *3814:28 *3983:52 0.000405551 -*RES -1 *28714:Q *3983:7 15.5679 -2 *3983:7 *3983:24 47.499 -3 *3983:24 *25399:B2 37.2107 -4 *3983:7 *3983:39 4.07143 -5 *3983:39 *29383:A 14.7464 -6 *3983:39 *3983:52 22.6429 -7 *3983:52 *27863:A1 13.8 -8 *3983:52 *27498:B2 45.8223 -*END - -*D_NET *3984 0.0450802 -*CONN -*I *25329:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27513:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27871:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30494:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28715:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25329:A1 0.000983019 -2 *27513:B2 0.000193032 -3 *27871:A1 0.00140535 -4 *30494:A 3.74765e-05 -5 *28715:Q 1.37021e-05 -6 *3984:76 0.00166198 -7 *3984:73 0.00415314 -8 *3984:33 0.00407686 -9 *3984:10 0.00273044 -10 *3984:5 0.00370236 -11 *25329:A1 *27895:B2 0.000723821 -12 *25329:A1 *4077:29 0.000135028 -13 *25329:A1 *5878:14 0.00017309 -14 *25329:A1 *5890:46 0.00017309 -15 *27871:A1 *27529:A1 0.000481375 -16 *27871:A1 *28055:A 8.93791e-05 -17 *27871:A1 *28055:B 2.8078e-05 -18 *30494:A *27155:A0 0.000185824 -19 *30494:A *5838:31 9.77074e-05 -20 *3984:5 *5076:19 4.17433e-05 -21 *3984:10 *28138:RESET_B 2.45288e-05 -22 *3984:10 *28715:RESET_B 9.41642e-05 -23 *3984:10 *4142:26 0 -24 *3984:10 *5076:19 5.49544e-05 -25 *3984:10 *5626:19 1.51571e-05 -26 *3984:33 *28138:RESET_B 0.000140702 -27 *3984:33 *5253:44 0.000251292 -28 *3984:73 *25869:A0 0.000647853 -29 *3984:73 *27910:B2 6.7535e-05 -30 *3984:73 *28997:A 0 -31 *3984:73 *4113:86 0.000133629 -32 *3984:73 *5076:19 4.18834e-05 -33 *3984:73 *5657:125 0.000107807 -34 *3984:73 *5666:36 0.000207976 -35 *3984:73 *5778:94 4.88928e-05 -36 *3984:76 *4350:31 0.000299779 -37 *3984:76 *5215:12 0.0015908 -38 *25198:B2 *3984:73 0.00264489 -39 *25252:A1 *3984:76 0.00127432 -40 *27560:B1 *3984:73 0.000425474 -41 *27564:B2 *3984:33 0.00145271 -42 *27567:B1 *3984:33 0.000100831 -43 *27585:A2 *3984:73 0.00042142 -44 *27871:B2 *27871:A1 0.000300617 -45 *27910:B1 *3984:73 0.000164579 -46 *27929:A1 *3984:33 0.000173184 -47 *30495:A *3984:5 5.33005e-05 -48 *30495:A *3984:10 0.000251423 -49 *740:19 *3984:33 0.00015377 -50 *1247:25 *3984:10 1.98839e-05 -51 *1423:71 *3984:33 0.000374039 -52 *1472:25 *3984:73 0.000310429 -53 *1518:15 *3984:73 9.02928e-05 -54 *1603:36 *3984:33 9.23805e-05 -55 *1886:47 *3984:73 0.000153078 -56 *1887:70 *3984:33 1.56561e-05 -57 *2844:89 *3984:33 0.000132862 -58 *2844:98 *3984:33 6.72288e-05 -59 *2865:133 *27871:A1 0.000241594 -60 *2865:133 *3984:33 0.00167924 -61 *3069:7 *27513:B2 2.59355e-05 -62 *3089:13 *27871:A1 0.000240065 -63 *3089:13 *3984:33 0.00050245 -64 *3197:105 *3984:73 0.00034266 -65 *3413:25 *3984:73 0 -66 *3414:20 *27871:A1 3.0699e-05 -67 *3461:26 *3984:73 2.9988e-05 -68 *3637:59 *3984:33 1.33116e-05 -69 *3688:31 *3984:73 0.000150803 -70 *3688:35 *3984:33 0.000232836 -71 *3698:31 *3984:73 0.00106032 -72 *3723:25 *3984:33 0 -73 *3723:25 *3984:73 4.00349e-05 -74 *3727:32 *3984:33 0.000773017 -75 *3740:31 *25329:A1 0.00179809 -76 *3740:41 *25329:A1 0.00071048 -77 *3749:105 *3984:33 0.000380319 -78 *3793:52 *3984:33 0.000344091 -79 *3883:31 *3984:73 0.0017231 -80 *3896:86 *27513:B2 0.000426296 -81 *3897:32 *27513:B2 9.41642e-05 -82 *3909:40 *3984:33 0 -83 *3947:61 *3984:73 1.82358e-05 -84 *3948:41 *3984:33 0.000471021 -85 *3975:44 *25329:A1 0.000265647 -*RES -1 *28715:Q *3984:5 9.83571 -2 *3984:5 *3984:10 8.82143 -3 *3984:10 *30494:A 15.5679 -4 *3984:10 *3984:33 45.6583 -5 *3984:33 *27871:A1 34.5679 -6 *3984:33 *27513:B2 18.0321 -7 *3984:5 *3984:73 37.8857 -8 *3984:73 *3984:76 25.4196 -9 *3984:76 *25329:A1 45.3179 -*END - -*D_NET *3985 0.013697 -*CONN -*I *27617:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25390:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *29263:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28719:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *27617:A1 0.000351399 -2 *25390:A1 0 -3 *29263:A 0 -4 *28719:Q 0.000430133 -5 *3985:33 0.00226572 -6 *3985:27 0.00273495 -7 *3985:19 0.000547965 -8 *27617:A1 *27617:A2 1.46576e-05 -9 *27617:A1 *5635:50 0.000570422 -10 *3985:19 *27160:A0 0.000384819 -11 *3985:19 *28466:SET_B 0.000126133 -12 *3985:19 *28719:D 0.00021404 -13 *3985:19 *5713:87 0.000259549 -14 *3985:19 *5713:271 0.000327935 -15 *3985:19 *5750:217 3.34366e-05 -16 *3985:27 *27614:B2 0.000218409 -17 *3985:33 *25158:A 5.33005e-05 -18 *3985:33 *27614:B2 0.00039505 -19 *3985:33 *29035:A 5.47748e-05 -20 *25390:A2 *3985:33 1.55638e-05 -21 *25390:C1 *3985:33 0.000435917 -22 *25413:A *3985:33 0.000218404 -23 *27332:C *3985:19 2.82812e-05 -24 *27614:A1 *3985:19 0.000119303 -25 *27614:A1 *3985:27 8.10238e-05 -26 *27614:A1 *3985:33 8.24053e-05 -27 *27614:B1 *3985:33 0.000361545 -28 *29264:A *3985:19 0.000123126 -29 *1244:141 *3985:33 0.000109854 -30 *1250:46 *27617:A1 0.000393102 -31 *1271:122 *3985:33 0.000523799 -32 *1401:77 *3985:33 0.000446599 -33 *1437:13 *3985:33 5.33005e-05 -34 *1479:7 *3985:33 0.000883649 -35 *1657:54 *3985:33 0.000177545 -36 *2860:158 *27617:A1 8.10003e-05 -37 *2867:25 *3985:19 0.000198638 -38 *2882:46 *27617:A1 0.000260152 -39 *3686:135 *27617:A1 0.000121149 -*RES -1 *28719:Q *3985:19 30.4339 -2 *3985:19 *29263:A 9.3 -3 *3985:19 *3985:27 3.01786 -4 *3985:27 *3985:33 43.2857 -5 *3985:33 *25390:A1 9.3 -6 *3985:27 *27617:A1 28.6036 -*END - -*D_NET *3986 0.0185467 -*CONN -*I *30239:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27905:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25262:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28392:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *30239:A 0.000183237 -2 *27905:B2 0.00101248 -3 *25262:A1 7.8619e-05 -4 *28392:Q 2.70392e-05 -5 *3986:40 0.00221455 -6 *3986:26 0.00286638 -7 *3986:5 0.0019532 -8 *25262:A1 *5442:27 1.59373e-05 -9 *27905:B2 *27905:B1 7.19302e-05 -10 *27905:B2 *4129:61 4.43053e-05 -11 *27905:B2 *5622:48 0.00119371 -12 *30239:A *24922:B 0.000168393 -13 *30239:A *25951:A0 0.000135028 -14 *30239:A *28100:D 1.60861e-05 -15 *30239:A *28392:SET_B 0.000166807 -16 *30239:A *5620:46 8.98698e-05 -17 *3986:26 *25385:B2 0.000236908 -18 *3986:26 *26854:A1 0 -19 *3986:26 *26855:A0 0 -20 *3986:26 *29148:A 0 -21 *3986:26 *3996:19 0 -22 *3986:26 *4200:23 4.8496e-05 -23 *3986:26 *4260:22 0.000112296 -24 *3986:26 *5040:13 0.000333161 -25 *3986:26 *5354:17 0.000310797 -26 *3986:40 *28448:RESET_B 1.95625e-05 -27 *3986:40 *28449:RESET_B 2.80052e-05 -28 *3986:40 *5706:242 7.69776e-06 -29 *3986:40 *6225:79 0.000437886 -30 *24922:A *3986:26 0 -31 *25385:A1 *3986:40 3.19493e-05 -32 *26855:S *3986:40 0.000436238 -33 *27905:C1 *27905:B2 5.49489e-05 -34 *27906:C1 *27905:B2 0.000150226 -35 *27963:C1 *27905:B2 3.77315e-05 -36 *28392:CLK *3986:26 6.05161e-06 -37 *29152:A *3986:40 0.000142561 -38 *30071:A *3986:40 0.000117913 -39 *1141:20 *3986:26 0 -40 *1218:62 *25262:A1 0.000179656 -41 *1227:68 *3986:26 0.000189131 -42 *1250:187 *3986:40 0.000139208 -43 *1294:30 *3986:5 6.06291e-06 -44 *1294:30 *3986:26 5.82527e-06 -45 *1328:22 *3986:26 8.79856e-06 -46 *1328:50 *27905:B2 0.000105924 -47 *1328:50 *3986:40 0.000278408 -48 *1401:52 *27905:B2 0.00119366 -49 *1471:121 *3986:40 0.000294576 -50 *1481:78 *25262:A1 0.000171461 -51 *1659:18 *3986:40 0.00117512 -52 *1659:31 *27905:B2 9.78939e-05 -53 *1659:31 *3986:40 0.000276885 -54 *1714:23 *3986:26 0 -55 *1716:11 *3986:26 0.000121842 -56 *2798:68 *3986:26 0.000312438 -57 *2845:31 *27905:B2 4.38251e-05 -58 *2860:56 *3986:40 0.000109416 -59 *2878:25 *27905:B2 0.000135472 -60 *3206:48 *27905:B2 0.000251243 -61 *3214:36 *27905:B2 8.58914e-05 -62 *3568:99 *3986:26 3.60007e-05 -63 *3568:110 *3986:26 0.000261893 -64 *3569:92 *30239:A 0.000136958 -65 *3648:11 *30239:A 5.37709e-05 -66 *3648:11 *3986:26 0.000125355 -*RES -1 *28392:Q *3986:5 9.63036 -2 *3986:5 *3986:26 48.9464 -3 *3986:26 *3986:40 41.5536 -4 *3986:40 *25262:A1 16.3 -5 *3986:40 *27905:B2 35.2551 -6 *3986:5 *30239:A 23.1214 -*END - -*D_NET *3987 0.0388993 -*CONN -*I *25183:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27932:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29742:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28393:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25183:B2 0.0001248 -2 *27932:B2 0 -3 *29742:A 9.55195e-05 -4 *28393:Q 0.000227769 -5 *3987:58 0.00262254 -6 *3987:35 0.00305769 -7 *3987:29 0.00226692 -8 *3987:27 0.00209952 -9 *3987:21 0.00223763 -10 *3987:19 0.00250662 -11 *3987:8 0.000735234 -12 *25183:B2 *5609:107 4.38296e-05 -13 *25183:B2 *5655:174 4.65519e-05 -14 *29742:A *25952:A0 0.000212324 -15 *29742:A *6350:26 0.000113083 -16 *3987:8 *25952:A0 0.000317579 -17 *3987:8 *5526:16 3.45936e-05 -18 *3987:8 *5745:71 0 -19 *3987:8 *5745:104 0 -20 *3987:19 *26845:A 0.000154552 -21 *3987:19 *5526:16 0.000108291 -22 *3987:19 *5713:146 0.000284302 -23 *3987:19 *5713:154 1.61405e-05 -24 *3987:19 *5745:104 0 -25 *3987:21 *26845:A 0.000114912 -26 *3987:21 *28618:D 0.00030083 -27 *3987:21 *3992:25 0.00123554 -28 *3987:21 *3997:6 0.000335039 -29 *3987:21 *3997:19 0.00139105 -30 *3987:21 *4062:12 4.50033e-05 -31 *3987:21 *4907:40 0.000851286 -32 *3987:21 *5211:8 0.000252124 -33 *3987:21 *5713:130 6.12279e-05 -34 *3987:21 *5713:146 0.00100834 -35 *3987:21 *5745:124 6.55646e-05 -36 *3987:21 *5750:130 0.000883954 -37 *3987:27 *5211:8 0.000237885 -38 *3987:29 *27073:A0 0.000110473 -39 *3987:29 *27614:B2 5.89387e-05 -40 *3987:29 *4051:49 0 -41 *3987:29 *4051:54 0 -42 *3987:29 *4115:48 8.09423e-05 -43 *3987:29 *5211:8 0.000174105 -44 *3987:29 *5634:96 8.59142e-05 -45 *3987:29 *5713:88 0.000431336 -46 *3987:29 *5713:96 0.000275333 -47 *3987:29 *5750:196 0.000188199 -48 *3987:29 *5754:18 0.00010407 -49 *3987:35 *5584:43 0.000529562 -50 *3987:58 *6568:DIODE 5.49544e-05 -51 *3987:58 *25273:B2 5.00194e-05 -52 *3987:58 *27635:B2 2.43589e-05 -53 *3987:58 *27932:A1 0.000307046 -54 *3987:58 *27932:A2 0.000183341 -55 *3987:58 *27932:B1 3.62601e-05 -56 *3987:58 *5609:107 0.000461706 -57 *3987:58 *5626:99 9.41642e-05 -58 *3987:58 *5655:150 1.66787e-05 -59 *3987:58 *5655:174 6.14836e-06 -60 *25183:B1 *3987:58 0.000347566 -61 *25222:B1 *3987:58 0.000139223 -62 *25224:A *3987:58 0.000170917 -63 *25277:A2 *25183:B2 2.01668e-05 -64 *25277:A2 *3987:58 8.01687e-05 -65 *27759:C1 *3987:21 0.000491872 -66 *27759:C1 *3987:27 0.000176846 -67 *27932:C1 *3987:58 9.18118e-05 -68 *28794:CLK *29742:A 0.000184745 -69 *29447:A *3987:58 8.6229e-06 -70 *1244:174 *3987:29 0.000217088 -71 *1253:34 *3987:58 4.50033e-05 -72 *1256:31 *3987:58 8.47885e-05 -73 *1271:161 *3987:58 8.76591e-06 -74 *1289:19 *3987:58 0.000179959 -75 *1326:56 *3987:29 0.000154531 -76 *1454:10 *25183:B2 2.11419e-05 -77 *1538:37 *3987:58 8.80625e-05 -78 *1545:28 *3987:58 0.000283548 -79 *1547:26 *3987:29 5.41794e-05 -80 *1580:11 *3987:8 0.000252145 -81 *1700:37 *3987:58 6.90187e-05 -82 *2865:44 *3987:21 0.000258568 -83 *2877:32 *3987:29 0.00125222 -84 *2877:43 *3987:29 0.000162227 -85 *2877:179 *3987:29 0.000328077 -86 *2877:179 *3987:35 0.000646986 -87 *3306:6 *25183:B2 2.11419e-05 -88 *3306:6 *3987:27 1.91414e-05 -89 *3306:6 *3987:29 0.00425979 -90 *3306:6 *3987:35 8.05417e-05 -91 *3473:19 *3987:58 0.000403817 -92 *3638:17 *3987:8 5.33005e-05 -93 *3642:46 *3987:21 0.000144988 -94 *3686:135 *3987:35 2.06579e-05 -95 *3797:53 *3987:21 0.000417586 -96 *3867:80 *3987:58 4.48128e-05 -97 *3875:73 *3987:58 1.62489e-05 -98 *3894:30 *3987:21 6.24302e-05 -99 *3907:76 *3987:58 4.32957e-05 -100 *3972:13 *3987:19 4.12023e-05 -101 *3972:13 *3987:21 9.03127e-05 -*RES -1 *28393:Q *3987:8 19.3179 -2 *3987:8 *29742:A 17.6214 -3 *3987:8 *3987:19 9.00893 -4 *3987:19 *3987:21 61.5982 -5 *3987:21 *3987:27 4.23214 -6 *3987:27 *3987:29 57.0446 -7 *3987:29 *3987:35 13.1779 -8 *3987:35 *3987:58 45.4828 -9 *3987:58 *27932:B2 9.3 -10 *3987:35 *25183:B2 17.75 -*END - -*D_NET *3988 0.0288985 -*CONN -*I *25138:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27962:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *29984:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28394:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *25138:B2 0.000102129 -2 *27962:B2 2.5149e-05 -3 *29984:A 2.46036e-05 -4 *28394:Q 0.000130996 -5 *3988:44 0.00375681 -6 *3988:33 0.00508264 -7 *3988:8 0.00155841 -8 *29984:A *25953:A0 9.60939e-05 -9 *3988:8 *25298:B 9.80173e-05 -10 *3988:8 *5499:59 0 -11 *3988:33 *5499:59 0 -12 *3988:33 *5605:17 9.67087e-05 -13 *3988:33 *5625:52 7.40571e-05 -14 *3988:33 *5711:153 0.000157345 -15 *3988:33 *5711:186 0.000133091 -16 *3988:44 *27634:A1 0.000611575 -17 *3988:44 *4063:59 4.61318e-05 -18 *3988:44 *4133:92 0.000251313 -19 *3988:44 *5619:17 0.00017413 -20 *3988:44 *5626:99 0.000989964 -21 *3988:44 *5626:109 3.73033e-05 -22 *6390:DIODE *25138:B2 8.95109e-05 -23 *25119:B *3988:44 2.11419e-05 -24 *25138:A2 *25138:B2 1.47031e-05 -25 *25138:B1 *25138:B2 3.57366e-05 -26 *25138:C1 *25138:B2 0.000178425 -27 *25184:A1 *3988:44 0.000196504 -28 *25212:C *3988:44 2.06112e-05 -29 *25212:D *3988:44 9.96264e-05 -30 *25214:B1 *3988:44 0.00224946 -31 *25275:B *3988:33 0.000441449 -32 *25311:A1 *3988:33 9.23975e-05 -33 *25957:S *3988:33 1.95625e-05 -34 *29325:A *3988:33 1.4363e-05 -35 *1178:82 *3988:44 4.29471e-05 -36 *1218:29 *3988:44 1.90936e-05 -37 *1240:41 *29984:A 9.60939e-05 -38 *1240:41 *3988:8 7.69776e-06 -39 *1240:41 *3988:33 0.000111243 -40 *1248:14 *3988:8 6.39829e-05 -41 *1248:56 *3988:44 0 -42 *1250:187 *3988:33 6.81425e-06 -43 *1253:98 *3988:44 0.00113806 -44 *1253:105 *3988:33 0.000336405 -45 *1257:46 *3988:44 0 -46 *1257:69 *3988:44 0.00014854 -47 *1279:44 *3988:44 1.08524e-05 -48 *1279:61 *3988:44 0.00053377 -49 *1416:64 *3988:44 0.000577976 -50 *1417:10 *3988:8 0.00012401 -51 *1417:10 *3988:33 0.00125531 -52 *1441:99 *3988:44 0.000665812 -53 *1441:115 *3988:44 0.00156744 -54 *1449:74 *3988:44 0.000581183 -55 *1476:151 *3988:44 8.92374e-05 -56 *1504:8 *3988:33 0.000239614 -57 *1504:8 *3988:44 0.000234752 -58 *1505:16 *3988:44 0.000238573 -59 *1535:39 *27962:B2 2.85551e-05 -60 *1535:39 *3988:33 0.000210862 -61 *1535:39 *3988:44 2.95642e-05 -62 *1538:37 *3988:44 8.60466e-05 -63 *1560:16 *3988:44 0.000984579 -64 *1834:70 *3988:44 0.000764556 -65 *1900:17 *3988:8 8.6229e-06 -66 *1900:17 *3988:33 0.000133734 -67 *2850:50 *27962:B2 1.403e-05 -68 *3158:36 *3988:33 6.52967e-05 -69 *3183:70 *3988:44 0.000583602 -70 *3305:33 *3988:44 0.000363366 -71 *3557:30 *3988:44 0.000192492 -72 *3782:26 *3988:44 9.49939e-05 -73 *3853:19 *3988:33 0.00028696 -74 *3853:45 *3988:33 4.18834e-05 -*RES -1 *28394:Q *3988:8 16.3893 -2 *3988:8 *29984:A 14.7464 -3 *3988:8 *3988:33 43.1429 -4 *3988:33 *27962:B2 14.3714 -5 *3988:33 *3988:44 106.679 -6 *3988:44 *25138:B2 16.5366 -*END - -*D_NET *3989 0.0366144 -*CONN -*I *27674:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *29893:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25295:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28720:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *27674:B2 0.000654951 -2 *29893:A 0 -3 *25295:A1 0.000991325 -4 *28720:Q 5.36145e-05 -5 *3989:68 0.00252283 -6 *3989:66 0.00309 -7 *3989:40 0.00130819 -8 *3989:20 0.00334115 -9 *3989:5 0.00248951 -10 *25295:A1 *25396:A1 3.28686e-05 -11 *27674:B2 *27674:A1 2.67489e-05 -12 *27674:B2 *27674:A2 0.000147465 -13 *27674:B2 *27674:B1 5.33005e-05 -14 *27674:B2 *5638:104 0.00045359 -15 *27674:B2 *5638:109 3.50327e-05 -16 *27674:B2 *5655:182 5.33005e-05 -17 *27674:B2 *5748:28 0.000308592 -18 *3989:5 *5667:312 4.00679e-05 -19 *3989:20 *28343:D 5.52302e-05 -20 *3989:20 *28343:SET_B 0.000105042 -21 *3989:20 *30121:A 1.65787e-05 -22 *3989:20 *4052:20 5.53918e-05 -23 *3989:20 *5667:312 0.000315983 -24 *3989:66 *28720:D 0.000177545 -25 *3989:66 *5873:153 7.14469e-05 -26 *3989:66 *5873:164 0.00122711 -27 *3989:68 *5638:104 0.000109154 -28 *3989:68 *5656:77 0.000138721 -29 *3989:68 *5713:84 0.00111448 -30 *25097:B1 *3989:20 7.69776e-06 -31 *25269:A1 *3989:20 0.000139215 -32 *25269:B1 *3989:20 8.00948e-05 -33 *25269:B2 *25295:A1 0.000908113 -34 *25276:B1 *3989:68 1.94879e-05 -35 *25295:A2 *25295:A1 0.000158415 -36 *25410:A2 *3989:20 0.0014154 -37 *25888:A *3989:20 0.000123471 -38 *27322:A1 *3989:66 5.34149e-05 -39 *27332:A *3989:66 9.59532e-06 -40 *27332:C *3989:66 0.00123046 -41 *28343:CLK *3989:20 0.000464471 -42 *29188:A *3989:68 5.71563e-05 -43 *1182:26 *3989:66 9.96264e-05 -44 *1248:56 *3989:20 1.90936e-05 -45 *1276:21 *3989:20 7.67676e-05 -46 *1294:147 *3989:40 0.000186187 -47 *1294:147 *3989:66 0.000144787 -48 *1416:72 *3989:68 0.00174997 -49 *1419:53 *3989:20 0.000177545 -50 *1421:9 *3989:20 4.35597e-05 -51 *1691:10 *3989:40 0.000187711 -52 *1691:10 *3989:66 0.000268153 -53 *1691:14 *3989:66 1.64535e-05 -54 *1691:14 *3989:68 0 -55 *1691:24 *3989:68 5.9575e-05 -56 *2776:65 *3989:20 2.16416e-05 -57 *2784:59 *3989:66 0 -58 *2856:124 *3989:68 3.98524e-05 -59 *2856:146 *3989:68 0.000681964 -60 *2877:32 *3989:66 1.36991e-05 -61 *2877:32 *3989:68 0.000416507 -62 *2886:41 *25295:A1 0.000594648 -63 *2886:41 *3989:20 0.000428054 -64 *2888:22 *3989:66 0.000207038 -65 *2888:59 *3989:20 0.000187433 -66 *2888:59 *3989:66 2.06112e-05 -67 *3197:46 *27674:B2 2.23987e-05 -68 *3197:46 *3989:68 0.00408148 -69 *3197:52 *27674:B2 0.00122027 -70 *3209:37 *3989:5 0.000123617 -71 *3209:37 *3989:20 0.000688643 -72 *3209:52 *3989:20 0.000713516 -73 *3560:18 *3989:66 0.000242907 -74 *3642:22 *3989:66 0.000175892 -75 *3783:110 *3989:68 0 -76 *3834:11 *27674:B2 4.38265e-05 -77 *3875:63 *3989:20 4.75152e-06 -*RES -1 *28720:Q *3989:5 10.6571 -2 *3989:5 *3989:20 45.5143 -3 *3989:20 *25295:A1 33.1036 -4 *3989:5 *3989:40 11.5536 -5 *3989:40 *29893:A 9.3 -6 *3989:40 *3989:66 37.1518 -7 *3989:66 *3989:68 60.8393 -8 *3989:68 *27674:B2 33.6125 -*END - -*D_NET *3990 0.00556774 -*CONN -*I *29612:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25229:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27716:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28721:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29612:A 0 -2 *25229:A1 0.000385017 -3 *27716:B2 0.000298761 -4 *28721:Q 0.000423011 -5 *3990:11 0.00074947 -6 *3990:10 0.000488703 -7 *25229:A1 *5627:18 0.000183543 -8 *27716:B2 *27716:A1 1.38106e-05 -9 *27716:B2 *5638:62 6.26774e-06 -10 *25176:A2 *27716:B2 0.00035041 -11 *25229:A2 *25229:A1 9.41642e-05 -12 *25229:B2 *25229:A1 1.02504e-05 -13 *27357:A2 *27716:B2 0.000241696 -14 *27357:A2 *3990:11 0.000216755 -15 *28721:CLK *3990:10 0.000309474 -16 *29776:A *3990:10 0.000120755 -17 *1252:110 *25229:A1 4.50149e-05 -18 *1252:134 *25229:A1 2.31791e-05 -19 *1363:114 *25229:A1 0.000462269 -20 *1844:138 *27716:B2 0.000468644 -21 *1844:138 *3990:11 0.000205198 -22 *2782:106 *27716:B2 0.000348881 -23 *2880:137 *3990:10 0.00012247 -*RES -1 *28721:Q *3990:10 24.5857 -2 *3990:10 *3990:11 2.17857 -3 *3990:11 *27716:B2 27.7509 -4 *3990:11 *25229:A1 27.3179 -5 *3990:10 *29612:A 9.3 -*END - -*D_NET *3991 0.07953 -*CONN -*I *29711:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24826:A I *D sky130_fd_sc_hd__inv_2 -*I *27741:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25176:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28722:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29711:A 0.000332698 -2 *24826:A 1.645e-05 -3 *27741:B2 0.000317233 -4 *25176:A1 0.000451107 -5 *28722:Q 0 -6 *3991:72 0.00260341 -7 *3991:71 0.00505721 -8 *3991:52 0.00320753 -9 *3991:38 0.0050029 -10 *3991:36 0.00461322 -11 *3991:34 0.00186425 -12 *3991:32 0.00208137 -13 *3991:29 0.00136293 -14 *3991:11 0.00184738 -15 *3991:4 0.000613539 -16 *24826:A *5859:11 9.90367e-05 -17 *25176:A1 *25176:B2 1.98839e-05 -18 *27741:B2 *27741:A2 8.7636e-06 -19 *27741:B2 *27741:B1 2.58896e-05 -20 *27741:B2 *5638:169 2.24574e-05 -21 *27741:B2 *5638:195 3.9059e-05 -22 *29711:A *27163:A0 5.33005e-05 -23 *29711:A *28722:RESET_B 0.00010215 -24 *29711:A *4649:20 8.6281e-05 -25 *29711:A *5650:31 5.33005e-05 -26 *29711:A *5755:224 4.1518e-05 -27 *3991:11 *28722:RESET_B 8.85631e-05 -28 *3991:11 *5459:51 0.000216304 -29 *3991:29 *24854:A 8.82998e-05 -30 *3991:29 *27163:A1 0.000991429 -31 *3991:29 *4186:26 0.000148883 -32 *3991:32 *5658:31 2.59045e-05 -33 *3991:32 *5757:134 0.000563835 -34 *3991:34 *28708:RESET_B 0.000274912 -35 *3991:34 *30037:A 0.000230219 -36 *3991:34 *4008:8 7.83659e-05 -37 *3991:34 *5601:37 0.000233267 -38 *3991:34 *5658:31 0.000834967 -39 *3991:34 *5658:44 0.000251599 -40 *3991:34 *5658:69 6.91157e-05 -41 *3991:34 *5660:134 0.00126242 -42 *3991:34 *5748:36 0.000353319 -43 *3991:34 *5926:72 0.00188356 -44 *3991:38 *25186:B1 1.19224e-05 -45 *3991:38 *27117:B 0.000501434 -46 *3991:38 *27380:A1 2.11419e-05 -47 *3991:38 *27380:B1 9.96553e-05 -48 *3991:38 *28543:D 0.000331791 -49 *3991:38 *28669:D 0.000552117 -50 *3991:38 *5607:102 3.57916e-05 -51 *3991:38 *5651:284 0.000428217 -52 *3991:38 *5658:69 0.00108424 -53 *3991:38 *5660:175 0.00160577 -54 *3991:38 *5660:189 0.000274226 -55 *3991:38 *5668:50 0.000348071 -56 *3991:38 *5777:215 0.000156354 -57 *3991:38 *5777:223 4.19535e-05 -58 *3991:38 *5819:20 0.00230452 -59 *3991:38 *5910:63 0.000418715 -60 *3991:38 *5926:68 0.00155648 -61 *3991:38 *5926:72 0.00139457 -62 *3991:52 *5651:378 9.77021e-06 -63 *3991:52 *5655:238 0.000317848 -64 *3991:52 *5668:50 3.19339e-05 -65 *3991:52 *5695:99 3.34295e-05 -66 *3991:52 *5829:68 3.8645e-05 -67 *3991:52 *5926:68 0.000228492 -68 *3991:71 *25629:A0 9.67519e-05 -69 *3991:71 *27832:A2 0 -70 *3991:71 *27833:A2 0 -71 *3991:71 *28125:D 9.41642e-05 -72 *3991:71 *5629:229 0 -73 *3991:71 *5638:195 7.49387e-06 -74 *3991:71 *5680:89 0.00156621 -75 *3991:71 *5695:99 0.000318491 -76 *3991:72 *27832:B2 0.000454148 -77 *3991:72 *27833:A1 0.000430363 -78 *3991:72 *28559:D 6.19181e-05 -79 *3991:72 *29409:A 0.000151611 -80 *3991:72 *4017:20 0.00202987 -81 *3991:72 *4017:82 0.000392986 -82 *3991:72 *4021:12 0.000268025 -83 *3991:72 *4829:13 6.09476e-05 -84 *3991:72 *5187:15 5.08577e-05 -85 *3991:72 *5408:42 0 -86 *3991:72 *5703:21 6.45084e-05 -87 *3991:72 *5829:221 0.000126278 -88 *3991:72 *5903:11 0.000238022 -89 *3991:72 *5925:10 0.00122571 -90 *3991:72 *5925:17 0.00126627 -91 mgmt_gpio_oeb[33] *3991:72 0 -92 mgmt_gpio_out[32] *24826:A 9.90367e-05 -93 mgmt_gpio_out[33] *3991:72 0.000128296 -94 *24978:A2 *3991:72 0.000156276 -95 *25065:B2 *3991:38 0.00018928 -96 *25176:A2 *25176:A1 5.33005e-05 -97 *25201:B1 *3991:38 1.90936e-05 -98 *26946:A *3991:71 2.04825e-05 -99 *27476:C1 *3991:34 0.000158752 -100 *28473:CLK *3991:11 0.000107938 -101 *28742:CLK *3991:72 0 -102 *28756:CLK *3991:72 0 -103 *28875:A *3991:29 2.89016e-05 -104 *28929:A *3991:29 4.90597e-05 -105 *28930:A *3991:32 7.39203e-06 -106 *28930:A *3991:34 2.04825e-05 -107 *29410:A *3991:72 0 -108 *29712:A *25176:A1 0.000128409 -109 *29712:A *3991:11 2.84109e-05 -110 *29712:A *3991:29 0.000404065 -111 *29813:A *3991:72 0 -112 *30236:A *3991:38 0.000300995 -113 *1185:144 *3991:38 0.000127181 -114 *1185:175 *3991:38 0.000359056 -115 *1261:238 *3991:38 2.06178e-05 -116 *1267:163 *3991:38 0.000100823 -117 *1275:195 *3991:38 2.06178e-05 -118 *1290:132 *3991:29 0.000101022 -119 *1293:150 *3991:38 0.000192508 -120 *1304:6 *3991:72 0.000391035 -121 *1328:156 *25176:A1 0.00161232 -122 *1328:156 *29711:A 0.000306684 -123 *1328:156 *3991:11 0.00038574 -124 *1826:117 *3991:34 0.00011659 -125 *1826:238 *3991:52 7.76075e-06 -126 *1864:141 *3991:29 0.00020489 -127 *2759:31 *3991:52 4.65519e-05 -128 *2759:31 *3991:71 0.000804646 -129 *2763:185 *3991:38 9.23805e-05 -130 *2767:167 *3991:52 1.09611e-05 -131 *2786:80 *3991:38 0.000154185 -132 *2786:112 *25176:A1 0.000293187 -133 *2786:112 *3991:11 4.29615e-05 -134 *2787:113 *3991:29 6.4735e-05 -135 *2790:92 *3991:29 0 -136 *2844:265 *3991:34 0.000984535 -137 *2856:344 *3991:38 0.000155447 -138 *2892:132 *3991:29 0.0013749 -139 *2894:181 *25176:A1 0.000393943 -140 *2998:8 *3991:34 1.53472e-05 -141 *2998:8 *3991:38 0.00466898 -142 *2998:14 *3991:34 8.41284e-06 -143 *2998:16 *3991:34 0.00065303 -144 *3018:30 *29711:A 9.25014e-06 -145 *3153:177 *3991:38 0.000763757 -146 *3153:284 *3991:38 5.41794e-05 -147 *3174:188 *3991:29 5.70611e-05 -148 *3215:305 *3991:38 0.000226392 -149 *3537:35 *3991:52 0.000146448 -150 *3574:66 *3991:32 0.000624612 -151 *3574:66 *3991:34 1.721e-05 -152 *3574:71 *3991:34 0.000141513 -153 *3607:98 *3991:71 1.90936e-05 -154 *3627:52 *3991:29 3.47641e-06 -155 *3627:57 *3991:29 0.000763663 -156 *3669:20 *29711:A 0.000217453 -157 *3731:45 *3991:52 0.0001065 -158 *3757:75 *3991:38 5.47788e-05 -159 *3757:75 *3991:52 3.42107e-06 -160 *3952:18 *3991:11 0.000218019 -161 *3965:39 *3991:38 0.00217934 -162 *3968:20 *29711:A 6.30553e-05 -*RES -1 *28722:Q *3991:4 9.3 -2 *3991:4 *3991:11 17.4464 -3 *3991:11 *25176:A1 25.0321 -4 *3991:11 *3991:29 48.2679 -5 *3991:29 *3991:32 8.70536 -6 *3991:32 *3991:34 59.625 -7 *3991:34 *3991:36 0.535714 -8 *3991:36 *3991:38 151.304 -9 *3991:38 *3991:52 22.2143 -10 *3991:52 *27741:B2 13.3179 -11 *3991:52 *3991:71 24.0335 -12 *3991:71 *3991:72 72.2232 -13 *3991:72 *24826:A 14.7464 -14 *3991:4 *29711:A 27.5679 -*END - -*D_NET *3992 0.0654484 -*CONN -*I *29768:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25133:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27758:B2 I *D sky130_fd_sc_hd__a221o_4 -*I *28723:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29768:A 0 -2 *25133:A1 0.000344618 -3 *27758:B2 0.00109512 -4 *28723:Q 0.000348948 -5 *3992:66 0.00221636 -6 *3992:54 0.00327588 -7 *3992:53 0.00373409 -8 *3992:42 0.00266488 -9 *3992:28 0.00353294 -10 *3992:27 0.00210289 -11 *3992:25 0.00130905 -12 *3992:11 0.00165799 -13 *25133:A1 *25133:B1 1.23876e-05 -14 *27758:B2 *4054:17 0.00205931 -15 *27758:B2 *5649:220 0.00161559 -16 *27758:B2 *5663:155 0.000136676 -17 *3992:11 *27164:A0 0.000147901 -18 *3992:11 *5750:35 0.000110948 -19 *3992:11 *5750:47 9.60939e-05 -20 *3992:11 *5750:110 0.000247157 -21 *3992:25 *25099:A1 6.05161e-06 -22 *3992:25 *28342:D 5.74499e-06 -23 *3992:25 *5211:8 0.00123554 -24 *3992:25 *5585:70 0.000280463 -25 *3992:25 *5873:153 1.58163e-05 -26 *3992:28 *5504:66 1.721e-05 -27 *3992:28 *5687:87 0.000359392 -28 *3992:28 *5713:84 0.000252181 -29 *3992:42 *4015:31 2.27683e-05 -30 *3992:42 *5448:40 7.29646e-05 -31 *3992:53 *25332:A1 7.99401e-05 -32 *3992:53 *28467:SET_B 0.000123288 -33 *3992:53 *4015:31 0.000112563 -34 *3992:53 *4015:37 0.000747498 -35 *3992:53 *5634:123 0.000223445 -36 *3992:53 *5700:50 0.000135449 -37 *3992:53 *5700:55 0.000137113 -38 *3992:54 *26885:A1 0.000282912 -39 *3992:54 *27345:A1 1.94945e-05 -40 *3992:54 *5656:89 0.00067565 -41 *3992:54 *5748:16 1.72961e-05 -42 *3992:66 *4054:26 0.00125982 -43 *3992:66 *5633:164 0.000202982 -44 *25133:A2 *25133:A1 5.95533e-05 -45 *25208:C1 *3992:42 0.000168586 -46 *25224:B *25133:A1 0 -47 *25263:C1 *3992:11 0.000301438 -48 *25263:C1 *3992:25 0.000301438 -49 *25273:A1 *25133:A1 0.00017754 -50 *26978:S *27758:B2 0.000136676 -51 *27319:B1 *3992:28 1.90936e-05 -52 *27325:C1 *3992:28 0.000325235 -53 *27332:A *3992:28 0.000105594 -54 *27338:B1 *3992:54 2.04825e-05 -55 *27338:B1 *3992:66 1.17921e-05 -56 *27347:A2 *3992:54 4.21517e-05 -57 *27348:B1 *3992:54 0.000132054 -58 *27349:C1 *3992:54 0.00014691 -59 *27352:B *3992:54 0.00112481 -60 *27614:A1 *3992:28 0.000813877 -61 *27682:D *3992:66 0.000283606 -62 *27688:A1 *3992:54 7.69776e-06 -63 *28699:CLK *27758:B2 0.000209822 -64 *29717:A *3992:25 9.41642e-05 -65 *29756:A *3992:42 0.000307143 -66 *29867:A *3992:53 0.00012252 -67 *1244:128 *3992:11 1.10856e-05 -68 *1250:74 *3992:25 0.00028713 -69 *1260:43 *3992:54 0.000139739 -70 *1261:25 *3992:54 0.000171213 -71 *1270:22 *3992:54 0.00100918 -72 *1273:122 *3992:28 7.6644e-05 -73 *1275:94 *3992:54 0.000107222 -74 *1286:10 *3992:54 0.000218734 -75 *1290:14 *3992:42 0.000125261 -76 *1292:195 *3992:54 0.000121201 -77 *1446:12 *3992:28 0.000379643 -78 *1527:39 *3992:42 9.41642e-05 -79 *1539:21 *3992:28 0.000675212 -80 *1539:22 *25133:A1 0.000126439 -81 *1539:22 *3992:28 7.47029e-06 -82 *1578:10 *3992:28 0.000795471 -83 *1582:25 *3992:11 8.6229e-06 -84 *1596:16 *25133:A1 7.51427e-05 -85 *1596:16 *3992:28 0.00018314 -86 *1642:14 *25133:A1 6.7174e-05 -87 *1642:14 *3992:28 0.000785205 -88 *1643:14 *3992:54 0.000238769 -89 *1691:14 *3992:28 0.000178803 -90 *2761:98 *27758:B2 0.000111243 -91 *2761:114 *3992:66 0.00108989 -92 *2768:50 *3992:53 0.00202264 -93 *2779:161 *3992:53 0.00114918 -94 *2784:57 *3992:11 3.50049e-05 -95 *2784:65 *3992:42 5.18967e-05 -96 *2784:67 *3992:42 0.0007571 -97 *2784:67 *3992:53 8.41284e-06 -98 *2784:67 *3992:54 0.000825588 -99 *2784:67 *3992:66 0.00144727 -100 *2794:122 *27758:B2 0.00015693 -101 *2794:122 *3992:66 0.00129042 -102 *2853:24 *3992:28 0.000133144 -103 *2856:137 *3992:42 0.000459204 -104 *2859:242 *3992:66 0.00010862 -105 *2865:31 *3992:11 9.80173e-05 -106 *2872:260 *3992:54 0.000263663 -107 *2874:32 *3992:25 8.27238e-06 -108 *2874:227 *3992:54 0.000247203 -109 *2882:206 *3992:54 0.00104075 -110 *2883:35 *3992:28 0.000125917 -111 *3197:52 *3992:54 4.17509e-05 -112 *3197:56 *3992:54 0.00115827 -113 *3227:8 *27758:B2 0.000884042 -114 *3227:8 *3992:66 1.17921e-05 -115 *3230:6 *27758:B2 4.21584e-05 -116 *3306:6 *25133:A1 0.000128146 -117 *3642:46 *3992:25 7.64454e-05 -118 *3783:110 *3992:28 0.000168679 -119 *3794:33 *3992:11 0.000145411 -120 *3797:53 *3992:25 6.05161e-06 -121 *3846:34 *3992:54 0.000334153 -122 *3846:34 *3992:66 1.43434e-05 -123 *3846:42 *3992:54 0.000864585 -124 *3855:21 *3992:28 0.0018455 -125 *3855:30 *3992:28 7.84494e-05 -126 *3894:36 *3992:28 0.00105523 -127 *3939:33 *3992:42 0.00038021 -128 *3963:109 *3992:54 0.000833542 -129 *3963:119 *3992:42 0.000924499 -130 *3987:21 *3992:25 0.00123554 -*RES -1 *28723:Q *3992:11 27.2286 -2 *3992:11 *3992:25 47.1786 -3 *3992:25 *3992:27 4.5 -4 *3992:27 *3992:28 61.75 -5 *3992:28 *3992:42 44.4554 -6 *3992:42 *3992:53 40.6582 -7 *3992:53 *3992:54 74.1964 -8 *3992:54 *3992:66 44.2981 -9 *3992:66 *27758:B2 49.7375 -10 *3992:28 *25133:A1 29.4429 -11 *3992:11 *29768:A 9.3 -*END - -*D_NET *3993 0.0852325 -*CONN -*I *29771:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25045:A1 I *D sky130_fd_sc_hd__a221o_2 -*I *27781:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28724:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29771:A 0.000291255 -2 *25045:A1 0.00029318 -3 *27781:B2 8.34634e-05 -4 *28724:Q 0 -5 *3993:32 0.000811495 -6 *3993:14 0.0168025 -7 *3993:13 0.0179113 -8 *3993:4 0.00141925 -9 *25045:A1 *25045:B1 0.000657361 -10 *27781:B2 *25054:B2 2.84269e-05 -11 *27781:B2 *5638:195 4.65519e-05 -12 *27781:B2 *5655:267 1.38323e-05 -13 *29771:A *27165:A0 7.8195e-05 -14 *3993:13 *28724:D 2.0657e-05 -15 *3993:13 *30833:A 0.000154025 -16 *3993:13 *5752:73 5.33005e-05 -17 *3993:14 *27784:B2 0.000113515 -18 *3993:14 *27803:B2 0.000104041 -19 *3993:14 *27835:B2 0.0001326 -20 *3993:14 *5642:126 0.00221294 -21 *3993:14 *5645:220 0.000884817 -22 *3993:14 *5647:18 0.000630461 -23 *3993:14 *5647:39 0.000418295 -24 *3993:14 *5647:41 0.000683692 -25 *3993:14 *5651:326 0.000277893 -26 *3993:14 *5656:240 0.00306539 -27 *3993:14 *5656:281 0.000130103 -28 *3993:14 *5660:23 0 -29 *3993:14 *5662:76 0.000292059 -30 *3993:14 *5662:97 0.000757761 -31 *3993:14 *5663:19 0.000118239 -32 *3993:14 *5676:80 0 -33 *3993:14 *5676:87 0 -34 *3993:14 *5680:176 0.00213341 -35 *3993:14 *5680:196 0.00157849 -36 *3993:14 *5682:180 0.00121744 -37 *3993:14 *5703:42 1.0359e-05 -38 *3993:14 *5714:13 0.000159968 -39 *3993:14 *5755:60 0.000102503 -40 *3993:14 *5755:192 0.00177557 -41 *3993:14 *5824:286 0.000104296 -42 *3993:14 *6005:32 0.000210182 -43 *3993:32 *25045:B1 0.000212701 -44 *3993:32 *27165:A0 6.95874e-05 -45 *3993:32 *28724:D 1.21258e-05 -46 *3993:32 *5602:41 0.000386494 -47 *3993:32 *5752:73 5.33005e-05 -48 *24983:C1 *3993:14 0.000173055 -49 *25045:A2 *25045:A1 1.55638e-05 -50 *25064:A2 *3993:14 0.00029945 -51 *27165:S *3993:13 0.00013209 -52 *27165:S *3993:32 0.000139975 -53 *27782:C1 *27781:B2 2.04825e-05 -54 *27803:C1 *3993:14 0.000176225 -55 *27807:C1 *3993:14 0.000169732 -56 *27833:B1 *27781:B2 8.30045e-05 -57 *29772:A *3993:32 0.000220094 -58 *1244:62 *25045:A1 0 -59 *1244:62 *3993:13 0 -60 *1244:62 *3993:32 0 -61 *1258:100 *3993:14 0.00109347 -62 *1262:224 *3993:14 0.000452462 -63 *1267:202 *3993:14 0.000738083 -64 *1304:39 *3993:14 0.000198363 -65 *1308:19 *3993:14 0.0169591 -66 *1346:8 *3993:14 0.000227808 -67 *1364:19 *3993:14 0 -68 *1367:46 *3993:14 0.000521641 -69 *2790:8 *3993:14 0.00052563 -70 *2844:399 *3993:14 0 -71 *2855:310 *3993:14 5.53207e-05 -72 *2872:224 *3993:14 0 -73 *2872:336 *3993:14 0 -74 *2895:281 *3993:14 0 -75 *3164:287 *3993:14 0.000382651 -76 *3165:74 *3993:14 0.000114602 -77 *3177:24 *3993:14 0.000394016 -78 *3177:35 *3993:14 0.000209711 -79 *3177:36 *3993:14 0.000108561 -80 *3177:61 *3993:14 0.000108426 -81 *3179:42 *3993:14 0.000333817 -82 *3179:66 *3993:14 0 -83 *3206:236 *3993:14 0.000343019 -84 *3206:249 *3993:14 0.000259096 -85 *3206:293 *27781:B2 4.8817e-05 -86 *3798:98 *3993:14 0.00230395 -87 *3799:36 *3993:14 0.000208335 -88 *3800:27 *3993:14 0.000106304 -89 *3800:64 *3993:14 0.000181535 -90 *3811:24 *27781:B2 5.36889e-05 -91 *3826:49 *3993:14 0.000146966 -92 *3826:52 *3993:14 0.000302296 -93 *3876:38 *3993:14 0.000602948 -94 *3877:23 *3993:14 0.000146966 -95 *3889:79 *25045:A1 0.000121342 -96 *3980:20 *3993:14 4.09079e-05 -*RES -1 *28724:Q *3993:4 9.3 -2 *3993:4 *3993:13 15.6071 -3 *3993:13 *3993:14 60.8775 -4 *3993:14 *27781:B2 22.1762 -5 *3993:4 *3993:32 12.75 -6 *3993:32 *25045:A1 21.5679 -7 *3993:32 *29771:A 18.4429 -*END - -*D_NET *3994 0.00519799 -*CONN -*I *27802:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25023:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *29621:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28725:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27802:A1 3.20174e-05 -2 *25023:A1 0.000361906 -3 *29621:A 3.59657e-05 -4 *28725:Q 0.000649375 -5 *3994:27 0.000705288 -6 *3994:13 0.000996705 -7 *25023:A1 *5663:22 0 -8 *3994:13 *27106:S 5.49544e-05 -9 *3994:13 *28725:D 0.00021856 -10 *3994:13 *5642:27 0.000385508 -11 *3994:13 *5660:23 0 -12 *3994:27 *5642:27 2.72449e-05 -13 *25023:A2 *25023:A1 3.28686e-05 -14 *25023:A2 *3994:27 0.000349509 -15 *1244:71 *29621:A 1.58163e-05 -16 *1244:71 *3994:13 1.83136e-05 -17 *1304:40 *3994:27 0.000400304 -18 *1347:19 *25023:A1 0 -19 *3348:17 *25023:A1 0.000311121 -20 *3773:19 *27802:A1 1.98839e-05 -21 *3773:19 *3994:27 4.48647e-05 -22 *3773:24 *3994:27 0.000182598 -23 *3982:46 *25023:A1 0.000355192 -24 *3982:46 *3994:13 0 -*RES -1 *28725:Q *3994:13 29.1929 -2 *3994:13 *29621:A 9.83571 -3 *3994:13 *3994:27 17.3036 -4 *3994:27 *25023:A1 26.4071 -5 *3994:27 *27802:A1 9.83571 -*END - -*D_NET *3995 0.0781808 -*CONN -*I *30290:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27832:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *24984:A1 I *D sky130_fd_sc_hd__a211o_1 -*I *28726:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *30290:A 0 -2 *27832:B2 0.00118825 -3 *24984:A1 0 -4 *28726:Q 0.000147409 -5 *3995:62 0.00211576 -6 *3995:58 0.00387388 -7 *3995:44 0.00657563 -8 *3995:43 0.00362925 -9 *3995:41 0.00134343 -10 *3995:32 0.00195243 -11 *3995:26 0.00166878 -12 *3995:14 0.00119999 -13 *3995:9 0.000287617 -14 *27832:B2 *25054:A1 2.09826e-05 -15 *27832:B2 *27833:A1 8.6229e-06 -16 *27832:B2 *28679:D 9.18765e-06 -17 *27832:B2 *4058:23 0.000379602 -18 *27832:B2 *4222:21 0.000161013 -19 *27832:B2 *5643:203 6.09762e-05 -20 *27832:B2 *5703:21 0.00132705 -21 *27832:B2 *5863:132 0.000210366 -22 *3995:9 *27167:A0 0.000304387 -23 *3995:9 *5536:29 0.000173083 -24 *3995:9 *5675:132 0.000347174 -25 *3995:9 *5707:260 1.98839e-05 -26 *3995:14 *5459:12 0.00041172 -27 *3995:14 *5602:41 0.000211279 -28 *3995:26 *25632:A0 4.53515e-05 -29 *3995:26 *4007:6 0.00181154 -30 *3995:26 *5602:41 8.68127e-05 -31 *3995:26 *5602:52 8.41284e-06 -32 *3995:26 *5625:15 0.000136958 -33 *3995:26 *5667:271 8.22095e-05 -34 *3995:26 *5678:129 0 -35 *3995:26 *5678:158 0.000496623 -36 *3995:32 *28765:D 0.000135405 -37 *3995:32 *4007:6 5.20781e-05 -38 *3995:32 *4046:38 6.42338e-05 -39 *3995:32 *5602:52 0.00021081 -40 *3995:32 *5678:113 5.96516e-05 -41 *3995:32 *5678:129 6.05161e-06 -42 *3995:32 *5873:91 0.000711064 -43 *3995:41 *25030:A1 0.000392701 -44 *3995:41 *29713:A 0.000127439 -45 *3995:41 *5467:18 9.32314e-05 -46 *3995:41 *5492:22 0.00251413 -47 *3995:41 *5628:45 0.000726235 -48 *3995:41 *5873:91 0.000132491 -49 *3995:44 *25030:A1 2.02794e-05 -50 *3995:44 *4108:36 0.000413102 -51 *3995:44 *5628:67 0.00665632 -52 *3995:44 *5642:80 5.62613e-05 -53 *3995:44 *5642:96 0.00241643 -54 *3995:44 *5642:126 0.000293272 -55 *3995:44 *5662:36 1.62494e-05 -56 *3995:44 *5662:43 5.90443e-06 -57 *3995:44 *5872:25 0.0006039 -58 *3995:44 *5872:26 0.000115584 -59 *3995:44 *5873:59 0.000626042 -60 *3995:44 *5873:60 0.000135968 -61 *3995:44 *5873:70 0.00127555 -62 *3995:44 *5873:74 7.23902e-05 -63 *3995:44 *5910:107 0.000121549 -64 *3995:44 *5910:114 0.00322564 -65 *3995:58 *27784:B2 1.17968e-05 -66 *3995:58 *4125:13 0.000555788 -67 *3995:58 *4125:23 0.00013087 -68 *3995:58 *5386:12 0 -69 *3995:58 *5589:123 0.000422328 -70 *3995:58 *5628:98 0.000577755 -71 *3995:58 *5643:203 4.36481e-05 -72 *3995:58 *5652:184 1.50738e-05 -73 *3995:58 *5824:286 1.8995e-06 -74 *3995:58 *5847:43 0.00057886 -75 *3995:58 *5892:39 0.000160526 -76 *3995:62 *27790:A1 9.72196e-05 -77 *3995:62 *29617:A 0.0001419 -78 *3995:62 *5643:203 0.000243583 -79 *3995:62 *5682:197 0.000430254 -80 *3995:62 *5863:132 0.000336682 -81 *24980:B1 *3995:32 0.000111353 -82 *24984:A2 *3995:14 9.54798e-06 -83 *24990:B1 *3995:44 0.001224 -84 *24992:C1 *3995:44 0.000263688 -85 *24995:A *3995:44 0.001072 -86 *27426:A1 *3995:58 0.000248265 -87 *27833:C1 *27832:B2 0.000187368 -88 *27837:C1 *3995:44 0.00233874 -89 *27840:A *3995:44 0.000122814 -90 *29069:A *27832:B2 0.0001901 -91 *30488:A *3995:32 0.000307622 -92 *1260:161 *3995:58 0.000119061 -93 *1290:201 *3995:41 0.000492972 -94 *1294:193 *3995:44 0.000205332 -95 *1304:6 *27832:B2 2.35185e-05 -96 *1304:6 *3995:58 0.000641582 -97 *1304:6 *3995:62 0.000686327 -98 *1307:23 *3995:26 1.97695e-05 -99 *1317:29 *3995:26 0.00176219 -100 *1317:30 *3995:26 0.000133079 -101 *1318:14 *3995:44 8.6292e-05 -102 *1321:11 *3995:44 0.000148911 -103 *1342:14 *3995:9 0.000173083 -104 *1354:16 *3995:44 0.000699484 -105 *1367:18 *3995:32 0.000291558 -106 *1367:18 *3995:41 8.55e-05 -107 *1367:22 *3995:41 0.00250374 -108 *1367:22 *3995:44 5.52505e-05 -109 *1367:43 *3995:44 0.00132852 -110 *1371:51 *3995:58 0.000568824 -111 *2771:22 *3995:58 0.000907531 -112 *2985:14 *3995:58 0.000326 -113 *3177:175 *3995:44 1.90936e-05 -114 *3179:238 *3995:58 1.80827e-05 -115 *3179:244 *3995:58 0.000248873 -116 *3335:14 *3995:62 0.000853833 -117 *3352:10 *3995:26 0.0010498 -118 *3378:7 *27832:B2 0.000178847 -119 *3378:24 *3995:58 0.000110841 -120 *3385:11 *3995:44 2.03074e-05 -121 *3733:31 *27832:B2 0.000418987 -122 *3773:19 *3995:32 0.000136682 -123 *3889:31 *3995:58 0.00163424 -124 *3890:24 *3995:41 0.000181254 -125 *3890:47 *3995:44 0.000197964 -126 *3991:72 *27832:B2 0.000454148 -*RES -1 *28726:Q *3995:9 23.9607 -2 *3995:9 *3995:14 14.2857 -3 *3995:14 *24984:A1 9.3 -4 *3995:14 *3995:26 48.8571 -5 *3995:26 *3995:32 23.6696 -6 *3995:32 *3995:41 48.9618 -7 *3995:41 *3995:43 3.41 -8 *3995:43 *3995:44 148.875 -9 *3995:44 *3995:58 32.0597 -10 *3995:58 *3995:62 25.5536 -11 *3995:62 *27832:B2 45.2777 -12 *3995:9 *30290:A 9.3 -*END - -*D_NET *3996 0.0167496 -*CONN -*I *25391:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27857:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29377:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28390:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25391:A1 0.000723461 -2 *27857:B2 6.78751e-05 -3 *29377:A 1.69531e-05 -4 *28390:Q 0.000599824 -5 *3996:21 0.00171216 -6 *3996:19 0.00217726 -7 *3996:8 0.00187321 -8 *25391:A1 *27905:B1 0 -9 *25391:A1 *4074:59 1.03105e-05 -10 *27857:B2 *27905:B1 1.74719e-05 -11 *29377:A *5354:17 5.33005e-05 -12 *3996:8 *28808:RESET_B 0.000332075 -13 *3996:8 *5040:13 0 -14 *3996:8 *5506:24 7.48409e-06 -15 *3996:8 *5705:169 0.000132938 -16 *3996:8 *5737:164 5.42764e-05 -17 *3996:8 *6338:23 0 -18 *3996:19 *25385:B2 0 -19 *3996:19 *26854:A1 0.000465678 -20 *3996:19 *28394:RESET_B 0.000510328 -21 *3996:19 *29148:A 6.87975e-06 -22 *3996:19 *4201:10 0.000436574 -23 *3996:19 *5599:115 0 -24 *3996:19 *5622:74 0 -25 *3996:21 *5655:65 0 -26 *25161:B1 *3996:21 0.000144131 -27 *25385:B1 *3996:19 0 -28 *25391:A2 *25391:A1 5.92421e-05 -29 *25391:B1 *25391:A1 0.000176018 -30 *25391:B2 *25391:A1 1.11453e-05 -31 *25954:A *3996:19 0.000108472 -32 *27857:C1 *27857:B2 5.49544e-05 -33 *27858:C1 *27857:B2 1.61106e-05 -34 *28397:CLK *3996:21 0 -35 *30240:A *3996:8 0.00049441 -36 *1141:20 *3996:8 0.000108516 -37 *1141:20 *3996:19 0.000691227 -38 *1227:68 *3996:8 1.09732e-05 -39 *1294:30 *3996:8 0 -40 *1294:39 *3996:8 9.22222e-06 -41 *1328:46 *3996:19 0.00126201 -42 *1328:46 *3996:21 7.58546e-05 -43 *1328:50 *25391:A1 0.000591971 -44 *1328:50 *3996:21 0.001264 -45 *1455:55 *3996:21 0.000106775 -46 *1587:36 *3996:21 0.000186782 -47 *1657:19 *25391:A1 0 -48 *1657:19 *3996:21 0 -49 *1657:40 *25391:A1 0.000159118 -50 *1659:10 *3996:8 0.000921478 -51 *1659:10 *3996:19 2.24646e-05 -52 *1659:18 *3996:19 0.000259643 -53 *2798:68 *29377:A 5.33005e-05 -54 *2855:32 *25391:A1 1.82463e-05 -55 *2855:39 *25391:A1 9.66977e-05 -56 *3146:17 *3996:8 2.84109e-05 -57 *3156:59 *25391:A1 1.01912e-05 -58 *3206:48 *25391:A1 7.69776e-06 -59 *3214:36 *25391:A1 1.18544e-05 -60 *3648:75 *3996:8 0.000132016 -61 *3791:148 *3996:8 0.000334836 -62 *3845:31 *3996:19 0.000123739 -63 *3875:63 *25391:A1 0 -64 *3986:26 *3996:19 0 -*RES -1 *28390:Q *3996:8 32.7286 -2 *3996:8 *29377:A 14.3357 -3 *3996:8 *3996:19 32.2411 -4 *3996:19 *3996:21 19.2411 -5 *3996:21 *27857:B2 15.1795 -6 *3996:21 *25391:A1 27.9071 -*END - -*D_NET *3997 0.0111056 -*CONN -*I *27882:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25289:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *29645:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28391:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27882:B2 0.000804664 -2 *25289:A1 0 -3 *29645:A 0 -4 *28391:Q 0.000131516 -5 *3997:19 0.0024217 -6 *3997:6 0.00174855 -7 *27882:B2 *25099:A1 0 -8 *27882:B2 *25889:A0 1.58163e-05 -9 *27882:B2 *5583:71 0.000467643 -10 *3997:19 *5713:130 0.000616064 -11 *3997:19 *5713:132 4.66511e-05 -12 *3997:19 *5713:146 0.000532021 -13 *25099:B1 *27882:B2 0.000226792 -14 *25289:B2 *3997:19 8.43894e-06 -15 *25890:S *27882:B2 6.60111e-05 -16 *27882:C1 *27882:B2 0.000137561 -17 *28344:CLK *3997:19 0.000143488 -18 *1254:144 *27882:B2 0.00104499 -19 *1254:144 *3997:19 0.000102403 -20 *1271:104 *27882:B2 2.78756e-05 -21 *1607:7 *3997:19 5.49489e-05 -22 *2780:61 *27882:B2 4.11173e-05 -23 *2780:72 *27882:B2 0 -24 *2864:28 *27882:B2 7.35949e-05 -25 *2865:31 *3997:19 6.05161e-06 -26 *3212:21 *3997:19 2.78848e-05 -27 *3640:29 *3997:19 0.000286624 -28 *3794:33 *27882:B2 3.92708e-05 -29 *3794:33 *3997:19 3.61707e-05 -30 *3894:30 *3997:6 0.000170264 -31 *3894:30 *3997:19 0.000101391 -32 *3987:21 *3997:6 0.000335039 -33 *3987:21 *3997:19 0.00139105 -*RES -1 *28391:Q *3997:6 18.175 -2 *3997:6 *29645:A 13.8 -3 *3997:6 *3997:19 41.0179 -4 *3997:19 *25289:A1 13.8 -5 *3997:19 *27882:B2 33.0902 -*END - -*D_NET *3998 0.0619209 -*CONN -*I *29139:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25380:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27635:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28727:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *29139:A 0.00034316 -2 *25380:A1 8.53353e-05 -3 *27635:B2 0.00100282 -4 *28727:Q 3.35578e-05 -5 *3998:50 0.00325552 -6 *3998:48 0.00228836 -7 *3998:46 0.00181583 -8 *3998:44 0.00264524 -9 *3998:41 0.00180697 -10 *3998:25 0.00179839 -11 *3998:17 0.00211092 -12 *3998:13 0.00300496 -13 *3998:7 0.00204192 -14 *25380:A1 *5589:74 1.08359e-05 -15 *25380:A1 *5647:159 6.81083e-05 -16 *27635:B2 *4052:36 2.0587e-05 -17 *27635:B2 *5483:151 0.000684264 -18 *27635:B2 *5583:58 1.90936e-05 -19 *27635:B2 *5583:88 0.000131994 -20 *27635:B2 *5600:14 3.03567e-05 -21 *27635:B2 *5936:70 0.000633605 -22 *29139:A *27169:A1 0.000138253 -23 *29139:A *28993:A 0.00035074 -24 *29139:A *4037:18 0 -25 *29139:A *5588:8 0.000211336 -26 *3998:13 *27169:A0 0.000123594 -27 *3998:13 *27610:A1 0.000136023 -28 *3998:13 *28498:D 7.55747e-05 -29 *3998:13 *28502:D 9.96553e-05 -30 *3998:13 *28744:D 0 -31 *3998:13 *4037:18 0.000124597 -32 *3998:13 *5588:8 0.00107981 -33 *3998:13 *5729:188 5.33005e-05 -34 *3998:13 *5769:32 0.000103275 -35 *3998:13 *5910:16 0.00027032 -36 *3998:17 *28570:D 0.000146828 -37 *3998:17 *28598:D 0.000141944 -38 *3998:17 *5589:74 0.00114654 -39 *3998:17 *5647:159 0.000232041 -40 *3998:17 *5725:24 0.00060013 -41 *3998:17 *5729:188 0.000233528 -42 *3998:17 *5729:193 0.000380859 -43 *3998:17 *5777:82 0.00112638 -44 *3998:25 *25085:A1 8.8731e-06 -45 *3998:25 *5584:162 4.58194e-05 -46 *3998:25 *5584:163 0.000188326 -47 *3998:25 *5589:74 0.00119319 -48 *3998:25 *5777:53 0.000624319 -49 *3998:25 *5777:59 0.000890737 -50 *3998:41 *5605:151 3.97677e-05 -51 *3998:41 *5777:53 0.000465755 -52 *3998:44 *28651:D 0.000220448 -53 *3998:46 *24960:A 2.11419e-05 -54 *3998:46 *28654:D 1.94879e-05 -55 *3998:46 *4952:14 0.000272368 -56 *3998:50 *25221:A1 0.000444797 -57 *3998:50 *5582:10 0.000125724 -58 *3998:50 *5584:20 0.00011333 -59 *3998:50 *5584:103 0.000785751 -60 *3998:50 *5585:47 0.000108912 -61 *3998:50 *5630:52 0.000122942 -62 *3998:50 *5936:111 5.6387e-05 -63 *25085:C1 *3998:41 0.000144933 -64 *25093:B2 *3998:44 0.000213657 -65 *25141:A2 *3998:25 0.000164505 -66 *25222:C1 *27635:B2 5.88363e-05 -67 *25222:C1 *3998:50 0.000203489 -68 *25257:A *3998:44 7.56124e-06 -69 *25257:A *3998:46 0.000711433 -70 *25291:A2 *3998:50 2.11419e-05 -71 *25343:A1 *3998:50 0.000254225 -72 *25380:A2 *3998:13 5.97671e-05 -73 *25676:B *3998:13 6.70597e-05 -74 *25677:A1 *3998:17 0.0003335 -75 *27408:A2 *3998:17 0.000136958 -76 *27749:A1 *3998:41 0.000212912 -77 *28682:CLK *3998:13 0.000168733 -78 *30238:A *3998:46 4.22135e-06 -79 *30261:A *3998:17 0.00012501 -80 *1256:89 *3998:46 1.93327e-05 -81 *1256:96 *3998:44 0.000110498 -82 *1256:135 *3998:25 0.000256531 -83 *1256:135 *3998:41 9.08128e-05 -84 *1260:73 *3998:46 0.00146479 -85 *1261:11 *3998:46 0.000143883 -86 *1265:95 *3998:46 0 -87 *1265:112 *3998:46 0.000376101 -88 *1281:103 *3998:50 0.00011994 -89 *1406:24 *3998:44 0.000169189 -90 *1406:24 *3998:46 0.000278446 -91 *1454:16 *3998:50 0.00124841 -92 *1562:33 *3998:41 1.82029e-05 -93 *1562:45 *3998:44 6.16941e-05 -94 *1700:6 *3998:41 0.00214852 -95 *1700:16 *3998:44 9.32656e-05 -96 *1700:16 *3998:46 0.000823429 -97 *1700:20 *3998:46 0.000204846 -98 *1700:24 *3998:50 0.00352237 -99 *1700:37 *3998:50 0.000282258 -100 *1700:44 *27635:B2 0.00083095 -101 *2771:111 *3998:44 0.0020594 -102 *2775:160 *3998:46 0.000107673 -103 *2775:173 *3998:46 4.36671e-05 -104 *2775:174 *3998:46 0.00140295 -105 *2775:174 *3998:50 0.000918209 -106 *2775:187 *3998:50 0.00140559 -107 *2786:156 *3998:7 1.40306e-05 -108 *2792:26 *25380:A1 5.10822e-05 -109 *2792:26 *3998:17 1.08359e-05 -110 *2851:30 *3998:50 7.71596e-05 -111 *2864:328 *3998:17 6.57032e-05 -112 *2874:249 *3998:46 6.74324e-05 -113 *3183:60 *27635:B2 2.84269e-05 -114 *3215:218 *3998:44 0.000426561 -115 *3600:35 *3998:25 0.000169444 -116 *3749:113 *3998:50 4.12023e-05 -117 *3768:19 *3998:46 0.000889696 -118 *3834:24 *27635:B2 0.000115279 -119 *3834:33 *27635:B2 0.000909139 -120 *3867:80 *27635:B2 4.70264e-05 -121 *3867:80 *3998:50 5.15107e-05 -122 *3940:28 *3998:41 0.000736473 -123 *3940:28 *3998:44 0.000752035 -124 *3987:58 *27635:B2 2.43589e-05 -*RES -1 *28727:Q *3998:7 14.3357 -2 *3998:7 *3998:13 45.3571 -3 *3998:13 *3998:17 45.75 -4 *3998:17 *3998:25 39.1607 -5 *3998:25 *3998:41 39.2679 -6 *3998:41 *3998:44 28.0089 -7 *3998:44 *3998:46 52.6161 -8 *3998:46 *3998:48 0.535714 -9 *3998:48 *3998:50 74.3482 -10 *3998:50 *27635:B2 42.2643 -11 *3998:13 *25380:A1 15.675 -12 *3998:7 *29139:A 22.4964 -*END - -*D_NET *3999 0.00960432 -*CONN -*I *25262:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27902:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30312:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28382:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *25262:B2 0.000347852 -2 *27902:B2 0.000251735 -3 *30312:A 0 -4 *28382:Q 0.000258043 -5 *3999:17 0.00180098 -6 *3999:8 0.00145944 -7 *25262:B2 *5442:27 0.000860574 -8 *25262:B2 *5619:31 9.60939e-05 -9 *27902:B2 *4139:53 0.000145854 -10 *27902:B2 *5442:27 9.41301e-05 -11 *27902:B2 *5581:55 4.26759e-05 -12 *3999:8 *28382:SET_B 2.09184e-05 -13 *3999:17 *29265:A 1.90936e-05 -14 *3999:17 *29509:A 0 -15 *3999:17 *5491:42 0.000138708 -16 *3999:17 *5491:44 0 -17 *25409:A2 *3999:17 0.000329744 -18 *25409:A3 *3999:17 1.08359e-05 -19 *1239:19 *3999:17 0.000745975 -20 *1252:21 *3999:17 0.000379646 -21 *1407:58 *27902:B2 2.06178e-05 -22 *1452:9 *25262:B2 8.36075e-05 -23 *1452:27 *3999:17 8.19176e-05 -24 *1481:78 *25262:B2 0.000548732 -25 *1500:10 *3999:17 7.83587e-05 -26 *1591:11 *3999:17 0.000199085 -27 *1624:43 *3999:8 8.13895e-06 -28 *1714:23 *3999:8 0.000106325 -29 *1725:8 *27902:B2 4.6387e-05 -30 *3183:98 *25262:B2 0.000166449 -31 *3183:98 *27902:B2 4.13496e-05 -32 *3183:103 *27902:B2 1.19096e-05 -33 *3444:13 *27902:B2 7.43174e-05 -34 *3805:47 *3999:8 0 -35 *3805:47 *3999:17 0 -36 *3820:38 *3999:8 5.24083e-05 -37 *3820:38 *3999:17 0.000663396 -38 *3884:112 *27902:B2 0.00041903 -*RES -1 *28382:Q *3999:8 17.4161 -2 *3999:8 *30312:A 13.8 -3 *3999:8 *3999:17 33.7679 -4 *3999:17 *27902:B2 28.3156 -5 *3999:17 *25262:B2 20.2464 -*END - -*D_NET *4000 0.00915435 -*CONN -*I *27928:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25180:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30039:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28383:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27928:B2 0.000425919 -2 *25180:B2 0.000392733 -3 *30039:A 0.000173019 -4 *28383:Q 0.000543767 -5 *4000:17 0.000918387 -6 *4000:8 0.000816522 -7 *25180:B2 *25936:B 9.58181e-05 -8 *25180:B2 *5052:36 0.000254706 -9 *25180:B2 *5500:44 0.000178425 -10 *25180:B2 *5500:55 8.6229e-06 -11 *27928:B2 *29394:A 8.39781e-05 -12 *27928:B2 *4381:19 0.000842626 -13 *27928:B2 *5711:67 7.7757e-05 -14 *30039:A *25398:B2 0.000261801 -15 *4000:8 *6225:48 3.67239e-05 -16 *4000:17 *4381:19 8.90144e-05 -17 *25132:B1 *4000:8 0.000125917 -18 *25180:B1 *25180:B2 0.000178425 -19 *25398:A1 *30039:A 0.000231912 -20 *27918:B1_N *4000:8 0.000364916 -21 *458:10 *27928:B2 0.000342347 -22 *458:10 *4000:8 0.000467584 -23 *458:10 *4000:17 0.000205676 -24 *459:10 *25180:B2 0.000166183 -25 *1140:10 *27928:B2 1.50225e-05 -26 *1257:99 *4000:8 0.00022857 -27 *1257:99 *4000:17 7.58667e-05 -28 *1294:77 *25180:B2 7.79339e-05 -29 *1471:37 *30039:A 0.000327006 -30 *1624:55 *27928:B2 0.000288587 -31 *1898:10 *4000:8 9.55323e-06 -32 *1898:17 *4000:8 2.30116e-06 -33 *3459:23 *25180:B2 0.000319121 -34 *3663:42 *4000:17 1.721e-05 -35 *3801:109 *25180:B2 0.000510402 -*RES -1 *28383:Q *4000:8 24.1214 -2 *4000:8 *30039:A 18.8536 -3 *4000:8 *4000:17 2.85714 -4 *4000:17 *25180:B2 34.3357 -5 *4000:17 *27928:B2 26.5545 -*END - -*D_NET *4001 0.0106463 -*CONN -*I *25161:B2 I *D sky130_fd_sc_hd__a221o_2 -*I *27963:A1 I *D sky130_fd_sc_hd__a221o_2 -*I *29536:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28384:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25161:B2 0.000557656 -2 *27963:A1 4.90882e-05 -3 *29536:A 0.000235356 -4 *28384:Q 0.000116615 -5 *4001:27 0.00211199 -6 *4001:8 0.00185721 -7 *25161:B2 *5624:28 0.000191058 -8 *29536:A *25941:A0 2.89016e-05 -9 *4001:8 *5624:58 0.000229339 -10 *4001:27 *25136:B 1.46883e-05 -11 *4001:27 *25160:A 6.77187e-05 -12 *4001:27 *5581:46 0.000170654 -13 *4001:27 *5618:139 7.41814e-05 -14 *4001:27 *5624:28 0.000500188 -15 *4001:27 *5624:58 0.000170751 -16 *4001:27 *5666:219 0.00060918 -17 *4001:27 *5666:231 0.000614019 -18 *4001:27 *5711:86 0.000318029 -19 *4001:27 *5711:153 4.23907e-05 -20 *25161:B1 *25161:B2 3.51393e-05 -21 *25404:B1 *29536:A 0.000318579 -22 *25404:B2 *29536:A 4.11725e-05 -23 *25404:B2 *4001:27 6.23674e-05 -24 *28448:CLK *4001:27 8.92099e-05 -25 *451:12 *29536:A 0.000387899 -26 *451:12 *4001:8 0.000169797 -27 *1327:98 *4001:27 2.89977e-05 -28 *1457:15 *4001:27 0 -29 *1457:99 *4001:27 0 -30 *1471:121 *4001:27 0 -31 *1480:35 *25161:B2 0.00024152 -32 *1481:78 *4001:27 4.83426e-05 -33 *1629:14 *25161:B2 8.69449e-05 -34 *1629:14 *4001:27 0.000341582 -35 *2755:11 *4001:27 0.000114218 -36 *2850:50 *25161:B2 9.24402e-05 -37 *2850:54 *25161:B2 8.93791e-05 -38 *2856:48 *4001:27 1.94879e-05 -39 *3158:43 *4001:27 9.60808e-05 -40 *3216:55 *27963:A1 6.7e-06 -41 *3459:23 *4001:27 0.000136599 -42 *3557:15 *25161:B2 0.000177545 -43 *3820:38 *29536:A 5.33005e-05 -44 *3854:10 *4001:27 5.00234e-05 -*RES -1 *28384:Q *4001:8 17.4518 -2 *4001:8 *29536:A 20.0768 -3 *4001:8 *4001:27 35.4018 -4 *4001:27 *27963:A1 14.6705 -5 *4001:27 *25161:B2 25.0321 -*END - -*D_NET *4002 0.0115046 -*CONN -*I *30458:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25314:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27679:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28728:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *30458:A 0 -2 *25314:A1 0 -3 *27679:B2 0.000343385 -4 *28728:Q 7.6772e-05 -5 *4002:20 0.000690336 -6 *4002:18 0.00175283 -7 *4002:5 0.00148265 -8 *27679:B2 *4017:56 0.000204854 -9 *27679:B2 *5590:131 0 -10 *27679:B2 *5641:243 9.48994e-05 -11 *27679:B2 *5649:220 2.30116e-06 -12 *4002:5 *27170:A1 0.000286938 -13 *4002:5 *5584:178 0.000148887 -14 *4002:18 *25628:A0 6.78781e-05 -15 *4002:18 *25628:A1 0.000305951 -16 *4002:18 *28524:D 0.00017039 -17 *4002:18 *4054:8 0.00245486 -18 *4002:18 *4132:33 0.000147837 -19 *4002:20 *26940:A1 0.000149904 -20 *4002:20 *4054:8 0.000220021 -21 *25314:A2 *27679:B2 3.27359e-05 -22 *25314:A2 *4002:20 0.000171412 -23 *25314:B1 *27679:B2 0.000358179 -24 *27679:C1 *27679:B2 2.26973e-05 -25 *28572:CLK *4002:18 0.000740811 -26 *28628:CLK *27679:B2 9.21418e-06 -27 *28628:CLK *4002:20 0.000168583 -28 *29278:A *4002:18 0.000150281 -29 *1286:85 *4002:18 0 -30 *2761:80 *27679:B2 0.000108045 -31 *2788:97 *4002:18 0 -32 *3581:138 *4002:20 1.94945e-05 -33 *3599:16 *4002:18 0.000301675 -34 *3599:22 *4002:18 3.85632e-05 -35 *3599:22 *4002:20 0.000221227 -36 *3601:8 *4002:18 0.00016853 -37 *3601:10 *4002:18 0.000372981 -38 *3822:104 *27679:B2 1.94945e-05 -*RES -1 *28728:Q *4002:5 12.0946 -2 *4002:5 *4002:18 44.4196 -3 *4002:18 *4002:20 10.1518 -4 *4002:20 *27679:B2 26.4954 -5 *4002:20 *25314:A1 13.8 -6 *4002:5 *30458:A 9.3 -*END - -*D_NET *4003 0.0327344 -*CONN -*I *29226:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27703:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25240:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28729:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *29226:A 0.000333424 -2 *27703:B2 0.000300142 -3 *25240:B2 0.00285672 -4 *28729:Q 7.70325e-05 -5 *4003:30 0.00414167 -6 *4003:27 0.0016962 -7 *4003:20 0.00184039 -8 *4003:8 0.00194598 -9 *4003:7 0.00062715 -10 *25240:B2 *25240:A1 8.25843e-06 -11 *25240:B2 *27059:A1 0.000280996 -12 *25240:B2 *4133:32 7.69776e-06 -13 *25240:B2 *5764:106 0.000404933 -14 *25240:B2 *5764:120 0.000253646 -15 *27703:B2 *27198:A1 3.2687e-05 -16 *27703:B2 *27703:A1 6.95005e-06 -17 *27703:B2 *27703:B1 5.09596e-06 -18 *29226:A *4080:22 3.32442e-05 -19 *29226:A *5639:229 0.00012401 -20 *4003:7 *26940:A1 9.41642e-05 -21 *4003:8 *4080:22 0.000124916 -22 *4003:20 *28675:D 9.41642e-05 -23 *4003:20 *4054:17 0.000216028 -24 *4003:20 *5655:217 0.000236182 -25 *4003:20 *5701:169 0.00029715 -26 *4003:27 *27012:A0 1.37631e-05 -27 *4003:27 *5645:136 2.66789e-06 -28 *4003:27 *5702:38 1.45467e-05 -29 *4003:30 *5629:166 1.18451e-05 -30 *25240:A2 *25240:B2 7.14018e-05 -31 *25240:B1 *25240:B2 3.4796e-05 -32 *27198:S *27703:B2 0.000140933 -33 *27340:B1 *4003:30 9.56706e-05 -34 *27703:C1 *27703:B2 0.000175538 -35 *28492:CLK *4003:30 0.000904749 -36 *28851:A *25240:B2 0.000249844 -37 *29210:A *4003:20 0.000297498 -38 *29223:A *29226:A 0.000415546 -39 *29223:A *4003:8 7.6644e-05 -40 *30203:A *25240:B2 9.58126e-05 -41 *1258:49 *4003:20 5.41161e-05 -42 *1267:65 *4003:30 6.53083e-05 -43 *1272:113 *4003:20 0.000327552 -44 *1287:184 *25240:B2 0.000264276 -45 *1288:127 *29226:A 0.000426985 -46 *1361:114 *29226:A 0.000426985 -47 *1519:26 *4003:20 0.000275965 -48 *1559:10 *25240:B2 0.000242068 -49 *2759:111 *4003:20 0.000372384 -50 *2759:111 *4003:27 2.17739e-05 -51 *2761:168 *4003:30 2.06112e-05 -52 *2773:118 *4003:20 0.00012746 -53 *2773:139 *4003:8 0.000195199 -54 *2773:139 *4003:20 0.000191914 -55 *2774:99 *25240:B2 0.000156145 -56 *2775:160 *25240:B2 0.000751202 -57 *2775:173 *25240:B2 2.35215e-05 -58 *2794:122 *4003:20 0.000862746 -59 *2848:282 *4003:27 0.000541143 -60 *2855:198 *4003:27 0 -61 *2875:302 *25240:B2 0.000415006 -62 *2886:258 *25240:B2 4.65519e-05 -63 *2886:295 *25240:B2 8.25843e-06 -64 *2889:65 *25240:B2 0.000116504 -65 *2904:11 *4003:30 0.000549689 -66 *3230:6 *4003:20 0.0007664 -67 *3233:13 *4003:30 0.000239542 -68 *3598:18 *4003:20 4.53834e-05 -69 *3598:43 *4003:20 0.000584746 -70 *3598:75 *4003:30 0.000415616 -71 *3598:77 *4003:30 0.000383082 -72 *3758:41 *25240:B2 4.27842e-06 -73 *3823:17 *25240:B2 0.000180351 -74 *3846:8 *29226:A 0.000609386 -75 *3846:8 *4003:8 0.000541704 -76 *3846:8 *4003:20 0.000524101 -77 *3886:79 *4003:30 0.00331635 -*RES -1 *28729:Q *4003:7 14.7464 -2 *4003:7 *4003:8 7.10714 -3 *4003:8 *4003:20 49.9911 -4 *4003:20 *4003:27 8.26751 -5 *4003:27 *4003:30 47.2493 -6 *4003:30 *25240:B2 32.7851 -7 *4003:8 *27703:B2 18.8759 -8 *4003:7 *29226:A 26.05 -*END - -*D_NET *4004 0.0141567 -*CONN -*I *29966:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24825:A I *D sky130_fd_sc_hd__inv_2 -*I *25193:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27723:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28730:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29966:A 0.000864893 -2 *24825:A 0 -3 *25193:B2 2.04426e-05 -4 *27723:A1 0.000224153 -5 *28730:Q 0 -6 *4004:46 0.00160255 -7 *4004:16 0.00149555 -8 *4004:4 0.00198862 -9 *27723:A1 *4134:6 0.000316448 -10 *29966:A *27201:A0 0.000426345 -11 *29966:A *28536:RESET_B 0.000130739 -12 *29966:A *29421:A 0.000650934 -13 *29966:A *4137:14 0.000137983 -14 *29966:A *4817:18 2.07158e-05 -15 *29966:A *5682:264 0.000312222 -16 *29966:A *5788:18 1.94879e-05 -17 *29966:A *6218:16 3.6994e-05 -18 *29966:A *6270:11 0.000155542 -19 *29966:A *6279:29 4.18895e-05 -20 *4004:16 *27148:A1 3.95183e-05 -21 *4004:16 *28639:RESET_B 9.55563e-05 -22 *4004:16 *28709:D 0.000123295 -23 *4004:16 *4134:6 0.000125992 -24 *4004:16 *5590:191 2.93106e-05 -25 *4004:16 *5676:20 0.000146474 -26 *4004:16 *5676:292 0.000381306 -27 *4004:16 *5683:43 0.000720776 -28 *4004:16 *5736:24 0.000169184 -29 *4004:16 *5775:41 4.63862e-05 -30 *4004:16 *5814:10 9.37872e-05 -31 *4004:16 *5814:12 3.79772e-05 -32 *4004:46 *28697:RESET_B 2.07158e-05 -33 *4004:46 *29975:A 8.65622e-05 -34 *4004:46 *4817:18 5.41794e-05 -35 *4004:46 *5776:167 0 -36 *4004:46 *6270:11 0.000434813 -37 *4004:46 *6271:17 0.000307343 -38 *4004:46 *6279:29 0.000434813 -39 *25193:B1 *27723:A1 0.000118248 -40 *27723:B1 *27723:A1 1.92905e-05 -41 *27723:B2 *27723:A1 1.81754e-05 -42 *27724:C1 *27723:A1 1.15172e-05 -43 *28641:CLK *4004:46 0 -44 *29967:A *29966:A 0.000230792 -45 *30574:A *29966:A 1.78465e-05 -46 *1256:183 *4004:16 0.000277915 -47 *1265:161 *27723:A1 9.60939e-05 -48 *1513:7 *25193:B2 2.59355e-05 -49 *2764:30 *25193:B2 5.33005e-05 -50 *2794:69 *29966:A 0.000225932 -51 *3537:35 *4004:16 0.000522987 -52 *3705:14 *27723:A1 0.000167146 -53 *3705:14 *4004:16 6.44597e-05 -54 *3939:104 *4004:46 0.000221095 -55 *3965:10 *4004:16 0.0002925 -*RES -1 *28730:Q *4004:4 9.3 -2 *4004:4 *4004:16 37.1094 -3 *4004:16 *27723:A1 19.8536 -4 *4004:16 *25193:B2 14.3357 -5 *4004:4 *4004:46 21.5893 -6 *4004:46 *24825:A 9.3 -7 *4004:46 *29966:A 39.1421 -*END - -*D_NET *4005 0.00703396 -*CONN -*I *25157:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27754:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29632:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28731:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25157:B2 0.000410803 -2 *27754:B2 0.000191785 -3 *29632:A 0.000154584 -4 *28731:Q 0.000156142 -5 *4005:12 0.000604428 -6 *4005:8 0.000825003 -7 *25157:B2 *4070:48 8.54768e-06 -8 *25157:B2 *4070:50 0.000148848 -9 *27754:B2 *4070:48 9.49331e-05 -10 *27754:B2 *5643:237 0.000400288 -11 *29632:A *5590:79 0.000551265 -12 *4005:12 *28731:D 0.000335774 -13 *4005:12 *4070:48 0.000439375 -14 *4005:12 *5643:237 1.81709e-05 -15 *25382:A2 *27754:B2 1.28809e-05 -16 *27754:C1 *27754:B2 1.02504e-05 -17 *1267:49 *27754:B2 1.28809e-05 -18 *1269:98 *29632:A 0.000548732 -19 *1272:40 *25157:B2 3.97677e-05 -20 *1276:76 *27754:B2 0.00020324 -21 *1282:184 *25157:B2 0.000132738 -22 *1478:7 *25157:B2 5.33005e-05 -23 *1478:10 *25157:B2 3.17148e-05 -24 *1558:33 *4005:8 0.000175892 -25 *2872:295 *25157:B2 0.000473737 -26 *3183:169 *25157:B2 0.000844109 -27 *3183:169 *4005:8 1.90936e-05 -28 *3183:169 *4005:12 1.2071e-05 -29 *3875:118 *25157:B2 0.000123605 -*RES -1 *28731:Q *4005:8 15.8446 -2 *4005:8 *4005:12 6.6875 -3 *4005:12 *29632:A 19.2643 -4 *4005:12 *27754:B2 19.7509 -5 *4005:8 *25157:B2 26.9205 -*END - -*D_NET *4006 0.0165329 -*CONN -*I *29951:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27776:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25053:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28732:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29951:A 3.77815e-05 -2 *27776:B2 0 -3 *25053:B2 0 -4 *28732:Q 0.00022622 -5 *4006:51 0.00357383 -6 *4006:21 0.00383361 -7 *4006:11 0.000523786 -8 *29951:A *27174:A1 8.17274e-05 -9 *4006:11 *27174:A1 0.000308569 -10 *4006:11 *27773:B2 4.46243e-05 -11 *4006:11 *28732:D 0.000180355 -12 *4006:11 *5774:164 0.000165877 -13 *4006:21 *25053:A1 7.48927e-06 -14 *4006:51 *25054:B2 7.32346e-05 -15 *4006:51 *27776:B1 9.41642e-05 -16 *4006:51 *5631:71 0 -17 *4006:51 *5637:43 0.00139451 -18 *4006:51 *5676:87 0 -19 *4006:51 *5692:31 6.86792e-05 -20 *6394:DIODE *4006:51 1.38323e-05 -21 *25053:B1 *4006:21 0.000516182 -22 *25053:B1 *4006:51 0.000123181 -23 *25053:C1 *4006:21 5.49489e-05 -24 *25053:C1 *4006:51 0 -25 *25054:C1 *4006:51 0.000374621 -26 *27822:A1 *4006:21 9.43803e-05 -27 *27822:A1 *4006:51 3.14643e-05 -28 *1265:149 *4006:51 0.000196424 -29 *1302:6 *4006:51 0.000535401 -30 *1364:19 *4006:51 0.00012252 -31 *1378:36 *4006:51 6.77633e-05 -32 *1853:64 *4006:51 4.18305e-05 -33 *2771:57 *4006:11 9.7659e-05 -34 *2848:369 *4006:51 0.000450309 -35 *2856:325 *4006:51 0.0016878 -36 *2891:311 *4006:51 2.21972e-05 -37 *3165:85 *4006:51 0 -38 *3185:190 *4006:51 4.17137e-05 -39 *3339:22 *4006:51 9.23226e-05 -40 *3367:43 *4006:51 2.06112e-05 -41 *3718:47 *4006:11 0.000159 -42 *3783:24 *29951:A 2.79421e-05 -43 *3783:24 *4006:11 4.35336e-05 -44 *3850:64 *4006:51 1.33343e-05 -45 *3930:55 *4006:21 0.000508132 -46 *3930:70 *4006:51 0.000581369 -*RES -1 *28732:Q *4006:11 25.3179 -2 *4006:11 *4006:21 17.308 -3 *4006:21 *25053:B2 9.3 -4 *4006:21 *4006:51 42.8472 -5 *4006:51 *27776:B2 9.3 -6 *4006:11 *29951:A 10.2464 -*END - -*D_NET *4007 0.0187129 -*CONN -*I *30436:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25030:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27805:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28733:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30436:A 0 -2 *25030:B2 0.000238791 -3 *27805:A1 0.000141113 -4 *28733:Q 0 -5 *4007:17 0.00030728 -6 *4007:6 0.0020725 -7 *4007:5 0.00199988 -8 *25030:B2 *5863:163 0 -9 *27805:A1 *24971:A1 0.000204884 -10 *27805:A1 *27805:A2 5.33005e-05 -11 *4007:6 *25030:A1 0.000448386 -12 *4007:6 *27211:A0 0.000126439 -13 *4007:6 *27211:A1 0.000304592 -14 *4007:6 *5492:22 0.000244395 -15 *4007:6 *5602:52 0.000650968 -16 *4007:6 *5642:57 0.000543176 -17 *4007:6 *5662:36 0.00200318 -18 *4007:6 *5678:158 0.000290279 -19 *4007:6 *5873:91 0.00182204 -20 *4007:17 *25030:A1 0.000244121 -21 *4007:17 *5662:36 0.000234033 -22 *25019:A1 *4007:6 0.000628121 -23 *27806:C1 *27805:A1 0.000310236 -24 *28725:CLK *4007:6 0.000126416 -25 *30308:A *4007:6 0.000569553 -26 *30488:A *4007:6 0.000309336 -27 *1290:201 *4007:6 0.00182168 -28 *1317:30 *25030:B2 0.000104123 -29 *1317:30 *4007:6 0.000136133 -30 *3864:39 *25030:B2 0.000117574 -31 *3890:24 *4007:6 0.000565707 -32 *3890:47 *4007:6 0.000231104 -33 *3995:26 *4007:6 0.00181154 -34 *3995:32 *4007:6 5.20781e-05 -*RES -1 *28733:Q *4007:5 13.8 -2 *4007:5 *4007:6 81.4821 -3 *4007:6 *27805:A1 18.0321 -4 *4007:5 *4007:17 7.66071 -5 *4007:17 *25030:B2 22.925 -6 *4007:17 *30436:A 9.3 -*END - -*D_NET *4008 0.00524046 -*CONN -*I *30037:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24988:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27828:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28734:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30037:A 0.000116502 -2 *24988:B2 0.000669042 -3 *27828:B2 4.73496e-05 -4 *28734:Q 0.000211208 -5 *4008:11 0.00119077 -6 *4008:8 0.000802086 -7 *24988:B2 *5601:119 1.38106e-05 -8 *24988:B2 *5631:35 0.000625392 -9 *24988:B2 *5675:13 8.43535e-06 -10 *27828:B2 *5675:13 2.84269e-05 -11 *30037:A *27176:A1 9.41642e-05 -12 *30037:A *5649:116 9.41642e-05 -13 *4008:8 *28734:D 3.69047e-06 -14 *4008:11 *5675:13 0.000118144 -15 *24988:A1 *24988:B2 1.28809e-05 -16 *27828:C1 *4008:11 7.02611e-05 -17 *1292:215 *24988:B2 0.000168057 -18 *1292:222 *24988:B2 4.46186e-06 -19 *1313:8 *24988:B2 0.00033763 -20 *2998:16 *30037:A 0.000238762 -21 *2998:16 *4008:8 7.6644e-05 -22 *3991:34 *30037:A 0.000230219 -23 *3991:34 *4008:8 7.83659e-05 -*RES -1 *28734:Q *4008:8 16.6036 -2 *4008:8 *4008:11 9.96429 -3 *4008:11 *27828:B2 10.3179 -4 *4008:11 *24988:B2 29.5009 -5 *4008:8 *30037:A 17.9071 -*END - -*D_NET *4009 0.00810129 -*CONN -*I *27853:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25398:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29386:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28380:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27853:B2 0.000494403 -2 *25398:B2 0.000133444 -3 *29386:A 0.000416586 -4 *28380:Q 0.000226416 -5 *4009:31 0.00114014 -6 *4009:12 0.00115529 -7 *27853:B2 *4010:21 1.15289e-05 -8 *27853:B2 *4371:19 0 -9 *27853:B2 *4372:19 0.000238443 -10 *27853:B2 *4617:23 0.000245134 -11 *27853:B2 *4947:16 2.37761e-05 -12 *27853:B2 *5167:14 0.000139243 -13 *27853:B2 *5738:172 0.00021021 -14 *29386:A *25937:A0 4.58194e-05 -15 *29386:A *5149:22 2.79421e-05 -16 *29386:A *6055:23 4.58194e-05 -17 *29386:A *6225:48 5.58038e-05 -18 *4009:12 *28106:D 4.06089e-05 -19 *4009:12 *4010:21 0.000290145 -20 *4009:12 *5167:14 0.000194117 -21 *4009:31 *25940:A0 6.25005e-05 -22 *4009:31 *4010:21 4.23733e-05 -23 *4009:31 *5167:14 0.000678218 -24 *25398:A1 *25398:B2 0.000418973 -25 *27550:A1 *4009:12 1.48543e-05 -26 *27853:B1 *27853:B2 0.000125301 -27 *28951:A *4009:12 5.49544e-05 -28 *29378:A *29386:A 0.000117112 -29 *30039:A *25398:B2 0.000261801 -30 *30138:A *27853:B2 0.000318393 -31 *1257:99 *4009:31 0.000278845 -32 *1264:17 *4009:31 9.72023e-05 -33 *1327:116 *29386:A 0.000148702 -34 *1457:35 *27853:B2 1.76352e-05 -35 *1471:37 *25398:B2 4.03356e-05 -36 *1481:46 *27853:B2 0.000237295 -37 *3663:10 *27853:B2 2.47697e-05 -38 *3663:10 *4009:31 9.06015e-06 -39 *3663:42 *27853:B2 8.85664e-07 -40 *3672:45 *27853:B2 1.72111e-05 -*RES -1 *28380:Q *4009:12 19.675 -2 *4009:12 *29386:A 21.8714 -3 *4009:12 *4009:31 11.0357 -4 *4009:31 *25398:B2 18.0545 -5 *4009:31 *27853:B2 27.7107 -*END - -*D_NET *4010 0.0106483 -*CONN -*I *30375:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25294:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27878:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28381:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30375:A 0 -2 *25294:B2 0.000362135 -3 *27878:B2 1.09027e-05 -4 *28381:Q 0.00119666 -5 *4010:26 0.000911518 -6 *4010:21 0.00173514 -7 *27878:B2 *4088:79 2.84269e-05 -8 *4010:21 *4371:19 0.000160489 -9 *4010:21 *4372:35 0.00143933 -10 *4010:21 *4617:23 0.000161178 -11 *4010:21 *4947:16 6.57032e-05 -12 *4010:21 *5149:22 0.000134811 -13 *4010:21 *5706:219 1.19011e-05 -14 *4010:26 *4947:16 2.59355e-05 -15 *4010:26 *5523:41 0.00111368 -16 *4010:26 *5706:219 1.44954e-05 -17 *4010:26 *6054:21 0.00014236 -18 *27853:B2 *4010:21 1.15289e-05 -19 *1257:99 *4010:21 8.32938e-05 -20 *1264:163 *25294:B2 0.000369919 -21 *1264:163 *4010:26 0.00114627 -22 *1323:17 *27878:B2 1.38323e-05 -23 *1407:69 *25294:B2 0.000112875 -24 *1407:69 *4010:26 0.000125094 -25 *1457:35 *4010:21 2.09826e-05 -26 *2852:228 *25294:B2 7.89502e-05 -27 *3173:50 *25294:B2 9.62646e-05 -28 *3212:44 *25294:B2 0.000223938 -29 *3663:10 *4010:21 0.000383168 -30 *3788:49 *25294:B2 0.000135028 -31 *4009:12 *4010:21 0.000290145 -32 *4009:31 *4010:21 4.23733e-05 -*RES -1 *28381:Q *4010:21 45.55 -2 *4010:21 *4010:26 22.4643 -3 *4010:26 *27878:B2 14.0857 -4 *4010:26 *25294:B2 23.2196 -5 *4010:21 *30375:A 9.3 -*END - -*D_NET *4011 0.0319431 -*CONN -*I *25372:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27656:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *29095:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28735:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *25372:A1 0.000124317 -2 *27656:A1 0.000186115 -3 *29095:A 0.000954208 -4 *28735:Q 8.87004e-05 -5 *4011:54 0.00178105 -6 *4011:52 0.00223759 -7 *4011:45 0.00126001 -8 *4011:23 0.00210359 -9 *4011:5 0.00228123 -10 *25372:A1 *25372:A2 1.55638e-05 -11 *27656:A1 *27656:A2 0.000472099 -12 *27656:A1 *27656:B1 0.00030099 -13 *27656:A1 *27656:B2 0.000178586 -14 *29095:A *28735:D 0.000317546 -15 *29095:A *5914:80 0.000137634 -16 *4011:5 *28735:D 4.43256e-05 -17 *4011:5 *5914:80 1.8631e-06 -18 *4011:23 *27656:A2 0.000307343 -19 *4011:23 *27656:B1 4.08637e-05 -20 *4011:23 *27658:A2 0.000120368 -21 *4011:23 *28735:D 0.000108986 -22 *4011:23 *28735:SET_B 0 -23 *4011:23 *29004:A 0.000120076 -24 *4011:23 *4102:32 0.000148911 -25 *4011:23 *4102:34 0.000629804 -26 *4011:23 *4141:21 0 -27 *4011:23 *4141:30 0.000137077 -28 *4011:45 *25856:A 0.000144038 -29 *4011:45 *27656:B1 7.83587e-05 -30 *4011:45 *5644:251 0.000870369 -31 *4011:52 *25901:A1 0.00022287 -32 *4011:54 *25377:A1 0.000197134 -33 *4011:54 *25901:A1 3.57844e-05 -34 *4011:54 *27002:A1 0.000342502 -35 *4011:54 *4087:61 4.52236e-05 -36 *4011:54 *4087:63 0.00378489 -37 *25352:B2 *25372:A1 5.51819e-05 -38 *25372:B1 *25372:A1 4.9071e-05 -39 *27178:S *4011:23 0.000886192 -40 *27668:C *4011:23 0 -41 *28015:A3 *27656:A1 2.61337e-05 -42 *28076:RESET_B *4011:23 0.000107787 -43 *29097:A *4011:23 0 -44 *29542:A *27656:A1 4.46186e-06 -45 *1450:33 *4011:54 0.000338112 -46 *1688:16 *25372:A1 9.60939e-05 -47 *2772:77 *4011:45 6.86792e-05 -48 *2792:30 *4011:23 0.000738238 -49 *3220:16 *4011:52 0.000433332 -50 *3220:18 *4011:52 0.000174159 -51 *3220:18 *4011:54 0.0054211 -52 *3591:54 *4011:52 0.000387788 -53 *3699:22 *4011:45 0.00185836 -54 *3868:74 *4011:52 0.00134982 -55 *3868:74 *4011:54 0.000128569 -*RES -1 *28735:Q *4011:5 10.4518 -2 *4011:5 *29095:A 21.6571 -3 *4011:5 *4011:23 43.1429 -4 *4011:23 *27656:A1 24.6393 -5 *4011:23 *4011:45 38.6607 -6 *4011:45 *4011:52 21.6607 -7 *4011:52 *4011:54 69.9464 -8 *4011:54 *25372:A1 16.4071 -*END - -*D_NET *4012 0.0666006 -*CONN -*I *29731:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27906:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25250:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28372:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *29731:A 9.14257e-05 -2 *27906:A1 0.000761883 -3 *25250:B2 0.00107706 -4 *28372:Q 0.00014055 -5 *4012:39 0.0115005 -6 *4012:22 0.0037816 -7 *4012:11 0.0138066 -8 *4012:7 0.000595427 -9 *27906:A1 *5624:28 0.000488834 -10 *27906:A1 *5629:23 5.04841e-06 -11 *27906:A1 *5651:39 0.000167013 -12 *29731:A *4025:21 0.000117903 -13 *29731:A *5799:12 0.000126439 -14 *4012:11 *4025:21 0.000236885 -15 *4012:11 *5458:40 1.08524e-05 -16 *4012:11 *5799:12 0.000461329 -17 *4012:22 *25770:A1 7.83659e-05 -18 *4012:22 *28579:D 8.27238e-06 -19 *4012:22 *28579:SET_B 3.55471e-05 -20 *4012:22 *5196:8 0.000302383 -21 *4012:22 *5688:115 6.34672e-05 -22 *4012:39 *5613:22 0.000106495 -23 *4012:39 *5646:34 0.000182854 -24 *4012:39 *5646:63 5.51657e-05 -25 *4012:39 *5653:70 0.000125449 -26 *4012:39 *5657:49 0.000961455 -27 *4012:39 *5661:64 0 -28 *4012:39 *5715:117 0.00162265 -29 *4012:39 *5729:34 0.00033631 -30 *4012:39 *5729:242 0.003027 -31 *4012:39 *5729:249 0.00144692 -32 *4012:39 *5729:252 0.000197779 -33 *4012:39 *5848:82 0.000360308 -34 *4012:39 *5909:44 0.00242372 -35 *25097:A1 *4012:39 0.000491456 -36 *25122:B2 *4012:39 0.000192286 -37 *25130:A1 *25250:B2 4.43964e-05 -38 *25250:B1 *25250:B2 5.96031e-05 -39 *25368:C1 *4012:22 0.000150625 -40 *25396:C1 *27906:A1 7.40526e-05 -41 *25413:B *27906:A1 0.000490122 -42 *27002:S *4012:39 0.000120169 -43 *27592:A1 *4012:39 0.000885491 -44 *27880:B2 *4012:39 0.000474667 -45 *27906:B1 *27906:A1 1.58163e-05 -46 *27906:B2 *27906:A1 1.67804e-05 -47 *27906:C1 *27906:A1 0.000126059 -48 *27916:B *27906:A1 2.87555e-06 -49 *27948:A1 *4012:39 0.000192724 -50 *28579:CLK *4012:22 3.57975e-05 -51 *29855:A *4012:22 1.58163e-05 -52 *29856:A *4012:22 1.92789e-05 -53 *1263:28 *4012:39 0.000398889 -54 *1360:72 *4012:39 0.00178552 -55 *1363:85 *4012:39 0.00185005 -56 *1399:96 *4012:39 0.000468026 -57 *1405:73 *4012:11 2.63501e-05 -58 *1405:73 *4012:39 0.00091375 -59 *1464:56 *4012:11 0 -60 *1464:56 *4012:39 0 -61 *1471:127 *27906:A1 0.000258891 -62 *1629:14 *27906:A1 5.88172e-05 -63 *1672:32 *4012:22 7.83659e-05 -64 *1711:8 *27906:A1 0.000139435 -65 *1896:23 *4012:11 0.000204966 -66 *1896:32 *4012:22 6.05161e-06 -67 *2886:41 *27906:A1 4.38058e-05 -68 *3153:98 *4012:39 0.000106898 -69 *3176:110 *4012:39 0.00018388 -70 *3182:134 *4012:39 0.00297873 -71 *3185:304 *4012:39 0 -72 *3205:72 *4012:39 0.000414164 -73 *3215:104 *4012:39 0.00199075 -74 *3413:25 *4012:11 7.75656e-05 -75 *3413:25 *4012:39 8.4583e-05 -76 *3437:14 *4012:39 0.0012418 -77 *3496:13 *4012:39 0.000105594 -78 *3503:27 *4012:39 0.000127163 -79 *3556:20 *4012:39 4.65519e-05 -80 *3663:73 *4012:39 0.00208663 -81 *3724:91 *4012:39 0.000185417 -82 *3753:84 *25250:B2 0.00118486 -83 *3762:17 *4012:11 6.37188e-06 -84 *3762:61 *4012:39 0.000863734 -85 *3776:33 *29731:A 9.41642e-05 -86 *3776:42 *4012:39 0.000286816 -87 *3776:54 *4012:39 0.000113818 -88 *3810:47 *4012:22 7.6644e-05 -*RES -1 *28372:Q *4012:7 15.1571 -2 *4012:7 *4012:11 9.93404 -3 *4012:11 *4012:22 47.8654 -4 *4012:22 *25250:B2 23.8893 -5 *4012:11 *4012:39 47.428 -6 *4012:39 *27906:A1 35.0582 -7 *4012:7 *29731:A 16.3893 -*END - -*D_NET *4013 0.0312779 -*CONN -*I *29662:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25173:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27933:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28373:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *29662:A 0.000111461 -2 *25173:B2 1.69531e-05 -3 *27933:A1 0.000328935 -4 *28373:Q 0.000277139 -5 *4013:26 0.00267055 -6 *4013:25 0.00242292 -7 *4013:18 0.000896374 -8 *4013:17 0.0015972 -9 *4013:7 0.00118768 -10 *29662:A *4036:17 0.000233521 -11 *29662:A *5458:40 0.000242144 -12 *4013:7 *25928:A0 0.00030294 -13 *4013:17 *25926:A1 9.39578e-05 -14 *4013:17 *28374:RESET_B 0.00012452 -15 *4013:17 *4026:24 0.000102463 -16 *4013:17 *4026:26 0.000685152 -17 *4013:17 *4036:17 0.000494635 -18 *4013:17 *4036:25 0.00128045 -19 *4013:17 *5458:40 0.000329565 -20 *4013:17 *5823:51 1.4477e-06 -21 *4013:18 *25084:A 6.057e-07 -22 *4013:18 *30783:A 9.04073e-05 -23 *4013:18 *4026:26 0.00204677 -24 *4013:18 *5641:78 0.000923033 -25 *4013:18 *5878:14 0 -26 *4013:25 *4026:26 4.04292e-05 -27 *4013:25 *4113:116 0.000107157 -28 *4013:25 *4350:65 0.000109422 -29 *4013:25 *5641:78 4.23791e-05 -30 *4013:26 *27923:B2 0.00205677 -31 *4013:26 *4025:32 0.00239607 -32 *4013:26 *4026:26 0.00296814 -33 *4013:26 *4026:37 0.000454641 -34 *4013:26 *5457:22 0.000137113 -35 *4013:26 *5458:40 0.000139075 -36 *4013:26 *5646:118 0.000366919 -37 *25103:A2 *4013:26 0.000167258 -38 *25173:B1 *25173:B2 5.33005e-05 -39 *25329:B1 *4013:18 0.000160528 -40 *27933:B1 *27933:A1 2.89016e-05 -41 *27933:B2 *27933:A1 6.26774e-06 -42 *30125:A *4013:17 0.000125731 -43 *1327:28 *4013:18 4.15592e-05 -44 *1362:79 *4013:26 0.000365623 -45 *1435:34 *27933:A1 0.000317795 -46 *1435:34 *4013:26 0.000218003 -47 *1450:16 *25173:B2 5.33005e-05 -48 *1864:36 *4013:26 0.00241256 -49 *3173:110 *27933:A1 0.00010777 -50 *3659:14 *4013:17 0.000319585 -51 *3659:14 *4013:18 0.000124637 -52 *3659:14 *4013:26 0.000197779 -53 *3753:75 *29662:A 2.59355e-05 -54 *3766:53 *4013:18 0.000415241 -55 *3780:53 *27933:A1 0.000481326 -56 *3780:53 *4013:26 0.000244115 -57 *3828:61 *27933:A1 0.000109425 -58 *3844:75 *27933:A1 2.22877e-05 -*RES -1 *28373:Q *4013:7 17.2107 -2 *4013:7 *4013:17 31.0201 -3 *4013:17 *4013:18 26.9911 -4 *4013:18 *4013:25 7.59149 -5 *4013:25 *4013:26 79.8125 -6 *4013:26 *27933:A1 22.925 -7 *4013:18 *25173:B2 14.3357 -8 *4013:7 *29662:A 17.4964 -*END - -*D_NET *4014 0.0183196 -*CONN -*I *25115:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27946:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30123:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28374:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25115:B2 1.09027e-05 -2 *27946:B2 0.00130784 -3 *30123:A 0 -4 *28374:Q 7.49417e-05 -5 *4014:24 0.00292513 -6 *4014:22 0.00189928 -7 *4014:18 0.000530074 -8 *4014:9 0.000312136 -9 *27946:B2 *27953:A2 0.000729974 -10 *27946:B2 *4023:10 2.26973e-05 -11 *27946:B2 *5640:64 4.32182e-06 -12 *27946:B2 *5643:84 2.04825e-05 -13 *27946:B2 *5729:295 2.07362e-05 -14 *27946:B2 *5890:42 0.00013248 -15 *27946:B2 *5909:44 0.000362086 -16 *4014:9 *25929:A1 5.33005e-05 -17 *4014:9 *5640:90 0.00015234 -18 *4014:9 *5646:182 0.00011634 -19 *4014:9 *5729:35 1.02504e-05 -20 *4014:18 *25929:A1 0.000261799 -21 *4014:22 *28587:D 0.0003383 -22 *4014:24 *25142:A 1.94945e-05 -23 *4014:24 *5646:163 0.00162197 -24 *4014:24 *5646:175 0.000135502 -25 *4014:24 *5729:9 2.06178e-05 -26 *4014:24 *5848:63 0.000129243 -27 *4014:24 *5848:82 0.00107537 -28 *4014:24 *5909:44 0.000277731 -29 *25115:A1 *25115:B2 1.38323e-05 -30 *25115:C1 *25115:B2 2.84269e-05 -31 *25296:A1 *4014:24 0.000178545 -32 *25296:A2 *4014:24 0.000354637 -33 *25297:B1 *4014:24 6.057e-07 -34 *25297:C1 *4014:24 0.000129868 -35 *25371:A2 *4014:24 7.85298e-05 -36 *27011:S *4014:22 0.000326746 -37 *27946:A1 *27946:B2 0.000222011 -38 *27946:A2 *27946:B2 2.03997e-05 -39 *27946:C1 *27946:B2 0.000175892 -40 *27948:A1 *27946:B2 1.07753e-05 -41 *27948:B1 *27946:B2 0.000149826 -42 *27956:A *4014:24 0.000235791 -43 *27956:C *27946:B2 3.09814e-05 -44 *28841:A *27946:B2 8.65987e-06 -45 *29831:A *4014:22 0.000161356 -46 *1327:19 *27946:B2 2.01997e-05 -47 *1360:72 *4014:24 1.94879e-05 -48 *1360:84 *4014:24 0.000215241 -49 *1399:93 *4014:24 0.000107625 -50 *1422:115 *4014:24 0.000197984 -51 *1433:34 *4014:18 0.000176234 -52 *1435:76 *4014:24 0.000550317 -53 *1463:32 *4014:18 9.16325e-06 -54 *1463:32 *4014:22 2.57682e-05 -55 *1472:25 *27946:B2 6.6909e-05 -56 *1558:48 *4014:22 2.37944e-05 -57 *1573:22 *4014:24 6.39808e-05 -58 *1665:20 *27946:B2 0.000117074 -59 *1665:28 *27946:B2 0.000699797 -60 *3484:20 *4014:18 0.000189666 -61 *3484:20 *4014:22 0.000186846 -62 *3484:20 *4014:24 0.000136205 -63 *3542:27 *27946:B2 2.06112e-05 -64 *3700:72 *4014:24 0.000110072 -65 *3724:88 *27946:B2 0.000486874 -66 *3742:12 *4014:22 9.53123e-05 -67 *3742:12 *4014:24 6.71403e-05 -68 *3762:29 *4014:18 4.10843e-05 -69 *3776:44 *4014:24 0 -*RES -1 *28374:Q *4014:9 20.7821 -2 *4014:9 *30123:A 9.3 -3 *4014:9 *4014:18 10.4643 -4 *4014:18 *4014:22 8.70536 -5 *4014:22 *4014:24 47.4821 -6 *4014:24 *27946:B2 44.0082 -7 *4014:18 *25115:B2 14.0857 -*END - -*D_NET *4015 0.0329143 -*CONN -*I *25332:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27684:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *29895:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28736:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *25332:A1 0.00116625 -2 *27684:A1 0.000262142 -3 *29895:A 0 -4 *28736:Q 0.000452758 -5 *4015:37 0.00244997 -6 *4015:31 0.00217839 -7 *4015:26 0.00245026 -8 *4015:22 0.00208356 -9 *4015:10 0.00124287 -10 *25332:A1 *4115:30 5.66551e-05 -11 *25332:A1 *5640:53 0.0011242 -12 *25332:A1 *5643:66 0.000101545 -13 *25332:A1 *5754:213 0.000216755 -14 *4015:10 *28736:SET_B 6.34436e-05 -15 *4015:10 *5700:137 0.00012501 -16 *4015:10 *5873:142 7.62176e-05 -17 *4015:22 *5651:21 4.82947e-05 -18 *4015:22 *5700:132 9.54536e-05 -19 *4015:22 *5700:137 0.000581009 -20 *4015:26 *5707:103 0.00304525 -21 *4015:31 *27030:A0 2.49484e-05 -22 *4015:31 *28604:D 7.6644e-05 -23 *4015:31 *28604:RESET_B 1.85472e-05 -24 *4015:31 *5448:30 2.2628e-05 -25 *4015:31 *5448:40 0.00126328 -26 *4015:31 *5597:20 0.000184668 -27 *4015:31 *5600:90 3.38087e-05 -28 *4015:31 *5707:100 0 -29 *4015:31 *5713:305 4.21517e-05 -30 *4015:31 *5754:168 7.79781e-06 -31 *4015:37 *28467:SET_B 0.000123288 -32 *4015:37 *28636:D 7.5779e-05 -33 *4015:37 *5635:72 0.000138885 -34 *4015:37 *5643:58 0.000241127 -35 *4015:37 *5643:66 0.000208001 -36 *4015:37 *5644:186 0.000276959 -37 *4015:37 *5700:353 2.06112e-05 -38 *4015:37 *5707:91 0.000204208 -39 *4015:37 *5707:100 0.000150457 -40 *25258:B1 *4015:31 0.000131048 -41 *25324:A1 *4015:26 9.60875e-05 -42 *25332:A2 *25332:A1 5.33005e-05 -43 *27684:B2 *27684:A1 1.38323e-05 -44 *27768:B1 *4015:26 2.61337e-05 -45 *27768:B2 *4015:26 0.000718685 -46 *27768:B2 *4015:31 0.000214172 -47 *29655:A *4015:31 5.58875e-06 -48 *29756:A *4015:31 8.46838e-05 -49 *29867:A *4015:37 0.00012252 -50 *1244:198 *4015:31 0.000139059 -51 *1277:138 *4015:26 7.12413e-05 -52 *1277:138 *4015:31 1.18589e-05 -53 *1290:14 *4015:31 0.000605026 -54 *1290:34 *4015:22 0.0010448 -55 *1290:34 *4015:26 0.00225109 -56 *1547:12 *4015:22 4.58194e-05 -57 *1650:16 *25332:A1 0.00107556 -58 *1651:14 *4015:37 0.000195555 -59 *2758:37 *4015:26 1.27784e-05 -60 *2758:37 *4015:31 9.25014e-06 -61 *2768:50 *25332:A1 4.22135e-06 -62 *2779:161 *4015:37 0.00114709 -63 *2790:77 *4015:10 8.62918e-05 -64 *2790:77 *4015:22 0.000277061 -65 *2839:38 *4015:22 0.000910598 -66 *2850:37 *4015:10 7.66879e-05 -67 *2856:137 *4015:31 0.000518825 -68 *2870:43 *4015:31 0.000279244 -69 *3184:71 *4015:31 4.47378e-05 -70 *3205:169 *4015:26 3.34687e-05 -71 *3206:157 *27684:A1 1.58163e-05 -72 *3221:15 *4015:26 9.41642e-05 -73 *3306:6 *25332:A1 0.000103974 -74 *3667:28 *4015:31 0.000119236 -75 *3704:45 *27684:A1 4.39136e-05 -76 *3822:56 *4015:31 0.000164321 -77 *3835:73 *27684:A1 9.41642e-05 -78 *3900:60 *4015:31 7.57673e-05 -79 *3992:42 *4015:31 2.27683e-05 -80 *3992:53 *25332:A1 7.99401e-05 -81 *3992:53 *4015:31 0.000112563 -82 *3992:53 *4015:37 0.000747498 -*RES -1 *28736:Q *4015:10 20.4161 -2 *4015:10 *29895:A 13.8 -3 *4015:10 *4015:22 31.9286 -4 *4015:22 *4015:26 47.1607 -5 *4015:26 *4015:31 38.25 -6 *4015:31 *4015:37 31.1429 -7 *4015:37 *27684:A1 17.9429 -8 *4015:37 *25332:A1 45.0321 -*END - -*D_NET *4016 0.00526515 -*CONN -*I *29638:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27707:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25232:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28737:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29638:A 0.000249225 -2 *27707:B2 4.09699e-06 -3 *25232:A1 0.000473744 -4 *28737:Q 0.00031355 -5 *4016:8 0.000725843 -6 *4016:5 0.000810778 -7 *25232:A1 *27609:A2 1.52978e-05 -8 *25232:A1 *27707:A2 0.000148606 -9 *25232:A1 *5590:161 0.00060123 -10 *25232:A1 *5647:133 1.00375e-05 -11 *25232:A1 *5656:353 9.71197e-05 -12 *25232:A1 *5702:29 0.000348656 -13 *27707:B2 *27707:A2 1.28809e-05 -14 *29638:A *28737:D 9.60939e-05 -15 *29638:A *5910:17 6.62761e-05 -16 *4016:5 *28737:D 0.000435839 -17 *4016:8 *5647:133 0.000183016 -18 *4016:8 *5647:159 4.22135e-06 -19 *4016:8 *5702:29 0.000483712 -20 *27707:C1 *27707:B2 1.28809e-05 -21 *1286:101 *25232:A1 5.52302e-05 -22 *1292:133 *4016:8 1.90936e-05 -23 *3704:10 *4016:8 7.78413e-05 -24 *3963:21 *25232:A1 1.98839e-05 -*RES -1 *28737:Q *4016:5 13.5321 -2 *4016:5 *4016:8 11 -3 *4016:8 *25232:A1 24.7643 -4 *4016:8 *27707:B2 13.9295 -5 *4016:5 *29638:A 13.0321 -*END - -*D_NET *4017 0.0465658 -*CONN -*I *24824:A I *D sky130_fd_sc_hd__inv_2 -*I *30119:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27726:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25202:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28738:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *24824:A 0.00131957 -2 *30119:A 0 -3 *27726:A1 0.00043372 -4 *25202:A1 0.000674448 -5 *28738:Q 1.69531e-05 -6 *4017:82 0.00162826 -7 *4017:56 0.00330654 -8 *4017:44 0.00365237 -9 *4017:41 0.00154641 -10 *4017:33 0.00456981 -11 *4017:20 0.00486062 -12 *4017:7 0.00157631 -13 *24824:A *25684:A1 9.0145e-05 -14 *24824:A *25739:A0 8.55276e-05 -15 *24824:A *28987:A 8.45367e-05 -16 *24824:A *5702:214 9.58126e-05 -17 *24824:A *5703:13 0.000513387 -18 *24824:A *5881:13 0 -19 *24824:A *5892:6 0 -20 *24824:A *5898:17 0.000290208 -21 *24824:A *5925:10 0 -22 *24824:A *5925:17 0 -23 *24824:A *6242:23 0.000522844 -24 *25202:A1 *25364:A1 4.10843e-05 -25 *25202:A1 *4031:28 0.00014576 -26 *25202:A1 *4115:28 0.000165123 -27 *25202:A1 *5649:233 0.00145598 -28 *27726:A1 *27726:A2 8.0203e-05 -29 *27726:A1 *5631:113 9.91086e-05 -30 *27726:A1 *5638:169 6.19462e-05 -31 *27726:A1 *5819:24 9.91086e-05 -32 *4017:7 *29416:A 5.33005e-05 -33 *4017:20 *27212:S 0.000158667 -34 *4017:20 *28756:D 8.55871e-05 -35 *4017:20 *29416:A 0 -36 *4017:20 *4136:60 4.16936e-05 -37 *4017:20 *5187:15 0.000171375 -38 *4017:20 *5703:13 0 -39 *4017:20 *5863:117 0.000249985 -40 *4017:20 *5881:26 0.000170952 -41 *4017:33 *25724:A0 5.71472e-05 -42 *4017:33 *27832:A2 0 -43 *4017:33 *5586:31 0.000503005 -44 *4017:33 *5680:77 4.13595e-05 -45 *4017:33 *5682:63 0.000145403 -46 *4017:33 *5905:16 0.000653794 -47 *4017:33 *5914:18 0 -48 *4017:41 *26887:A1 0.000324684 -49 *4017:44 *28676:RESET_B 0.000198546 -50 *4017:44 *4204:10 0.000190333 -51 *4017:44 *4204:12 0.000418256 -52 *4017:56 *27679:B1 0.00096267 -53 *4017:56 *27699:A2 0.000251509 -54 *4017:56 *27699:B1 0 -55 *4017:56 *5582:103 2.24813e-05 -56 *4017:56 *5641:243 0.000443137 -57 *4017:56 *5647:116 7.3384e-06 -58 *4017:56 *5649:220 1.90936e-05 -59 *4017:56 *5654:108 5.74499e-06 -60 *4017:56 *5810:17 9.41642e-05 -61 *4017:82 *27181:A1 0.000144971 -62 *4017:82 *28987:A 0.000354054 -63 *4017:82 *29416:A 0 -64 *4017:82 *5702:212 5.515e-05 -65 *4017:82 *5702:214 0.000186765 -66 *25203:C1 *25202:A1 9.91086e-05 -67 *25314:B1 *25202:A1 0.000138489 -68 *25364:A2 *25202:A1 5.33005e-05 -69 *27168:A *4017:33 0.000279479 -70 *27679:B2 *4017:56 0.000204854 -71 *27709:A *4017:56 0.000160663 -72 *27709:B *4017:56 9.41642e-05 -73 *28756:CLK *4017:20 4.1331e-06 -74 *29813:A *4017:82 0 -75 *29939:A *24824:A 0 -76 *30085:A *4017:41 5.33005e-05 -77 *30120:A *4017:7 5.33005e-05 -78 *1265:161 *4017:33 0.000234475 -79 *1269:134 *4017:56 0.000151194 -80 *1292:153 *4017:33 1.8995e-06 -81 *1304:6 *4017:20 0.00058288 -82 *1390:15 *4017:33 2.23112e-05 -83 *1463:67 *25202:A1 0.000339017 -84 *2761:80 *4017:56 5.91765e-05 -85 *2771:65 *4017:33 0.000125355 -86 *2771:65 *4017:41 0.000941874 -87 *3226:14 *4017:56 4.32957e-05 -88 *3259:13 *4017:56 1.07719e-05 -89 *3582:13 *4017:41 0.000438788 -90 *3582:72 *4017:20 0 -91 *3607:98 *4017:33 6.57276e-05 -92 *3608:21 *4017:33 0.000110341 -93 *3783:41 *4017:33 0.00114304 -94 *3783:41 *4017:41 0.000301438 -95 *3809:20 *4017:44 0.00147454 -96 *3846:33 *4017:56 2.35215e-05 -97 *3848:14 *4017:44 0.00270971 -98 *3900:99 *4017:33 0.000734487 -99 *3907:32 *4017:56 4.53834e-05 -100 *3979:19 *25202:A1 1.59782e-05 -101 *3991:72 *4017:20 0.00202987 -102 *3991:72 *4017:82 0.000392986 -*RES -1 *28738:Q *4017:7 14.3357 -2 *4017:7 *4017:20 45.0975 -3 *4017:20 *4017:33 36.8716 -4 *4017:33 *4017:41 19.1429 -5 *4017:41 *4017:44 40.1429 -6 *4017:44 *4017:56 46.3198 -7 *4017:56 *25202:A1 35.9786 -8 *4017:33 *27726:A1 24.3 -9 *4017:7 *4017:82 13.1964 -10 *4017:82 *30119:A 9.3 -11 *4017:82 *24824:A 44.5143 -*END - -*D_NET *4018 0.00986823 -*CONN -*I *27759:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *29764:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25125:A1 I *D sky130_fd_sc_hd__a221o_2 -*I *28739:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27759:A1 0.00128538 -2 *29764:A 3.49287e-05 -3 *25125:A1 0.000671047 -4 *28739:Q 3.86418e-05 -5 *4018:17 0.00152798 -6 *4018:7 0.000917358 -7 *25125:A1 *28660:D 0.000299132 -8 *25125:A1 *5749:47 9.60808e-05 -9 *25125:A1 *6314:37 0.000129588 -10 *27759:A1 *27039:A1 0.000183438 -11 *27759:A1 *27102:A0 9.59532e-06 -12 *27759:A1 *5442:51 4.34472e-05 -13 *27759:A1 *5700:132 0.0005236 -14 *29764:A *5750:82 7.27996e-05 -15 *29764:A *6067:17 0.000139873 -16 *25125:A2 *25125:A1 1.57155e-05 -17 *25219:A2 *25125:A1 0.000209766 -18 *27093:S *25125:A1 4.34648e-05 -19 *27759:A2 *27759:A1 3.86871e-05 -20 *28789:D *25125:A1 0.000260331 -21 *29834:A *27759:A1 9.41642e-05 -22 *1218:109 *25125:A1 0.000126926 -23 *1218:109 *4018:17 9.87321e-05 -24 *1282:68 *25125:A1 1.90936e-05 -25 *2776:48 *25125:A1 0.000307789 -26 *2777:59 *27759:A1 0.000604877 -27 *2860:26 *4018:7 6.4225e-05 -28 *2874:32 *27759:A1 1.52739e-05 -29 *3178:29 *4018:7 0.000139215 -30 *3196:30 *27759:A1 0.000201668 -31 *3216:26 *27759:A1 7.12487e-05 -32 *3572:20 *27759:A1 9.23258e-05 -33 *3642:8 *27759:A1 0.000168386 -34 *3796:60 *25125:A1 0.000250205 -35 *3796:60 *27759:A1 7.38062e-05 -36 *3796:60 *4018:17 0.000165294 -37 *3847:25 *25125:A1 3.30446e-05 -38 *3847:25 *27759:A1 0.000766054 -39 *3847:25 *4018:17 3.50568e-05 -*RES -1 *28739:Q *4018:7 15.1571 -2 *4018:7 *25125:A1 30.1214 -3 *4018:7 *4018:17 4.67857 -4 *4018:17 *29764:A 15.1571 -5 *4018:17 *27759:A1 47.8362 -*END - -*D_NET *4019 0.0120508 -*CONN -*I *30583:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25053:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27782:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28740:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30583:A 0.000515676 -2 *25053:A1 0.000221257 -3 *27782:A1 0.000318497 -4 *28740:Q 4.40688e-05 -5 *4019:18 0.00070709 -6 *4019:14 0.00211506 -7 *4019:5 0.00250746 -8 *25053:A1 *25053:A2 1.46576e-05 -9 *25053:A1 *25054:B2 0.000216627 -10 *25053:A1 *5655:267 0.00081345 -11 *25053:A1 *5862:35 0.000347785 -12 *27782:A1 *25054:A1 0.00034188 -13 *27782:A1 *27782:A2 2.1664e-05 -14 *27782:A1 *5862:44 3.17148e-05 -15 *30583:A *4828:11 0.000154538 -16 *30583:A *5187:15 0.000201724 -17 *30583:A *5925:17 0.000183222 -18 *4019:5 *5187:15 5.33334e-05 -19 *4019:14 *27782:A2 0.000523343 -20 *4019:14 *27832:B1 2.49484e-05 -21 *4019:14 *28742:RESET_B 0 -22 *4019:14 *29927:A 1.67561e-05 -23 *4019:14 *4827:14 0.000264314 -24 *4019:14 *4828:11 7.6644e-05 -25 *4019:14 *5703:21 0 -26 *4019:14 *5776:38 0 -27 *4019:14 *5776:142 0 -28 *4019:18 *27771:A1 0.000181772 -29 *4019:18 *5862:44 0.00016384 -30 *25051:A1 *27782:A1 4.87854e-05 -31 *25053:B1 *25053:A1 1.70173e-05 -32 *27203:S *4019:14 5.26184e-05 -33 *27782:B1 *27782:A1 5.33839e-05 -34 *27782:B2 *27782:A1 1.28809e-05 -35 *27782:C1 *27782:A1 5.33005e-05 -36 *27833:B1 *4019:14 7.50836e-05 -37 *27833:B2 *4019:14 0.000744576 -38 *28742:CLK *4019:14 0.000187301 -39 *30586:A *4019:14 6.42145e-05 -40 *2792:78 *4019:14 0 -41 *2792:176 *4019:14 0 -42 *2792:181 *4019:14 0 -43 *3367:18 *27782:A1 3.68521e-05 -44 *3582:72 *4019:14 6.9253e-05 -45 *3694:32 *27782:A1 0.000134887 -46 *3694:32 *4019:18 8.359e-05 -47 *3796:173 *4019:18 0.000348213 -48 *4006:21 *25053:A1 7.48927e-06 -*RES -1 *28740:Q *4019:5 10.2464 -2 *4019:5 *4019:14 48.6429 -3 *4019:14 *4019:18 10.1607 -4 *4019:18 *27782:A1 21.1571 -5 *4019:18 *25053:A1 22.1571 -6 *4019:5 *30583:A 19.6929 -*END - -*D_NET *4020 0.00520036 -*CONN -*I *29626:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27813:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25021:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28741:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29626:A 0 -2 *27813:B2 0.00119488 -3 *25021:A1 0.000154538 -4 *28741:Q 3.42071e-05 -5 *4020:20 0.00135846 -6 *4020:7 0.000352324 -7 *25021:A1 *28741:D 0.000100823 -8 *25021:A1 *5645:71 1.91414e-05 -9 *27813:B2 *30442:A 0.000349941 -10 *4020:7 *28741:D 5.33005e-05 -11 *4020:7 *5675:67 9.41642e-05 -12 *4020:20 *28741:D 3.17148e-05 -13 *4020:20 *30442:A 0.000175892 -14 *4020:20 *5645:71 2.14474e-05 -15 *25021:A2 *25021:A1 0.000232732 -16 *27813:A1 *27813:B2 8.52807e-05 -17 *27813:A2 *27813:B2 3.33103e-05 -18 *27813:B1 *27813:B2 2.82026e-05 -19 *27816:C *27813:B2 7.07155e-06 -20 *1341:15 *25021:A1 1.98839e-05 -21 *1345:13 *25021:A1 0.000170654 -22 *2855:298 *4020:7 1.21258e-05 -23 *2855:302 *4020:20 0.000150618 -24 *3826:57 *25021:A1 0.00030911 -25 *3826:57 *4020:20 0.000210538 -*RES -1 *28741:Q *4020:7 14.7464 -2 *4020:7 *25021:A1 19.2286 -3 *4020:7 *4020:20 9.125 -4 *4020:20 *27813:B2 23.8759 -5 *4020:20 *29626:A 9.3 -*END - -*D_NET *4021 0.0066024 -*CONN -*I *29962:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24975:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27833:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28742:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29962:A 5.82674e-05 -2 *24975:A1 0.000826785 -3 *27833:A1 0.00050329 -4 *28742:Q 3.84731e-05 -5 *4021:12 0.00146566 -6 *4021:5 0.000232326 -7 *24975:A1 *27195:A 0.000388025 -8 *24975:A1 *5590:191 5.52238e-05 -9 *24975:A1 *5862:44 5.91367e-05 -10 *27833:A1 *27833:A2 7.37323e-05 -11 *27833:A1 *5703:21 0.00100888 -12 *29962:A *27185:A1 0.000124521 -13 *29962:A *28742:D 0.000120187 -14 *4021:5 *27185:A1 5.33005e-05 -15 *4021:5 *28742:D 9.71197e-05 -16 *4021:12 *27185:A1 0.000146474 -17 *4021:12 *5703:21 0.000127251 -18 *24976:C1 *24975:A1 6.20685e-05 -19 *24978:A2 *27833:A1 0.000157997 -20 *27832:B2 *27833:A1 8.6229e-06 -21 *27833:B2 *27833:A1 1.24368e-05 -22 *27833:C1 *27833:A1 3.87499e-05 -23 *28742:CLK *29962:A 0 -24 *2794:5 *24975:A1 5.52238e-05 -25 *2794:37 *24975:A1 9.41642e-05 -26 *3378:7 *27833:A1 9.60939e-05 -27 *3991:72 *27833:A1 0.000430363 -28 *3991:72 *4021:12 0.000268025 -*RES -1 *28742:Q *4021:5 10.2464 -2 *4021:5 *4021:12 9.23214 -3 *4021:12 *27833:A1 29.1571 -4 *4021:12 *24975:A1 27.8893 -5 *4021:5 *29962:A 11.0857 -*END - -*D_NET *4022 0.0570205 -*CONN -*I *25382:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27858:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *29191:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28370:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25382:B2 0.000169953 -2 *27858:A1 0.000916394 -3 *29191:A 0.00042862 -4 *28370:Q 0.000286933 -5 *4022:94 0.00182637 -6 *4022:76 0.00293013 -7 *4022:75 0.00309827 -8 *4022:60 0.00256195 -9 *4022:59 0.00188636 -10 *4022:47 0.00158037 -11 *4022:27 0.00217826 -12 *4022:9 0.00154601 -13 *25382:B2 *4128:29 0.000112979 -14 *27858:A1 *27858:A2 1.46576e-05 -15 *27858:A1 *4088:56 0.00165087 -16 *27858:A1 *5629:37 0.000387059 -17 *29191:A *25925:A1 0.000129147 -18 *29191:A *4087:63 0.000223599 -19 *4022:9 *28694:RESET_B 0.000115184 -20 *4022:27 *28694:RESET_B 7.64454e-05 -21 *4022:27 *4141:34 0.00145013 -22 *4022:47 *25377:A1 4.42581e-05 -23 *4022:47 *4109:53 0.00049778 -24 *4022:47 *4128:24 8.03121e-05 -25 *4022:47 *5832:8 0.00049612 -26 *4022:59 *4199:8 0.000155954 -27 *4022:59 *5832:8 0.000496919 -28 *4022:60 *24896:B 0.000193396 -29 *4022:60 *4109:56 0.00243081 -30 *4022:60 *5845:33 0.000566557 -31 *4022:75 *24896:B 5.49489e-05 -32 *4022:75 *24901:B 0.000229547 -33 *4022:76 *24892:A 0.000214377 -34 *4022:76 *4130:109 9.91086e-05 -35 *4022:76 *5622:26 0.000328661 -36 *4022:76 *5666:262 0.000364589 -37 *4022:94 *27100:A1 0.000175892 -38 *4022:94 *4088:56 3.10262e-05 -39 *4022:94 *5873:175 8.43535e-06 -40 *24941:B *4022:47 5.49995e-05 -41 *25108:D *4022:75 0.000701826 -42 *25117:A2 *4022:75 9.58126e-05 -43 *25117:B1 *4022:76 3.56411e-05 -44 *25153:A2 *4022:60 0.000498565 -45 *25211:A2 *4022:76 1.94945e-05 -46 *25312:D *4022:94 1.37595e-05 -47 *25352:B2 *4022:47 5.21937e-05 -48 *25382:B1 *25382:B2 5.7661e-06 -49 *25395:A2 *4022:76 0.00201636 -50 *25412:B *4022:94 0.000337299 -51 *25412:D *4022:94 0.000233198 -52 *25413:B *4022:94 0.000160883 -53 *27857:C1 *27858:A1 0.000136676 -54 *27858:C1 *27858:A1 8.59594e-05 -55 *1235:124 *4022:59 6.05161e-06 -56 *1235:159 *4022:47 1.32293e-05 -57 *1239:90 *4022:59 2.06178e-05 -58 *1257:153 *4022:27 2.8046e-05 -59 *1267:49 *25382:B2 6.8445e-06 -60 *1267:49 *4022:27 2.72887e-05 -61 *1268:11 *4022:75 0.000255874 -62 *1281:64 *4022:94 0.000881608 -63 *1281:75 *4022:76 0.000366482 -64 *1287:132 *4022:47 4.69704e-05 -65 *1415:18 *4022:47 0.000424382 -66 *1415:27 *4022:47 2.442e-05 -67 *1420:40 *4022:76 0.000160692 -68 *1429:18 *4022:75 6.57032e-05 -69 *1435:117 *25382:B2 0.00038296 -70 *1435:117 *4022:27 0.000467849 -71 *1451:6 *4022:47 0.000364352 -72 *1451:6 *4022:60 0.000223615 -73 *1451:6 *4022:75 5.5764e-05 -74 *1451:26 *4022:75 0.00201932 -75 *1457:111 *4022:76 0.000935201 -76 *1464:56 *4022:47 2.51343e-06 -77 *1464:135 *4022:59 0.000177815 -78 *1494:38 *4022:75 0.000283724 -79 *1494:48 *4022:75 6.5919e-05 -80 *1494:48 *4022:76 0.00153123 -81 *1559:10 *4022:47 6.05161e-06 -82 *1627:10 *4022:47 0.000191925 -83 *1629:14 *27858:A1 0.000363408 -84 *1629:14 *4022:94 0.000227013 -85 *1631:16 *4022:75 0.000224121 -86 *1648:17 *4022:94 0.000260152 -87 *1682:12 *4022:27 0.000408189 -88 *1693:22 *4022:76 0.00115455 -89 *1695:10 *4022:76 0.00164993 -90 *1711:8 *4022:94 0.000973624 -91 *1729:10 *4022:76 0.000170128 -92 *1781:25 *4022:59 0.000200406 -93 *1899:13 *4022:94 6.30931e-05 -94 *2853:48 *4022:94 0.000135028 -95 *2872:41 *27858:A1 0.000146063 -96 *2874:290 *4022:47 0.000364352 -97 *2894:54 *4022:94 5.52302e-05 -98 *3173:158 *4022:60 0.00024721 -99 *3185:268 *27858:A1 2.06112e-05 -100 *3214:48 *27858:A1 0.000706867 -101 *3215:50 *4022:76 1.94945e-05 -102 *3576:15 *29191:A 0.000129147 -103 *3742:36 *4022:47 2.42516e-05 -104 *3771:28 *4022:47 9.64482e-05 -105 *3784:79 *4022:60 0.000226885 -106 *3790:81 *4022:27 0.000983085 -107 *3868:74 *29191:A 0.000223599 -108 *3888:12 *4022:59 0.000312215 -109 *3927:20 *4022:60 0.00411216 -*RES -1 *28370:Q *4022:9 13.675 -2 *4022:9 *29191:A 27.0321 -3 *4022:9 *4022:27 34.875 -4 *4022:27 *4022:47 47.5678 -5 *4022:47 *4022:59 23.6154 -6 *4022:59 *4022:60 53.8571 -7 *4022:60 *4022:75 49.3214 -8 *4022:75 *4022:76 66 -9 *4022:76 *4022:94 43.4286 -10 *4022:94 *27858:A1 40.3179 -11 *4022:27 *25382:B2 13.6795 -*END - -*D_NET *4023 0.0436749 -*CONN -*I *29812:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25300:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27883:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28371:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29812:A 1.1624e-05 -2 *25300:B2 7.34992e-06 -3 *27883:A1 0.00085253 -4 *28371:Q 0.000168845 -5 *4023:28 0.0027741 -6 *4023:20 0.00196488 -7 *4023:18 0.00223945 -8 *4023:16 0.00278977 -9 *4023:10 0.00230263 -10 *4023:8 0.00189681 -11 *27883:A1 *27854:A2 0.000324117 -12 *27883:A1 *27883:A2 0.000221978 -13 *27883:A1 *5629:44 3.29297e-05 -14 *27883:A1 *5629:71 0.000709878 -15 *27883:A1 *5699:147 1.48981e-05 -16 *29812:A *5758:75 5.52238e-05 -17 *4023:8 *28374:D 1.90303e-05 -18 *4023:8 *4078:16 5.75896e-05 -19 *4023:8 *5878:14 0.000171368 -20 *4023:10 *27953:A2 2.09897e-05 -21 *4023:10 *4078:16 0.000200558 -22 *4023:10 *4105:78 0.000232063 -23 *4023:10 *5878:14 0.00420292 -24 *4023:16 *5614:88 8.6229e-06 -25 *4023:16 *5630:110 0.000139123 -26 *4023:18 *25935:A1 2.6371e-05 -27 *4023:18 *28159:D 0.000460997 -28 *4023:18 *28379:RESET_B 8.75968e-05 -29 *4023:18 *5614:88 2.68445e-05 -30 *4023:18 *5687:58 1.06693e-05 -31 *4023:28 *27854:A2 9.96717e-06 -32 *4023:28 *27874:B2 0.000889652 -33 *4023:28 *28157:SET_B 9.29027e-05 -34 *4023:28 *5699:145 0.00101957 -35 *4023:28 *5744:76 0.000120504 -36 *25115:A1 *4023:8 0.000219711 -37 *25300:A1 *25300:B2 1.28809e-05 -38 *27846:A *4023:28 1.11775e-05 -39 *27855:C1 *27883:A1 2.6541e-05 -40 *27872:A *4023:28 0.000291085 -41 *27880:C1 *4023:28 0.000485186 -42 *27883:B1 *27883:A1 2.89016e-05 -43 *27883:B2 *27883:A1 6.88854e-05 -44 *27896:B *4023:28 2.74561e-05 -45 *27900:C1 *4023:28 0.000251116 -46 *27946:B2 *4023:10 2.26973e-05 -47 *27946:C1 *4023:16 0.000113835 -48 *27954:A1 *4023:16 4.50149e-05 -49 *27955:D *4023:10 7.99093e-06 -50 *30227:A *4023:18 0.000212583 -51 *30545:A *4023:28 0.000124534 -52 *1362:15 *4023:16 0.000565901 -53 *1362:90 *4023:18 0.00142635 -54 *1427:59 *27883:A1 4.15526e-05 -55 *1437:29 *4023:28 8.51829e-05 -56 *1563:17 *4023:18 0.0015258 -57 *1563:26 *4023:10 0.00401057 -58 *1563:26 *4023:16 0.00027932 -59 *1563:26 *4023:18 0.00314295 -60 *1617:30 *4023:28 2.11419e-05 -61 *3145:14 *4023:18 5.81013e-05 -62 *3145:14 *4023:28 0.000404986 -63 *3185:341 *4023:18 0.00191618 -64 *3214:78 *4023:28 3.5703e-05 -65 *3214:92 *4023:18 0.000542074 -66 *3214:92 *4023:28 0.000252993 -67 *3215:112 *4023:28 4.85091e-05 -68 *3411:20 *27883:A1 0.000165122 -69 *3457:22 *4023:28 1.80461e-05 -70 *3542:27 *4023:10 0.000153562 -71 *3542:27 *4023:16 0.000617531 -72 *3766:71 *4023:18 0.000521416 -73 *3780:34 *27883:A1 3.57375e-05 -74 *3802:58 *4023:18 6.62299e-06 -75 *3819:16 *4023:18 1.18544e-05 -76 *3832:37 *4023:28 0.000104905 -77 *3832:43 *4023:18 0 -78 *3858:28 *4023:16 6.39829e-05 -79 *3910:50 *27883:A1 0.000878178 -80 *3921:11 *4023:28 0.000341111 -81 *3923:32 *4023:18 4.40142e-05 -82 *3931:10 *4023:28 0.000146474 -83 *3932:18 *4023:28 3.98962e-05 -84 *3957:67 *29812:A 5.52238e-05 -*RES -1 *28371:Q *4023:8 18.2286 -2 *4023:8 *4023:10 60.5357 -3 *4023:10 *4023:16 17.4375 -4 *4023:16 *4023:18 71.6161 -5 *4023:18 *4023:20 0.535714 -6 *4023:20 *4023:28 48.0268 -7 *4023:28 *27883:A1 35.8446 -8 *4023:20 *25300:B2 13.9295 -9 *4023:8 *29812:A 14.3357 -*END - -*D_NET *4024 0.0149244 -*CONN -*I *25383:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27661:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *29100:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28743:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *25383:A1 0.00023048 -2 *27661:A1 0.00140226 -3 *29100:A 0.000163002 -4 *28743:Q 0 -5 *4024:23 0.00281252 -6 *4024:4 0.00134278 -7 *25383:A1 *25383:B1 4.32151e-05 -8 *25383:A1 *5810:115 0.000177815 -9 *25383:A1 *6280:6 0.000527807 -10 *27661:A1 *27661:B1 1.39841e-05 -11 *27661:A1 *28706:D 0.000630452 -12 *27661:A1 *5646:259 0.00142899 -13 *27661:A1 *5709:38 0.000736637 -14 *29100:A *27187:A1 0.000135028 -15 *29100:A *27187:S 0.000148196 -16 *29100:A *5914:64 0.000146474 -17 *4024:23 *4102:32 0.000262498 -18 *4024:23 *5646:259 6.57032e-05 -19 *4024:23 *5704:6 0.00203341 -20 *4024:23 *5792:26 0 -21 *4024:23 *5792:28 0.00161051 -22 *25383:A2 *25383:A1 7.37323e-05 -23 *29358:A *27661:A1 0.000175892 -24 *1286:55 *25383:A1 5.52302e-05 -25 *1286:72 *25383:A1 0.000527807 -26 *2763:219 *29100:A 1.56723e-05 -27 *2763:219 *4024:23 2.74026e-05 -28 *3699:15 *29100:A 0.000136951 -*RES -1 *28743:Q *4024:4 9.3 -2 *4024:4 *29100:A 22.3446 -3 *4024:4 *4024:23 40.5089 -4 *4024:23 *27661:A1 39.3179 -5 *4024:23 *25383:A1 27.3 -*END - -*D_NET *4025 0.0567035 -*CONN -*I *25242:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *29762:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27898:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28362:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *25242:B2 0 -2 *29762:A 0.00012168 -3 *27898:B2 0 -4 *28362:Q 0 -5 *4025:75 0.00149201 -6 *4025:60 0.00373299 -7 *4025:38 0.0022261 -8 *4025:37 0.00228574 -9 *4025:32 0.00171898 -10 *4025:31 0.00252306 -11 *4025:21 0.00194881 -12 *4025:5 0.0013164 -13 *4025:4 0.0023506 -14 *29762:A *5823:34 0.00035768 -15 *4025:5 *25895:A1 3.45337e-05 -16 *4025:21 *25895:A1 6.09889e-05 -17 *4025:21 *25914:A1 0.000267807 -18 *4025:21 *25928:A0 2.28499e-05 -19 *4025:21 *4035:23 0.00056961 -20 *4025:21 *5457:22 0.000143668 -21 *4025:21 *5458:40 0.00169452 -22 *4025:21 *5799:12 0.000197087 -23 *4025:31 *25928:A0 0.000216755 -24 *4025:31 *27895:A1 0.000391767 -25 *4025:31 *5457:22 0.000165754 -26 *4025:31 *5458:40 0.00192634 -27 *4025:31 *5641:96 0.0012882 -28 *4025:31 *5799:12 0.000121752 -29 *4025:31 *5823:51 0.000109428 -30 *4025:32 *25173:A1 4.15526e-05 -31 *4025:32 *4026:26 0.000133692 -32 *4025:32 *4036:25 0.00125345 -33 *4025:32 *4105:78 8.56454e-05 -34 *4025:32 *5457:22 0.000197779 -35 *4025:32 *5458:40 0.00437683 -36 *4025:32 *5614:44 0.000243303 -37 *4025:32 *5823:51 0.000110498 -38 *4025:37 *5857:9 7.29563e-05 -39 *4025:38 *5457:22 0.0001326 -40 *4025:38 *5458:40 0.0060237 -41 *4025:38 *5629:104 0.000109589 -42 *4025:38 *5629:108 0.00237312 -43 *4025:38 *5646:102 0.00220072 -44 *4025:60 *27104:S 0.000800165 -45 *4025:60 *5642:172 0.000135014 -46 *4025:60 *5688:125 0.000134865 -47 *4025:75 *25242:A2 4.08637e-05 -48 *4025:75 *4027:40 0.0018549 -49 *4025:75 *4043:69 5.75829e-05 -50 *4025:75 *4135:70 0.000199197 -51 *4025:75 *5584:162 0.000183298 -52 *4025:75 *5585:8 0.000107191 -53 *4025:75 *5589:73 0.000107648 -54 *4025:75 *5650:285 2.13938e-05 -55 *4025:75 *5660:217 2.26147e-05 -56 *4025:75 *5891:11 0.000129386 -57 *25145:B1 *4025:75 2.22731e-06 -58 *25242:B1 *4025:75 0.000124234 -59 *25912:A *29762:A 0.000117189 -60 *25917:S *4025:5 0.000137983 -61 *25917:S *4025:60 0.000170233 -62 *27605:A2 *4025:75 0.000374804 -63 *27749:A2 *4025:75 0.000111326 -64 *27756:A2 *4025:75 8.23119e-05 -65 *27945:A2 *4025:38 0.000440904 -66 *29731:A *4025:21 0.000117903 -67 *1286:27 *4025:75 5.37078e-05 -68 *1293:129 *4025:75 0.000133629 -69 *1405:83 *29762:A 0.000240491 -70 *1405:96 *4025:75 0.000163649 -71 *1405:102 *4025:75 4.40153e-05 -72 *1469:21 *4025:75 0.000198629 -73 *1475:42 *4025:21 7.83659e-05 -74 *1475:60 *4025:21 0.000611551 -75 *1647:19 *4025:32 9.60808e-05 -76 *1896:23 *4025:21 0 -77 *1896:23 *4025:31 6.05161e-06 -78 *2891:212 *4025:75 4.22349e-05 -79 *2893:24 *4025:75 9.20825e-05 -80 *2970:19 *4025:75 3.5724e-05 -81 *3413:25 *4025:21 0.000122476 -82 *3562:16 *4025:60 9.96264e-05 -83 *3593:51 *4025:60 8.55871e-05 -84 *3719:40 *4025:75 0.000308602 -85 *3766:40 *4025:21 0.000578969 -86 *3775:79 *4025:5 8.55871e-05 -87 *3775:79 *4025:21 9.0145e-05 -88 *3810:26 *4025:60 0 -89 *3828:61 *4025:38 5.58875e-06 -90 *3828:77 *4025:38 0.000783929 -91 *4012:11 *4025:21 0.000236885 -92 *4013:26 *4025:32 0.00239607 -*RES -1 *28362:Q *4025:4 9.3 -2 *4025:4 *4025:5 2.58929 -3 *4025:5 *4025:21 48.4244 -4 *4025:21 *4025:31 38.8593 -5 *4025:31 *4025:32 57.9554 -6 *4025:32 *4025:37 9.94643 -7 *4025:37 *4025:38 79.6607 -8 *4025:38 *27898:B2 13.8 -9 *4025:5 *29762:A 22.9786 -10 *4025:4 *4025:60 36.25 -11 *4025:60 *4025:75 41.0067 -12 *4025:75 *25242:B2 9.3 -*END - -*D_NET *4026 0.0342445 -*CONN -*I *29618:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25189:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27923:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28363:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *29618:A 0.000174734 -2 *25189:A1 3.75991e-05 -3 *27923:B2 0.000901717 -4 *28363:Q 0.000143891 -5 *4026:37 0.00120983 -6 *4026:26 0.00255895 -7 *4026:24 0.00348676 -8 *4026:8 0.00138883 -9 *4026:7 0.000433933 -10 *27923:B2 *5457:22 0.000126716 -11 *29618:A *25916:A1 4.58194e-05 -12 *29618:A *4079:15 2.79421e-05 -13 *29618:A *5613:22 0.000113806 -14 *29618:A *5823:34 0.000423825 -15 *4026:7 *5760:69 0.000175892 -16 *4026:8 *5196:8 1.18064e-05 -17 *4026:8 *5823:34 0.000332238 -18 *4026:24 *25926:A1 0.000454811 -19 *4026:24 *25927:A1 0.000270236 -20 *4026:24 *28374:D 0.000290271 -21 *4026:24 *4036:17 1.66754e-05 -22 *4026:24 *4038:17 0.000669932 -23 *4026:24 *5196:8 0.000181248 -24 *4026:24 *5457:22 0.000271204 -25 *4026:24 *5613:22 0.000253344 -26 *4026:24 *5823:34 0.00127993 -27 *4026:26 *24900:A 0.000144038 -28 *4026:26 *25173:A1 4.00349e-05 -29 *4026:26 *4036:25 0.0013214 -30 *4026:26 *4105:78 8.73601e-05 -31 *4026:26 *5614:44 0.000236402 -32 *4026:26 *5641:78 0.00200321 -33 *4026:37 *5458:40 0.00045682 -34 *4026:37 *5651:156 4.08637e-05 -35 *4026:37 *5651:158 5.33005e-05 -36 *4026:37 *5839:47 9.41642e-05 -37 *25189:B1 *25189:A1 2.84109e-05 -38 *25368:C1 *4026:24 0.000208923 -39 *27946:C1 *4026:26 0.000157519 -40 *1405:83 *25189:A1 5.49489e-05 -41 *1405:83 *29618:A 0.000433075 -42 *1405:83 *4026:8 0.000315165 -43 *1435:34 *27923:B2 0.00205783 -44 *1435:34 *4026:26 0.000505151 -45 *1464:56 *4026:24 0.000133706 -46 *1565:20 *4026:26 3.75959e-05 -47 *1647:19 *4026:26 9.77884e-05 -48 *3471:10 *27923:B2 0.000115312 -49 *3504:18 *4026:37 9.58181e-05 -50 *3576:15 *25189:A1 2.12087e-05 -51 *3659:14 *4026:24 0.000119987 -52 *3659:14 *4026:26 0.000570235 -53 *3766:40 *4026:24 2.23987e-05 -54 *3766:53 *4026:24 0.000451098 -55 *3766:53 *4026:26 0.000190558 -56 *3961:45 *27923:B2 0.00038021 -57 *4013:17 *4026:24 0.000102463 -58 *4013:17 *4026:26 0.000685152 -59 *4013:18 *4026:26 0.00204677 -60 *4013:25 *4026:26 4.04292e-05 -61 *4013:26 *27923:B2 0.00205677 -62 *4013:26 *4026:26 0.00296814 -63 *4013:26 *4026:37 0.000454641 -64 *4025:32 *4026:26 0.000133692 -*RES -1 *28363:Q *4026:7 15.5679 -2 *4026:7 *4026:8 4.375 -3 *4026:8 *4026:24 42.6683 -4 *4026:24 *4026:26 75.6875 -5 *4026:26 *4026:37 26.0893 -6 *4026:37 *27923:B2 44.7643 -7 *4026:8 *25189:A1 14.7464 -8 *4026:7 *29618:A 20.3357 -*END - -*D_NET *4027 0.0280055 -*CONN -*I *25085:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30182:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27947:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28364:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *25085:B2 0 -2 *30182:A 6.99669e-05 -3 *27947:B2 0.000304176 -4 *28364:Q 0 -5 *4027:40 0.00371026 -6 *4027:20 0.00122211 -7 *4027:11 0.00221925 -8 *4027:7 0.001507 -9 *4027:4 0.00384597 -10 *4027:11 *25925:A1 0.000343203 -11 *4027:11 *27002:A0 0.000369811 -12 *4027:11 *5607:39 0.000994189 -13 *4027:11 *5607:47 0.000512074 -14 *4027:20 *25372:B2 0.000929754 -15 *4027:20 *4079:46 0.00129753 -16 *4027:20 *5761:192 0.000178847 -17 *25077:B2 *27947:B2 0.000313543 -18 *25115:A2 *4027:11 3.28534e-05 -19 *25242:B1 *4027:40 1.23759e-05 -20 *25372:B1 *4027:20 0.000196235 -21 *25373:B *4027:11 0.000202835 -22 *28670:CLK *4027:40 1.90303e-05 -23 *29856:A *4027:11 0.000314316 -24 *1288:54 *4027:20 9.41642e-05 -25 *1327:28 *4027:20 0.000208335 -26 *1405:96 *4027:7 1.01403e-05 -27 *1405:96 *4027:40 0.000233163 -28 *1405:102 *4027:40 4.33751e-05 -29 *1434:47 *4027:11 0.000113369 -30 *1434:76 *30182:A 0.000236799 -31 *1434:76 *4027:11 0.00145446 -32 *1436:13 *4027:11 6.05161e-06 -33 *1447:78 *4027:11 0.000148047 -34 *1450:28 *27947:B2 0.000348828 -35 *1464:56 *4027:11 3.69047e-06 -36 *1615:14 *4027:20 1.58163e-05 -37 *1685:46 *4027:20 0.0015244 -38 *3686:83 *4027:11 0.00045309 -39 *3719:40 *4027:40 0.000262282 -40 *3725:18 *30182:A 0.000245342 -41 *3725:18 *4027:11 0.000563501 -42 *3738:41 *4027:20 0.000113776 -43 *3740:41 *27947:B2 0.000200155 -44 *3888:8 *4027:40 0.000105471 -45 *3920:40 *4027:20 0.00109932 -46 *3975:44 *27947:B2 7.16452e-05 -47 *4025:75 *4027:40 0.0018549 -*RES -1 *28364:Q *4027:4 9.3 -2 *4027:4 *4027:7 5.85714 -3 *4027:7 *4027:11 47.8929 -4 *4027:11 *4027:20 49.2143 -5 *4027:20 *27947:B2 21.7464 -6 *4027:7 *30182:A 16.9607 -7 *4027:4 *4027:40 44.2902 -8 *4027:40 *25085:B2 9.3 -*END - -*D_NET *4028 0.0148151 -*CONN -*I *30455:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27675:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25342:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28744:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *30455:A 0.000875801 -2 *27675:B2 0.000707425 -3 *25342:A1 0.00012769 -4 *28744:Q 6.79985e-05 -5 *4028:8 0.00195792 -6 *4028:7 0.0020666 -7 *27675:B2 *4080:22 0.000180445 -8 *27675:B2 *5590:131 0.000182152 -9 *27675:B2 *5646:258 0.000334379 -10 *30455:A *4509:13 0.000437768 -11 *30455:A *5748:6 2.41224e-05 -12 *30455:A *5896:17 0.000122879 -13 *4028:8 *28499:SET_B 0.000373635 -14 *4028:8 *5748:6 0.00276211 -15 *4028:8 *5769:53 0.000116183 -16 *4028:8 *5769:55 0.000423163 -17 *4028:8 *5769:57 0.000323621 -18 *25342:A2 *25342:A1 7.56621e-05 -19 *28124:CLK *25342:A1 3.27919e-05 -20 *28691:CLK *30455:A 5.5671e-05 -21 *28760:CLK *4028:7 2.18792e-05 -22 *29205:A *27675:B2 3.63587e-05 -23 *30266:A *30455:A 0.000189359 -24 *1286:85 *25342:A1 0.0002083 -25 *1660:11 *25342:A1 0.000221634 -26 *2767:167 *27675:B2 0.000567506 -27 *2771:84 *27675:B2 0.000225511 -28 *2791:233 *4028:8 0.000756124 -29 *3206:304 *27675:B2 0.000147755 -30 *3603:43 *30455:A 0.000127039 -31 *3603:62 *30455:A 7.18845e-05 -32 *3603:66 *30455:A 0.00014636 -33 *3686:36 *4028:8 0.000117109 -34 *3822:110 *30455:A 0.00035845 -35 *3822:110 *4028:8 0 -36 *3907:27 *27675:B2 2.83129e-05 -37 *3963:21 *27675:B2 0.000343491 -*RES -1 *28744:Q *4028:7 14.5411 -2 *4028:7 *4028:8 36.25 -3 *4028:8 *25342:A1 17.2107 -4 *4028:8 *27675:B2 32.6319 -5 *4028:7 *30455:A 34.6929 -*END - -*D_NET *4029 0.0201469 -*CONN -*I *29115:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25232:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27697:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28745:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29115:A 0.000539609 -2 *25232:B2 0 -3 *27697:B2 0 -4 *28745:Q 0.000369348 -5 *4029:24 0.00135613 -6 *4029:16 0.00232299 -7 *4029:10 0.00187582 -8 *29115:A *26852:A0 0.000396879 -9 *29115:A *26852:A1 5.71472e-05 -10 *29115:A *27189:A0 0.000201763 -11 *29115:A *27189:A1 0.000509213 -12 *29115:A *5771:75 0.000393943 -13 *4029:10 *28508:D 7.2754e-05 -14 *4029:10 *29050:A 0.000148182 -15 *4029:10 *29702:A 0.000440698 -16 *4029:16 *27189:A0 0.000788278 -17 *4029:16 *27189:A1 0.000498967 -18 *4029:16 *29423:A 0.000238769 -19 *4029:16 *4080:22 0.000300056 -20 *4029:16 *5795:44 0.000149113 -21 *4029:16 *5795:50 0.000954622 -22 *4029:16 *5881:43 1.74903e-05 -23 *4029:16 *5881:54 9.60939e-05 -24 *4029:24 *27679:A2 5.04048e-05 -25 *4029:24 *4080:22 0.000593852 -26 *4029:24 *5646:232 3.51442e-05 -27 *4029:24 *5646:258 0.000135737 -28 *4029:24 *5701:126 0.000351689 -29 *4029:24 *5824:153 0.000301024 -30 *26852:S *29115:A 5.71472e-05 -31 *27703:C1 *4029:24 0.000599825 -32 *29205:A *4029:24 0.000352551 -33 *29227:A *4029:24 0.000210081 -34 *2754:41 *29115:A 0.000126566 -35 *2771:84 *4029:24 8.3116e-05 -36 *2794:95 *4029:16 0.000177934 -37 *2794:101 *4029:24 0.00151762 -38 *2794:102 *4029:24 0.000334689 -39 *2794:107 *4029:24 0.000737954 -40 *3846:8 *4029:16 0.00199693 -41 *3846:8 *4029:24 0.00059205 -42 *3924:53 *4029:24 0.000164769 -*RES -1 *28745:Q *4029:10 24.4786 -2 *4029:10 *4029:16 39.9107 -3 *4029:16 *4029:24 49.0666 -4 *4029:24 *27697:B2 13.8 -5 *4029:16 *25232:B2 13.8 -6 *4029:10 *29115:A 24.6214 -*END - -*D_NET *4030 0.0680422 -*CONN -*I *29973:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27722:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25190:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *24823:A I *D sky130_fd_sc_hd__clkinv_2 -*I *28746:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29973:A 0.000743128 -2 *27722:B2 8.59642e-05 -3 *25190:B2 4.94028e-06 -4 *24823:A 0 -5 *28746:Q 0 -6 *4030:48 0.00436519 -7 *4030:47 0.00510016 -8 *4030:36 0.00193273 -9 *4030:28 0.0025742 -10 *4030:27 0.00223597 -11 *4030:18 0.00257747 -12 *4030:4 0.00237015 -13 *25190:B2 *5879:98 6.26774e-06 -14 *27722:B2 *5646:294 0.000248138 -15 *29973:A *4134:6 0.00021115 -16 *29973:A *5683:32 3.21387e-05 -17 *29973:A *5824:170 1.92905e-05 -18 *29973:A *6277:9 0.000156414 -19 *29973:A *6278:11 5.52302e-05 -20 *4030:18 *26914:A0 0.000123295 -21 *4030:18 *26914:A1 0.000127439 -22 *4030:18 *27126:B 0.0002226 -23 *4030:18 *27177:B 1.90936e-05 -24 *4030:18 *29971:A 5.76141e-05 -25 *4030:18 *5668:31 6.10263e-05 -26 *4030:18 *5695:55 0.000247063 -27 *4030:18 *5695:183 0.000145625 -28 *4030:18 *5820:73 1.43048e-05 -29 *4030:18 *5911:8 0.000188376 -30 *4030:18 *5911:10 0.000344712 -31 *4030:18 *6275:11 0.000331312 -32 *4030:18 *6277:9 2.40379e-05 -33 *4030:27 *28477:D 0.000347187 -34 *4030:27 *5582:156 0.000136951 -35 *4030:27 *5628:202 0.000124589 -36 *4030:27 *5646:294 0.000136958 -37 *4030:27 *5777:160 7.50757e-05 -38 *4030:27 *5795:206 0.000135028 -39 *4030:36 *27085:A1 0.000261934 -40 *4030:36 *4069:66 5.23721e-05 -41 *4030:36 *4069:74 0.000138913 -42 *4030:47 *4095:67 0 -43 *4030:48 *27696:A0 9.11365e-06 -44 *4030:48 *27720:A1 0.000339194 -45 *4030:48 *5583:126 0.000960221 -46 *4030:48 *5589:147 0.000335232 -47 *4030:48 *5596:51 0.00163718 -48 *4030:48 *5598:49 6.18969e-06 -49 *4030:48 *5601:16 0.000390568 -50 *4030:48 *5627:18 0 -51 *4030:48 *5627:38 0.000118982 -52 *4030:48 *5638:30 0.00017288 -53 *25190:B1 *25190:B2 1.28809e-05 -54 *25205:A1 *4030:28 6.057e-07 -55 *27094:S *4030:36 1.01417e-05 -56 *27372:B2 *4030:48 0.000234713 -57 *27373:C1 *4030:48 2.9353e-05 -58 *27393:C1 *4030:28 3.25078e-05 -59 *27730:C1 *4030:27 0.000141788 -60 *27732:B1 *4030:36 0.000100827 -61 *28801:CLK *4030:48 0.000166503 -62 *29126:A *29973:A 0.000218318 -63 *1225:184 *4030:18 3.25078e-05 -64 *1252:134 *4030:48 0.000775778 -65 *1262:97 *4030:36 0.000300412 -66 *1363:114 *4030:48 0.000909135 -67 *1501:20 *4030:47 2.53864e-05 -68 *1520:8 *4030:47 4.34543e-05 -69 *1520:8 *4030:48 0.00324423 -70 *1520:19 *4030:47 0.000101171 -71 *1527:6 *4030:48 0 -72 *2756:10 *4030:18 0 -73 *2758:64 *4030:48 0.000693047 -74 *2765:18 *4030:28 1.0945e-05 -75 *2786:22 *4030:18 0.000487127 -76 *2788:22 *4030:48 0.000257739 -77 *2788:76 *4030:27 0.000499302 -78 *2788:76 *4030:28 1.53411e-05 -79 *2788:158 *4030:27 9.12207e-06 -80 *2791:163 *4030:27 2.04825e-05 -81 *2848:178 *4030:48 0.000635362 -82 *2853:224 *4030:48 0.000605589 -83 *2859:162 *4030:48 0.0045589 -84 *2860:242 *4030:36 0.000329334 -85 *2860:242 *4030:47 6.29737e-05 -86 *2864:301 *4030:28 8.55156e-05 -87 *2871:298 *4030:47 9.41642e-05 -88 *2875:302 *4030:47 6.34874e-05 -89 *2880:30 *4030:48 0.000187857 -90 *2888:291 *4030:28 0.000140521 -91 *2950:10 *4030:28 3.43988e-06 -92 *2955:15 *4030:28 0.00196793 -93 *2978:36 *4030:48 0.000284297 -94 *3153:320 *27722:B2 3.63775e-05 -95 *3153:320 *4030:27 3.82242e-05 -96 *3194:70 *4030:48 1.17968e-05 -97 *3194:93 *4030:48 1.08359e-05 -98 *3205:230 *4030:48 0.000322126 -99 *3281:8 *4030:27 0.000806429 -100 *3281:8 *4030:28 0 -101 *3538:14 *4030:18 0 -102 *3538:16 *4030:28 0.00346815 -103 *3538:16 *4030:36 0.00076342 -104 *3558:213 *4030:48 9.87983e-06 -105 *3575:46 *4030:48 1.09026e-05 -106 *3604:8 *4030:18 0 -107 *3604:12 *4030:18 0 -108 *3666:126 *4030:48 0.0039703 -109 *3679:39 *4030:36 0.000145239 -110 *3718:79 *4030:18 0.000555647 -111 *3731:61 *27722:B2 0.000136958 -112 *3744:36 *4030:28 5.68312e-06 -113 *3796:118 *4030:28 0.00107809 -114 *3796:118 *4030:36 0.00076342 -115 *3796:124 *4030:27 0.000394629 -116 *3809:7 *4030:27 0.00018077 -117 *3835:36 *4030:27 2.63878e-05 -118 *3835:38 *4030:36 0.0019443 -119 *3835:45 *4030:47 0.00113939 -120 *3874:9 *4030:36 0.000194185 -121 *3874:16 *4030:47 0 -122 *3874:18 *4030:47 0.000990251 -123 *3874:18 *4030:48 0.000131691 -124 *3874:53 *4030:36 0.000397537 -125 *3887:97 *29973:A 0.000769864 -126 *3900:21 *4030:27 0.00075074 -127 *3913:21 *4030:18 0.000123116 -128 *3913:26 *4030:18 0.00057939 -129 *3952:51 *4030:48 0.000530982 -130 *3964:16 *4030:48 0.000359734 -*RES -1 *28746:Q *4030:4 9.3 -2 *4030:4 *4030:18 49.9464 -3 *4030:18 *4030:27 41.7857 -4 *4030:27 *4030:28 45.9643 -5 *4030:28 *4030:36 47.6161 -6 *4030:36 *4030:47 34.6069 -7 *4030:47 *4030:48 150.089 -8 *4030:48 *24823:A 13.8 -9 *4030:28 *25190:B2 13.9295 -10 *4030:18 *27722:B2 11.8893 -11 *4030:4 *29973:A 35.2643 -*END - -*D_NET *4031 0.0254855 -*CONN -*I *25145:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27749:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30208:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28747:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25145:B2 0.00135409 -2 *27749:B2 0.00037042 -3 *30208:A 0.000154427 -4 *28747:Q 0.000412252 -5 *4031:28 0.00285846 -6 *4031:18 0.00309678 -7 *4031:17 0.00239395 -8 *4031:5 0.000997793 -9 *25145:B2 *25145:A1 5.10907e-05 -10 *25145:B2 *4076:48 9.09539e-05 -11 *25145:B2 *5628:161 0.000221068 -12 *25145:B2 *5645:156 0.000152448 -13 *25145:B2 *5646:213 5.6164e-05 -14 *25145:B2 *5836:298 0.00020104 -15 *27749:B2 *5646:213 4.58194e-05 -16 *27749:B2 *5646:232 3.37161e-05 -17 *27749:B2 *5777:53 0.000484322 -18 *30208:A *5709:254 0.000121897 -19 *4031:5 *26911:A0 2.36158e-05 -20 *4031:5 *28747:RESET_B 0.000181107 -21 *4031:17 *26911:A0 5.46079e-05 -22 *4031:18 *28598:RESET_B 0.000124655 -23 *4031:18 *5585:8 7.42757e-05 -24 *4031:18 *6247:6 0 -25 *4031:18 *6247:16 0 -26 *4031:18 *6269:12 0.000261966 -27 *4031:28 *25085:A1 4.94981e-05 -28 *4031:28 *26996:A1 0.000244271 -29 *4031:28 *28598:RESET_B 1.67662e-05 -30 *4031:28 *5585:8 2.15258e-05 -31 *4031:28 *5590:98 6.43827e-05 -32 *4031:28 *5590:107 0.000913306 -33 *4031:28 *5777:53 0.000448165 -34 *25146:B2 *25145:B2 0.000127359 -35 *25147:A1 *25145:B2 0 -36 *25202:A1 *4031:28 0.00014576 -37 *25203:C1 *4031:28 0.000117478 -38 *25677:B2 *4031:18 0.000357672 -39 *27023:S *4031:18 9.17543e-05 -40 *27403:A2 *25145:B2 0.000136635 -41 *27407:C1 *4031:28 4.5894e-05 -42 *27605:A2 *25145:B2 0.000216608 -43 *27609:C1 *4031:18 0.000941255 -44 *27749:A2 *27749:B2 5.33005e-05 -45 *29119:A *4031:18 1.41029e-05 -46 *29666:A *4031:18 7.85979e-05 -47 *30211:A *4031:28 0.000136682 -48 *1258:14 *4031:18 0.00230724 -49 *1258:158 *4031:18 0.00020212 -50 *1469:21 *25145:B2 1.99881e-05 -51 *1562:19 *4031:28 3.10885e-05 -52 *1696:10 *4031:18 4.10514e-05 -53 *1835:6 *4031:18 0.000944053 -54 *1862:82 *30208:A 0.000306473 -55 *2759:68 *4031:18 6.54117e-05 -56 *2771:97 *4031:28 0.00164945 -57 *2771:104 *27749:B2 0.000478759 -58 *2771:104 *4031:28 0.000115096 -59 *2866:323 *27749:B2 0.000220333 -60 *2891:212 *25145:B2 0.000277574 -61 *3601:55 *4031:18 0.000159079 -62 *3719:13 *4031:28 0.000103211 -63 *3901:35 *4031:28 2.25229e-05 -64 *3901:79 *25145:B2 0.000378616 -65 *3979:19 *4031:18 0.000125466 -66 *3979:19 *4031:28 0 -*RES -1 *28747:Q *4031:5 13.9429 -2 *4031:5 *30208:A 22.3714 -3 *4031:5 *4031:17 9.55357 -4 *4031:17 *4031:18 53.5536 -5 *4031:18 *4031:28 41.5804 -6 *4031:28 *27749:B2 23.0589 -7 *4031:28 *25145:B2 30.1112 -*END - -*D_NET *4032 0.00660796 -*CONN -*I *30576:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25068:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27773:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28748:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30576:A 2.61003e-05 -2 *25068:B2 1.55936e-05 -3 *27773:B2 0.000857864 -4 *28748:Q 0.000526253 -5 *4032:10 0.00119552 -6 *4032:8 0.000874415 -7 *27773:B2 *25048:A2 0 -8 *27773:B2 *27822:B2 1.66856e-05 -9 *27773:B2 *4137:16 0 -10 *27773:B2 *5586:51 0.000190805 -11 *27773:B2 *5646:311 0 -12 *27773:B2 *5774:164 0 -13 *30576:A *27192:A1 2.89114e-05 -14 *4032:8 *5829:221 0.000125467 -15 *4032:8 *5863:25 5.33005e-05 -16 *4032:10 *5590:191 0.000128034 -17 *4032:10 *5736:24 3.81717e-05 -18 *25068:B1 *25068:B2 2.84269e-05 -19 *27773:A1 *27773:B2 6.05161e-06 -20 *28503:CLK *27773:B2 0.000174812 -21 *3153:300 *27773:B2 2.05413e-05 -22 *3153:313 *27773:B2 4.04292e-05 -23 *3538:75 *4032:8 1.76135e-05 -24 *3538:75 *4032:10 8.5284e-05 -25 *3718:47 *27773:B2 9.87983e-06 -26 *3731:39 *27773:B2 0.000170062 -27 *3916:18 *27773:B2 0.000860406 -28 *3916:18 *4032:8 0.00029086 -29 *3916:18 *4032:10 0.00053634 -30 *3965:130 *4032:8 2.06178e-05 -31 *3978:130 *27773:B2 0.000142691 -32 *3978:130 *4032:8 4.09982e-05 -33 *3978:130 *4032:10 4.12058e-05 -34 *4006:11 *27773:B2 4.46243e-05 -*RES -1 *28748:Q *4032:8 22.1036 -2 *4032:8 *4032:10 7.41071 -3 *4032:10 *27773:B2 32.8804 -4 *4032:10 *25068:B2 14.0857 -5 *4032:8 *30576:A 14.3357 -*END - -*D_NET *4033 0.0376693 -*CONN -*I *29416:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27799:B2 I *D sky130_fd_sc_hd__a221o_2 -*I *25010:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28749:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *29416:A 0.000577475 -2 *27799:B2 5.05387e-05 -3 *25010:B2 0.000836407 -4 *28749:Q 0 -5 *4033:16 0.00323768 -6 *4033:14 0.00294646 -7 *4033:8 0.00272176 -8 *4033:7 0.00217379 -9 *4033:4 0.000625231 -10 *25010:B2 *4134:96 0.000142026 -11 *25010:B2 *5627:205 0.00201093 -12 *25010:B2 *5651:289 3.89958e-05 -13 *29416:A *27181:A0 8.25843e-06 -14 *29416:A *27181:A1 0.000256458 -15 *29416:A *28738:D 0.00048489 -16 *29416:A *28756:RESET_B 0.000119125 -17 *29416:A *5913:15 0.000393943 -18 *4033:7 *5913:15 0.000178847 -19 *4033:8 *25053:A2 0.000725843 -20 *4033:8 *25054:B2 0.000167727 -21 *4033:8 *26917:S 0 -22 *4033:8 *27192:S 0.000103856 -23 *4033:8 *28505:D 0.000327322 -24 *4033:8 *4085:16 0 -25 *4033:8 *5590:194 2.84398e-05 -26 *4033:8 *5676:80 0.00121647 -27 *4033:8 *5676:264 0.000754431 -28 *4033:8 *5709:327 0.000261851 -29 *4033:8 *5775:103 3.6572e-05 -30 *4033:8 *5912:28 0.00016855 -31 *4033:8 *6221:16 0.000170297 -32 *4033:14 *4086:16 0.00021532 -33 *4033:14 *4086:27 0.000184511 -34 *4033:14 *5795:206 9.30833e-05 -35 *4033:16 *4086:27 2.47856e-05 -36 *4033:16 *5587:151 0.000299184 -37 *4033:16 *5824:286 1.90936e-05 -38 *4033:16 *5862:23 0.000515384 -39 *25010:A1 *25010:B2 1.32056e-05 -40 *25010:B1 *25010:B2 7.09445e-05 -41 *25052:A2 *4033:16 4.21517e-05 -42 *25054:A2 *4033:8 2.14723e-05 -43 *25054:B1 *4033:8 4.50033e-05 -44 *25054:C1 *4033:8 7.37042e-05 -45 *25055:B *4033:14 0 -46 *25055:B *4033:16 0.000264371 -47 *25055:C *4033:8 0.000186125 -48 *25055:C *4033:14 0.000244308 -49 *25057:B2 *4033:16 0.000948097 -50 *25071:D *4033:16 0.000224373 -51 *27429:B1 *4033:16 6.30931e-05 -52 *27430:B1 *4033:16 6.83097e-05 -53 *27430:C1 *4033:16 0.000127439 -54 *27435:B1 *4033:16 0.000238528 -55 *27799:C1 *27799:B2 4.2622e-05 -56 *28127:CLK *4033:14 0.000164016 -57 *28607:CLK *4033:14 0.000210231 -58 *28758:CLK *4033:8 0.000423905 -59 *29813:A *29416:A 0 -60 *29928:A *4033:8 0 -61 *30120:A *29416:A 0.000338915 -62 *869:15 *29416:A 0.000797067 -63 *869:15 *4033:7 0.000178847 -64 *1266:205 *4033:16 0.00201141 -65 *1302:6 *4033:8 0 -66 *1302:6 *4033:16 0.000138022 -67 *1392:10 *4033:16 3.86309e-05 -68 *1393:10 *4033:16 0.000264812 -69 *2769:187 *4033:8 0 -70 *2772:45 *4033:8 0.000176463 -71 *2856:316 *25010:B2 0.000104491 -72 *2856:316 *4033:16 0.000118711 -73 *2883:209 *4033:16 0.0015978 -74 *2895:266 *4033:16 1.58619e-05 -75 *2895:281 *4033:16 0.000324422 -76 *2985:14 *4033:16 0.000150632 -77 *3177:69 *25010:B2 1.64621e-05 -78 *3193:60 *25010:B2 0.00241817 -79 *3193:60 *4033:16 3.22325e-05 -80 *3582:72 *29416:A 0.000103267 -81 *3582:134 *4033:8 0.000478935 -82 *3582:134 *4033:14 0.000166957 -83 *3694:41 *4033:8 0.000145213 -84 *3772:26 *4033:16 5.71026e-05 -85 *3786:25 *27799:B2 1.21371e-05 -86 *3839:39 *25010:B2 0.000198698 -87 *3839:39 *4033:16 0.00214328 -88 *3930:32 *4033:8 0 -89 *4017:7 *29416:A 5.33005e-05 -90 *4017:20 *29416:A 0 -91 *4017:82 *29416:A 0 -*RES -1 *28749:Q *4033:4 9.3 -2 *4033:4 *4033:7 6.26786 -3 *4033:7 *4033:8 54.6161 -4 *4033:8 *4033:14 13.1429 -5 *4033:14 *4033:16 74.6518 -6 *4033:16 *25010:B2 46.7286 -7 *4033:16 *27799:B2 14.8938 -8 *4033:4 *29416:A 35.9071 -*END - -*D_NET *4034 0.0147989 -*CONN -*I *29917:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24977:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27822:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28750:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29917:A 0 -2 *24977:B2 0.000103141 -3 *27822:B2 0.00101788 -4 *28750:Q 5.31155e-05 -5 *4034:42 0.000747262 -6 *4034:8 0.00206972 -7 *4034:5 0.00174908 -8 *24977:B2 *5870:19 6.86792e-05 -9 *27822:B2 *27822:B1 0.00031059 -10 *27822:B2 *5655:264 3.97794e-05 -11 *27822:B2 *5676:60 0.000192773 -12 *4034:42 *26979:A1 4.16984e-05 -13 *4034:42 *4252:9 2.14757e-05 -14 *4034:42 *5906:15 0.00113961 -15 *4034:42 *6217:20 0.000569201 -16 *24832:A *4034:8 8.28032e-05 -17 *24977:B1 *24977:B2 4.83434e-05 -18 *25068:B1 *27822:B2 0.000139239 -19 *25068:B1 *4034:8 7.35637e-05 -20 *27773:A1 *27822:B2 5.74499e-06 -21 *27773:B2 *27822:B2 1.66856e-05 -22 *27822:A2 *27822:B2 1.21371e-05 -23 *30580:A *4034:42 0.000610077 -24 *972:21 *4034:5 3.32631e-05 -25 *972:21 *4034:42 2.79421e-05 -26 *974:20 *4034:42 8.2982e-05 -27 *1083:15 *4034:42 0.000360171 -28 *1389:10 *27822:B2 2.14678e-05 -29 *2764:21 *24977:B2 0.000157278 -30 *2791:20 *27822:B2 0.000192539 -31 *2791:20 *4034:8 2.94273e-05 -32 *2791:275 *4034:8 0.000234598 -33 *3153:313 *27822:B2 0.00026353 -34 *3609:64 *4034:42 5.20232e-05 -35 *3718:47 *27822:B2 1.90936e-05 -36 *3796:173 *27822:B2 0.000145012 -37 *3837:20 *27822:B2 0.00142099 -38 *3837:20 *4034:8 0.00105974 -39 *3900:99 *4034:8 0.000129551 -40 *3916:14 *4034:8 0.000158608 -41 *3916:14 *4034:42 0.000905183 -42 *3916:18 *4034:8 0 -43 *3978:109 *27822:B2 0.000169337 -44 *3978:130 *27822:B2 0.000223596 -*RES -1 *28750:Q *4034:5 10.2464 -2 *4034:5 *4034:8 26.4821 -3 *4034:8 *27822:B2 40.5857 -4 *4034:8 *24977:B2 16.3893 -5 *4034:5 *4034:42 43.6429 -6 *4034:42 *29917:A 9.3 -*END - -*D_NET *4035 0.0643471 -*CONN -*I *25377:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *29237:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27847:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28360:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25377:A1 0.00161967 -2 *29237:A 0.000316689 -3 *27847:B2 2.00966e-05 -4 *28360:Q 0 -5 *4035:90 0.00281481 -6 *4035:60 0.00178978 -7 *4035:59 0.00278783 -8 *4035:52 0.00180765 -9 *4035:36 0.00225752 -10 *4035:35 0.00146801 -11 *4035:33 0.00133391 -12 *4035:23 0.00205388 -13 *4035:9 0.00233787 -14 *4035:4 0.00249635 -15 *25377:A1 *29059:A 0 -16 *25377:A1 *4079:43 0.000522535 -17 *25377:A1 *5590:50 0.000149777 -18 *25377:A1 *5649:176 0.000228948 -19 *27847:B2 *27847:B1 1.32056e-05 -20 *29237:A *25916:A1 0.000284165 -21 *29237:A *4350:87 0.000513224 -22 *4035:9 *28360:D 5.52238e-05 -23 *4035:23 *25916:A1 1.02504e-05 -24 *4035:23 *4036:17 0.000295298 -25 *4035:23 *4038:8 0.000791051 -26 *4035:23 *5640:98 0.000112925 -27 *4035:33 *27895:A1 4.66096e-05 -28 *4035:33 *27895:A2 0.00010705 -29 *4035:33 *4038:17 0.00136429 -30 *4035:33 *5196:8 0.000183972 -31 *4035:33 *5196:25 0.000116882 -32 *4035:33 *5457:22 9.93296e-05 -33 *4035:33 *5613:22 0.000394964 -34 *4035:33 *5641:78 1.19893e-05 -35 *4035:33 *5641:96 0.000107751 -36 *4035:33 *5799:12 0.000134695 -37 *4035:33 *5823:34 0.001794 -38 *4035:36 *5640:64 0.00353472 -39 *4035:36 *5653:97 0.000104383 -40 *4035:36 *5656:189 0.0001274 -41 *4035:52 *5629:108 1.34969e-05 -42 *4035:52 *5653:70 5.1588e-05 -43 *4035:52 *5653:97 0.000259967 -44 *4035:52 *5909:44 8.3633e-05 -45 *4035:59 *5196:47 0.000111243 -46 *4035:59 *5629:89 0.000563897 -47 *4035:59 *5629:104 0.00181075 -48 *4035:59 *5629:108 2.14474e-05 -49 *4035:59 *5694:42 6.81704e-05 -50 *4035:60 *28158:D 0.000196785 -51 *4035:60 *4153:62 4.43081e-05 -52 *4035:60 *5196:53 0.00127847 -53 *4035:60 *5706:196 0.000847378 -54 *25210:B2 *4035:60 3.34295e-05 -55 *25329:A2 *4035:33 6.88681e-05 -56 *25377:B1 *25377:A1 1.25867e-05 -57 *25377:C1 *25377:A1 7.4048e-05 -58 *25894:A *29237:A 6.05161e-06 -59 *25914:S *29237:A 0.000115467 -60 *25916:S *29237:A 0.000175512 -61 *25916:S *4035:23 8.32171e-05 -62 *27881:B *4035:60 0.000993208 -63 *27945:A2 *4035:60 9.851e-05 -64 *27958:B1 *4035:59 1.90936e-05 -65 *27964:B1 *4035:52 3.43988e-06 -66 *28360:CLK *4035:9 1.37613e-05 -67 *29663:A *4035:23 0.000311758 -68 *29732:A *4035:23 0.00050775 -69 *30226:A *4035:60 0.000111348 -70 *1257:153 *4035:90 0.00209559 -71 *1287:132 *25377:A1 0 -72 *1362:28 *4035:33 3.15517e-05 -73 *1362:28 *4035:36 4.8676e-05 -74 *1362:40 *4035:33 0.000181296 -75 *1362:79 *4035:52 0.000892922 -76 *1405:30 *4035:33 0.000397586 -77 *1405:49 *25377:A1 3.49005e-05 -78 *1405:73 *4035:33 0.000456344 -79 *1411:97 *4035:60 9.22222e-06 -80 *1422:74 *4035:52 0 -81 *1450:33 *25377:A1 0.000812804 -82 *1463:23 *25377:A1 0.000216478 -83 *1464:56 *4035:90 5.21937e-05 -84 *1470:90 *4035:60 6.3494e-05 -85 *1475:60 *29237:A 0.000250471 -86 *1565:20 *25377:A1 9.1377e-05 -87 *1573:22 *25377:A1 0.00125685 -88 *1603:15 *4035:59 0.000135028 -89 *1619:25 *4035:60 0.000782651 -90 *1624:84 *4035:60 0.000230466 -91 *1644:19 *27847:B2 1.21258e-05 -92 *1688:16 *4035:90 0.000177821 -93 *1864:17 *4035:33 2.46668e-05 -94 *1864:29 *4035:36 2.41409e-05 -95 *1864:29 *4035:52 0.000128271 -96 *2856:196 *4035:36 0.00018778 -97 *2883:53 *4035:60 5.7836e-06 -98 *3174:83 *4035:60 0.000113488 -99 *3176:110 *4035:60 0 -100 *3182:97 *4035:60 1.94326e-05 -101 *3185:320 *4035:59 0.00131582 -102 *3185:320 *4035:60 0.000165911 -103 *3220:18 *25377:A1 4.2416e-05 -104 *3413:41 *4035:52 9.20688e-05 -105 *3480:23 *4035:36 7.96402e-05 -106 *3480:35 *4035:59 2.46318e-05 -107 *3485:14 *4035:52 0.00116585 -108 *3485:14 *4035:59 0.00116207 -109 *3503:28 *4035:60 0.00076869 -110 *3504:18 *4035:52 1.3409e-05 -111 *3504:18 *4035:59 0.000217196 -112 *3576:99 *4035:23 0.00034188 -113 *3593:16 *4035:9 6.14471e-05 -114 *3593:16 *4035:90 0.00024123 -115 *3655:10 *4035:23 0.000261801 -116 *3659:14 *4035:23 0.000153094 -117 *3699:22 *4035:90 0.00090841 -118 *3699:24 *4035:90 0.00119986 -119 *3766:40 *4035:23 0.000205389 -120 *3766:53 *4035:33 3.42107e-06 -121 *3766:54 *4035:36 0.00353517 -122 *3766:71 *4035:52 0.000143652 -123 *3830:77 *4035:60 0.000102396 -124 *3857:49 *4035:60 0.000142102 -125 *3923:8 *4035:60 2.06178e-05 -126 *3970:40 *27847:B2 5.33005e-05 -127 *3971:42 *4035:60 0.0001933 -128 *4011:54 *25377:A1 0.000197134 -129 *4022:47 *25377:A1 4.42581e-05 -130 *4025:21 *4035:23 0.00056961 -*RES -1 *28360:Q *4035:4 9.3 -2 *4035:4 *4035:9 18.1964 -3 *4035:9 *4035:23 34.1964 -4 *4035:23 *4035:33 48.0752 -5 *4035:33 *4035:35 3.41 -6 *4035:35 *4035:36 50.5179 -7 *4035:36 *4035:52 26.1747 -8 *4035:52 *4035:59 44.9375 -9 *4035:59 *4035:60 51.4286 -10 *4035:60 *27847:B2 14.3357 -11 *4035:9 *29237:A 23.05 -12 *4035:4 *4035:90 45.9821 -13 *4035:90 *25377:A1 47.9524 -*END - -*D_NET *4036 0.0455316 -*CONN -*I *29844:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25329:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27874:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28361:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29844:A 0.000102285 -2 *25329:B2 3.16702e-05 -3 *27874:B2 0.000759983 -4 *28361:Q 2.38521e-05 -5 *4036:40 0.00373818 -6 *4036:38 0.00410317 -7 *4036:25 0.00225587 -8 *4036:17 0.00207189 -9 *4036:7 0.00109879 -10 *27874:B2 *25666:A0 9.58181e-05 -11 *27874:B2 *27874:B1 1.24368e-05 -12 *27874:B2 *5706:188 0.000137983 -13 *29844:A *27944:B1 9.58126e-05 -14 *29844:A *4038:8 0.000211913 -15 *29844:A *5458:40 0.000115072 -16 *4036:7 *25916:A1 5.33005e-05 -17 *4036:7 *4079:15 1.07719e-05 -18 *4036:17 *25926:A1 0.000148182 -19 *4036:17 *28374:RESET_B 4.58194e-05 -20 *4036:17 *4038:8 0.00134885 -21 *4036:17 *5458:40 0.00021885 -22 *4036:25 *5457:22 0.000339401 -23 *4036:25 *5458:40 0.00134995 -24 *4036:38 *4092:65 0.000182446 -25 *4036:38 *4105:78 0.000122696 -26 *4036:38 *5614:76 0.000127863 -27 *4036:38 *5778:103 3.65544e-05 -28 *4036:38 *5890:42 0.00077337 -29 *4036:40 *25327:B2 0.000186432 -30 *4036:40 *27957:B2 0.000229986 -31 *4036:40 *4064:56 0.000120724 -32 *4036:40 *4092:65 6.82091e-06 -33 *4036:40 *5626:29 0.00017096 -34 *4036:40 *5630:110 0.000727447 -35 *4036:40 *5691:51 0.000424351 -36 *25137:B1 *4036:40 1.94945e-05 -37 *25144:B1 *29844:A 9.58126e-05 -38 *25329:A2 *4036:25 7.56452e-05 -39 *25329:B1 *25329:B2 1.04232e-05 -40 *25329:B1 *4036:25 2.59355e-05 -41 *25666:S *27874:B2 0.000137561 -42 *27592:A1 *4036:40 4.04359e-05 -43 *27592:A2 *4036:40 0.000290993 -44 *27880:A1 *4036:40 9.70328e-05 -45 *27880:B2 *4036:40 2.22043e-05 -46 *27933:B2 *4036:40 0.000167019 -47 *27954:C1 *4036:40 0.000147042 -48 *27955:B *4036:38 0.000711627 -49 *27955:C *4036:38 0.000173083 -50 *27960:B1 *4036:40 0.000299286 -51 *29662:A *4036:17 0.000233521 -52 *29663:A *4036:17 0.000311758 -53 *30545:A *27874:B2 2.59355e-05 -54 *1422:52 *4036:40 6.891e-05 -55 *1435:14 *4036:38 0.000170654 -56 *1475:36 *4036:17 0.000598119 -57 *1563:26 *4036:38 0.00150787 -58 *1563:26 *4036:40 0 -59 *1647:19 *4036:25 4.58194e-05 -60 *2880:197 *4036:40 0.000251 -61 *2882:64 *27874:B2 0.000142472 -62 *3129:20 *4036:40 0.000317252 -63 *3145:14 *27874:B2 0.00089136 -64 *3145:14 *4036:40 0.000296071 -65 *3214:112 *4036:40 0.000240915 -66 *3214:121 *4036:38 1.34223e-05 -67 *3214:121 *4036:40 0.00217696 -68 *3659:14 *4036:17 0.000266517 -69 *3659:14 *4036:25 0.000254682 -70 *3711:93 *4036:38 6.83482e-05 -71 *3766:25 *4036:17 0.000624231 -72 *3766:40 *4036:17 9.81653e-05 -73 *3792:82 *4036:40 0.00209319 -74 *3832:43 *4036:40 0.0051572 -75 *3923:32 *4036:40 0.000135968 -76 *3923:51 *4036:40 0.00014833 -77 *3931:10 *27874:B2 4.82947e-05 -78 *4013:17 *4036:17 0.000494635 -79 *4013:17 *4036:25 0.00128045 -80 *4023:28 *27874:B2 0.000889652 -81 *4025:32 *4036:25 0.00125345 -82 *4026:24 *4036:17 1.66754e-05 -83 *4026:26 *4036:25 0.0013214 -84 *4035:23 *4036:17 0.000295298 -*RES -1 *28361:Q *4036:7 14.3357 -2 *4036:7 *4036:17 42 -3 *4036:17 *4036:25 42.2857 -4 *4036:25 *4036:38 36.5982 -5 *4036:38 *4036:40 97.7232 -6 *4036:40 *27874:B2 42.5143 -7 *4036:25 *25329:B2 10.0143 -8 *4036:7 *29844:A 17.6036 -*END - -*D_NET *4037 0.0119313 -*CONN -*I *29142:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25363:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27610:A1 I *D sky130_fd_sc_hd__a221o_2 -*I *28751:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *29142:A 0 -2 *25363:B2 0.000420839 -3 *27610:A1 0.000615364 -4 *28751:Q 0.000348314 -5 *4037:18 0.00251552 -6 *4037:8 0.00182763 -7 *25363:B2 *25363:A1 4.59033e-05 -8 *25363:B2 *25363:A2 5.52238e-05 -9 *27610:A1 *5588:8 0 -10 *27610:A1 *5593:42 0.000391 -11 *27610:A1 *5669:265 0.000389077 -12 *27610:A1 *5716:77 8.0089e-05 -13 *27610:A1 *5879:32 0.000215583 -14 *4037:8 *5588:8 0 -15 *4037:8 *5588:19 0 -16 *4037:8 *5716:244 0 -17 *4037:8 *5926:35 2.80081e-05 -18 *4037:8 *5984:11 0 -19 *4037:18 *28744:D 0.000517691 -20 *4037:18 *4446:13 0.000135245 -21 *4037:18 *5588:8 0 -22 *4037:18 *5716:234 0 -23 *4037:18 *5716:244 0 -24 *25380:A2 *27610:A1 0.000113776 -25 *25380:C1 *25363:B2 1.56e-05 -26 *28682:CLK *4037:18 0.000512133 -27 *29139:A *4037:18 0 -28 *1225:149 *27610:A1 0.000330444 -29 *1225:163 *27610:A1 0.000604185 -30 *1225:163 *4037:18 9.25014e-06 -31 *1256:160 *27610:A1 0.000101576 -32 *2763:219 *25363:B2 0.00103449 -33 *3162:8 *27610:A1 5.58941e-05 -34 *3602:8 *4037:8 0 -35 *3836:10 *4037:18 0.00109038 -36 *3924:18 *4037:18 0.000217413 -37 *3998:13 *27610:A1 0.000136023 -38 *3998:13 *4037:18 0.000124597 -*RES -1 *28751:Q *4037:8 18.9161 -2 *4037:8 *4037:18 35.5536 -3 *4037:18 *27610:A1 41.7464 -4 *4037:18 *25363:B2 24.2107 -5 *4037:8 *29142:A 13.8 -*END - -*D_NET *4038 0.0234055 -*CONN -*I *29818:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25246:A1 I *D sky130_fd_sc_hd__a2111o_1 -*I *27895:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *28352:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *29818:A 9.1739e-05 -2 *25246:A1 0 -3 *27895:A1 0.000917255 -4 *28352:Q 0.000469564 -5 *4038:17 0.0020686 -6 *4038:8 0.00328728 -7 *4038:7 0.00269724 -8 *27895:A1 *27895:B1 2.84109e-05 -9 *27895:A1 *27895:B2 2.14658e-05 -10 *27895:A1 *5458:40 0.000950545 -11 *27895:A1 *5799:12 0.000701163 -12 *29818:A *5458:40 9.27779e-05 -13 *4038:7 *28352:RESET_B 4.70821e-05 -14 *4038:8 *25916:A1 4.038e-06 -15 *4038:8 *28362:D 0.000121446 -16 *4038:8 *28362:SET_B 3.17067e-05 -17 *4038:8 *28364:RESET_B 0.000106392 -18 *4038:8 *5457:22 0.000168975 -19 *4038:8 *5458:40 0.00106913 -20 *4038:8 *5640:120 0.00013211 -21 *4038:8 *5709:76 0 -22 *4038:8 *5762:14 0.000151003 -23 *4038:8 *5762:27 0.000449711 -24 *4038:17 *25924:B 4.85033e-05 -25 *4038:17 *5196:8 0.00206546 -26 *4038:17 *5613:22 0.000198909 -27 *4038:17 *5823:34 9.90115e-06 -28 *25245:A2 *4038:17 0.000175892 -29 *25329:A2 *27895:A1 6.7062e-05 -30 *25365:B1 *4038:8 0.00030957 -31 *25914:S *4038:8 0.000148196 -32 *25916:S *4038:8 0.000247764 -33 *28352:CLK *4038:7 0.000144376 -34 *29687:A *4038:8 0.00013906 -35 *29763:A *4038:8 0.000125724 -36 *29844:A *4038:8 0.000211913 -37 *1362:28 *27895:A1 5.86416e-05 -38 *1362:40 *27895:A1 0.000176835 -39 *1882:27 *4038:8 0.000165886 -40 *1896:15 *4038:17 0.000105471 -41 *3581:14 *29818:A 6.49509e-05 -42 *3581:14 *4038:8 0.000362503 -43 *3581:158 *29818:A 6.74911e-05 -44 *3659:14 *4038:8 0.000291236 -45 *4025:31 *27895:A1 0.000391767 -46 *4026:24 *4038:17 0.000669932 -47 *4035:23 *4038:8 0.000791051 -48 *4035:33 *27895:A1 4.66096e-05 -49 *4035:33 *4038:17 0.00136429 -50 *4036:17 *4038:8 0.00134885 -*RES -1 *28352:Q *4038:7 19.2643 -2 *4038:7 *4038:8 65.6964 -3 *4038:8 *4038:17 45.9286 -4 *4038:17 *27895:A1 42.0321 -5 *4038:17 *25246:A1 9.3 -6 *4038:7 *29818:A 16.9607 -*END - -*D_NET *4039 0.0173444 -*CONN -*I *25202:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *29686:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27920:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *28353:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *25202:B2 0.000870943 -2 *29686:A 0 -3 *27920:A1 0.000265759 -4 *28353:Q 0.000319479 -5 *4039:39 0.00301801 -6 *4039:17 0.00214707 -7 *4039:8 0.000585238 -8 *25202:B2 *28494:D 0.000216755 -9 *25202:B2 *5582:113 0.000881364 -10 *25202:B2 *5641:162 0.0016425 -11 *27920:A1 *5457:22 0.000160588 -12 *27920:A1 *5799:12 0.000932115 -13 *27920:A1 *5823:32 0.000721903 -14 *27920:A1 *5833:175 2.18827e-05 -15 *4039:8 *28353:SET_B 1.84523e-06 -16 *4039:8 *5762:54 0.000196459 -17 *4039:8 *5799:12 0.000326686 -18 *4039:39 *25558:A1 0.000432883 -19 *4039:39 *25778:A0 0.000392019 -20 *4039:39 *27665:A2 0.000107996 -21 *4039:39 *27748:B1 0.000357672 -22 *4039:39 *27845:A2 0.000237478 -23 *4039:39 *27845:B1 5.55643e-05 -24 *4039:39 *27845:B2 0.000180777 -25 *4039:39 *4048:32 0.000208988 -26 *4039:39 *4070:39 0.000216297 -27 *4039:39 *4087:61 0.000181879 -28 *4039:39 *4122:28 2.14474e-05 -29 *4039:39 *5641:133 0.000378557 -30 *4039:39 *5649:243 0.000100823 -31 *4039:39 *5715:63 0.000215302 -32 *25202:B1 *25202:B2 5.33005e-05 -33 *26906:S *25202:B2 0.000737123 -34 *27077:S *25202:B2 0.000180107 -35 *27665:A1 *4039:39 9.41642e-05 -36 *28850:A *27920:A1 0.000148911 -37 *28850:A *4039:8 0.000218741 -38 *1257:162 *4039:39 0.000181803 -39 *2964:15 *4039:39 0.000135028 -40 *3389:13 *4039:39 8.66133e-05 -41 *3543:6 *4039:8 0.000112323 -*RES -1 *28353:Q *4039:8 20.5589 -2 *4039:8 *27920:A1 25.7643 -3 *4039:8 *4039:17 4.5 -4 *4039:17 *29686:A 9.3 -5 *4039:17 *4039:39 49.9643 -6 *4039:39 *25202:B2 31.6393 -*END - -*D_NET *4040 0.0117481 -*CONN -*I *27944:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25144:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30179:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28354:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *27944:A1 0.000282579 -2 *25144:A1 0.000153362 -3 *30179:A 0.000168188 -4 *28354:Q 2.8604e-05 -5 *4040:20 0.00160878 -6 *4040:19 0.0015002 -7 *4040:5 0.000524157 -8 *27944:A1 *27944:B1 0.000185505 -9 *27944:A1 *28364:D 9.90431e-05 -10 *27944:A1 *5646:195 1.21258e-05 -11 *30179:A *25903:A1 9.71197e-05 -12 *30179:A *25905:A1 0.000392276 -13 *30179:A *28352:D 9.71197e-05 -14 *30179:A *28578:SET_B 2.26327e-05 -15 *30179:A *5780:110 0.00022894 -16 *4040:5 *5833:178 9.75337e-06 -17 *4040:19 *5833:178 5.91452e-05 -18 *4040:20 *25917:A1 0.000164792 -19 *4040:20 *5650:304 0.000547959 -20 *4040:20 *5715:63 0.000967392 -21 *4040:20 *5909:44 0.000303586 -22 *25900:A *4040:20 0.00112952 -23 *1288:77 *4040:20 0.000457262 -24 *1434:76 *25144:A1 0 -25 *1434:76 *4040:20 0 -26 *1463:32 *25144:A1 3.34295e-05 -27 *1463:42 *4040:20 0.000902558 -28 *1892:14 *4040:19 0.000260574 -29 *2772:91 *25144:A1 0.000262343 -30 *2772:91 *4040:20 0.00124912 -31 *3686:72 *4040:20 0 -32 *3686:83 *4040:20 0 -33 *3725:10 *4040:20 0 -34 *3725:18 *4040:20 0 -*RES -1 *28354:Q *4040:5 9.63036 -2 *4040:5 *30179:A 24.8357 -3 *4040:5 *4040:19 8.73214 -4 *4040:19 *4040:20 42.625 -5 *4040:20 *25144:A1 17.2643 -6 *4040:20 *27944:A1 19.1393 -*END - -*D_NET *4041 0.0133372 -*CONN -*I *25318:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30475:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27683:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28752:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *25318:B2 9.05888e-05 -2 *30475:A 0 -3 *27683:A1 0.00200016 -4 *28752:Q 0.000230704 -5 *4041:19 0.00233739 -6 *4041:12 0.000658525 -7 *25318:B2 *25318:A1 5.7661e-06 -8 *27683:A1 *5582:20 0.00243719 -9 *27683:A1 *5596:15 0.000136845 -10 *27683:A1 *5596:26 0.000194031 -11 *27683:A1 *5629:164 2.52122e-05 -12 *27683:A1 *5634:123 0.00027541 -13 *27683:A1 *5700:50 0.000274008 -14 *4041:12 *27197:A1 8.82117e-05 -15 *4041:12 *28752:D 0.000116898 -16 *4041:12 *28752:SET_B 3.55455e-05 -17 *25318:C1 *4041:19 0.00012783 -18 *27693:D *27683:A1 0.000149188 -19 *28752:CLK *27683:A1 2.35215e-05 -20 *1266:64 *27683:A1 0.00113324 -21 *1269:231 *4041:12 2.45832e-05 -22 *1635:14 *27683:A1 2.01997e-05 -23 *1643:14 *27683:A1 0.000135028 -24 *1643:14 *4041:19 5.33005e-05 -25 *2768:50 *27683:A1 0.000646158 -26 *2844:246 *27683:A1 0.00011025 -27 *2877:221 *27683:A1 6.57032e-05 -28 *2877:221 *4041:19 2.28598e-05 -29 *2889:36 *27683:A1 0.000231726 -30 *3664:57 *4041:19 0.000144038 -31 *3704:14 *27683:A1 0.000247229 -32 *3704:31 *27683:A1 3.84095e-05 -33 *3756:18 *27683:A1 6.60156e-05 -34 *3756:20 *27683:A1 0.000169021 -35 *3821:19 *4041:19 0.00014576 -36 *3847:87 *27683:A1 0.000293678 -37 *3872:79 *27683:A1 0.000104587 -38 *3899:40 *4041:12 0.000304418 -39 *3912:58 *4041:12 2.21327e-05 -40 *3977:24 *27683:A1 0.000151868 -*RES -1 *28752:Q *4041:12 23.9518 -2 *4041:12 *4041:19 14.4821 -3 *4041:19 *27683:A1 49.134 -4 *4041:19 *30475:A 9.3 -5 *4041:12 *25318:B2 10.8536 -*END - -*D_NET *4042 0.0166793 -*CONN -*I *29195:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27699:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25242:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28753:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29195:A 0.000106798 -2 *27699:A1 0.000814026 -3 *25242:A1 0.000907854 -4 *28753:Q 2.25053e-05 -5 *4042:19 0.00248781 -6 *4042:10 0.0027763 -7 *4042:5 0.000511619 -8 *25242:A1 *25242:A2 3.60088e-05 -9 *25242:A1 *27122:A0 9.41642e-05 -10 *25242:A1 *27122:A1 4.77415e-05 -11 *25242:A1 *27122:S 9.60875e-05 -12 *25242:A1 *27747:A1 0.000137442 -13 *25242:A1 *4044:13 0.000903579 -14 *25242:A1 *4115:28 0.00103533 -15 *25242:A1 *5627:116 0.000183445 -16 *25242:A1 *5628:150 0.000162863 -17 *25242:A1 *5642:151 0.000430114 -18 *27699:A1 *27679:A2 0.000112524 -19 *27699:A1 *27699:B1 6.10759e-05 -20 *27699:A1 *4081:22 8.22793e-06 -21 *27699:A1 *4120:30 4.34472e-05 -22 *27699:A1 *5655:232 7.30885e-05 -23 *27699:A1 *5663:179 0.000111045 -24 *29195:A *27198:A1 0.000245182 -25 *4042:5 *27198:A1 5.33005e-05 -26 *4042:10 *5629:166 0.000300896 -27 *4042:10 *5629:172 0.000163065 -28 *4042:10 *5646:252 0.000860035 -29 *4042:19 *4115:28 0.000659995 -30 *4042:19 *5593:20 0.000663416 -31 *25242:B1 *25242:A1 3.45371e-05 -32 *25243:C1 *25242:A1 3.77147e-05 -33 *27699:C1 *27699:A1 0 -34 *30365:A *25242:A1 5.52302e-05 -35 *1519:26 *4042:19 9.41642e-05 -36 *1680:17 *27699:A1 0.000415145 -37 *1680:17 *4042:19 0.00154658 -38 *1680:28 *27699:A1 0.000155336 -39 *2864:328 *29195:A 7.64454e-05 -40 *2864:328 *4042:5 1.58163e-05 -41 *3165:103 *27699:A1 0 -42 *3252:13 *4042:10 7.6644e-05 -43 *3691:20 *27699:A1 2.11419e-05 -44 *3963:42 *27699:A1 4.15161e-05 -*RES -1 *28753:Q *4042:5 9.83571 -2 *4042:5 *4042:10 20.3571 -3 *4042:10 *4042:19 38.6964 -4 *4042:19 *25242:A1 38.9607 -5 *4042:10 *27699:A1 33.477 -6 *4042:5 *29195:A 11.8893 -*END - -*D_NET *4043 0.079591 -*CONN -*I *24822:A I *D sky130_fd_sc_hd__clkinv_2 -*I *25221:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27724:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30248:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28754:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *24822:A 0 -2 *25221:A1 0.000489895 -3 *27724:A1 0.000403965 -4 *30248:A 0 -5 *28754:Q 0 -6 *4043:81 0.00193352 -7 *4043:70 0.00513319 -8 *4043:69 0.00382328 -9 *4043:58 0.00172693 -10 *4043:56 0.00161943 -11 *4043:54 0.00174855 -12 *4043:53 0.0017709 -13 *4043:47 0.00235871 -14 *4043:32 0.00179331 -15 *4043:29 0.00149779 -16 *4043:21 0.000737608 -17 *4043:4 0.0029393 -18 *25221:A1 *25221:A2 6.09764e-05 -19 *25221:A1 *5936:111 0.000225928 -20 *27724:A1 *27724:B2 7.18314e-05 -21 *27724:A1 *5640:267 5.75147e-05 -22 *27724:A1 *5640:285 1.74352e-05 -23 *27724:A1 *5695:186 0.000385059 -24 *27724:A1 *5820:15 0.000650928 -25 *4043:21 *26857:A0 1.49631e-05 -26 *4043:21 *28211:D 0.000800997 -27 *4043:21 *28211:RESET_B 4.50774e-05 -28 *4043:21 *4491:14 0.00132328 -29 *4043:29 *4491:14 0.000251093 -30 *4043:32 *5709:290 2.9298e-05 -31 *4043:32 *5903:28 0.000570602 -32 *4043:47 *28754:D 0.000998507 -33 *4043:47 *29679:A 0.000152919 -34 *4043:47 *4491:14 6.19406e-05 -35 *4043:47 *5984:11 0.00053128 -36 *4043:54 *25112:A2 0.000260504 -37 *4043:54 *4083:20 0.00106279 -38 *4043:54 *5584:163 0 -39 *4043:54 *5586:11 7.50697e-05 -40 *4043:54 *5669:265 7.50601e-05 -41 *4043:54 *5792:26 0.00293391 -42 *4043:54 *5792:28 0 -43 *4043:58 *25112:A2 0.000499175 -44 *4043:58 *27077:A1 7.83587e-05 -45 *4043:58 *4083:20 0.00024381 -46 *4043:58 *5688:85 0.000215549 -47 *4043:58 *5704:18 0.000342191 -48 *4043:58 *5792:28 0.00026777 -49 *4043:58 *5824:147 0.000239646 -50 *4043:69 *5660:217 2.59024e-05 -51 *4043:70 *25147:A2 0.000154678 -52 *4043:70 *25253:A2 4.21517e-05 -53 *4043:70 *4129:90 0 -54 *4043:70 *4129:96 2.14378e-05 -55 *4043:70 *5590:79 0.000302825 -56 *4043:70 *5612:42 0.000112803 -57 *4043:70 *5616:19 0.00140061 -58 *4043:70 *5616:20 0.000940507 -59 *4043:70 *5616:25 0.00110644 -60 *4043:70 *5688:85 1.60861e-05 -61 *4043:70 *5700:50 7.14742e-05 -62 *4043:70 *5709:182 0.000102483 -63 *4043:70 *5709:187 0.000394401 -64 *4043:70 *5792:40 2.49484e-05 -65 *4043:70 *5861:14 0.000564292 -66 *4043:70 *6280:17 0.000176569 -67 *4043:81 *5585:54 0.00225936 -68 *4043:81 *5861:16 0.000182834 -69 *4043:81 *5861:34 0.000108511 -70 *25221:B1 *25221:A1 3.51368e-05 -71 *25256:B *4043:70 0.000266085 -72 *25357:A1 *4043:81 2.09897e-05 -73 *25545:A0 *4043:47 0.000270326 -74 *26857:S *4043:21 0.00014285 -75 *26857:S *4043:29 0.000479652 -76 *27311:B1 *4043:70 4.53834e-05 -77 *27415:A1 *4043:58 1.90936e-05 -78 *27415:A1 *4043:69 0.000167305 -79 *27605:A2 *4043:69 4.86178e-05 -80 *27750:A2 *4043:58 0.000318718 -81 *27764:B2 *4043:58 4.11218e-05 -82 *29113:A *4043:21 2.89016e-05 -83 *30204:A *4043:47 0.000480027 -84 *889:22 *4043:32 8.22793e-06 -85 *1178:69 *25221:A1 0.000177545 -86 *1225:100 *4043:70 0.00011602 -87 *1268:37 *4043:70 0.000671206 -88 *1271:161 *25221:A1 0.000109443 -89 *1271:162 *4043:70 0.000611952 -90 *1271:162 *4043:81 0.000653168 -91 *1279:102 *4043:70 0.000116155 -92 *1279:109 *4043:70 0.00501596 -93 *1285:11 *4043:70 0.000169669 -94 *1286:51 *4043:69 0.000160526 -95 *1286:55 *4043:58 0.00288557 -96 *1421:106 *4043:70 4.11218e-05 -97 *1421:127 *4043:70 0.000260249 -98 *1661:19 *4043:70 1.65046e-05 -99 *1691:25 *4043:81 0.000168915 -100 *1700:6 *4043:58 0 -101 *1853:19 *4043:54 1.94879e-05 -102 *2764:46 *4043:54 0.00318559 -103 *2767:175 *4043:54 0.000266099 -104 *2779:10 *4043:32 0.00183402 -105 *2779:26 *4043:32 3.36969e-05 -106 *2779:33 *4043:32 3.09273e-05 -107 *2788:139 *4043:70 0.000303238 -108 *2871:318 *4043:70 0.000123388 -109 *2876:19 *4043:70 2.04825e-05 -110 *2876:29 *4043:70 0.00209085 -111 *2893:24 *4043:58 0.00103362 -112 *2893:24 *4043:69 2.63501e-05 -113 *2893:24 *4043:70 0.00162362 -114 *2893:26 *4043:70 0.000498542 -115 *2893:43 *4043:70 4.04691e-06 -116 *2893:43 *4043:81 0.000205102 -117 *2893:64 *4043:81 0.000183414 -118 *3273:10 *27724:A1 5.52302e-05 -119 *3304:37 *4043:70 0.00111675 -120 *3544:9 *4043:81 0.000242927 -121 *3603:164 *4043:21 5.5474e-05 -122 *3604:110 *4043:32 0 -123 *3686:50 *4043:58 1.88578e-05 -124 *3693:22 *4043:58 0.000369505 -125 *3719:18 *4043:58 0.000135321 -126 *3835:36 *4043:32 0 -127 *3841:68 *4043:81 9.99931e-06 -128 *3848:13 *4043:32 0.000679675 -129 *3848:14 *4043:32 0.00249662 -130 *3848:95 *4043:29 0.000113083 -131 *3848:95 *4043:32 0.000134904 -132 *3913:70 *25221:A1 1.21258e-05 -133 *3966:11 *4043:70 5.24605e-05 -134 *3966:37 *4043:70 3.86217e-05 -135 *3998:50 *25221:A1 0.000444797 -136 *4025:75 *4043:69 5.75829e-05 -*RES -1 *28754:Q *4043:4 9.3 -2 *4043:4 *4043:21 30.5 -3 *4043:21 *30248:A 9.3 -4 *4043:21 *4043:29 9.14286 -5 *4043:29 *4043:32 48.6429 -6 *4043:32 *27724:A1 20.0143 -7 *4043:4 *4043:47 34.2679 -8 *4043:47 *4043:53 5.46429 -9 *4043:53 *4043:54 59.7411 -10 *4043:54 *4043:56 0.535714 -11 *4043:56 *4043:58 52.9821 -12 *4043:58 *4043:69 14.1727 -13 *4043:69 *4043:70 129.446 -14 *4043:70 *4043:81 49.3205 -15 *4043:81 *25221:A1 23.5321 -16 *4043:47 *24822:A 9.3 -*END - -*D_NET *4044 0.0426921 -*CONN -*I *29671:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27747:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25133:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28755:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29671:A 0.000162512 -2 *27747:A1 0.000325749 -3 *25133:B2 2.29129e-05 -4 *28755:Q 0 -5 *4044:14 0.0049779 -6 *4044:13 0.0058002 -7 *4044:7 0.00122469 -8 *4044:4 0.000216243 -9 *25133:B2 *25133:B1 1.11677e-05 -10 *27747:A1 *27747:A2 0.000216755 -11 *27747:A1 *27747:B1 6.05161e-06 -12 *27747:A1 *27764:B1 0.000257619 -13 *27747:A1 *4115:28 2.32858e-05 -14 *27747:A1 *4119:13 0.000600048 -15 *27747:A1 *5638:136 0.000397093 -16 *27747:A1 *5663:155 1.02821e-05 -17 *29671:A *27200:A1 0.000140779 -18 *4044:13 *27137:A0 9.60939e-05 -19 *4044:13 *28699:D 0.000116971 -20 *4044:13 *4115:28 0.000377174 -21 *4044:13 *4119:13 0.000906863 -22 *4044:13 *5628:150 0.000164974 -23 *4044:13 *5662:124 0.000191836 -24 *4044:14 *28483:D 0.000123295 -25 *4044:14 *28483:SET_B 0.000503629 -26 *4044:14 *4051:54 8.85664e-07 -27 *4044:14 *4115:28 4.23791e-05 -28 *4044:14 *4115:30 0.00135054 -29 *4044:14 *4115:47 0.00135243 -30 *4044:14 *5589:14 0.000289639 -31 *4044:14 *5627:57 0.000397697 -32 *4044:14 *5627:69 0.00107493 -33 *4044:14 *5635:72 4.43113e-05 -34 *4044:14 *5661:210 0.00025512 -35 *4044:14 *5661:220 0.00246312 -36 *4044:14 *5707:80 0.000265705 -37 *4044:14 *5754:213 0.000741373 -38 *4044:14 *5765:58 0.000136751 -39 *25133:C1 *25133:B2 7.27451e-06 -40 *25236:A2 *4044:14 2.06112e-05 -41 *25242:A1 *27747:A1 0.000137442 -42 *25242:A1 *4044:13 0.000903579 -43 *25308:B2 *4044:14 0.000143694 -44 *27065:S *4044:13 0.000124201 -45 *29753:A *4044:14 0.000156648 -46 *1246:146 *29671:A 5.33005e-05 -47 *1361:30 *4044:14 0.00492066 -48 *1393:23 *29671:A 0.000423607 -49 *1393:23 *4044:7 9.41642e-05 -50 *1633:45 *4044:14 0.000114258 -51 *2760:98 *4044:14 0.000932832 -52 *2855:176 *4044:14 0.000132702 -53 *2855:183 *4044:14 0.00536058 -54 *2859:187 *4044:14 0.000334594 -55 *2860:287 *4044:14 0.000261042 -56 *2892:280 *4044:13 1.90936e-05 -57 *3177:121 *4044:13 9.41642e-05 -58 *3185:92 *4044:14 0.000123605 -59 *3686:109 *4044:14 0.00135342 -60 *3686:135 *4044:14 0.00136196 -61 *3703:35 *4044:14 4.38058e-05 -62 *3781:22 *4044:13 0.000274248 -63 *3797:91 *4044:14 1.16258e-05 -*RES -1 *28755:Q *4044:4 9.3 -2 *4044:4 *4044:7 5.44643 -3 *4044:7 *4044:13 34.0536 -4 *4044:13 *4044:14 170.429 -5 *4044:14 *25133:B2 14.3536 -6 *4044:7 *27747:A1 24.4071 -7 *4044:4 *29671:A 13.5321 -*END - -*D_NET *4045 0.0199609 -*CONN -*I *29093:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25060:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27771:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28756:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29093:A 0.00014747 -2 *25060:B2 0.000189179 -3 *27771:A1 0.000878407 -4 *28756:Q 0.000160899 -5 *4045:50 0.00201805 -6 *4045:18 0.00416779 -7 *4045:7 0.00176888 -8 *25060:B2 *25060:B1 0.000609636 -9 *25060:B2 *4239:17 0.000191853 -10 *25060:B2 *5176:11 2.83425e-05 -11 *27771:A1 *4222:21 0.000175892 -12 *27771:A1 *5586:74 0.00012134 -13 *27771:A1 *5863:132 1.71642e-05 -14 *27771:A1 *5875:13 0.000436071 -15 *29093:A *5696:6 5.29648e-05 -16 *29093:A *5696:11 6.04069e-05 -17 *29093:A *5892:6 0.000159546 -18 *4045:18 *4060:23 0.000401159 -19 *4045:18 *5696:11 0.0011874 -20 *4045:18 *5847:12 1.40849e-05 -21 *4045:18 *5863:117 0 -22 *4045:18 *5892:6 0.000657883 -23 *4045:50 *27107:A1 1.49949e-05 -24 *4045:50 *27210:A1 9.4259e-05 -25 *4045:50 *27210:S 2.01732e-05 -26 *4045:50 *27792:A 0 -27 *4045:50 *28583:D 0.000168081 -28 *4045:50 *4069:32 0.000256986 -29 *4045:50 *5586:74 6.90348e-06 -30 *4045:50 *5801:19 1.34436e-05 -31 *4045:50 *5829:165 0.000303769 -32 *4045:50 *5873:26 0.000175482 -33 *4045:50 *5892:6 0.00129311 -34 *4045:50 *5892:25 0.000961788 -35 *25381:C1 *4045:50 0.000232885 -36 *27781:C1 *27771:A1 0.000137983 -37 *28764:CLK *4045:50 0 -38 *29064:A *27771:A1 9.27826e-05 -39 *29420:A *4045:50 0 -40 *30168:A *4045:18 0.000216771 -41 *30588:A *4045:18 0.000524204 -42 *922:17 *4045:50 4.10802e-05 -43 *1288:194 *4045:50 7.30233e-05 -44 *1382:16 *25060:B2 3.2033e-05 -45 *2759:31 *4045:18 0.000239897 -46 *2761:19 *25060:B2 5.33005e-05 -47 *2761:19 *4045:50 8.43535e-06 -48 *2761:38 *4045:50 4.55419e-05 -49 *2763:169 *4045:50 0 -50 *2771:36 *4045:18 0.000155881 -51 *3582:72 *4045:7 0.000223885 -52 *3718:27 *4045:18 0 -53 *3731:18 *4045:18 5.05056e-05 -54 *3731:18 *4045:50 4.00349e-05 -55 *3783:24 *4045:18 0.00030485 -56 *3796:173 *27771:A1 0.000552649 -57 *3891:29 *4045:50 0 -58 *4019:18 *27771:A1 0.000181772 -*RES -1 *28756:Q *4045:7 15.9786 -2 *4045:7 *4045:18 48.0577 -3 *4045:18 *27771:A1 31.0946 -4 *4045:18 *4045:50 45.4196 -5 *4045:50 *25060:B2 15.5857 -6 *4045:7 *29093:A 17.8714 -*END - -*D_NET *4046 0.0208831 -*CONN -*I *25029:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27807:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30433:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28757:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25029:A1 6.95786e-05 -2 *27807:A1 0.000584135 -3 *30433:A 0.000298208 -4 *28757:Q 0 -5 *4046:38 0.00133312 -6 *4046:26 0.0018448 -7 *4046:18 0.00199667 -8 *4046:4 0.00112948 -9 *25029:A1 *5583:142 4.81734e-05 -10 *25029:A1 *5583:149 1.86816e-05 -11 *27807:A1 *27807:B2 1.05731e-05 -12 *27807:A1 *4059:11 0.00030501 -13 *27807:A1 *4059:16 0.000736569 -14 *27807:A1 *5700:260 0.000893487 -15 *30433:A *28757:RESET_B 1.65169e-05 -16 *30433:A *5639:90 9.81841e-05 -17 *30433:A *5660:102 0.000301941 -18 *4046:18 *28757:RESET_B 2.51343e-06 -19 *4046:18 *5678:71 0.000722258 -20 *4046:18 *5958:11 0.000663783 -21 *4046:26 *25027:B2 0.00205037 -22 *4046:26 *4098:39 0.000146945 -23 *4046:26 *5958:11 5.59013e-05 -24 *4046:38 *28585:D 0.000103981 -25 *4046:38 *29846:A 8.55871e-05 -26 *4046:38 *5662:33 0.000761556 -27 *24981:B1 *4046:26 0.000656426 -28 *25019:C1 *4046:38 0.000201621 -29 *25029:C1 *4046:26 0.00118601 -30 *26935:S *4046:26 0.000220441 -31 *27807:A2 *27807:A1 4.79624e-05 -32 *27807:C1 *27807:A1 7.46017e-05 -33 *27817:B *27807:A1 3.66878e-05 -34 *28585:CLK *4046:38 9.0145e-05 -35 *1272:168 *4046:18 0.000859344 -36 *1272:168 *4046:26 0.00148989 -37 *1367:18 *4046:38 0.00012401 -38 *2773:8 *4046:18 9.74588e-05 -39 *2773:8 *4046:26 2.14474e-05 -40 *3179:67 *30433:A 1.14416e-05 -41 *3889:62 *4046:38 1.53472e-05 -42 *3889:66 *4046:38 0.000619387 -43 *3981:42 *4046:38 0.000778813 -44 *3982:71 *30433:A 9.81721e-06 -45 *3995:32 *4046:38 6.42338e-05 -*RES -1 *28757:Q *4046:4 9.3 -2 *4046:4 *30433:A 23.6393 -3 *4046:4 *4046:18 23.0714 -4 *4046:18 *4046:26 48.6964 -5 *4046:26 *4046:38 39.3929 -6 *4046:38 *27807:A1 26.425 -7 *4046:18 *25029:A1 15.0679 -*END - -*D_NET *4047 0.00419423 -*CONN -*I *29927:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24976:B2 I *D sky130_fd_sc_hd__a221o_4 -*I *27821:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28758:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29927:A 0.000148228 -2 *24976:B2 4.35821e-05 -3 *27821:A1 0.000266266 -4 *28758:Q 0 -5 *4047:8 0.000733205 -6 *4047:4 0.000571585 -7 *24976:B2 *24976:A1 0.00014183 -8 *27821:A1 *5862:44 0.000201244 -9 *27821:A1 *5911:43 0.000111346 -10 *27821:A1 *5914:18 4.60387e-05 -11 *29927:A *28742:RESET_B 5.51649e-05 -12 *29927:A *5829:221 0.000207836 -13 *4047:8 *24976:A1 0.000774503 -14 *4047:8 *27195:B 0.00020792 -15 *4047:8 *5829:221 0.000182811 -16 *4047:8 *5870:8 0.000220065 -17 *4047:8 *5911:43 9.25014e-06 -18 *2764:21 *24976:B2 9.7659e-05 -19 *2769:182 *27821:A1 0.000134094 -20 *2769:182 *4047:8 3.38196e-06 -21 *2791:13 *24976:B2 2.14658e-05 -22 *3694:32 *4047:8 0 -23 *4019:14 *29927:A 1.67561e-05 -*RES -1 *28758:Q *4047:4 9.3 -2 *4047:4 *4047:8 16.7143 -3 *4047:8 *27821:A1 20.6036 -4 *4047:8 *24976:B2 15.5679 -5 *4047:4 *29927:A 21.2107 -*END - -*D_NET *4048 0.0124578 -*CONN -*I *25364:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27845:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *29131:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28350:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25364:B2 8.10338e-05 -2 *27845:A1 2.84485e-05 -3 *29131:A 9.75249e-05 -4 *28350:Q 7.76826e-05 -5 *4048:32 0.00368826 -6 *4048:22 0.00381248 -7 *4048:5 0.000352017 -8 *25364:B2 *5879:44 6.97253e-05 -9 *27845:A1 *5644:251 7.92169e-05 -10 *29131:A *5644:251 0.000117893 -11 *4048:22 *5644:251 0.000251713 -12 *4048:32 *27845:B1 0.000301891 -13 *4048:32 *5641:133 3.14078e-05 -14 *27404:B2 *4048:32 8.43535e-06 -15 *28350:CLK *4048:5 3.32631e-05 -16 *28350:CLK *4048:22 2.79421e-05 -17 *1257:169 *27845:A1 7.75022e-05 -18 *1257:169 *4048:22 0.000260249 -19 *2774:74 *25364:B2 6.97253e-05 -20 *2894:319 *4048:32 0.002635 -21 *2964:15 *4048:32 7.64454e-05 -22 *3699:22 *29131:A 7.09589e-05 -23 *4039:39 *4048:32 0.000208988 -*RES -1 *28350:Q *4048:5 10.2464 -2 *4048:5 *29131:A 20.6036 -3 *4048:5 *4048:22 8.91071 -4 *4048:22 *27845:A1 14.8357 -5 *4048:22 *4048:32 49.3929 -6 *4048:32 *25364:B2 20.2464 -*END - -*D_NET *4049 0.0114949 -*CONN -*I *25314:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *29862:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27870:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *28351:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25314:B2 0.0012443 -2 *29862:A 0.000176896 -3 *27870:A1 6.26557e-05 -4 *28351:Q 0 -5 *4049:38 0.00269914 -6 *4049:7 0.000273087 -7 *4049:4 0.00148838 -8 *25314:B2 *27209:A0 0.000123617 -9 *25314:B2 *27209:A1 0.000290771 -10 *25314:B2 *27407:A2 0.000243862 -11 *25314:B2 *28646:RESET_B 0.000115724 -12 *25314:B2 *29048:A 4.58194e-05 -13 *25314:B2 *29664:A 5.52238e-05 -14 *25314:B2 *5777:82 6.26276e-05 -15 *25314:B2 *5879:44 0.000136958 -16 *27870:A1 *4128:20 9.47456e-05 -17 *27870:A1 *5641:133 1.02504e-05 -18 *27870:A1 *5641:162 3.68406e-05 -19 *27870:A1 *5832:8 6.61507e-05 -20 *29862:A *25902:A1 0.000132879 -21 *29862:A *28351:D 7.6644e-05 -22 *29862:A *4128:20 2.94501e-05 -23 *29862:A *5649:249 0.000158584 -24 *29862:A *5832:8 7.22604e-05 -25 *4049:7 *28351:D 1.40415e-05 -26 *4049:38 *27748:B1 0.000100994 -27 *4049:38 *28351:D 4.0342e-06 -28 *4049:38 *28646:RESET_B 6.72353e-05 -29 *4049:38 *30339:A 0.000251075 -30 *25315:C1 *25314:B2 0.000714567 -31 *27407:C1 *25314:B2 3.08664e-05 -32 *27408:C1 *25314:B2 0.000922409 -33 *27748:A1 *4049:38 2.6269e-05 -34 *27748:A2 *4049:38 0.000475866 -35 *29863:A *29862:A 0.000132879 -36 *1834:37 *4049:38 0.000228721 -37 *2759:80 *4049:38 9.41642e-05 -38 *2771:93 *25314:B2 4.87854e-05 -39 *2788:124 *4049:38 9.41642e-05 -40 *2856:364 *25314:B2 5.49544e-05 -41 *3849:11 *25314:B2 9.41642e-05 -42 *3901:27 *25314:B2 0.00043662 -43 *3901:35 *25314:B2 6.26774e-06 -*RES -1 *28351:Q *4049:4 9.3 -2 *4049:4 *4049:7 5.03571 -3 *4049:7 *27870:A1 16.05 -4 *4049:7 *29862:A 19.2464 -5 *4049:4 *4049:38 25.2143 -6 *4049:38 *25314:B2 37.3893 -*END - -*D_NET *4050 0.00588554 -*CONN -*I *25356:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *29167:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27609:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28759:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *25356:B2 0.000239193 -2 *29167:A 3.39029e-05 -3 *27609:B2 0.000490702 -4 *28759:Q 0.00062168 -5 *4050:17 0.000316454 -6 *4050:7 0.00115574 -7 *25356:B2 *4076:8 0.0003674 -8 *27609:B2 *4076:8 0.000618072 -9 *27609:B2 *4076:21 0.000150625 -10 *27609:B2 *5586:11 6.26774e-06 -11 *27609:B2 *5716:77 0.000119388 -12 *29167:A *25380:B2 2.59355e-05 -13 *4050:7 *28759:SET_B 0.000193094 -14 *4050:17 *4076:8 0.000123852 -15 *25356:B1 *25356:B2 0.000331148 -16 *25356:B1 *27609:B2 0.000180818 -17 *25356:B1 *4050:17 0.000115822 -18 *27609:A1 *27609:B2 7.70134e-06 -19 *28759:CLK *4050:7 0.000235378 -20 *1225:163 *25356:B2 5.33005e-05 -21 *1256:163 *27609:B2 6.30931e-05 -22 *3836:10 *25356:B2 3.63298e-05 -23 *3836:10 *27609:B2 0.000399648 -*RES -1 *28759:Q *4050:7 21.5232 -2 *4050:7 *27609:B2 27.108 -3 *4050:7 *4050:17 1.64286 -4 *4050:17 *29167:A 14.3357 -5 *4050:17 *25356:B2 19.9786 -*END - -*D_NET *4051 0.0327107 -*CONN -*I *25236:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27905:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *29716:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28342:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *25236:B2 0 -2 *27905:A1 0.00140828 -3 *29716:A 0.000168374 -4 *28342:Q 0 -5 *4051:54 0.00144458 -6 *4051:49 0.00293388 -7 *4051:43 0.00252815 -8 *4051:6 0.00158902 -9 *4051:5 0.00105121 -10 *27905:A1 *5629:20 0.000120671 -11 *27905:A1 *5635:34 0.000127359 -12 *29716:A *25891:A0 0.000178847 -13 *29716:A *5634:26 0.000173574 -14 *29716:A *5750:130 0.000175092 -15 *4051:6 *5634:26 4.5539e-05 -16 *4051:6 *5750:130 3.42764e-05 -17 *4051:43 *28650:D 8.44271e-06 -18 *4051:43 *28658:D 1.10856e-05 -19 *4051:43 *5634:26 0.000154132 -20 *4051:43 *5635:50 0.000161213 -21 *4051:43 *5713:104 5.23327e-05 -22 *4051:43 *5713:109 0.000383424 -23 *4051:49 *27614:B2 6.60954e-05 -24 *4051:49 *4115:48 4.51372e-05 -25 *4051:49 *5634:96 3.23034e-05 -26 *4051:49 *5635:50 0.000105608 -27 *4051:49 *5635:63 0.00113793 -28 *4051:49 *5661:21 0.00011094 -29 *4051:54 *4115:47 6.85368e-05 -30 *4051:54 *5635:63 2.95642e-05 -31 *4051:54 *5635:72 0.00136887 -32 *4051:54 *5661:188 0.00156644 -33 *25209:A2 *4051:49 6.60847e-05 -34 *25273:A1 *4051:49 9.58632e-05 -35 *25375:C1 *29716:A 6.05161e-06 -36 *25891:S *27905:A1 9.31037e-05 -37 *27136:S *4051:43 2.03618e-05 -38 *27319:B2 *4051:49 0.000679789 -39 *27905:A2 *27905:A1 0.000466287 -40 *28342:CLK *27905:A1 3.47641e-06 -41 *28719:CLK *4051:43 0.00127471 -42 *1250:72 *4051:49 0.000246432 -43 *1271:104 *27905:A1 2.09826e-05 -44 *2776:65 *27905:A1 0.000100907 -45 *2845:30 *27905:A1 0.000380129 -46 *2845:31 *27905:A1 0.00168597 -47 *2859:28 *4051:43 9.91086e-05 -48 *2860:154 *4051:43 0.00027537 -49 *2860:164 *4051:54 0.000603193 -50 *2867:25 *4051:43 1.98839e-05 -51 *2874:35 *27905:A1 2.42516e-05 -52 *2877:179 *4051:49 1.48252e-05 -53 *2878:16 *29716:A 2.59355e-05 -54 *2878:25 *27905:A1 0.00198271 -55 *2878:138 *27905:A1 0.000129305 -56 *2879:35 *4051:49 0.00127839 -57 *2879:67 *4051:54 0.00202687 -58 *2881:14 *4051:49 0.00106461 -59 *2886:26 *4051:43 6.07037e-07 -60 *2894:26 *4051:43 0.000136958 -61 *3174:35 *4051:43 0.000335705 -62 *3176:49 *4051:49 2.64729e-05 -63 *3185:264 *4051:43 0.000136596 -64 *3574:86 *4051:54 0.00145579 -65 *3642:23 *4051:43 2.68558e-05 -66 *3642:29 *4051:43 0.000374455 -67 *3686:109 *4051:54 1.85661e-05 -68 *3686:135 *4051:49 2.06112e-05 -69 *3686:135 *4051:54 0 -70 *3797:65 *4051:49 0.000111243 -71 *3933:17 *4051:49 0.00010047 -72 *3987:29 *4051:49 0 -73 *3987:29 *4051:54 0 -74 *4044:14 *4051:54 8.85664e-07 -*RES -1 *28342:Q *4051:5 13.8 -2 *4051:5 *4051:6 0.580357 -3 *4051:6 *29716:A 17.9696 -4 *4051:6 *27905:A1 49.0108 -5 *4051:5 *4051:43 37.25 -6 *4051:43 *4051:49 49.5357 -7 *4051:49 *4051:54 49.3571 -8 *4051:54 *25236:B2 13.8 -*END - -*D_NET *4052 0.0227172 -*CONN -*I *25222:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27932:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30121:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28343:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *25222:B2 1.20988e-05 -2 *27932:A1 0.00175217 -3 *30121:A 0.000196757 -4 *28343:Q 0 -5 *4052:36 0.00262725 -6 *4052:30 0.00199716 -7 *4052:20 0.00254123 -8 *4052:4 0.00160381 -9 *25222:B2 *5936:43 1.21032e-05 -10 *27932:A1 *6568:DIODE 2.5868e-05 -11 *27932:A1 *25222:A1 9.45387e-06 -12 *27932:A1 *27932:A2 5.74447e-05 -13 *27932:A1 *5936:43 8.25843e-06 -14 *30121:A *28343:SET_B 3.32595e-05 -15 *30121:A *28430:D 0.00024415 -16 *30121:A *5583:71 2.30796e-05 -17 *4052:20 *28343:SET_B 0.00011713 -18 *4052:36 *5936:70 0.000100823 -19 *25222:B1 *27932:A1 1.85443e-05 -20 *25222:C1 *4052:36 9.54798e-06 -21 *25223:B1 *4052:36 0.000112496 -22 *25411:A1 *4052:20 9.00034e-05 -23 *27331:A2 *4052:20 0.000171952 -24 *27617:B1 *4052:20 3.18096e-05 -25 *27629:B2 *4052:30 0.000771161 -26 *27629:C1 *4052:30 0.00019593 -27 *27635:B2 *4052:36 2.0587e-05 -28 *28342:CLK *30121:A 9.80968e-05 -29 *28650:CLK *4052:20 0.000166649 -30 *282:21 *4052:30 5.36407e-05 -31 *1256:31 *4052:30 0.000111243 -32 *1265:36 *4052:30 4.97121e-06 -33 *1271:104 *30121:A 0.000131822 -34 *1289:19 *27932:A1 9.3171e-05 -35 *1528:9 *4052:36 0.000250779 -36 *1538:37 *27932:A1 0.000418652 -37 *1607:8 *4052:20 0.00279859 -38 *2852:187 *4052:30 7.71018e-05 -39 *2878:147 *4052:30 0.000925242 -40 *2891:24 *4052:20 1.90936e-05 -41 *2894:26 *4052:20 0.000622364 -42 *3168:53 *4052:20 6.56849e-05 -43 *3174:56 *4052:20 1.90936e-05 -44 *3178:144 *4052:20 0.00037803 -45 *3547:12 *4052:36 0.000145399 -46 *3641:8 *30121:A 0.00011062 -47 *3641:8 *4052:20 0.000212175 -48 *3641:61 *4052:20 0.000662277 -49 *3751:44 *4052:30 0.00103685 -50 *3829:36 *4052:20 1.89361e-05 -51 *3946:45 *4052:30 0.00113359 -52 *3987:58 *27932:A1 0.000307046 -53 *3989:20 *30121:A 1.65787e-05 -54 *3989:20 *4052:20 5.53918e-05 -*RES -1 *28343:Q *4052:4 9.3 -2 *4052:4 *30121:A 24.2196 -3 *4052:4 *4052:20 48.2232 -4 *4052:20 *4052:30 41.125 -5 *4052:30 *4052:36 20.1071 -6 *4052:36 *27932:A1 30.425 -7 *4052:36 *25222:B2 9.55 -*END - -*D_NET *4053 0.00520349 -*CONN -*I *25099:B2 I *D sky130_fd_sc_hd__a221o_2 -*I *27962:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30352:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28344:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *25099:B2 0.000118911 -2 *27962:A1 0.000540264 -3 *30352:A 5.76476e-05 -4 *28344:Q 0.000304437 -5 *4053:24 0.00084161 -6 *4053:12 0.00054452 -7 *27962:A1 *27962:B1 5.30637e-06 -8 *30352:A *5442:27 0.000178847 -9 *4053:12 *25893:A0 4.49318e-05 -10 *4053:12 *28344:D 4.73514e-05 -11 *4053:12 *28344:SET_B 0.000195621 -12 *4053:12 *5869:32 0.000322752 -13 *4053:24 *5691:139 0.000144556 -14 *4053:24 *5869:32 7.59459e-05 -15 *25099:A2 *25099:B2 1.85443e-05 -16 *25099:B1 *25099:B2 1.32056e-05 -17 *27962:A2 *27962:A1 7.8679e-05 -18 *28344:CLK *4053:12 0.000286522 -19 *1273:47 *27962:A1 0 -20 *1418:15 *25099:B2 5.52238e-05 -21 *1581:11 *30352:A 9.0145e-05 -22 *1653:35 *4053:12 2.18827e-05 -23 *2778:66 *4053:12 8.56177e-05 -24 *2834:40 *25099:B2 0.000105687 -25 *2834:40 *27962:A1 0.000302816 -26 *2850:50 *27962:A1 0.00039015 -27 *3816:43 *4053:12 9.25014e-06 -28 *3816:43 *4053:24 0.000323064 -*RES -1 *28344:Q *4053:12 22.2375 -2 *4053:12 *30352:A 15.5679 -3 *4053:12 *4053:24 9.30357 -4 *4053:24 *27962:A1 19.7107 -5 *4053:24 *25099:B2 12.4384 -*END - -*D_NET *4054 0.0542423 -*CONN -*I *27206:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27674:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25292:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28760:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *27206:A1 0.000139269 -2 *27674:A1 0.00152654 -3 *25292:A1 0 -4 *28760:Q 4.70928e-05 -5 *4054:66 0.00280278 -6 *4054:47 0.0015001 -7 *4054:44 0.00190879 -8 *4054:26 0.00376491 -9 *4054:25 0.00239129 -10 *4054:17 0.00178234 -11 *4054:13 0.00153686 -12 *4054:8 0.00179619 -13 *4054:7 0.00191672 -14 *27206:A1 *5795:44 2.85234e-05 -15 *27206:A1 *5863:77 0.000196845 -16 *27674:A1 *27674:B1 2.59355e-05 -17 *27674:A1 *4115:30 0.000160728 -18 *27674:A1 *5627:69 6.90381e-06 -19 *27674:A1 *5655:182 4.87751e-05 -20 *27674:A1 *5795:96 4.63209e-05 -21 *4054:7 *28760:D 2.59355e-05 -22 *4054:8 *25628:A1 0.000304296 -23 *4054:8 *26940:A1 0.000148189 -24 *4054:8 *27146:A1 0.000329493 -25 *4054:8 *27171:A1 7.83659e-05 -26 *4054:8 *4080:22 0 -27 *4054:8 *5590:131 0.000336228 -28 *4054:8 *5701:147 0.0006246 -29 *4054:8 *5701:149 0.000363842 -30 *4054:8 *5701:169 2.06178e-05 -31 *4054:8 *5795:50 1.44428e-05 -32 *4054:8 *5795:60 0.000139965 -33 *4054:8 *5863:77 0.000753313 -34 *4054:17 *5590:131 0.000674984 -35 *4054:17 *5649:220 0.000241399 -36 *4054:25 *5605:170 9.41642e-05 -37 *4054:25 *5649:217 1.32511e-05 -38 *4054:26 *28475:D 0.000307986 -39 *4054:44 *5609:165 0.00154617 -40 *4054:44 *5609:183 3.34295e-05 -41 *4054:44 *5650:250 6.99087e-05 -42 *4054:44 *5656:116 1.39841e-05 -43 *4054:66 *5700:50 4.14491e-05 -44 *4054:66 *6269:12 0.000143652 -45 *25220:A2 *27674:A1 0.000416437 -46 *25236:A2 *27674:A1 2.81779e-05 -47 *25292:A2 *4054:47 5.90357e-05 -48 *25292:A2 *4054:66 5.63586e-05 -49 *25292:B2 *4054:47 0.000529845 -50 *25292:B2 *4054:66 2.28932e-05 -51 *25292:C1 *4054:66 0.0016233 -52 *25314:A2 *4054:8 9.22699e-05 -53 *27338:A2 *4054:26 0.000170772 -54 *27351:B1 *4054:44 0.000415148 -55 *27352:D *4054:26 0.000947629 -56 *27674:B2 *27674:A1 2.67489e-05 -57 *27682:C *4054:17 6.05161e-06 -58 *27682:C *4054:25 0.000555462 -59 *27758:B2 *4054:17 0.00205931 -60 *28851:A *4054:26 6.46173e-05 -61 *1178:174 *4054:26 1.90936e-05 -62 *1256:6 *4054:66 0.000560611 -63 *1256:76 *4054:66 1.19468e-05 -64 *1262:128 *27674:A1 9.12147e-06 -65 *1272:113 *4054:17 0.000326709 -66 *1275:15 *27674:A1 0 -67 *1275:62 *4054:44 2.22043e-05 -68 *1282:205 *4054:26 0.000145411 -69 *1419:24 *27674:A1 0 -70 *1494:22 *4054:44 1.44355e-05 -71 *1661:19 *4054:66 2.44286e-05 -72 *1826:238 *4054:8 8.92599e-05 -73 *1826:251 *4054:8 0.000240999 -74 *2773:118 *4054:17 0.000131124 -75 *2773:139 *4054:17 0.000144905 -76 *2779:121 *4054:66 0.000918806 -77 *2779:132 *4054:66 5.05964e-05 -78 *2784:67 *4054:26 0.00114099 -79 *2784:67 *4054:44 0.000416978 -80 *2794:122 *4054:17 0.00220723 -81 *2794:122 *4054:26 7.71967e-05 -82 *2794:141 *4054:26 0.000172392 -83 *2855:175 *27674:A1 0 -84 *2871:305 *4054:26 0.000103974 -85 *2879:156 *4054:13 1.46617e-05 -86 *3164:333 *4054:13 3.08266e-05 -87 *3177:117 *4054:17 2.47753e-05 -88 *3197:70 *4054:44 7.6644e-05 -89 *3197:70 *4054:47 1.92789e-05 -90 *3227:8 *4054:25 0.00057643 -91 *3227:8 *4054:26 0.00124822 -92 *3233:16 *4054:26 0.00362885 -93 *3233:26 *4054:26 1.44954e-05 -94 *3306:6 *27674:A1 4.25345e-05 -95 *3413:51 *4054:66 3.37909e-05 -96 *3540:23 *4054:44 0 -97 *3581:138 *4054:13 0.000158552 -98 *3601:8 *4054:8 7.15687e-05 -99 *3667:55 *4054:66 8.93148e-05 -100 *3686:27 *27206:A1 0 -101 *3686:27 *4054:8 0 -102 *3704:45 *27674:A1 0.00013359 -103 *3712:38 *4054:66 0.00078741 -104 *3768:27 *4054:66 0.000789462 -105 *3829:8 *4054:44 0.000129673 -106 *3885:26 *4054:44 0.000160937 -107 *3963:93 *4054:26 0.00021151 -108 *3992:66 *4054:26 0.00125982 -109 *4002:18 *4054:8 0.00245486 -110 *4002:20 *4054:8 0.000220021 -111 *4003:20 *4054:17 0.000216028 -*RES -1 *28760:Q *4054:7 14.3357 -2 *4054:7 *4054:8 51.2768 -3 *4054:8 *4054:13 7.0832 -4 *4054:13 *4054:17 49.2411 -5 *4054:17 *4054:25 22.1607 -6 *4054:25 *4054:26 69.4911 -7 *4054:26 *4054:44 47.6116 -8 *4054:44 *4054:47 5.48214 -9 *4054:47 *25292:A1 9.3 -10 *4054:47 *4054:66 49.9141 -11 *4054:66 *27674:A1 26.25 -12 *4054:7 *27206:A1 16.8893 -*END - -*D_NET *4055 0.0112763 -*CONN -*I *27716:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25228:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *29840:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28761:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27716:A1 0.000200547 -2 *25228:A1 0 -3 *29840:A 5.12044e-05 -4 *28761:Q 0.000108436 -5 *4055:33 0.00157124 -6 *4055:19 0.00155151 -7 *4055:10 0.000340462 -8 *27716:A1 *27162:A1 0.000139986 -9 *27716:A1 *5638:62 7.62789e-05 -10 *27716:A1 *5643:40 0.000187963 -11 *4055:10 *6225:114 0.000146983 -12 *4055:19 *6225:114 0.000368599 -13 *4055:33 *27129:A1 7.83587e-05 -14 *4055:33 *5694:94 0.00131436 -15 *4055:33 *6225:114 0.00054171 -16 *4055:33 *6225:116 6.81425e-06 -17 *27376:B1 *4055:10 0.000146983 -18 *27376:B1 *4055:19 0.000179118 -19 *27716:B2 *27716:A1 1.38106e-05 -20 *27716:C1 *27716:A1 9.41642e-05 -21 *29710:A *4055:33 0.000123133 -22 *29841:A *4055:10 5.52302e-05 -23 *1328:140 *4055:33 9.71197e-05 -24 *1328:142 *4055:33 0.000102395 -25 *2786:112 *4055:33 0.000149797 -26 *2852:181 *4055:33 0.000412628 -27 *3192:31 *29840:A 9.41642e-05 -28 *3205:189 *27716:A1 0.000189617 -29 *3886:51 *4055:33 0.00175043 -30 *3938:40 *4055:19 8.04174e-05 -31 *3938:40 *4055:33 0.00110279 -*RES -1 *28761:Q *4055:10 16.7107 -2 *4055:10 *29840:A 14.7464 -3 *4055:10 *4055:19 4.98214 -4 *4055:19 *25228:A1 13.8 -5 *4055:19 *4055:33 47.1429 -6 *4055:33 *27716:A1 23.8714 -*END - -*D_NET *4056 0.020544 -*CONN -*I *24821:A I *D sky130_fd_sc_hd__inv_2 -*I *29977:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27741:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25193:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28762:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *24821:A 0.00103875 -2 *29977:A 0 -3 *27741:A1 0.000579433 -4 *25193:A1 0.000104679 -5 *28762:Q 0.000736303 -6 *4056:53 0.00241328 -7 *4056:18 0.00111973 -8 *4056:13 0.000597292 -9 *4056:8 0.00227251 -10 *24821:A *26864:A0 0 -11 *24821:A *5457:30 0.00085451 -12 *24821:A *6039:24 0.000497963 -13 *24821:A *6285:21 4.92977e-05 -14 *27741:A1 *29988:A 0.000375099 -15 *27741:A1 *4082:33 0.000561323 -16 *4056:8 *28537:D 0.000165272 -17 *4056:8 *28762:D 1.11474e-05 -18 *4056:13 *27208:A1 8.60361e-05 -19 *4056:13 *5709:312 1.35465e-05 -20 *4056:13 *5829:207 0.0001489 -21 *4056:18 *27208:A1 0.000262841 -22 *4056:18 *5695:67 0.000284807 -23 *4056:18 *5829:207 0.00013331 -24 *4056:18 *5926:66 0.000155852 -25 *4056:18 *5926:68 3.65903e-05 -26 *4056:53 *26859:A1 0.000125917 -27 *4056:53 *26864:A0 8.14928e-05 -28 *4056:53 *28454:D 0.000225609 -29 *4056:53 *28454:RESET_B 0.000457806 -30 *4056:53 *28641:RESET_B 5.70914e-05 -31 *4056:53 *28697:D 0.000278387 -32 *4056:53 *5462:55 8.25228e-05 -33 *4056:53 *5676:20 4.56594e-05 -34 *4056:53 *5676:292 0.000721444 -35 *4056:53 *5676:307 4.15526e-05 -36 *4056:53 *5682:11 0.000146474 -37 *4056:53 *5814:10 4.3605e-05 -38 *4056:53 *6278:11 0.000181796 -39 *4056:53 *6285:21 0.000313241 -40 mgmt_gpio_oeb[29] *24821:A 0.000184745 -41 *24829:A *24821:A 0.000115015 -42 *24831:A *24821:A 0.000723981 -43 *29957:A *4056:53 1.78394e-05 -44 *29970:A *4056:18 0.000307272 -45 *29979:A *4056:53 0.000183726 -46 *30712:A *4056:53 0.000193222 -47 *63:17 *24821:A 0.000184745 -48 *139:26 *24821:A 4.00349e-05 -49 *1256:173 *25193:A1 4.11218e-05 -50 *1256:173 *27741:A1 1.74156e-05 -51 *1256:173 *4056:18 1.31516e-05 -52 *1256:183 *4056:18 2.01359e-05 -53 *1286:117 *4056:18 8.94412e-05 -54 *1513:7 *25193:A1 9.41642e-05 -55 *2764:30 *25193:A1 4.58194e-05 -56 *2769:209 *4056:53 6.46173e-05 -57 *2788:168 *27741:A1 0.00117543 -58 *2788:168 *4056:18 0.000243821 -59 *3537:20 *4056:8 0.000267377 -60 *3537:35 *4056:13 0.000329157 -61 *3537:35 *4056:53 0.000287835 -62 *3604:65 *4056:8 1.39726e-05 -63 *3705:14 *27741:A1 1.14057e-05 -64 *3705:14 *4056:18 6.24581e-05 -65 *3887:91 *27741:A1 6.15879e-05 -66 *3926:29 *4056:13 0.000101249 -67 *3926:29 *4056:53 0.000352137 -*RES -1 *28762:Q *4056:8 23.0857 -2 *4056:8 *4056:13 9.82143 -3 *4056:13 *4056:18 17.6875 -4 *4056:18 *25193:A1 15.8625 -5 *4056:18 *27741:A1 30.0946 -6 *4056:13 *29977:A 9.3 -7 *4056:8 *4056:53 48.875 -8 *4056:53 *24821:A 40.3213 -*END - -*D_NET *4057 0.00876129 -*CONN -*I *29664:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27758:A1 I *D sky130_fd_sc_hd__a221o_4 -*I *25141:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28763:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29664:A 1.42885e-05 -2 *27758:A1 0.000200475 -3 *25141:A1 0.00136284 -4 *28763:Q 6.48048e-05 -5 *4057:10 0.00200227 -6 *4057:6 0.000518053 -7 *25141:A1 *26978:A0 0.000222244 -8 *25141:A1 *28755:D 4.46186e-06 -9 *25141:A1 *28755:RESET_B 3.38196e-06 -10 *25141:A1 *5638:133 8.80625e-05 -11 *25141:A1 *5879:58 0.000165314 -12 *27758:A1 *27758:A2 4.58194e-05 -13 *27758:A1 *5638:133 4.10843e-05 -14 *29664:A *27209:A1 5.33005e-05 -15 *4057:10 *4119:8 6.35388e-05 -16 *4057:10 *5748:10 1.77971e-05 -17 *4057:10 *5803:27 0.000291637 -18 *25141:A2 *25141:A1 7.13226e-06 -19 *25141:B1 *25141:A1 4.78443e-05 -20 *25141:B2 *25141:A1 1.21963e-05 -21 *25314:B2 *29664:A 5.52238e-05 -22 *26978:S *25141:A1 0.000724365 -23 *26978:S *27758:A1 1.15281e-05 -24 *27748:A2 *4057:6 0.000142372 -25 *27748:A2 *4057:10 5.61616e-05 -26 *29678:A *25141:A1 0.000546189 -27 *1225:144 *25141:A1 9.82592e-05 -28 *1462:10 *25141:A1 0.000135028 -29 *2791:199 *4057:6 0.000132667 -30 *2791:199 *4057:10 1.90936e-05 -31 *3164:346 *4057:10 0.000629104 -32 *3226:14 *27758:A1 5.83233e-05 -33 *3781:22 *4057:10 0.000869811 -34 *3907:32 *27758:A1 5.66157e-05 -*RES -1 *28763:Q *4057:6 15.7464 -2 *4057:6 *4057:10 18.2857 -3 *4057:10 *25141:A1 41.0679 -4 *4057:10 *27758:A1 21.2107 -5 *4057:6 *29664:A 14.3357 -*END - -*D_NET *4058 0.00811497 -*CONN -*I *30589:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27781:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25054:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28764:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30589:A 0 -2 *27781:A1 2.30826e-05 -3 *25054:B2 0.000524294 -4 *28764:Q 0.000539422 -5 *4058:23 0.000922765 -6 *4058:15 0.00091481 -7 *25054:B2 *25051:B2 0.000517566 -8 *25054:B2 *25053:A2 1.5472e-05 -9 *25054:B2 *27832:B1 2.59355e-05 -10 *25054:B2 *5655:267 6.595e-05 -11 *25054:B2 *5862:35 0.000349708 -12 *4058:15 *27210:A1 5.33005e-05 -13 *4058:15 *4222:21 0.000293886 -14 *4058:15 *5586:74 0.000168744 -15 *4058:15 *5774:185 0.000155278 -16 *4058:15 *5847:12 0.000460899 -17 *4058:23 *25051:B2 0.00038103 -18 *4058:23 *27832:A2 0.000125249 -19 *4058:23 *27832:B1 1.98839e-05 -20 *4058:23 *5586:74 0.000180569 -21 *4058:23 *5638:195 1.46576e-05 -22 *4058:23 *5774:185 0.000351785 -23 *4058:23 *5863:132 0.000173398 -24 *25053:A1 *25054:B2 0.000216627 -25 *25053:B1 *25054:B2 3.45371e-05 -26 *25054:C1 *25054:B2 1.02504e-05 -27 *25055:C *25054:B2 2.84026e-05 -28 *27781:B2 *25054:B2 2.84269e-05 -29 *27832:B2 *4058:23 0.000379602 -30 *29064:A *4058:15 0.00038527 -31 *1304:6 *4058:23 9.97026e-05 -32 *2771:36 *4058:15 0.000146669 -33 *2776:231 *25054:B2 6.26774e-06 -34 *3731:18 *4058:15 0.000260574 -35 *4006:51 *25054:B2 7.32346e-05 -36 *4033:8 *25054:B2 0.000167727 -*RES -1 *28764:Q *4058:15 32.2821 -2 *4058:15 *4058:23 21.2143 -3 *4058:23 *25054:B2 31.5009 -4 *4058:23 *27781:A1 9.72857 -5 *4058:15 *30589:A 9.3 -*END - -*D_NET *4059 0.0078879 -*CONN -*I *29636:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27800:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25023:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28765:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29636:A 0 -2 *27800:A1 0.00103803 -3 *25023:B2 7.14763e-05 -4 *28765:Q 0.000360736 -5 *4059:16 0.00149134 -6 *4059:11 0.000742573 -7 *25023:B2 *5645:39 0.00011643 -8 *27800:A1 *5606:51 0.000232225 -9 *27800:A1 *5606:55 0.000208345 -10 *4059:11 *30487:A 0.000148028 -11 *4059:11 *5700:260 6.24758e-05 -12 *4059:11 *5753:67 5.52238e-05 -13 *4059:16 *30487:A 2.84109e-05 -14 *4059:16 *5645:39 0.000216064 -15 *25023:B1 *25023:B2 1.28809e-05 -16 *27800:A2 *27800:A1 9.60875e-05 -17 *27807:A1 *4059:11 0.00030501 -18 *27807:A1 *4059:16 0.000736569 -19 *27807:B1 *27800:A1 0.00038907 -20 *1342:26 *4059:11 0.000315894 -21 *1346:8 *25023:B2 1.02504e-05 -22 *3152:17 *27800:A1 0.00054662 -23 *3206:179 *27800:A1 2.14757e-05 -24 *3877:26 *25023:B2 0.000143188 -25 *3877:26 *4059:16 0.000223599 -26 *3981:42 *4059:11 0.000315894 -*RES -1 *28765:Q *4059:11 27.9607 -2 *4059:11 *4059:16 14.4643 -3 *4059:16 *25023:B2 15.8759 -4 *4059:16 *27800:A1 32.8357 -5 *4059:11 *29636:A 9.3 -*END - -*D_NET *4060 0.00593314 -*CONN -*I *27832:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *24978:A1 I *D sky130_fd_sc_hd__a221o_4 -*I *29408:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28766:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27832:A1 0.000603943 -2 *24978:A1 0.00024041 -3 *29408:A 2.62838e-05 -4 *28766:Q 0.000275495 -5 *4060:23 0.00115453 -6 *4060:11 0.000611954 -7 *27832:A1 *27832:A2 1.976e-05 -8 *27832:A1 *5602:100 2.03519e-05 -9 *27832:A1 *5863:117 0 -10 *27832:A1 *5892:6 0.000964491 -11 *27832:A1 *5925:26 0 -12 *29408:A *27212:A1 5.33005e-05 -13 *4060:11 *27212:A1 0.000109971 -14 *4060:11 *5709:327 9.85599e-06 -15 *4060:11 *5709:332 5.33005e-05 -16 *4060:11 *5847:8 0.000169083 -17 *4060:23 *5892:6 0.000768619 -18 *24978:A2 *24978:A1 3.50166e-05 -19 *24978:B2 *24978:A1 5.49489e-05 -20 *24978:B2 *4060:23 9.41642e-05 -21 *27833:C1 *27832:A1 0.000142145 -22 *30269:A *4060:11 7.79937e-05 -23 *2794:37 *24978:A1 4.63685e-05 -24 *4045:18 *4060:23 0.000401159 -*RES -1 *28766:Q *4060:11 23.9786 -2 *4060:11 *29408:A 9.83571 -3 *4060:11 *4060:23 19.7857 -4 *4060:23 *24978:A1 13.55 -5 *4060:23 *27832:A1 32.6393 -*END - -*D_NET *4061 0.00778945 -*CONN -*I *25374:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *29643:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27857:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28340:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25374:A1 0.000466426 -2 *29643:A 1.34337e-05 -3 *27857:A1 0.00122799 -4 *28340:Q 9.21411e-05 -5 *4061:29 0.000534589 -6 *4061:10 0.00137486 -7 *25374:A1 *29379:A 0.0002219 -8 *25374:A1 *5591:42 0.000303287 -9 *27857:A1 *27905:B1 5.52148e-05 -10 *27857:A1 *5591:42 0.000349709 -11 *27857:A1 *5608:8 0.000219289 -12 *27857:A1 *5717:61 1.76164e-05 -13 *4061:10 *25889:A1 5.49995e-05 -14 *4061:10 *5869:32 9.5919e-05 -15 *4061:29 *5591:42 3.63775e-05 -16 *27857:A2 *27857:A1 0.000210548 -17 *1243:67 *4061:10 9.8966e-05 -18 *1419:53 *25374:A1 9.41642e-05 -19 *1607:8 *25374:A1 0.000204949 -20 *2872:21 *25374:A1 9.89422e-05 -21 *2872:29 *25374:A1 0.000521817 -22 *2872:29 *27857:A1 0.00108368 -23 *2872:29 *4061:29 0.000135028 -24 *2878:20 *25374:A1 0.00026202 -25 *3156:59 *25374:A1 1.55885e-05 -*RES -1 *28340:Q *4061:10 20.175 -2 *4061:10 *27857:A1 32.2286 -3 *4061:10 *4061:29 1.35714 -4 *4061:29 *29643:A 9.72857 -5 *4061:29 *25374:A1 31.1393 -*END - -*D_NET *4062 0.0174508 -*CONN -*I *27882:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25324:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29931:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28341:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27882:A1 0 -2 *25324:B2 0.000241833 -3 *29931:A 0 -4 *28341:Q 0.000185438 -5 *4062:27 0.00222277 -6 *4062:25 0.00202789 -7 *4062:22 0.000484319 -8 *4062:12 0.000622795 -9 *4062:12 *5211:8 0.000195714 -10 *4062:12 *5442:51 0.000108504 -11 *4062:12 *5585:76 0.000197725 -12 *4062:12 *5713:130 4.50033e-05 -13 *4062:22 *27882:B1 5.49995e-05 -14 *4062:22 *5211:8 0.00059985 -15 *4062:22 *5583:71 3.1945e-05 -16 *4062:22 *5585:70 1.90936e-05 -17 *4062:22 *5585:76 0.000581246 -18 *4062:27 *25358:B2 7.32947e-05 -19 *4062:27 *5196:72 0.000640671 -20 *4062:27 *5486:20 4.1879e-05 -21 *4062:27 *5486:31 0.000566809 -22 *4062:27 *5634:95 0.000373408 -23 *4062:27 *5655:96 1.54726e-05 -24 *4062:27 *5655:113 9.15205e-05 -25 *4062:27 *5713:96 0.000203879 -26 *4062:27 *5713:104 0.00016389 -27 *25324:A2 *25324:B2 0 -28 *25324:B1 *25324:B2 3.46004e-05 -29 *25324:C1 *25324:B2 0.0004408 -30 *25375:C1 *4062:22 0.000178257 -31 *25891:S *4062:27 6.39997e-05 -32 *27322:B2 *4062:27 0.000125593 -33 *27614:A2 *4062:27 8.4121e-05 -34 *27617:C1 *4062:27 0.000111748 -35 *27669:B *4062:27 0.000676302 -36 *27882:A2 *4062:22 0.000114596 -37 *29717:A *4062:27 7.5779e-05 -38 *282:21 *4062:27 0.00446561 -39 *1244:137 *4062:27 4.1879e-05 -40 *1273:96 *25324:B2 5.33005e-05 -41 *1273:102 *25324:B2 0.0002212 -42 *1363:98 *4062:27 8.92303e-05 -43 *1890:6 *4062:27 3.14056e-05 -44 *2776:65 *4062:27 8.48485e-05 -45 *2864:28 *4062:12 0.00020546 -46 *3156:49 *4062:27 0.000216043 -47 *3160:26 *4062:22 0.000301094 -48 *3987:21 *4062:12 4.50033e-05 -*RES -1 *28341:Q *4062:12 24.241 -2 *4062:12 *29931:A 13.8 -3 *4062:12 *4062:22 17.1607 -4 *4062:22 *4062:25 5.03571 -5 *4062:25 *4062:27 59.9286 -6 *4062:27 *25324:B2 19.4116 -7 *4062:22 *27882:A1 9.3 -*END - -*D_NET *4063 0.0383679 -*CONN -*I *27308:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27634:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25352:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *29312:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28490:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *27308:B2 3.47587e-05 -2 *27634:A1 0.000624924 -3 *25352:A1 0.00107922 -4 *29312:A 9.06392e-05 -5 *28490:Q 0.00041264 -6 *4063:59 0.00205407 -7 *4063:48 0.00261666 -8 *4063:43 0.00256884 -9 *4063:35 0.00151241 -10 *4063:23 0.00124053 -11 *4063:13 0.000568255 -12 *25352:A1 *5652:45 0.000505677 -13 *27634:A1 *5626:105 0.000136676 -14 *27634:A1 *5687:73 9.41642e-05 -15 *29312:A *26902:A1 0.000257619 -16 *4063:13 *26902:A1 0.000340995 -17 *4063:13 *4070:48 0.000195889 -18 *4063:13 *4122:43 0.000198337 -19 *4063:35 *5652:45 8.58865e-05 -20 *4063:43 *5587:44 0.000314694 -21 *4063:43 *5652:45 9.29477e-05 -22 *4063:59 *30774:A 0.00024092 -23 *4063:59 *4133:85 0.000187654 -24 *4063:59 *4133:92 0.00113755 -25 *6525:DIODE *4063:13 1.90303e-05 -26 *25138:B1 *4063:59 0.00057259 -27 *25183:B1 *4063:59 0.00030162 -28 *25217:B *27634:A1 7.74068e-05 -29 *25240:B1 *25352:A1 9.24724e-05 -30 *25240:B1 *4063:35 9.15842e-06 -31 *25240:B1 *4063:43 0 -32 *25288:A2 *4063:59 0.000423198 -33 *25382:C1 *25352:A1 9.58126e-05 -34 *27634:B1 *27634:A1 6.16721e-05 -35 *27634:B2 *27634:A1 0.000146023 -36 *28842:A *4063:59 0.000173055 -37 *1235:116 *4063:43 9.27657e-05 -38 *1235:124 *4063:43 0.000945751 -39 *1241:10 *4063:59 0.000132112 -40 *1248:120 *4063:48 4.34427e-05 -41 *1248:131 *4063:43 0.00161268 -42 *1248:131 *4063:48 9.88642e-05 -43 *1248:137 *4063:43 3.25078e-05 -44 *1249:17 *4063:59 0.000391858 -45 *1249:67 *4063:43 0.000113219 -46 *1266:22 *4063:48 0.00014699 -47 *1266:24 *4063:48 1.44954e-05 -48 *1269:98 *25352:A1 0.0012687 -49 *1274:59 *4063:43 0.00115999 -50 *1279:44 *4063:59 0.000112777 -51 *1284:19 *4063:48 0.0012009 -52 *1284:43 *4063:48 0.00119566 -53 *1292:24 *4063:43 0.0012026 -54 *1292:35 *4063:35 8.93875e-05 -55 *1292:35 *4063:43 0.000366501 -56 *1292:65 *25352:A1 8.70332e-05 -57 *1293:65 *4063:43 5.66157e-05 -58 *1449:62 *4063:48 0.000100585 -59 *1449:74 *4063:59 0.000348208 -60 *1529:10 *4063:59 0.000560714 -61 *1559:10 *4063:13 0.000178425 -62 *1834:68 *4063:43 0.000236316 -63 *1834:70 *4063:43 3.0999e-05 -64 *1834:70 *4063:48 0.0030486 -65 *2761:134 *4063:43 0.000358387 -66 *2844:304 *25352:A1 0.000279942 -67 *2851:30 *4063:48 0.000216755 -68 *2864:182 *4063:48 0.000218679 -69 *2872:295 *27308:B2 0.000137983 -70 *2877:52 *27634:A1 0.00051377 -71 *2889:65 *25352:A1 0.00025621 -72 *3305:33 *27634:A1 0.000481573 -73 *3305:33 *4063:59 0.000295523 -74 *3314:26 *25352:A1 9.96717e-06 -75 *3314:26 *4063:43 0 -76 *3742:48 *29312:A 0.000300131 -77 *3742:48 *4063:23 0.000125125 -78 *3907:76 *4063:59 0.000945502 -79 *3959:63 *27308:B2 0.000137983 -80 *3988:44 *27634:A1 0.000611575 -81 *3988:44 *4063:59 4.61318e-05 -*RES -1 *28490:Q *4063:13 27.175 -2 *4063:13 *29312:A 12.3 -3 *4063:13 *4063:23 5.85714 -4 *4063:23 *25352:A1 37.6393 -5 *4063:23 *4063:35 2.55357 -6 *4063:35 *4063:43 46.2054 -7 *4063:43 *4063:48 46.7232 -8 *4063:48 *4063:59 49.3571 -9 *4063:59 *27634:A1 38.4964 -10 *4063:35 *27308:B2 15.1571 -*END - -*D_NET *4064 0.0511282 -*CONN -*I *27533:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27914:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25248:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30377:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28237:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27533:A1 9.54322e-05 -2 *27914:A1 0.000462019 -3 *25248:B2 0.000935822 -4 *30377:A 0 -5 *28237:Q 0.000940586 -6 *4064:81 0.00118782 -7 *4064:62 0.00269849 -8 *4064:56 0.003606 -9 *4064:40 0.00549124 -10 *4064:37 0.00634461 -11 *4064:21 0.00332705 -12 *4064:12 0.000940586 -13 *25248:B2 *5601:166 9.11365e-06 -14 *27914:A1 *5727:281 0.00014607 -15 *4064:12 *4103:18 0.000213938 -16 *4064:12 *5699:66 0.000136751 -17 *4064:12 *5728:172 0.000251762 -18 *4064:37 *24895:B 9.70328e-05 -19 *4064:37 *25675:A0 0.000132869 -20 *4064:37 *4103:40 0.000564734 -21 *4064:37 *5666:77 0 -22 *4064:37 *5666:101 7.08649e-05 -23 *4064:37 *5743:122 0.00040359 -24 *4064:40 *4144:35 0.00118137 -25 *4064:40 *5795:113 0.000224096 -26 *4064:62 *24954:A 6.90644e-05 -27 *4064:62 *25038:B 9.41642e-05 -28 *4064:62 *4088:56 0.00025151 -29 *4064:81 *25675:A0 0.000682988 -30 *4064:81 *27533:B2 5.42764e-05 -31 *4064:81 *5666:101 0.000268484 -32 *4064:81 *5728:172 4.79453e-05 -33 *25104:A1 *4064:56 1.12406e-05 -34 *25104:B2 *4064:56 0.000306069 -35 *25248:B1 *25248:B2 7.37323e-05 -36 *27533:B1 *4064:81 5.42764e-05 -37 *27578:A1 *4064:56 0.000383166 -38 *27914:A2 *27914:A1 5.10796e-05 -39 *27915:D *27914:A1 0.000219289 -40 *27959:A2 *4064:37 6.82498e-05 -41 *28842:A *25248:B2 0.000110498 -42 *30253:A *4064:37 6.35864e-05 -43 *406:8 *4064:12 0.000138689 -44 *408:20 *4064:81 8.08045e-05 -45 *1185:102 *4064:62 0.00174239 -46 *1249:67 *25248:B2 0.00022871 -47 *1293:42 *25248:B2 0.00200091 -48 *1293:65 *25248:B2 5.56469e-05 -49 *1358:25 *4064:37 6.56019e-05 -50 *1399:11 *4064:56 0.000408637 -51 *1399:18 *4064:56 0.000421074 -52 *1439:49 *4064:56 0.000209605 -53 *1440:56 *4064:12 0.00147964 -54 *1448:127 *4064:62 0.000253232 -55 *1472:11 *4064:12 0.000348702 -56 *1685:22 *4064:40 0.00145347 -57 *1872:31 *4064:37 0.000765813 -58 *2867:149 *4064:56 0.00052744 -59 *2872:112 *27533:A1 4.85049e-06 -60 *2872:112 *4064:81 4.60714e-05 -61 *3176:202 *4064:56 0.000741838 -62 *3184:178 *27533:A1 4.00276e-06 -63 *3184:178 *27914:A1 6.35566e-05 -64 *3184:178 *4064:81 7.40571e-05 -65 *3184:186 *27914:A1 8.27682e-06 -66 *3184:202 *4064:12 0.000128196 -67 *3214:112 *4064:56 0.000120724 -68 *3305:8 *25248:B2 0.00248792 -69 *3413:41 *4064:56 4.08872e-05 -70 *3480:23 *4064:56 2.42516e-05 -71 *3504:18 *4064:56 0.000109132 -72 *3684:21 *4064:37 2.32453e-05 -73 *3685:20 *4064:37 0.00043931 -74 *3685:80 *4064:56 0.00186097 -75 *3697:33 *4064:37 0.000461392 -76 *3698:40 *4064:81 4.98496e-06 -77 *3739:36 *4064:37 0.000275782 -78 *3739:38 *4064:12 0.00018286 -79 *3739:48 *4064:12 0.000152415 -80 *3749:46 *4064:37 2.91855e-05 -81 *3871:50 *4064:40 0.000163629 -82 *3896:26 *4064:37 0.000143836 -83 *3896:56 *4064:37 0.000223543 -84 *3920:63 *4064:56 0.000740775 -85 *4036:40 *4064:56 0.000120724 -*RES -1 *28237:Q *4064:12 29.4387 -2 *4064:12 *30377:A 13.8 -3 *4064:12 *4064:21 3.41 -4 *4064:21 *4064:37 32.1846 -5 *4064:37 *4064:40 48.5714 -6 *4064:40 *4064:56 47.9132 -7 *4064:56 *4064:62 32.9732 -8 *4064:62 *25248:B2 47.5768 -9 *4064:21 *4064:81 12.6547 -10 *4064:81 *27914:A1 16.4607 -11 *4064:81 *27533:A1 10.675 -*END - -*D_NET *4065 0.0520337 -*CONN -*I *30323:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27555:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25196:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27921:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28238:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *30323:A 0 -2 *27555:A1 0.000146878 -3 *25196:B2 0.00243895 -4 *27921:A1 0 -5 *28238:Q 0 -6 *4065:107 0.0017992 -7 *4065:91 0.002237 -8 *4065:53 0.0084095 -9 *4065:21 0.00695451 -10 *4065:10 0.00142728 -11 *4065:4 0.00102799 -12 *25196:B2 *4128:52 1.72861e-05 -13 *25196:B2 *4199:12 0.000309022 -14 *25196:B2 *5656:137 4.65519e-05 -15 *27555:A1 *4101:28 0.000427403 -16 *4065:10 *4160:98 5.52238e-05 -17 *4065:21 *28333:D 6.81484e-05 -18 *4065:21 *5209:39 5.25233e-05 -19 *4065:53 *24889:A0 0.000643756 -20 *4065:53 *27909:A2 0.000175793 -21 *4065:53 *28261:D 1.92905e-05 -22 *4065:53 *28261:RESET_B 6.95191e-05 -23 *4065:53 *4104:23 0.00110707 -24 *4065:53 *4105:23 0 -25 *4065:53 *4105:78 0 -26 *4065:53 *4350:48 0.00018314 -27 *4065:53 *5216:12 0.000186643 -28 *4065:53 *5641:70 0.00161737 -29 *4065:53 *5741:171 4.61868e-05 -30 *4065:53 *5836:78 0.000212041 -31 *4065:53 *5845:25 9.9462e-05 -32 *4065:53 *5857:33 1.80569e-05 -33 *4065:91 *25877:A0 0.000393514 -34 *4065:91 *25877:A1 9.80621e-05 -35 *4065:91 *28330:D 0.000149428 -36 *4065:91 *4126:84 0 -37 *4065:91 *4159:56 0.000110179 -38 *4065:91 *4160:98 0.000122591 -39 *4065:91 *5007:31 0 -40 *4065:91 *5712:30 0.000603352 -41 *4065:107 *6493:DIODE 4.85033e-05 -42 *4065:107 *25775:A1 1.21258e-05 -43 *4065:107 *25877:A0 5.49166e-05 -44 *4065:107 *27157:A0 4.69504e-05 -45 *4065:107 *5657:90 7.2754e-05 -46 *25076:A1 *25196:B2 9.11048e-06 -47 *25104:B2 *4065:53 0 -48 *25191:A1 *4065:53 0.000173776 -49 *25191:B1 *4065:53 1.46617e-05 -50 *25196:A2 *25196:B2 4.90004e-05 -51 *25196:C1 *25196:B2 6.03996e-05 -52 *27557:A1 *4065:107 1.08691e-05 -53 *27557:A2 *4065:107 0.0019128 -54 *27557:B1 *4065:107 0.000137561 -55 *27558:B2 *4065:107 6.05161e-06 -56 *27558:C1 *4065:107 0.000100823 -57 *27560:B2 *4065:53 0.00015732 -58 *27921:A2 *4065:21 3.16964e-05 -59 *27921:A2 *4065:53 5.56205e-05 -60 *27921:B1 *4065:21 0.000106228 -61 *27921:B2 *4065:21 4.83668e-05 -62 *27921:B2 *4065:53 0.00010629 -63 *27924:C1 *4065:53 6.17358e-05 -64 *28066:RESET_B *4065:91 2.14757e-05 -65 *28334:CLK *4065:10 0.000191644 -66 *29455:A *4065:21 0.000128154 -67 *30325:A *4065:10 5.33005e-05 -68 *30325:A *4065:91 0.000135028 -69 *374:18 *4065:91 2.56694e-05 -70 *408:20 *4065:107 2.06112e-05 -71 *1211:12 *4065:53 0.00108446 -72 *1214:11 *4065:21 0.000131392 -73 *1214:11 *4065:53 0.000666415 -74 *1239:90 *25196:B2 0.000659791 -75 *1242:61 *25196:B2 0.00138834 -76 *1262:25 *25196:B2 5.4528e-05 -77 *1263:216 *4065:53 0 -78 *1269:21 *25196:B2 2.22109e-05 -79 *1269:40 *25196:B2 0.00253759 -80 *1282:174 *25196:B2 3.00664e-05 -81 *1288:48 *25196:B2 4.19624e-06 -82 *1396:64 *4065:107 0.000682622 -83 *1422:52 *4065:53 0.000689908 -84 *1427:149 *4065:10 0.000939701 -85 *1427:149 *4065:21 0.000267838 -86 *1435:33 *4065:53 0 -87 *1456:38 *4065:53 3.92033e-05 -88 *1476:209 *4065:53 5.48956e-05 -89 *1483:68 *25196:B2 0 -90 *1634:16 *25196:B2 0 -91 *1685:40 *4065:53 0 -92 *1693:14 *25196:B2 4.9663e-07 -93 *1693:18 *25196:B2 0.000121664 -94 *1719:12 *25196:B2 0.000301293 -95 *1741:13 *4065:91 9.3858e-05 -96 *1741:13 *4065:107 0.000549154 -97 *1781:16 *25196:B2 1.1257e-05 -98 *1781:25 *25196:B2 0 -99 *1888:27 *4065:91 0.000105292 -100 *1888:39 *4065:91 5.52302e-05 -101 *2850:121 *4065:53 1.54746e-05 -102 *2874:278 *25196:B2 5.94205e-05 -103 *2874:290 *25196:B2 0.00014836 -104 *2883:89 *4065:107 6.05161e-06 -105 *3196:145 *4065:10 0.000189892 -106 *3196:145 *4065:21 0.00257622 -107 *3197:99 *4065:53 0 -108 *3389:20 *25196:B2 2.85501e-05 -109 *3542:21 *4065:53 6.72127e-06 -110 *3687:40 *4065:53 0.000222457 -111 *3688:25 *4065:53 0.000303972 -112 *3749:18 *4065:107 0.000136682 -113 *3871:17 *4065:10 0.000365841 -114 *3871:32 *4065:21 0.000418692 -115 *3871:73 *4065:21 0.00114147 -116 *3875:100 *25196:B2 0.00035751 -117 *3927:20 *25196:B2 1.34969e-05 -118 *3958:29 *27555:A1 0.000425095 -*RES -1 *28238:Q *4065:4 9.3 -2 *4065:4 *4065:10 18.1429 -3 *4065:10 *4065:21 40.7679 -4 *4065:21 *27921:A1 9.3 -5 *4065:21 *4065:53 47.4063 -6 *4065:53 *25196:B2 46.1535 -7 *4065:4 *4065:91 24.7321 -8 *4065:91 *4065:107 47.8929 -9 *4065:107 *27555:A1 19.3893 -10 *4065:91 *30323:A 9.3 -*END - -*D_NET *4066 0.0284916 -*CONN -*I *27959:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27583:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25129:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30277:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28239:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *27959:B2 1.71915e-05 -2 *27583:B2 0.000316524 -3 *25129:B2 0.00017157 -4 *30277:A 0.00127178 -5 *28239:Q 0 -6 *4066:46 0.00175921 -7 *4066:45 0.00213109 -8 *4066:33 0.00402004 -9 *4066:4 0.00441465 -10 *25129:B2 *25129:A1 9.41642e-05 -11 *25129:B2 *5808:12 0.000298483 -12 *27583:B2 *27583:A1 1.3701e-05 -13 *27583:B2 *5808:12 9.22222e-06 -14 *30277:A *25879:A0 0.00021705 -15 *30277:A *28239:D 3.05203e-05 -16 *30277:A *5719:115 0 -17 *30277:A *5742:332 2.88018e-06 -18 *30277:A *5900:10 0.000312757 -19 *4066:33 *25879:A0 0.000470804 -20 *4066:33 *25879:A1 0.000236944 -21 *4066:33 *5699:17 4.43256e-05 -22 *4066:33 *5706:8 0.000229332 -23 *4066:33 *5707:28 0.000109132 -24 *4066:33 *5742:332 6.04666e-05 -25 *4066:45 *4131:36 0.000964815 -26 *4066:45 *4131:56 0.000209558 -27 *4066:45 *5656:189 6.40293e-05 -28 *4066:46 *24889:S 0.000148182 -29 *4066:46 *4118:50 0.000141804 -30 *4066:46 *4131:56 9.20126e-05 -31 *4066:46 *5719:55 1.50225e-05 -32 *4066:46 *5719:201 0.000154225 -33 *4066:46 *5729:295 3.76274e-05 -34 *4066:46 *5808:12 1.59145e-05 -35 *25866:S *4066:33 0.000463513 -36 *25879:S *4066:33 5.33005e-05 -37 *27545:A2 *4066:46 0.00135207 -38 *27579:A1 *4066:46 0.000207939 -39 *27583:B1 *27583:B2 7.70904e-05 -40 *27585:A1 *27959:B2 2.59355e-05 -41 *27585:A1 *4066:33 4.87854e-05 -42 *27586:B1 *4066:45 1.90936e-05 -43 *27586:C1 *4066:45 0.000289369 -44 *27959:A1 *4066:33 0 -45 *28239:CLK *30277:A 9.0145e-05 -46 *28332:CLK *30277:A 9.46929e-05 -47 *30252:A *4066:33 0.000216755 -48 *30278:A *30277:A 4.43256e-05 -49 *1173:96 *30277:A 0.000304394 -50 *1411:15 *4066:46 0.000257484 -51 *1449:9 *25129:B2 0.00046892 -52 *1449:9 *27583:B2 0.000118981 -53 *1493:14 *25129:B2 2.14757e-05 -54 *2855:121 *4066:33 0.00034188 -55 *2855:121 *4066:45 2.2615e-05 -56 *2864:230 *27583:B2 0.000132096 -57 *2864:230 *4066:46 0.00146745 -58 *3136:10 *27583:B2 0.000263324 -59 *3143:11 *4066:45 0.000385108 -60 *3143:11 *4066:46 4.57042e-05 -61 *3184:137 *27959:B2 4.17433e-05 -62 *3184:137 *4066:33 9.58181e-05 -63 *3192:118 *4066:33 0.000257619 -64 *3413:41 *4066:46 0.000575658 -65 *3541:23 *27583:B2 0.000419679 -66 *3637:17 *4066:33 0.000188798 -67 *3637:22 *4066:33 2.42516e-05 -68 *3687:26 *27583:B2 0.000340857 -69 *3958:16 *4066:45 0.00125469 -70 *3975:31 *4066:46 0.000405022 -*RES -1 *28239:Q *4066:4 9.3 -2 *4066:4 *30277:A 36.0679 -3 *4066:4 *4066:33 44.4286 -4 *4066:33 *4066:45 33.4671 -5 *4066:45 *4066:46 40.0446 -6 *4066:46 *25129:B2 18.8536 -7 *4066:46 *27583:B2 23.4473 -8 *4066:33 *27959:B2 9.83571 -*END - -*D_NET *4067 0.0139687 -*CONN -*I *27691:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27338:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29842:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25344:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28491:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27691:A1 0.000573167 -2 *27338:B2 0 -3 *29842:A 0 -4 *25344:A1 0.00105384 -5 *28491:Q 4.36033e-05 -6 *4067:32 0.00154478 -7 *4067:11 0.00115249 -8 *4067:7 0.00111386 -9 *25344:A1 *29044:A 5.33005e-05 -10 *25344:A1 *5584:115 0.00141657 -11 *25344:A1 *5584:131 3.70287e-05 -12 *25344:A1 *5764:11 0.000129575 -13 *25344:A1 *5764:51 0.000123288 -14 *25344:A1 *6269:12 0.000111243 -15 *27691:A1 *5645:119 5.33005e-05 -16 *4067:11 *26903:A1 0.000135028 -17 *4067:11 *5584:131 0.000145618 -18 *4067:11 *6247:34 0.000145618 -19 *4067:32 *5584:131 0.000516201 -20 *4067:32 *5764:79 7.95355e-05 -21 *4067:32 *6247:34 0.000609013 -22 *25344:A2 *25344:A1 6.39606e-05 -23 *25345:D *25344:A1 0.000149204 -24 *27338:A1 *27691:A1 0.000301094 -25 *27342:A1 *27691:A1 2.44308e-05 -26 *27343:B *27691:A1 3.97677e-05 -27 *27691:B2 *27691:A1 1.03994e-05 -28 *30423:A *4067:32 0.000426218 -29 *1279:105 *25344:A1 2.33412e-05 -30 *1549:38 *4067:7 5.33005e-05 -31 *1663:16 *25344:A1 0.000270206 -32 *2761:121 *4067:11 0.000136682 -33 *2781:98 *25344:A1 0.000183329 -34 *2781:119 *25344:A1 0.000708091 -35 *2978:22 *4067:32 0.00011994 -36 *3667:65 *25344:A1 4.96663e-05 -37 *3742:48 *27691:A1 2.21721e-05 -38 *3742:48 *4067:32 0.00161685 -39 *3742:50 *27691:A1 2.43834e-05 -40 *3768:22 *25344:A1 0.000708571 -*RES -1 *28491:Q *4067:7 14.3357 -2 *4067:7 *4067:11 7.80357 -3 *4067:11 *25344:A1 48.3 -4 *4067:11 *29842:A 9.3 -5 *4067:7 *4067:32 29.0938 -6 *4067:32 *27338:B2 9.3 -7 *4067:32 *27691:A1 17.1705 -*END - -*D_NET *4068 0.0304563 -*CONN -*I *30382:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25238:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27361:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *27713:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28492:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *30382:A 5.37625e-05 -2 *25238:A1 0.00027689 -3 *27361:A1 0 -4 *27713:B2 0.000268344 -5 *28492:Q 0.000100888 -6 *4068:65 0.000537826 -7 *4068:41 0.0014627 -8 *4068:31 0.00248645 -9 *4068:24 0.00167051 -10 *4068:16 0.0012948 -11 *4068:15 0.00151892 -12 *4068:9 0.000910592 -13 *4068:9 *28492:RESET_B 1.24368e-05 -14 *4068:15 *26904:A1 8.55871e-05 -15 *4068:15 *27094:A1 3.69047e-06 -16 *4068:16 *25181:B1 7.6644e-05 -17 *4068:16 *27085:A0 0.000206173 -18 *4068:16 *5649:137 5.00087e-06 -19 *4068:16 *5819:10 4.22135e-06 -20 *4068:16 *6225:135 0.00237074 -21 *4068:24 *6225:124 4.98055e-06 -22 *4068:24 *6225:135 0.000119629 -23 *4068:31 *4146:11 0.00019034 -24 *4068:31 *5600:139 0.00271696 -25 *4068:31 *5892:74 8.55772e-05 -26 *4068:31 *6225:124 0.00042603 -27 *4068:41 *5596:47 1.17516e-05 -28 *4068:65 *5661:267 0.000177545 -29 *25182:B2 *4068:24 8.07951e-05 -30 *25238:A2 *25238:A1 5.33005e-05 -31 *27349:A2 *4068:31 9.31595e-05 -32 *27371:A1 *4068:31 8.17828e-05 -33 *27371:B1 *4068:31 2.06112e-05 -34 *27371:C1 *4068:16 0.000262798 -35 *27713:A1 *27713:B2 1.3701e-05 -36 *27713:A2 *27713:B2 0 -37 *27713:B1 *27713:B2 1.04232e-05 -38 *28923:A *4068:16 3.82108e-05 -39 *29787:A *4068:16 0.00031081 -40 *30467:A *4068:24 0.00031143 -41 *1225:33 *4068:41 0.000108545 -42 *1250:232 *4068:41 0.000240592 -43 *1252:207 *4068:15 8.32156e-05 -44 *1269:231 *4068:31 9.82592e-05 -45 *1272:72 *25238:A1 0.000241513 -46 *1274:99 *4068:24 7.83659e-05 -47 *1292:202 *4068:31 0.000180951 -48 *1438:28 *4068:41 0.000339346 -49 *1524:38 *4068:16 1.90936e-05 -50 *2844:220 *4068:41 6.81786e-05 -51 *2850:179 *4068:41 0.000104785 -52 *2883:231 *25238:A1 6.06291e-05 -53 *2885:175 *25238:A1 6.12335e-05 -54 *2894:237 *4068:31 0 -55 *2922:36 *4068:41 0.000377272 -56 *2924:14 *4068:31 0.000153789 -57 *2932:20 *4068:24 0.000112656 -58 *2932:20 *4068:31 2.09897e-05 -59 *2932:34 *4068:31 9.12602e-05 -60 *2958:47 *4068:41 0.000136682 -61 *3215:211 *4068:9 0.000175892 -62 *3250:26 *4068:24 0.000808796 -63 *3250:26 *4068:31 0.000328451 -64 *3250:39 *4068:16 0.00171322 -65 *3250:39 *4068:24 1.02504e-05 -66 *3552:39 *4068:9 3.30337e-05 -67 *3558:193 *4068:41 3.11789e-05 -68 *3678:60 *4068:15 0.000217673 -69 *3678:60 *4068:65 0.000211803 -70 *3691:34 *4068:15 0.00021853 -71 *3691:34 *4068:65 0.000205487 -72 *3730:21 *30382:A 0.000123471 -73 *3730:21 *4068:65 0.000222591 -74 *3743:40 *25238:A1 0.000241513 -75 *3743:51 *4068:41 0.00138446 -76 *3770:48 *4068:16 0.000288914 -77 *3783:89 *30382:A 4.00679e-05 -78 *3783:89 *4068:65 3.77065e-05 -79 *3796:68 *27713:B2 0.000351085 -80 *3873:90 *27713:B2 0.000354522 -81 *3874:76 *25238:A1 0.000218404 -82 *3886:51 *4068:41 0 -83 *3886:55 *4068:31 0.000422886 -84 *3886:55 *4068:41 1.37767e-05 -85 *3976:73 *4068:16 2.58997e-05 -86 *3978:66 *4068:41 0.00184329 -*RES -1 *28492:Q *4068:9 15.9964 -2 *4068:9 *4068:15 17.7321 -3 *4068:15 *4068:16 34.125 -4 *4068:16 *4068:24 12.4018 -5 *4068:24 *4068:31 49.9018 -6 *4068:31 *4068:41 48.5893 -7 *4068:41 *27713:B2 24.9429 -8 *4068:16 *27361:A1 13.8 -9 *4068:9 *4068:65 10.3571 -10 *4068:65 *25238:A1 25.2821 -11 *4068:65 *30382:A 10.6571 -*END - -*D_NET *4069 0.124261 -*CONN -*I *24813:A I *D sky130_fd_sc_hd__inv_2 -*I *30221:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *6399:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6402:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27730:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25195:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *6403:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25496:B I *D sky130_fd_sc_hd__nor3_2 -*I *27391:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *6401:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *28493:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *24813:A 0.000198601 -2 *30221:A 0 -3 *6399:DIODE 0 -4 *6402:DIODE 0.000242195 -5 *27730:A1 0 -6 *25195:A1 0.00036938 -7 *6403:DIODE 0 -8 *25496:B 0.000255614 -9 *27391:B2 4.03146e-05 -10 *6401:DIODE 4.21387e-05 -11 *28493:Q 0 -12 *4069:187 0.00650323 -13 *4069:186 0.00661339 -14 *4069:162 0.000575332 -15 *4069:132 0.00127254 -16 *4069:117 0.00238195 -17 *4069:112 0.00243031 -18 *4069:89 0.00284383 -19 *4069:74 0.0031611 -20 *4069:66 0.00283942 -21 *4069:48 0.000521289 -22 *4069:41 0.00423785 -23 *4069:33 0.00453284 -24 *4069:32 0.00276948 -25 *4069:21 0.00136635 -26 *4069:17 0.00175914 -27 *4069:4 0.00198286 -28 *6402:DIODE *27730:B1 3.57366e-05 -29 *6402:DIODE *27730:B2 0.000184521 -30 *6402:DIODE *5627:251 0 -31 *6402:DIODE *5628:189 1.00073e-05 -32 *6402:DIODE *5628:202 1.64271e-05 -33 *25195:A1 *25195:B2 0.000589595 -34 *25195:A1 *5614:37 0.000712187 -35 *4069:17 *26919:A 0.000452571 -36 *4069:17 *26982:B 0.000332771 -37 *4069:17 *30838:A 1.04745e-05 -38 *4069:17 *4465:17 0.000467426 -39 *4069:17 *4466:10 0.000759383 -40 *4069:17 *5696:77 0.00021084 -41 *4069:21 *4466:10 7.95355e-05 -42 *4069:32 *27013:A1 0.000734929 -43 *4069:32 *29617:A 0.000223461 -44 *4069:32 *5801:19 0.000285955 -45 *4069:41 *5628:185 0 -46 *4069:41 *5654:94 0 -47 *4069:66 *27388:A2 2.11419e-05 -48 *4069:66 *28661:D 2.21235e-05 -49 *4069:66 *4204:12 0.000178742 -50 *4069:74 *27085:A1 0.000129649 -51 *4069:74 *4107:40 0.000103028 -52 *4069:74 *5600:173 6.43196e-05 -53 *4069:89 *5614:35 7.62291e-05 -54 *4069:89 *5614:37 0.000308102 -55 *4069:89 *5708:141 6.28203e-05 -56 *4069:112 *5614:37 0.00173497 -57 *4069:117 *4350:66 0.00353182 -58 *4069:117 *5585:158 0.00287588 -59 *4069:117 *5603:52 0.00034381 -60 *4069:132 *4141:34 0.000222504 -61 *4069:132 *5600:50 0.000125466 -62 *4069:132 *5605:127 5.00087e-06 -63 *4069:132 *5649:176 0.000754144 -64 *4069:162 *27730:A2 7.71303e-05 -65 *4069:162 *5628:189 0.00014285 -66 *4069:162 *5652:204 0.000696636 -67 *4069:162 *5873:289 0.00036814 -68 *4069:186 *30838:A 0.000145239 -69 *4069:187 *4215:34 0.00227975 -70 *4069:187 *5424:8 0.010162 -71 *4069:187 *5464:24 0.000532874 -72 *4069:187 *5648:8 0 -73 *4069:187 *5692:8 0.00101771 -74 *4069:187 *5836:8 0.0134338 -75 *4069:187 *5947:118 0.00124443 -76 *4069:187 *5970:8 0.00104768 -77 *24844:A *4069:186 0.000394969 -78 *25182:B1 *4069:89 2.84398e-05 -79 *25195:B1 *25195:A1 5.33005e-05 -80 *25249:B1 *4069:89 2.42564e-05 -81 *25340:A2 *4069:112 0.000373843 -82 *27094:S *4069:74 4.11173e-05 -83 *27311:B2 *4069:112 0.000120837 -84 *27352:D *4069:112 0.000135028 -85 *27391:C1 *6401:DIODE 9.41642e-05 -86 *27391:C1 *27391:B2 0.000159378 -87 *27392:A1 *4069:33 0.000498322 -88 *27431:B1 *4069:33 1.54746e-05 -89 *27732:B1 *4069:66 0.000218896 -90 *27732:B1 *4069:74 0.000211652 -91 *28539:CLK *4069:89 0.000546264 -92 *28634:CLK *4069:112 9.49949e-05 -93 *28841:A *4069:132 0 -94 *244:65 *4069:17 4.76042e-05 -95 *826:27 *4069:17 0.000132438 -96 *826:27 *4069:21 0.000182863 -97 *1239:65 *4069:132 0.000140349 -98 *1257:142 *4069:132 0.000486116 -99 *1257:146 *25195:A1 0.000325106 -100 *1257:146 *4069:132 9.96242e-05 -101 *1266:104 *4069:89 0.000303128 -102 *1274:92 *4069:112 0.000368917 -103 *1274:99 *4069:89 0.000273647 -104 *1274:99 *4069:112 0.000352187 -105 *1275:178 *4069:17 0.000119138 -106 *1279:108 *4069:112 5.96516e-05 -107 *1287:132 *4069:132 0 -108 *1287:210 *4069:74 0.000437759 -109 *1449:21 *25195:A1 3.37161e-05 -110 *1456:38 *4069:132 0.000486116 -111 *1469:34 *4069:132 0.000180722 -112 *1472:25 *4069:132 0.0001686 -113 *1483:68 *4069:132 0.000262739 -114 *1514:13 *4069:33 0.000310794 -115 *1524:23 *4069:89 0.000138954 -116 *1665:20 *4069:132 1.1311e-06 -117 *1697:44 *4069:162 8.71761e-06 -118 *1700:20 *4069:112 9.49949e-05 -119 *1864:178 *24813:A 5.52238e-05 -120 *2760:157 *4069:41 0.000322524 -121 *2760:157 *4069:48 0.000507237 -122 *2768:89 *4069:89 0.000310462 -123 *2770:118 *4069:41 0 -124 *2773:84 *4069:32 1.94879e-05 -125 *2773:84 *4069:33 0.000318801 -126 *2779:107 *4069:112 0.000147332 -127 *2781:162 *4069:74 0.000171967 -128 *2788:16 *4069:66 0.000549829 -129 *2788:76 *4069:41 4.65519e-05 -130 *2848:333 *4069:41 6.55299e-05 -131 *2852:108 *4069:66 0.000179183 -132 *2852:142 *6401:DIODE 1.90303e-05 -133 *2852:142 *27391:B2 1.00073e-05 -134 *2855:219 *4069:41 0 -135 *2855:219 *4069:48 0 -136 *2856:344 *4069:33 0.000169983 -137 *2859:223 *4069:74 4.36671e-05 -138 *2860:242 *4069:74 0.000142327 -139 *2874:249 *4069:112 0.000197699 -140 *2888:291 *4069:32 0.000924313 -141 *2891:311 *4069:33 4.53853e-05 -142 *2891:326 *4069:117 0.00010033 -143 *2894:308 *4069:48 8.17749e-05 -144 *2932:20 *4069:74 0.000223592 -145 *3165:99 *4069:41 7.46635e-05 -146 *3179:154 *4069:66 6.24939e-05 -147 *3389:20 *4069:132 0.00138554 -148 *3538:16 *4069:162 0 -149 *3580:11 *4069:74 3.63898e-05 -150 *3582:146 *4069:32 0.000188668 -151 *3614:7 *4069:32 0.000178425 -152 *3667:55 *4069:112 2.49484e-05 -153 *3692:8 *4069:187 0 -154 *3692:29 *4069:17 0.000137678 -155 *3692:45 *4069:32 2.90516e-05 -156 *3692:45 *4069:33 0.000359875 -157 *3699:24 *25195:A1 0.000318095 -158 *3699:24 *4069:132 0.000114499 -159 *3743:42 *4069:74 0.000916656 -160 *3744:20 *4069:17 5.36e-05 -161 *3744:31 *4069:32 0.00167019 -162 *3744:31 *4069:33 0.00222797 -163 *3744:36 *4069:33 0.00108137 -164 *3744:36 *4069:41 0.000359805 -165 *3768:19 *4069:112 5.33005e-05 -166 *3768:22 *4069:112 0.000192262 -167 *3796:79 *4069:89 9.41642e-05 -168 *3796:118 *4069:41 0.00235012 -169 *3820:98 *4069:89 0.000302665 -170 *3822:18 *4069:66 0.00173263 -171 *3835:38 *4069:66 8.09108e-06 -172 *3861:21 *4069:66 6.057e-07 -173 *3861:21 *4069:74 7.46681e-05 -174 *3861:40 *4069:48 0.000178246 -175 *3874:9 *4069:66 4.6387e-05 -176 *3874:9 *4069:74 6.45408e-05 -177 *3874:16 *4069:74 0.00119937 -178 *3874:53 *4069:66 0.000387989 -179 *3899:40 *4069:89 0.000690094 -180 *3912:35 *4069:89 0.000766765 -181 *3916:20 *4069:32 1.94879e-05 -182 *4030:36 *4069:66 5.23721e-05 -183 *4030:36 *4069:74 0.000138913 -184 *4045:50 *4069:32 0.000256986 -*RES -1 *28493:Q *4069:4 9.3 -2 *4069:4 *4069:17 38.3571 -3 *4069:17 *4069:21 2.19643 -4 *4069:21 *4069:32 49.1154 -5 *4069:32 *4069:33 5.452 -6 *4069:33 *4069:41 13.6162 -7 *4069:41 *4069:48 11.1515 -8 *4069:48 *6401:DIODE 10.2464 -9 *4069:48 *27391:B2 10.6795 -10 *4069:41 *4069:66 33.2136 -11 *4069:66 *4069:74 48.5268 -12 *4069:74 *4069:89 48.9821 -13 *4069:89 *4069:112 47.9464 -14 *4069:112 *4069:117 44.0357 -15 *4069:117 *4069:132 29.6453 -16 *4069:132 *25496:B 12.7464 -17 *4069:132 *6403:DIODE 9.3 -18 *4069:117 *25195:A1 25.7107 -19 *4069:33 *4069:162 18.3475 -20 *4069:162 *27730:A1 9.3 -21 *4069:162 *6402:DIODE 13.3357 -22 *4069:21 *6399:DIODE 9.3 -23 *4069:17 *30221:A 9.3 -24 *4069:4 *4069:186 10.7857 -25 *4069:186 *4069:187 250.268 -26 *4069:187 *24813:A 16.8 -*END - -*D_NET *4070 0.038461 -*CONN -*I *27405:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25117:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27748:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30339:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28494:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27405:B2 2.43937e-05 -2 *25117:A1 0 -3 *27748:B2 0 -4 *30339:A 0.00021488 -5 *28494:Q 0 -6 *4070:63 0.00169389 -7 *4070:50 0.00359001 -8 *4070:48 0.00269102 -9 *4070:44 0.00183284 -10 *4070:39 0.00195108 -11 *4070:12 0.000584988 -12 *4070:4 0.00125885 -13 *4070:12 *4096:32 0 -14 *4070:12 *5630:292 0.000162715 -15 *4070:12 *5689:106 6.00853e-05 -16 *4070:12 *5689:117 6.15192e-05 -17 *4070:39 *25366:A2 0.000139215 -18 *4070:39 *27748:B1 0.000376343 -19 *4070:39 *4122:28 0.000701596 -20 *4070:39 *5640:167 0.00017754 -21 *4070:39 *5643:250 0.000191015 -22 *4070:39 *5649:243 6.05161e-06 -23 *4070:39 *5652:61 5.20232e-05 -24 *4070:44 *4122:28 0.000968832 -25 *4070:44 *4122:39 7.07451e-06 -26 *4070:44 *4135:70 0.000407072 -27 *4070:44 *5630:274 0.000303555 -28 *4070:44 *5630:292 0.00010288 -29 *4070:44 *5643:237 0.00167807 -30 *4070:44 *5643:250 0.0013374 -31 *4070:44 *5652:61 0.00153492 -32 *4070:48 *4122:39 2.87726e-05 -33 *4070:48 *4122:43 0.000345924 -34 *4070:48 *5643:237 6.13477e-05 -35 *4070:50 *4122:43 0.000201635 -36 *4070:50 *4128:52 0.000340855 -37 *4070:50 *5816:10 2.0319e-05 -38 *4070:50 *5816:12 0.00037117 -39 *4070:50 *5816:24 0.000232304 -40 *4070:63 *24929:B 0 -41 *4070:63 *5656:173 3.77315e-05 -42 *24904:A *4070:50 0.000188584 -43 *24909:A *4070:50 0.000124892 -44 *24924:A *4070:63 0 -45 *25117:A2 *4070:63 5.52302e-05 -46 *25157:B2 *4070:48 8.54768e-06 -47 *25157:B2 *4070:50 0.000148848 -48 *27754:B2 *4070:48 9.49331e-05 -49 *27754:C1 *4070:48 0.000123288 -50 *27765:B2 *4070:39 0.000401326 -51 *27765:C1 *4070:39 4.19535e-05 -52 *27766:D *4070:39 0.000102302 -53 *28494:CLK *4070:39 4.85033e-05 -54 *30207:A *4070:48 0.000125724 -55 *1224:19 *4070:63 2.63501e-05 -56 *1224:92 *4070:50 0.000296172 -57 *1253:23 *4070:63 0.000133667 -58 *1261:199 *27405:B2 5.33005e-05 -59 *1261:199 *4070:39 0.000135028 -60 *1272:40 *4070:50 0.000241205 -61 *1274:14 *4070:63 4.00349e-05 -62 *1276:47 *4070:50 0.00368287 -63 *1276:47 *4070:63 0.000190239 -64 *1276:76 *4070:48 0.000201786 -65 *1276:76 *4070:50 0.000161136 -66 *1287:132 *4070:48 0.000158004 -67 *1287:149 *4070:48 3.67518e-05 -68 *1288:20 *4070:50 0.000143652 -69 *1414:10 *4070:44 4.47432e-05 -70 *1438:10 *4070:63 0.000329086 -71 *1451:39 *4070:63 0.000243663 -72 *1478:10 *4070:50 0.00325709 -73 *1482:21 *4070:63 0.00158541 -74 *1634:16 *4070:50 0 -75 *1652:18 *4070:63 0 -76 *2761:134 *4070:48 0.000202967 -77 *2761:134 *4070:50 0.000116057 -78 *2775:156 *4070:48 0.000204125 -79 *2872:295 *4070:48 0.000129032 -80 *2872:295 *4070:50 2.26973e-05 -81 *2872:299 *4070:44 3.25669e-05 -82 *2964:15 *4070:39 0.000300458 -83 *3183:169 *4070:50 4.24995e-05 -84 *3413:41 *4070:63 8.28344e-06 -85 *3542:42 *4070:50 2.89425e-05 -86 *3729:38 *4070:48 9.65217e-05 -87 *3875:73 *4070:63 0 -88 *3875:93 *4070:63 0 -89 *4005:12 *4070:48 0.000439375 -90 *4039:39 *4070:39 0.000216297 -91 *4049:38 *30339:A 0.000251075 -92 *4063:13 *4070:48 0.000195889 -*RES -1 *28494:Q *4070:4 9.3 -2 *4070:4 *4070:12 15.3571 -3 *4070:12 *30339:A 13.9429 -4 *4070:12 *27748:B2 9.3 -5 *4070:4 *4070:39 42.5179 -6 *4070:39 *4070:44 44.4732 -7 *4070:44 *4070:48 22.5179 -8 *4070:48 *4070:50 65.6964 -9 *4070:50 *4070:63 44.0564 -10 *4070:63 *25117:A1 9.3 -11 *4070:39 *27405:B2 9.83571 -*END - -*D_NET *4071 0.0151331 -*CONN -*I *29080:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27790:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25059:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27430:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28495:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29080:A 0 -2 *27790:A1 0.000508972 -3 *25059:B2 0.000922482 -4 *27430:A1 0.000732842 -5 *28495:Q 0.000293275 -6 *4071:19 0.00191616 -7 *4071:15 0.000943616 -8 *4071:11 0.000467083 -9 *25059:B2 *4149:40 8.49391e-05 -10 *25059:B2 *5627:216 0.000126155 -11 *25059:B2 *5652:200 3.75081e-05 -12 *27430:A1 *25042:B2 5.52302e-05 -13 *27430:A1 *4149:40 0.000164722 -14 *27430:A1 *5703:42 0.000100831 -15 *27430:A1 *5810:47 0.000347397 -16 *27790:A1 *27790:A2 5.55213e-05 -17 *27790:A1 *30876:A 6.05161e-06 -18 *27790:A1 *4239:17 0.000136727 -19 *27790:A1 *5607:115 0.000301438 -20 *27790:A1 *5643:203 9.61478e-05 -21 *27790:A1 *5682:197 2.56811e-05 -22 *27790:A1 *5863:143 0 -23 *4071:11 *30876:A 9.58181e-05 -24 *4071:11 *5847:12 0.000394733 -25 *4071:11 *5910:69 0.000508354 -26 *4071:15 *5910:69 0.000562267 -27 *4071:19 *5910:69 0.000200329 -28 *25059:B1 *25059:B2 3.75081e-05 -29 *26973:A *25059:B2 0.000597749 -30 *26973:A *4071:11 0.000519854 -31 *26973:A *4071:15 0.000352753 -32 *27426:A1 *27430:A1 0.000187466 -33 *27430:B2 *27430:A1 3.57366e-05 -34 *27789:A1 *4071:19 0.000177596 -35 *27791:D *27790:A1 1.6068e-05 -36 *28495:CLK *4071:11 4.82947e-05 -37 *30501:A *4071:19 5.49489e-05 -38 *1269:137 *25059:B2 1.54153e-06 -39 *1273:167 *25059:B2 0.00026434 -40 *1275:195 *25059:B2 0.00127527 -41 *1364:19 *27430:A1 1.65169e-05 -42 *2761:38 *4071:15 6.37874e-05 -43 *2761:38 *4071:19 0.000425256 -44 *2775:82 *4071:11 0.000394733 -45 *2872:355 *27430:A1 4.47387e-05 -46 *2872:376 *27430:A1 2.58139e-05 -47 *3378:8 *27790:A1 0.000209987 -48 *3798:99 *25059:B2 7.69703e-05 -49 *3798:99 *27430:A1 0.00112466 -50 *3995:62 *27790:A1 9.72196e-05 -*RES -1 *28495:Q *4071:11 29.5857 -2 *4071:11 *4071:15 6.19643 -3 *4071:15 *4071:19 11.1964 -4 *4071:19 *27430:A1 33.05 -5 *4071:19 *25059:B2 28.7576 -6 *4071:15 *27790:A1 36.675 -7 *4071:11 *29080:A 9.3 -*END - -*D_NET *4072 0.0381269 -*CONN -*I *29729:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27798:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27457:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25020:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28496:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29729:A 0.000951866 -2 *27798:B2 0.00116482 -3 *27457:A1 0 -4 *25020:B2 0.000278584 -5 *28496:Q 0 -6 *4072:50 0.00237158 -7 *4072:34 0.00326348 -8 *4072:32 0.00196957 -9 *4072:29 0.00255533 -10 *4072:8 0.002496 -11 *4072:4 0.00108396 -12 *25020:B2 *5467:18 8.66228e-05 -13 *27798:B2 *28616:D 0.00017802 -14 *27798:B2 *28616:RESET_B 9.16671e-05 -15 *27798:B2 *29071:A 0.0002007 -16 *27798:B2 *29628:A 0 -17 *27798:B2 *4108:36 9.83388e-05 -18 *27798:B2 *5630:217 0.000191485 -19 *27798:B2 *5642:126 3.93545e-05 -20 *27798:B2 *5863:161 4.12854e-05 -21 *29729:A *25061:B2 0.000236423 -22 *29729:A *25717:A0 2.24195e-05 -23 *29729:A *26868:A1 0 -24 *29729:A *28496:RESET_B 4.58194e-05 -25 *29729:A *5461:28 2.59093e-05 -26 *29729:A *5659:24 0.000145407 -27 *4072:8 *28496:RESET_B 6.57032e-05 -28 *4072:8 *5681:16 0.000148903 -29 *4072:29 *4688:15 0 -30 *4072:29 *5681:16 0.000395961 -31 *4072:32 *25014:A1 0.00017039 -32 *4072:32 *25320:A1 0.00016102 -33 *4072:32 *28552:D 0.000294422 -34 *4072:34 *26980:A1 7.25104e-05 -35 *4072:34 *28480:D 0.000100823 -36 *4072:34 *30379:A 7.80714e-06 -37 *4072:34 *4150:22 0.000275068 -38 *4072:50 *5529:46 6.57032e-05 -39 *4072:50 *5652:109 0.000720187 -40 *25020:B1 *25020:B2 6.26774e-06 -41 *25020:C1 *25020:B2 1.02504e-05 -42 *25717:A1 *29729:A 0.000126943 -43 *26890:S *4072:32 0.0019633 -44 *26890:S *4072:34 5.25481e-05 -45 *27826:B2 *4072:50 0.000344225 -46 *28481:CLK *4072:32 0.000664086 -47 *30060:A *4072:29 0.00050499 -48 *1258:123 *4072:32 0.000335201 -49 *1261:119 *25020:B2 5.49589e-06 -50 *1338:11 *4072:32 0.000539154 -51 *1338:11 *4072:34 0.00139085 -52 *2759:149 *4072:8 0.000141368 -53 *2759:149 *4072:29 0.00103506 -54 *2759:168 *4072:29 0.00125123 -55 *2759:168 *4072:32 0.00107378 -56 *2761:188 *29729:A 0.000426374 -57 *2761:213 *29729:A 0 -58 *2768:141 *25020:B2 0.000535014 -59 *2768:141 *4072:34 0.000292436 -60 *2769:66 *4072:29 0.00142011 -61 *2769:98 *4072:32 0 -62 *2772:171 *4072:32 0.000497271 -63 *2773:45 *27798:B2 0.000431857 -64 *2872:168 *4072:50 8.25843e-06 -65 *2872:186 *4072:50 0.001045 -66 *3558:223 *4072:50 0.000718715 -67 *3574:53 *25020:B2 0.000614804 -68 *3574:53 *4072:34 0.00111568 -69 *3679:84 *29729:A 0.000210423 -70 *3679:89 *29729:A 0.000110093 -71 *3786:7 *27798:B2 2.60984e-05 -72 *3786:25 *27798:B2 0.000717264 -73 *3787:44 *4072:50 0.000180537 -74 *3864:86 *4072:50 9.58181e-05 -75 *3891:58 *27798:B2 0.000219289 -*RES -1 *28496:Q *4072:4 9.3 -2 *4072:4 *4072:8 7.80357 -3 *4072:8 *4072:29 45.3549 -4 *4072:29 *4072:32 48.0536 -5 *4072:32 *4072:34 24.8304 -6 *4072:34 *25020:B2 25.1437 -7 *4072:34 *4072:50 45.2857 -8 *4072:50 *27457:A1 9.3 -9 *4072:8 *27798:B2 47.8 -10 *4072:4 *29729:A 46.3536 -*END - -*D_NET *4073 0.0161201 -*CONN -*I *30056:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27469:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *24994:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27823:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28497:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30056:A 0.000177682 -2 *27469:A1 0 -3 *24994:B2 0.00108678 -4 *27823:B2 3.01295e-05 -5 *28497:Q 0.00026883 -6 *4073:39 0.00183973 -7 *4073:26 0.000997045 -8 *4073:22 0.00193707 -9 *4073:5 0.00210935 -10 *24994:B2 *27824:A1 1.98839e-05 -11 *24994:B2 *27824:B1 0.000156655 -12 *24994:B2 *4125:53 2.34666e-05 -13 *27823:B2 *27823:B1 5.33005e-05 -14 *27823:B2 *4125:53 4.19624e-06 -15 *30056:A *5528:53 0.000125739 -16 *30056:A *5601:97 5.41794e-05 -17 *30056:A *5767:55 5.33005e-05 -18 *4073:22 *26990:A1 0.0003872 -19 *4073:22 *4099:8 0.000103981 -20 *4073:22 *4125:24 3.68284e-05 -21 *4073:22 *5652:143 0.000425519 -22 *4073:22 *5662:76 0.000119087 -23 *4073:39 *27824:B1 4.71754e-05 -24 *4073:39 *4125:42 0.000263533 -25 *4073:39 *5645:80 6.14238e-05 -26 *4073:39 *5645:190 5.30819e-05 -27 *4073:39 *5645:198 0.000368123 -28 *24994:A2 *24994:B2 0.000133064 -29 *24994:B1 *24994:B2 9.21773e-05 -30 *27469:A2 *4073:26 2.03064e-05 -31 *27469:A2 *4073:39 0.000122446 -32 *27470:C1 *4073:26 6.57032e-05 -33 *27823:A1 *27823:B2 5.49544e-05 -34 *27824:A2 *24994:B2 1.21258e-05 -35 *27824:B2 *24994:B2 1.98839e-05 -36 *27840:C *24994:B2 8.26855e-05 -37 *30045:A *4073:22 0.000339346 -38 *1258:100 *4073:39 3.24074e-05 -39 *1304:16 *4073:22 0.000288311 -40 *1304:28 *4073:22 0.000184852 -41 *1320:10 *24994:B2 0.000178641 -42 *1638:36 *4073:39 0.000127359 -43 *2763:58 *4073:22 5.43587e-05 -44 *2764:129 *4073:22 0.000339109 -45 *2770:27 *4073:5 0.000177821 -46 *2774:162 *4073:39 0.000129624 -47 *2774:180 *24994:B2 0.000139629 -48 *2775:44 *30056:A 0.000310314 -49 *2855:311 *4073:39 0.000631168 -50 *3164:267 *4073:39 0.000220455 -51 *3165:55 *4073:26 4.38243e-05 -52 *3177:61 *4073:26 9.32386e-05 -53 *3177:61 *4073:39 0.000841278 -54 *3386:10 *4073:22 0 -55 *3386:22 *24994:B2 0.000100317 -56 *3386:22 *27823:B2 1.90936e-05 -57 *3618:39 *4073:5 8.12055e-05 -58 *3618:39 *4073:22 0.0002361 -59 *3618:43 *4073:22 6.94952e-05 -60 *3891:66 *4073:26 2.79437e-05 -61 *3891:66 *4073:39 4.75786e-05 -*RES -1 *28497:Q *4073:5 12.7107 -2 *4073:5 *4073:22 48.5893 -3 *4073:22 *4073:26 8.42857 -4 *4073:26 *4073:39 26.1461 -5 *4073:39 *27823:B2 14.6125 -6 *4073:39 *24994:B2 29.6705 -7 *4073:26 *27469:A1 13.8 -8 *4073:5 *30056:A 22.9071 -*END - -*D_NET *4074 0.031671 -*CONN -*I *25389:A1 I *D sky130_fd_sc_hd__a2111o_1 -*I *27498:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27849:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *29224:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28235:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *25389:A1 0.000647137 -2 *27498:A1 0.000420295 -3 *27849:A1 0 -4 *29224:A 0 -5 *28235:Q 0.000288302 -6 *4074:59 0.00357155 -7 *4074:52 0.00464456 -8 *4074:34 0.00346792 -9 *4074:23 0.0020033 -10 *4074:11 0.000964121 -11 *4074:11 *25635:A1 5.41794e-05 -12 *4074:11 *5698:292 0.000146376 -13 *4074:11 *5705:22 0.00033763 -14 *4074:23 *25670:B 0.000222021 -15 *4074:23 *4140:61 0.000220306 -16 *4074:23 *5711:15 0.000583237 -17 *4074:59 *5624:28 0.000243353 -18 *25282:A *25389:A1 9.66977e-05 -19 *25282:B *25389:A1 0.000429096 -20 *25282:C *25389:A1 0.000428667 -21 *25282:D *25389:A1 1.38323e-05 -22 *25389:A2 *25389:A1 5.7661e-06 -23 *25389:C1 *25389:A1 9.41642e-05 -24 *25391:A1 *4074:59 1.03105e-05 -25 *25391:A2 *25389:A1 0.000159647 -26 *25391:A2 *4074:59 4.46158e-05 -27 *25391:B1 *4074:59 0.000147465 -28 *25391:B2 *4074:59 0.000367416 -29 *25393:A2 *4074:59 7.13226e-06 -30 *25393:B2 *4074:59 6.26774e-06 -31 *25393:C1 *4074:59 7.95355e-05 -32 *27491:B2 *4074:34 9.34707e-05 -33 *27498:B1 *27498:A1 0.000304498 -34 *27498:C1 *27498:A1 8.09163e-05 -35 *27541:A2 *4074:52 2.42516e-05 -36 *27849:A2 *4074:34 5.33005e-05 -37 *27849:B1 *4074:23 2.59355e-05 -38 *27849:B1 *4074:34 9.33677e-05 -39 *27849:B2 *4074:23 5.52302e-05 -40 *27865:C *4074:34 0.000188071 -41 *27866:D *4074:52 0.00034495 -42 *1417:15 *25389:A1 9.66977e-05 -43 *1421:33 *4074:59 0.00213912 -44 *1437:35 *4074:34 0.000259542 -45 *1437:42 *27498:A1 0.000542608 -46 *1449:135 *4074:34 0.00198404 -47 *1700:65 *25389:A1 5.33005e-05 -48 *1705:13 *25389:A1 0.000641001 -49 *1708:14 *4074:23 0.000112949 -50 *1708:14 *4074:34 0.000135836 -51 *1868:7 *4074:11 9.75679e-05 -52 *1868:29 *4074:11 0.000177821 -53 *1868:29 *4074:23 4.18895e-05 -54 *2855:32 *25389:A1 9.82564e-05 -55 *2855:32 *4074:59 0.000160519 -56 *2855:39 *4074:59 8.43535e-06 -57 *2872:47 *27498:A1 6.30002e-05 -58 *2872:57 *27498:A1 7.54314e-05 -59 *2878:55 *4074:52 0.00113602 -60 *3065:10 *4074:34 4.16233e-05 -61 *3070:14 *27498:A1 0.000540886 -62 *3409:13 *27498:A1 0.000175892 -63 *3409:13 *4074:34 0.00155551 -64 *3409:13 *4074:52 9.71197e-05 -65 *3662:30 *4074:52 0.000184726 -66 *3792:82 *4074:52 0.000186251 -67 *3908:85 *4074:52 9.60939e-05 -*RES -1 *28235:Q *4074:11 25.3893 -2 *4074:11 *29224:A 9.3 -3 *4074:11 *4074:23 23.1964 -4 *4074:23 *27849:A1 9.3 -5 *4074:23 *4074:34 40.4464 -6 *4074:34 *27498:A1 30.175 -7 *4074:34 *4074:52 32.2143 -8 *4074:52 *4074:59 39.4018 -9 *4074:59 *25389:A1 25.2554 -*END - -*D_NET *4075 0.00785796 -*CONN -*I *25301:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27889:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27508:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29217:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28236:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25301:A1 0.000284396 -2 *27889:A1 0 -3 *27508:B2 0.000470679 -4 *29217:A 2.53653e-05 -5 *28236:Q 0.000339808 -6 *4075:33 0.000354668 -7 *4075:10 0.000521567 -8 *4075:7 0.000435603 -9 *25301:A1 *4140:61 0.000770202 -10 *25301:A1 *5665:219 0.00040193 -11 *27508:B2 *25773:A1 5.72984e-05 -12 *29217:A *25828:B 5.33005e-05 -13 *4075:7 *25773:A1 0.000434806 -14 *4075:7 *25828:B 0.000226167 -15 *4075:7 *28236:D 0.000522432 -16 *4075:10 *4140:61 2.94103e-05 -17 *4075:10 *5665:204 3.17148e-05 -18 *4075:10 *5665:219 3.17148e-05 -19 *4075:33 *4140:61 8.00986e-05 -20 *4075:33 *5665:219 0.000161411 -21 *27508:A1 *27508:B2 0.00129231 -22 *27508:C1 *27508:B2 4.57094e-05 -23 *27888:A2 *27508:B2 0.000456277 -24 *27888:B1 *27508:B2 5.65415e-05 -25 *27888:B2 *27508:B2 3.03567e-05 -26 *1362:174 *27508:B2 7.2754e-05 -27 *1457:80 *27508:B2 7.2754e-05 -28 *1476:111 *27508:B2 0.000211249 -29 *1476:111 *29217:A 9.60939e-05 -30 *3880:17 *27508:B2 0.000192352 -31 *3880:23 *27508:B2 9.89914e-05 -*RES -1 *28236:Q *4075:7 22.55 -2 *4075:7 *4075:10 5.23214 -3 *4075:10 *29217:A 10.2464 -4 *4075:10 *27508:B2 35.4295 -5 *4075:7 *4075:33 2.25 -6 *4075:33 *27889:A1 13.8 -7 *4075:33 *25301:A1 24.175 -*END - -*D_NET *4076 0.0573155 -*CONN -*I *30341:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27610:B2 I *D sky130_fd_sc_hd__a221o_2 -*I *25383:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27292:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28498:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *30341:A 0.000487482 -2 *27610:B2 4.96936e-06 -3 *25383:B2 7.55701e-05 -4 *27292:B2 0.000779422 -5 *28498:Q 0.000118494 -6 *4076:87 0.00238005 -7 *4076:72 0.00302372 -8 *4076:59 0.00250338 -9 *4076:52 0.0016631 -10 *4076:48 0.00279213 -11 *4076:24 0.00243231 -12 *4076:21 0.00169655 -13 *4076:8 0.00239115 -14 *4076:7 0.00144303 -15 *25383:B2 *25383:B1 1.98972e-05 -16 *25383:B2 *5810:115 3.19002e-05 -17 *27292:B2 *5707:29 2.34317e-05 -18 *27292:B2 *5707:41 6.27434e-05 -19 *27292:B2 *5892:122 6.30734e-05 -20 *27610:B2 *5914:43 1.26529e-05 -21 *30341:A *28682:SET_B 4.60232e-06 -22 *30341:A *5716:214 0.000159636 -23 *30341:A *5716:234 0.000364559 -24 *4076:7 *5769:44 5.75147e-05 -25 *4076:8 *25356:A1 0.000220455 -26 *4076:8 *28759:D 6.20558e-05 -27 *4076:8 *5716:77 0.000352807 -28 *4076:8 *5716:214 0.000114853 -29 *4076:8 *5879:32 0.000250296 -30 *4076:21 *27113:A0 2.28499e-05 -31 *4076:21 *4502:21 0.00022266 -32 *4076:21 *5651:410 0.000804938 -33 *4076:21 *5688:39 0.000222666 -34 *4076:21 *5688:44 2.13215e-05 -35 *4076:21 *5716:77 0.000148911 -36 *4076:21 *6247:6 0.000261093 -37 *4076:21 *6247:16 0 -38 *4076:24 *28550:RESET_B 0.00020455 -39 *4076:24 *5762:118 9.96555e-05 -40 *4076:48 *27005:A1 1.29106e-05 -41 *4076:48 *27077:A0 6.25537e-05 -42 *4076:48 *27765:A2 0 -43 *4076:48 *28582:RESET_B 9.30603e-06 -44 *4076:48 *5628:161 8.69554e-05 -45 *4076:48 *5644:237 0.000403026 -46 *4076:48 *5762:116 9.64695e-05 -47 *4076:48 *5762:118 0.000332907 -48 *4076:48 *5836:298 0.000134693 -49 *4076:48 *5836:300 0.000219068 -50 *4076:48 *6258:12 0.00108597 -51 *4076:52 *25145:A2 7.05143e-06 -52 *4076:52 *5601:175 0.000871443 -53 *4076:52 *5836:284 5.29096e-05 -54 *4076:52 *5836:298 0.000364686 -55 *4076:52 *6258:12 0.000131604 -56 *4076:59 *5601:175 9.12863e-05 -57 *4076:59 *6258:12 0.000154703 -58 *4076:72 *24935:B 0.000221307 -59 *4076:72 *4133:77 0.000121573 -60 *4076:72 *5601:162 0.000380877 -61 *4076:72 *5617:92 0.000142797 -62 *4076:72 *5836:78 0.00053077 -63 *4076:72 *5892:96 7.18605e-05 -64 *4076:72 *5892:119 8.6051e-05 -65 *4076:72 *6258:12 0.000106898 -66 *4076:87 *24966:B 0.000189624 -67 *4076:87 *5587:31 0.000113758 -68 *4076:87 *5640:53 5.52634e-05 -69 *4076:87 *5641:70 1.06027e-05 -70 *4076:87 *5643:80 0.000123295 -71 *4076:87 *5869:95 0.000131383 -72 *4076:87 *5892:119 0.0011547 -73 *4076:87 *6258:12 0.00049439 -74 *25145:B2 *4076:48 9.09539e-05 -75 *25146:B1 *4076:52 2.11419e-05 -76 *25146:B2 *4076:48 9.4906e-05 -77 *25147:A1 *4076:48 5.38009e-05 -78 *25257:C *4076:72 2.99773e-05 -79 *25356:B2 *4076:8 0.0003674 -80 *25383:C1 *4076:24 4.50033e-05 -81 *27113:S *4076:21 0.00010637 -82 *27292:C1 *27292:B2 5.33005e-05 -83 *27412:A1 *4076:59 0.00108964 -84 *27609:B2 *4076:8 0.000618072 -85 *27609:B2 *4076:21 0.000150625 -86 *27610:C1 *27610:B2 6.26774e-06 -87 *28710:CLK *4076:52 1.00037e-05 -88 *30203:A *4076:59 0.000295216 -89 *30338:A *4076:48 0.000420832 -90 *30342:A *4076:8 0.000124254 -91 *30456:A *30341:A 0.000182179 -92 *30456:A *4076:8 0.000162202 -93 *1230:51 *27292:B2 0.000636067 -94 *1230:51 *4076:87 0.000460179 -95 *1249:67 *4076:72 0.000975078 -96 *1253:23 *4076:87 1.75318e-05 -97 *1256:6 *27292:B2 0.000188633 -98 *1256:160 *4076:21 0.000952519 -99 *1260:20 *27292:B2 0.000189832 -100 *1266:22 *4076:87 0.000286334 -101 *1274:17 *4076:87 7.61169e-05 -102 *1274:92 *4076:72 6.24939e-05 -103 *1288:20 *4076:87 0.00112084 -104 *1293:35 *27292:B2 0.000500798 -105 *1293:35 *4076:87 0.000131357 -106 *1406:45 *4076:72 0.000370338 -107 *1410:89 *4076:72 1.9781e-05 -108 *1433:23 *4076:48 0.000860376 -109 *1467:27 *4076:52 7.69776e-06 -110 *1484:23 *4076:87 0.000376911 -111 *1524:22 *4076:59 9.41642e-05 -112 *1524:22 *4076:72 0.000148189 -113 *1566:20 *4076:72 7.4528e-05 -114 *1834:37 *4076:24 0.000178236 -115 *1834:37 *4076:48 0.00104509 -116 *1834:49 *4076:48 0.000352301 -117 *2759:68 *4076:24 2.06112e-05 -118 *2768:107 *4076:21 9.51319e-05 -119 *2771:84 *4076:21 0.000107643 -120 *2780:184 *4076:72 0.000489301 -121 *2780:184 *4076:87 0.000147574 -122 *2780:196 *4076:52 0.00044377 -123 *2780:196 *4076:59 0.00258129 -124 *2780:196 *4076:72 0.00168788 -125 *2780:223 *4076:48 3.13969e-05 -126 *2780:223 *4076:52 0.000502088 -127 *2780:228 *4076:48 8.82295e-05 -128 *2780:259 *4076:48 7.91595e-05 -129 *2788:124 *4076:48 0 -130 *2851:30 *27292:B2 0.000921987 -131 *2856:157 *27292:B2 1.23759e-05 -132 *2856:163 *27292:B2 3.28681e-05 -133 *2864:182 *27292:B2 0.00108709 -134 *2872:279 *4076:59 9.58126e-05 -135 *2891:212 *4076:48 0.000104976 -136 *3541:11 *4076:72 0.000256905 -137 *3719:40 *4076:48 0.000184255 -138 *3758:43 *4076:72 2.37049e-05 -139 *3758:43 *4076:87 2.56665e-05 -140 *3823:17 *4076:59 0.0003663 -141 *3823:40 *4076:59 0.000491258 -142 *3836:10 *30341:A 0.000297674 -143 *3836:10 *4076:8 0.000128077 -144 *3953:6 *4076:48 0.000187857 -145 *4050:17 *4076:8 0.000123852 -*RES -1 *28498:Q *4076:7 15.5679 -2 *4076:7 *4076:8 24.1071 -3 *4076:8 *4076:21 48.8214 -4 *4076:21 *4076:24 9.78571 -5 *4076:24 *4076:48 45.5819 -6 *4076:48 *4076:52 19.1786 -7 *4076:52 *4076:59 44.0714 -8 *4076:59 *4076:72 49.9646 -9 *4076:72 *4076:87 49.1865 -10 *4076:87 *27292:B2 49.8484 -11 *4076:24 *25383:B2 15.1795 -12 *4076:8 *27610:B2 13.9295 -13 *4076:7 *30341:A 27.0321 -*END - -*D_NET *4077 0.0397095 -*CONN -*I *29724:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27895:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *27530:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25234:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28242:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *29724:A 0.000330935 -2 *27895:B2 0.000450714 -3 *27530:B2 0.00115478 -4 *25234:A1 0.000190461 -5 *28242:Q 3.61152e-05 -6 *4077:49 0.0023951 -7 *4077:38 0.00198356 -8 *4077:34 0.00201702 -9 *4077:29 0.0025101 -10 *4077:17 0.00124749 -11 *4077:16 0.00120946 -12 *4077:7 0.00130509 -13 *27530:B2 *5614:97 0.000339507 -14 *27530:B2 *5687:37 0.000582273 -15 *27530:B2 *5718:206 0.000564922 -16 *27895:B2 *5458:40 0.000194949 -17 *29724:A *25780:A1 0.000358153 -18 *29724:A *5798:7 0.000107985 -19 *4077:7 *28372:SET_B 9.41642e-05 -20 *4077:16 *25780:A1 9.91086e-05 -21 *4077:16 *25924:B 3.03032e-05 -22 *4077:16 *28234:D 1.721e-05 -23 *4077:16 *4078:16 3.04731e-05 -24 *4077:16 *5778:103 0.00146951 -25 *4077:16 *5966:16 0.000194577 -26 *4077:34 *25091:B2 0.000154085 -27 *4077:38 *25091:B2 0.000239079 -28 *4077:38 *4144:50 0.000312364 -29 *4077:49 *27592:C1 0.000706251 -30 *4077:49 *5650:122 0.000130609 -31 *4077:49 *5687:29 0.000272417 -32 *4077:49 *5691:51 0.000298811 -33 *4077:49 *5718:206 0.000943777 -34 *25088:A2 *4077:49 2.09826e-05 -35 *25233:A2 *4077:29 0.000124713 -36 *25233:A2 *4077:34 0.000648429 -37 *25234:A2 *25234:A1 0.000306309 -38 *25234:A2 *4077:17 0.000378218 -39 *25234:B1 *25234:A1 2.24718e-05 -40 *25244:A1 *27530:B2 0.000108189 -41 *25244:C1 *27530:B2 3.07351e-06 -42 *25329:A1 *27895:B2 0.000723821 -43 *25329:A1 *4077:29 0.000135028 -44 *25329:A2 *27895:B2 5.52302e-05 -45 *25777:A *4077:16 7.95888e-05 -46 *25935:S *27530:B2 1.43349e-05 -47 *25935:S *4077:49 9.25014e-06 -48 *27530:A1 *27530:B2 0.000343655 -49 *27530:A2 *27530:B2 4.41679e-05 -50 *27581:A *4077:38 0.00123132 -51 *27592:B1 *4077:49 0.000136388 -52 *27895:A1 *27895:B2 2.14658e-05 -53 *27949:B2 *4077:34 0.000535252 -54 *27950:C1 *4077:34 5.71242e-05 -55 *27957:A1 *4077:38 4.21517e-05 -56 *28372:CLK *4077:7 4.58194e-05 -57 *1263:216 *4077:29 0.00115796 -58 *1284:55 *4077:34 7.54119e-05 -59 *1362:28 *27895:B2 9.61995e-05 -60 *1396:189 *4077:29 4.53834e-05 -61 *1409:6 *4077:34 0.00149825 -62 *1409:6 *4077:38 8.98568e-05 -63 *1409:6 *4077:49 0.00157692 -64 *1435:14 *27895:B2 0.000216755 -65 *1447:15 *4077:17 0.000139337 -66 *1464:8 *4077:29 0.000422962 -67 *1464:38 *4077:29 2.07809e-05 -68 *1475:23 *4077:16 6.13903e-05 -69 *1475:36 *4077:16 2.37944e-05 -70 *1476:212 *4077:34 0.000492378 -71 *1476:216 *4077:29 0 -72 *1476:216 *4077:34 0.000188794 -73 *1477:8 *4077:29 8.00806e-05 -74 *1647:19 *27895:B2 0.000136676 -75 *1897:31 *27530:B2 5.07768e-05 -76 *2845:109 *27530:B2 0.00013814 -77 *2856:210 *4077:29 0.000121573 -78 *3124:15 *27530:B2 0.000935711 -79 *3156:175 *4077:38 0.000324115 -80 *3197:99 *4077:34 1.90936e-05 -81 *3209:117 *4077:38 0.000298851 -82 *3688:78 *4077:29 0.00012401 -83 *3702:39 *4077:34 0.000835575 -84 *3740:41 *27895:B2 9.62745e-05 -85 *3752:39 *27530:B2 8.23338e-05 -86 *3754:8 *4077:29 0.000220371 -87 *3765:14 *29724:A 0.000133465 -88 *3765:14 *4077:16 5.46667e-05 -89 *3765:21 *4077:16 0.00204829 -90 *3766:93 *4077:49 8.48281e-05 -91 *3776:90 *27530:B2 1.68854e-05 -92 *3819:41 *4077:34 2.78304e-05 -93 *3935:47 *4077:49 2.14658e-05 -94 *3949:13 *4077:16 0.000203056 -95 *3975:44 *27895:B2 0.000422254 -96 *3975:44 *4077:29 6.86693e-05 -*RES -1 *28242:Q *4077:7 14.7464 -2 *4077:7 *4077:16 45.3571 -3 *4077:16 *4077:17 5.05357 -4 *4077:17 *25234:A1 12.7107 -5 *4077:17 *4077:29 32.6429 -6 *4077:29 *4077:34 41.6964 -7 *4077:34 *4077:38 21.2589 -8 *4077:38 *4077:49 45.1875 -9 *4077:49 *27530:B2 41.8179 -10 *4077:29 *27895:B2 32.1929 -11 *4077:7 *29724:A 22.2107 -*END - -*D_NET *4078 0.0182994 -*CONN -*I *29668:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27920:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *27551:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25204:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *28243:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *29668:A 0 -2 *27920:B2 0.000148941 -3 *27551:A1 0.000557978 -4 *25204:A1 3.91862e-05 -5 *28243:Q 0.000180209 -6 *4078:19 0.000672667 -7 *4078:16 0.00189065 -8 *4078:14 0.00189426 -9 *4078:10 0.00038631 -10 *4078:8 0.00033846 -11 *25204:A1 *5761:192 2.38388e-05 -12 *4078:8 *28243:RESET_B 0.000182756 -13 *4078:8 *5729:41 7.17696e-05 -14 *4078:8 *5778:113 0.000150245 -15 *4078:10 *5729:41 1.76135e-05 -16 *4078:10 *5778:113 0.000362545 -17 *4078:10 *5798:12 0 -18 *4078:14 *5778:113 8.89912e-05 -19 *4078:16 *25929:A0 5.01117e-05 -20 *4078:16 *5778:103 1.08359e-05 -21 *4078:16 *5778:109 0.00131491 -22 *4078:16 *5778:113 0.000904065 -23 *4078:16 *5878:14 0 -24 *4078:19 *5761:192 3.63805e-05 -25 *25156:A2 *27551:A1 7.83462e-05 -26 *25199:A1 *27920:B2 0.000515842 -27 *25204:A2 *25204:A1 7.13237e-05 -28 *25204:A2 *4078:19 5.52302e-05 -29 *27551:A2 *27551:A1 5.21603e-05 -30 *28893:A *4078:10 7.15687e-05 -31 *28893:A *4078:14 4.2871e-05 -32 *28893:A *4078:16 0.00198854 -33 *29845:A *4078:16 0.000317602 -34 *1396:189 *4078:16 2.06112e-05 -35 *1475:23 *27551:A1 0.000111562 -36 *1519:5 *27920:B2 0.000264579 -37 *1563:26 *4078:16 0.000172829 -38 *1573:22 *25204:A1 0.000137983 -39 *1573:22 *4078:19 0.000296836 -40 *1677:26 *4078:16 0.000117723 -41 *3105:7 *27551:A1 2.51343e-06 -42 *3655:10 *4078:16 5.72316e-05 -43 *3765:14 *4078:16 0.000336603 -44 *3765:21 *4078:16 0 -45 *3765:30 *27551:A1 0.00125099 -46 *3949:13 *4078:16 0.00227985 -47 *3949:13 *4078:19 0.000139913 -48 *3949:16 *27551:A1 0.000305369 -49 *4023:8 *4078:16 5.75896e-05 -50 *4023:10 *4078:16 0.000200558 -51 *4077:16 *4078:16 3.04731e-05 -*RES -1 *28243:Q *4078:8 17.5143 -2 *4078:8 *4078:10 4.67857 -3 *4078:10 *4078:14 1.70536 -4 *4078:14 *4078:16 65.2589 -5 *4078:16 *4078:19 7.5 -6 *4078:19 *25204:A1 10.6571 -7 *4078:19 *27551:A1 35.4607 -8 *4078:10 *27920:B2 18.8536 -9 *4078:8 *29668:A 13.8 -*END - -*D_NET *4079 0.0332522 -*CONN -*I *30100:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27944:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25130:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27583:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28244:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *30100:A 2.3932e-05 -2 *27944:B2 6.38204e-05 -3 *25130:B2 0.000397239 -4 *27583:A1 0.000905087 -5 *28244:Q 9.804e-05 -6 *4079:46 0.00151081 -7 *4079:43 0.00181214 -8 *4079:26 0.00234655 -9 *4079:15 0.0029164 -10 *4079:8 0.00223166 -11 *27944:B2 *5607:47 0.000142725 -12 *30100:A *25782:A1 2.59355e-05 -13 *4079:15 *25916:A1 3.96337e-05 -14 *4079:26 *5607:47 7.90803e-05 -15 *4079:43 *25142:A 2.11419e-05 -16 *4079:43 *25372:A2 0.000104038 -17 *4079:43 *29059:A 6.84607e-05 -18 *4079:43 *5590:79 9.94674e-05 -19 *4079:43 *5715:213 0.000177821 -20 *4079:46 *5590:47 0.000201786 -21 *4079:46 *5590:50 0.000200534 -22 *25130:A1 *25130:B2 1.37532e-05 -23 *25130:B1 *25130:B2 2.01e-05 -24 *25144:B1 *4079:15 6.8445e-06 -25 *25144:B2 *4079:15 5.21937e-05 -26 *25368:A1 *4079:26 9.24415e-05 -27 *25372:B1 *4079:46 0.000125724 -28 *25377:A1 *4079:43 0.000522535 -29 *27583:A2 *27583:A1 0.000327775 -30 *27583:B2 *27583:A1 1.3701e-05 -31 *28361:CLK *4079:15 0.000316391 -32 *29618:A *4079:15 2.79421e-05 -33 *1288:48 *27583:A1 0.000136958 -34 *1288:57 *4079:26 0.00152527 -35 *1288:57 *4079:43 3.77013e-05 -36 *1395:146 *4079:46 0.000364953 -37 *1405:49 *4079:43 0.000175892 -38 *1450:33 *25130:B2 0.000710075 -39 *1450:33 *4079:43 0.000232177 -40 *1463:42 *4079:15 4.00679e-05 -41 *1465:14 *4079:15 0.000177815 -42 *1465:14 *4079:26 0.000189564 -43 *1473:20 *27583:A1 0.00274807 -44 *1685:44 *4079:46 0.000200465 -45 *1685:46 *4079:26 0.00014279 -46 *1685:46 *4079:43 0.0011193 -47 *1685:46 *4079:46 8.39982e-05 -48 *1688:16 *4079:26 0.000173797 -49 *1688:16 *4079:43 0.000987936 -50 *1869:29 *30100:A 2.59355e-05 -51 *1871:12 *4079:8 0.000190726 -52 *1871:12 *4079:15 0.000688783 -53 *2851:6 *4079:46 0.000501763 -54 *2856:199 *27583:A1 0.00112757 -55 *2891:329 *27583:A1 3.98559e-05 -56 *3136:10 *27583:A1 0.000135028 -57 *3215:254 *4079:26 0.00107609 -58 *3220:18 *4079:43 0.00101378 -59 *3541:13 *27583:A1 0.00066245 -60 *3541:23 *27583:A1 2.20678e-05 -61 *3541:68 *4079:8 0.000197635 -62 *3541:68 *4079:15 0.000687068 -63 *3576:10 *4079:26 0.000139787 -64 *3725:18 *27944:B2 0.000133475 -65 *3725:18 *4079:26 0.000228993 -66 *3753:84 *25130:B2 0.00104038 -67 *4027:20 *4079:46 0.00129753 -68 *4036:7 *4079:15 1.07719e-05 -*RES -1 *28244:Q *4079:8 16.8893 -2 *4079:8 *4079:15 39 -3 *4079:15 *4079:26 36.5 -4 *4079:26 *4079:43 45.9802 -5 *4079:43 *4079:46 26.1786 -6 *4079:46 *27583:A1 39.0143 -7 *4079:26 *25130:B2 24.6259 -8 *4079:15 *27944:B2 15.7464 -9 *4079:8 *30100:A 14.3357 -*END - -*D_NET *4080 0.0477276 -*CONN -*I *29423:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25315:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27348:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27683:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28499:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *29423:A 0.000139451 -2 *25315:B2 0 -3 *27348:B2 0.000105455 -4 *27683:B2 0.000790388 -5 *28499:Q 0.000130483 -6 *4080:54 0.00200129 -7 *4080:53 0.00159517 -8 *4080:44 0.002541 -9 *4080:43 0.00205128 -10 *4080:41 0.00146887 -11 *4080:29 0.00161637 -12 *4080:22 0.00196117 -13 *4080:7 0.00208361 -14 *27683:B2 *5638:110 0.000802463 -15 *27683:B2 *5640:35 5.80335e-06 -16 *27683:B2 *5640:53 0.000601463 -17 *29423:A *5716:121 6.57032e-05 -18 *29423:A *5795:50 3.34366e-05 -19 *29423:A *5795:60 0.000204618 -20 *29423:A *5820:31 0.000136958 -21 *4080:22 *28124:D 0.000122942 -22 *4080:22 *4119:8 0.000305207 -23 *4080:22 *5590:131 0.00166965 -24 *4080:22 *5638:136 0.000307868 -25 *4080:22 *5639:229 0.00020495 -26 *4080:22 *5795:60 0.000559726 -27 *4080:29 *25315:A1 4.49377e-05 -28 *4080:29 *25315:B1 2.45626e-05 -29 *4080:29 *5582:113 4.04195e-05 -30 *4080:41 *27122:A1 0.000253975 -31 *4080:41 *27122:S 0.000196573 -32 *4080:41 *27747:B1 0.000134636 -33 *4080:41 *28574:RESET_B 9.30497e-05 -34 *4080:41 *28635:D 0.000247339 -35 *4080:41 *28635:SET_B 3.47769e-05 -36 *4080:41 *5582:103 2.04825e-05 -37 *4080:41 *5582:113 1.28809e-05 -38 *4080:41 *5640:176 0.000310205 -39 *4080:41 *5645:156 4.37992e-05 -40 *4080:41 *5708:34 0.00126907 -41 *4080:41 *5708:46 1.45616e-05 -42 *4080:41 *5708:54 0.000116963 -43 *4080:41 *5765:58 0.000111352 -44 *4080:41 *5795:70 0.000170007 -45 *4080:41 *5795:78 0.000437804 -46 *4080:41 *5879:66 0.000128155 -47 *4080:44 *27086:A1 7.5779e-05 -48 *4080:44 *27119:S 0.000234604 -49 *4080:44 *27122:S 0.000563233 -50 *4080:44 *28667:D 0.000200212 -51 *4080:44 *5627:90 0.000923876 -52 *4080:44 *5661:232 0.00198365 -53 *4080:44 *5708:80 0.000205263 -54 *4080:44 *5708:100 0.000174011 -55 *4080:44 *5795:78 5.26224e-05 -56 *4080:44 *5795:82 0.000371998 -57 *4080:44 *5795:96 4.32182e-06 -58 *4080:53 *5614:37 0.000549154 -59 *4080:54 *5638:110 0.00310462 -60 *25315:A2 *4080:29 1.59935e-05 -61 *25315:C1 *4080:29 4.80278e-05 -62 *25315:C1 *4080:41 1.24368e-05 -63 *27200:S *4080:41 0.000500376 -64 *27414:B2 *4080:44 4.21517e-05 -65 *27673:A *4080:44 0.000389197 -66 *27675:B2 *4080:22 0.000180445 -67 *27748:A2 *4080:22 0.000109339 -68 *29223:A *4080:22 0.000493912 -69 *29226:A *4080:22 3.32442e-05 -70 *29847:A *4080:7 0.000181796 -71 *30425:A *4080:44 0.000187286 -72 *1185:88 *27348:B2 0.000129147 -73 *1258:49 *4080:41 0.000262343 -74 *1416:89 *27683:B2 7.93787e-05 -75 *1490:17 *27348:B2 0.000129147 -76 *1633:16 *4080:41 2.03615e-05 -77 *1633:29 *4080:41 0.00063731 -78 *1633:29 *4080:44 0.000461037 -79 *1642:37 *27683:B2 0.000167598 -80 *1650:16 *27683:B2 7.82077e-05 -81 *1660:11 *4080:22 0.000432056 -82 *1660:31 *4080:44 0.000155975 -83 *2773:139 *4080:22 0.000373865 -84 *2778:152 *4080:41 0.000109651 -85 *2845:286 *4080:44 0.000129707 -86 *2879:88 *4080:44 0.000244754 -87 *2879:88 *4080:53 6.98394e-05 -88 *2879:118 *4080:44 1.4363e-05 -89 *2879:156 *4080:22 2.78265e-05 -90 *2888:258 *4080:29 0.000272599 -91 *2888:258 *4080:41 1.31802e-07 -92 *2891:177 *4080:44 1.11048e-05 -93 *2891:200 *4080:44 9.11826e-05 -94 *3306:6 *27683:B2 0.000734939 -95 *3581:138 *4080:22 0.00029168 -96 *3797:91 *4080:44 0.00166162 -97 *3797:91 *4080:53 2.16719e-05 -98 *3846:8 *4080:22 0.000252854 -99 *3859:42 *4080:44 0.00010326 -100 *3907:32 *27683:B2 6.53937e-05 -101 *3907:32 *4080:54 0.00310527 -102 *3911:17 *4080:44 0.000347895 -103 *3911:51 *4080:44 0.000139453 -104 *4003:8 *4080:22 0.000124916 -105 *4029:16 *29423:A 0.000238769 -106 *4029:16 *4080:22 0.000300056 -107 *4029:24 *4080:22 0.000593852 -108 *4054:8 *4080:22 0 -*RES -1 *28499:Q *4080:7 15.5679 -2 *4080:7 *4080:22 48.9559 -3 *4080:22 *4080:29 8.35268 -4 *4080:29 *4080:41 48.0252 -5 *4080:41 *4080:43 3.41 -6 *4080:43 *4080:44 65.5446 -7 *4080:44 *4080:53 15.7321 -8 *4080:53 *4080:54 40.8036 -9 *4080:54 *27683:B2 37.9507 -10 *4080:54 *27348:B2 16.3893 -11 *4080:29 *25315:B2 9.3 -12 *4080:7 *29423:A 18.3179 -*END - -*D_NET *4081 0.0259763 -*CONN -*I *29202:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27370:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27699:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25238:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28500:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *29202:A 0.000194768 -2 *27370:A1 0 -3 *27699:B2 0 -4 *25238:B2 0.00172784 -5 *28500:Q 0 -6 *4081:34 0.00313498 -7 *4081:22 0.00166615 -8 *4081:18 0.000734056 -9 *4081:17 0.00121522 -10 *4081:4 0.000934936 -11 *25238:B2 *25238:B1 0.00030355 -12 *25238:B2 *27120:S 5.93245e-05 -13 *25238:B2 *27369:A2 0.000648804 -14 *25238:B2 *5605:170 0.000187964 -15 *25238:B2 *5764:239 0.000155155 -16 *25238:B2 *5824:219 0.000648015 -17 *25238:B2 *5905:110 0.000282109 -18 *25238:B2 *5905:124 0.000113285 -19 *29202:A *28195:RESET_B 1.07719e-05 -20 *29202:A *6351:12 0.000423368 -21 *4081:17 *27704:A2 1.58163e-05 -22 *4081:17 *28195:RESET_B 2.47753e-05 -23 *4081:17 *28500:RESET_B 6.05161e-06 -24 *4081:17 *28580:RESET_B 0.000145411 -25 *4081:17 *4970:17 8.88936e-05 -26 *4081:17 *5628:202 0.000576681 -27 *4081:17 *5663:192 3.14163e-05 -28 *4081:17 *5777:111 0.000238769 -29 *4081:17 *5803:14 5.31684e-05 -30 *4081:18 *5663:179 0.00128038 -31 *4081:22 *5663:179 0.00045817 -32 *4081:34 *27679:A2 1.53439e-06 -33 *4081:34 *27699:B1 6.28948e-05 -34 *4081:34 *28629:RESET_B 4.00349e-05 -35 *4081:34 *5633:103 0.000232652 -36 *4081:34 *5663:138 0.0001326 -37 *4081:34 *5879:97 4.00658e-06 -38 *27003:S *4081:17 0.000330349 -39 *27367:A *4081:34 7.30957e-06 -40 *27368:B1 *4081:34 0.000100823 -41 *27370:B2 *4081:18 0.000176463 -42 *27699:A1 *4081:22 8.22793e-06 -43 *27699:C1 *4081:34 7.29712e-05 -44 *1260:102 *25238:B2 3.90197e-05 -45 *1265:142 *25238:B2 0.000117732 -46 *1271:211 *25238:B2 0.000869409 -47 *1293:149 *4081:34 8.84802e-05 -48 *1556:26 *25238:B2 9.19176e-05 -49 *1574:6 *4081:34 0.0022526 -50 *2768:90 *25238:B2 9.83051e-06 -51 *2778:152 *25238:B2 0.000676201 -52 *2778:194 *25238:B2 0.000187964 -53 *2855:198 *25238:B2 6.35515e-05 -54 *2885:175 *25238:B2 0.000305191 -55 *2922:20 *25238:B2 1.09611e-05 -56 *3179:167 *4081:34 0.00119955 -57 *3678:18 *4081:17 0.000654345 -58 *3678:18 *4081:18 6.03344e-05 -59 *3678:23 *4081:18 0.000805191 -60 *3678:23 *4081:22 0.000583799 -61 *3678:23 *4081:34 6.11286e-05 -62 *3678:47 *4081:34 0.00025295 -63 *3691:12 *4081:18 0.00023696 -64 *3691:18 *4081:18 1.61784e-05 -65 *3691:20 *4081:18 4.06087e-07 -66 *3691:20 *4081:22 2.55556e-05 -67 *3691:20 *4081:34 8.49921e-05 -68 *3912:27 *25238:B2 8.66875e-05 -69 *3951:15 *29202:A 0.000423368 -70 *3963:42 *4081:34 0.000242272 -*RES -1 *28500:Q *4081:4 9.3 -2 *4081:4 *4081:17 32.4821 -3 *4081:17 *4081:18 17.7321 -4 *4081:18 *4081:22 8.24554 -5 *4081:22 *4081:34 49.5484 -6 *4081:34 *25238:B2 36.5005 -7 *4081:22 *27699:B2 13.8 -8 *4081:18 *27370:A1 13.8 -9 *4081:4 *29202:A 24.425 -*END - -*D_NET *4082 0.0660288 -*CONN -*I *27724:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29988:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25194:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *24854:A I *D sky130_fd_sc_hd__inv_2 -*I *27393:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28501:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27724:B2 3.78921e-05 -2 *29988:A 0.000205023 -3 *25194:B2 8.52755e-05 -4 *24854:A 0.00121275 -5 *27393:A1 0.000660492 -6 *28501:Q 0.000336128 -7 *4082:80 0.0031311 -8 *4082:78 0.00195777 -9 *4082:76 0.00201801 -10 *4082:74 0.00294379 -11 *4082:70 0.00327501 -12 *4082:53 0.00261234 -13 *4082:42 0.00126476 -14 *4082:33 0.00170812 -15 *4082:13 0.00216065 -16 *4082:6 0.00100855 -17 *24854:A *27818:C1 5.4826e-05 -18 *24854:A *5485:139 0.000288755 -19 *24854:A *5650:31 1.99652e-05 -20 *24854:A *5720:252 1.90936e-05 -21 *24854:A *5755:32 8.47107e-05 -22 *24854:A *5755:38 2.14378e-05 -23 *25194:B2 *5582:159 1.45262e-05 -24 *27393:A1 *5873:289 5.13222e-05 -25 *27724:B2 *5640:267 6.92906e-05 -26 *29988:A *26914:A1 4.87854e-05 -27 *4082:6 *27724:A2 1.55475e-05 -28 *4082:6 *5590:165 0.000362468 -29 *4082:6 *5781:10 0 -30 *4082:6 *5781:12 0.000113809 -31 *4082:13 *27724:A2 9.60337e-06 -32 *4082:13 *5590:165 2.22043e-05 -33 *4082:13 *5629:229 0 -34 *4082:13 *5641:204 0.000118656 -35 *4082:33 *27739:B2 0.000174224 -36 *4082:33 *4147:46 0.00032719 -37 *4082:33 *5629:229 2.59123e-05 -38 *4082:33 *5644:106 5.2064e-05 -39 *4082:33 *5645:235 0.000154527 -40 *4082:33 *5651:382 2.01525e-05 -41 *4082:33 *5777:160 6.41086e-06 -42 *4082:33 *5829:68 4.31187e-05 -43 *4082:42 *5631:113 0.000313458 -44 *4082:42 *5656:321 0.000369987 -45 *4082:42 *5819:24 0.000315172 -46 *4082:53 *5582:159 8.25843e-06 -47 *4082:53 *5631:88 3.82242e-05 -48 *4082:70 *28695:D 0 -49 *4082:70 *4134:6 0.000240543 -50 *4082:70 *4147:46 0.00287393 -51 *4082:70 *5631:71 2.95466e-05 -52 *4082:70 *5631:72 0.000242387 -53 *4082:70 *5654:94 0 -54 *4082:70 *5654:131 0 -55 *4082:74 *5643:181 0.000106575 -56 *4082:76 *4108:58 0.00197906 -57 *4082:80 *27139:A1 7.83587e-05 -58 *4082:80 *28701:D 0.000101341 -59 *4082:80 *28968:A 0.000148196 -60 *4082:80 *5650:31 0.000461561 -61 *4082:80 *5829:96 0.000295191 -62 *4082:80 *5926:78 0.002694 -63 *25194:A1 *25194:B2 3.08382e-06 -64 *27392:A1 *27393:A1 0.000385985 -65 *27392:A1 *4082:53 4.87953e-05 -66 *27724:A1 *27724:B2 7.18314e-05 -67 *27741:A1 *29988:A 0.000375099 -68 *27741:A1 *4082:33 0.000561323 -69 *27742:A1 *4082:33 0.00017919 -70 *27743:C *4082:74 3.01988e-05 -71 *27843:A1 *24854:A 1.83053e-05 -72 *28472:CLK *4082:80 0.000121592 -73 *28473:CLK *24854:A 0.000197616 -74 *28929:A *24854:A 0.000134345 -75 *28929:A *4082:80 4.46666e-05 -76 *29075:A *4082:33 4.11173e-05 -77 *29285:A *4082:76 0.000316316 -78 *29712:A *24854:A 0.000180075 -79 *30028:A *4082:33 0.000122446 -80 *1178:158 *4082:76 0.000523545 -81 *1185:125 *4082:76 0.000143684 -82 *1225:46 *4082:80 0.00116392 -83 *1228:87 *24854:A 5.33005e-05 -84 *1271:211 *4082:74 4.50033e-05 -85 *1290:132 *24854:A 9.22222e-06 -86 *1290:148 *4082:80 4.22135e-06 -87 *1292:153 *29988:A 1.98839e-05 -88 *1826:117 *4082:76 0.000115026 -89 *1826:117 *4082:80 0.00022487 -90 *2758:67 *24854:A 0.000360816 -91 *2760:135 *4082:70 4.6387e-05 -92 *2765:18 *4082:70 9.23226e-05 -93 *2770:127 *4082:6 4.50149e-05 -94 *2774:31 *4082:42 0.000178847 -95 *2786:112 *24854:A 0.000614991 -96 *2787:128 *4082:76 0.000317422 -97 *2787:128 *4082:80 0.000388601 -98 *2787:139 *4082:74 0.00019397 -99 *2787:139 *4082:76 0.00273935 -100 *2848:369 *4082:70 9.77021e-06 -101 *2874:169 *4082:80 0.00116375 -102 *2874:192 *4082:76 0.00150022 -103 *2874:192 *4082:80 0.000136935 -104 *2874:209 *4082:76 7.6644e-05 -105 *2874:321 *4082:76 0.000277079 -106 *2892:132 *4082:80 5.93322e-05 -107 *2943:11 *4082:70 6.59932e-05 -108 *2943:11 *4082:74 0.000134142 -109 *3153:171 *4082:76 0.0040633 -110 *3164:319 *4082:70 0 -111 *3174:188 *4082:80 8.2809e-05 -112 *3285:16 *4082:74 0.000852037 -113 *3538:16 *27393:A1 0.000103775 -114 *3628:10 *24854:A 0.000225694 -115 *3628:12 *24854:A 0.0001861 -116 *3628:14 *24854:A 0.000136618 -117 *3692:58 *4082:70 0.00134099 -118 *3705:14 *4082:33 0.000640139 -119 *3705:22 *4082:33 5.02627e-05 -120 *3718:83 *4082:42 0.000371709 -121 *3887:41 *4082:70 0.000122334 -122 *3887:42 *4082:70 0.000113088 -123 *3887:42 *4082:74 0.00232863 -124 *3887:42 *4082:76 2.23987e-05 -125 *3887:91 *29988:A 0.000368322 -126 *3887:91 *4082:33 0.00176092 -127 *3887:94 *29988:A 5.33005e-05 -128 *3900:99 *4082:13 0.000224379 -129 *3913:21 *4082:6 4.46232e-05 -130 *3952:16 *4082:80 0.00158178 -131 *3952:18 *4082:80 0 -132 *3952:46 *4082:80 0.000346558 -133 *3965:56 *4082:74 0.000352437 -134 *3991:29 *24854:A 8.82998e-05 -*RES -1 *28501:Q *4082:6 20.9071 -2 *4082:6 *4082:13 8.51305 -3 *4082:13 *4082:33 48.2522 -4 *4082:33 *4082:42 24.3214 -5 *4082:42 *27393:A1 27.1571 -6 *4082:42 *4082:53 2.58929 -7 *4082:53 *4082:70 46.9509 -8 *4082:70 *4082:74 31.1696 -9 *4082:74 *4082:76 77.7232 -10 *4082:76 *4082:78 0.535714 -11 *4082:78 *4082:80 65.0536 -12 *4082:80 *24854:A 49.5946 -13 *4082:53 *25194:B2 10.9563 -14 *4082:13 *29988:A 19.8804 -15 *4082:6 *27724:B2 14.925 -*END - -*D_NET *4083 0.0221009 -*CONN -*I *29690:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27408:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27747:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25112:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28502:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *29690:A 0.00015803 -2 *27408:A1 0.000413641 -3 *27747:B2 0 -4 *25112:A1 0 -5 *28502:Q 0 -6 *4083:31 0.000985066 -7 *4083:20 0.00249528 -8 *4083:10 0.003215 -9 *4083:4 0.00227645 -10 *29690:A *28502:RESET_B 0.000264539 -11 *4083:10 *28502:RESET_B 5.11646e-05 -12 *4083:10 *4133:12 0.000368701 -13 *4083:10 *5769:7 4.82354e-05 -14 *4083:10 *6280:6 0.00145305 -15 *4083:20 *25112:A2 0.000175892 -16 *4083:20 *25735:D 0.000487233 -17 *4083:20 *26865:D 0.000241072 -18 *4083:20 *5584:163 0.000355539 -19 *4083:20 *5729:198 1.41029e-05 -20 *4083:20 *5914:43 7.87182e-05 -21 *4083:31 *27764:A2 0.00080512 -22 *4083:31 *5640:167 0.00071724 -23 *25203:C1 *4083:31 0.000319068 -24 *27408:B2 *27408:A1 3.57366e-05 -25 *27408:C1 *27408:A1 9.41642e-05 -26 *27408:C1 *4083:31 0.000429036 -27 *30456:A *4083:10 0.000320428 -28 *1256:154 *4083:31 0.000135028 -29 *1286:55 *4083:20 0.000862408 -30 *1286:55 *4083:31 0.0008365 -31 *1361:78 *4083:10 0.000736576 -32 *1433:10 *4083:20 0.000135028 -33 *1463:55 *4083:31 0.000224872 -34 *1463:67 *4083:31 0.000261828 -35 *1700:6 *4083:20 0.000325886 -36 *1700:6 *4083:31 6.73462e-05 -37 *2864:328 *27408:A1 0.000481176 -38 *3686:50 *4083:31 0.000252438 -39 *3693:11 *4083:31 0.000164268 -40 *3745:20 *27408:A1 1.27731e-05 -41 *3907:108 *4083:10 0.000116226 -42 *3979:19 *4083:31 0.000379473 -43 *4043:54 *4083:20 0.00106279 -44 *4043:58 *4083:20 0.00024381 -*RES -1 *28502:Q *4083:4 9.3 -2 *4083:4 *4083:10 46.9643 -3 *4083:10 *25112:A1 9.3 -4 *4083:10 *4083:20 39.8393 -5 *4083:20 *4083:31 43.9643 -6 *4083:31 *27747:B2 9.3 -7 *4083:20 *27408:A1 20.1214 -8 *4083:4 *29690:A 12.6214 -*END - -*D_NET *4084 0.0126578 -*CONN -*I *30581:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27771:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25067:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27431:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28503:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30581:A 0.000277297 -2 *27771:B2 4.22799e-05 -3 *25067:B2 1.1624e-05 -4 *27431:A1 0.00105026 -5 *28503:Q 0.000147094 -6 *4084:18 0.00195005 -7 *4084:10 0.00132105 -8 *4084:7 0.000814994 -9 *25067:B2 *5582:183 5.52238e-05 -10 *27431:A1 *25048:A1 0.000254261 -11 *27431:A1 *28607:D 8.75774e-05 -12 *27431:A1 *5639:199 4.53834e-05 -13 *27431:A1 *5654:157 1.24368e-05 -14 *30581:A *26916:A1 0.000185006 -15 *30581:A *26918:A1 0.000351156 -16 *30581:A *29921:A 7.70698e-05 -17 *30581:A *4085:16 1.37429e-05 -18 *30581:A *4086:16 0.000107453 -19 *30581:A *5669:312 0.000351156 -20 *4084:7 *28503:D 6.09773e-05 -21 *4084:10 *26916:A1 4.78056e-05 -22 *4084:10 *4085:16 0.000194005 -23 *4084:10 *4086:16 0.000261227 -24 *4084:18 *28607:D 2.39664e-05 -25 *4084:18 *4085:16 1.48994e-05 -26 *4084:18 *4086:16 0.00024401 -27 *4084:18 *4137:16 0 -28 *4084:18 *4147:31 3.35685e-06 -29 *4084:18 *5638:195 0.000794933 -30 *4084:18 *5800:68 1.95705e-05 -31 *4084:18 *5862:35 8.6281e-05 -32 *27431:A2 *27431:A1 3.76064e-05 -33 *27431:B1 *27431:A1 1.00733e-05 -34 *27431:B2 *27431:A1 7.48927e-06 -35 *27432:C1 *27431:A1 3.45371e-05 -36 *27779:A1 *27431:A1 5.96516e-05 -37 *29076:A *27431:A1 0.00020549 -38 *30582:A *30581:A 5.54204e-05 -39 *30582:A *4084:10 0.000168774 -40 *940:17 *4084:18 0 -41 *1242:159 *27431:A1 0.000254261 -42 *1273:181 *27431:A1 0.000103239 -43 *1288:157 *25067:B2 5.52238e-05 -44 *2772:45 *4084:18 7.5779e-05 -45 *3153:300 *4084:18 4.55608e-05 -46 *3206:293 *4084:18 0.00112801 -47 *3326:7 *27431:A1 9.58181e-05 -48 *3367:18 *27771:B2 4.58194e-05 -49 *3694:63 *27431:A1 7.29712e-05 -50 *3733:31 *27431:A1 5.34979e-05 -51 *3759:5 *27431:A1 5.33005e-05 -52 *3759:16 *27431:A1 3.06511e-05 -53 *3772:14 *27431:A1 8.68061e-06 -54 *3796:173 *27771:B2 4.58194e-05 -55 *3916:18 *4084:18 1.14072e-05 -56 *3916:20 *27431:A1 0.000889365 -57 *3916:20 *4084:18 0.000203183 -*RES -1 *28503:Q *4084:7 15.5679 -2 *4084:7 *4084:10 9.76786 -3 *4084:10 *4084:18 18.633 -4 *4084:18 *27431:A1 45.425 -5 *4084:18 *25067:B2 14.3357 -6 *4084:10 *27771:B2 14.7464 -7 *4084:7 *30581:A 22.8 -*END - -*D_NET *4085 0.0742373 -*CONN -*I *29251:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27442:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27807:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25005:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28504:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29251:A 0.00020885 -2 *27442:A1 0.000174914 -3 *27807:B2 0.000406097 -4 *25005:B2 3.117e-05 -5 *28504:Q 0 -6 *4085:87 0.00131714 -7 *4085:73 0.00274742 -8 *4085:71 0.00310103 -9 *4085:62 0.00269947 -10 *4085:40 0.0015498 -11 *4085:34 0.00169159 -12 *4085:27 0.00244238 -13 *4085:18 0.00306237 -14 *4085:16 0.00374372 -15 *4085:4 0.00189971 -16 *27807:B2 *5700:256 0.000802756 -17 *27807:B2 *5700:260 0.000128096 -18 *29251:A *26917:A1 5.40148e-05 -19 *29251:A *28504:D 0.000204911 -20 *29251:A *5709:327 0.000775759 -21 *4085:16 *26916:A1 0.000468672 -22 *4085:16 *27195:A 2.65576e-05 -23 *4085:16 *28505:RESET_B 0.00013538 -24 *4085:16 *28758:D 9.96487e-05 -25 *4085:16 *5709:327 6.33109e-05 -26 *4085:16 *5774:185 0.000195623 -27 *4085:16 *5774:207 7.10647e-05 -28 *4085:16 *5862:35 8.51296e-05 -29 *4085:18 *27787:A2 0.000129688 -30 *4085:18 *4086:16 0.000559897 -31 *4085:18 *4086:27 5.39813e-05 -32 *4085:18 *4086:28 0.00212451 -33 *4085:18 *4206:36 7.25293e-05 -34 *4085:18 *5862:26 8.54768e-06 -35 *4085:18 *5862:35 8.73815e-05 -36 *4085:27 *27125:A0 0.00030308 -37 *4085:27 *28703:D 0.000125724 -38 *4085:27 *4086:28 0.000774746 -39 *4085:27 *5637:43 2.11419e-05 -40 *4085:27 *5683:223 0.000124868 -41 *4085:40 *5651:289 0.000423607 -42 *4085:62 *5644:53 2.37343e-05 -43 *4085:71 *4086:64 0.00121116 -44 *4085:71 *4137:32 0.000178321 -45 *4085:71 *5627:181 0.000663838 -46 *4085:71 *5644:45 0.000964092 -47 *4085:71 *5650:214 0.00182888 -48 *4085:73 *25031:A1 0.00043974 -49 *4085:73 *27808:B2 0.000577873 -50 *4085:73 *5129:38 0.00134928 -51 *4085:73 *5650:198 0.000415393 -52 *4085:73 *5656:40 0.000216304 -53 *4085:73 *5656:240 0.000179921 -54 *4085:87 *5129:38 0.00022075 -55 *4085:87 *5649:29 0.000106699 -56 *4085:87 *5649:48 0.000818838 -57 *4085:87 *5656:34 0.000621986 -58 *24976:C1 *4085:16 0.000160883 -59 *25010:B1 *4085:40 3.63775e-05 -60 *25031:A2 *4085:73 0.000241198 -61 *25054:A2 *4085:16 0.000323878 -62 *25054:A2 *4085:18 3.23658e-05 -63 *25055:A *4085:18 8.44271e-06 -64 *25055:C *4085:18 0.00025365 -65 *25055:D *4085:16 2.30285e-05 -66 *25055:D *4085:18 0.000810034 -67 *25071:D *4085:18 4.18803e-05 -68 *27424:A1 *4085:27 0.000160136 -69 *27432:A2 *4085:18 0.000230869 -70 *27441:A2 *27442:A1 7.20129e-05 -71 *27442:A2 *27442:A1 3.28686e-05 -72 *27443:B1 *4085:87 5.15925e-05 -73 *27449:A *4085:87 0.000572346 -74 *27474:A *4085:62 0.000187857 -75 *27801:B1 *4085:87 0.000355236 -76 *27807:A1 *27807:B2 1.05731e-05 -77 *27807:C1 *27807:B2 3.22273e-05 -78 *27815:A2 *4085:73 6.30931e-05 -79 *29262:A *29251:A 0.000221978 -80 *29262:A *4085:16 5.83768e-05 -81 *29928:A *4085:16 0.000125724 -82 *30581:A *4085:16 1.37429e-05 -83 *1225:203 *4085:16 9.23167e-05 -84 *1242:128 *4085:62 0.000222666 -85 *1252:178 *4085:71 2.72619e-05 -86 *1252:195 *4085:27 0.000805775 -87 *1252:195 *4085:34 0.000136501 -88 *1252:206 *4085:27 5.66157e-05 -89 *1266:132 *4085:62 4.58764e-05 -90 *1266:186 *4085:34 0.00115552 -91 *1268:74 *4085:62 8.15914e-05 -92 *1302:6 *4085:34 0.00115381 -93 *1302:16 *4085:71 4.04287e-05 -94 *1322:10 *4085:71 0.000846103 -95 *1322:10 *4085:73 0.000100492 -96 *1322:12 *4085:73 0.00448202 -97 *1322:12 *4085:87 0.00022075 -98 *1377:14 *4085:18 0.00146732 -99 *1391:11 *4085:34 0.00141029 -100 *1392:10 *4085:18 7.47029e-06 -101 *1392:10 *4085:27 0.000472023 -102 *1864:141 *4085:73 1.66744e-05 -103 *2769:182 *4085:16 0 -104 *2856:279 *4085:87 6.05161e-06 -105 *2866:241 *4085:62 1.14338e-05 -106 *2872:336 *4085:34 0.00112073 -107 *2883:209 *4085:27 9.63744e-05 -108 *2885:123 *4085:62 0.000717123 -109 *2892:161 *4085:62 0.000262806 -110 *2895:281 *4085:18 0.000686116 -111 *2895:281 *4085:27 0.000265233 -112 *2992:11 *4085:18 0.00229286 -113 *3022:11 *4085:34 0.000265115 -114 *3029:20 *4085:62 0.00056444 -115 *3164:214 *4085:87 6.05161e-06 -116 *3165:15 *27807:B2 0.000340823 -117 *3170:191 *4085:73 0.000147746 -118 *3170:196 *4085:71 6.04221e-05 -119 *3170:196 *4085:73 0.000262154 -120 *3170:201 *4085:71 0.000179156 -121 *3174:224 *4085:71 5.7811e-07 -122 *3174:225 *25005:B2 7.6644e-05 -123 *3174:225 *4085:40 0.000350866 -124 *3177:72 *4085:40 0.000298483 -125 *3185:182 *25005:B2 7.83587e-05 -126 *3185:182 *4085:40 0.000358394 -127 *3205:270 *4085:71 0.000268792 -128 *3206:189 *4085:73 0.000172769 -129 *3340:19 *4085:73 0.00013392 -130 *3679:29 *4085:27 0.000363975 -131 *3721:14 *4085:34 1.17921e-05 -132 *3721:37 *4085:71 3.70645e-05 -133 *3721:50 *4085:73 1.27625e-05 -134 *3721:68 *4085:73 7.72038e-05 -135 *3774:17 *4085:34 6.05161e-06 -136 *3774:33 *4085:34 8.55871e-05 -137 *3850:24 *4085:62 0.000511596 -138 *3916:20 *4085:62 0.00206265 -139 *3930:32 *4085:16 0.000197203 -140 *3941:8 *4085:18 1.17968e-05 -141 *3941:8 *4085:27 0.000216448 -142 *3943:20 *4085:87 0.00148329 -143 *3943:24 *4085:73 0.000115153 -144 *3980:20 *4085:18 3.73982e-05 -145 *4033:8 *4085:16 0 -146 *4084:10 *4085:16 0.000194005 -147 *4084:18 *4085:16 1.48994e-05 -*RES -1 *28504:Q *4085:4 9.3 -2 *4085:4 *4085:16 43.0179 -3 *4085:16 *4085:18 63.875 -4 *4085:18 *4085:27 41.8036 -5 *4085:27 *4085:34 48.625 -6 *4085:34 *4085:40 17.9107 -7 *4085:40 *25005:B2 14.8357 -8 *4085:40 *4085:62 48.3629 -9 *4085:62 *4085:71 37.9238 -10 *4085:71 *4085:73 60.6875 -11 *4085:73 *4085:87 45.625 -12 *4085:87 *27807:B2 19.983 -13 *4085:73 *27442:A1 16.3893 -14 *4085:4 *29251:A 17.2464 -*END - -*D_NET *4086 0.0778444 -*CONN -*I *27821:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *29921:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24985:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27468:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *28505:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27821:B2 2.27214e-05 -2 *29921:A 9.40441e-05 -3 *24985:B2 0.000349146 -4 *27468:A1 0.000244175 -5 *28505:Q 8.11913e-05 -6 *4086:68 0.00445439 -7 *4086:67 0.00415665 -8 *4086:64 0.00272052 -9 *4086:35 0.00378485 -10 *4086:28 0.00292744 -11 *4086:27 0.0027318 -12 *4086:16 0.00215066 -13 *4086:7 0.00156878 -14 *4086:5 0.000103913 -15 *24985:B2 *5707:251 7.83587e-05 -16 *27468:A1 *5708:211 0.000170061 -17 *27821:B2 *5641:211 1.21258e-05 -18 *27821:B2 *5716:133 2.59355e-05 -19 *29921:A *26918:A1 5.33005e-05 -20 *29921:A *5669:312 5.33005e-05 -21 *4086:5 *5641:211 7.49615e-05 -22 *4086:5 *5716:133 0.000114587 -23 *4086:16 *27771:B1 1.9643e-05 -24 *4086:16 *5682:126 0.000135028 -25 *4086:16 *5862:35 4.13896e-05 -26 *4086:28 *27799:A2 1.90936e-05 -27 *4086:28 *28703:D 0.000125724 -28 *4086:28 *4149:72 1.08359e-05 -29 *4086:28 *5637:43 1.94945e-05 -30 *4086:28 *5683:223 0.00012316 -31 *4086:28 *5759:18 2.11419e-05 -32 *4086:28 *5862:26 0.000125496 -33 *4086:35 *5708:211 0.000165385 -34 *4086:64 *27479:A1 0.000247229 -35 *4086:64 *4134:107 0.000578131 -36 *4086:64 *5645:91 9.23367e-05 -37 *4086:64 *5650:214 0.000622752 -38 *4086:68 *5649:8 0.000497881 -39 *4086:68 *5649:29 0.000170561 -40 *4086:68 *5650:198 0.00043421 -41 *4086:68 *5863:191 2.06112e-05 -42 *24971:A2 *4086:68 0 -43 *24972:B1 *4086:68 0.000937623 -44 *24985:B1 *24985:B2 9.25014e-06 -45 *25009:A2 *24985:B2 4.89264e-05 -46 *25055:C *4086:16 0.000118488 -47 *25057:A1 *4086:27 0.00017754 -48 *25057:B2 *4086:27 0.000118824 -49 *25071:B *4086:27 0.000175892 -50 *25071:D *4086:27 0.000121653 -51 *25631:S *4086:16 1.22751e-05 -52 *27424:A1 *4086:28 0.000158306 -53 *27430:B1 *4086:28 0.000145351 -54 *27430:B2 *4086:28 0.000954919 -55 *27432:A2 *4086:27 0.000232583 -56 *27435:B1 *4086:28 0.00051637 -57 *27444:C1 *4086:68 1.91414e-05 -58 *27447:B1 *4086:68 6.57026e-05 -59 *27465:A1 *4086:64 0 -60 *27468:A2 *27468:A1 0.000216755 -61 *27471:C *27468:A1 0.000120895 -62 *27479:B2 *4086:64 1.67647e-05 -63 *27646:A *4086:68 1.41029e-05 -64 *27649:C *4086:68 0.000685203 -65 *27650:A *4086:68 0 -66 *30097:A *4086:35 0.000309539 -67 *30581:A *29921:A 7.70698e-05 -68 *30581:A *4086:16 0.000107453 -69 *30582:A *4086:16 0.000217373 -70 *1218:152 *4086:68 0 -71 *1252:178 *4086:64 2.78991e-05 -72 *1252:195 *4086:28 7.93428e-05 -73 *1256:61 *4086:68 0.000469988 -74 *1266:148 *27468:A1 0.000237467 -75 *1266:148 *4086:35 8.55871e-05 -76 *1274:161 *4086:28 0.00248967 -77 *1291:46 *4086:68 0.000114575 -78 *1302:16 *4086:64 0.00120457 -79 *1302:16 *4086:68 0.00458928 -80 *1302:26 *4086:68 0.00595336 -81 *1308:19 *4086:64 0 -82 *1310:11 *24985:B2 2.84269e-05 -83 *1321:11 *4086:67 9.41642e-05 -84 *1322:10 *4086:67 6.05161e-06 -85 *1322:12 *4086:68 3.25352e-05 -86 *1372:12 *4086:16 0.000762522 -87 *1385:34 *4086:28 0.000272348 -88 *1385:34 *4086:35 0.00219643 -89 *1385:34 *4086:64 0.00013668 -90 *1393:10 *4086:27 9.87358e-05 -91 *1826:85 *24985:B2 0.000432883 -92 *2764:209 *4086:68 2.04866e-05 -93 *2769:182 *29921:A 0.000168089 -94 *2769:182 *4086:16 0.000752921 -95 *2776:224 *4086:16 1.90936e-05 -96 *2792:78 *4086:16 0.00126192 -97 *2856:294 *4086:68 0.00477941 -98 *2856:314 *27468:A1 0.000135028 -99 *2861:42 *4086:64 0.00013668 -100 *2861:51 *4086:28 7.63677e-05 -101 *2866:311 *4086:28 0.000647533 -102 *2883:188 *4086:64 0.00064714 -103 *2885:123 *4086:64 9.10435e-05 -104 *2888:194 *4086:64 0 -105 *2891:311 *4086:27 2.11419e-05 -106 *2895:266 *4086:64 0.000641736 -107 *2895:281 *4086:28 0.000328187 -108 *2992:11 *4086:27 0.000874843 -109 *2997:20 *4086:28 6.6289e-05 -110 *3164:20 *4086:68 0.000617572 -111 *3164:214 *4086:68 0.000196561 -112 *3165:33 *4086:64 0 -113 *3190:15 *4086:68 0.000120624 -114 *3193:51 *4086:68 9.82996e-05 -115 *3193:60 *4086:64 0.000135704 -116 *3195:77 *4086:68 0.000418846 -117 *3195:78 *4086:68 1.62539e-05 -118 *3345:6 *4086:28 0.00133725 -119 *3345:6 *4086:35 0.00039443 -120 *3363:10 *4086:68 0.000669167 -121 *3367:31 *4086:27 0.000721856 -122 *3670:24 *4086:68 0.000125555 -123 *3679:29 *4086:28 0.000362268 -124 *3690:61 *27468:A1 0 -125 *3721:37 *4086:35 0.00111931 -126 *3721:37 *4086:64 4.18749e-05 -127 *3735:58 *4086:68 0.000629097 -128 *3772:26 *4086:27 2.05843e-05 -129 *3774:33 *4086:28 2.26973e-05 -130 *3904:38 *4086:68 0 -131 *3942:17 *4086:68 0.000118377 -132 *3943:20 *24985:B2 0 -133 *3980:20 *4086:28 2.24646e-05 -134 *4033:14 *4086:16 0.00021532 -135 *4033:14 *4086:27 0.000184511 -136 *4033:16 *4086:27 2.47856e-05 -137 *4084:10 *4086:16 0.000261227 -138 *4084:18 *4086:16 0.00024401 -139 *4085:18 *4086:16 0.000559897 -140 *4085:18 *4086:27 5.39813e-05 -141 *4085:18 *4086:28 0.00212451 -142 *4085:27 *4086:28 0.000774746 -143 *4085:71 *4086:64 0.00121116 -*RES -1 *28505:Q *4086:5 11.4786 -2 *4086:5 *4086:7 4.5 -3 *4086:7 *4086:16 47.8482 -4 *4086:16 *4086:27 29.6161 -5 *4086:27 *4086:28 76.7768 -6 *4086:28 *4086:35 35.3125 -7 *4086:35 *27468:A1 15.7464 -8 *4086:35 *4086:64 49.1533 -9 *4086:64 *4086:67 5.44643 -10 *4086:67 *4086:68 151 -11 *4086:68 *24985:B2 29.5679 -12 *4086:7 *29921:A 16.5857 -13 *4086:5 *27821:B2 9.83571 -*END - -*D_NET *4087 0.0634319 -*CONN -*I *27845:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *25370:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29310:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27502:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28240:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27845:B2 7.8944e-05 -2 *25370:B2 1.3686e-05 -3 *29310:A 5.41962e-05 -4 *27502:A1 0.000824268 -5 *28240:Q 3.8932e-05 -6 *4087:63 0.00131001 -7 *4087:61 0.00307659 -8 *4087:26 0.00319509 -9 *4087:24 0.00240425 -10 *4087:22 0.00291777 -11 *4087:20 0.00401949 -12 *4087:12 0.00155154 -13 *4087:9 0.000680982 -14 *4087:5 0.00195065 -15 *27502:A1 *5718:174 0.000504263 -16 *29310:A *25778:A1 0.000153054 -17 *4087:5 *28240:RESET_B 7.3237e-05 -18 *4087:5 *5719:25 6.71854e-05 -19 *4087:9 *28240:RESET_B 6.72353e-05 -20 *4087:9 *5719:25 0.000247544 -21 *4087:12 *25778:A1 0.00017309 -22 *4087:12 *28243:D 8.7392e-05 -23 *4087:12 *5824:108 0.000498741 -24 *4087:20 *25898:A0 2.68558e-05 -25 *4087:20 *28242:D 0.000342495 -26 *4087:20 *28347:D 0.000125717 -27 *4087:20 *28348:RESET_B 5.83304e-05 -28 *4087:20 *5111:13 6.62299e-06 -29 *4087:20 *5688:173 0 -30 *4087:20 *5760:45 0.000286128 -31 *4087:20 *5824:8 0.00010332 -32 *4087:20 *5824:84 0.000219627 -33 *4087:20 *5824:108 0.000628806 -34 *4087:20 *5833:40 0.00028572 -35 *4087:22 *25156:B2 0.000138539 -36 *4087:22 *25254:A1 0.00194262 -37 *4087:22 *25884:A0 0.000284382 -38 *4087:22 *27949:A1 0.00014212 -39 *4087:22 *4131:73 0 -40 *4087:22 *5301:13 0.00225579 -41 *4087:22 *5688:176 4.97121e-06 -42 *4087:22 *5824:8 7.87329e-05 -43 *4087:22 *5833:40 0.00048803 -44 *4087:22 *5833:57 9.69302e-05 -45 *4087:26 *27960:A2 2.28159e-05 -46 *4087:26 *28329:RESET_B 0.000886059 -47 *4087:26 *28379:D 0.000124534 -48 *4087:26 *5686:15 2.00751e-05 -49 *4087:26 *5707:28 5.53021e-05 -50 *4087:26 *5718:183 1.77525e-05 -51 *4087:61 *25778:A0 0.000821 -52 *4087:61 *27665:A2 8.55871e-05 -53 *4087:61 *5715:63 0.000347785 -54 *4087:61 *5729:60 0.000101078 -55 *4087:61 *5824:120 6.42242e-05 -56 *4087:63 *27286:B1 6.59118e-05 -57 *25129:A2 *4087:22 0.00014455 -58 *25373:A *25370:B2 2.84269e-05 -59 *25373:A *4087:63 0.000125731 -60 *25765:A *4087:20 2.06112e-05 -61 *25779:S *29310:A 1.69115e-05 -62 *27502:A2 *27502:A1 3.28686e-05 -63 *27559:B *4087:26 0.000447143 -64 *27577:A2 *4087:22 7.80051e-05 -65 *27584:A *4087:22 8.69948e-05 -66 *27592:B2 *4087:26 0.000349209 -67 *27665:A1 *4087:61 1.21258e-05 -68 *27666:C1 *4087:61 0.000262076 -69 *27897:A1 *27502:A1 0.000113001 -70 *27929:B2 *4087:26 0.000112063 -71 *27949:B1 *4087:22 0.000670708 -72 *27952:A1 *4087:22 0.000957981 -73 *28067:D *4087:61 0.000122091 -74 *28155:CLK *27502:A1 0.000431443 -75 *28947:A *4087:26 0.00155572 -76 *29191:A *4087:63 0.000223599 -77 *29573:A *4087:20 1.37292e-05 -78 *29740:A *4087:22 0.00020042 -79 *30131:A *4087:20 0.000683116 -80 *30333:A *4087:26 8.83616e-05 -81 *1263:175 *4087:22 7.79937e-05 -82 *1263:216 *4087:22 3.73407e-05 -83 *1411:97 *27502:A1 7.40046e-05 -84 *1464:105 *4087:20 0.000455473 -85 *1476:42 *4087:26 2.09897e-05 -86 *1816:16 *29310:A 0.000191877 -87 *1816:16 *4087:12 0.00116749 -88 *1816:26 *4087:12 1.10353e-05 -89 *1816:26 *4087:20 0.00106362 -90 *1869:34 *4087:12 0.00012489 -91 *2853:91 *4087:26 0.000543401 -92 *2853:107 *4087:26 0.00173861 -93 *2853:114 *4087:22 0.0015265 -94 *2853:114 *4087:26 0.000378591 -95 *2856:97 *27502:A1 8.36572e-05 -96 *2880:197 *4087:26 4.34627e-05 -97 *3123:14 *4087:26 0.000138422 -98 *3165:355 *4087:22 2.21404e-05 -99 *3209:102 *4087:26 0.000751964 -100 *3209:127 *4087:22 0.00141333 -101 *3567:17 *4087:61 6.19181e-05 -102 *3570:11 *27502:A1 0.000111046 -103 *3570:11 *4087:26 3.07961e-06 -104 *3570:37 *27502:A1 2.23483e-06 -105 *3570:37 *4087:26 0.000629664 -106 *3655:18 *4087:22 0.000121456 -107 *3655:70 *4087:20 0 -108 *3655:70 *4087:22 0.00017452 -109 *3689:17 *4087:22 0.000740954 -110 *3726:29 *27502:A1 0.00022806 -111 *3726:29 *4087:26 1.53955e-05 -112 *3752:14 *4087:22 0.00101448 -113 *3752:19 *4087:22 0.000118403 -114 *3752:22 *4087:22 0.000308151 -115 *3752:22 *4087:26 0.00107377 -116 *3752:33 *4087:26 0.00185672 -117 *3806:77 *4087:22 2.35889e-05 -118 *3868:74 *4087:61 2.47627e-05 -119 *3868:74 *4087:63 0.00175154 -120 *3944:45 *27502:A1 9.35347e-05 -121 *3957:67 *4087:22 0.000128161 -122 *4011:54 *4087:61 4.52236e-05 -123 *4011:54 *4087:63 0.00378489 -124 *4039:39 *27845:B2 0.000180777 -125 *4039:39 *4087:61 0.000181879 -*RES -1 *28240:Q *4087:5 10.6571 -2 *4087:5 *4087:9 9.14286 -3 *4087:9 *4087:12 15.9732 -4 *4087:12 *4087:20 39.4375 -5 *4087:20 *4087:22 99.3929 -6 *4087:22 *4087:24 0.535714 -7 *4087:24 *4087:26 78.3661 -8 *4087:26 *27502:A1 32.0232 -9 *4087:9 *29310:A 16.3536 -10 *4087:5 *4087:61 42.4286 -11 *4087:61 *4087:63 48.6964 -12 *4087:63 *25370:B2 14.0857 -13 *4087:61 *27845:B2 15.5679 -*END - -*D_NET *4088 0.0799052 -*CONN -*I *29888:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27870:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *25309:B2 I *D sky130_fd_sc_hd__a221o_2 -*I *27511:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28241:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29888:A 0.000265992 -2 *27870:B2 8.62785e-05 -3 *25309:B2 0 -4 *27511:B2 0 -5 *28241:Q 0 -6 *4088:79 0.0015349 -7 *4088:65 0.00224527 -8 *4088:56 0.00690014 -9 *4088:54 0.00696004 -10 *4088:39 0.00141051 -11 *4088:26 0.00183989 -12 *4088:23 0.00169389 -13 *4088:17 0.000580507 -14 *4088:15 0.00200264 -15 *4088:5 0.00226863 -16 *27870:B2 *5762:163 2.59355e-05 -17 *29888:A *25779:A1 0.000146523 -18 *29888:A *28400:RESET_B 2.89016e-05 -19 *4088:15 *27281:A1 9.15346e-05 -20 *4088:15 *27665:A2 0 -21 *4088:15 *5640:142 0.000683836 -22 *4088:15 *5644:251 8.23597e-06 -23 *4088:15 *5719:17 0.000424903 -24 *4088:15 *5719:25 0.00022266 -25 *4088:15 *5798:12 0 -26 *4088:26 *25366:A2 0.00035768 -27 *4088:26 *27399:A1 0.000172932 -28 *4088:26 *4096:48 0.000869289 -29 *4088:26 *5627:139 2.0586e-05 -30 *4088:26 *5832:8 0.00309395 -31 *4088:39 *5688:115 0.000327619 -32 *4088:39 *5832:8 2.90329e-05 -33 *4088:54 *26902:A0 0.000225683 -34 *4088:54 *28444:D 4.82225e-05 -35 *4088:54 *5605:138 0.000610369 -36 *4088:56 *24898:B 0.000412249 -37 *4088:56 *30780:A 0.000213269 -38 *4088:56 *4199:12 0.000375832 -39 *4088:56 *5600:47 0.000240717 -40 *4088:56 *5605:138 0.00019423 -41 *4088:56 *5617:57 0.000717247 -42 *4088:56 *5860:26 0.000140671 -43 *4088:56 *5860:33 0.000160209 -44 *4088:65 *4129:61 7.47787e-05 -45 *4088:65 *5488:86 0.000479425 -46 *4088:65 *5665:14 2.70725e-06 -47 *4088:79 *5699:147 0.000174573 -48 *25107:C1 *4088:56 0.000204709 -49 *25160:B *4088:65 0 -50 *25160:B *4088:79 1.24368e-05 -51 *25167:B *4088:56 0.000564209 -52 *25311:C1 *4088:65 0.000140971 -53 *25312:D *4088:56 6.38445e-05 -54 *25382:C1 *4088:39 0.000169804 -55 *25412:D *4088:56 9.82379e-05 -56 *25566:A2 *4088:15 9.14834e-05 -57 *25566:B2 *4088:15 0.000188957 -58 *25753:A *4088:79 0.000852986 -59 *27328:A2 *4088:23 0.000135028 -60 *27511:B1 *4088:79 5.52302e-05 -61 *27575:A2 *4088:79 5.33005e-05 -62 *27858:A1 *4088:56 0.00165087 -63 *27878:A2 *4088:79 3.28686e-05 -64 *27878:B1 *4088:79 0.000325656 -65 *27878:B2 *4088:79 2.84269e-05 -66 *27963:C1 *4088:65 2.04825e-05 -67 *28354:CLK *4088:15 6.531e-05 -68 *1174:51 *29888:A 0.000217478 -69 *1174:51 *4088:15 0.000711675 -70 *1235:159 *4088:54 0.000753951 -71 *1239:19 *4088:79 0.000301438 -72 *1239:65 *4088:56 4.99704e-05 -73 *1242:37 *4088:56 0.000123605 -74 *1242:61 *4088:56 0.00110527 -75 *1248:98 *4088:56 0.00168373 -76 *1257:142 *4088:56 2.50463e-05 -77 *1262:53 *4088:39 4.11218e-05 -78 *1267:49 *4088:54 4.02688e-05 -79 *1287:132 *4088:56 0.000341237 -80 *1323:17 *4088:79 0.000481923 -81 *1327:78 *4088:56 0.000743525 -82 *1327:81 *4088:56 2.35985e-05 -83 *1327:83 *4088:56 0.00673588 -84 *1327:83 *4088:65 6.27688e-05 -85 *1327:98 *4088:65 7.68609e-05 -86 *1400:54 *4088:56 0.000641629 -87 *1407:89 *4088:65 0.000123126 -88 *1411:60 *4088:56 0.000148761 -89 *1411:62 *4088:56 0.00518036 -90 *1415:27 *4088:54 8.41284e-06 -91 *1415:27 *4088:56 0.00200516 -92 *1427:71 *4088:65 7.40571e-05 -93 *1429:18 *4088:56 1.4901e-05 -94 *1437:69 *4088:56 0.000184173 -95 *1447:44 *4088:54 0.00083325 -96 *1447:51 *4088:54 9.86249e-07 -97 *1448:127 *4088:56 0.000423779 -98 *1451:6 *4088:39 0.000667303 -99 *1452:13 *4088:65 0.000143922 -100 *1452:103 *4088:65 0.000464546 -101 *1456:18 *4088:56 0.000295797 -102 *1456:38 *4088:56 0.00124746 -103 *1463:50 *4088:15 0.0010975 -104 *1464:56 *4088:39 0.000317887 -105 *1464:56 *4088:54 1.10714e-05 -106 *1473:14 *4088:56 0.000826594 -107 *1624:55 *4088:79 9.71197e-05 -108 *1626:27 *4088:39 4.07672e-05 -109 *1626:27 *4088:54 1.93512e-05 -110 *1629:14 *4088:56 0.000164341 -111 *1672:16 *4088:26 0 -112 *1719:12 *4088:26 0.000130203 -113 *1897:15 *4088:79 1.90936e-05 -114 *2852:228 *4088:79 2.44318e-05 -115 *2860:64 *4088:79 0.000680894 -116 *2864:40 *4088:65 6.7848e-05 -117 *2866:88 *4088:56 2.80052e-05 -118 *2866:119 *4088:56 0.000109848 -119 *2874:305 *4088:26 3.81593e-05 -120 *2875:63 *4088:56 0.00020822 -121 *3191:54 *4088:79 0.000174975 -122 *3196:58 *4088:65 4.02415e-05 -123 *3197:74 *4088:56 0.000197991 -124 *3216:199 *4088:56 0.000147769 -125 *3389:20 *4088:56 0.000123004 -126 *3413:16 *27870:B2 2.59355e-05 -127 *3413:16 *4088:15 9.68766e-05 -128 *3421:10 *4088:79 5.33005e-05 -129 *3425:27 *4088:56 4.80563e-05 -130 *3425:27 *4088:65 9.60939e-05 -131 *3562:16 *4088:26 0.000322801 -132 *3567:33 *29888:A 0.000227729 -133 *3567:33 *4088:15 0.000337644 -134 *3568:17 *4088:79 0.000683256 -135 *3592:50 *4088:15 1.46717e-05 -136 *3699:22 *4088:15 2.09897e-05 -137 *3739:101 *4088:56 0.000119899 -138 *3779:45 *4088:79 2.04825e-05 -139 *3784:54 *4088:26 0.000191161 -140 *3784:54 *4088:39 0.000145434 -141 *3790:106 *27870:B2 0.000200545 -142 *3790:106 *4088:23 0.000949541 -143 *3803:45 *4088:23 8.86413e-05 -144 *3845:47 *4088:79 0.000388051 -145 *3859:29 *4088:39 0.000460998 -146 *3927:20 *4088:39 0.00028606 -147 *3959:28 *27870:B2 0.000208379 -148 *3959:28 *4088:23 0.000736986 -149 *3959:45 *4088:23 0.00021084 -150 *3959:45 *4088:26 2.87203e-05 -151 *4022:94 *4088:56 3.10262e-05 -152 *4064:62 *4088:56 0.00025151 -*RES -1 *28241:Q *4088:5 13.8 -2 *4088:5 *4088:15 49.957 -3 *4088:15 *4088:17 3.41 -4 *4088:17 *4088:23 24.4196 -5 *4088:23 *4088:26 40.7321 -6 *4088:26 *4088:39 23.8036 -7 *4088:39 *4088:54 26.6518 -8 *4088:54 *4088:56 201.241 -9 *4088:56 *4088:65 30.1786 -10 *4088:65 *4088:79 46.1623 -11 *4088:79 *27511:B2 9.3 -12 *4088:39 *25309:B2 9.3 -13 *4088:17 *27870:B2 17.0411 -14 *4088:5 *29888:A 20.8893 -*END - -*D_NET *4089 0.0154731 -*CONN -*I *29332:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27656:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27286:B2 I *D sky130_fd_sc_hd__a221o_2 -*I *25366:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28506:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *29332:A 0 -2 *27656:B2 0.000158178 -3 *27286:B2 0.000649089 -4 *25366:A1 0 -5 *28506:Q 0.000305802 -6 *4089:14 0.000649089 -7 *4089:12 0.00259318 -8 *4089:10 0.00294284 -9 *4089:8 0.000497287 -10 *27286:B2 *25366:A2 7.34187e-06 -11 *27286:B2 *27944:A2 4.33002e-05 -12 *27286:B2 *4350:95 0.000496476 -13 *27656:B2 *27656:B1 1.66568e-05 -14 *4089:10 *5716:14 0 -15 *4089:12 *27001:A1 3.09531e-05 -16 *4089:12 *27665:A2 0.000163065 -17 *4089:12 *5716:14 0 -18 *4089:12 *5762:70 0.00020042 -19 *4089:12 *5823:17 0.00180932 -20 *25366:B2 *27286:B2 5.58941e-05 -21 *25366:B2 *4089:12 0.000374781 -22 *25901:S *4089:12 0.00015304 -23 *25902:S *4089:12 7.277e-05 -24 *27286:C1 *27286:B2 1.38323e-05 -25 *27328:A1 *4089:12 0.000108533 -26 *27656:A1 *27656:B2 0.000178586 -27 *27668:B *4089:12 0.000262948 -28 *28015:A3 *4089:10 0.00012401 -29 *28015:A3 *4089:12 0.000251984 -30 *1174:15 *4089:8 7.8327e-05 -31 *1174:15 *4089:10 8.11507e-05 -32 *1288:66 *27286:B2 3.3283e-05 -33 *1288:77 *27286:B2 9.41642e-05 -34 *1884:8 *4089:12 0.000100823 -35 *2892:305 *4089:12 0.000521512 -36 *3215:254 *27286:B2 0.000491403 -37 *3215:254 *4089:12 0.000121573 -38 *3220:16 *4089:12 0 -39 *3535:13 *4089:8 4.8476e-05 -40 *3535:13 *4089:10 4.94362e-05 -41 *3578:21 *4089:8 0.00017795 -42 *3699:22 *4089:10 0 -43 *3699:22 *4089:12 0 -44 *3725:18 *27286:B2 0.00012401 -45 *3738:28 *27286:B2 0.000348454 -46 *3738:28 *4089:12 0.000308018 -47 *3764:12 *4089:12 0.000735142 -*RES -1 *28506:Q *4089:8 18.9518 -2 *4089:8 *4089:10 4.375 -3 *4089:10 *4089:12 65.6964 -4 *4089:12 *4089:14 4.5 -5 *4089:14 *25366:A1 9.3 -6 *4089:14 *27286:B2 35.675 -7 *4089:10 *27656:B2 16.9964 -8 *4089:8 *29332:A 13.8 -*END - -*D_NET *4090 0.0117154 -*CONN -*I *25252:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27546:A1 I *D sky130_fd_sc_hd__a221o_2 -*I *27910:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29784:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28247:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *25252:B2 9.04164e-06 -2 *27546:A1 0 -3 *27910:B2 0.00131062 -4 *29784:A 0.00012563 -5 *28247:Q 0.000173554 -6 *4090:34 0.00215483 -7 *4090:18 0.00101995 -8 *4090:5 0.000465888 -9 *25252:B2 *4101:22 2.84269e-05 -10 *27910:B2 *4101:24 4.32309e-05 -11 *27910:B2 *5669:101 5.28336e-05 -12 *27910:B2 *5688:242 0.000102886 -13 *27910:B2 *5721:9 6.26774e-06 -14 *27910:B2 *5778:94 0.000509385 -15 *29784:A *4101:22 0.000389868 -16 *29784:A *6356:24 0.000206326 -17 *4090:18 *25786:A0 0.00012401 -18 *4090:18 *5250:8 0.000157967 -19 *4090:34 *25784:A0 7.5779e-05 -20 *4090:34 *4101:22 0.00116259 -21 *4090:34 *4101:24 0.000109709 -22 *4090:34 *5250:8 0.000978244 -23 *25198:B2 *27910:B2 4.34648e-05 -24 *25252:A1 *25252:B2 2.84269e-05 -25 *27910:C1 *27910:B2 9.54798e-06 -26 *1362:48 *4090:5 0.000370562 -27 *1362:48 *4090:18 0.000211249 -28 *1422:20 *27910:B2 0.000139122 -29 *1422:28 *27910:B2 0.000136331 -30 *1448:27 *4090:34 9.41642e-05 -31 *1448:46 *27910:B2 0 -32 *1473:56 *4090:18 9.25014e-06 -33 *1473:56 *4090:34 0.000238769 -34 *1473:70 *4090:18 2.30244e-05 -35 *1493:14 *4090:34 0.000101545 -36 *1518:15 *27910:B2 0 -37 *3698:78 *27910:B2 0 -38 *3713:119 *27910:B2 0.000462375 -39 *3947:61 *27910:B2 1.36772e-05 -40 *3958:16 *27910:B2 2.34423e-05 -41 *3958:16 *4090:34 0.000535839 -42 *3984:73 *27910:B2 6.7535e-05 -*RES -1 *28247:Q *4090:5 12.9161 -2 *4090:5 *29784:A 23.2821 -3 *4090:5 *4090:18 8.92857 -4 *4090:18 *4090:34 35.8036 -5 *4090:34 *27910:B2 31.5305 -6 *4090:34 *27546:A1 13.8 -7 *4090:18 *25252:B2 14.0857 -*END - -*D_NET *4091 0.0240058 -*CONN -*I *25195:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *29682:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27937:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27560:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28248:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *25195:B2 0.000711034 -2 *29682:A 7.22761e-05 -3 *27937:B2 0.000631569 -4 *27560:A1 0.000153209 -5 *28248:Q 0 -6 *4091:64 0.00311322 -7 *4091:20 0.00243359 -8 *4091:5 0.00172109 -9 *4091:4 0.00240218 -10 *25195:B2 *5614:37 0.00137543 -11 *27560:A1 *24889:A0 4.61858e-05 -12 *27937:B2 *24889:A0 0.000137983 -13 *27937:B2 *27936:A2 0 -14 *27937:B2 *27937:A2 1.59935e-05 -15 *29682:A *25846:A 0.000197984 -16 *4091:20 *24882:S 0.000158278 -17 *4091:20 *25361:B2 1.50132e-05 -18 *4091:20 *25846:A 0.000314682 -19 *4091:20 *4092:35 0.0013014 -20 *4091:20 *4100:21 0.000426017 -21 *4091:20 *4152:60 0.000778021 -22 *4091:20 *5657:132 2.4227e-05 -23 *4091:20 *5833:91 0.000502044 -24 *4091:20 *5890:6 0.000164572 -25 *4091:20 *5890:124 0.000165911 -26 *4091:64 *28248:D 2.55568e-05 -27 *4091:64 *5231:12 0.0002877 -28 *4091:64 *5614:44 0.000145009 -29 *4091:64 *5669:81 0.0001149 -30 *4091:64 *5715:137 0.000136958 -31 *4091:64 *5808:36 0.000289408 -32 *25128:B *29682:A 0.000236814 -33 *25128:B *4091:20 0.000339089 -34 *25195:A1 *25195:B2 0.000589595 -35 *25361:B1 *4091:20 8.15242e-05 -36 *27551:B1 *4091:64 1.20767e-05 -37 *27560:B1 *27560:A1 0 -38 *27561:C1 *27560:A1 0.000130631 -39 *27561:C1 *27937:B2 5.62684e-05 -40 *27582:A1 *4091:64 0.000201631 -41 *27582:B1 *4091:64 0.000107196 -42 *27589:A2 *27937:B2 0.000100688 -43 *27589:A2 *4091:20 0.000687383 -44 *27937:B1 *27937:B2 2.84665e-05 -45 *27937:C1 *27937:B2 0 -46 *27939:C *27937:B2 1.0215e-05 -47 *1449:21 *25195:B2 0.00147107 -48 *1449:21 *4091:64 0.00111554 -49 *1473:33 *4091:64 3.17479e-05 -50 *2882:143 *27937:B2 7.6644e-05 -51 *2889:289 *4091:20 0.000283839 -52 *2895:180 *4091:20 3.17148e-05 -53 *3767:17 *4091:64 0.000298483 -54 *3957:22 *27937:B2 0.000168082 -55 *3957:22 *29682:A 2.49484e-05 -56 *3957:22 *4091:20 6.07075e-05 -*RES -1 *28248:Q *4091:4 9.3 -2 *4091:4 *4091:5 4.5 -3 *4091:5 *4091:20 48.2143 -4 *4091:20 *27560:A1 12.2107 -5 *4091:20 *27937:B2 27.8714 -6 *4091:5 *29682:A 16.9607 -7 *4091:4 *4091:64 48.2946 -8 *4091:64 *25195:B2 30.7196 -*END - -*D_NET *4092 0.0259755 -*CONN -*I *30223:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27590:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25153:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27954:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28249:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *30223:A 0.000128818 -2 *27590:A1 6.29976e-05 -3 *25153:B2 0.000591737 -4 *27954:B2 2.14719e-05 -5 *28249:Q 0 -6 *4092:65 0.00133267 -7 *4092:45 0.00211216 -8 *4092:35 0.00249752 -9 *4092:21 0.00220142 -10 *4092:4 0.00124548 -11 *25153:B2 *5607:27 7.49387e-06 -12 *30223:A *5688:205 0.000307985 -13 *30223:A *5715:125 0.000221064 -14 *4092:21 *25785:A0 0.000196276 -15 *4092:21 *28303:RESET_B 0.00010347 -16 *4092:21 *30785:A 0.000124612 -17 *4092:21 *4103:68 3.40929e-05 -18 *4092:21 *5688:205 4.51641e-05 -19 *4092:21 *5688:213 5.03772e-05 -20 *4092:21 *5715:137 0.000339651 -21 *4092:21 *5833:65 0.000347956 -22 *4092:21 *5833:91 0.000442411 -23 *4092:35 *25187:B2 0.0004105 -24 *4092:35 *25851:A1 5.41797e-06 -25 *4092:35 *4100:14 0.000100287 -26 *4092:35 *4100:21 0.000247105 -27 *4092:35 *5215:12 6.59223e-05 -28 *4092:45 *27546:B1 0.000134669 -29 *4092:45 *4100:22 1.69265e-05 -30 *4092:45 *4105:23 5.68354e-05 -31 *4092:45 *4105:78 4.93039e-05 -32 *4092:65 *5890:13 0.00141785 -33 *25153:B1 *25153:B2 9.62562e-06 -34 *25784:S *4092:21 0.000239551 -35 *27590:B2 *27590:A1 4.01136e-06 -36 *27590:B2 *4092:35 4.71135e-06 -37 *27590:C1 *4092:45 9.9974e-05 -38 *27953:B2 *27590:A1 6.55258e-05 -39 *27954:C1 *27954:B2 4.5534e-05 -40 *27954:C1 *4092:65 4.82355e-05 -41 *28230:CLK *4092:21 5.80706e-06 -42 *28852:A *4092:35 0.000234421 -43 *30186:A *4092:35 0.000234114 -44 *1362:48 *4092:21 5.97623e-05 -45 *1423:13 *4092:35 0.000262491 -46 *1443:12 *4092:65 0.0002583 -47 *1472:25 *25153:B2 0.000382744 -48 *1563:26 *27954:B2 1.65348e-05 -49 *1563:26 *4092:65 0.00031841 -50 *1647:29 *4092:65 0.000177545 -51 *1665:28 *4092:45 0.0012 -52 *1864:23 *4092:65 0.000221358 -53 *1870:29 *4092:21 2.49484e-05 -54 *1873:32 *4092:21 6.23883e-05 -55 *2850:121 *27590:A1 7.84726e-05 -56 *2850:121 *4092:45 0 -57 *2895:180 *4092:35 0.000161118 -58 *3170:106 *4092:65 0.000305198 -59 *3197:89 *27954:B2 1.36772e-05 -60 *3197:99 *4092:45 0.000874038 -61 *3216:184 *4092:65 0.000549154 -62 *3216:199 *25153:B2 0.000580424 -63 *3216:199 *4092:65 8.76863e-05 -64 *3585:11 *4092:21 0.000250915 -65 *3585:41 *4092:21 0.000262494 -66 *3684:69 *4092:21 0.000121573 -67 *3689:11 *4092:35 6.71336e-05 -68 *3698:78 *4092:35 2.04825e-05 -69 *3711:93 *25153:B2 0.00161417 -70 *3738:58 *4092:65 0.000260015 -71 *3754:16 *4092:45 4.65519e-05 -72 *3871:94 *27590:A1 7.70134e-06 -73 *3871:94 *4092:35 0.000174548 -74 *3910:73 *4092:45 1.09611e-05 -75 *3948:24 *4092:21 0.000102917 -76 *4036:38 *4092:65 0.000182446 -77 *4036:40 *4092:65 6.82091e-06 -78 *4091:20 *4092:35 0.0013014 -*RES -1 *28249:Q *4092:4 9.3 -2 *4092:4 *4092:21 39.1855 -3 *4092:21 *4092:35 41.6875 -4 *4092:35 *4092:45 17.4818 -5 *4092:45 *27954:B2 14.5098 -6 *4092:45 *4092:65 36.6339 -7 *4092:65 *25153:B2 25.8759 -8 *4092:35 *27590:A1 11.0857 -9 *4092:4 *30223:A 13.0321 -*END - -*D_NET *4093 0.00915001 -*CONN -*I *25337:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27349:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27688:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29871:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28507:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *25337:B2 0.000638915 -2 *27349:B2 0.000137067 -3 *27688:B2 0.000355774 -4 *29871:A 0.000161796 -5 *28507:Q 5.96241e-05 -6 *4093:20 0.000851431 -7 *4093:17 0.00119365 -8 *4093:7 0.000417563 -9 *27688:B2 *5748:16 0.00109896 -10 *29871:A *26921:A1 0.000135028 -11 *4093:7 *5667:25 0.00015546 -12 *4093:17 *4115:30 2.89508e-05 -13 *25337:A1 *25337:B2 0.000587062 -14 *25337:B1 *25337:B2 1.04232e-05 -15 *26921:S *29871:A 0.000240484 -16 *26921:S *4093:17 0.000156744 -17 *1268:43 *25337:B2 4.05527e-05 -18 *1268:53 *25337:B2 5.74499e-06 -19 *1292:202 *27349:B2 6.59194e-05 -20 *1416:97 *25337:B2 8.79816e-05 -21 *1416:97 *4093:17 0.000346558 -22 *2760:98 *4093:7 7.56452e-05 -23 *2780:161 *27349:B2 8.43535e-06 -24 *2780:161 *4093:20 0.000153027 -25 *3185:92 *4093:17 0.000112594 -26 *3197:56 *27688:B2 0.00109738 -27 *3197:57 *27688:B2 2.84269e-05 -28 *3306:6 *25337:B2 9.89536e-05 -29 *3306:6 *29871:A 0.000238769 -30 *3306:6 *4093:17 0.000561095 -*RES -1 *28507:Q *4093:7 15.3625 -2 *4093:7 *29871:A 18.3179 -3 *4093:7 *4093:17 7.41071 -4 *4093:17 *4093:20 9.55357 -5 *4093:20 *27688:B2 33.1036 -6 *4093:20 *27349:B2 11.5009 -7 *4093:17 *25337:B2 22.8536 -*END - -*D_NET *4094 0.0230724 -*CONN -*I *29124:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27706:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25255:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27359:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28508:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *29124:A 0.000193907 -2 *27706:B2 0 -3 *25255:A1 0.000244717 -4 *27359:A1 0.000278723 -5 *28508:Q 0.000444921 -6 *4094:20 0.00189376 -7 *4094:19 0.00161504 -8 *4094:17 0.00110902 -9 *4094:12 0.00135062 -10 *4094:10 0.00112514 -11 *25255:A1 *5587:102 5.1588e-05 -12 *25255:A1 *5702:29 1.11775e-05 -13 *27359:A1 *5809:13 0.000295873 -14 *29124:A *5863:66 0.000139337 -15 *4094:10 *28508:D 0.000133425 -16 *4094:10 *28508:RESET_B 0.000132716 -17 *4094:17 *5628:216 3.25078e-05 -18 *4094:17 *5647:125 1.11048e-05 -19 *4094:17 *5647:133 0.000756435 -20 *4094:20 *28629:RESET_B 0.000152415 -21 *4094:20 *4120:30 0.000507042 -22 *4094:20 *5582:89 0.00153962 -23 *4094:20 *5587:102 1.5929e-05 -24 *4094:20 *5587:130 2.06178e-05 -25 *4094:20 *5607:71 8.50218e-05 -26 *4094:20 *5647:125 0.00148804 -27 *4094:20 *5663:155 0.000154304 -28 *4094:20 *5702:29 4.86866e-05 -29 *4094:20 *5702:38 0.000113064 -30 *27359:B2 *27359:A1 1.28809e-05 -31 *27697:A1 *4094:20 0.000368992 -32 *27702:A1 *4094:20 0.000395945 -33 *29277:A *4094:17 0.000206641 -34 *29286:A *4094:12 0.000120708 -35 *30386:A *4094:20 6.43424e-05 -36 *1260:91 *27359:A1 0.000183513 -37 *1265:128 *27359:A1 0.000385095 -38 *1574:6 *25255:A1 1.89507e-06 -39 *2763:196 *4094:12 0.000248589 -40 *2763:196 *4094:17 2.00751e-05 -41 *2773:118 *4094:20 0.000150394 -42 *2848:282 *4094:20 2.21972e-05 -43 *2855:198 *27359:A1 0.000187316 -44 *2855:219 *27359:A1 2.59355e-05 -45 *3704:10 *29124:A 0.000251323 -46 *3704:10 *4094:12 0.00106253 -47 *3704:10 *4094:17 5.83304e-05 -48 *3704:14 *4094:17 0.00203549 -49 *3704:14 *4094:20 2.37632e-05 -50 *3808:13 *25255:A1 1.89513e-07 -51 *3808:17 *25255:A1 0.000136475 -52 *3821:17 *4094:20 4.3649e-05 -53 *3821:19 *4094:20 0.000264824 -54 *3847:87 *4094:20 0.000168461 -55 *3899:24 *25255:A1 2.83284e-06 -56 *3924:38 *4094:12 0 -57 *3977:8 *4094:17 0.000707844 -58 *3977:15 *4094:17 0.000243389 -59 *3977:15 *4094:20 0.00173397 -*RES -1 *28508:Q *4094:10 19.6661 -2 *4094:10 *4094:12 13.9375 -3 *4094:12 *4094:17 32.8929 -4 *4094:17 *4094:19 4.5 -5 *4094:19 *4094:20 52.6429 -6 *4094:20 *27359:A1 21.4607 -7 *4094:17 *25255:A1 21.5143 -8 *4094:12 *27706:B2 13.8 -9 *4094:10 *29124:A 18.4696 -*END - -*D_NET *4095 0.0583883 -*CONN -*I *29997:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27730:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25201:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27380:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *24853:A I *D sky130_fd_sc_hd__clkinv_2 -*I *28509:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29997:A 0 -2 *27730:B2 0.000146751 -3 *25201:A1 0.000116242 -4 *27380:A1 0.000429626 -5 *24853:A 0.00227769 -6 *28509:Q 0.000824643 -7 *4095:83 0.00492549 -8 *4095:79 0.00333787 -9 *4095:67 0.00370493 -10 *4095:57 0.00395876 -11 *4095:46 0.00239423 -12 *4095:33 0.0043931 -13 *4095:11 0.00416654 -14 *24853:A *28609:D 6.96499e-06 -15 *24853:A *30835:A 3.69047e-06 -16 *24853:A *4147:97 0.000248778 -17 *24853:A *5461:28 0.000244721 -18 *24853:A *5676:125 4.00679e-05 -19 *25201:A1 *25201:B2 0.000135768 -20 *25201:A1 *27144:B 2.42516e-05 -21 *27380:A1 *5926:72 2.11419e-05 -22 *27730:B2 *27730:B1 5.80335e-06 -23 *27730:B2 *5628:202 3.82227e-06 -24 *4095:11 *25381:A2 0.000198698 -25 *4095:11 *26923:A1 0.000107637 -26 *4095:11 *26977:A0 6.26276e-05 -27 *4095:11 *28197:D 0.000200406 -28 *4095:11 *5696:39 1.33343e-05 -29 *4095:33 *26923:A0 0.00054697 -30 *4095:33 *27787:B1 7.48301e-06 -31 *4095:33 *4136:16 0.000132548 -32 *4095:33 *4147:31 0 -33 *4095:33 *4147:46 4.65519e-05 -34 *4095:33 *5663:222 8.55871e-05 -35 *4095:33 *5682:84 4.65519e-05 -36 *4095:46 *4204:12 0.00110974 -37 *4095:46 *5777:208 4.58194e-05 -38 *4095:57 *25190:A1 0.000276592 -39 *4095:57 *27727:B1 0.000665141 -40 *4095:57 *31010:A 0.000639964 -41 *4095:57 *4107:26 0.000190426 -42 *4095:57 *4204:12 0.000285259 -43 *4095:57 *5628:185 0.000789204 -44 *4095:57 *5642:141 0.000535069 -45 *4095:57 *5692:41 0.00010289 -46 *4095:67 *4108:49 7.41094e-05 -47 *4095:67 *4108:98 0.000167762 -48 *4095:67 *5630:246 0.00225196 -49 *4095:67 *5647:82 0 -50 *4095:67 *5649:128 0.000458267 -51 *4095:67 *5649:273 0.000114652 -52 *4095:67 *5781:42 5.48724e-05 -53 *4095:79 *4108:49 0.000788065 -54 *4095:79 *5644:71 4.26825e-05 -55 *4095:83 *26998:A0 4.02038e-05 -56 *4095:83 *28655:D 2.59355e-05 -57 *6402:DIODE *27730:B2 0.000184521 -58 *25197:C *4095:67 0.000117829 -59 *25201:B1 *25201:A1 5.33005e-05 -60 *25201:C1 *25201:A1 5.33005e-05 -61 *25206:B *25201:A1 0.000236084 -62 *25631:S *4095:33 2.83129e-05 -63 *26998:S *24853:A 8.03612e-05 -64 *26998:S *4095:83 0.000778918 -65 *27114:S *4095:33 0.000743271 -66 *27737:B2 *4095:57 7.02772e-05 -67 *27742:B1 *4095:33 1.37252e-05 -68 *27787:A1 *4095:33 0.000777491 -69 *27788:C1 *4095:33 0.000322523 -70 *28577:CLK *24853:A 0.000153193 -71 *29087:A *4095:33 0.000228434 -72 *29090:A *4095:33 0.000136682 -73 *29092:A *4095:33 0.000175892 -74 *29998:A *4095:11 5.33005e-05 -75 *30229:A *4095:83 9.41642e-05 -76 *244:72 *4095:11 6.32942e-05 -77 *244:78 *4095:11 5.56564e-05 -78 *244:84 *4095:11 5.21937e-05 -79 *244:90 *4095:11 4.00679e-05 -80 *1270:66 *4095:79 0.000481949 -81 *1271:211 *4095:57 0.000182644 -82 *1287:210 *27380:A1 0.000131665 -83 *1287:210 *4095:67 7.16243e-05 -84 *1287:210 *4095:79 4.38108e-05 -85 *1393:11 *25201:A1 6.8445e-06 -86 *1510:27 *4095:67 7.42422e-05 -87 *1697:44 *4095:33 0.00046787 -88 *2763:169 *4095:33 2.28598e-05 -89 *2763:185 *4095:33 0.000539212 -90 *2769:167 *4095:11 0.00182227 -91 *2769:167 *4095:33 0.000517363 -92 *2786:55 *4095:57 5.51124e-05 -93 *2786:83 *4095:79 4.26825e-05 -94 *2788:76 *4095:46 4.41121e-05 -95 *2788:93 *4095:33 6.98512e-05 -96 *2791:45 *4095:33 0.000135028 -97 *2791:163 *27730:B2 6.43196e-05 -98 *2791:163 *4095:33 0.0010375 -99 *2844:386 *4095:79 3.69047e-06 -100 *2845:247 *4095:67 5.83068e-05 -101 *2845:286 *4095:67 0.000492237 -102 *2848:242 *4095:67 0 -103 *2859:260 *4095:67 4.5365e-05 -104 *2859:283 *4095:67 5.14448e-05 -105 *2860:242 *4095:67 6.14836e-06 -106 *2864:293 *4095:57 0.000260567 -107 *2867:249 *4095:67 9.36866e-05 -108 *2874:336 *27380:A1 0.000262992 -109 *3165:99 *4095:46 0.000115541 -110 *3281:8 *4095:46 0.00119153 -111 *3320:18 *4095:33 0.000134263 -112 *3618:84 *24853:A 0.000313982 -113 *3694:41 *4095:33 0.000130631 -114 *3694:63 *4095:33 0.000325196 -115 *3722:7 *24853:A 0.000175892 -116 *3774:5 *24853:A 9.75679e-05 -117 *3796:118 *4095:46 2.63501e-05 -118 *3835:36 *4095:46 0.00230368 -119 *3835:36 *4095:57 0.00028202 -120 *3913:36 *4095:67 0.00014833 -121 *3978:33 *27730:B2 6.05161e-06 -122 *3991:38 *27380:A1 2.11419e-05 -123 *4030:47 *4095:67 0 -*RES -1 *28509:Q *4095:11 39.9964 -2 *4095:11 *4095:33 48.2181 -3 *4095:33 *4095:46 47.2343 -4 *4095:46 *4095:57 47.2003 -5 *4095:57 *4095:67 14.6001 -6 *4095:67 *4095:79 15.8602 -7 *4095:79 *4095:83 35.2589 -8 *4095:83 *24853:A 47.3804 -9 *4095:67 *27380:A1 18.2247 -10 *4095:46 *25201:A1 17.3536 -11 *4095:33 *27730:B2 16.8223 -12 *4095:11 *29997:A 9.3 -*END - -*D_NET *4096 0.0231036 -*CONN -*I *30199:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27763:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25147:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27399:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28510:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *30199:A 0 -2 *27763:B2 6.48537e-05 -3 *25147:B2 0.000954102 -4 *27399:A1 0.00015398 -5 *28510:Q 0.000325848 -6 *4096:48 0.00173114 -7 *4096:37 0.000948901 -8 *4096:32 0.00242642 -9 *4096:25 0.00348931 -10 *4096:11 0.00164972 -11 *25147:B2 *5587:44 9.25014e-06 -12 *25147:B2 *5587:65 6.5396e-05 -13 *27399:A1 *27286:B1 1.21258e-05 -14 *27399:A1 *5662:144 7.37944e-05 -15 *27763:B2 *6485:DIODE 3.3254e-05 -16 *4096:11 *26924:A1 0.000139202 -17 *4096:11 *28510:D 0.000141863 -18 *4096:11 *4109:20 3.99291e-05 -19 *4096:25 *26924:A1 0.000185124 -20 *4096:25 *27659:A2 0 -21 *4096:25 *27760:A1 0 -22 *4096:25 *28510:D 0 -23 *4096:25 *4109:20 0 -24 *4096:32 *26888:A0 0.000497655 -25 *4096:32 *26888:A1 0.00012415 -26 *4096:32 *26906:A1 0.000124534 -27 *4096:32 *5613:46 0 -28 *4096:32 *5630:292 0 -29 *4096:32 *5643:250 0 -30 *4096:32 *5689:104 0 -31 *4096:32 *5689:106 0 -32 *4096:32 *5689:117 4.04873e-05 -33 *4096:32 *5891:76 0 -34 *4096:48 *6485:DIODE 4.58194e-05 -35 *4096:48 *25366:A2 6.05161e-06 -36 *4096:48 *5627:139 0.000122875 -37 *4096:48 *5891:76 0.000146829 -38 *27400:A2 *25147:B2 0.000118736 -39 *27400:B1 *25147:B2 7.45939e-06 -40 *27410:B2 *25147:B2 1.59373e-05 -41 *27410:C1 *25147:B2 0.000713363 -42 *27760:B2 *4096:25 0 -43 *27761:C1 *4096:25 0 -44 *27763:C1 *27763:B2 2.31655e-05 -45 *27763:C1 *4096:48 3.19935e-05 -46 *27766:C *27763:B2 3.63281e-05 -47 *27766:C *4096:32 0.000355069 -48 *27766:C *4096:37 1.4396e-05 -49 *30293:A *4096:32 0.000410515 -50 *1261:199 *4096:48 0.000127758 -51 *1292:81 *25147:B2 1.31511e-05 -52 *1465:14 *25147:B2 0.000216755 -53 *2763:219 *4096:11 6.77246e-05 -54 *2763:219 *4096:25 9.94037e-05 -55 *2874:305 *27399:A1 0.00017121 -56 *2874:305 *4096:48 0.000672115 -57 *2892:305 *25147:B2 0.00068648 -58 *2964:15 *4096:32 0 -59 *3578:7 *4096:25 2.79421e-05 -60 *3578:8 *4096:25 0.00181766 -61 *3578:27 *4096:25 0.00014784 -62 *3578:66 *4096:25 8.15095e-05 -63 *3578:66 *4096:32 0.000858593 -64 *3578:75 *4096:32 0.000570431 -65 *3686:67 *4096:37 0.000178425 -66 *3686:67 *4096:48 0.000140088 -67 *3784:9 *4096:32 1.01912e-05 -68 *3803:20 *4096:32 0.000212742 -69 *3803:40 *4096:32 0.000304015 -70 *3810:26 *27763:B2 1.62222e-05 -71 *3810:26 *4096:32 3.55554e-05 -72 *3810:26 *4096:37 0.00019384 -73 *3810:47 *25147:B2 3.04394e-05 -74 *3907:108 *4096:11 5.97381e-05 -75 *3959:45 *4096:48 4.20026e-05 -76 *4070:12 *4096:32 0 -77 *4088:26 *27399:A1 0.000172932 -78 *4088:26 *4096:48 0.000869289 -*RES -1 *28510:Q *4096:11 24.1929 -2 *4096:11 *4096:25 44.3214 -3 *4096:25 *4096:32 47.0089 -4 *4096:32 *4096:37 9.27679 -5 *4096:37 *4096:48 31.0536 -6 *4096:48 *27399:A1 17.425 -7 *4096:48 *25147:B2 39.8402 -8 *4096:37 *27763:B2 20.2732 -9 *4096:11 *30199:A 9.3 -*END - -*D_NET *4097 0.00979066 -*CONN -*I *27786:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30072:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25042:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27433:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28511:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27786:B2 0.000121754 -2 *30072:A 0 -3 *25042:B2 0.000925931 -4 *27433:A1 0.00053289 -5 *28511:Q 0.00103821 -6 *4097:35 0.000971663 -7 *4097:13 0.000852204 -8 *4097:11 0.00143355 -9 *25042:B2 *5810:47 0.000676812 -10 *27433:A1 *5628:121 4.08824e-05 -11 *27433:A1 *5639:165 0.000110718 -12 *27433:A1 *5863:143 0.000429543 -13 *27786:B2 *27786:B1 1.36772e-05 -14 *27786:B2 *28511:D 8.60436e-05 -15 *27786:B2 *6005:32 0 -16 *4097:11 *28191:D 2.89016e-05 -17 *4097:11 *28511:D 0.000147537 -18 *4097:11 *4124:43 2.59355e-05 -19 *4097:11 *4357:21 0.000178847 -20 *4097:11 *5824:286 5.05056e-05 -21 *4097:11 *5847:43 4.79506e-05 -22 *4097:13 *5587:169 5.20359e-06 -23 *25042:A2 *25042:B2 0.000308595 -24 *25042:A2 *27786:B2 2.30116e-06 -25 *25042:A2 *4097:13 4.85033e-05 -26 *27430:A1 *25042:B2 5.52302e-05 -27 *27786:A1 *27786:B2 2.95642e-05 -28 *28529:CLK *27786:B2 1.65953e-05 -29 *844:19 *27786:B2 9.69455e-05 -30 *844:19 *4097:11 0.000725467 -31 *844:19 *4097:13 5.33005e-05 -32 *1260:135 *27433:A1 1.32879e-05 -33 *1304:6 *25042:B2 0.000248606 -34 *1304:15 *27433:A1 3.09417e-05 -35 *1364:19 *25042:B2 3.39032e-05 -36 *1853:94 *4097:11 0.000105471 -37 *2872:376 *25042:B2 0 -38 *3333:17 *27433:A1 3.58408e-05 -39 *3378:24 *25042:B2 0.000250185 -40 *3889:31 *27433:A1 1.71655e-05 -*RES -1 *28511:Q *4097:11 34.8893 -2 *4097:11 *4097:13 3 -3 *4097:13 *27433:A1 32.2498 -4 *4097:13 *4097:35 0.732143 -5 *4097:35 *25042:B2 33.9786 -6 *4097:35 *30072:A 9.3 -7 *4097:11 *27786:B2 20.3759 -*END - -*D_NET *4098 0.0263011 -*CONN -*I *30397:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25027:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27806:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27455:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28512:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *30397:A 0.000195793 -2 *25027:A1 0.00010248 -3 *27806:B2 8.22926e-06 -4 *27455:A1 0.000348212 -5 *28512:Q 0.000486682 -6 *4098:42 0.00180171 -7 *4098:41 0.00179348 -8 *4098:39 0.000827479 -9 *4098:13 0.0015331 -10 *4098:5 0.00114236 -11 *25027:A1 *25027:B2 4.08637e-05 -12 *27455:A1 *27455:B1 3.47783e-05 -13 *27455:A1 *4186:34 0.000213932 -14 *27455:A1 *4186:46 0.000281006 -15 *30397:A *26926:A1 0.000105471 -16 *30397:A *28512:RESET_B 4.00349e-05 -17 *30397:A *5679:92 0.000219711 -18 *4098:5 *28512:D 0.000296017 -19 *4098:13 *28512:D 5.03772e-05 -20 *4098:13 *4186:46 0.000127194 -21 *4098:13 *5386:12 0.000156995 -22 *4098:13 *5829:126 0.000193125 -23 *4098:39 *25027:B2 9.60875e-05 -24 *4098:39 *25029:A2 0.000415469 -25 *4098:39 *5386:12 0.000366511 -26 *4098:42 *24980:A2 0.000220092 -27 *4098:42 *24980:B2 0.000471415 -28 *4098:42 *27806:B1 0.00117153 -29 *4098:42 *29846:A 0.000435505 -30 *4098:42 *5386:12 0.000318272 -31 *4098:42 *5536:31 0.000138847 -32 *4098:42 *5628:20 4.29477e-05 -33 *4098:42 *5628:45 7.86136e-05 -34 *4098:42 *5662:33 0.000220437 -35 *24980:A1 *4098:42 9.15621e-06 -36 *24981:A1 *4098:42 0.00066784 -37 *24981:B1 *4098:42 0.00209934 -38 *24981:B2 *4098:42 0.00014712 -39 *24981:C1 *4098:42 0.00106225 -40 *25027:A2 *25027:A1 5.49489e-05 -41 *27806:A1 *27806:B2 3.03567e-05 -42 *27812:A1 *4098:39 1.07719e-05 -43 *30482:A *4098:42 9.62287e-05 -44 *244:65 *30397:A 9.25787e-05 -45 *1268:96 *4098:13 0.000665016 -46 *1270:94 *4098:13 0.000250397 -47 *1270:94 *4098:39 0.00169127 -48 *1272:168 *4098:39 0.000506969 -49 *1272:168 *4098:42 0.00083645 -50 *1307:23 *4098:42 0.000976943 -51 *1328:198 *25027:A1 1.85689e-05 -52 *2773:16 *4098:42 0.0015769 -53 *2776:139 *30397:A 0.00023507 -54 *2781:38 *4098:42 1.94879e-05 -55 *3352:10 *27806:B2 1.38323e-05 -56 *3630:25 *4098:13 6.13757e-06 -57 *3735:34 *4098:42 0.000190155 -58 *3748:37 *4098:39 0.000580031 -59 *3890:68 *27455:A1 0.000341597 -60 *4046:26 *4098:39 0.000146945 -*RES -1 *28512:Q *4098:5 15.175 -2 *4098:5 *4098:13 20.75 -3 *4098:13 *27455:A1 17.2821 -4 *4098:13 *4098:39 44.1429 -5 *4098:39 *4098:41 4.5 -6 *4098:41 *4098:42 71.1607 -7 *4098:42 *27806:B2 14.0857 -8 *4098:39 *25027:A1 11.0679 -9 *4098:5 *30397:A 23.6393 -*END - -*D_NET *4099 0.00970137 -*CONN -*I *30063:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27837:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27470:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *24993:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28513:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30063:A 0.000266035 -2 *27837:B2 2.09391e-05 -3 *27470:A1 0.000347626 -4 *24993:A1 0.000391273 -5 *28513:Q 0.000183216 -6 *4099:24 0.00125174 -7 *4099:8 0.00148123 -8 *4099:7 0.000656032 -9 *24993:A1 *4124:93 0.000819252 -10 *24993:A1 *5602:100 0.000128055 -11 *27470:A1 *27470:A2 1.55638e-05 -12 *27470:A1 *5656:261 8.6229e-06 -13 *27470:A1 *5656:275 2.16118e-05 -14 *27470:A1 *5872:26 0.000414003 -15 *27470:A1 *5892:40 0.000354047 -16 *27837:B2 *27837:B1 3.57366e-05 -17 *30063:A *26927:A1 0.000150632 -18 *30063:A *28513:D 0.000376474 -19 *30063:A *5601:83 0.000150625 -20 *30063:A *5676:137 6.43196e-05 -21 *4099:7 *28513:RESET_B 6.26774e-05 -22 *4099:8 *28513:D 9.25014e-06 -23 *4099:8 *4124:93 0.000238914 -24 *4099:8 *5601:83 0.000311028 -25 *4099:24 *27837:B1 3.63587e-05 -26 *24994:C1 *24993:A1 4.00679e-05 -27 *27837:A2 *4099:24 3.23557e-06 -28 *28545:CLK *4099:24 2.59355e-05 -29 *30057:A *4099:7 0.000343572 -30 *1274:170 *24993:A1 0.000530221 -31 *1275:139 *24993:A1 2.89114e-05 -32 *1275:139 *4099:24 5.12994e-05 -33 *2763:58 *4099:8 3.61189e-05 -34 *2767:20 *24993:A1 0.000143044 -35 *2767:20 *30063:A 4.40705e-05 -36 *2767:20 *4099:8 9.3231e-05 -37 *2767:34 *24993:A1 1.31516e-05 -38 *3028:21 *27470:A1 0.000140714 -39 *3580:57 *4099:7 0.000109494 -40 *3722:23 *27470:A1 8.93498e-05 -41 *3956:37 *27470:A1 7.55468e-05 -42 *3956:37 *27837:B2 2.84269e-05 -43 *3956:37 *4099:24 5.74499e-06 -44 *4073:22 *4099:8 0.000103981 -*RES -1 *28513:Q *4099:7 18.4429 -2 *4099:7 *4099:8 6.5 -3 *4099:8 *24993:A1 26.8536 -4 *4099:8 *4099:24 13.9821 -5 *4099:24 *27470:A1 27.425 -6 *4099:24 *27837:B2 10.0143 -7 *4099:7 *30063:A 20.9607 -*END - -*D_NET *4100 0.0348033 -*CONN -*I *29345:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25361:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *27500:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27862:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28245:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29345:A 3.08471e-05 -2 *25361:B2 0.00031651 -3 *27500:A1 4.77933e-05 -4 *27862:B2 0.000707641 -5 *28245:Q 0.000108263 -6 *4100:26 0.00148712 -7 *4100:22 0.00411632 -8 *4100:21 0.00404882 -9 *4100:14 0.00156345 -10 *4100:5 0.000721869 -11 *25361:B2 *4152:60 0.000107578 -12 *25361:B2 *5849:11 0.00026353 -13 *27862:B2 *5661:70 0.000192009 -14 *27862:B2 *5892:145 0 -15 *4100:14 *5215:12 0.000935106 -16 *4100:14 *6356:41 0.000538964 -17 *4100:22 *27546:B1 6.27154e-05 -18 *4100:22 *27561:A1 8.75163e-05 -19 *4100:22 *4104:35 6.42978e-05 -20 *4100:22 *4113:104 0.000157193 -21 *4100:22 *5215:12 0.000641958 -22 *4100:22 *5651:176 0.000159071 -23 *4100:22 *5685:333 0.000249737 -24 *25361:B1 *25361:B2 9.54798e-06 -25 *25873:S *4100:22 5.31113e-05 -26 *27488:C1 *4100:26 6.42145e-05 -27 *27495:B2 *27862:B2 0.000113957 -28 *27500:B1 *27500:A1 4.58652e-05 -29 *27500:B2 *27500:A1 5.02944e-05 -30 *27500:C1 *27862:B2 0.000107222 -31 *27529:B2 *4100:22 0.000145119 -32 *27561:A2 *4100:22 0.000991551 -33 *27563:B1 *4100:22 2.86905e-05 -34 *27564:C1 *4100:22 0.00104757 -35 *27585:A2 *4100:22 5.31552e-05 -36 *27590:C1 *4100:22 7.40526e-05 -37 *27861:B1 *27862:B2 0.000213669 -38 *27862:B1 *27862:B2 4.17185e-05 -39 *1448:46 *4100:14 0.000441973 -40 *1471:95 *27862:B2 9.93003e-05 -41 *1476:21 *4100:22 4.53834e-05 -42 *1619:25 *27862:B2 1.60089e-05 -43 *1677:8 *4100:14 9.84565e-05 -44 *2844:98 *4100:22 0.00101423 -45 *2850:121 *4100:22 0 -46 *2859:73 *27862:B2 2.83202e-05 -47 *2860:132 *4100:22 0.00273829 -48 *2860:132 *4100:26 0.000104877 -49 *2865:144 *4100:22 1.20379e-05 -50 *2871:105 *4100:26 8.41284e-06 -51 *2889:281 *4100:22 0.00259843 -52 *2892:94 *4100:22 0 -53 *2895:134 *4100:22 0.000166973 -54 *2895:171 *4100:22 0.00097454 -55 *2895:172 *4100:22 0.000503917 -56 *2895:180 *4100:14 0.000222742 -57 *2895:180 *4100:21 0.000961187 -58 *3045:10 *27862:B2 5.41557e-05 -59 *3045:10 *4100:26 0.000359901 -60 *3085:12 *27862:B2 0.000114478 -61 *3085:12 *4100:22 0.000569288 -62 *3085:12 *4100:26 0.00140818 -63 *3216:99 *27862:B2 0.000163724 -64 *3450:23 *4100:22 0.000172738 -65 *3689:11 *4100:14 2.24813e-05 -66 *3698:78 *4100:14 0.000309818 -67 *3723:80 *4100:22 0.000186376 -68 *3740:31 *29345:A 1.58163e-05 -69 *3740:31 *4100:5 5.75147e-05 -70 *3793:40 *4100:22 3.87487e-05 -71 *3802:58 *4100:22 4.33882e-05 -72 *3814:63 *4100:26 0 -73 *3871:94 *4100:21 1.00073e-05 -74 *3897:32 *4100:22 5.19522e-06 -75 *3897:36 *4100:22 0.000251286 -76 *3945:34 *4100:26 4.53834e-05 -77 *3948:24 *25361:B2 0.000136024 -78 *3957:22 *4100:21 2.4227e-05 -79 *3970:40 *27862:B2 0.000238286 -80 *3971:23 *4100:26 8.77986e-05 -81 *4091:20 *25361:B2 1.50132e-05 -82 *4091:20 *4100:21 0.000426017 -83 *4092:35 *4100:14 0.000100287 -84 *4092:35 *4100:21 0.000247105 -85 *4092:45 *4100:22 1.69265e-05 -*RES -1 *28245:Q *4100:5 11.0679 -2 *4100:5 *4100:14 27.228 -3 *4100:14 *4100:21 24.2679 -4 *4100:21 *4100:22 104.17 -5 *4100:22 *4100:26 19.2589 -6 *4100:26 *27862:B2 25.7161 -7 *4100:26 *27500:A1 15.3313 -8 *4100:14 *25361:B2 28.8536 -9 *4100:5 *29345:A 9.83571 -*END - -*D_NET *4101 0.0450464 -*CONN -*I *25328:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *29851:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27510:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27887:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28246:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25328:B2 0.000294467 -2 *29851:A 0 -3 *27510:A1 7.30079e-05 -4 *27887:B2 0.000447544 -5 *28246:Q 0.000146432 -6 *4101:32 0.000937657 -7 *4101:28 0.00409922 -8 *4101:26 0.00371781 -9 *4101:24 0.00174916 -10 *4101:22 0.00294185 -11 *4101:8 0.00131392 -12 *4101:5 0.000526431 -13 *25328:B2 *5761:179 0.000227539 -14 *25328:B2 *5761:192 6.41398e-05 -15 *27887:B2 *5634:71 0.000160345 -16 *4101:5 *5761:179 0.000263524 -17 *4101:8 *5250:8 5.94737e-05 -18 *4101:8 *6356:24 5.53406e-05 -19 *4101:22 *24889:A1 0.000158861 -20 *4101:22 *25784:A0 7.5779e-05 -21 *4101:22 *25786:A0 1.21258e-05 -22 *4101:22 *4350:8 0.00079824 -23 *4101:22 *5215:12 0.000150611 -24 *4101:22 *5250:8 0.000233275 -25 *4101:22 *6356:24 7.10501e-05 -26 *4101:22 *6356:41 0 -27 *4101:24 *24889:A1 0.00183873 -28 *4101:24 *4113:104 0 -29 *4101:24 *4113:116 7.72393e-05 -30 *4101:24 *4350:48 0.000297117 -31 *4101:24 *5653:104 4.11218e-05 -32 *4101:28 *5623:23 0.000237913 -33 *4101:28 *5651:56 0.000146316 -34 *25252:A1 *4101:22 0.000174411 -35 *25252:B2 *4101:22 2.84269e-05 -36 *25875:S *4101:28 0.000577115 -37 *27497:B1 *4101:28 0.000163916 -38 *27503:C *4101:28 0.000122812 -39 *27509:A2 *4101:28 6.90528e-05 -40 *27510:A2 *27887:B2 0.000174051 -41 *27510:B2 *27510:A1 5.41797e-06 -42 *27510:B2 *27887:B2 3.33634e-05 -43 *27510:B2 *4101:32 5.41797e-05 -44 *27510:C1 *4101:32 1.9643e-05 -45 *27538:B1 *4101:32 0.000335091 -46 *27542:A2 *4101:28 0.00051125 -47 *27545:B1 *4101:24 0.0007258 -48 *27546:A2 *4101:24 7.69608e-05 -49 *27555:A1 *4101:28 0.000427403 -50 *27585:A1 *4101:24 0.000874113 -51 *27585:A1 *4101:28 4.46618e-05 -52 *27591:C *4101:24 0.000129868 -53 *27858:B2 *4101:28 4.27842e-06 -54 *27858:B2 *4101:32 5.42384e-07 -55 *27887:B1 *27887:B2 4.83108e-05 -56 *27910:B2 *4101:24 4.32309e-05 -57 *29784:A *4101:22 0.000389868 -58 *1422:52 *4101:24 0.000428137 -59 *1422:100 *4101:22 0.000216755 -60 *1473:56 *4101:22 0.000216304 -61 *1887:70 *4101:28 0.000126716 -62 *2850:121 *4101:24 5.00087e-06 -63 *2860:92 *4101:32 6.40128e-05 -64 *2864:58 *4101:28 0.000452617 -65 *2864:58 *4101:32 0.000686123 -66 *2865:67 *27887:B2 0.000653258 -67 *2866:133 *4101:24 0.000110639 -68 *2866:149 *4101:24 8.48485e-05 -69 *2866:149 *4101:28 0.000125685 -70 *2866:167 *4101:28 0.000605504 -71 *2875:100 *4101:28 0.00192232 -72 *2875:119 *4101:24 0.00138468 -73 *2875:119 *4101:28 0.000743044 -74 *2875:134 *4101:24 6.13706e-05 -75 *2878:120 *4101:24 1.12197e-05 -76 *2882:64 *4101:28 0.000198691 -77 *2883:58 *4101:28 0.000994164 -78 *2883:71 *4101:28 0.000980279 -79 *2883:89 *4101:28 0.00129107 -80 *2892:40 *27887:B2 0.000716006 -81 *2892:81 *4101:28 0.000656325 -82 *2894:138 *4101:24 0.00019009 -83 *2895:97 *4101:28 6.91635e-06 -84 *3060:9 *4101:28 0.000613664 -85 *3065:10 *4101:28 0.000669676 -86 *3115:19 *4101:24 0.00014104 -87 *3156:73 *4101:28 8.68082e-05 -88 *3184:137 *4101:28 8.60828e-05 -89 *3775:55 *4101:28 4.33553e-05 -90 *3801:56 *27510:A1 1.21859e-05 -91 *3801:56 *4101:32 0.000153735 -92 *3801:63 *4101:32 6.14702e-06 -93 *3830:70 *4101:28 0.00106353 -94 *3892:75 *4101:28 0.000418961 -95 *3936:51 *4101:24 6.28203e-05 -96 *3945:34 *4101:28 0.000144093 -97 *3958:16 *4101:22 0.000238943 -98 *3958:16 *4101:24 7.48679e-06 -99 *3958:16 *4101:28 0.000228651 -100 *3958:29 *4101:28 0.000615138 -101 *4090:34 *4101:22 0.00116259 -102 *4090:34 *4101:24 0.000109709 -*RES -1 *28246:Q *4101:5 11.8893 -2 *4101:5 *4101:8 6.75 -3 *4101:8 *4101:22 44.7589 -4 *4101:22 *4101:24 52.75 -5 *4101:24 *4101:26 0.535714 -6 *4101:26 *4101:28 113.054 -7 *4101:28 *4101:32 12.1964 -8 *4101:32 *27887:B2 27.3982 -9 *4101:32 *27510:A1 14.6125 -10 *4101:8 *29851:A 13.8 -11 *4101:5 *25328:B2 14.3714 -*END - -*D_NET *4102 0.0326485 -*CONN -*I *29098:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25363:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27659:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27281:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28514:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *29098:A 0 -2 *25363:A1 0.000260012 -3 *27659:B2 2.57782e-05 -4 *27281:A1 0.00166847 -5 *28514:Q 0.00016694 -6 *4102:34 0.00328881 -7 *4102:32 0.00317857 -8 *4102:12 0.00305834 -9 *4102:8 0.00143282 -10 *27281:A1 *27286:B1 9.69276e-05 -11 *27281:A1 *5613:46 0 -12 *27281:A1 *5641:123 0.000121549 -13 *27281:A1 *5891:18 0.000452327 -14 *27659:B2 *5716:50 2.57194e-05 -15 *4102:8 *5792:26 1.02504e-05 -16 *4102:8 *5879:188 9.90367e-05 -17 *4102:8 *6280:6 0 -18 *4102:12 *26947:A0 6.53688e-05 -19 *4102:12 *28562:SET_B 0.000566622 -20 *4102:12 *28747:RESET_B 0.000169233 -21 *4102:12 *4133:9 0.000181378 -22 *4102:12 *4350:116 2.34204e-05 -23 *4102:12 *5704:6 0 -24 *4102:12 *5792:26 0.00286378 -25 *4102:12 *5879:188 0 -26 *4102:12 *6280:6 0 -27 *4102:32 *28743:D 5.33005e-05 -28 *4102:32 *4128:10 2.11419e-05 -29 *4102:32 *4133:12 1.94945e-05 -30 *4102:32 *4135:18 2.09826e-05 -31 *4102:32 *4141:30 0.000141375 -32 *4102:32 *4350:116 0.00181991 -33 *4102:32 *5729:176 0.00105995 -34 *4102:32 *5778:149 0.000161433 -35 *4102:32 *5833:191 1.94879e-05 -36 *4102:34 *25902:A0 0.000143188 -37 *4102:34 *4141:30 0.0042911 -38 *4102:34 *5709:38 0.00151204 -39 *25363:B2 *25363:A1 4.59033e-05 -40 *27281:A2 *27281:A1 0.000246063 -41 *27281:B1 *27281:A1 4.44192e-05 -42 *27286:C1 *27281:A1 0.000135028 -43 *27655:B2 *4102:34 0 -44 *27668:C *4102:34 0 -45 *1235:165 *4102:34 0 -46 *1719:12 *27281:A1 0.000289865 -47 *1862:82 *4102:8 0 -48 *1862:93 *4102:8 3.67142e-05 -49 *2763:219 *25363:A1 4.85033e-05 -50 *2763:219 *4102:32 0.000134084 -51 *2770:142 *4102:12 1.65558e-05 -52 *2775:129 *4102:34 0.000569399 -53 *3389:20 *27281:A1 0.0026094 -54 *3413:16 *27281:A1 0.000182644 -55 *3699:21 *27659:B2 1.56845e-05 -56 *3771:22 *27281:A1 0.000122732 -57 *4011:23 *4102:32 0.000148911 -58 *4011:23 *4102:34 0.000629804 -59 *4024:23 *4102:32 0.000262498 -60 *4088:15 *27281:A1 9.15346e-05 -*RES -1 *28514:Q *4102:8 16.9964 -2 *4102:8 *4102:12 41.3571 -3 *4102:12 *4102:32 48.3064 -4 *4102:32 *4102:34 55.2232 -5 *4102:34 *27281:A1 36.043 -6 *4102:32 *27659:B2 14.3313 -7 *4102:12 *25363:A1 13.2821 -8 *4102:8 *29098:A 13.8 -*END - -*D_NET *4103 0.0484306 -*CONN -*I *25254:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27908:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30354:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27535:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28260:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *25254:A1 0.000731551 -2 *27908:B2 5.78357e-06 -3 *30354:A 1.71076e-05 -4 *27535:A1 0.000572575 -5 *28260:Q 1.45667e-05 -6 *4103:68 0.00410772 -7 *4103:44 0.00370735 -8 *4103:40 0.00212497 -9 *4103:24 0.00222751 -10 *4103:18 0.00354986 -11 *4103:8 0.00203031 -12 *4103:7 0.00193242 -13 *27908:B2 *24961:A 6.26774e-06 -14 *30354:A *4160:98 5.33005e-05 -15 *30354:A *4161:80 2.84109e-05 -16 *4103:7 *28260:D 5.33005e-05 -17 *4103:7 *5901:57 5.49489e-05 -18 *4103:8 *25801:A1 0.000138854 -19 *4103:8 *28260:D 1.41885e-05 -20 *4103:18 *25472:B1 8.79284e-05 -21 *4103:18 *27885:B2 0.000254894 -22 *4103:18 *28022:A 4.29471e-05 -23 *4103:18 *30536:A 0.000242056 -24 *4103:18 *5706:170 7.04329e-05 -25 *4103:18 *5728:172 0.00037865 -26 *4103:24 *27489:A1 7.40571e-05 -27 *4103:24 *4152:12 3.68236e-05 -28 *4103:40 *25801:A1 0.00019064 -29 *4103:40 *25845:A0 0.000333335 -30 *4103:40 *28297:RESET_B 0 -31 *4103:40 *4127:75 2.05484e-05 -32 *4103:40 *5741:131 0.000218174 -33 *4103:40 *5741:144 0.000133009 -34 *4103:40 *5741:158 1.08716e-05 -35 *4103:44 *27908:B1 0.000101341 -36 *4103:44 *28297:D 0.000285965 -37 *4103:44 *28297:RESET_B 6.0038e-05 -38 *4103:44 *5007:42 0.00016373 -39 *4103:44 *5693:16 7.07773e-05 -40 *4103:68 *25848:A1 0.00039512 -41 *4103:68 *4113:116 4.75671e-06 -42 *4103:68 *4350:65 0.000990098 -43 *4103:68 *5007:42 0.000582 -44 *4103:68 *5007:44 0.000629415 -45 *4103:68 *5634:170 0 -46 *4103:68 *5719:55 3.90809e-05 -47 *4103:68 *5797:11 0.00015501 -48 *4103:68 *5833:94 0.000108135 -49 *25204:B1 *25254:A1 8.25843e-06 -50 *25254:B1 *25254:A1 5.33005e-05 -51 *25834:A *4103:24 0.000100831 -52 *25843:S *4103:68 0.000347044 -53 *27158:S *4103:40 0.000734278 -54 *27498:B2 *27535:A1 0.000306934 -55 *27535:B1 *27535:A1 1.58163e-05 -56 *27536:C1 *27535:A1 0.000712342 -57 *27557:B2 *4103:18 0.000205313 -58 *27863:B2 *27535:A1 2.24804e-05 -59 *27865:A *4103:24 0.000495622 -60 *27871:A2 *4103:18 0.000251121 -61 *27871:B1 *4103:18 0.000172163 -62 *27908:C1 *4103:44 9.54798e-06 -63 *27908:C1 *4103:68 3.63439e-05 -64 *27911:A2 *27535:A1 0.000135028 -65 *27938:B2 *4103:18 0.00049432 -66 *27938:C1 *4103:18 1.11775e-05 -67 *28085:RESET_B *4103:18 0.000245063 -68 *30247:A *4103:44 2.40966e-05 -69 *30253:A *4103:40 0.000487627 -70 *30355:A *4103:8 6.57779e-05 -71 *30355:A *4103:18 0.000365632 -72 *30355:A *4103:40 5.33833e-05 -73 *30359:A *4103:18 0.000143486 -74 *412:14 *4103:18 0.00017641 -75 *1287:97 *27908:B2 6.26774e-06 -76 *1324:37 *4103:8 1.90936e-05 -77 *1476:75 *4103:18 9.69177e-05 -78 *1741:13 *4103:18 1.53262e-05 -79 *1763:22 *4103:18 6.90348e-06 -80 *1769:16 *4103:18 3.69697e-05 -81 *1864:59 *4103:18 1.41029e-05 -82 *1873:32 *4103:68 0 -83 *1878:8 *4103:68 0.000124887 -84 *1878:37 *4103:68 0.00022623 -85 *2789:25 *4103:24 0.000269206 -86 *2789:27 *4103:18 8.25194e-05 -87 *2789:27 *4103:24 0.000224884 -88 *2789:44 *4103:8 8.6229e-06 -89 *2789:44 *4103:18 7.17109e-05 -90 *2834:71 *4103:24 0.000170773 -91 *2834:97 *4103:24 0.00181726 -92 *2834:109 *4103:18 1.36991e-05 -93 *2834:109 *4103:24 0.0013085 -94 *2834:142 *4103:18 0.000264441 -95 *2894:87 *4103:18 0.000356745 -96 *2894:107 *4103:40 0.00079995 -97 *2894:138 *4103:40 0.000335281 -98 *3156:85 *4103:24 0.000169836 -99 *3156:124 *4103:24 0.00101352 -100 *3194:129 *4103:24 9.70328e-05 -101 *3196:119 *4103:18 0.000406801 -102 *3196:119 *4103:24 6.057e-07 -103 *3212:81 *27535:A1 0.000346268 -104 *3455:17 *4103:18 0 -105 *3594:19 *4103:24 0.000197721 -106 *3637:22 *4103:40 0.000101665 -107 *3637:26 *4103:40 0.000105024 -108 *3684:21 *4103:40 0.000411845 -109 *3697:31 *4103:44 5.62346e-05 -110 *3697:33 *4103:18 0.000166284 -111 *3697:33 *4103:40 0.000604763 -112 *3697:33 *4103:44 0 -113 *3697:57 *4103:18 0.000322834 -114 *3711:79 *4103:68 0.000157771 -115 *3739:36 *4103:40 0.000152909 -116 *3739:38 *4103:18 0.000105353 -117 *3739:48 *4103:18 1.15058e-05 -118 *3752:19 *25254:A1 0.00194678 -119 *3791:84 *27535:A1 0.00021846 -120 *3947:33 *4103:68 8.75036e-05 -121 *4064:12 *4103:18 0.000213938 -122 *4064:37 *4103:40 0.000564734 -123 *4087:22 *25254:A1 0.00194262 -124 *4092:21 *4103:68 3.40929e-05 -*RES -1 *28260:Q *4103:7 14.3357 -2 *4103:7 *4103:8 2.55357 -3 *4103:8 *4103:18 48.3036 -4 *4103:18 *4103:24 49.6607 -5 *4103:24 *27535:A1 27.8893 -6 *4103:8 *30354:A 14.3357 -7 *4103:7 *4103:40 50.8214 -8 *4103:40 *4103:44 8.76786 -9 *4103:44 *27908:B2 13.9295 -10 *4103:44 *4103:68 38.9553 -11 *4103:68 *25254:A1 40.3446 -*END - -*D_NET *4104 0.0389456 -*CONN -*I *30250:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27935:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25200:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27561:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28261:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *30250:A 0.000141317 -2 *27935:B2 0 -3 *25200:B2 0.000620706 -4 *27561:A1 0.000212051 -5 *28261:Q 5.48351e-05 -6 *4104:50 0.0039017 -7 *4104:49 0.00522116 -8 *4104:38 0.00557767 -9 *4104:35 0.00373955 -10 *4104:23 0.00120307 -11 *4104:11 0.00123423 -12 *4104:6 0.000541402 -13 *25200:B2 *25333:A1 1.65046e-05 -14 *25200:B2 *5589:31 6.20441e-06 -15 *25200:B2 *5589:147 0.000206355 -16 *25200:B2 *5630:43 7.27952e-05 -17 *25200:B2 *5630:144 0.000284401 -18 *25200:B2 *5654:65 9.17005e-05 -19 *25200:B2 *5660:137 5.49489e-05 -20 *27561:A1 *24889:A0 5.35755e-05 -21 *27561:A1 *4113:104 8.6229e-06 -22 *30250:A *28297:RESET_B 0.000148911 -23 *30250:A *4118:26 0.00012542 -24 *30250:A *5719:86 0.000353079 -25 *4104:6 *28297:RESET_B 0.0002253 -26 *4104:11 *27935:B1 3.64214e-05 -27 *4104:11 *5844:17 2.15245e-05 -28 *4104:23 *27935:B1 9.29348e-05 -29 *4104:23 *5653:104 1.89628e-05 -30 *4104:23 *5844:17 0.000660693 -31 *4104:38 *24906:A 4.20734e-05 -32 *4104:38 *5653:145 0.000119572 -33 *4104:38 *5719:76 0.000370729 -34 *4104:38 *5719:78 0.00059044 -35 *4104:38 *5836:89 0.00128934 -36 *4104:38 *5836:104 1.38323e-05 -37 *4104:38 *5845:7 0.00017422 -38 *4104:38 *5867:30 0.000176336 -39 *4104:49 *24912:B 0.000175892 -40 *4104:49 *5653:145 0.000245684 -41 *4104:49 *5816:10 0.000257619 -42 *4104:49 *5845:33 0.000301626 -43 *25191:B1 *4104:23 2.70032e-05 -44 *25200:B1 *25200:B2 1.73088e-05 -45 *27560:A2 *4104:23 4.29528e-05 -46 *27561:A2 *27561:A1 0.000103745 -47 *27565:A1 *4104:23 4.83253e-05 -48 *27565:B1 *4104:23 1.94945e-05 -49 *27565:B2 *4104:23 2.83129e-05 -50 *27686:A1 *4104:50 0.000218685 -51 *27935:A1 *4104:23 0.000223469 -52 *27935:C1 *4104:11 0.000135028 -53 *27939:B *4104:11 5.83476e-06 -54 *27939:B *4104:23 0.000265613 -55 *29791:A *4104:49 9.94194e-05 -56 *282:34 *4104:50 0.000618588 -57 *282:38 *4104:50 0.00185198 -58 *1223:15 *4104:38 0.000590215 -59 *1248:131 *4104:49 0.00016787 -60 *1265:69 *4104:50 0.000342302 -61 *1284:43 *4104:49 0.000166352 -62 *1362:15 *4104:38 0.000424879 -63 *1501:22 *25200:B2 4.00349e-05 -64 *1501:30 *25200:B2 0.000144646 -65 *1511:22 *4104:49 9.20635e-06 -66 *1511:39 *4104:50 0.00182235 -67 *1527:6 *25200:B2 1.84018e-05 -68 *2850:121 *27561:A1 4.88925e-05 -69 *2850:121 *4104:23 0.00010792 -70 *2850:121 *4104:35 6.58686e-05 -71 *2888:198 *4104:50 0.000880251 -72 *2894:138 *30250:A 0.000140368 -73 *2894:138 *4104:6 0.000227014 -74 *2932:34 *25200:B2 2.09897e-05 -75 *3115:19 *27561:A1 3.21293e-05 -76 *3236:13 *25200:B2 2.84026e-05 -77 *3886:55 *25200:B2 1.94945e-05 -78 *4065:53 *4104:23 0.00110707 -79 *4100:22 *27561:A1 8.75163e-05 -80 *4100:22 *4104:35 6.42978e-05 -*RES -1 *28261:Q *4104:6 16.6571 -2 *4104:6 *4104:11 9.57589 -3 *4104:11 *4104:23 19.1763 -4 *4104:23 *27561:A1 18.2732 -5 *4104:23 *4104:35 6.59821 -6 *4104:35 *4104:38 44.9107 -7 *4104:38 *4104:49 35.3393 -8 *4104:49 *4104:50 59.2679 -9 *4104:50 *25200:B2 30.035 -10 *4104:11 *27935:B2 9.3 -11 *4104:6 *30250:A 19.1571 -*END - -*D_NET *4105 0.031239 -*CONN -*I *25076:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27577:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27961:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *29882:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28262:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *25076:B2 0 -2 *27577:A1 0.000288359 -3 *27961:B2 0.000662295 -4 *29882:A 8.18157e-05 -5 *28262:Q 0 -6 *4105:78 0.00374153 -7 *4105:32 0.00214092 -8 *4105:23 0.00553805 -9 *4105:20 0.00296036 -10 *4105:4 0.00243593 -11 *27961:B2 *27961:B1 7.95355e-05 -12 *27961:B2 *5630:110 0.000148266 -13 *27961:B2 *5878:22 0.000101125 -14 *29882:A *5545:30 0 -15 *4105:20 *27579:A2 0.000750939 -16 *4105:20 *28297:D 5.52238e-05 -17 *4105:20 *28297:RESET_B 8.94268e-05 -18 *4105:20 *5630:71 9.75884e-05 -19 *4105:20 *5661:149 0.000847016 -20 *4105:32 *5614:76 0.000593592 -21 *4105:32 *5878:22 0.000178839 -22 *4105:32 *5909:44 1.60961e-05 -23 *4105:78 *4350:65 0 -24 *4105:78 *5196:47 0.000712619 -25 *4105:78 *5614:60 0 -26 *4105:78 *5778:99 0.000112782 -27 *4105:78 *5808:53 7.6644e-05 -28 *25077:B2 *4105:78 0.00054933 -29 *25104:B2 *4105:78 3.28681e-06 -30 *27573:A1 *4105:32 0.000305929 -31 *27577:A2 *27577:A1 5.33005e-05 -32 *27577:B1 *27577:A1 1.00733e-05 -33 *27577:B2 *27577:A1 0.000766451 -34 *27952:A1 *4105:20 1.94879e-05 -35 *27961:A1 *27961:B2 1.21371e-05 -36 *28297:CLK *4105:20 0.000228197 -37 *1362:25 *4105:78 0.000195739 -38 *1396:168 *4105:78 1.97695e-05 -39 *1423:37 *27961:B2 9.71646e-05 -40 *1423:37 *4105:78 0.000672223 -41 *1423:54 *27577:A1 5.21937e-05 -42 *1423:54 *27961:B2 0.000339346 -43 *1449:21 *4105:78 0.00113386 -44 *1563:26 *4105:78 0.000232063 -45 *1685:22 *4105:32 0.000195977 -46 *2866:217 *27577:A1 9.90007e-05 -47 *2894:138 *4105:20 0.000222515 -48 *3156:175 *27577:A1 0.000175892 -49 *3197:99 *4105:23 0.00021962 -50 *3413:41 *4105:32 4.65519e-05 -51 *3659:14 *4105:78 0.000643572 -52 *3688:17 *4105:20 0.000161922 -53 *3738:58 *4105:78 7.83659e-05 -54 *3775:30 *4105:20 2.09826e-05 -55 *3819:41 *4105:23 4.98422e-05 -56 *3819:41 *4105:32 9.23736e-05 -57 *3830:51 *29882:A 4.48206e-05 -58 *3858:28 *4105:20 0.00183112 -59 *3910:51 *27961:B2 0.00010284 -60 *3910:51 *4105:32 0.000170216 -61 *3910:73 *4105:23 5.00194e-05 -62 *3910:73 *4105:78 0 -63 *4023:10 *4105:78 0.000232063 -64 *4025:32 *4105:78 8.56454e-05 -65 *4026:26 *4105:78 8.73601e-05 -66 *4036:38 *4105:78 0.000122696 -67 *4065:53 *4105:23 0 -68 *4065:53 *4105:78 0 -69 *4092:45 *4105:23 5.68354e-05 -70 *4092:45 *4105:78 4.93039e-05 -*RES -1 *28262:Q *4105:4 9.3 -2 *4105:4 *29882:A 19.9429 -3 *4105:4 *4105:20 46.9375 -4 *4105:20 *4105:23 4.79023 -5 *4105:23 *4105:32 15.7448 -6 *4105:32 *27961:B2 24.3536 -7 *4105:32 *27577:A1 21.7464 -8 *4105:23 *4105:78 49.629 -9 *4105:78 *25076:B2 9.3 -*END - -*D_NET *4106 0.0177495 -*CONN -*I *27686:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27348:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *29864:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25288:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28515:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *27686:B2 0.000553044 -2 *27348:A1 0.000164904 -3 *29864:A 1.69531e-05 -4 *25288:A1 0.0022252 -5 *28515:Q 0 -6 *4106:37 0.00186587 -7 *4106:20 0.00143604 -8 *4106:4 0.00249637 -9 *25288:A1 *28515:D 0.00027745 -10 *25288:A1 *28515:SET_B 9.20635e-06 -11 *25288:A1 *5644:186 3.21613e-05 -12 *25288:A1 *5754:183 9.92778e-05 -13 *27686:B2 *27686:B1 3.64214e-05 -14 *27686:B2 *5630:45 0.000219733 -15 *27686:B2 *5630:52 0.000342302 -16 *27686:B2 *5652:12 0.000748276 -17 *29864:A *5604:57 5.33005e-05 -18 *4106:20 *5644:186 5.55355e-05 -19 *4106:37 *5644:186 0.000840149 -20 *4106:37 *5644:205 1.77249e-05 -21 *4106:37 *5700:50 0.000156792 -22 *25288:A2 *25288:A1 2.58896e-05 -23 *27348:B1 *27348:A1 6.05161e-06 -24 *27349:A1 *27686:B2 4.85354e-05 -25 *27349:A1 *4106:37 0.000921095 -26 *1185:88 *27348:A1 7.04851e-05 -27 *1185:88 *27686:B2 4.41588e-05 -28 *1293:220 *29864:A 5.33005e-05 -29 *1396:129 *27686:B2 0.000142531 -30 *1490:17 *27348:A1 0.000464549 -31 *1490:17 *27686:B2 0.0001269 -32 *1650:16 *4106:37 0.000190434 -33 *2768:56 *27686:B2 0.00018552 -34 *2844:246 *27348:A1 0.000175892 -35 *3205:211 *4106:20 0.000244375 -36 *3205:211 *4106:37 0.00129161 -37 *3233:26 *27686:B2 0.000282728 -38 *3236:13 *27686:B2 7.91479e-05 -39 *3756:20 *4106:20 0.000300537 -40 *3756:20 *4106:37 0.00128299 -41 *3821:19 *4106:20 2.70899e-05 -42 *3835:73 *4106:37 7.40049e-05 -43 *3872:86 *4106:37 4.25213e-05 -44 *3963:93 *27348:A1 2.24804e-05 -*RES -1 *28515:Q *4106:4 9.3 -2 *4106:4 *25288:A1 38.8446 -3 *4106:4 *4106:20 10.1339 -4 *4106:20 *29864:A 14.3357 -5 *4106:20 *4106:37 41.3932 -6 *4106:37 *27348:A1 13.9429 -7 *4106:37 *27686:B2 35.3937 -*END - -*D_NET *4107 0.0446047 -*CONN -*I *30429:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27701:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25230:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27363:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28516:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *30429:A 0 -2 *27701:B2 1.80128e-05 -3 *25230:A1 0.000328418 -4 *27363:A1 0.000275485 -5 *28516:Q 0.00153477 -6 *4107:40 0.00274858 -7 *4107:39 0.00297095 -8 *4107:32 0.00112884 -9 *4107:31 0.00140117 -10 *4107:26 0.00465621 -11 *4107:21 0.00429879 -12 *4107:17 0.00225794 -13 *25230:A1 *5589:57 5.33005e-05 -14 *4107:17 *25720:S 0.000240174 -15 *4107:17 *26898:A1 8.45805e-05 -16 *4107:17 *28487:D 0.000221815 -17 *4107:17 *28516:D 6.45628e-05 -18 *4107:17 *28516:RESET_B 0.000294194 -19 *4107:17 *4671:11 0.000135028 -20 *4107:17 *5683:266 2.74273e-05 -21 *4107:17 *5879:112 5.49489e-05 -22 *4107:17 *6244:23 0.000868413 -23 *4107:21 *6244:23 0.000218404 -24 *4107:26 *27060:A0 8.25843e-06 -25 *4107:26 *27060:A1 0.000873108 -26 *4107:26 *4147:57 3.97677e-05 -27 *4107:31 *25190:A1 3.69047e-06 -28 *4107:31 *27701:B1 0.000219711 -29 *4107:31 *5879:98 5.74499e-06 -30 *4107:39 *5824:219 2.63937e-05 -31 *4107:39 *6225:135 0.000285436 -32 *4107:40 *25322:A2 4.38611e-05 -33 *4107:40 *26966:A1 0.000148903 -34 *4107:40 *4146:16 0.000130693 -35 *4107:40 *4146:27 0.000712184 -36 *4107:40 *5600:173 0.000149428 -37 *4107:40 *5609:152 0.00091979 -38 *4107:40 *5630:43 6.54117e-05 -39 *4107:40 *5764:131 2.41224e-05 -40 *4107:40 *5764:156 0.000253426 -41 *4107:40 *6225:139 5.23496e-05 -42 *25052:A1 *4107:26 3.06878e-06 -43 *25052:A2 *4107:26 6.81509e-05 -44 *25052:B1 *4107:26 0.000135028 -45 *25190:B1 *4107:31 0.000546198 -46 *25190:C1 *4107:31 0.000226335 -47 *25318:A2 *4107:40 0.000110498 -48 *25325:A *4107:40 0.000121418 -49 *25325:C *4107:40 7.74678e-05 -50 *25721:A1 *4107:17 0.000423607 -51 *27336:A2 *4107:40 0.000115707 -52 *27363:B1 *27363:A1 4.65028e-05 -53 *27381:A2 *4107:26 1.39137e-05 -54 *27381:B1 *4107:26 0.000344835 -55 *27381:B2 *4107:26 1.31802e-07 -56 *27701:C1 *4107:32 9.90101e-05 -57 *27701:C1 *4107:39 1.44954e-05 -58 *27731:A1 *4107:26 0.000405083 -59 *27774:A1 *4107:26 5.79915e-05 -60 *27774:A2 *4107:26 0.000288267 -61 *28661:CLK *4107:39 0.000250983 -62 *29941:A *4107:21 0.000151319 -63 *1260:102 *27701:B2 4.80729e-05 -64 *1260:102 *4107:32 0.000124655 -65 *1261:41 *4107:40 1.74932e-05 -66 *1262:97 *25230:A1 0.000244658 -67 *1272:63 *4107:40 0.000471509 -68 *1272:72 *4107:40 6.33287e-05 -69 *1287:210 *4107:40 0.000439314 -70 *1638:36 *4107:40 2.06178e-05 -71 *2771:11 *4107:17 4.42631e-05 -72 *2844:220 *27363:A1 0.000102562 -73 *2844:246 *27363:A1 4.4348e-05 -74 *2850:179 *4107:40 0.000443252 -75 *2859:223 *4107:40 4.51736e-05 -76 *2864:293 *4107:26 0.000192269 -77 *2880:58 *4107:40 0.000235317 -78 *2889:92 *4107:39 2.89182e-05 -79 *2922:20 *4107:40 2.04866e-05 -80 *2922:27 *4107:40 0.0018137 -81 *2932:6 *4107:40 0.00182276 -82 *2932:20 *4107:40 0.00127283 -83 *2958:13 *4107:40 2.49484e-05 -84 *2958:34 *4107:40 1.6904e-05 -85 *2958:38 *4107:40 3.08281e-05 -86 *3153:204 *4107:32 0.000361265 -87 *3153:204 *4107:39 0.000493351 -88 *3170:245 *4107:26 0.000386925 -89 *3177:117 *27701:B2 1.28809e-05 -90 *3177:117 *4107:31 2.04294e-05 -91 *3743:42 *4107:40 0.000102067 -92 *3743:51 *4107:40 0.000175406 -93 *3770:48 *27701:B2 5.66157e-05 -94 *3770:48 *4107:32 0.000713371 -95 *3770:48 *4107:39 0.000771814 -96 *3835:51 *4107:40 0.000514126 -97 *3835:58 *4107:40 0.00174786 -98 *3967:29 *4107:26 3.16198e-05 -99 *3978:65 *4107:39 1.1028e-05 -100 *4069:74 *4107:40 0.000103028 -101 *4095:57 *4107:26 0.000190426 -*RES -1 *28516:Q *4107:17 45.8179 -2 *4107:17 *4107:21 11.125 -3 *4107:21 *4107:26 48.25 -4 *4107:26 *4107:31 22.2679 -5 *4107:31 *4107:32 9.53571 -6 *4107:32 *4107:39 17.6661 -7 *4107:39 *4107:40 88.3125 -8 *4107:40 *27363:A1 17.7554 -9 *4107:32 *25230:A1 18.8536 -10 *4107:31 *27701:B2 14.6616 -11 *4107:17 *30429:A 9.3 -*END - -*D_NET *4108 0.0681244 -*CONN -*I *29701:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27738:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27382:A1 I *D sky130_fd_sc_hd__a211o_1 -*I *24852:A I *D sky130_fd_sc_hd__inv_2 -*I *25200:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28517:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29701:A 0 -2 *27738:B2 6.34881e-05 -3 *27382:A1 0 -4 *24852:A 0.00031656 -5 *25200:A1 0.000624379 -6 *28517:Q 0.00100678 -7 *4108:123 0.00133597 -8 *4108:98 0.00154626 -9 *4108:78 0.00116491 -10 *4108:77 0.000848348 -11 *4108:75 0.00341455 -12 *4108:73 0.00490621 -13 *4108:58 0.00347155 -14 *4108:49 0.00254398 -15 *4108:36 0.00423039 -16 *4108:13 0.00432248 -17 *24852:A *30867:A 3.18676e-05 -18 *25200:A1 *25200:A2 9.41642e-05 -19 *25200:A1 *5589:156 0.00129209 -20 *25200:A1 *5639:124 8.25843e-06 -21 *25200:A1 *5660:137 0.00138625 -22 *25200:A1 *5660:157 0.00043479 -23 *27738:B2 *27738:B1 2.01e-05 -24 *27738:B2 *5605:171 0.000135472 -25 *4108:13 *26868:S 0.000286857 -26 *4108:13 *28517:D 9.26121e-05 -27 *4108:13 *28517:RESET_B 4.06077e-05 -28 *4108:13 *4217:15 0.000181381 -29 *4108:13 *5766:43 0.000177815 -30 *4108:13 *5847:44 0.000131237 -31 *4108:13 *5872:42 5.49544e-05 -32 *4108:36 *27380:A2 0.00296105 -33 *4108:36 *28655:D 5.78674e-05 -34 *4108:36 *4217:15 0.000201899 -35 *4108:36 *5628:98 0.000463558 -36 *4108:36 *5639:158 5.32001e-06 -37 *4108:36 *5642:126 5.41797e-06 -38 *4108:36 *5718:387 0.000133258 -39 *4108:36 *5767:197 2.30182e-05 -40 *4108:36 *5847:44 7.79781e-06 -41 *4108:36 *5872:42 0 -42 *4108:36 *5873:59 8.77815e-05 -43 *4108:49 *4121:69 4.09646e-05 -44 *4108:49 *5635:120 4.25594e-05 -45 *4108:58 *25188:A1 0.000284316 -46 *4108:73 *5650:231 0.000754592 -47 *4108:75 *25014:A1 0.000250068 -48 *4108:75 *25552:A0 7.32955e-05 -49 *4108:75 *25552:S 0.000347168 -50 *4108:75 *4124:93 0.000425953 -51 *4108:75 *4134:110 0.0012468 -52 *4108:75 *5650:231 1.81887e-05 -53 *4108:78 *4186:47 0.00192111 -54 *4108:78 *4186:58 1.08359e-05 -55 *4108:78 *5424:8 0.00440068 -56 *4108:78 *5970:8 0.000946537 -57 *4108:123 *5630:246 8.77037e-05 -58 *4108:123 *5649:273 0.000225106 -59 *4108:123 *5781:42 8.76591e-06 -60 *25061:B1 *4108:13 0.000129868 -61 *25065:A1 *4108:36 0.000129684 -62 *27380:B2 *4108:98 2.44181e-05 -63 *27382:A2 *4108:98 3.5615e-05 -64 *27382:A2 *4108:123 0.00011181 -65 *27382:B1 *4108:98 1.00733e-05 -66 *27382:B1 *4108:123 3.45371e-05 -67 *27738:C1 *27738:B2 9.41642e-05 -68 *27798:B2 *4108:36 9.83388e-05 -69 *29774:A *4108:75 0.000511668 -70 *793:13 *4108:13 8.55871e-05 -71 *1178:158 *4108:58 0.00224557 -72 *1178:158 *4108:98 0.000131366 -73 *1178:158 *4108:123 2.14879e-05 -74 *1185:125 *4108:58 0.000124532 -75 *1270:75 *4108:36 0.000595157 -76 *1287:210 *4108:49 0.000120607 -77 *1506:18 *4108:58 0.000191634 -78 *1826:154 *4108:123 0.000481858 -79 *1826:170 *4108:123 0.000441716 -80 *2760:113 *4108:58 0.00229989 -81 *2760:113 *4108:98 0.000148589 -82 *2787:128 *4108:58 7.98645e-05 -83 *2787:139 *4108:58 0.000364792 -84 *2844:386 *4108:36 3.8993e-05 -85 *2848:242 *4108:58 1.89537e-05 -86 *2859:283 *4108:123 0.000628511 -87 *2867:249 *4108:98 7.27952e-05 -88 *2867:249 *4108:123 0.000197804 -89 *2871:393 *4108:49 0 -90 *2874:192 *25200:A1 0.000433075 -91 *2874:321 *4108:58 4.37712e-06 -92 *3165:60 *4108:36 0 -93 *3179:123 *4108:123 4.00349e-05 -94 *3215:199 *4108:36 0.000129684 -95 *3283:8 *4108:123 0.00158244 -96 *3381:13 *4108:36 2.02456e-05 -97 *3617:49 *4108:13 0.000317217 -98 *3679:33 *27738:B2 1.22027e-05 -99 *3692:62 *4108:123 0.000259273 -100 *3718:107 *4108:58 0.00171219 -101 *3887:42 *4108:98 2.25252e-05 -102 *3887:60 *4108:98 2.06178e-05 -103 *3889:7 *4108:13 6.05161e-06 -104 *3889:61 *4108:13 0.00033799 -105 *3889:62 *4108:36 0.000628042 -106 *3913:33 *4108:123 0.00044789 -107 *3926:48 *4108:123 1.8038e-05 -108 *3965:56 *4108:98 4.46431e-05 -109 *3995:44 *4108:36 0.000413102 -110 *4082:76 *4108:58 0.00197906 -111 *4095:67 *4108:49 7.41094e-05 -112 *4095:67 *4108:98 0.000167762 -113 *4095:79 *4108:49 0.000788065 -*RES -1 *28517:Q *4108:13 40.4964 -2 *4108:13 *4108:36 49.8509 -3 *4108:36 *4108:49 16.4451 -4 *4108:49 *4108:58 42.0208 -5 *4108:58 *25200:A1 39.3357 -6 *4108:58 *4108:73 22.9375 -7 *4108:73 *4108:75 54.9554 -8 *4108:75 *4108:77 4.5 -9 *4108:77 *4108:78 54.1607 -10 *4108:78 *24852:A 18.4429 -11 *4108:49 *4108:98 13.834 -12 *4108:98 *27382:A1 9.3 -13 *4108:98 *4108:123 49.3483 -14 *4108:123 *27738:B2 11.0902 -15 *4108:13 *29701:A 9.3 -*END - -*D_NET *4109 0.0495006 -*CONN -*I *30217:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27761:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27411:A1 I *D sky130_fd_sc_hd__a211o_1 -*I *25153:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28518:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *30217:A 0.000293398 -2 *27761:B2 4.09699e-06 -3 *27411:A1 0.000186632 -4 *25153:A1 0 -5 *28518:Q 0.000218919 -6 *4109:56 0.00109181 -7 *4109:53 0.00191783 -8 *4109:46 0.00222311 -9 *4109:36 0.00744892 -10 *4109:20 0.00709052 -11 *4109:14 0.00228267 -12 *4109:11 0.00157376 -13 *27761:B2 *27761:B1 1.28809e-05 -14 *30217:A *26933:A1 0.000241385 -15 *30217:A *4963:8 0 -16 *30217:A *4974:11 8.43558e-05 -17 *4109:11 *28518:D 0.00017845 -18 *4109:14 *26933:A0 0.000196269 -19 *4109:14 *26933:A1 0.000314465 -20 *4109:14 *26987:A1 0.000297008 -21 *4109:14 *28518:RESET_B 2.28159e-05 -22 *4109:14 *30193:A 0.000227755 -23 *4109:14 *4148:10 0.000446734 -24 *4109:14 *5689:36 0.000687481 -25 *4109:14 *5763:119 7.80714e-06 -26 *4109:14 *5763:125 4.97121e-06 -27 *4109:20 *27658:A2 0.000621998 -28 *4109:20 *27659:A2 7.00299e-05 -29 *4109:20 *27760:A1 1.41029e-05 -30 *4109:20 *28486:D 0.000369111 -31 *4109:20 *30184:A 4.27351e-05 -32 *4109:20 *5593:42 6.16936e-05 -33 *4109:20 *5629:186 0.000247764 -34 *4109:20 *5651:419 0.000170654 -35 *4109:20 *5709:254 0.000192997 -36 *4109:20 *5763:79 2.11419e-05 -37 *4109:20 *5763:87 0.00024094 -38 *4109:36 *26888:A0 0.000107263 -39 *4109:36 *4122:8 0.000710995 -40 *4109:36 *5630:292 0.000145957 -41 *4109:36 *5630:296 0.00125903 -42 *4109:36 *5689:85 0.000872194 -43 *4109:36 *5689:165 8.67067e-05 -44 *4109:46 *5832:8 0.00134836 -45 *4109:53 *29327:A 0.000190013 -46 *4109:53 *5761:209 0.000177291 -47 *4109:53 *5832:8 0.000422728 -48 *27281:A2 *4109:46 8.33037e-06 -49 *27403:A1 *4109:36 0.000262992 -50 *27411:A2 *27411:A1 4.08789e-05 -51 *27761:C1 *4109:20 0.00105724 -52 *27761:C1 *4109:36 1.02504e-05 -53 *28550:CLK *4109:20 0.000173083 -54 *28550:CLK *4109:36 7.49735e-05 -55 *29778:A *4109:14 0.000128154 -56 *30218:A *30217:A 0 -57 *30218:A *4109:14 0 -58 *30315:A *4109:20 8.97582e-05 -59 *30331:A *4109:36 0.000309959 -60 *1235:124 *4109:56 0.00015234 -61 *1235:148 *4109:53 0.00013514 -62 *1235:148 *4109:56 0.000144506 -63 *1283:9 *27761:B2 1.28809e-05 -64 *1451:6 *4109:56 0.00314257 -65 *1464:56 *4109:53 0.000216813 -66 *1524:15 *4109:53 5.21937e-05 -67 *2775:156 *4109:53 0.000201779 -68 *2844:328 *27411:A1 0.000127359 -69 *2844:328 *4109:46 1.37681e-05 -70 *2874:290 *4109:56 0.000352718 -71 *2874:305 *4109:46 5.19216e-05 -72 *2874:305 *4109:53 0.000150148 -73 *3173:158 *4109:56 0.000165754 -74 *3177:136 *4109:46 1.14338e-05 -75 *3552:30 *4109:46 0.00131553 -76 *3562:16 *27411:A1 0 -77 *3562:16 *4109:46 0.000107157 -78 *3581:118 *4109:36 0.000133151 -79 *3589:71 *4109:14 0 -80 *3589:71 *4109:20 0.000215876 -81 *3589:132 *4109:14 0 -82 *3706:18 *4109:14 0.000181137 -83 *3706:18 *4109:20 0.000109979 -84 *3706:22 *4109:20 0.000153792 -85 *3784:36 *4109:46 0.000346062 -86 *3784:79 *4109:56 0.000202825 -87 *3810:47 *27411:A1 0.000109104 -88 *3888:12 *4109:46 1.27625e-05 -89 *3888:12 *4109:53 0.0020855 -90 *3927:20 *4109:56 3.44802e-05 -91 *3959:45 *4109:46 1.00452e-05 -92 *4022:47 *4109:53 0.00049778 -93 *4022:60 *4109:56 0.00243081 -94 *4096:11 *4109:20 3.99291e-05 -95 *4096:25 *4109:20 0 -*RES -1 *28518:Q *4109:11 17.7643 -2 *4109:11 *4109:14 29.0982 -3 *4109:14 *4109:20 37.4196 -4 *4109:20 *4109:36 41.9584 -5 *4109:36 *4109:46 26.2589 -6 *4109:46 *4109:53 38.0625 -7 *4109:53 *4109:56 45.6071 -8 *4109:56 *25153:A1 9.3 -9 *4109:36 *27411:A1 22.3382 -10 *4109:20 *27761:B2 13.9295 -11 *4109:11 *30217:A 19.8 -*END - -*D_NET *4110 0.0189223 -*CONN -*I *30117:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27784:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25062:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27436:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28519:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30117:A 2.70491e-05 -2 *27784:B2 0.00193779 -3 *25062:A1 0.00131115 -4 *27436:A1 0 -5 *28519:Q 0.00166608 -6 *4110:37 0.00332833 -7 *4110:23 0.000385913 -8 *4110:17 0.000815194 -9 *4110:16 0.00214769 -10 *25062:A1 *5637:43 0.000108282 -11 *25062:A1 *5676:95 0.000308768 -12 *25062:A1 *5683:134 1.00298e-06 -13 *25062:A1 *5702:147 0 -14 *27784:B2 *29314:A 8.51786e-05 -15 *27784:B2 *4214:20 5.37446e-05 -16 *27784:B2 *5643:203 4.58325e-05 -17 *27784:B2 *5652:184 7.94653e-05 -18 *27784:B2 *5676:87 0.000643225 -19 *27784:B2 *5676:95 0.00100853 -20 *27784:B2 *5824:286 0.00031376 -21 *4110:16 *26870:A0 7.6644e-05 -22 *4110:16 *28487:D 8.62476e-05 -23 *4110:16 *28487:RESET_B 3.68752e-05 -24 *4110:16 *4671:11 0.000119303 -25 *4110:16 *5637:19 0.000229332 -26 *4110:16 *5702:147 4.19624e-06 -27 *4110:16 *5847:44 7.6644e-05 -28 *4110:16 *5863:161 0 -29 *4110:17 *4360:11 0.000306068 -30 *4110:23 *5879:112 3.38536e-05 -31 *4110:37 *4149:57 0 -32 *27425:A1 *27784:B2 0.000110029 -33 *27426:A1 *27784:B2 0.000193771 -34 *27436:A2 *4110:23 1.57155e-05 -35 *27436:A2 *4110:37 2.16755e-05 -36 *27436:B1 *4110:23 6.14479e-05 -37 *27436:B1 *4110:37 1.04232e-05 -38 *27436:C1 *4110:37 0 -39 *27784:A1 *27784:B2 7.80794e-05 -40 *28519:CLK *4110:16 6.5279e-05 -41 *1242:148 *25062:A1 0.00041993 -42 *1266:194 *25062:A1 0.000418093 -43 *1371:51 *25062:A1 0 -44 *2760:135 *4110:17 8.48807e-05 -45 *2760:135 *4110:23 8.6587e-05 -46 *2760:135 *4110:37 4.65519e-05 -47 *2866:307 *25062:A1 0 -48 *2892:242 *25062:A1 0.000405017 -49 *2892:242 *4110:37 2.21972e-05 -50 *2985:14 *27784:B2 0.000201683 -51 *3164:304 *27784:B2 1.1984e-05 -52 *3165:74 *4110:37 9.59532e-06 -53 *3165:85 *27784:B2 1.45467e-05 -54 *3206:249 *27784:B2 0.000133891 -55 *3798:98 *25062:A1 0.000299027 -56 *3798:98 *27784:B2 0.000190931 -57 *3798:99 *27784:B2 0.000103347 -58 *3954:13 *4110:16 0.000556162 -59 *3993:14 *27784:B2 0.000113515 -60 *3995:58 *27784:B2 1.17968e-05 -*RES -1 *28519:Q *4110:16 48.3893 -2 *4110:16 *4110:17 5.875 -3 *4110:17 *4110:23 4.375 -4 *4110:23 *27436:A1 9.3 -5 *4110:23 *4110:37 12.412 -6 *4110:37 *25062:A1 29.0169 -7 *4110:37 *27784:B2 32.6881 -8 *4110:17 *30117:A 10.0321 -*END - -*D_NET *4111 0.0175479 -*CONN -*I *30420:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25024:A1 I *D sky130_fd_sc_hd__a211o_1 -*I *27803:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27448:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28520:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *30420:A 0.00016655 -2 *25024:A1 0.000199906 -3 *27803:B2 0.000487789 -4 *27448:A1 0.00208191 -5 *28520:Q 0 -6 *4111:38 0.000645911 -7 *4111:11 0.00506935 -8 *4111:4 0.0027791 -9 *25024:A1 *5589:180 0.000316928 -10 *30420:A *5589:180 0.000128331 -11 *30420:A *5606:81 5.81363e-05 -12 *4111:11 *28520:D 9.73548e-05 -13 *4111:11 *30865:A 7.43578e-06 -14 *4111:11 *5628:66 0.000457106 -15 *4111:11 *5755:92 1.32091e-05 -16 *4111:11 *5755:113 1.20865e-05 -17 *4111:38 *26935:A1 7.83659e-05 -18 *4111:38 *30865:A 8.85532e-05 -19 *4111:38 *5606:81 2.04866e-05 -20 *4111:38 *5755:113 4.02462e-05 -21 *25024:B1 *25024:A1 1.97387e-05 -22 *25024:B1 *30420:A 0.000257619 -23 *25024:C1 *25024:A1 0.000273235 -24 *25024:C1 *4111:38 3.46786e-05 -25 *27803:B1 *27803:B2 0.000396544 -26 *27803:C1 *27803:B2 0.000260574 -27 *27817:A *27803:B2 7.42463e-05 -28 *28520:CLK *4111:11 1.03807e-05 -29 *28932:A *30420:A 6.17953e-05 -30 *28932:A *4111:38 0.000103253 -31 *1291:46 *27448:A1 0.000198436 -32 *2844:164 *27448:A1 2.70161e-05 -33 *2844:179 *27448:A1 4.47387e-05 -34 *2864:107 *27803:B2 0.000501831 -35 *2864:119 *27803:B2 0.00026434 -36 *3177:35 *27803:B2 0.00117154 -37 *3631:42 *4111:38 5.4115e-05 -38 *3734:48 *4111:38 0.000268528 -39 *3826:52 *27803:B2 0.000213633 -40 *3889:62 *4111:11 0.000458827 -41 *3993:14 *27803:B2 0.000104041 -*RES -1 *28520:Q *4111:4 9.3 -2 *4111:4 *4111:11 39.375 -3 *4111:11 *27448:A1 31.2107 -4 *4111:11 *27803:B2 37.8357 -5 *4111:4 *4111:38 11.25 -6 *4111:38 *25024:A1 18.6036 -7 *4111:38 *30420:A 18.0857 -*END - -*D_NET *4112 0.0184587 -*CONN -*I *27835:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30096:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27479:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *24986:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28521:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *27835:B2 0.000424475 -2 *30096:A 0 -3 *27479:A1 0.000406114 -4 *24986:A1 0.00109764 -5 *28521:Q 0.000276521 -6 *4112:21 0.00262456 -7 *4112:13 0.00116574 -8 *4112:11 0.000745928 -9 *24986:A1 *24986:A2 0.000196323 -10 *4112:11 *26936:A1 6.33364e-05 -11 *4112:11 *28521:RESET_B 2.6032e-05 -12 *4112:13 *26936:A1 2.1806e-05 -13 *24986:B1 *24986:A1 0.000218679 -14 *26936:S *4112:21 6.05161e-06 -15 *27464:A1 *27835:B2 3.08382e-06 -16 *27466:B2 *4112:21 0.000149797 -17 *27466:C1 *4112:21 0.000144722 -18 *27823:A1 *4112:21 6.05161e-06 -19 *27835:C1 *27835:B2 4.95517e-05 -20 *1258:90 *27835:B2 1.88578e-05 -21 *1267:202 *27835:B2 0.00094371 -22 *1275:101 *24986:A1 7.43578e-06 -23 *1275:105 *24986:A1 0.000450042 -24 *1275:105 *4112:21 4.29806e-05 -25 *1367:53 *4112:13 8.17274e-05 -26 *1367:53 *4112:21 0.000298483 -27 *1368:46 *4112:21 4.66661e-05 -28 *2764:129 *4112:11 6.57032e-05 -29 *2764:129 *4112:13 6.90561e-05 -30 *2764:129 *4112:21 0.000139187 -31 *2764:151 *4112:21 0.000345211 -32 *2792:102 *4112:21 0.00170149 -33 *2792:104 *4112:21 7.64351e-05 -34 *2866:296 *4112:21 1.77971e-05 -35 *2872:187 *4112:21 0.00103734 -36 *2872:224 *4112:21 3.52836e-05 -37 *2883:188 *27479:A1 0.00137729 -38 *2892:161 *24986:A1 0.000136958 -39 *2895:259 *27479:A1 1.02504e-05 -40 *2895:266 *27479:A1 0.00137729 -41 *3028:21 *4112:21 0.000144035 -42 *3164:287 *27835:B2 0.000976403 -43 *3165:55 *4112:21 0.000125178 -44 *3179:108 *27835:B2 7.14482e-05 -45 *3185:180 *24986:A1 0.000181796 -46 *3206:232 *4112:11 0.000148196 -47 *3580:57 *4112:11 3.53577e-06 -48 *3800:58 *27835:B2 0.000112952 -49 *3800:58 *4112:11 0.000146474 -50 *3956:37 *4112:21 0.000263213 -51 *3993:14 *27835:B2 0.0001326 -52 *4086:64 *27479:A1 0.000247229 -*RES -1 *28521:Q *4112:11 23.3714 -2 *4112:11 *4112:13 1.35714 -3 *4112:13 *4112:21 42.875 -4 *4112:21 *24986:A1 27.4964 -5 *4112:21 *27479:A1 36.0321 -6 *4112:13 *30096:A 9.3 -7 *4112:11 *27835:B2 34.1616 -*END - -*D_NET *4113 0.0609402 -*CONN -*I *25372:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29305:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27495:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27860:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28258:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25372:B2 0.000401967 -2 *29305:A 0 -3 *27495:A1 0.000983766 -4 *27860:B2 3.59136e-05 -5 *28258:Q 0.000105631 -6 *4113:125 0.00132574 -7 *4113:116 0.00525358 -8 *4113:104 0.00566937 -9 *4113:98 0.00178674 -10 *4113:86 0.0013427 -11 *4113:51 0.00193307 -12 *4113:36 0.00239072 -13 *4113:25 0.00267327 -14 *4113:8 0.00219709 -15 *27495:A1 *25835:A0 3.25386e-05 -16 *27495:A1 *27860:A2 0.000100736 -17 *27495:A1 *27862:A2 2.06112e-05 -18 *27495:A1 *5493:25 2.09897e-05 -19 *4113:8 *28334:RESET_B 5.73575e-05 -20 *4113:8 *5741:67 9.60939e-05 -21 *4113:8 *5889:28 1.69115e-05 -22 *4113:25 *25874:A1 7.20784e-05 -23 *4113:25 *4487:24 3.64259e-05 -24 *4113:25 *5693:74 0.000169639 -25 *4113:25 *5693:82 0.00038927 -26 *4113:25 *5699:41 0 -27 *4113:36 *25472:A2 9.91086e-05 -28 *4113:36 *25877:A0 0.000255497 -29 *4113:36 *5253:44 0.000257335 -30 *4113:36 *5728:151 5.21937e-05 -31 *4113:51 *25835:A0 4.64547e-05 -32 *4113:51 *4126:48 0.00161935 -33 *4113:51 *5744:30 2.996e-06 -34 *4113:86 *24879:C_N 0.00057444 -35 *4113:86 *5076:19 5.49489e-05 -36 *4113:98 *5076:19 0.000288579 -37 *4113:98 *5626:19 4.91303e-05 -38 *4113:98 *5686:35 0.000507861 -39 *4113:104 *24889:A0 6.57914e-05 -40 *4113:104 *24889:A1 0.000136951 -41 *4113:104 *25869:A0 2.11419e-05 -42 *4113:104 *4350:48 0.000266832 -43 *4113:104 *5707:28 6.53397e-05 -44 *4113:116 *4350:65 0.00129196 -45 *4113:116 *5634:170 0.000574514 -46 *4113:116 *5653:104 4.11218e-05 -47 *4113:116 *5823:51 0.000170256 -48 *4113:125 *25102:A 4.54968e-05 -49 *4113:125 *5607:27 0.000395577 -50 *4113:125 *5808:53 0.00120605 -51 *4113:125 *5808:95 0.000601428 -52 *25110:B2 *4113:116 4.41879e-05 -53 *25352:B2 *25372:B2 3.82227e-06 -54 *25874:S *4113:25 9.41642e-05 -55 *27495:B1 *27495:A1 1.34548e-05 -56 *27495:B2 *27495:A1 0.00049548 -57 *27499:B1 *27495:A1 8.94556e-05 -58 *27503:A *27495:A1 1.3701e-05 -59 *27545:B1 *4113:116 0.00020411 -60 *27546:A2 *4113:116 0.000111243 -61 *27561:A1 *4113:104 8.6229e-06 -62 *27561:A2 *4113:104 0.000286577 -63 *27563:B1 *4113:104 5.31113e-05 -64 *27564:C1 *4113:104 0.00026933 -65 *27585:A2 *4113:104 0.000316794 -66 *27588:B1 *4113:104 0.00146073 -67 *27860:A1 *27495:A1 0.000342777 -68 *27860:A1 *27860:B2 1.08048e-05 -69 *27860:C1 *4113:51 2.89016e-05 -70 *30219:A *4113:36 0.00039042 -71 *30243:A *4113:25 0.000732888 -72 *30495:A *4113:86 5.33005e-05 -73 *30495:A *4113:98 0.00050829 -74 *31015:A *4113:86 0.000157364 -75 *1247:12 *4113:8 9.60939e-05 -76 *1247:25 *4113:98 0.000396062 -77 *1287:109 *4113:116 4.18305e-05 -78 *1288:54 *25372:B2 2.26973e-05 -79 *1288:54 *4113:125 1.36991e-05 -80 *1405:49 *4113:125 8.80625e-05 -81 *1422:52 *4113:116 0.000334127 -82 *1435:33 *4113:116 0.00011378 -83 *1456:82 *4113:36 0.000109966 -84 *1483:68 *4113:116 0.00082995 -85 *1615:14 *4113:125 7.40526e-05 -86 *1619:25 *27495:A1 0.000435356 -87 *1665:28 *4113:116 9.34324e-05 -88 *1685:46 *25372:B2 0.000468888 -89 *1689:8 *4113:125 0.00199511 -90 *1741:13 *4113:25 0.000216755 -91 *1872:10 *4113:25 0.000218103 -92 *1872:31 *4113:36 0.000749749 -93 *1872:40 *4113:25 1.04707e-05 -94 *1877:66 *4113:25 0.000544026 -95 *1899:39 *27495:A1 0.000964176 -96 *1899:39 *4113:51 0.000264904 -97 *2856:196 *4113:116 1.93729e-05 -98 *2859:73 *27495:A1 1.24132e-05 -99 *2865:144 *4113:104 8.49829e-05 -100 *2872:90 *27495:A1 0.000460916 -101 *2875:134 *4113:104 1.39246e-05 -102 *2878:120 *4113:104 0.000196065 -103 *2892:65 *27495:A1 8.23597e-06 -104 *2894:138 *4113:116 0.000188376 -105 *3101:17 *4113:116 0.00057086 -106 *3115:19 *4113:104 0.000411268 -107 *3164:125 *4113:36 1.94879e-05 -108 *3164:146 *4113:8 0.000181261 -109 *3164:146 *4113:25 0.000290276 -110 *3164:146 *4113:36 0.000489725 -111 *3165:297 *4113:51 0.00021591 -112 *3192:107 *4113:86 2.06178e-05 -113 *3194:129 *4113:51 0.00145325 -114 *3196:111 *4113:51 7.37559e-05 -115 *3450:23 *4113:104 0.000398253 -116 *3461:26 *4113:86 0.000153593 -117 *3594:8 *4113:36 0.000271053 -118 *3594:13 *4113:36 0.000381888 -119 *3594:53 *4113:36 0.000322504 -120 *3687:40 *4113:116 0 -121 *3723:80 *4113:104 8.70764e-05 -122 *3738:41 *25372:B2 0.000111243 -123 *3738:58 *4113:125 3.33721e-05 -124 *3741:27 *4113:86 7.17097e-05 -125 *3793:64 *4113:98 0.000353051 -126 *3830:70 *4113:51 5.13086e-05 -127 *3831:83 *4113:25 0.000314442 -128 *3831:83 *4113:36 0.000876056 -129 *3867:24 *4113:36 0.00028515 -130 *3897:32 *4113:36 0.000186503 -131 *3909:31 *27495:A1 1.90936e-05 -132 *3920:40 *25372:B2 1.53472e-05 -133 *3920:40 *4113:125 0.000110242 -134 *3947:68 *4113:86 1.90936e-05 -135 *3957:43 *27495:A1 0.000259273 -136 *3958:16 *4113:116 0.000926091 -137 *3984:73 *4113:86 0.000133629 -138 *4013:25 *4113:116 0.000107157 -139 *4027:20 *25372:B2 0.000929754 -140 *4100:22 *4113:104 0.000157193 -141 *4101:24 *4113:104 0 -142 *4101:24 *4113:116 7.72393e-05 -143 *4103:68 *4113:116 4.75671e-06 -*RES -1 *28258:Q *4113:8 17.1482 -2 *4113:8 *4113:25 43.9732 -3 *4113:25 *4113:36 47.0446 -4 *4113:36 *4113:51 35.4131 -5 *4113:51 *27860:B2 10.0321 -6 *4113:51 *27495:A1 37.8022 -7 *4113:8 *4113:86 14.4856 -8 *4113:86 *29305:A 9.3 -9 *4113:86 *4113:98 17.9107 -10 *4113:98 *4113:104 47.5357 -11 *4113:104 *4113:116 35.7009 -12 *4113:116 *4113:125 38.875 -13 *4113:125 *25372:B2 26.6527 -*END - -*D_NET *4114 0.00998609 -*CONN -*I *27522:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25307:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30536:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27885:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28259:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27522:A1 0.000336036 -2 *25307:A1 0.000230972 -3 *30536:A 7.76893e-05 -4 *27885:B2 0.000643823 -5 *28259:Q 0 -6 *4114:28 0.0018894 -7 *4114:5 0.000721513 -8 *4114:4 0.00132239 -9 *25307:A1 *5666:124 0.000304488 -10 *25307:A1 *5744:30 0.000178425 -11 *27522:A1 *5666:124 4.34543e-05 -12 *27885:B2 *27885:A2 0.000112286 -13 *4114:28 *27523:A1 0.00034188 -14 *25307:A2 *25307:A1 0.00013593 -15 *27487:B1 *27522:A1 1.09232e-05 -16 *27523:A2 *4114:28 3.97677e-05 -17 *27525:A *4114:28 0.00120844 -18 *27525:C *27522:A1 0.000551636 -19 *27525:D *27522:A1 0.000146133 -20 *27885:B1 *27885:B2 8.18642e-06 -21 *27913:A1 *27885:B2 0 -22 *1864:51 *4114:28 5.74499e-06 -23 *3194:108 *25307:A1 1.21258e-05 -24 *3194:116 *27885:B2 2.42516e-05 -25 *3196:119 *27885:B2 0.000256616 -26 *3196:119 *30536:A 0.000233514 -27 *3216:141 *25307:A1 0.000291738 -28 *3428:14 *27885:B2 0.000135028 -29 *3909:37 *25307:A1 5.41797e-06 -30 *3909:37 *27522:A1 0.000221332 -31 *4103:18 *27885:B2 0.000254894 -32 *4103:18 *30536:A 0.000242056 -*RES -1 *28259:Q *4114:4 9.3 -2 *4114:4 *4114:5 4.5 -3 *4114:5 *27885:B2 24.05 -4 *4114:5 *30536:A 16.9607 -5 *4114:4 *4114:28 21.0536 -6 *4114:28 *25307:A1 20.8 -7 *4114:28 *27522:A1 22.6571 -*END - -*D_NET *4115 0.0605359 -*CONN -*I *29120:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27319:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27614:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25364:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28522:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *29120:A 0.000551433 -2 *27319:A1 0 -3 *27614:B2 0.000645304 -4 *25364:A1 5.43127e-05 -5 *28522:Q 2.25053e-05 -6 *4115:48 0.000761652 -7 *4115:47 0.00225374 -8 *4115:30 0.00661023 -9 *4115:28 0.00588987 -10 *4115:10 0.00223417 -11 *4115:7 0.00133675 -12 *27614:B2 *5211:8 0.000118712 -13 *27614:B2 *5754:18 0.000232384 -14 *29120:A *26938:A1 0.0003763 -15 *29120:A *26939:A1 0.000461937 -16 *29120:A *29422:A 5.33005e-05 -17 *29120:A *5810:97 0.000186672 -18 *29120:A *5810:115 1.33343e-05 -19 *29120:A *5879:34 0.00013624 -20 *4115:7 *28522:SET_B 5.33005e-05 -21 *4115:10 *28126:D 0.000125724 -22 *4115:10 *5640:235 0.000151111 -23 *4115:10 *5641:162 4.33002e-05 -24 *4115:10 *5708:34 0.000131374 -25 *4115:10 *5810:97 0.000531303 -26 *4115:10 *5879:34 0.000780527 -27 *4115:10 *5879:38 0.000532299 -28 *4115:28 *25203:A1 0.000208982 -29 *4115:28 *28699:D 1.10978e-05 -30 *4115:28 *5593:20 0.000105827 -31 *4115:28 *5628:150 0.000166682 -32 *4115:28 *5638:136 0.000702002 -33 *4115:30 *28483:D 0.000125731 -34 *4115:30 *28546:D 7.83659e-05 -35 *4115:30 *28699:D 0.00016428 -36 *4115:30 *4132:38 0.000116155 -37 *4115:30 *5627:57 0.00039599 -38 *4115:30 *5655:174 0.000506361 -39 *4115:30 *5655:182 0.00104447 -40 *4115:30 *5656:96 0.000153558 -41 *4115:30 *5656:116 0.000309735 -42 *4115:30 *5754:213 0.000748805 -43 *4115:30 *5765:58 0.000135172 -44 *4115:47 *5634:95 0.000365292 -45 *4115:47 *5635:72 0.00127381 -46 *4115:47 *5655:130 0.000314488 -47 *4115:47 *5655:174 4.89354e-06 -48 *25202:A1 *25364:A1 4.10843e-05 -49 *25202:A1 *4115:28 0.000165123 -50 *25242:A1 *4115:28 0.00103533 -51 *25308:B2 *4115:30 0.00014204 -52 *25314:B1 *25364:A1 0.000149281 -53 *25314:B1 *4115:28 0.000330745 -54 *25332:A1 *4115:30 5.66551e-05 -55 *25364:A2 *25364:A1 5.49544e-05 -56 *25364:A2 *4115:10 1.90936e-05 -57 *25364:B1 *25364:A1 3.51393e-05 -58 *26921:S *4115:30 0.000401996 -59 *27065:S *4115:28 0.000124201 -60 *27319:B2 *27614:B2 0.000233406 -61 *27351:C1 *4115:30 0.000127439 -62 *27617:C1 *27614:B2 9.60875e-05 -63 *27629:A2 *4115:47 0.0014961 -64 *27674:A1 *4115:30 0.000160728 -65 *27747:A1 *4115:28 2.32858e-05 -66 *28546:CLK *4115:47 6.57032e-05 -67 *29753:A *4115:30 0.000156648 -68 *30374:A *4115:28 0.000116964 -69 *30411:A *4115:30 0.00022529 -70 *74:11 *4115:47 0.000298501 -71 *1244:141 *27614:B2 0.000135028 -72 *1246:62 *4115:47 5.51202e-05 -73 *1250:43 *4115:47 5.21882e-05 -74 *1250:72 *27614:B2 0.000162573 -75 *1292:85 *4115:10 0.000320009 -76 *1361:30 *4115:28 0.00142305 -77 *1416:97 *4115:30 0.00117587 -78 *2760:98 *4115:30 0.000939295 -79 *2761:80 *4115:10 0.000227826 -80 *2784:133 *4115:7 1.58163e-05 -81 *2791:199 *4115:28 1.90936e-05 -82 *2855:176 *4115:30 0.000132702 -83 *2855:183 *4115:30 0.00538332 -84 *2859:187 *4115:30 0.000333008 -85 *2860:287 *4115:30 0.000259327 -86 *2892:280 *4115:28 2.06112e-05 -87 *3162:8 *4115:10 0.000124844 -88 *3176:49 *4115:47 8.00576e-05 -89 *3306:6 *4115:30 0.000209756 -90 *3686:135 *4115:30 1.90936e-05 -91 *3686:135 *4115:47 0.000108816 -92 *3703:35 *4115:30 4.21517e-05 -93 *3704:45 *4115:30 1.08359e-05 -94 *3716:12 *4115:30 0.00308938 -95 *3716:14 *4115:30 0.000222433 -96 *3781:22 *4115:30 1.08691e-05 -97 *3781:30 *4115:30 0.00235275 -98 *3783:95 *4115:30 2.14474e-05 -99 *3797:65 *4115:47 0.00206876 -100 *3985:27 *27614:B2 0.000218409 -101 *3985:33 *27614:B2 0.00039505 -102 *3987:29 *27614:B2 5.89387e-05 -103 *3987:29 *4115:48 8.09423e-05 -104 *4042:19 *4115:28 0.000659995 -105 *4044:13 *4115:28 0.000377174 -106 *4044:14 *4115:28 4.23791e-05 -107 *4044:14 *4115:30 0.00135054 -108 *4044:14 *4115:47 0.00135243 -109 *4051:49 *27614:B2 6.60954e-05 -110 *4051:49 *4115:48 4.51372e-05 -111 *4051:54 *4115:47 6.85368e-05 -112 *4093:17 *4115:30 2.89508e-05 -*RES -1 *28522:Q *4115:7 14.3357 -2 *4115:7 *4115:10 26.4821 -3 *4115:10 *25364:A1 11.0857 -4 *4115:10 *4115:28 45.8125 -5 *4115:28 *4115:30 152.062 -6 *4115:30 *4115:47 48.554 -7 *4115:47 *4115:48 2.40179 -8 *4115:48 *27614:B2 28.3536 -9 *4115:48 *27319:A1 13.8 -10 *4115:7 *29120:A 25.3536 -*END - -*D_NET *4116 0.0161803 -*CONN -*I *27533:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25244:B2 I *D sky130_fd_sc_hd__a221o_2 -*I *27913:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30399:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28281:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *27533:B2 2.62464e-05 -2 *25244:B2 0 -3 *27913:B2 0.000363811 -4 *30399:A 0.000152033 -5 *28281:Q 0 -6 *4116:51 0.00199942 -7 *4116:36 0.00278709 -8 *4116:17 0.00155841 -9 *4116:4 0.000585203 -10 *27913:B2 *28164:RESET_B 9.54925e-05 -11 *27913:B2 *4126:39 6.88458e-06 -12 *27913:B2 *5583:11 0.000138606 -13 *30399:A *29106:A 0.000141036 -14 *30399:A *5253:28 0.000139338 -15 *30399:A *5712:49 0.000206498 -16 *30399:A *5740:137 1.59935e-05 -17 *4116:17 *25674:A1 0.000592973 -18 *4116:17 *5740:135 3.54691e-05 -19 *4116:17 *5740:137 0.00017299 -20 *4116:36 *25674:A1 4.08637e-05 -21 *4116:36 *28049:A 7.69403e-05 -22 *4116:36 *28049:B 0.000221641 -23 *4116:36 *5727:281 0.000136682 -24 *4116:36 *5728:151 0.000230587 -25 *4116:51 *4152:51 0.000130371 -26 *4116:51 *5666:124 0.000197117 -27 *6651:DIODE *4116:36 0.0001188 -28 *25244:A1 *4116:51 1.28809e-05 -29 *25244:C1 *4116:51 9.54798e-06 -30 *27521:A2 *4116:51 4.41229e-05 -31 *27533:B1 *27533:B2 4.22135e-06 -32 *27533:B1 *4116:36 2.86754e-05 -33 *27915:A *4116:36 1.34631e-05 -34 *27915:A *4116:51 0.000836638 -35 *402:13 *4116:36 0.000221212 -36 *408:20 *27533:B2 5.74026e-05 -37 *408:20 *4116:36 6.54117e-05 -38 *1440:56 *4116:51 9.21881e-05 -39 *1456:82 *27913:B2 0.000585293 -40 *1470:90 *4116:51 1.46617e-05 -41 *1472:11 *4116:51 0.000767813 -42 *1748:20 *4116:51 0 -43 *1868:31 *30399:A 4.22135e-06 -44 *1868:37 *30399:A 0.000197742 -45 *1877:42 *27913:B2 9.41642e-05 -46 *1877:53 *27913:B2 0.000218883 -47 *1887:70 *4116:51 1.61292e-05 -48 *2850:88 *4116:51 0.000130371 -49 *2895:134 *4116:51 2.12647e-05 -50 *3124:15 *4116:51 0.000185708 -51 *3196:143 *4116:17 0.00063576 -52 *3196:143 *4116:36 0.000216755 -53 *3209:102 *4116:51 8.24568e-05 -54 *3414:20 *27913:B2 2.28598e-05 -55 *3666:68 *4116:51 0.000753309 -56 *3739:48 *4116:36 1.50961e-05 -57 *3776:90 *4116:51 6.05161e-06 -58 *3802:38 *4116:51 0.000301033 -59 *3897:32 *4116:51 0.000300182 -60 *4064:81 *27533:B2 5.42764e-05 -*RES -1 *28281:Q *4116:4 9.3 -2 *4116:4 *30399:A 22.8446 -3 *4116:4 *4116:17 10.1875 -4 *4116:17 *27913:B2 27.675 -5 *4116:17 *4116:36 17.5625 -6 *4116:36 *4116:51 46.846 -7 *4116:51 *25244:B2 9.3 -8 *4116:36 *27533:B2 14.6839 -*END - -*D_NET *4117 0.0195512 -*CONN -*I *27924:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25187:B2 I *D sky130_fd_sc_hd__a221o_2 -*I *27561:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29908:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28282:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27924:B2 2.04576e-05 -2 *25187:B2 0.00106959 -3 *27561:B2 1.09027e-05 -4 *29908:A 0.000243576 -5 *28282:Q 0 -6 *4117:32 0.00391241 -7 *4117:25 0.00426782 -8 *4117:4 0.00165902 -9 *25187:B2 *25187:A1 0.000138328 -10 *25187:B2 *5215:12 4.98055e-06 -11 *25187:B2 *5611:47 6.54885e-05 -12 *25187:B2 *5778:94 6.74279e-05 -13 *25187:B2 *5856:19 0.000194131 -14 *27561:B2 *24889:A0 2.84269e-05 -15 *29908:A *28282:D 3.06878e-06 -16 *29908:A *28282:RESET_B 5.49995e-05 -17 *29908:A *5742:240 5.52675e-05 -18 *4117:25 *25450:A1 4.22135e-06 -19 *4117:25 *28282:D 9.33978e-07 -20 *4117:25 *5778:49 0.000346623 -21 *4117:32 *25867:A1 3.14078e-05 -22 *4117:32 *5699:17 0.000343049 -23 *4117:32 *5700:28 0.000591666 -24 *4117:32 *5832:27 0.00014506 -25 *4117:32 *5856:19 0.000257215 -26 *25187:B1 *25187:B2 3.08382e-06 -27 *25187:C1 *25187:B2 5.58927e-05 -28 *25432:B *29908:A 0.000119695 -29 *25867:S *4117:32 4.00679e-05 -30 *27561:B1 *27561:B2 1.38323e-05 -31 *27924:A1 *27924:B2 4.94575e-06 -32 *27924:A1 *4117:32 3.49204e-05 -33 *27924:A2 *4117:32 0.000100087 -34 *28298:CLK *4117:32 4.51611e-05 -35 *28852:A *25187:B2 0.000121247 -36 *1207:11 *29908:A 0.000122091 -37 *1207:11 *4117:25 2.42516e-05 -38 *1263:181 *4117:25 0.000135028 -39 *1448:46 *25187:B2 1.47031e-05 -40 *1677:8 *25187:B2 0.000183453 -41 *1757:23 *29908:A 0.000224577 -42 *1875:29 *4117:25 0.000244967 -43 *2895:172 *25187:B2 0.00034798 -44 *2895:172 *4117:32 8.21623e-05 -45 *3115:19 *4117:32 0.000379272 -46 *3626:68 *4117:25 1.34195e-05 -47 *3626:70 *4117:25 1.65558e-05 -48 *3676:17 *4117:32 6.41853e-05 -49 *3700:52 *25187:B2 5.84547e-05 -50 *3858:19 *4117:25 0.000227539 -51 *3957:22 *25187:B2 0.00214506 -52 *3957:22 *4117:32 0.000802005 -53 *4092:35 *25187:B2 0.0004105 -*RES -1 *28282:Q *4117:4 9.3 -2 *4117:4 *29908:A 23.6036 -3 *4117:4 *4117:25 29.375 -4 *4117:25 *4117:32 45.6429 -5 *4117:32 *27561:B2 14.0857 -6 *4117:32 *25187:B2 44.6795 -7 *4117:25 *27924:B2 9.72857 -*END - -*D_NET *4118 0.0205533 -*CONN -*I *25129:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27949:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27589:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *29886:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28283:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25129:A1 0.000262298 -2 *27949:A1 0.000190526 -3 *27589:A1 2.30826e-05 -4 *29886:A 0.000508346 -5 *28283:Q 0 -6 *4118:50 0.00215999 -7 *4118:29 0.00245913 -8 *4118:26 0.00296889 -9 *4118:4 0.00274836 -10 *25129:A1 *5808:12 0.000347168 -11 *25129:A1 *6036:46 0.000355703 -12 *29886:A *25827:A0 0.000305291 -13 *29886:A *28283:RESET_B 7.70134e-05 -14 *29886:A *5267:17 2.14757e-05 -15 *4118:26 *25803:A1 0.00010269 -16 *4118:26 *28283:RESET_B 0.000210722 -17 *4118:26 *28985:A 0.000499005 -18 *4118:26 *4142:34 0.000207398 -19 *4118:26 *5209:30 0.000260166 -20 *4118:26 *5719:86 0.000144829 -21 *4118:26 *5836:104 1.90936e-05 -22 *4118:29 *28984:A 0.000140933 -23 *4118:29 *5693:16 3.98517e-05 -24 *4118:50 *4131:62 2.84109e-05 -25 *4118:50 *5808:12 3.51442e-05 -26 *4118:50 *6036:46 0.00112978 -27 *25129:B2 *25129:A1 9.41642e-05 -28 *25803:S *4118:26 5.33334e-05 -29 *27579:A1 *4118:50 8.6229e-06 -30 *27579:B1 *4118:50 0.000339346 -31 *27589:B2 *4118:29 2.59355e-05 -32 *27953:B2 *4118:50 0.000173472 -33 *28283:CLK *29886:A 2.59355e-05 -34 *29883:A *4118:26 0.000257619 -35 *30250:A *4118:26 0.00012542 -36 *30535:A *4118:26 7.30957e-06 -37 *1214:11 *29886:A 0.000560353 -38 *1287:109 *4118:50 0.000778502 -39 *1449:9 *25129:A1 6.90625e-05 -40 *1449:20 *25129:A1 4.18895e-05 -41 *1450:16 *25129:A1 0 -42 *1488:33 *29886:A 0.000129584 -43 *1875:15 *29886:A 0.000179904 -44 *2889:289 *4118:29 5.52302e-05 -45 *3136:12 *4118:50 0.00015775 -46 *3165:343 *4118:26 0.000261751 -47 *3165:355 *27949:A1 0.000143706 -48 *3196:179 *4118:26 6.05161e-06 -49 *3541:38 *4118:26 8.78746e-05 -50 *3564:103 *27949:A1 0.000424029 -51 *3626:21 *29886:A 4.97178e-05 -52 *3626:34 *29886:A 5.66157e-05 -53 *3700:52 *27949:A1 0.000424029 -54 *3702:26 *4118:29 0.000120236 -55 *3702:26 *4118:50 0.000152155 -56 *3975:31 *4118:50 0.000214464 -57 *4066:46 *4118:50 0.000141804 -58 *4087:22 *27949:A1 0.00014212 -*RES -1 *28283:Q *4118:4 9.3 -2 *4118:4 *29886:A 31.0679 -3 *4118:4 *4118:26 46.5536 -4 *4118:26 *4118:29 13.25 -5 *4118:29 *27589:A1 9.72857 -6 *4118:29 *4118:50 45.7143 -7 *4118:50 *27949:A1 28.9786 -8 *4118:50 *25129:A1 21.2107 -*END - -*D_NET *4119 0.0252195 -*CONN -*I *29422:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25315:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27344:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27690:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28523:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *29422:A 0.000178634 -2 *25315:A1 0.000133768 -3 *27344:B2 0.000345222 -4 *27690:B2 0 -5 *28523:Q 3.54457e-05 -6 *4119:26 0.00195854 -7 *4119:24 0.00197709 -8 *4119:13 0.00130823 -9 *4119:8 0.0017 -10 *4119:7 0.000835855 -11 *27344:B2 *5655:201 5.0416e-05 -12 *27344:B2 *5655:203 1.53262e-05 -13 *29422:A *26938:A1 5.33005e-05 -14 *29422:A *28523:D 1.08359e-05 -15 *29422:A *28611:SET_B 0.000175891 -16 *29422:A *5768:93 0.000121573 -17 *4119:7 *28523:D 5.03772e-05 -18 *4119:7 *28523:SET_B 5.52302e-05 -19 *4119:7 *5768:79 6.23213e-05 -20 *4119:8 *28523:D 2.94103e-05 -21 *4119:8 *5638:136 6.95652e-05 -22 *4119:13 *5638:136 4.86139e-05 -23 *4119:13 *5642:151 7.95355e-05 -24 *4119:24 *5584:250 0.000109878 -25 *4119:24 *5609:219 8.34418e-05 -26 *4119:24 *5642:151 1.98839e-05 -27 *4119:24 *5655:217 0.000148911 -28 *4119:24 *5764:274 6.81196e-05 -29 *4119:26 *28571:SET_B 1.94879e-05 -30 *4119:26 *5655:203 0.0037504 -31 *4119:26 *5655:217 0.000147559 -32 *25287:A1 *27344:B2 0.000177821 -33 *25287:A1 *4119:26 0.000741375 -34 *25315:A2 *25315:A1 3.28686e-05 -35 *27137:S *4119:26 0.000719805 -36 *27140:S *4119:26 0.000577322 -37 *27336:A1 *4119:26 0.000287538 -38 *27344:A1 *27344:B2 9.02017e-05 -39 *27344:B1 *27344:B2 3.29905e-05 -40 *27677:C1 *4119:26 0.000144624 -41 *27682:B *4119:26 2.04825e-05 -42 *27747:A1 *4119:13 0.000600048 -43 *28729:CLK *4119:8 0.00020212 -44 *29120:A *29422:A 5.33005e-05 -45 *30365:A *4119:13 0.000180348 -46 *1265:128 *4119:24 5.41797e-06 -47 *1265:128 *4119:26 9.03127e-05 -48 *1292:110 *29422:A 0.000313829 -49 *1292:110 *4119:8 0.000917109 -50 *1633:13 *25315:A1 5.33005e-05 -51 *1633:13 *4119:13 0.000347644 -52 *1697:50 *4119:8 7.83587e-05 -53 *1826:251 *4119:8 1.40849e-05 -54 *2791:199 *4119:7 4.12921e-06 -55 *2791:199 *4119:8 0.000761055 -56 *2886:258 *4119:26 0.000167818 -57 *2888:258 *25315:A1 9.35114e-06 -58 *2892:197 *27344:B2 6.05161e-06 -59 *3226:14 *4119:24 0.0005667 -60 *3781:22 *4119:8 5.54856e-05 -61 *3781:22 *4119:13 0.0019692 -62 *3898:35 *4119:13 8.85532e-05 -63 *3898:35 *4119:24 2.59355e-05 -64 *3898:41 *4119:24 9.7187e-05 -65 *3907:32 *27344:B2 8.70269e-05 -66 *3907:32 *4119:24 1.18451e-05 -67 *3907:32 *4119:26 0.000724805 -68 *4044:13 *4119:13 0.000906863 -69 *4057:10 *4119:8 6.35388e-05 -70 *4080:22 *4119:8 0.000305207 -71 *4080:29 *25315:A1 4.49377e-05 -*RES -1 *28523:Q *4119:7 14.9518 -2 *4119:7 *4119:8 18.0357 -3 *4119:8 *4119:13 34.1429 -4 *4119:13 *27690:B2 9.3 -5 *4119:13 *4119:24 14.0446 -6 *4119:24 *4119:26 53.5536 -7 *4119:26 *27344:B2 20.1839 -8 *4119:8 *25315:A1 15.9786 -9 *4119:7 *29422:A 18.7107 -*END - -*D_NET *4120 0.0171545 -*CONN -*I *27703:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27369:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25243:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29222:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28524:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27703:A1 0.000184066 -2 *27369:B2 0.000304474 -3 *25243:B2 0 -4 *29222:A 0 -5 *28524:Q 0.000245177 -6 *4120:47 0.00152242 -7 *4120:30 0.00288308 -8 *4120:20 0.00152581 -9 *4120:11 0.00053073 -10 *27703:A1 *27703:B1 4.92107e-05 -11 *4120:11 *26940:A1 0.000259542 -12 *4120:11 *28729:D 3.69047e-06 -13 *4120:11 *5587:102 4.00679e-05 -14 *4120:11 *5629:172 0.000220441 -15 *4120:11 *5646:252 0.000218734 -16 *4120:20 *26940:A1 0.000125547 -17 *4120:20 *5582:156 2.06178e-05 -18 *4120:20 *5587:102 4.53889e-05 -19 *4120:20 *5702:29 0.000576527 -20 *4120:30 *5658:160 7.83659e-05 -21 *4120:30 *5702:29 0.00117695 -22 *4120:47 *27702:A2 0.000111512 -23 *4120:47 *5645:156 0.00077618 -24 *4120:47 *5879:66 0.000118982 -25 *25243:B1 *4120:47 2.05787e-05 -26 *25243:C1 *4120:47 0 -27 *27369:B1 *27369:B2 5.24614e-05 -28 *27690:B1 *4120:47 0.000221795 -29 *27699:A1 *4120:30 4.34472e-05 -30 *27702:A1 *4120:47 0.000162863 -31 *27703:A2 *27703:A1 0.000196323 -32 *27703:B2 *27703:A1 6.95005e-06 -33 *30364:A *4120:47 5.7248e-05 -34 *1258:33 *4120:47 1.28809e-05 -35 *1258:49 *4120:47 2.14815e-05 -36 *1393:23 *4120:30 0.000100477 -37 *1697:50 *4120:11 6.57032e-05 -38 *2761:67 *4120:30 2.11419e-05 -39 *2769:31 *4120:30 0.000137983 -40 *2772:63 *4120:11 1.39841e-05 -41 *2791:163 *4120:11 9.41642e-05 -42 *2852:142 *27369:B2 0.000604856 -43 *2852:142 *4120:47 0.000983463 -44 *2852:161 *4120:47 0.000109132 -45 *2864:321 *4120:20 4.15526e-05 -46 *2895:316 *27369:B2 0.000205853 -47 *3164:346 *4120:47 8.33559e-05 -48 *3179:167 *4120:30 9.07819e-05 -49 *3179:186 *4120:30 5.74683e-05 -50 *3252:13 *4120:47 5.49489e-05 -51 *3581:138 *4120:20 1.90936e-05 -52 *3678:47 *4120:30 0.000654514 -53 *3821:17 *4120:30 1.79038e-05 -54 *3821:19 *4120:30 0.000650718 -55 *3914:26 *4120:47 0.000214006 -56 *3963:42 *4120:20 0.000253158 -57 *3963:42 *4120:30 0.000359644 -58 *4094:20 *4120:30 0.000507042 -*RES -1 *28524:Q *4120:11 25.1036 -2 *4120:11 *29222:A 9.3 -3 *4120:11 *4120:20 13.5714 -4 *4120:20 *4120:30 43.6429 -5 *4120:30 *4120:47 46.0223 -6 *4120:47 *25243:B2 9.3 -7 *4120:30 *27369:B2 16.8759 -8 *4120:20 *27703:A1 16.9429 -*END - -*D_NET *4121 0.0561733 -*CONN -*I *30197:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25186:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *24851:A I *D sky130_fd_sc_hd__inv_2 -*I *27378:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27727:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28525:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *30197:A 7.55461e-05 -2 *25186:A1 0.000344854 -3 *24851:A 0.00198468 -4 *27378:A1 0.000925887 -5 *27727:A1 0.000891893 -6 *28525:Q 3.2426e-05 -7 *4121:87 0.00444998 -8 *4121:81 0.00289116 -9 *4121:77 0.00170278 -10 *4121:69 0.00222091 -11 *4121:62 0.00346095 -12 *4121:30 0.00417918 -13 *4121:24 0.00132343 -14 *4121:7 0.00141164 -15 *4121:5 0.000385479 -16 *27727:A1 *26937:B 0.00012303 -17 *27727:A1 *28525:D 0.000112839 -18 *4121:7 *26937:B 0.000125084 -19 *4121:7 *28525:D 0.000174238 -20 *4121:24 *5645:235 1.18834e-05 -21 *4121:24 *5650:352 0.000148903 -22 *4121:24 *5683:106 0 -23 *4121:30 *5658:130 0.000729822 -24 *4121:30 *5683:106 8.03141e-05 -25 *4121:62 *27117:A 0.000820373 -26 *4121:62 *27125:S 8.15672e-05 -27 *4121:62 *28655:D 6.14836e-06 -28 *4121:62 *5604:121 0.00017191 -29 *4121:62 *5635:147 0 -30 *4121:62 *5658:130 4.53834e-05 -31 *4121:62 *5683:166 0.000217552 -32 *4121:62 *5718:387 1.75318e-05 -33 *4121:69 *28600:D 0.000125731 -34 *4121:69 *4138:39 0.00202678 -35 *4121:69 *5635:120 0.000189755 -36 *4121:81 *5631:26 1.81805e-05 -37 *4121:81 *5714:47 0.000317594 -38 *4121:87 *5643:316 6.20031e-05 -39 *24988:A1 *4121:81 0.000360102 -40 *25066:B1 *4121:69 0.000214517 -41 *25066:B1 *4121:77 0.00055204 -42 *25186:A2 *25186:A1 0.000114596 -43 *27421:B1 *4121:62 4.8817e-05 -44 *27422:A2 *4121:62 5.16732e-06 -45 *27422:B2 *4121:62 4.8817e-05 -46 *27423:B1 *4121:62 0.000136625 -47 *27482:A1 *4121:77 0.000274489 -48 *27727:B2 *27727:A1 0.000797292 -49 *27772:A *27378:A1 0.000139913 -50 *27775:C1 *4121:62 0.000168302 -51 *28525:CLK *27727:A1 0.000216755 -52 *30198:A *4121:24 0.000150618 -53 *1258:106 *4121:87 0.00041239 -54 *1261:41 *4121:77 0.0002682 -55 *1268:95 *24851:A 4.87854e-05 -56 *1269:134 *4121:24 9.99989e-05 -57 *1275:195 *25186:A1 0.000222444 -58 *1363:127 *4121:77 0.000999243 -59 *1363:127 *4121:81 7.24339e-05 -60 *1826:170 *4121:62 0.000527103 -61 *1826:178 *4121:62 0.000835358 -62 *2765:18 *30197:A 8.25801e-05 -63 *2773:94 *27727:A1 0.000570003 -64 *2786:80 *4121:62 8.09779e-05 -65 *2867:249 *4121:62 0.000106768 -66 *2871:224 *4121:87 0.00080258 -67 *2871:393 *4121:62 0.0011307 -68 *2871:393 *4121:69 0.000120151 -69 *2882:282 *27378:A1 8.25843e-06 -70 *2882:303 *27378:A1 0.00105352 -71 *3040:19 *4121:77 0.000207612 -72 *3040:19 *4121:81 0.000320875 -73 *3164:319 *4121:24 0.00027111 -74 *3164:319 *4121:30 0.000113161 -75 *3165:85 *4121:30 0.000239993 -76 *3165:89 *27727:A1 8.17274e-05 -77 *3165:99 *27727:A1 5.33005e-05 -78 *3174:224 *4121:87 0.000173452 -79 *3176:254 *4121:62 0 -80 *3179:67 *4121:87 0.000134547 -81 *3215:199 *4121:62 0.00018973 -82 *3215:286 *4121:62 0.000939068 -83 *3565:24 *27378:A1 2.04825e-05 -84 *3565:24 *4121:30 0.000100272 -85 *3565:24 *4121:62 0.000870722 -86 *3580:94 *27378:A1 0.00100203 -87 *3746:22 *4121:62 0.000112287 -88 *3748:38 *24851:A 0.00109758 -89 *3798:15 *4121:69 0.0025139 -90 *3798:15 *4121:77 0.00220365 -91 *3798:15 *4121:81 0.00121048 -92 *3798:40 *4121:62 0.000118715 -93 *3852:24 *4121:81 0.000265378 -94 *3887:17 *27727:A1 0.000219289 -95 *3928:20 *4121:62 4.40015e-05 -96 *3939:94 *4121:30 0.000749949 -97 *3939:102 *4121:24 5.3252e-05 -98 *3939:102 *4121:30 9.94362e-05 -99 *3967:18 *30197:A 0.000169371 -100 *4108:49 *4121:69 4.09646e-05 -*RES -1 *28525:Q *4121:5 9.83571 -2 *4121:5 *4121:7 5.46429 -3 *4121:7 *27727:A1 30.0321 -4 *4121:7 *4121:24 11.6071 -5 *4121:24 *4121:30 20.3661 -6 *4121:30 *27378:A1 33.3625 -7 *4121:30 *4121:62 35.6022 -8 *4121:62 *4121:69 35.9286 -9 *4121:69 *4121:77 39.1786 -10 *4121:77 *4121:81 20.2589 -11 *4121:81 *4121:87 47.0893 -12 *4121:87 *24851:A 38.2107 -13 *4121:24 *25186:A1 19.2643 -14 *4121:5 *30197:A 20.55 -*END - -*D_NET *4122 0.0258765 -*CONN -*I *30334:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27410:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25077:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27750:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28526:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *30334:A 0.000308522 -2 *27410:A1 0 -3 *25077:A1 0.0010407 -4 *27750:A1 0 -5 *28526:Q 0.000184585 -6 *4122:43 0.00261329 -7 *4122:39 0.00296403 -8 *4122:28 0.00277461 -9 *4122:8 0.00198302 -10 *4122:7 0.00109295 -11 *25077:A1 *4146:84 0.000362272 -12 *30334:A *26942:A1 6.98302e-05 -13 *4122:7 *28526:D 1.66373e-05 -14 *4122:7 *28526:RESET_B 8.48353e-05 -15 *4122:8 *26942:A1 0.000244366 -16 *4122:8 *28590:D 0.000174805 -17 *4122:8 *5630:292 1.75243e-05 -18 *4122:8 *5689:85 7.23483e-05 -19 *4122:8 *5689:96 0.00038571 -20 *4122:8 *5689:165 2.06112e-05 -21 *4122:28 *27748:B1 0.000125235 -22 *4122:28 *27750:B1 0.000162276 -23 *4122:28 *4135:45 0.000570402 -24 *4122:28 *5630:292 0 -25 *4122:28 *5643:250 1.41295e-05 -26 *4122:28 *5649:243 0.00035936 -27 *4122:28 *5704:18 5.78416e-05 -28 *4122:39 *27762:A2 0 -29 *4122:39 *5652:61 0.000917265 -30 *4122:39 *5656:153 0 -31 *4122:43 *28731:RESET_B 0 -32 *4122:43 *4128:52 0.00011705 -33 *4122:43 *5617:92 0.00010633 -34 *4122:43 *5656:137 0 -35 *25077:A2 *25077:A1 5.55213e-05 -36 *25157:C1 *4122:43 0.000123359 -37 *25256:A *4122:43 0 -38 *25257:B *4122:43 0.000187276 -39 *27401:A2 *4122:39 0.000450383 -40 *27401:B1 *4122:39 0.000179867 -41 *27410:B1 *4122:39 5.37741e-06 -42 *27410:C1 *4122:28 3.2293e-05 -43 *27411:C1 *4122:28 0.000136562 -44 *27750:B2 *4122:8 0.000120504 -45 *27765:B2 *4122:28 0.00056234 -46 *27766:A *4122:28 2.37944e-05 -47 *27766:B *30334:A 3.34783e-05 -48 *27766:B *4122:8 0.000334096 -49 *27766:B *4122:28 0.00105973 -50 *28550:CLK *30334:A 1.33343e-05 -51 *30207:A *4122:43 0.000123352 -52 *1272:40 *4122:43 5.92442e-05 -53 *1398:11 *25077:A1 0.000135028 -54 *1414:10 *4122:39 0.000127246 -55 *1435:143 *4122:39 0 -56 *1476:238 *4122:43 0 -57 *1634:16 *4122:43 0 -58 *2761:134 *4122:43 0.00042217 -59 *2775:156 *4122:43 0.000203626 -60 *2844:318 *4122:39 0.000241296 -61 *2872:299 *4122:28 0.000965541 -62 *2882:246 *4122:28 0.00015546 -63 *3680:19 *30334:A 1.02504e-05 -64 *3680:34 *4122:28 1.39429e-05 -65 *3729:38 *4122:39 6.46849e-05 -66 *3875:118 *4122:43 0 -67 *3959:63 *4122:43 1.15916e-05 -68 *4039:39 *4122:28 2.14474e-05 -69 *4063:13 *4122:43 0.000198337 -70 *4070:39 *4122:28 0.000701596 -71 *4070:44 *4122:28 0.000968832 -72 *4070:44 *4122:39 7.07451e-06 -73 *4070:48 *4122:39 2.87726e-05 -74 *4070:48 *4122:43 0.000345924 -75 *4070:50 *4122:43 0.000201635 -76 *4109:36 *4122:8 0.000710995 -*RES -1 *28526:Q *4122:7 15.9786 -2 *4122:7 *4122:8 18.0357 -3 *4122:8 *27750:A1 13.8 -4 *4122:8 *4122:28 48.2321 -5 *4122:28 *4122:39 35.3482 -6 *4122:39 *4122:43 38.7768 -7 *4122:43 *25077:A1 25.4429 -8 *4122:28 *27410:A1 9.3 -9 *4122:7 *30334:A 18.6929 -*END - -*D_NET *4123 0.0145134 -*CONN -*I *29084:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27789:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27434:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25064:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28527:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29084:A 0 -2 *27789:B2 1.80483e-05 -3 *27434:A1 0.000236276 -4 *25064:B2 0 -5 *28527:Q 8.97624e-05 -6 *4123:33 0.00137957 -7 *4123:20 0.0024083 -8 *4123:14 0.00104673 -9 *4123:9 0.000325991 -10 *27434:A1 *30875:A 0.000229761 -11 *27434:A1 *5587:169 0.000178425 -12 *27434:A1 *5645:220 4.88232e-05 -13 *27434:A1 *6005:32 0.000127359 -14 *4123:9 *26943:A1 5.33005e-05 -15 *4123:9 *4512:14 1.02504e-05 -16 *4123:9 *5651:357 5.1588e-05 -17 *4123:14 *26943:A1 0.000219711 -18 *4123:14 *5810:34 1.02504e-05 -19 *4123:14 *5810:47 0.000253796 -20 *4123:20 *26945:A0 1.90936e-05 -21 *4123:20 *5651:326 0.000524706 -22 *4123:33 *27433:B1 6.53397e-05 -23 *4123:33 *28703:RESET_B 0.000301151 -24 *4123:33 *5643:192 1.90936e-05 -25 *4123:33 *5651:326 0.000856238 -26 *4123:33 *5656:310 4.21517e-05 -27 *4123:33 *6005:32 2.06112e-05 -28 *4123:33 *6243:9 7.83659e-05 -29 *25043:A2 *4123:33 9.91086e-05 -30 *25064:A1 *4123:33 0.000149004 -31 *25064:A2 *4123:33 0.00015546 -32 *25070:C *4123:33 9.49306e-05 -33 *27434:A2 *27434:A1 1.46576e-05 -34 *27436:B1 *4123:33 5.93875e-05 -35 *27437:C *27434:A1 1.6068e-05 -36 *27437:C *4123:20 5.41797e-06 -37 *27437:C *4123:33 3.1796e-05 -38 *27437:D *4123:33 0.00028939 -39 *27789:A1 *27789:B2 5.49544e-05 -40 *27789:A1 *4123:14 2.15339e-05 -41 *27789:A1 *4123:20 0.000142869 -42 *27789:B1 *4123:14 1.34355e-05 -43 *27789:B1 *4123:20 0.000182117 -44 *30501:A *4123:9 5.31122e-05 -45 *1260:135 *27434:A1 3.03009e-05 -46 *1267:178 *4123:33 3.39139e-05 -47 *1267:202 *4123:33 3.20097e-05 -48 *1269:154 *4123:9 4.27935e-05 -49 *1269:154 *4123:14 0.000219711 -50 *2760:135 *4123:33 2.04825e-05 -51 *2761:38 *4123:20 9.87385e-05 -52 *2761:53 *27789:B2 2.59355e-05 -53 *2993:27 *27434:A1 1.21258e-05 -54 *3164:304 *27434:A1 0.00011457 -55 *3165:74 *4123:20 1.64442e-05 -56 *3165:74 *4123:33 0.000764118 -57 *3206:249 *27434:A1 0.000112323 -58 *3335:14 *4123:14 0.000296924 -59 *3338:8 *4123:9 3.78849e-05 -60 *3798:98 *4123:33 0.0001326 -61 *3800:64 *4123:33 0.0013352 -62 *3800:69 *4123:9 4.28628e-05 -63 *3811:44 *4123:14 1.68854e-05 -64 *3811:44 *4123:20 0.00112969 -*RES -1 *28527:Q *4123:9 20.7821 -2 *4123:9 *4123:14 11.3571 -3 *4123:14 *4123:20 21.2768 -4 *4123:20 *4123:33 44.7768 -5 *4123:33 *25064:B2 9.3 -6 *4123:20 *27434:A1 24.2863 -7 *4123:14 *27789:B2 14.3357 -8 *4123:9 *29084:A 9.3 -*END - -*D_NET *4124 0.0641564 -*CONN -*I *25014:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27441:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27798:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *29720:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28528:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25014:A1 0.000404874 -2 *27441:A1 0.00184599 -3 *27798:A1 0.000936595 -4 *29720:A 0 -5 *28528:Q 2.23854e-05 -6 *4124:116 0.00281928 -7 *4124:104 0.00216319 -8 *4124:102 0.00193288 -9 *4124:93 0.00225755 -10 *4124:85 0.00194274 -11 *4124:59 0.00222127 -12 *4124:58 0.00209144 -13 *4124:43 0.00280632 -14 *4124:19 0.00143035 -15 *4124:5 0.00261925 -16 *25014:A1 *25014:B1 1.02871e-05 -17 *27441:A1 *27797:A2 1.397e-05 -18 *27441:A1 *5642:35 4.83923e-05 -19 *27441:A1 *5645:47 0.000345969 -20 *27798:A1 *27836:B1 0.000357814 -21 *27798:A1 *28577:D 1.21258e-05 -22 *27798:A1 *5656:281 0.000178425 -23 *27798:A1 *5676:110 4.59261e-05 -24 *27798:A1 *5680:168 0.000178425 -25 *4124:19 *25718:A0 4.58194e-05 -26 *4124:19 *26988:A0 4.28922e-05 -27 *4124:19 *5910:69 0.000139254 -28 *4124:19 *6004:15 0.000178425 -29 *4124:43 *25718:A0 1.98839e-05 -30 *4124:43 *25718:A1 9.41642e-05 -31 *4124:43 *28191:D 3.05203e-05 -32 *4124:43 *5681:16 0.000196262 -33 *4124:58 *4688:15 1.83505e-05 -34 *4124:58 *5528:55 0.000810201 -35 *4124:58 *5601:97 0.0002029 -36 *4124:58 *5602:100 0 -37 *4124:58 *5766:29 2.35215e-05 -38 *4124:58 *6001:17 2.16755e-05 -39 *4124:59 *28577:D 7.80759e-05 -40 *4124:59 *5676:125 2.17473e-05 -41 *4124:85 *28513:D 3.03813e-05 -42 *4124:85 *5602:100 0.000202161 -43 *4124:93 *5602:100 0.000505282 -44 *4124:104 *25012:A2 0.00181252 -45 *4124:104 *28512:D 0.000341525 -46 *4124:104 *4150:22 0.000265217 -47 *4124:104 *5529:46 0.000731801 -48 *4124:104 *5606:94 0.00203152 -49 *4124:116 *25706:A0 5.33005e-05 -50 *4124:116 *26935:A0 3.92757e-05 -51 *4124:116 *28520:D 0.00031275 -52 *4124:116 *29929:A 0.000153193 -53 *4124:116 *30865:A 1.94945e-05 -54 *4124:116 *4150:65 0.000477956 -55 *4124:116 *5602:53 0.000204014 -56 *4124:116 *5678:81 0.000373691 -57 *4124:116 *5755:92 0.000935818 -58 *24993:A1 *4124:93 0.000819252 -59 *25012:B1 *4124:104 5.10822e-05 -60 *25014:A2 *25014:A1 1.46316e-05 -61 *25721:A1 *4124:43 5.00194e-05 -62 *26973:A *4124:19 0.000139254 -63 *27441:A2 *27441:A1 0.000129301 -64 *27441:B2 *27441:A1 0.000156414 -65 *27796:A1 *27441:A1 1.21421e-05 -66 *27797:A1 *27441:A1 5.49544e-05 -67 *27797:B2 *27441:A1 7.45939e-06 -68 *27797:C1 *27441:A1 0.000111727 -69 *27799:C1 *27798:A1 3.10499e-05 -70 *27836:B2 *27798:A1 2.59355e-05 -71 *28191:CLK *4124:43 4.58194e-05 -72 *29072:A *4124:59 7.20217e-06 -73 *29214:A *4124:93 0.000247771 -74 *29903:A *4124:43 1.44355e-05 -75 *30044:A *4124:93 0.00031688 -76 *30053:A *27798:A1 0.000551681 -77 *30406:A *4124:102 0.000126439 -78 *30410:A *4124:116 3.34366e-05 -79 *244:65 *4124:19 0.000629199 -80 *244:65 *4124:85 0.00200427 -81 *1268:123 *4124:93 0.000775167 -82 *1274:170 *4124:93 0.00146505 -83 *1338:7 *25014:A1 1.07719e-05 -84 *1338:11 *25014:A1 7.6644e-05 -85 *1339:36 *4124:104 0.000799043 -86 *1352:19 *4124:104 0.00103721 -87 *1382:16 *4124:19 0.00050843 -88 *2759:149 *4124:43 0.000192769 -89 *2763:25 *4124:19 0.000119376 -90 *2763:55 *4124:85 3.16331e-05 -91 *2763:58 *4124:85 0.00023938 -92 *2763:58 *4124:93 7.39819e-05 -93 *2764:120 *4124:85 0.00162386 -94 *2769:98 *25014:A1 3.06803e-05 -95 *2770:18 *4124:43 0.000624306 -96 *2770:18 *4124:58 0.0019299 -97 *2770:27 *4124:58 7.69771e-05 -98 *2772:160 *4124:58 0.000111065 -99 *2772:177 *4124:102 0.00194528 -100 *2772:196 *4124:116 1.21746e-05 -101 *2773:46 *4124:43 0.000620759 -102 *2773:46 *4124:58 0.00138857 -103 *2775:14 *4124:19 0.000588587 -104 *2776:117 *4124:116 5.46937e-05 -105 *2776:144 *4124:93 0.000839707 -106 *2888:170 *27441:A1 5.7616e-05 -107 *3343:10 *27441:A1 0.000139208 -108 *3558:224 *4124:102 0.00207631 -109 *3558:224 *4124:104 4.07656e-05 -110 *3574:43 *4124:116 6.06291e-05 -111 *3574:53 *4124:104 7.16652e-05 -112 *3617:130 *4124:19 0.000166071 -113 *3630:10 *4124:102 3.86879e-05 -114 *3630:10 *4124:104 0.000267554 -115 *3630:41 *4124:102 0.000185433 -116 *3631:10 *4124:116 3.69697e-05 -117 *3631:12 *4124:116 0.000251695 -118 *3733:51 *4124:19 0.000521825 -119 *3748:15 *27441:A1 0.000105471 -120 *3787:29 *27441:A1 5.59013e-05 -121 *3864:23 *4124:116 0.000206117 -122 *3864:39 *4124:116 0.00078808 -123 *3891:65 *27798:A1 0.000119738 -124 *4072:32 *25014:A1 0.00017039 -125 *4097:11 *4124:43 2.59355e-05 -126 *4099:8 *4124:93 0.000238914 -127 *4108:75 *25014:A1 0.000250068 -128 *4108:75 *4124:93 0.000425953 -*RES -1 *28528:Q *4124:5 9.72857 -2 *4124:5 *4124:19 41.2082 -3 *4124:19 *29720:A 9.3 -4 *4124:5 *4124:43 36.0396 -5 *4124:43 *4124:58 46.8196 -6 *4124:58 *4124:59 5.05357 -7 *4124:59 *27798:A1 33.3893 -8 *4124:59 *4124:85 37.6636 -9 *4124:85 *4124:93 41.8482 -10 *4124:93 *4124:102 35.0268 -11 *4124:102 *4124:104 52.2768 -12 *4124:104 *4124:116 43.625 -13 *4124:116 *27441:A1 45.0857 -14 *4124:93 *25014:A1 24.9071 -*END - -*D_NET *4125 0.0354152 -*CONN -*I *30076:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27824:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27476:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *24987:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28529:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *30076:A 0.000241559 -2 *27824:A1 3.26257e-05 -3 *27476:B2 0.000241501 -4 *24987:B2 0.000139374 -5 *28529:Q 0.000693682 -6 *4125:53 0.00230853 -7 *4125:42 0.00397394 -8 *4125:24 0.00425784 -9 *4125:23 0.00404058 -10 *4125:13 0.00273164 -11 *27476:B2 *5631:36 0.000529528 -12 *27824:A1 *27824:B1 5.33005e-05 -13 *30076:A *5692:17 0.000481282 -14 *30076:A *5863:143 0 -15 *30076:A *5928:20 0.000481282 -16 *4125:13 *28190:D 1.01809e-05 -17 *4125:13 *4218:18 0.000349181 -18 *4125:13 *4320:16 2.06178e-05 -19 *4125:23 *28575:RESET_B 0.000473199 -20 *4125:23 *4218:18 3.92946e-06 -21 *4125:23 *5630:230 0.000505875 -22 *4125:23 *5643:203 0.00049013 -23 *4125:23 *5767:201 0.000225334 -24 *4125:23 *5824:286 1.54309e-05 -25 *4125:24 *27096:A1 3.6337e-05 -26 *4125:24 *29046:A 4.6473e-05 -27 *4125:24 *4151:32 1.01912e-05 -28 *4125:24 *5630:217 5.31234e-05 -29 *4125:24 *5642:126 0 -30 *4125:24 *5652:149 7.94767e-05 -31 *4125:24 *5652:166 0.000113049 -32 *4125:24 *5662:76 5.26224e-05 -33 *4125:24 *5767:166 0.000122597 -34 *4125:24 *5767:197 5.60631e-05 -35 *4125:24 *5836:40 0.000102602 -36 *4125:24 *5863:161 0 -37 *4125:42 *27824:B1 7.4271e-05 -38 *4125:42 *5603:17 2.68343e-05 -39 *4125:42 *5645:190 8.81348e-05 -40 *4125:42 *5645:198 0.000776994 -41 *4125:42 *5662:76 5.77685e-05 -42 *4125:53 *27824:B1 1.72797e-05 -43 *4125:53 *5633:60 9.41642e-05 -44 *4125:53 *5647:49 0.000136676 -45 *4125:53 *5662:52 1.26641e-05 -46 *24987:A1 *24987:B2 0.00010042 -47 *24987:A1 *4125:53 0.000103977 -48 *24987:A2 *24987:B2 3.28686e-05 -49 *24987:B1 *24987:B2 0.00033655 -50 *24987:B1 *4125:53 0.00203797 -51 *24994:B2 *27824:A1 1.98839e-05 -52 *24994:B2 *4125:53 2.34666e-05 -53 *27426:A1 *4125:13 1.14638e-05 -54 *27476:B1 *27476:B2 6.17099e-05 -55 *27823:B2 *4125:53 4.19624e-06 -56 *27824:A2 *27824:A1 9.41642e-05 -57 *30077:A *4125:13 2.06178e-05 -58 *30157:A *4125:24 9.60337e-06 -59 *1258:90 *4125:42 0.000554315 -60 *1258:100 *4125:42 0.000192918 -61 *1261:53 *4125:42 1.4477e-06 -62 *1275:105 *4125:53 0.000591151 -63 *1304:6 *30076:A 6.87776e-05 -64 *1304:16 *4125:24 0.00417079 -65 *1304:27 *4125:24 1.38032e-05 -66 *1313:8 *27476:B2 0.000457262 -67 *1371:51 *4125:23 0 -68 *1638:36 *4125:42 4.47e-05 -69 *2892:161 *4125:53 9.41642e-05 -70 *2892:219 *4125:42 6.30472e-05 -71 *3164:267 *4125:42 9.88264e-06 -72 *3164:267 *4125:53 4.30608e-05 -73 *3164:281 *4125:42 4.08789e-05 -74 *3164:281 *4125:53 0.000159223 -75 *3165:55 *4125:42 6.90381e-06 -76 *3179:238 *4125:24 2.03606e-05 -77 *3179:244 *4125:13 0.000247311 -78 *3206:229 *4125:53 0.000124875 -79 *3378:8 *30076:A 5.28738e-05 -80 *3378:26 *4125:23 0.000130991 -81 *3386:10 *4125:24 0 -82 *3386:22 *4125:42 0 -83 *3386:22 *4125:53 0 -84 *3681:17 *4125:24 0 -85 *3760:10 *27476:B2 4.53834e-05 -86 *3760:12 *27476:B2 1.17921e-05 -87 *3800:46 *4125:53 0.000123153 -88 *3876:16 *4125:24 4.43299e-05 -89 *3995:58 *4125:13 0.000555788 -90 *3995:58 *4125:23 0.00013087 -91 *4073:22 *4125:24 3.68284e-05 -92 *4073:39 *4125:42 0.000263533 -*RES -1 *28529:Q *4125:13 19.3919 -2 *4125:13 *4125:23 15.0271 -3 *4125:23 *4125:24 55.375 -4 *4125:24 *4125:42 18.958 -5 *4125:42 *4125:53 48.5357 -6 *4125:53 *24987:B2 13.0143 -7 *4125:53 *27476:B2 26.7821 -8 *4125:42 *27824:A1 14.7464 -9 *4125:13 *30076:A 24.5582 -*END - -*D_NET *4126 0.0453485 -*CONN -*I *25376:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *27485:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27848:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *29364:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28279:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25376:A1 0.000132392 -2 *27485:B2 0.000335306 -3 *27848:B2 0.000172313 -4 *29364:A 0 -5 *28279:Q 2.04426e-05 -6 *4126:85 0.00469846 -7 *4126:84 0.00706973 -8 *4126:48 0.00202763 -9 *4126:39 0.00281276 -10 *4126:11 0.00157713 -11 *4126:7 0.00280849 -12 *27485:B2 *27489:A1 0.000156835 -13 *27485:B2 *5461:7 0.000140877 -14 *27848:B2 *5711:10 0.000441356 -15 *4126:7 *5712:31 2.59355e-05 -16 *4126:39 *29106:A 0.000136676 -17 *4126:39 *4186:20 7.07467e-05 -18 *4126:39 *5007:31 0.000161841 -19 *4126:39 *5699:106 0.000109742 -20 *4126:39 *5706:159 0.000294094 -21 *4126:48 *25491:C 2.86821e-05 -22 *4126:48 *29000:A 0.000196269 -23 *4126:48 *4127:49 0.000307424 -24 *4126:48 *5711:10 0.000909332 -25 *4126:84 *4159:56 0.00153282 -26 *4126:84 *5007:31 0.000204051 -27 *4126:84 *5699:41 0.000331699 -28 *4126:85 *5623:137 0.00103539 -29 *4126:85 *5653:61 0.00038021 -30 *4126:85 *5691:61 0.00203923 -31 *4126:85 *5848:27 0.000382744 -32 *6564:DIODE *27485:B2 4.00679e-05 -33 *25331:C1 *25376:A1 2.16603e-05 -34 *25429:A3 *4126:11 0.000261472 -35 *25429:A3 *4126:39 0.000211255 -36 *25461:A1 *4126:39 0.000168996 -37 *27485:A1 *27485:B2 1.21436e-05 -38 *27489:A2 *27485:B2 0.000135028 -39 *27489:B2 *27485:B2 5.33005e-05 -40 *27490:B1 *27485:B2 0.000300406 -41 *27848:B1 *27848:B2 1.18177e-05 -42 *27913:A1 *4126:39 3.23569e-05 -43 *27913:B2 *4126:39 6.88458e-06 -44 *28066:RESET_B *4126:7 5.33005e-05 -45 *28717:CLK *4126:39 0.000475343 -46 *30289:A *4126:84 1.90936e-05 -47 *30537:A *4126:39 0.000110238 -48 *1242:37 *25376:A1 0.000308587 -49 *1448:141 *25376:A1 0.000263315 -50 *1456:82 *4126:39 0 -51 *1603:36 *4126:39 0.000146018 -52 *1730:19 *4126:85 6.77971e-05 -53 *1730:41 *4126:84 0.00253949 -54 *1738:106 *4126:11 0.000128331 -55 *1738:106 *4126:39 0.000117553 -56 *1868:49 *4126:11 0.000407357 -57 *1868:49 *4126:84 0.000212206 -58 *1877:42 *4126:48 0.000507418 -59 *1877:53 *4126:39 0.000363716 -60 *1888:27 *4126:84 0.000176361 -61 *1888:39 *4126:39 6.09762e-05 -62 *2834:109 *4126:48 0 -63 *2855:103 *4126:84 8.69554e-05 -64 *2882:142 *4126:84 0.000136956 -65 *3156:162 *4126:84 2.37343e-05 -66 *3164:100 *27848:B2 9.8197e-05 -67 *3165:297 *4126:48 0.000461092 -68 *3165:314 *4126:11 0.000405709 -69 *3165:314 *4126:84 0.000219114 -70 *3185:320 *4126:85 0.000421074 -71 *3194:129 *4126:48 4.37343e-05 -72 *3197:125 *4126:84 7.00877e-06 -73 *3452:32 *4126:84 0.000129679 -74 *3594:66 *4126:39 0.000290751 -75 *3698:31 *4126:84 8.77988e-05 -76 *3711:34 *4126:39 2.74787e-05 -77 *3724:20 *4126:84 3.20942e-05 -78 *3749:46 *4126:48 0.00085235 -79 *3749:105 *4126:84 4.37878e-05 -80 *3793:52 *4126:84 5.55484e-05 -81 *3805:59 *27848:B2 0.000113806 -82 *3819:16 *4126:85 0.00013721 -83 *3830:36 *4126:39 0.000714479 -84 *3830:70 *4126:48 3.59071e-05 -85 *3831:82 *4126:48 0.000113781 -86 *3831:92 *4126:84 0.000150291 -87 *3895:58 *27848:B2 0.000437934 -88 *3895:58 *4126:48 0.000148911 -89 *3896:8 *4126:39 0.00038751 -90 *3896:17 *4126:39 0.000158091 -91 *3905:23 *27848:B2 1.65169e-05 -92 *3957:22 *4126:84 0.000138542 -93 *4065:91 *4126:84 0 -94 *4113:51 *4126:48 0.00161935 -*RES -1 *28279:Q *4126:7 14.3357 -2 *4126:7 *4126:11 12.6786 -3 *4126:11 *29364:A 9.3 -4 *4126:11 *4126:39 49.4866 -5 *4126:39 *4126:48 41.1277 -6 *4126:48 *27848:B2 20.7643 -7 *4126:48 *27485:B2 21.3357 -8 *4126:7 *4126:84 41.3409 -9 *4126:84 *4126:85 55.1607 -10 *4126:85 *25376:A1 22.3714 -*END - -*D_NET *4127 0.0479453 -*CONN -*I *25327:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27516:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27873:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30516:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28280:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25327:B2 0.00123957 -2 *27516:B2 0.000302765 -3 *27873:B2 0 -4 *30516:A 9.92545e-06 -5 *28280:Q 4.1975e-05 -6 *4127:84 0.00379814 -7 *4127:75 0.00482702 -8 *4127:49 0.00119266 -9 *4127:41 0.00224498 -10 *4127:32 0.0020193 -11 *4127:14 0.00180482 -12 *4127:7 0.00283558 -13 *30516:A *25652:B 5.49995e-05 -14 *30516:A *29025:A 2.38501e-05 -15 *4127:14 *25554:A0 0.000206328 -16 *4127:14 *25638:A1 0.000393442 -17 *4127:14 *5136:11 0.00014876 -18 *4127:14 *5614:114 8.6281e-05 -19 *4127:14 *5742:102 0.000365844 -20 *4127:32 *25652:B 0.000102692 -21 *4127:32 *25872:A1 0.000194491 -22 *4127:32 *25878:A0 7.17932e-05 -23 *4127:32 *29025:A 0.000903398 -24 *4127:32 *29106:A 0.00114966 -25 *4127:32 *5699:66 0.000396486 -26 *4127:32 *5740:15 4.23086e-05 -27 *4127:32 *5743:33 0 -28 *4127:32 *5778:39 0.000410611 -29 *4127:41 *25491:A 0.000186257 -30 *4127:41 *25491:B 4.79964e-05 -31 *4127:41 *25491:C 1.58948e-05 -32 *4127:41 *27884:A1 0.000135028 -33 *4127:41 *28131:RESET_B 5.63071e-05 -34 *4127:49 *25634:B 0.000148196 -35 *4127:49 *29000:A 0.000184583 -36 *4127:49 *5666:138 0.00012501 -37 *4127:49 *5711:10 0.001536 -38 *4127:75 *24870:A2 0.000716407 -39 *4127:75 *24879:C_N 0.000333268 -40 *4127:75 *25638:A1 0.000298197 -41 *4127:75 *25656:A1 0.000198374 -42 *4127:75 *25824:A1 6.43424e-05 -43 *4127:75 *30790:A 4.39567e-05 -44 *4127:75 *5136:11 0.000131486 -45 *4127:75 *5686:35 0.000177651 -46 *4127:75 *5742:163 8.60828e-05 -47 *4127:84 *25644:A1 0.000679277 -48 *4127:84 *25801:A1 7.32173e-05 -49 *4127:84 *28138:D 5.23296e-05 -50 *4127:84 *28138:RESET_B 0.000174733 -51 *25137:B1 *25327:B2 0.000253106 -52 *25327:A1 *25327:B2 5.80335e-06 -53 *25416:B2 *4127:75 0.00250384 -54 *25657:S *4127:75 4.60232e-06 -55 *25871:S *4127:32 0.000137725 -56 *25872:S *4127:32 0.000106537 -57 *27516:B1 *27516:B2 5.04841e-06 -58 *27517:C1 *27516:B2 2.51343e-06 -59 *27566:B1 *4127:84 1.00073e-05 -60 *27569:B *4127:84 0.000257619 -61 *27873:B1 *4127:49 0.0002833 -62 *27884:B1 *4127:41 1.66955e-05 -63 *27884:B2 *4127:41 5.33334e-05 -64 *27930:B1 *4127:84 5.33005e-05 -65 *28165:SET_B *4127:75 9.11828e-05 -66 *30167:A *4127:84 0.000429512 -67 *30282:A *4127:75 0.000684675 -68 *406:8 *4127:32 0.000646837 -69 *499:10 *4127:32 0.000151046 -70 *622:20 *4127:7 7.02611e-05 -71 *623:22 *4127:14 2.87037e-05 -72 *1408:65 *25327:B2 0.00116509 -73 *1427:143 *4127:32 0 -74 *1456:64 *4127:75 0.000135869 -75 *1456:82 *4127:75 1.2426e-05 -76 *1457:117 *25327:B2 0.00027381 -77 *1458:10 *25327:B2 0.00108038 -78 *1476:107 *4127:49 0.00114982 -79 *1738:92 *4127:7 0.000137983 -80 *1830:23 *4127:14 0.000111369 -81 *1830:55 *4127:14 2.84332e-05 -82 *1830:55 *4127:75 2.21885e-05 -83 *1872:31 *4127:75 4.65519e-05 -84 *1877:42 *4127:49 0.000378962 -85 *3129:20 *25327:B2 1.90936e-05 -86 *3145:8 *25327:B2 1.30327e-05 -87 *3214:112 *25327:B2 0.000142807 -88 *3558:17 *4127:84 5.7473e-05 -89 *3697:33 *4127:75 4.50149e-05 -90 *3749:46 *4127:49 0.00120663 -91 *3750:16 *4127:32 4.05179e-06 -92 *3766:93 *4127:84 0.000144026 -93 *3802:71 *25327:B2 0.00141145 -94 *3805:59 *4127:49 0.000177967 -95 *3840:27 *4127:49 2.04866e-05 -96 *3867:6 *4127:32 0.000170654 -97 *3867:24 *4127:32 0.000240349 -98 *3906:15 *4127:49 2.42516e-05 -99 *3961:25 *4127:84 0.00030294 -100 *3961:45 *4127:84 0.00277983 -101 *4036:40 *25327:B2 0.000186432 -102 *4103:40 *4127:75 2.05484e-05 -103 *4126:48 *4127:49 0.000307424 -*RES -1 *28280:Q *4127:7 15.1571 -2 *4127:7 *4127:14 17.4286 -3 *4127:14 *30516:A 9.83571 -4 *4127:14 *4127:32 45.3393 -5 *4127:32 *4127:41 11.8036 -6 *4127:41 *4127:49 48.1786 -7 *4127:49 *27873:B2 9.3 -8 *4127:41 *27516:B2 13.1393 -9 *4127:7 *4127:75 37.3734 -10 *4127:75 *4127:84 47.0179 -11 *4127:84 *25327:B2 49.3402 -*END - -*D_NET *4128 0.0479263 -*CONN -*I *29353:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27655:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27311:A1 I *D sky130_fd_sc_hd__a221o_2 -*I *25382:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28530:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *29353:A 0.000121255 -2 *27655:A1 0 -3 *27311:A1 0.00065805 -4 *25382:A1 0 -5 *28530:Q 0 -6 *4128:52 0.00377831 -7 *4128:29 0.00334377 -8 *4128:24 0.00149302 -9 *4128:20 0.00301568 -10 *4128:18 0.00181723 -11 *4128:11 0.00084369 -12 *4128:10 0.00210445 -13 *4128:4 0.00145307 -14 *29353:A *26947:A0 9.46929e-05 -15 *4128:10 *26949:A0 4.06875e-05 -16 *4128:10 *27191:A1 2.06725e-05 -17 *4128:10 *28562:D 0.000317602 -18 *4128:10 *29049:A 0.000146392 -19 *4128:10 *4133:12 0.00105496 -20 *4128:10 *5832:46 0.000178092 -21 *4128:10 *5914:64 0.000662223 -22 *4128:10 *6258:12 0.00013936 -23 *4128:11 *5593:42 0.000512802 -24 *4128:11 *5628:217 0.0021608 -25 *4128:11 *5629:186 8.25843e-06 -26 *4128:11 *5649:249 2.14658e-05 -27 *4128:18 *5628:217 9.90431e-05 -28 *4128:18 *5649:249 4.87953e-05 -29 *4128:18 *5832:8 6.91215e-06 -30 *4128:20 *27845:B1 0.00017309 -31 *4128:20 *27870:B1 0.000116481 -32 *4128:20 *28474:SET_B 5.83304e-05 -33 *4128:20 *28602:SET_B 0.000577106 -34 *4128:20 *5640:145 4.80729e-05 -35 *4128:20 *5641:133 1.2769e-05 -36 *4128:20 *5649:249 0.00300036 -37 *4128:20 *5832:8 0 -38 *4128:24 *27032:A1 3.92757e-05 -39 *4128:24 *5688:115 0.00117983 -40 *4128:24 *5891:18 0.000540271 -41 *25196:B2 *4128:52 1.72861e-05 -42 *25382:A2 *4128:52 0.000459211 -43 *25382:B1 *4128:29 1.04232e-05 -44 *25382:B2 *4128:29 0.000112979 -45 *27281:B1 *4128:24 0.000150618 -46 *27311:B1 *27311:A1 1.83136e-05 -47 *27311:B2 *27311:A1 6.26774e-06 -48 *27655:B1 *4128:20 1.17968e-05 -49 *27656:C1 *4128:11 2.95726e-05 -50 *27870:A1 *4128:20 9.47456e-05 -51 *29320:A *4128:20 7.252e-05 -52 *29457:A *4128:10 0.000197977 -53 *29862:A *4128:20 2.94501e-05 -54 *30298:A *4128:20 0.000145753 -55 *1267:49 *4128:29 0.000501078 -56 *1267:49 *4128:52 1.56869e-05 -57 *1276:76 *4128:52 1.07374e-05 -58 *1415:18 *4128:24 3.98524e-05 -59 *1435:117 *4128:29 1.96967e-05 -60 *1435:143 *4128:29 7.48301e-06 -61 *1435:143 *4128:52 9.58181e-05 -62 *1634:16 *4128:52 0.000175549 -63 *1698:8 *4128:52 0.000395382 -64 *2766:88 *29353:A 0.000157258 -65 *2766:88 *4128:10 0.000304797 -66 *2766:93 *4128:10 9.24491e-05 -67 *2770:156 *4128:10 0 -68 *2775:132 *4128:24 0.00326503 -69 *2872:295 *4128:52 0.000712145 -70 *2873:17 *27311:A1 0.00020551 -71 *2874:278 *27311:A1 0.00154255 -72 *2874:278 *4128:52 9.91224e-05 -73 *2891:236 *4128:20 0.000250253 -74 *2891:236 *4128:24 0.0001661 -75 *2891:329 *27311:A1 0.000421074 -76 *3177:136 *4128:24 0.000926212 -77 *3541:13 *27311:A1 2.37452e-05 -78 *3552:30 *4128:24 4.75241e-05 -79 *3578:118 *4128:10 0.000752453 -80 *3771:28 *4128:24 0.000534751 -81 *3790:102 *4128:24 2.71132e-05 -82 *3790:106 *4128:20 3.18481e-05 -83 *3790:106 *4128:24 7.42658e-05 -84 *3875:100 *4128:52 0.000171767 -85 *3875:118 *4128:52 0.00225079 -86 *3888:10 *4128:24 1.24437e-06 -87 *3888:12 *4128:24 0.000253695 -88 *3920:31 *4128:18 0 -89 *3920:31 *4128:20 0.000490695 -90 *3959:28 *4128:20 0.00173387 -91 *3959:45 *4128:20 0.0003256 -92 *4022:47 *4128:24 8.03121e-05 -93 *4070:50 *4128:52 0.000340855 -94 *4102:32 *4128:10 2.11419e-05 -95 *4122:43 *4128:52 0.00011705 -*RES -1 *28530:Q *4128:4 9.3 -2 *4128:4 *4128:10 48.9464 -3 *4128:10 *4128:11 21.0714 -4 *4128:11 *4128:18 6.25893 -5 *4128:18 *4128:20 59.6071 -6 *4128:20 *4128:24 48.7946 -7 *4128:24 *4128:29 10.1786 -8 *4128:29 *25382:A1 9.3 -9 *4128:29 *4128:52 40.3388 -10 *4128:52 *27311:A1 24.9429 -11 *4128:11 *27655:A1 9.3 -12 *4128:4 *29353:A 12.6214 -*END - -*D_NET *4129 0.0784219 -*CONN -*I *27532:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25253:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27901:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *29442:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28286:Q O *D sky130_fd_sc_hd__dfstp_4 -*CAP -1 *27532:B2 0.000804525 -2 *25253:B2 0.000987805 -3 *27901:B2 0 -4 *29442:A 0.000315717 -5 *28286:Q 4.16687e-05 -6 *4129:96 0.00170772 -7 *4129:90 0.00181125 -8 *4129:77 0.00210063 -9 *4129:74 0.00161193 -10 *4129:63 0.00235661 -11 *4129:61 0.0047361 -12 *4129:42 0.00317729 -13 *4129:38 0.00243784 -14 *4129:37 0.00224268 -15 *4129:35 0.00151569 -16 *4129:34 0.00233228 -17 *4129:7 0.0019785 -18 *25253:B2 *6467:DIODE 2.84269e-05 -19 *25253:B2 *4133:46 0.000245062 -20 *25253:B2 *4133:51 7.07773e-05 -21 *25253:B2 *5603:52 0.0014666 -22 *25253:B2 *6280:18 0.00267187 -23 *27532:B2 *5493:18 0.00142335 -24 *29442:A *25760:A0 9.58126e-05 -25 *29442:A *29171:A 9.77423e-05 -26 *29442:A *5698:90 4.84486e-05 -27 *29442:A *5698:106 0.000420539 -28 *29442:A *5705:44 0 -29 *4129:34 *25829:A0 0.000351169 -30 *4129:34 *28287:D 0.000102207 -31 *4129:34 *30029:A 0.000415413 -32 *4129:34 *4130:34 0.00126886 -33 *4129:34 *5698:90 9.44396e-05 -34 *4129:34 *5705:44 0 -35 *4129:35 *27156:A0 2.56694e-05 -36 *4129:35 *28833:D 0.000171375 -37 *4129:35 *5493:18 0.0013849 -38 *4129:38 *4131:35 0.00105683 -39 *4129:38 *4139:53 0.000243354 -40 *4129:38 *5738:82 1.21258e-05 -41 *4129:61 *28340:RESET_B 0.000767269 -42 *4129:61 *4139:53 0.000723346 -43 *4129:61 *5041:8 0.000172287 -44 *4129:61 *6317:85 0.000510115 -45 *4129:63 *5041:6 0.000207061 -46 *4129:63 *5041:8 0.00104987 -47 *4129:63 *5585:56 0.00394261 -48 *4129:63 *5861:53 0.00261462 -49 *4129:63 *5869:27 0.000217234 -50 *4129:74 *4186:20 5.55459e-05 -51 *4129:74 *5585:56 0.000769385 -52 *4129:77 *5584:20 8.63303e-05 -53 *4129:77 *5585:54 0 -54 *4129:77 *5869:85 0.00011887 -55 *4129:77 *6280:18 0.000848497 -56 *4129:90 *24966:A 0.000135028 -57 *4129:90 *30760:A 0.000144745 -58 *4129:90 *5584:20 0.000997422 -59 *4129:90 *5585:54 3.69865e-05 -60 *4129:90 *5612:27 4.69738e-05 -61 *4129:90 *5612:42 1.02969e-05 -62 *4129:90 *5861:14 0 -63 *4129:90 *6280:18 0.00133339 -64 *4129:96 *5616:20 0.000159689 -65 *4129:96 *5616:25 0.00110644 -66 *4129:96 *5656:116 6.57032e-05 -67 *6411:DIODE *25253:B2 4.33438e-05 -68 *6591:DIODE *4129:77 2.06178e-05 -69 *25107:C1 *4129:77 0.000165754 -70 *25170:B *4129:90 6.82998e-05 -71 *25217:D *4129:74 0.00011502 -72 *25253:B1 *25253:B2 2.84269e-05 -73 *25281:A2_N *29442:A 0 -74 *25281:B2 *29442:A 7.69776e-06 -75 *25829:S *4129:7 2.89016e-05 -76 *25831:S *29442:A 0 -77 *27508:B1 *27532:B2 0.000215049 -78 *27508:B1 *4129:35 0.000224592 -79 *27532:C1 *27532:B2 9.77414e-05 -80 *27890:B *4129:38 0.00110803 -81 *27892:A1 *4129:38 1.61888e-05 -82 *27892:A1 *4129:42 1.04232e-05 -83 *27892:A2 *4129:38 5.33005e-05 -84 *27892:B2 *4129:38 0.000505757 -85 *27901:A1 *4129:61 9.71197e-05 -86 *27905:B2 *4129:61 4.43053e-05 -87 *27963:C1 *4129:61 0.000161572 -88 *30573:A *4129:35 2.26327e-05 -89 *627:15 *29442:A 0 -90 *1218:62 *4129:61 0.000196542 -91 *1243:34 *4129:90 0.000500058 -92 *1243:67 *4129:61 0.00131335 -93 *1243:67 *4129:63 0.000141353 -94 *1268:37 *4129:96 0.00033484 -95 *1269:186 *25253:B2 3.25952e-05 -96 *1327:98 *4129:61 0.000155992 -97 *1406:38 *25253:B2 8.44271e-06 -98 *1421:100 *4129:77 0.00132842 -99 *1446:24 *4129:77 0.00125123 -100 *1449:84 *4129:77 0.000908129 -101 *1449:135 *27532:B2 4.46186e-06 -102 *1476:160 *4129:63 6.94945e-05 -103 *1555:20 *4129:96 0.000688926 -104 *1591:11 *4129:61 0.000556988 -105 *1594:23 *4129:61 0.000152364 -106 *1663:16 *25253:B2 0.000170661 -107 *2865:57 *4129:61 0.0010568 -108 *2865:62 *4129:38 0.00247847 -109 *2865:62 *4129:42 7.43854e-05 -110 *2865:62 *4129:61 2.01e-05 -111 *2893:26 *25253:B2 4.05174e-06 -112 *2893:43 *25253:B2 0.000451462 -113 *2893:43 *4129:90 0.000825461 -114 *2893:43 *4129:96 0.000480631 -115 *2893:51 *4129:90 2.07339e-05 -116 *2893:64 *4129:74 1.08359e-05 -117 *3173:35 *4129:61 0.000186479 -118 *3173:45 *4129:61 1.02936e-05 -119 *3176:62 *4129:74 0.000216755 -120 *3206:27 *4129:61 0.000176304 -121 *3212:81 *27532:B2 7.99633e-05 -122 *3212:94 *27532:B2 4.43698e-05 -123 *3433:5 *4129:38 0.000235929 -124 *3454:11 *27532:B2 0 -125 *3544:9 *4129:74 9.65172e-05 -126 *3546:6 *4129:74 0.000636971 -127 *3790:53 *4129:61 0.00122405 -128 *3804:58 *27532:B2 0 -129 *3804:58 *4129:35 0 -130 *3806:25 *29442:A 0.000143439 -131 *3841:68 *4129:74 4.05174e-06 -132 *3869:28 *27532:B2 0 -133 *3869:34 *27532:B2 1.60321e-05 -134 *3882:47 *27532:B2 0.000212248 -135 *3884:112 *4129:61 0.00011266 -136 *3910:43 *4129:38 0.000652665 -137 *3913:70 *4129:77 1.88048e-05 -138 *3960:43 *27532:B2 1.3701e-05 -139 *4043:70 *4129:90 0 -140 *4043:70 *4129:96 2.14378e-05 -141 *4088:65 *4129:61 7.47787e-05 -*RES -1 *28286:Q *4129:7 14.3357 -2 *4129:7 *29442:A 21.55 -3 *4129:7 *4129:34 35.9286 -4 *4129:34 *4129:35 18.0357 -5 *4129:35 *4129:37 4.5 -6 *4129:37 *4129:38 55.1607 -7 *4129:38 *4129:42 3.32143 -8 *4129:42 *27901:B2 9.3 -9 *4129:42 *4129:61 47.8485 -10 *4129:61 *4129:63 56.6786 -11 *4129:63 *4129:74 28.7879 -12 *4129:74 *4129:77 33.9018 -13 *4129:77 *4129:90 41.7768 -14 *4129:90 *4129:96 31.7321 -15 *4129:96 *25253:B2 49.1214 -16 *4129:35 *27532:B2 34.483 -*END - -*D_NET *4130 0.0664937 -*CONN -*I *25221:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27558:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27927:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30029:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28287:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25221:B2 0.00167847 -2 *27558:A1 2.23854e-05 -3 *27927:B2 0.000140379 -4 *30029:A 0.000401463 -5 *28287:Q 0 -6 *4130:109 0.00353393 -7 *4130:103 0.003797 -8 *4130:80 0.00216914 -9 *4130:77 0.00359207 -10 *4130:58 0.00495573 -11 *4130:37 0.00349997 -12 *4130:34 0.00576499 -13 *4130:4 0.00123797 -14 *27927:B2 *5063:5 0.000347174 -15 *30029:A *25832:A0 0.000148182 -16 *30029:A *5691:325 0.000417633 -17 *30029:A *5691:341 0.000161403 -18 *30029:A *5698:90 0 -19 *30029:A *5705:44 4.00121e-05 -20 *4130:34 *25658:B 0.000118313 -21 *4130:34 *4139:28 0.000258033 -22 *4130:34 *5665:76 9.87048e-05 -23 *4130:34 *5691:325 0.000118267 -24 *4130:37 *25121:A1 0.00338486 -25 *4130:37 *5698:55 0.000184944 -26 *4130:58 *4139:28 0.000600004 -27 *4130:58 *4139:82 0.00222714 -28 *4130:58 *5657:49 0 -29 *4130:77 *25642:A0 0.000122836 -30 *4130:77 *28022:A 6.89358e-05 -31 *4130:77 *5386:11 4.33998e-05 -32 *4130:77 *5657:88 0 -33 *4130:80 *6493:DIODE 0.000221822 -34 *4130:103 *5614:88 8.21056e-05 -35 *4130:103 *5650:122 2.11419e-05 -36 *4130:103 *5687:29 1.94945e-05 -37 *4130:103 *5718:206 1.78102e-05 -38 *4130:109 *27940:A 0.000220943 -39 *4130:109 *5597:21 0.00188948 -40 *25210:B2 *4130:103 5.89519e-05 -41 *25221:B1 *25221:B2 6.81786e-05 -42 *25461:A1 *4130:77 2.63501e-05 -43 *27153:A *4130:34 0.000158154 -44 *27537:C *4130:58 0.000115288 -45 *27557:A1 *4130:77 0.000280898 -46 *27558:A2 *4130:80 3.69047e-06 -47 *27558:A2 *4130:103 1.55676e-05 -48 *28018:S *4130:34 5.7661e-06 -49 *28835:CLK *4130:34 0.000139913 -50 *408:20 *4130:77 4.75442e-05 -51 *1178:82 *25221:B2 0.00155681 -52 *1281:75 *4130:109 0.000100823 -53 *1395:61 *4130:37 0.000197176 -54 *1395:84 *4130:34 0.000478178 -55 *1396:64 *4130:80 2.13481e-06 -56 *1408:36 *4130:103 1.09611e-05 -57 *1476:57 *4130:103 0 -58 *1545:48 *4130:77 0.00013512 -59 *1603:36 *4130:77 8.76591e-06 -60 *1828:42 *4130:103 1.83136e-05 -61 *1828:47 *4130:103 0.000125243 -62 *1864:114 *4130:109 0.00243733 -63 *1876:12 *30029:A 2.82057e-05 -64 *2834:68 *4130:58 3.48282e-05 -65 *2845:127 *4130:103 2.09826e-05 -66 *2859:73 *4130:77 0.000124173 -67 *2865:115 *4130:77 0.000469233 -68 *2872:90 *4130:58 1.32023e-05 -69 *2872:90 *4130:77 3.10613e-05 -70 *2874:85 *4130:77 0.000535391 -71 *2877:87 *4130:103 0.00104585 -72 *2878:92 *4130:103 1.94879e-05 -73 *2882:135 *4130:103 0.00104736 -74 *2889:254 *4130:77 0.000195209 -75 *3156:124 *4130:77 0.00245654 -76 *3165:254 *4130:58 0.000153064 -77 *3168:83 *4130:77 0.000779218 -78 *3178:69 *27927:B2 0.000120506 -79 *3191:54 *27927:B2 0.000347174 -80 *3192:86 *4130:77 0.000504449 -81 *3197:164 *4130:58 0.00026342 -82 *3209:102 *4130:103 0.000243385 -83 *3672:45 *27927:B2 0.000121411 -84 *3713:63 *4130:58 0.000228401 -85 *3713:63 *4130:77 0.000478571 -86 *3723:25 *4130:103 0.000123589 -87 *3736:25 *4130:77 0.000279958 -88 *3791:84 *4130:58 0.00140401 -89 *3802:58 *4130:103 0 -90 *3844:75 *25221:B2 0.000365522 -91 *3870:52 *4130:103 0.000634672 -92 *3870:52 *4130:109 3.69047e-06 -93 *3882:34 *4130:58 0.000104194 -94 *3882:47 *4130:77 0.00390378 -95 *3893:52 *4130:34 0 -96 *3893:97 *4130:58 7.02772e-05 -97 *3895:88 *4130:58 4.49626e-05 -98 *3908:35 *4130:34 0.000423612 -99 *3908:35 *4130:58 0.000282984 -100 *3909:40 *4130:103 0.000146916 -101 *3960:28 *4130:77 2.89822e-05 -102 *3983:24 *4130:34 3.47797e-05 -103 *4022:76 *4130:109 9.91086e-05 -104 *4129:34 *30029:A 0.000415413 -105 *4129:34 *4130:34 0.00126886 -*RES -1 *28287:Q *4130:4 9.3 -2 *4130:4 *30029:A 30.8 -3 *4130:4 *4130:34 30.1964 -4 *4130:34 *4130:37 48.5714 -5 *4130:37 *27927:B2 23.3536 -6 *4130:34 *4130:58 48.3444 -7 *4130:58 *4130:77 45.7829 -8 *4130:77 *4130:80 7.08929 -9 *4130:80 *27558:A1 9.72857 -10 *4130:80 *4130:103 48.7031 -11 *4130:103 *4130:109 47.0179 -12 *4130:109 *25221:B2 46.9071 -*END - -*D_NET *4131 0.0579827 -*CONN -*I *27953:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25156:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27588:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *29993:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28288:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27953:A1 0.000165906 -2 *25156:B2 0.000680097 -3 *27588:A1 0.000196187 -4 *29993:A 0.000244499 -5 *28288:Q 0 -6 *4131:73 0.00138498 -7 *4131:62 0.0021379 -8 *4131:56 0.00191496 -9 *4131:36 0.00512519 -10 *4131:35 0.00518373 -11 *4131:29 0.0017267 -12 *4131:4 0.00106863 -13 *25156:B2 *5301:13 0.00155117 -14 *27953:A1 *6546:DIODE 2.67489e-05 -15 *29993:A *25833:A0 0.000292701 -16 *29993:A *5063:17 0.000181796 -17 *29993:A *5733:96 0.000338351 -18 *29993:A *5733:117 9.25014e-06 -19 *4131:29 *25267:B2 0.000520522 -20 *4131:29 *25830:A1 9.41642e-05 -21 *4131:29 *25833:A1 1.40034e-05 -22 *4131:29 *28285:RESET_B 0.000128214 -23 *4131:29 *4140:7 0.000313241 -24 *4131:29 *4140:24 0.00104272 -25 *4131:29 *5063:17 0.000723181 -26 *4131:29 *5685:205 0.000651967 -27 *4131:29 *5778:31 0.000125908 -28 *4131:36 *5510:98 0.000197111 -29 *4131:56 *5839:35 9.58181e-05 -30 *4131:62 *24887:S 0.00012051 -31 *4131:62 *5719:62 0.000416192 -32 *4131:62 *6036:46 0.00014265 -33 *4131:73 *25091:B2 0.000635519 -34 *6626:DIODE *27588:A1 2.42516e-05 -35 *25391:B2 *4131:36 0.000164133 -36 *25830:S *4131:29 0.000138108 -37 *25833:S *29993:A 0.000227526 -38 *27501:B2 *4131:36 9.68652e-05 -39 *27507:B1 *4131:35 0.000291511 -40 *27508:C1 *4131:35 9.64979e-05 -41 *27520:A1 *4131:36 0.000430246 -42 *27539:C1 *4131:36 9.45418e-05 -43 *27579:A1 *4131:56 1.02969e-05 -44 *27585:B1 *4131:56 0.000300412 -45 *27586:A2 *4131:56 1.21554e-05 -46 *27588:A2 *27588:A1 0.000135763 -47 *27591:B *4131:56 1.20379e-05 -48 *27946:A1 *27953:A1 5.33005e-05 -49 *27953:B2 *27953:A1 6.96994e-05 -50 *27953:B2 *4131:62 0.000148874 -51 *27955:C *4131:73 0.000177815 -52 *28288:CLK *29993:A 9.46929e-05 -53 *28288:CLK *4131:29 6.05161e-06 -54 *29383:A *4131:29 9.71197e-05 -55 *1323:17 *4131:29 0.000709574 -56 *1470:20 *4131:36 9.65172e-05 -57 *1471:86 *4131:35 0.000260152 -58 *1473:20 *25156:B2 6.19181e-05 -59 *1873:32 *25156:B2 0.00124488 -60 *1876:26 *29993:A 1.52978e-05 -61 *2860:92 *4131:36 0.000256988 -62 *2864:203 *4131:36 0.000687164 -63 *2866:149 *4131:36 0.000484921 -64 *2866:167 *4131:36 0.000137276 -65 *2875:99 *4131:36 0.00105875 -66 *2877:112 *4131:62 0.00056629 -67 *2883:58 *4131:36 4.98055e-06 -68 *2883:71 *4131:36 4.038e-06 -69 *2883:89 *4131:36 0.0001086 -70 *2895:97 *4131:36 0.002432 -71 *2895:122 *4131:36 1.24437e-06 -72 *3060:9 *4131:36 0.000287334 -73 *3101:17 *4131:36 0.000110498 -74 *3101:29 *4131:36 0.00231029 -75 *3136:12 *4131:62 0.00141379 -76 *3143:11 *4131:56 0.000599087 -77 *3165:355 *4131:73 1.11775e-05 -78 *3209:127 *4131:73 0.000646899 -79 *3413:41 *4131:56 1.9781e-05 -80 *3433:5 *4131:35 0.000927683 -81 *3450:23 *27588:A1 8.25843e-06 -82 *3450:29 *4131:36 6.61458e-05 -83 *3450:32 *4131:36 0.00302771 -84 *3654:18 *29993:A 0 -85 *3702:39 *4131:73 0.000631375 -86 *3715:16 *4131:56 0.000167096 -87 *3741:38 *4131:36 2.52078e-05 -88 *3741:38 *4131:56 4.00305e-05 -89 *3753:37 *4131:56 7.31147e-05 -90 *3754:8 *25156:B2 0.000115686 -91 *3754:8 *4131:73 0.00070643 -92 *3767:17 *25156:B2 6.28952e-05 -93 *3775:55 *4131:36 0.00119586 -94 *3776:90 *4131:36 7.64966e-05 -95 *3801:63 *4131:36 0.000418449 -96 *3806:39 *4131:36 0.000100831 -97 *3893:52 *4131:35 0.000196269 -98 *3897:48 *4131:36 0.00296975 -99 *3908:85 *4131:36 0.000958186 -100 *3936:51 *27588:A1 2.09645e-05 -101 *3958:16 *4131:36 4.21857e-05 -102 *3960:43 *4131:35 0 -103 *3975:31 *4131:56 0 -104 *4066:45 *4131:36 0.000964815 -105 *4066:45 *4131:56 0.000209558 -106 *4066:46 *4131:56 9.20126e-05 -107 *4087:22 *25156:B2 0.000138539 -108 *4087:22 *4131:73 0 -109 *4118:50 *4131:62 2.84109e-05 -110 *4129:38 *4131:35 0.00105683 -*RES -1 *28288:Q *4131:4 9.3 -2 *4131:4 *29993:A 26.6214 -3 *4131:4 *4131:29 44.1607 -4 *4131:29 *4131:35 36.25 -5 *4131:35 *4131:36 139.161 -6 *4131:36 *27588:A1 16.8 -7 *4131:36 *4131:56 32.8393 -8 *4131:56 *4131:62 31.3929 -9 *4131:62 *4131:73 33.9196 -10 *4131:73 *25156:B2 37.7554 -11 *4131:62 *27953:A1 12.3179 -*END - -*D_NET *4132 0.0785522 -*CONN -*I *27678:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27345:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25331:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *29857:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28531:Q O *D sky130_fd_sc_hd__dfstp_4 -*CAP -1 *27678:B2 2.4247e-05 -2 *27345:A1 0.0007245 -3 *25331:A1 0 -4 *29857:A 0.000710157 -5 *28531:Q 0 -6 *4132:65 0.0016431 -7 *4132:58 0.00235319 -8 *4132:51 0.00218755 -9 *4132:38 0.0109504 -10 *4132:33 0.0185268 -11 *4132:4 0.0104642 -12 *29857:A *26948:A0 0.000102197 -13 *29857:A *28531:SET_B 9.49899e-05 -14 *29857:A *28999:A 0.00019127 -15 *29857:A *5246:10 5.33005e-05 -16 *29857:A *5709:20 0.000172221 -17 *4132:33 *27074:A0 0 -18 *4132:33 *27191:A1 0.00100174 -19 *4132:33 *28531:SET_B 0.000218181 -20 *4132:33 *5582:103 6.57261e-05 -21 *4132:33 *5641:180 0 -22 *4132:33 *5688:25 6.39808e-05 -23 *4132:33 *5689:58 0.000125674 -24 *4132:33 *5689:62 0.000201855 -25 *4132:33 *5763:97 8.97305e-05 -26 *4132:33 *5763:110 0.000509345 -27 *4132:33 *5768:79 0.000107625 -28 *4132:38 *27140:A0 0 -29 *4132:38 *5582:103 0.000403218 -30 *4132:38 *5609:190 0 -31 *4132:38 *5627:90 0.000221344 -32 *4132:38 *5638:110 0.00014833 -33 *4132:38 *5638:119 0.000121549 -34 *4132:38 *5661:220 0.000611426 -35 *4132:38 *5661:232 0 -36 *4132:38 *5748:10 0.000125685 -37 *4132:51 *5638:110 0.00238089 -38 *4132:58 *5638:110 0.000107773 -39 *4132:65 *24953:A 0.000965369 -40 *24924:A *4132:65 8.56097e-05 -41 *24924:B *4132:65 6.81704e-05 -42 *24964:B *4132:65 0.000143745 -43 *25331:A2 *4132:65 0.00016507 -44 *25340:B2 *27345:A1 0.000588992 -45 *26921:S *4132:51 4.58194e-05 -46 *26948:S *29857:A 0.000354679 -47 *27305:C *4132:65 3.88665e-05 -48 *27311:B1 *27345:A1 0.000280831 -49 *27345:A2 *27345:A1 1.46576e-05 -50 *27345:B2 *27345:A1 0.000181675 -51 *27348:B1 *4132:58 0.00033138 -52 *27690:B1 *27678:B2 1.85762e-05 -53 *27750:A2 *4132:38 0.000310008 -54 *28572:CLK *4132:33 0.000159968 -55 *30230:A *4132:33 0.0001399 -56 *1249:17 *4132:65 5.33005e-05 -57 *1249:34 *4132:65 0.000201031 -58 *1250:11 *4132:65 8.04075e-05 -59 *1279:11 *4132:65 3.50858e-05 -60 *1292:110 *4132:33 0 -61 *1416:89 *4132:58 0.00176381 -62 *1438:19 *4132:65 0.000105471 -63 *1438:28 *4132:65 9.29169e-05 -64 *1494:22 *4132:51 6.90381e-06 -65 *1633:45 *4132:38 0.00017871 -66 *1642:20 *4132:58 1.80952e-05 -67 *1660:19 *4132:33 0 -68 *1660:19 *4132:38 0.00109979 -69 *1822:11 *29857:A 0.000486441 -70 *2759:111 *4132:33 0 -71 *2759:111 *4132:38 0 -72 *2761:98 *4132:38 0 -73 *2761:114 *4132:38 0 -74 *2779:83 *4132:38 0.000134891 -75 *2779:90 *4132:38 0.000607262 -76 *2784:67 *27345:A1 1.94945e-05 -77 *2855:151 *4132:65 5.7809e-05 -78 *2859:187 *4132:65 0.000147714 -79 *2874:227 *4132:51 0.000682365 -80 *2874:249 *27345:A1 2.01997e-05 -81 *2875:243 *4132:51 1.49122e-05 -82 *2875:258 *4132:38 6.89694e-05 -83 *2875:258 *4132:51 1.49122e-05 -84 *2877:274 *4132:51 0 -85 *2891:177 *4132:38 0.000347208 -86 *2891:177 *4132:51 0.000220896 -87 *3165:103 *4132:33 8.94491e-05 -88 *3165:103 *4132:38 9.03213e-05 -89 *3185:107 *27345:A1 1.40148e-05 -90 *3185:107 *4132:38 0.00301347 -91 *3306:6 *4132:38 0.000121549 -92 *3306:6 *4132:51 0.00238676 -93 *3558:189 *4132:65 0.00112103 -94 *3558:193 *4132:65 0.00317498 -95 *3686:36 *4132:33 0.00013668 -96 *3686:89 *4132:65 2.17647e-05 -97 *3686:109 *4132:65 9.76435e-06 -98 *3706:9 *4132:33 0.000124521 -99 *3781:16 *4132:33 0.00013668 -100 *3781:30 *4132:38 0.000125685 -101 *3783:95 *4132:38 0.000259573 -102 *3783:105 *27345:A1 2.96833e-05 -103 *3822:104 *27678:B2 4.19535e-05 -104 *3822:108 *4132:33 0.000190081 -105 *3828:77 *4132:65 1.05731e-05 -106 *3846:33 *4132:38 0 -107 *3898:61 *4132:38 0.000135968 -108 *3907:32 *4132:58 4.52262e-05 -109 *3907:45 *4132:58 0.0019088 -110 *3924:82 *27345:A1 2.59024e-05 -111 *3992:54 *27345:A1 1.94945e-05 -112 *4002:18 *4132:33 0.000147837 -113 *4115:30 *4132:38 0.000116155 -*RES -1 *28531:Q *4132:4 9.3 -2 *4132:4 *29857:A 34.4607 -3 *4132:4 *4132:33 48.1449 -4 *4132:33 *4132:38 22.973 -5 *4132:38 *4132:51 49.7355 -6 *4132:51 *4132:58 30.7857 -7 *4132:58 *4132:65 45.4643 -8 *4132:65 *25331:A1 9.3 -9 *4132:38 *27345:A1 27.7917 -10 *4132:33 *27678:B2 17.7904 -*END - -*D_NET *4133 0.0912298 -*CONN -*I *29777:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27360:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27714:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25248:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28532:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29777:A 0 -2 *27360:B2 0.000803759 -3 *27714:A1 0.000640679 -4 *25248:A1 0.000153735 -5 *28532:Q 0.00021217 -6 *4133:133 0.00359486 -7 *4133:108 0.00327587 -8 *4133:92 0.00381565 -9 *4133:85 0.00206031 -10 *4133:77 0.00242783 -11 *4133:51 0.00189619 -12 *4133:46 0.00435887 -13 *4133:32 0.00275424 -14 *4133:28 0.00227263 -15 *4133:12 0.00361003 -16 *4133:11 0.00271836 -17 *4133:9 0.00021217 -18 *25248:A1 *5634:150 0.000257619 -19 *27360:B2 *5764:127 1.33776e-05 -20 *27360:B2 *5764:131 1.17968e-05 -21 *27714:A1 *27714:A2 7.48927e-06 -22 *27714:A1 *5605:60 0.000136059 -23 *27714:A1 *5694:71 0.000125355 -24 *4133:9 *26947:A0 0.000562213 -25 *4133:9 *26949:A0 0.000108437 -26 *4133:12 *25140:A2 0.000220455 -27 *4133:12 *27191:A1 1.94879e-05 -28 *4133:12 *28562:D 0.00031588 -29 *4133:12 *28582:D 0.000153054 -30 *4133:12 *5582:113 0.000461379 -31 *4133:12 *6258:12 0.00023921 -32 *4133:12 *6280:6 0.000282766 -33 *4133:28 *5587:87 0.000151625 -34 *4133:28 *5650:280 0.000321179 -35 *4133:28 *5873:321 4.60554e-05 -36 *4133:32 *5688:97 0.000202142 -37 *4133:32 *5792:40 0.000835301 -38 *4133:32 *5836:257 0.000251863 -39 *4133:32 *6280:18 0.000284425 -40 *4133:46 *5585:28 4.79863e-05 -41 *4133:46 *5585:47 6.11116e-05 -42 *4133:46 *5643:221 8.94556e-05 -43 *4133:46 *5688:349 0.000122334 -44 *4133:46 *5836:257 1.4396e-05 -45 *4133:51 *5634:150 0.000369703 -46 *4133:51 *5663:87 5.2064e-05 -47 *4133:77 *5587:31 0.000147899 -48 *4133:77 *5601:162 2.35126e-05 -49 *4133:77 *5634:150 0.000216755 -50 *4133:77 *5663:98 0.000461937 -51 *4133:77 *5890:68 0.000105728 -52 *4133:77 *6258:12 0.00184048 -53 *4133:85 *24959:B 1.41029e-05 -54 *4133:85 *5587:17 0.00113701 -55 *4133:85 *5587:31 2.01359e-05 -56 *4133:85 *5707:29 0.00012783 -57 *4133:85 *5892:122 1.27784e-05 -58 *4133:92 *5626:99 0.000240592 -59 *4133:108 *5583:112 6.15222e-05 -60 *4133:108 *5605:56 0.000820123 -61 *4133:108 *5657:198 7.05143e-06 -62 *4133:133 *5609:183 9.2888e-05 -63 *4133:133 *5616:19 1.36734e-05 -64 *4133:133 *5643:122 0.000145945 -65 *4133:133 *5643:148 1.64621e-05 -66 *4133:133 *5643:221 0.000470794 -67 *4133:133 *5663:125 0.000393849 -68 *4133:133 *5764:11 0.000273939 -69 *4133:133 *5777:9 0.000120158 -70 *6411:DIODE *4133:51 9.25014e-06 -71 *25140:B2 *4133:12 0.000161217 -72 *25182:B1 *27360:B2 0.000430653 -73 *25185:A1 *4133:92 5.68354e-05 -74 *25185:B1 *4133:92 9.60875e-05 -75 *25212:C *4133:92 1.90936e-05 -76 *25212:D *4133:92 9.96264e-05 -77 *25240:B2 *4133:32 7.69776e-06 -78 *25248:A2 *25248:A1 1.55638e-05 -79 *25251:B *25248:A1 1.69906e-05 -80 *25253:B2 *4133:46 0.000245062 -81 *25253:B2 *4133:51 7.07773e-05 -82 *25277:B1 *4133:108 0.00169126 -83 *25344:B1 *4133:77 0.00012062 -84 *25384:D *4133:12 0.000102545 -85 *26865:B *4133:12 2.35985e-05 -86 *27019:S *4133:133 0.000176156 -87 *27311:B1 *4133:133 0 -88 *27356:A1 *27714:A1 3.45371e-05 -89 *27356:A2 *27714:A1 5.63765e-05 -90 *27356:A2 *4133:108 0.000116535 -91 *27356:B1 *27714:A1 7.95355e-05 -92 *27357:C1 *27714:A1 9.41642e-05 -93 *27413:B1 *4133:32 0.00090069 -94 *27415:A1 *4133:28 5.41797e-06 -95 *27415:A1 *4133:32 9.7546e-05 -96 *27714:B1 *27714:A1 1.00733e-05 -97 *27714:B2 *27714:A1 0.000219289 -98 *27756:A2 *4133:28 0.000323604 -99 *27756:A2 *4133:32 0.000907419 -100 *27757:B1 *4133:32 0.000125717 -101 *28620:CLK *4133:108 9.75027e-06 -102 *28842:A *4133:92 0.000150788 -103 *29140:A *4133:85 1.32056e-05 -104 *30176:A *4133:28 0.000136388 -105 *30764:A *4133:12 0.000220448 -106 *1178:82 *4133:92 4.11173e-05 -107 *1218:29 *4133:92 1.90936e-05 -108 *1225:100 *4133:46 0.00101016 -109 *1230:51 *4133:77 0.000135968 -110 *1241:8 *4133:85 0.000525319 -111 *1241:10 *4133:85 0.00105456 -112 *1249:17 *4133:85 0.000209318 -113 *1249:67 *4133:77 0.000110498 -114 *1250:43 *4133:108 4.43126e-05 -115 *1265:95 *4133:133 0.000202404 -116 *1266:22 *4133:77 2.64034e-05 -117 *1266:24 *4133:92 1.81709e-05 -118 *1269:186 *4133:46 9.85571e-05 -119 *1269:186 *4133:51 5.94701e-05 -120 *1272:63 *27360:B2 0 -121 *1279:44 *4133:92 0.00108451 -122 *1282:136 *4133:108 0.000422722 -123 *1283:20 *4133:12 0.000148903 -124 *1284:19 *4133:92 0.000510044 -125 *1286:72 *4133:12 0.000845683 -126 *1293:65 *25248:A1 1.34631e-05 -127 *1361:68 *4133:12 0.000598616 -128 *1361:78 *4133:12 0.000752941 -129 *1449:62 *4133:77 0.000888285 -130 *1462:10 *4133:12 0.000148196 -131 *1462:10 *4133:28 0.00110937 -132 *1469:21 *4133:28 4.43299e-05 -133 *1484:23 *4133:77 0.0018342 -134 *1494:5 *4133:133 0.00116844 -135 *1494:22 *4133:46 0 -136 *1494:22 *4133:133 0.00017693 -137 *1505:16 *4133:92 0.000192776 -138 *1519:13 *4133:28 4.82865e-05 -139 *1576:8 *4133:46 0.00108423 -140 *1834:68 *4133:77 0.000892537 -141 *1834:70 *4133:92 0.00162944 -142 *2770:156 *4133:12 0.000196497 -143 *2773:139 *4133:12 0.000135242 -144 *2775:173 *4133:46 0 -145 *2778:132 *4133:32 0.000415848 -146 *2778:132 *4133:46 0.00112796 -147 *2778:152 *4133:32 0.00150899 -148 *2780:184 *4133:77 0.000121573 -149 *2788:124 *4133:12 0.00197964 -150 *2788:139 *4133:12 0 -151 *2788:139 *4133:28 0.000155365 -152 *2856:137 *4133:108 0.000248267 -153 *2859:242 *27360:B2 5.49489e-05 -154 *2871:354 *4133:32 0.000741127 -155 *2876:19 *4133:46 0.000129522 -156 *2876:19 *4133:133 4.65519e-05 -157 *2877:299 *4133:46 4.27611e-05 -158 *2882:260 *27360:B2 0.000569448 -159 *2888:213 *27360:B2 0.00076265 -160 *2888:213 *4133:133 0.000445008 -161 *2891:177 *4133:133 1.60961e-05 -162 *2893:7 *4133:28 4.58194e-05 -163 *2893:26 *4133:46 0.000126716 -164 *2958:13 *27360:B2 1.5381e-05 -165 *2958:34 *27360:B2 0.00120729 -166 *2970:19 *4133:12 0.000161215 -167 *2970:25 *4133:28 1.98626e-05 -168 *3185:118 *4133:32 0.000117528 -169 *3215:25 *27714:A1 1.98839e-05 -170 *3215:44 *27714:A1 0.000198309 -171 *3215:44 *4133:108 0.000248208 -172 *3304:22 *4133:28 0.000405257 -173 *3306:6 *4133:133 1.94879e-05 -174 *3578:118 *4133:12 0.000415319 -175 *3623:12 *4133:133 0.000347187 -176 *3678:76 *27360:B2 0.000323948 -177 *3678:82 *4133:133 0.00157961 -178 *3716:12 *4133:133 2.11419e-05 -179 *3758:41 *4133:32 0 -180 *3820:79 *4133:108 7.69776e-06 -181 *3822:57 *4133:108 0.00099151 -182 *3830:95 *4133:108 4.21517e-05 -183 *3844:63 *4133:108 0.00017754 -184 *3847:64 *4133:108 0.000432759 -185 *3849:30 *4133:12 0.0009463 -186 *3874:41 *4133:92 9.07971e-06 -187 *3988:44 *4133:92 0.000251313 -188 *4063:59 *4133:85 0.000187654 -189 *4063:59 *4133:92 0.00113755 -190 *4076:72 *4133:77 0.000121573 -191 *4083:10 *4133:12 0.000368701 -192 *4102:12 *4133:9 0.000181378 -193 *4102:32 *4133:12 1.94945e-05 -194 *4128:10 *4133:12 0.00105496 -*RES -1 *28532:Q *4133:9 25.2643 -2 *4133:9 *4133:11 4.5 -3 *4133:11 *4133:12 87.8571 -4 *4133:12 *4133:28 34.5446 -5 *4133:28 *4133:32 46.1964 -6 *4133:32 *4133:46 32.4142 -7 *4133:46 *4133:51 9.20536 -8 *4133:51 *25248:A1 12.3 -9 *4133:51 *4133:77 49.7909 -10 *4133:77 *4133:85 34.4554 -11 *4133:85 *4133:92 47.1429 -12 *4133:92 *4133:108 49.8645 -13 *4133:108 *27714:A1 21.0857 -14 *4133:46 *4133:133 48.8472 -15 *4133:133 *27360:B2 39.8089 -16 *4133:9 *29777:A 9.3 -*END - -*D_NET *4134 0.0694812 -*CONN -*I *29975:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24850:A I *D sky130_fd_sc_hd__inv_2 -*I *27735:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27390:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25201:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28533:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29975:A 0.000725172 -2 *24850:A 0.000458328 -3 *27735:B2 0.000846448 -4 *27390:B2 7.48881e-05 -5 *25201:B2 0.000390114 -6 *28533:Q 0 -7 *4134:110 0.00320039 -8 *4134:107 0.00455599 -9 *4134:96 0.00465037 -10 *4134:78 0.00443938 -11 *4134:45 0.00432002 -12 *4134:22 0.00209444 -13 *4134:9 0.000564605 -14 *4134:6 0.00290051 -15 *4134:5 0.00287493 -16 *4134:4 0.000725172 -17 *24850:A *30869:A 0.000204273 -18 *24850:A *5625:8 0 -19 *24850:A *5659:24 1.27784e-05 -20 *24850:A *5936:138 0 -21 *25201:B2 *25201:A2 0.000173671 -22 *25201:B2 *5587:139 0.000832666 -23 *25201:B2 *5703:45 0.000791803 -24 *27390:B2 *5631:71 4.01136e-06 -25 *27735:B2 *5595:22 1.31653e-05 -26 *27735:B2 *5595:32 0.000182092 -27 *27735:B2 *5632:115 5.96243e-05 -28 *29975:A *26953:A1 0.000442661 -29 *29975:A *27172:A0 0.000348207 -30 *29975:A *28533:D 0.000108525 -31 *29975:A *28697:RESET_B 0 -32 *29975:A *28730:RESET_B 0.000230475 -33 *29975:A *4817:18 8.70594e-05 -34 *29975:A *5926:66 4.02302e-05 -35 *29975:A *6261:17 0.000205152 -36 *29975:A *6278:11 0.000496019 -37 *29975:A *6279:29 0.000139913 -38 *4134:6 *25194:B1 3.14816e-05 -39 *4134:6 *4147:46 0.00010283 -40 *4134:6 *5631:72 8.18695e-05 -41 *4134:6 *5683:32 0.000608317 -42 *4134:6 *5683:43 0.000215163 -43 *4134:6 *5683:57 0.000100831 -44 *4134:6 *5695:133 1.45299e-05 -45 *4134:6 *5829:68 0.000769859 -46 *4134:9 *5587:139 8.33813e-05 -47 *4134:9 *5703:45 9.41642e-05 -48 *4134:78 *25050:B1 0.000197984 -49 *4134:78 *5600:205 0.00034188 -50 *4134:78 *5631:47 0.000299674 -51 *4134:96 *5627:205 0.000103347 -52 *4134:96 *5633:63 0.00131128 -53 *4134:110 *28568:D 0.000178847 -54 *4134:110 *30907:A 0.00022266 -55 mgmt_gpio_out[8] *24850:A 0 -56 *25010:B2 *4134:96 0.000142026 -57 *25050:A1 *4134:78 3.58086e-05 -58 *25201:A1 *25201:B2 0.000135768 -59 *25201:B1 *25201:B2 1.49129e-05 -60 *27390:A1 *27390:B2 3.07229e-05 -61 *27390:B1 *4134:45 1.10602e-05 -62 *27390:C1 *27390:B2 5.33005e-05 -63 *27390:C1 *4134:22 0.000374789 -64 *27420:A2 *4134:45 0.000222038 -65 *27427:A2 *27735:B2 0.000145421 -66 *27427:A2 *4134:78 1.97254e-05 -67 *27463:B2 *4134:96 0.000219811 -68 *27465:A1 *4134:107 0 -69 *27471:C *4134:107 0.000125162 -70 *27723:A1 *4134:6 0.000316448 -71 *27735:A1 *27735:B2 5.49544e-05 -72 *27740:B1 *4134:6 0.000121614 -73 *27741:C1 *4134:6 0.000165553 -74 *27775:A2 *4134:78 0.000111571 -75 *28709:CLK *4134:6 0.000741267 -76 *29075:A *4134:6 2.22043e-05 -77 *29126:A *4134:6 0.000332629 -78 *29773:A *24850:A 0.000101545 -79 *29774:A *4134:110 0.000261308 -80 *29957:A *29975:A 0.000166792 -81 *29967:A *29975:A 3.93102e-05 -82 *29973:A *4134:6 0.00021115 -83 *866:20 *29975:A 2.95955e-05 -84 *1185:175 *4134:45 0.00103793 -85 *1242:135 *4134:96 2.18087e-05 -86 *1252:195 *4134:96 0.00108343 -87 *1252:195 *4134:107 6.49067e-05 -88 *1252:207 *27735:B2 2.84109e-05 -89 *1266:148 *4134:107 0.000109321 -90 *1266:186 *4134:96 2.06178e-05 -91 *1270:75 *4134:96 2.64464e-05 -92 *1302:15 *4134:96 7.23484e-05 -93 *1302:16 *4134:107 0.000137113 -94 *1393:11 *25201:B2 0.00018976 -95 *1513:10 *4134:6 0.00324833 -96 *1514:13 *4134:6 1.90936e-05 -97 *2760:113 *4134:45 0.000363766 -98 *2768:175 *24850:A 0.000317935 -99 *2782:146 *27735:B2 0.000149902 -100 *2782:147 *4134:78 0.000138705 -101 *2787:144 *4134:45 0.000772845 -102 *2791:275 *29975:A 4.1879e-05 -103 *2848:369 *4134:45 2.89701e-05 -104 *2856:314 *4134:107 0.000147552 -105 *2856:316 *4134:96 0.000115606 -106 *2872:224 *4134:96 0 -107 *2872:224 *4134:107 0 -108 *2872:336 *4134:96 0 -109 *2874:336 *4134:45 0.000327163 -110 *2883:188 *4134:107 0.000106304 -111 *2885:156 *27735:B2 0.000170537 -112 *2885:156 *4134:78 1.88878e-05 -113 *2895:266 *4134:96 0.000191057 -114 *2895:266 *4134:107 0.000135432 -115 *2943:11 *4134:78 1.90936e-05 -116 *3029:10 *4134:107 0.000154703 -117 *3165:55 *4134:107 0 -118 *3170:213 *4134:96 9.92848e-05 -119 *3193:60 *4134:96 0.000206687 -120 *3193:60 *4134:107 0.000381556 -121 *3205:270 *4134:107 5.16399e-06 -122 *3215:204 *4134:78 0.00117561 -123 *3285:16 *4134:78 2.06112e-05 -124 *3291:17 *4134:45 0 -125 *3345:6 *4134:107 0.000106304 -126 *3370:11 *4134:96 0.000219289 -127 *3370:18 *4134:107 0.000277698 -128 *3537:20 *4134:6 0.000107119 -129 *3538:16 *27735:B2 1.65348e-05 -130 *3690:46 *4134:107 1.10612e-05 -131 *3692:45 *4134:6 8.19974e-05 -132 *3692:47 *4134:6 0.00065634 -133 *3692:58 *4134:6 0.00059526 -134 *3692:58 *4134:22 0.000178649 -135 *3705:14 *4134:6 0.000607943 -136 *3705:22 *4134:6 0.00150063 -137 *3721:37 *4134:107 0.000338514 -138 *3774:33 *4134:96 0.000104734 -139 *3785:25 *4134:78 0.000218734 -140 *3785:41 *4134:78 0.000136318 -141 *3839:45 *4134:96 0.000368983 -142 *3887:41 *4134:6 0.00102623 -143 *3887:41 *4134:22 0.000177735 -144 *3887:41 *4134:45 9.8494e-05 -145 *3915:55 *4134:96 0.00130976 -146 *3939:63 *4134:45 0.00158187 -147 *3943:41 *4134:96 0.000176569 -148 *4004:16 *4134:6 0.000125992 -149 *4004:46 *29975:A 8.65622e-05 -150 *4082:70 *4134:6 0.000240543 -151 *4086:64 *4134:107 0.000578131 -152 *4108:75 *4134:110 0.0012468 -*RES -1 *28533:Q *4134:4 9.3 -2 *4134:4 *4134:5 4.5 -3 *4134:5 *4134:6 90.2857 -4 *4134:6 *4134:9 5.44643 -5 *4134:9 *25201:B2 21.483 -6 *4134:9 *4134:22 9.48214 -7 *4134:22 *27390:B2 15.1795 -8 *4134:22 *4134:45 17.1688 -9 *4134:45 *27735:B2 22.3517 -10 *4134:45 *4134:78 49.539 -11 *4134:78 *4134:96 48.5555 -12 *4134:96 *4134:107 21.8267 -13 *4134:107 *4134:110 47.75 -14 *4134:110 *24850:A 25.1036 -15 *4134:4 *29975:A 37.3921 -*END - -*D_NET *4135 0.031234 -*CONN -*I *27403:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25094:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27753:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30184:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28534:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *27403:B2 0 -2 *25094:B2 6.40726e-06 -3 *27753:B2 0.000287657 -4 *30184:A 0.000587298 -5 *28534:Q 0 -6 *4135:72 0.000294064 -7 *4135:70 0.00232366 -8 *4135:45 0.00354539 -9 *4135:18 0.00326902 -10 *4135:17 0.00243689 -11 *4135:4 0.00097689 -12 *27753:B2 *5649:157 1.74959e-05 -13 *30184:A *26951:A0 0.000437705 -14 *30184:A *26951:A1 0.000142273 -15 *30184:A *5688:10 2.60793e-05 -16 *30184:A *5688:25 4.96113e-05 -17 *30184:A *5763:87 5.19374e-05 -18 *4135:17 *5795:19 0.000510635 -19 *4135:18 *28706:D 0.000122697 -20 *4135:18 *29207:A 0.00077603 -21 *4135:18 *4148:24 0.000191777 -22 *4135:18 *5641:162 6.56976e-05 -23 *4135:18 *5643:269 0.000542803 -24 *4135:18 *5688:25 0.00182367 -25 *4135:18 *5833:191 0.0013905 -26 *4135:45 *5627:139 1.34548e-05 -27 *4135:45 *5628:161 0.000197072 -28 *4135:45 *5704:18 1.00756e-05 -29 *4135:70 *5613:46 3.32921e-05 -30 *4135:70 *5630:292 0.000121542 -31 *4135:70 *5652:45 1.14338e-05 -32 *4135:70 *5652:61 0.000876141 -33 *4135:70 *5660:217 1.90936e-05 -34 *4135:70 *5688:115 1.60961e-05 -35 *4135:70 *5891:11 3.16666e-05 -36 *6649:DIODE *4135:45 1.24368e-05 -37 *25094:B1 *27753:B2 1.74244e-05 -38 *27401:B1 *27753:B2 0.000215029 -39 *27403:A1 *4135:70 5.89856e-05 -40 *27403:A2 *4135:45 3.45371e-05 -41 *27410:B1 *4135:70 1.91807e-05 -42 *27750:C1 *4135:18 0.000147835 -43 *27753:A1 *27753:B2 0.000135028 -44 *27753:A2 *27753:B2 0.000135028 -45 *27763:A1 *4135:45 0.000309175 -46 *27763:A2 *4135:45 0.000281901 -47 *27763:A2 *4135:70 9.66977e-05 -48 *27765:B2 *4135:70 0.00114487 -49 *27766:A *4135:45 1.00887e-05 -50 *30185:A *30184:A 0.000169593 -51 *1405:96 *4135:70 0.000206328 -52 *1414:10 *4135:70 2.26973e-05 -53 *1415:18 *25094:B2 1.31802e-07 -54 *1415:18 *27753:B2 1.98839e-05 -55 *1435:143 *27753:B2 0.000165675 -56 *2848:300 *4135:70 7.86139e-05 -57 *2872:295 *4135:70 7.12386e-05 -58 *2874:305 *4135:45 8.33498e-05 -59 *2874:305 *4135:70 9.66977e-05 -60 *2882:246 *4135:70 0.00049884 -61 *2891:236 *4135:45 5.02606e-05 -62 *2892:292 *4135:70 2.50824e-05 -63 *3173:158 *27753:B2 2.99572e-05 -64 *3179:186 *4135:18 0.000283668 -65 *3179:205 *4135:18 0.000604282 -66 *3215:242 *27753:B2 3.97677e-05 -67 *3578:27 *30184:A 0 -68 *3590:8 *4135:18 1.11654e-05 -69 *3590:10 *4135:18 5.66564e-05 -70 *3590:12 *4135:18 5.44074e-05 -71 *3680:32 *4135:18 0.00252561 -72 *3680:32 *4135:45 0.000119574 -73 *3680:34 *4135:45 5.94788e-05 -74 *3706:35 *4135:45 0.000622611 -75 *3729:38 *27753:B2 0.000178425 -76 *3771:50 *4135:45 2.28914e-05 -77 *3810:26 *4135:45 6.02012e-06 -78 *3810:26 *4135:70 6.6427e-05 -79 *4025:75 *4135:70 0.000199197 -80 *4070:44 *4135:70 0.000407072 -81 *4102:32 *4135:18 2.09826e-05 -82 *4109:20 *30184:A 4.27351e-05 -83 *4122:28 *4135:45 0.000570402 -*RES -1 *28534:Q *4135:4 9.3 -2 *4135:4 *30184:A 31.8536 -3 *4135:4 *4135:17 9.55357 -4 *4135:17 *4135:18 69.6429 -5 *4135:18 *4135:45 41.0798 -6 *4135:45 *4135:70 46.7912 -7 *4135:70 *4135:72 4.5 -8 *4135:72 *27753:B2 25.7643 -9 *4135:72 *25094:B2 9.42946 -10 *4135:45 *27403:B2 9.3 -*END - -*D_NET *4136 0.0251533 -*CONN -*I *30585:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25048:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27426:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27777:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28535:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *30585:A 0 -2 *25048:B2 6.42211e-05 -3 *27426:B2 0.000225458 -4 *27777:B2 0.000164466 -5 *28535:Q 0 -6 *4136:60 0.00087356 -7 *4136:18 0.000389924 -8 *4136:16 0.00158928 -9 *4136:15 0.00161237 -10 *4136:11 0.000146651 -11 *4136:8 0.00199447 -12 *4136:4 0.00280869 -13 *27426:B2 *27777:A2 0.000158371 -14 *27426:B2 *5649:310 0 -15 *27777:B2 *5649:310 2.50535e-05 -16 *4136:8 *28711:D 9.78828e-05 -17 *4136:8 *29318:A 0.000289813 -18 *4136:8 *4829:13 0.00025665 -19 *4136:8 *5683:96 8.34705e-05 -20 *4136:8 *5709:312 7.58841e-05 -21 *4136:8 *5775:60 9.25014e-06 -22 *4136:8 *5776:20 0 -23 *4136:8 *5829:207 6.96346e-05 -24 *4136:8 *5863:25 0.000115185 -25 *4136:8 *6201:11 3.72301e-05 -26 *4136:11 *28713:D 0.000178847 -27 *4136:11 *5646:311 1.98839e-05 -28 *4136:16 *27152:A1 8.92847e-05 -29 *4136:16 *27776:A2 0.000110077 -30 *4136:16 *28713:D 0.00011594 -31 *4136:16 *5584:269 0.000339297 -32 *4136:16 *5595:69 0.00153507 -33 *4136:16 *5627:216 0.000103787 -34 *4136:16 *5651:378 4.11173e-05 -35 *4136:60 *4827:14 0.000522619 -36 *4136:60 *4828:11 0.00136924 -37 *4136:60 *4829:13 0.000426068 -38 *4136:60 *5703:13 6.94623e-05 -39 *4136:60 *5881:33 0.000300245 -40 *25048:B1 *25048:B2 0.00018077 -41 *27426:C1 *27426:B2 5.87609e-05 -42 *27822:A1 *25048:B2 0.000254042 -43 *29942:A *4136:8 0.000318391 -44 *29946:A *4136:8 0.000134196 -45 *30584:A *4136:60 0.000444941 -46 *1826:189 *4136:16 0.00146452 -47 *2791:20 *4136:8 9.75435e-05 -48 *2791:45 *4136:8 0.000593279 -49 *2791:66 *4136:16 0.00010283 -50 *2874:359 *27426:B2 0.000116187 -51 *2986:11 *27426:B2 2.56289e-05 -52 *3320:16 *4136:16 0.000103109 -53 *3320:18 *4136:16 0.000409348 -54 *3582:72 *4136:60 0.000351156 -55 *3796:163 *25048:B2 3.37161e-05 -56 *3796:163 *4136:11 1.98839e-05 -57 *3837:20 *4136:8 7.58516e-05 -58 *3837:27 *4136:16 0.00177724 -59 *3837:33 *4136:16 1.45832e-05 -60 *3900:99 *4136:8 0.000240597 -61 *3916:18 *4136:60 4.04969e-05 -62 *3967:14 *4136:8 0.00158538 -63 *3969:7 *25048:B2 1.33343e-05 -64 *3969:7 *4136:11 0.000183726 -65 *3969:14 *4136:8 3.10885e-05 -66 *3978:130 *4136:60 0 -67 *4017:20 *4136:60 4.16936e-05 -68 *4095:33 *4136:16 0.000132548 -*RES -1 *28535:Q *4136:4 9.3 -2 *4136:4 *4136:8 47.2143 -3 *4136:8 *4136:11 6.67857 -4 *4136:11 *4136:15 4.92857 -5 *4136:15 *4136:16 47.7857 -6 *4136:16 *4136:18 4.5 -7 *4136:18 *27777:B2 11.55 -8 *4136:18 *27426:B2 14.4295 -9 *4136:11 *25048:B2 11.8893 -10 *4136:4 *4136:60 44.6607 -11 *4136:60 *30585:A 9.3 -*END - -*D_NET *4137 0.0634797 -*CONN -*I *29421:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27808:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27457:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25025:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28536:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29421:A 0.000663901 -2 *27808:B2 0.000493973 -3 *27457:B2 6.7271e-05 -4 *25025:B2 0.000775532 -5 *28536:Q 0 -6 *4137:33 0.000935246 -7 *4137:32 0.00219559 -8 *4137:24 0.00365621 -9 *4137:22 0.00212413 -10 *4137:20 0.00157627 -11 *4137:18 0.00154959 -12 *4137:16 0.00286664 -13 *4137:14 0.00376035 -14 *4137:4 0.00160801 -15 *25025:B2 *5602:80 5.33005e-05 -16 *25025:B2 *5604:63 3.70919e-05 -17 *25025:B2 *5627:181 2.83129e-05 -18 *25025:B2 *5632:18 6.51616e-05 -19 *27457:B2 *5644:45 2.06112e-05 -20 *27808:B2 *4150:33 0.000136951 -21 *29421:A *27201:A0 0.000447745 -22 *29421:A *27201:A1 0.000482404 -23 *29421:A *5191:15 0.000254639 -24 *29421:A *5788:18 0.000424885 -25 *4137:14 *26917:S 0.000599685 -26 *4137:14 *5590:191 3.30092e-05 -27 *4137:14 *5680:52 0.000613194 -28 *4137:14 *5680:54 0.00074566 -29 *4137:14 *5680:66 1.90936e-05 -30 *4137:14 *5682:254 0.000169449 -31 *4137:14 *5682:264 0.00071147 -32 *4137:14 *5775:78 0 -33 *4137:16 *25048:A1 0.00164566 -34 *4137:16 *25048:A2 0.000294136 -35 *4137:16 *26916:A0 6.02288e-05 -36 *4137:16 *26917:S 0 -37 *4137:16 *5590:191 4.95543e-05 -38 *4137:16 *5654:157 0.000189201 -39 *4137:16 *5682:120 1.95625e-05 -40 *4137:16 *5774:164 0.000122174 -41 *4137:16 *5800:68 2.84349e-05 -42 *4137:20 *27141:A1 1.17921e-05 -43 *4137:20 *5600:205 0.000111581 -44 *4137:32 *5627:181 0.00205098 -45 *4137:32 *5650:214 0.000282295 -46 *4137:33 *5627:181 0.000104549 -47 *24977:A1 *4137:16 5.71083e-05 -48 *24977:A2 *4137:16 1.01912e-05 -49 *25025:B1 *25025:B2 4.70467e-05 -50 *27201:S *29421:A 1.98839e-05 -51 *27420:A1 *4137:16 0.000871888 -52 *27457:B1 *27457:B2 0.000135028 -53 *27773:A2 *4137:16 4.11173e-05 -54 *27773:B2 *4137:16 0 -55 *27779:A2 *4137:16 2.06827e-05 -56 *29094:A *29421:A 4.72818e-05 -57 *29229:A *4137:14 0.000175479 -58 *29922:A *4137:16 6.19639e-06 -59 *29966:A *29421:A 0.000650934 -60 *29966:A *4137:14 0.000137983 -61 *940:17 *4137:16 0.000629094 -62 *1242:135 *4137:20 0.00137301 -63 *1242:135 *4137:24 8.41555e-06 -64 *1242:148 *4137:16 9.3246e-06 -65 *1242:148 *4137:20 0.00254355 -66 *1252:166 *25025:B2 1.54746e-05 -67 *1252:178 *4137:32 2.75143e-05 -68 *1273:156 *27457:B2 1.90936e-05 -69 *1273:159 *4137:24 1.17921e-05 -70 *1273:161 *4137:20 0.000126431 -71 *1273:161 *4137:24 0.00541604 -72 *1273:181 *4137:16 8.20716e-05 -73 *1358:19 *25025:B2 4.3942e-05 -74 *1378:36 *4137:20 0.00144388 -75 *1390:15 *4137:16 4.78835e-05 -76 *2771:57 *4137:16 7.47122e-05 -77 *2775:109 *4137:16 3.31806e-05 -78 *2791:13 *4137:16 5.28368e-06 -79 *2794:37 *29421:A 0.000378482 -80 *2794:69 *29421:A 0.000169359 -81 *2794:69 *4137:14 0.000137983 -82 *2844:201 *4137:32 0 -83 *2848:369 *4137:20 0.000111348 -84 *2853:202 *25025:B2 2.83129e-05 -85 *2853:202 *27808:B2 4.65519e-05 -86 *2853:202 *4137:33 0.000213669 -87 *2866:241 *25025:B2 0.000181403 -88 *2872:168 *27457:B2 4.00679e-05 -89 *2882:185 *25025:B2 0.000150854 -90 *3153:300 *4137:16 2.68801e-05 -91 *3170:196 *27808:B2 0.000441398 -92 *3170:196 *4137:32 0.000291769 -93 *3205:270 *4137:24 0.00125205 -94 *3320:16 *4137:16 3.34078e-05 -95 *3326:11 *4137:16 0.00181254 -96 *3326:11 *4137:20 0.000186144 -97 *3339:22 *4137:20 0.000461538 -98 *3340:6 *4137:24 0.0060656 -99 *3558:213 *25025:B2 0.000361377 -100 *3558:213 *4137:33 3.03009e-05 -101 *3558:223 *27808:B2 2.17065e-05 -102 *3558:223 *4137:33 2.83129e-05 -103 *3721:37 *4137:32 0.000154184 -104 *3721:50 *27808:B2 0.000328861 -105 *3731:39 *4137:16 0 -106 *3759:16 *4137:16 0.000410247 -107 *3760:12 *25025:B2 0.000152683 -108 *3796:163 *4137:16 7.29263e-05 -109 *3839:13 *4137:14 0.000229964 -110 *3930:55 *4137:16 0.000350966 -111 *3930:70 *4137:20 0.00128758 -112 *3943:24 *27808:B2 0.000773313 -113 *3943:30 *4137:32 0.000274643 -114 *3978:130 *4137:16 0 -115 *4084:18 *4137:16 0 -116 *4085:71 *4137:32 0.000178321 -117 *4085:73 *27808:B2 0.000577873 -*RES -1 *28536:Q *4137:4 9.3 -2 *4137:4 *4137:14 33.2589 -3 *4137:14 *4137:16 67.3036 -4 *4137:16 *4137:18 0.946429 -5 *4137:18 *4137:20 51.125 -6 *4137:20 *4137:22 0.946429 -7 *4137:22 *4137:24 79.6607 -8 *4137:24 *4137:32 14.6934 -9 *4137:32 *4137:33 0.3713 -10 *4137:33 *25025:B2 21.9614 -11 *4137:33 *27457:B2 18.8439 -12 *4137:32 *27808:B2 34.1591 -13 *4137:4 *29421:A 31.2643 -*END - -*D_NET *4138 0.0518532 -*CONN -*I *24986:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27827:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27475:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *29897:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28537:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *24986:B2 9.74571e-05 -2 *27827:B2 0 -3 *27475:A1 0.000174075 -4 *29897:A 0.000793445 -5 *28537:Q 0 -6 *4138:62 0.00085898 -7 *4138:45 0.00137067 -8 *4138:40 0.000797189 -9 *4138:39 0.00110625 -10 *4138:31 0.00177866 -11 *4138:18 0.00455427 -12 *4138:17 0.00351975 -13 *4138:4 0.000793445 -14 *27475:A1 *27475:B1 9.41642e-05 -15 *29897:A *28537:D 0.000262905 -16 *29897:A *5682:251 0.000230704 -17 *29897:A *6201:11 0.00018184 -18 *4138:18 *29630:A 0.000182018 -19 *4138:18 *4205:22 0.000940437 -20 *4138:18 *5676:26 0.000724909 -21 *4138:18 *5814:12 0.00831769 -22 *4138:31 *5814:12 0.00256042 -23 *4138:39 *5604:121 1.20729e-05 -24 *4138:39 *5635:120 0.00123904 -25 *4138:39 *5658:107 2.90908e-05 -26 *4138:62 *5485:145 9.99747e-05 -27 *4138:62 *5485:147 0.00100185 -28 *4138:62 *5635:104 0.000480441 -29 *4138:62 *5649:116 0.000335194 -30 *4138:62 *5658:44 0.000216639 -31 *25066:B1 *4138:39 0.000753709 -32 *25066:B1 *4138:40 0.00114257 -33 *25066:B1 *4138:45 0.000363245 -34 *26984:S *27475:A1 0.000119303 -35 *26984:S *4138:45 0.000159071 -36 *27141:S *4138:31 2.98296e-05 -37 *27423:B1 *4138:31 1.81393e-05 -38 *27423:C1 *4138:18 1.37568e-05 -39 *27463:A2 *4138:31 8.55871e-05 -40 *27463:B1 *4138:31 0.000177815 -41 *27475:A2 *27475:A1 5.33005e-05 -42 *27476:A2 *4138:62 0.000135028 -43 *29898:A *29897:A 0.000714517 -44 *29898:A *4138:18 0.000100458 -45 *1265:161 *4138:18 2.04825e-05 -46 *1275:101 *24986:B2 0.000187731 -47 *2786:80 *4138:31 7.59402e-06 -48 *2792:62 *4138:18 2.06112e-05 -49 *2845:347 *4138:62 0.000267814 -50 *2867:249 *4138:31 0.000282477 -51 *2871:393 *4138:31 0.000150804 -52 *2998:16 *4138:62 0.00180673 -53 *3537:38 *4138:18 0.00217125 -54 *3537:47 *4138:18 0.00322704 -55 *3537:47 *4138:31 0.000129301 -56 *3537:50 *4138:31 0.00251522 -57 *3537:50 *4138:40 0.00113586 -58 *3537:50 *4138:45 0.000364967 -59 *3837:20 *29897:A 0.000266486 -60 *3852:51 *4138:62 0.000480441 -61 *3915:37 *4138:18 0.000124184 -62 *3939:102 *4138:18 4.75511e-05 -63 *4121:69 *4138:39 0.00202678 -*RES -1 *28537:Q *4138:4 9.3 -2 *4138:4 *29897:A 24.675 -3 *4138:4 *4138:17 4.5 -4 *4138:17 *4138:18 111.08 -5 *4138:18 *4138:31 46.8801 -6 *4138:31 *4138:39 37.8951 -7 *4138:39 *4138:40 14.8482 -8 *4138:40 *4138:45 12.5893 -9 *4138:45 *27475:A1 12.3 -10 *4138:45 *4138:62 49.0536 -11 *4138:62 *27827:B2 9.3 -12 *4138:40 *24986:B2 15.6571 -*END - -*D_NET *4139 0.0412678 -*CONN -*I *27490:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *27852:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25374:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *29163:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28284:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27490:A1 0 -2 *27852:B2 0 -3 *25374:B2 0.00175957 -4 *29163:A 0 -5 *28284:Q 0.000297428 -6 *4139:82 0.00126524 -7 *4139:53 0.00483006 -8 *4139:33 0.00409557 -9 *4139:28 0.00332326 -10 *4139:10 0.00133037 -11 *25374:B2 *5629:20 2.4721e-05 -12 *25374:B2 *5711:186 0.000365115 -13 *4139:10 *25829:A0 7.76056e-05 -14 *4139:10 *5710:78 0.000129697 -15 *4139:10 *5710:84 5.72775e-05 -16 *4139:28 *27156:A0 4.43256e-05 -17 *4139:28 *28018:A0 0.000110763 -18 *4139:28 *5691:325 0.000114587 -19 *4139:28 *5698:55 0.000294616 -20 *4139:28 *5698:87 0.000307336 -21 *4139:53 *25893:A1 9.79328e-05 -22 *4139:53 *5685:55 0.00210243 -23 *4139:53 *5699:147 0.000146457 -24 *4139:53 *5718:88 0.000130033 -25 *4139:53 *6317:85 0.000517215 -26 *25267:A2 *4139:28 0.000645043 -27 *25275:C *4139:53 0.00125422 -28 *25374:B1 *25374:B2 7.14693e-05 -29 *27493:C *4139:82 3.93452e-05 -30 *27508:A2 *4139:33 0.00198939 -31 *27508:B1 *4139:82 0.00101242 -32 *27619:A2 *25374:B2 0 -33 *27852:B1 *4139:33 1.97695e-05 -34 *27892:A1 *4139:53 0.000139631 -35 *27892:B1 *4139:53 4.34627e-05 -36 *27892:B2 *4139:53 0.000261288 -37 *27902:B2 *4139:53 0.000145854 -38 *28340:CLK *25374:B2 0.000383029 -39 *30572:A *4139:28 0.000184745 -40 *1218:46 *25374:B2 7.76075e-06 -41 *1218:62 *25374:B2 0.000129246 -42 *1237:17 *25374:B2 1.84338e-05 -43 *1417:10 *25374:B2 8.67933e-06 -44 *1417:15 *25374:B2 8.00213e-05 -45 *1430:36 *4139:28 0.000379995 -46 *1430:43 *4139:28 1.98839e-05 -47 *1457:51 *4139:10 0.000130741 -48 *1594:23 *4139:53 8.94556e-05 -49 *1600:9 *4139:10 0.000187892 -50 *1653:35 *25374:B2 3.9667e-05 -51 *1890:39 *25374:B2 4.28249e-05 -52 *2834:41 *25374:B2 0.000857388 -53 *2850:37 *25374:B2 0.000223441 -54 *2871:36 *25374:B2 0 -55 *2871:62 *4139:82 0.000222666 -56 *2872:90 *4139:82 0.000925697 -57 *2874:68 *4139:82 6.81704e-05 -58 *3145:14 *4139:53 0.000148172 -59 *3183:103 *4139:53 6.30931e-05 -60 *3206:27 *25374:B2 1.42385e-05 -61 *3214:36 *25374:B2 0.000261602 -62 *3661:36 *4139:53 9.22222e-06 -63 *3672:45 *4139:53 0.000339346 -64 *3788:18 *4139:33 0.0011369 -65 *3806:33 *4139:10 6.86479e-05 -66 *3815:26 *4139:28 0.00038171 -67 *3880:23 *4139:33 0.00156743 -68 *3880:50 *4139:33 0.000824452 -69 *3880:50 *4139:53 9.34108e-05 -70 *3884:112 *4139:53 0.00021603 -71 *3893:52 *4139:28 0 -72 *3910:43 *4139:53 9.60939e-05 -73 *3960:43 *4139:28 0.00054712 -74 *3960:43 *4139:82 0.000361965 -75 *3972:44 *25374:B2 4.32957e-05 -76 *4129:38 *4139:53 0.000243354 -77 *4129:61 *4139:53 0.000723346 -78 *4130:34 *4139:28 0.000258033 -79 *4130:58 *4139:28 0.000600004 -80 *4130:58 *4139:82 0.00222714 -*RES -1 *28284:Q *4139:10 26.6929 -2 *4139:10 *29163:A 9.3 -3 *4139:10 *4139:28 43.7143 -4 *4139:28 *4139:33 41.1964 -5 *4139:33 *4139:53 43.1202 -6 *4139:53 *25374:B2 41.7097 -7 *4139:33 *27852:B2 9.3 -8 *4139:28 *4139:82 40.8929 -9 *4139:82 *27490:A1 9.3 -*END - -*D_NET *4140 0.0304113 -*CONN -*I *29165:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27523:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25304:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27877:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28285:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *29165:A 0 -2 *27523:A1 0.000865755 -3 *25304:B2 7.99817e-05 -4 *27877:B2 0 -5 *28285:Q 5.40453e-05 -6 *4140:66 0.00213096 -7 *4140:61 0.00275584 -8 *4140:58 0.00163349 -9 *4140:55 0.000154092 -10 *4140:47 0.000961567 -11 *4140:24 0.00243269 -12 *4140:7 0.00161639 -13 *25304:B2 *25491:A 0.000269501 -14 *4140:24 *25267:B2 6.09682e-05 -15 *4140:24 *27154:A0 0.000643113 -16 *4140:47 *27526:C1 5.52238e-05 -17 *4140:47 *29543:A 2.59355e-05 -18 *4140:47 *5313:7 9.99644e-06 -19 *4140:58 *5665:204 0.000139907 -20 *4140:61 *25670:B 0.000586014 -21 *4140:61 *25672:A1 7.83587e-05 -22 *4140:61 *28162:D 0.000308184 -23 *4140:61 *5665:204 0.000134312 -24 *4140:61 *5665:219 5.31751e-05 -25 *4140:66 *25491:A 0.000378314 -26 *4140:66 *25836:A1 0 -27 *4140:66 *27884:A2 0.000328778 -28 *4140:66 *28290:D 0.000565272 -29 *4140:66 *5007:16 0.000379281 -30 *4140:66 *5710:8 1.721e-05 -31 *4140:66 *5739:6 2.05938e-05 -32 *4140:66 *5739:10 2.72083e-05 -33 *4140:66 *6036:27 0.000253183 -34 *25267:A2 *4140:24 0.000601821 -35 *25301:A1 *4140:61 0.000770202 -36 *25301:A2 *4140:61 4.70947e-05 -37 *25830:S *4140:7 0.000313241 -38 *25830:S *4140:24 0.000222666 -39 *27508:C1 *4140:24 0.000100823 -40 *27511:A1 *4140:47 4.33742e-05 -41 *27515:C *4140:47 0.000228558 -42 *27515:D *4140:47 5.71472e-05 -43 *27523:B1 *27523:A1 0.000119686 -44 *27526:A1 *4140:47 5.52238e-05 -45 *27526:B1 *4140:47 0.000226982 -46 *27884:B1 *4140:66 0.000111087 -47 *28259:CLK *27523:A1 0.000193216 -48 *28259:CLK *4140:66 3.69047e-06 -49 *29374:A *4140:61 0.00012501 -50 *29383:A *4140:24 9.71197e-05 -51 *1323:17 *4140:47 0.00174676 -52 *1362:174 *4140:24 2.61337e-05 -53 *1362:174 *4140:55 9.77024e-05 -54 *1427:142 *4140:61 0.000138096 -55 *1427:142 *4140:66 1.34343e-05 -56 *1437:42 *4140:24 6.19181e-05 -57 *1437:42 *4140:47 0.000342204 -58 *1449:138 *4140:61 0.000125291 -59 *1457:80 *4140:24 2.61337e-05 -60 *1457:80 *4140:55 9.32406e-05 -61 *1457:80 *4140:61 0.00137508 -62 *1587:16 *4140:47 2.88105e-05 -63 *1619:10 *4140:61 0 -64 *1833:11 *4140:61 0.000242064 -65 *1833:36 *4140:61 0.0001818 -66 *1833:43 *4140:66 0 -67 *1864:51 *27523:A1 1.21258e-05 -68 *1888:39 *4140:66 3.69047e-06 -69 *2852:228 *4140:47 1.76569e-05 -70 *2852:231 *4140:47 9.66977e-05 -71 *2860:64 *4140:47 0.000293295 -72 *2874:85 *27523:A1 3.99614e-06 -73 *3064:13 *4140:47 0.000411148 -74 *3067:12 *4140:47 6.25005e-05 -75 *3158:43 *4140:47 6.05161e-06 -76 *3158:47 *4140:47 3.80079e-05 -77 *3414:20 *25304:B2 0.000267079 -78 *3736:25 *4140:66 8.60003e-05 -79 *3779:45 *4140:47 4.11173e-05 -80 *3845:47 *4140:47 1.98839e-05 -81 *3884:88 *4140:47 6.8293e-05 -82 *3893:97 *4140:47 0.000180791 -83 *3895:29 *4140:61 0.000158834 -84 *3895:29 *4140:66 0.000389 -85 *3908:66 *4140:24 9.25014e-06 -86 *3909:19 *4140:24 5.74562e-05 -87 *3919:46 *4140:24 0.000850157 -88 *3919:46 *4140:47 0.000333615 -89 *3919:51 *4140:47 4.29471e-05 -90 *3960:43 *4140:24 9.91086e-05 -91 *4074:23 *4140:61 0.000220306 -92 *4075:10 *4140:61 2.94103e-05 -93 *4075:33 *4140:61 8.00986e-05 -94 *4114:28 *27523:A1 0.00034188 -95 *4131:29 *4140:7 0.000313241 -96 *4131:29 *4140:24 0.00104272 -*RES -1 *28285:Q *4140:7 16.8 -2 *4140:7 *4140:24 47.9821 -3 *4140:24 *4140:47 49.2815 -4 *4140:47 *27877:B2 9.3 -5 *4140:7 *4140:55 7.05357 -6 *4140:55 *4140:58 5.85714 -7 *4140:58 *4140:61 46.6518 -8 *4140:61 *4140:66 32.6518 -9 *4140:66 *25304:B2 21.7643 -10 *4140:66 *27523:A1 21.4964 -11 *4140:55 *29165:A 9.3 -*END - -*D_NET *4141 0.0597659 -*CONN -*I *29347:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27303:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25357:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27658:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28538:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *29347:A 0 -2 *27303:A1 2.30826e-05 -3 *25357:B2 0.00071198 -4 *27658:A1 4.29874e-05 -5 *28538:Q 0.000205842 -6 *4141:49 0.0015634 -7 *4141:34 0.00489708 -8 *4141:32 0.0041223 -9 *4141:30 0.00216923 -10 *4141:29 0.00211567 -11 *4141:21 0.00137903 -12 *4141:9 0.00154189 -13 *25357:B2 *5650:67 0.00172326 -14 *27658:A1 *27658:A2 5.49995e-05 -15 *4141:9 *26956:A1 0 -16 *4141:21 *26956:A0 0.000356651 -17 *4141:21 *26956:A1 0 -18 *4141:21 *27127:A1 0 -19 *4141:21 *27658:A2 5.33005e-05 -20 *4141:21 *27985:A1 1.88048e-05 -21 *4141:21 *28538:D 2.34087e-05 -22 *4141:21 *28538:SET_B 2.11419e-05 -23 *4141:21 *28735:D 0.000217025 -24 *4141:21 *29004:A 0 -25 *4141:21 *29349:A 4.57445e-05 -26 *4141:21 *5689:30 4.61329e-05 -27 *4141:21 *5715:6 0.0001426 -28 *4141:21 *5715:17 0.000175512 -29 *4141:21 *5763:154 0 -30 *4141:21 *6036:107 0 -31 *4141:30 *27658:A2 9.96487e-05 -32 *4141:30 *28614:RESET_B 0.000300699 -33 *4141:30 *5312:13 6.09572e-05 -34 *4141:30 *5644:251 0.000697972 -35 *4141:30 *5762:73 0.000103981 -36 *4141:34 *27032:A0 0.000617789 -37 *4141:34 *28670:D 0.000357067 -38 *4141:34 *5600:50 0.000296952 -39 *4141:34 *5605:149 1.43864e-05 -40 *4141:34 *5644:251 3.30635e-05 -41 *4141:34 *5649:176 0.000468493 -42 *4141:49 *5641:70 0 -43 *4141:49 *5713:37 2.41653e-05 -44 *25122:A1 *25357:B2 7.20217e-06 -45 *25122:A1 *4141:49 4.65519e-05 -46 *25331:B1 *25357:B2 0.000104044 -47 *25376:B1 *25357:B2 0.00017467 -48 *27178:S *4141:21 0.000363585 -49 *27303:A2 *27303:A1 0 -50 *27303:A2 *4141:49 9.41642e-05 -51 *27303:B1 *25357:B2 2.59355e-05 -52 *27303:B1 *4141:49 1.98839e-05 -53 *27304:C1 *25357:B2 9.76435e-06 -54 *27659:C1 *27658:A1 5.33005e-05 -55 *27659:C1 *4141:21 4.08637e-05 -56 *27659:C1 *4141:30 0.00049715 -57 *27945:A1 *25357:B2 0.00138283 -58 *28076:RESET_B *4141:30 1.12578e-05 -59 *28606:CLK *4141:34 7.06079e-05 -60 *29452:A *4141:21 0.000554145 -61 *30313:A *4141:34 0.000113063 -62 *1238:6 *4141:34 0.000246888 -63 *1238:8 *4141:34 0.000183991 -64 *1238:13 *4141:34 0.000357263 -65 *1239:14 *25357:B2 2.49484e-05 -66 *1257:14 *4141:34 0.00130441 -67 *1257:14 *4141:49 4.00349e-05 -68 *1257:162 *4141:34 0 -69 *1257:169 *4141:30 0.00167712 -70 *1327:78 *4141:49 0.000268733 -71 *1395:126 *4141:49 3.15746e-05 -72 *1407:108 *25357:B2 0.00041627 -73 *1469:34 *4141:34 0.0055564 -74 *1487:18 *4141:34 0.000100823 -75 *1665:20 *4141:34 6.77303e-05 -76 *1682:12 *4141:34 0.000697572 -77 *1688:16 *4141:34 0.000122431 -78 *1823:12 *4141:21 4.98055e-06 -79 *1862:93 *4141:9 0.000297652 -80 *1862:93 *4141:21 2.89114e-05 -81 *2775:129 *4141:30 0.00100913 -82 *2775:129 *4141:34 0.000139139 -83 *2792:30 *4141:21 0.000115887 -84 *2851:24 *4141:49 0.000116749 -85 *2867:120 *4141:49 9.29429e-05 -86 *3389:20 *4141:30 0.000125685 -87 *3389:20 *4141:34 0.000271478 -88 *3389:20 *4141:49 0.00114444 -89 *3578:21 *4141:30 1.18064e-05 -90 *3578:36 *4141:9 0 -91 *3578:56 *4141:9 0.000523831 -92 *3578:56 *4141:21 5.52302e-05 -93 *3593:51 *4141:34 0.000203617 -94 *3699:40 *25357:B2 0.000569258 -95 *3790:63 *4141:34 0.00149264 -96 *3790:69 *4141:34 5.87283e-05 -97 *3790:71 *4141:34 0.00533502 -98 *3790:81 *4141:34 0.00137731 -99 *3790:102 *4141:34 0.000185334 -100 *3868:73 *4141:49 2.32501e-05 -101 *3907:108 *27658:A1 9.45048e-06 -102 *3907:108 *4141:21 2.47753e-05 -103 *3920:20 *4141:21 0.000694648 -104 *3962:61 *25357:B2 9.58126e-05 -105 *3962:61 *4141:49 0.000124521 -106 *4011:23 *4141:21 0 -107 *4011:23 *4141:30 0.000137077 -108 *4022:27 *4141:34 0.00145013 -109 *4069:132 *4141:34 0.000222504 -110 *4102:32 *4141:30 0.000141375 -111 *4102:34 *4141:30 0.0042911 -*RES -1 *28538:Q *4141:9 25.3 -2 *4141:9 *4141:21 46.3929 -3 *4141:21 *27658:A1 10.2464 -4 *4141:21 *4141:29 4.5 -5 *4141:29 *4141:30 75.8482 -6 *4141:30 *4141:32 0.535714 -7 *4141:32 *4141:34 145.25 -8 *4141:34 *4141:49 22.8129 -9 *4141:49 *25357:B2 44.1571 -10 *4141:49 *27303:A1 9.72857 -11 *4141:9 *29347:A 9.3 -*END - -*D_NET *4142 0.0479004 -*CONN -*I *30431:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27529:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25247:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27907:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28291:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *30431:A 0.000176842 -2 *27529:A1 0.000932349 -3 *25247:B2 3.87377e-05 -4 *27907:A1 9.11694e-05 -5 *28291:Q 0 -6 *4142:62 0.00342707 -7 *4142:56 0.00495 -8 *4142:34 0.00271059 -9 *4142:26 0.00267484 -10 *4142:17 0.00291163 -11 *4142:9 0.0029652 -12 *4142:5 0.000915144 -13 *25247:B2 *5601:162 7.6644e-05 -14 *27529:A1 *5699:106 0 -15 *27529:A1 *5727:252 1.54726e-05 -16 *27529:A1 *5727:281 8.23597e-06 -17 *27907:A1 *27907:A2 5.33005e-05 -18 *30431:A *29106:A 6.57032e-05 -19 *4142:9 *25472:B1 0 -20 *4142:9 *28022:A 5.3601e-05 -21 *4142:9 *5699:106 0 -22 *4142:17 *5657:88 0.000862568 -23 *4142:26 *25868:A1 0.000123288 -24 *4142:26 *28312:D 0.000429786 -25 *4142:26 *28313:D 0.000140764 -26 *4142:26 *28718:RESET_B 0 -27 *4142:26 *5007:42 0 -28 *4142:26 *5076:19 0.00012401 -29 *4142:26 *5651:189 3.43051e-05 -30 *4142:26 *5728:205 0.000268126 -31 *4142:26 *5741:68 0 -32 *4142:26 *5741:106 0 -33 *4142:26 *5743:122 7.58662e-05 -34 *4142:26 *5743:135 6.34941e-05 -35 *4142:26 *5839:26 0.000191101 -36 *4142:34 *25840:B 3.47641e-06 -37 *4142:34 *5651:189 3.79901e-06 -38 *4142:34 *5693:16 0 -39 *4142:34 *5836:104 0.00049162 -40 *4142:34 *5839:26 0.00079433 -41 *4142:34 *5890:124 0.00014236 -42 *4142:56 *25102:A 0 -43 *4142:56 *25840:B 9.22222e-06 -44 *4142:56 *5688:242 0.000127965 -45 *4142:56 *5719:55 0.000109132 -46 *4142:62 *25102:A 0.00100751 -47 *4142:62 *25152:A 0.00114428 -48 *4142:62 *5778:190 0.000461937 -49 *6587:DIODE *4142:62 9.35114e-06 -50 *25123:B *4142:62 9.74665e-05 -51 *25123:D *4142:62 2.67489e-05 -52 *25152:B *4142:62 0.000166794 -53 *25166:A *4142:62 1.90303e-05 -54 *25198:A1 *27907:A1 0.000182648 -55 *25247:B1 *25247:B2 2.84269e-05 -56 *25247:B1 *4142:62 2.97539e-05 -57 *25247:C1 *25247:B2 1.63076e-05 -58 *25866:S *4142:26 8.45154e-05 -59 *25874:S *4142:17 5.28849e-05 -60 *27529:A2 *27529:A1 5.04841e-06 -61 *27529:B1 *27529:A1 5.49995e-05 -62 *27529:B2 *27529:A1 0.000426296 -63 *27545:A1 *4142:56 9.41642e-05 -64 *27545:A2 *4142:56 0.000388038 -65 *27545:B1 *4142:56 1.5424e-05 -66 *27545:B2 *4142:56 5.33005e-05 -67 *27585:A2 *4142:17 0.00155552 -68 *27871:A1 *27529:A1 0.000481375 -69 *27907:B2 *27907:A1 3.0259e-06 -70 *27939:B *4142:34 0.000383928 -71 *27952:A2 *4142:56 0.000157993 -72 *27952:B2 *4142:56 1.28809e-05 -73 *27955:C *4142:56 0.000884032 -74 *28314:CLK *4142:26 0.000101761 -75 *30245:A *4142:34 6.27129e-05 -76 *30263:A *4142:26 6.57128e-05 -77 *30358:A *4142:26 0.000318576 -78 *30495:A *4142:26 0 -79 *30535:A *4142:34 2.42205e-05 -80 *1247:12 *4142:26 0 -81 *1293:42 *25247:B2 7.83587e-05 -82 *1403:26 *4142:62 0.000216755 -83 *1422:20 *4142:56 6.57032e-05 -84 *1423:5 *4142:56 4.53889e-05 -85 *1423:5 *4142:62 2.47753e-05 -86 *1423:13 *4142:56 9.70065e-05 -87 *1439:49 *4142:62 1.07719e-05 -88 *1456:64 *4142:17 0.000220581 -89 *1456:82 *30431:A 2.53663e-05 -90 *1748:20 *27529:A1 8.96293e-05 -91 *1748:20 *4142:9 0.00114485 -92 *1828:42 *27529:A1 2.08241e-05 -93 *1844:44 *27529:A1 0.000651641 -94 *1844:44 *30431:A 0.000116226 -95 *1844:44 *4142:9 2.23393e-05 -96 *1872:31 *30431:A 0.000179788 -97 *1872:31 *4142:9 2.11419e-05 -98 *1886:18 *4142:26 2.33003e-05 -99 *2866:167 *27529:A1 2.19907e-05 -100 *2874:101 *4142:26 0.000821826 -101 *3085:11 *27529:A1 0.000518933 -102 *3164:125 *4142:9 4.24198e-05 -103 *3168:129 *4142:17 0.000239688 -104 *3192:119 *4142:34 0.000324944 -105 *3192:134 *4142:56 0.00263856 -106 *3214:121 *4142:56 0.000337678 -107 *3450:13 *4142:26 7.80714e-06 -108 *3480:11 *4142:26 4.27821e-05 -109 *3480:11 *4142:34 1.4719e-05 -110 *3541:38 *4142:34 0 -111 *3541:38 *4142:56 0 -112 *3637:17 *4142:26 2.84659e-05 -113 *3637:59 *4142:26 7.69776e-06 -114 *3685:66 *4142:26 3.69697e-05 -115 *3688:17 *4142:34 0.000755015 -116 *3688:17 *4142:56 0.000180001 -117 *3688:25 *4142:34 1.44954e-05 -118 *3688:31 *4142:17 4.65519e-05 -119 *3698:19 *4142:34 0 -120 *3700:40 *27907:A1 0.000142676 -121 *3700:40 *4142:56 0.000511668 -122 *3702:26 *4142:34 8.77613e-05 -123 *3723:80 *4142:26 0 -124 *3830:51 *4142:56 0.00019081 -125 *3858:19 *4142:26 9.58181e-05 -126 *3871:94 *4142:34 9.87983e-06 -127 *3882:47 *4142:17 0.00285205 -128 *3882:47 *4142:34 2.11419e-05 -129 *3896:17 *30431:A 0.000137561 -130 *3896:56 *4142:26 3.024e-05 -131 *3984:10 *4142:26 0 -132 *4118:26 *4142:34 0.000207398 -*RES -1 *28291:Q *4142:5 13.8 -2 *4142:5 *4142:9 5.64355 -3 *4142:9 *4142:17 16.8272 -4 *4142:17 *4142:26 46.5536 -5 *4142:26 *4142:34 29.0079 -6 *4142:34 *27907:A1 17.1036 -7 *4142:34 *4142:56 46.2143 -8 *4142:56 *4142:62 46.2679 -9 *4142:62 *25247:B2 19.6214 -10 *4142:9 *27529:A1 38.2133 -11 *4142:5 *30431:A 18.1393 -*END - -*D_NET *4143 0.0255215 -*CONN -*I *29910:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25187:A1 I *D sky130_fd_sc_hd__a221o_2 -*I *27934:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27563:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28292:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *29910:A 0.000139647 -2 *25187:A1 0.000424895 -3 *27934:A1 0.000173092 -4 *27563:A1 0.00178136 -5 *28292:Q 0.000208827 -6 *4143:72 0.00233889 -7 *4143:41 0.00385448 -8 *4143:11 0.00439505 -9 *4143:8 0.00119477 -10 *25187:A1 *5833:91 1.96263e-05 -11 *27563:A1 *25868:A0 0.000258098 -12 *27563:A1 *28047:B 0.000425678 -13 *27563:A1 *5657:125 0.000272117 -14 *27563:A1 *5694:19 0.000279546 -15 *27563:A1 *5743:122 0.000131357 -16 *27563:A1 *5909:61 2.51133e-05 -17 *27934:A1 *28984:A 0 -18 *29910:A *5686:100 0.000236849 -19 *4143:8 *25839:A0 0.00015304 -20 *4143:8 *28292:RESET_B 9.60875e-05 -21 *4143:8 *5686:76 0.000148903 -22 *4143:11 *5666:75 0.000112225 -23 *4143:11 *5741:158 0.000422885 -24 *4143:11 *5743:122 0.000501927 -25 *4143:41 *5693:16 8.69554e-05 -26 *4143:41 *5839:26 0.000162225 -27 *4143:72 *25849:A1 0.000103267 -28 *4143:72 *28296:SET_B 0.000419889 -29 *4143:72 *28303:RESET_B 2.92948e-05 -30 *4143:72 *31019:A 2.11419e-05 -31 *4143:72 *5611:34 0.000121573 -32 *4143:72 *5688:225 5.66157e-05 -33 *4143:72 *5761:66 5.06983e-05 -34 *4143:72 *5761:77 2.6949e-05 -35 *4143:72 *5833:91 0.000196267 -36 *4143:72 *5839:53 0.00036346 -37 *25187:B1 *25187:A1 2.59355e-05 -38 *25187:B2 *25187:A1 0.000138328 -39 *25187:C1 *25187:A1 0 -40 *25880:S *29910:A 4.22135e-06 -41 *25880:S *4143:8 0.000106394 -42 *27563:B1 *27563:A1 0.000147465 -43 *27563:B2 *27563:A1 4.43157e-05 -44 *27565:B2 *4143:72 5.42698e-05 -45 *27908:A1 *4143:72 0.000272498 -46 *27934:B1 *27934:A1 5.33005e-05 -47 *27934:B1 *4143:41 2.63501e-05 -48 *27934:B2 *27934:A1 1.98839e-05 -49 *27935:C1 *27934:A1 4.49973e-05 -50 *27959:A2 *27563:A1 0 -51 *28092:RESET_B *4143:8 2.79421e-05 -52 *28938:A *29910:A 4.58194e-05 -53 *30245:A *4143:41 3.02799e-05 -54 *30262:A *27563:A1 2.12087e-05 -55 *400:14 *27563:A1 1.65169e-05 -56 *1396:45 *29910:A 0.000239895 -57 *1396:45 *4143:8 0.000515838 -58 *1422:20 *4143:72 5.83233e-05 -59 *1448:46 *25187:A1 1.39137e-05 -60 *3192:126 *4143:72 0.000608048 -61 *3216:142 *27563:A1 9.89536e-05 -62 *3541:43 *27563:A1 0.00034395 -63 *3561:16 *4143:11 0 -64 *3684:21 *4143:41 0.000273579 -65 *3701:32 *4143:72 0.000146495 -66 *3871:50 *4143:11 0.000367292 -67 *3882:47 *4143:41 0.00248434 -68 *3936:33 *29910:A 4.58194e-05 -69 *3947:68 *27563:A1 1.25486e-05 -*RES -1 *28292:Q *4143:8 21.3982 -2 *4143:8 *4143:11 6.01537 -3 *4143:11 *27563:A1 47.9171 -4 *4143:11 *4143:41 15.6522 -5 *4143:41 *27934:A1 16.55 -6 *4143:41 *4143:72 49.3571 -7 *4143:72 *25187:A1 14.8893 -8 *4143:8 *29910:A 18.0589 -*END - -*D_NET *4144 0.0237828 -*CONN -*I *27957:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25091:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27574:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *29884:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28293:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *27957:B2 0.000610251 -2 *25091:B2 0.000753271 -3 *27574:A1 3.89229e-05 -4 *29884:A 0.00122529 -5 *28293:Q 0 -6 *4144:50 0.000912855 -7 *4144:47 0.00207796 -8 *4144:35 0.00284654 -9 *4144:4 0.00272478 -10 *25091:B2 *5301:27 0.00142739 -11 *27957:B2 *5651:176 5.20669e-05 -12 *29884:A *25839:A0 9.01312e-06 -13 *29884:A *28293:D 0.000115165 -14 *29884:A *28293:RESET_B 0.000131343 -15 *29884:A *5209:39 0.000157144 -16 *4144:35 *24895:A 0.000174317 -17 *4144:35 *28293:RESET_B 4.08637e-05 -18 *4144:35 *28313:RESET_B 5.96516e-05 -19 *4144:35 *28997:A 5.33005e-05 -20 *4144:35 *5712:10 0.000170511 -21 *4144:47 *5795:113 0.000584528 -22 *25091:A1 *25091:B2 2.60336e-05 -23 *25091:C1 *25091:B2 5.27649e-05 -24 *25369:A1 *4144:35 5.04841e-06 -25 *25369:A2 *4144:35 0.000410866 -26 *27573:A1 *27957:B2 4.3143e-05 -27 *27578:B1 *4144:47 6.25479e-05 -28 *27581:C *4144:47 0.000125724 -29 *27592:B2 *4144:47 0.000136958 -30 *27957:A1 *27957:B2 9.66977e-05 -31 *28293:CLK *29884:A 0.000333436 -32 *1221:21 *4144:35 0.000136958 -33 *1422:52 *27957:B2 0.000105225 -34 *1476:42 *4144:47 0.000176158 -35 *1685:22 *4144:35 0.000118948 -36 *1685:22 *4144:47 0.00062247 -37 *3133:11 *25091:B2 0.000103139 -38 *3133:11 *4144:47 0.000762617 -39 *3133:11 *4144:50 8.47107e-05 -40 *3156:162 *4144:47 1.08691e-05 -41 *3156:175 *4144:47 0.000599161 -42 *3209:117 *4144:50 0.000148531 -43 *3480:23 *27957:B2 0.000578038 -44 *3480:23 *4144:47 0.000136682 -45 *3637:96 *29884:A 3.14178e-05 -46 *3637:96 *4144:35 8.43535e-06 -47 *3685:20 *4144:35 9.9974e-05 -48 *3685:42 *4144:35 8.25098e-05 -49 *3702:39 *25091:B2 9.51652e-05 -50 *3723:80 *4144:35 0.000143463 -51 *3752:22 *4144:47 0.000507758 -52 *3819:41 *25091:B2 8.70269e-05 -53 *3871:50 *4144:35 0.000932786 -54 *4036:40 *27957:B2 0.000229986 -55 *4064:40 *4144:35 0.00118137 -56 *4077:34 *25091:B2 0.000154085 -57 *4077:38 *25091:B2 0.000239079 -58 *4077:38 *4144:50 0.000312364 -59 *4131:73 *25091:B2 0.000635519 -*RES -1 *28293:Q *4144:4 9.3 -2 *4144:4 *29884:A 24.9607 -3 *4144:4 *4144:35 41.9107 -4 *4144:35 *4144:47 40.3036 -5 *4144:47 *4144:50 8.57143 -6 *4144:50 *27574:A1 14.3357 -7 *4144:50 *25091:B2 37.1214 -8 *4144:47 *27957:B2 28.2821 -*END - -*D_NET *4145 0.0184813 -*CONN -*I *30466:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27685:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25333:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27342:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28539:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *30466:A 0.000115413 -2 *27685:A1 0 -3 *25333:A1 0.000896826 -4 *27342:B2 0.000785663 -5 *28539:Q 0 -6 *4145:35 0.00094483 -7 *4145:30 0.00102847 -8 *4145:21 0.00118015 -9 *4145:4 0.000869934 -10 *25333:A1 *25333:A2 7.53862e-05 -11 *25333:A1 *5663:58 0.00135159 -12 *25333:A1 *5714:47 2.70135e-05 -13 *27342:B2 *5660:166 3.03278e-05 -14 *30466:A *5660:166 4.85033e-05 -15 *4145:21 *5660:166 2.18792e-05 -16 *4145:30 *5645:104 2.59123e-05 -17 *4145:35 *5647:70 4.34338e-05 -18 *4145:35 *5651:260 3.26289e-05 -19 *4145:35 *5651:275 0.000191907 -20 *25200:B2 *25333:A1 1.65046e-05 -21 *27342:A1 *27342:B2 3.03567e-05 -22 *27342:C1 *27342:B2 0.0010303 -23 *1501:22 *25333:A1 1.09315e-05 -24 *1501:22 *4145:30 0.00261156 -25 *1501:30 *25333:A1 0.0017866 -26 *2859:199 *25333:A1 0.000802511 -27 *2864:264 *25333:A1 0.000345403 -28 *2864:264 *4145:30 0.00200865 -29 *2886:191 *25333:A1 3.97677e-05 -30 *2894:303 *27342:B2 0.000123605 -31 *3236:13 *25333:A1 5.41797e-06 -32 *3259:25 *25333:A1 0.000119924 -33 *3259:25 *4145:30 0.000130458 -34 *3679:59 *27342:B2 2.72545e-05 -35 *3848:40 *27342:B2 0.00106384 -36 *3874:18 *4145:30 0.000605002 -37 *3900:48 *25333:A1 5.33005e-05 -*RES -1 *28539:Q *4145:4 9.3 -2 *4145:4 *27342:B2 36.9339 -3 *4145:4 *4145:21 1.47321 -4 *4145:21 *4145:30 45.7611 -5 *4145:30 *4145:35 6.28571 -6 *4145:35 *25333:A1 49.7107 -7 *4145:35 *27685:A1 9.3 -8 *4145:21 *30466:A 11.0679 -*END - -*D_NET *4146 0.051954 -*CONN -*I *30496:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25233:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27715:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27371:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28540:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *30496:A 0 -2 *25233:A1 0.00166858 -3 *27715:B2 0 -4 *27371:B2 8.24579e-05 -5 *28540:Q 0.000137695 -6 *4146:84 0.00558142 -7 *4146:79 0.00679949 -8 *4146:56 0.00154705 -9 *4146:54 0.00291366 -10 *4146:27 0.00241016 -11 *4146:16 0.00398916 -12 *4146:11 0.000279106 -13 *4146:11 *5614:35 2.59355e-05 -14 *4146:27 *5589:31 0.000867712 -15 *4146:27 *5630:43 0.000221592 -16 *4146:27 *5764:156 0.000128129 -17 *4146:54 *5589:31 0.000319882 -18 *4146:54 *5600:132 0.0017966 -19 *4146:54 *5630:26 7.39203e-06 -20 *4146:54 *5630:36 0.00162872 -21 *4146:54 *5630:43 6.18513e-05 -22 *4146:54 *5667:40 1.39702e-05 -23 *4146:56 *27715:B1 1.11775e-05 -24 *4146:56 *5630:22 0.000163415 -25 *4146:56 *5630:26 0.000199897 -26 *4146:56 *5630:36 1.5942e-05 -27 *4146:79 *28667:D 0.000296771 -28 *4146:79 *5582:31 6.24758e-05 -29 *4146:79 *5603:43 0.000948816 -30 *4146:79 *5645:104 0.000616078 -31 *4146:79 *5652:12 0.00010452 -32 *4146:79 *5658:85 0.00127067 -33 *4146:84 *24935:B 1.58163e-05 -34 *25077:A1 *4146:84 0.000362272 -35 *25077:A2 *4146:84 3.20638e-05 -36 *25077:B2 *4146:84 2.03391e-05 -37 *25114:B *25233:A1 0.000137983 -38 *25233:A2 *25233:A1 0.000424029 -39 *25318:A2 *4146:27 0.00133351 -40 *25371:A1 *25233:A1 9.7659e-05 -41 *26958:S *4146:11 0.000135028 -42 *26958:S *4146:16 0.000216755 -43 *27353:A2 *4146:11 0.000124245 -44 *27353:A2 *4146:16 0.000216755 -45 *27371:C1 *4146:27 6.20441e-06 -46 *27371:C1 *4146:54 2.04745e-05 -47 *27373:A1 *4146:56 0.000486708 -48 *27715:A1 *4146:56 9.54798e-06 -49 *30173:A *4146:79 0.000786404 -50 *30812:A *4146:56 9.60337e-06 -51 *1225:33 *4146:27 0.000276434 -52 *1225:33 *4146:54 5.39327e-05 -53 *1260:81 *4146:79 0.000141552 -54 *1261:11 *4146:84 0.000109132 -55 *1261:41 *4146:27 4.8817e-05 -56 *1277:176 *4146:54 1.49122e-05 -57 *1293:65 *4146:84 1.01403e-05 -58 *1361:29 *4146:79 0 -59 *1398:11 *25233:A1 0.000119022 -60 *1398:11 *4146:84 1.19082e-05 -61 *1435:14 *25233:A1 0.000298483 -62 *1435:76 *25233:A1 0.000633167 -63 *1638:36 *4146:27 1.20475e-05 -64 *2768:56 *4146:79 0.000524649 -65 *2877:313 *4146:79 0.000202456 -66 *2891:177 *4146:79 0 -67 *2894:210 *4146:54 1.91408e-05 -68 *2894:210 *4146:56 0.000550966 -69 *2894:237 *4146:54 0.00112386 -70 *2917:16 *4146:79 9.41642e-05 -71 *2922:36 *27371:B2 2.06178e-05 -72 *2932:34 *27371:B2 2.06178e-05 -73 *2932:34 *4146:11 5.33005e-05 -74 *3236:13 *27371:B2 2.86188e-05 -75 *3250:23 *4146:27 0.000185417 -76 *3250:26 *4146:11 0.00019034 -77 *3596:13 *4146:84 0.000110029 -78 *3596:18 *4146:84 0.000109263 -79 *3667:65 *4146:79 0.000141552 -80 *3684:72 *25233:A1 0.000868251 -81 *3738:47 *25233:A1 0.000107946 -82 *3743:51 *4146:27 0.000165754 -83 *3783:105 *4146:79 0 -84 *3795:28 *4146:56 0.000930895 -85 *3835:51 *4146:16 0.000138419 -86 *3835:51 *4146:27 0.000713633 -87 *3848:61 *4146:56 0.000107807 -88 *3886:51 *4146:56 0 -89 *3886:78 *4146:79 0.00015259 -90 *3899:54 *4146:56 0.00142286 -91 *3938:50 *4146:56 0.00147968 -92 *3978:78 *4146:56 0.00112272 -93 *4068:31 *4146:11 0.00019034 -94 *4107:40 *4146:16 0.000130693 -95 *4107:40 *4146:27 0.000712184 -*RES -1 *28540:Q *4146:11 22.7464 -2 *4146:11 *4146:16 8.625 -3 *4146:16 *4146:27 23.4178 -4 *4146:27 *27371:B2 18.8841 -5 *4146:27 *4146:54 35.1803 -6 *4146:54 *4146:56 49.4554 -7 *4146:56 *27715:B2 13.8 -8 *4146:16 *4146:79 47.791 -9 *4146:79 *4146:84 47.4554 -10 *4146:84 *25233:A1 40.8804 -11 *4146:11 *30496:A 9.3 -*END - -*D_NET *4147 0.0726659 -*CONN -*I *24849:A I *D sky130_fd_sc_hd__inv_2 -*I *30153:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27739:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25188:A1 I *D sky130_fd_sc_hd__a211o_1 -*I *27381:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28541:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *24849:A 0.000493728 -2 *30153:A 3.69486e-05 -3 *27739:B2 0.000101976 -4 *25188:A1 0.000522857 -5 *27381:A1 6.57901e-05 -6 *28541:Q 2.99354e-05 -7 *4147:106 0.00118724 -8 *4147:97 0.00230094 -9 *4147:95 0.00253129 -10 *4147:58 0.00181635 -11 *4147:57 0.00142561 -12 *4147:46 0.00268511 -13 *4147:31 0.00503728 -14 *4147:21 0.00292475 -15 *4147:20 0.00196762 -16 *4147:5 0.00248172 -17 *24849:A *25014:B2 0.000262504 -18 *24849:A *25320:B2 6.09889e-05 -19 *24849:A *5461:26 0.00130164 -20 *24849:A *5659:24 0.00130235 -21 *27739:B2 *27739:B1 4.18895e-05 -22 *27739:B2 *5651:400 1.33343e-05 -23 *30153:A *5676:87 2.22594e-05 -24 *4147:5 *5829:165 1.98839e-05 -25 *4147:20 *27788:A2 8.18399e-06 -26 *4147:20 *28541:D 2.89016e-05 -27 *4147:20 *28541:RESET_B 6.72353e-05 -28 *4147:20 *28679:D 2.75938e-05 -29 *4147:20 *28679:RESET_B 5.64118e-05 -30 *4147:20 *5829:165 0.00136074 -31 *4147:21 *26959:A1 5.20359e-06 -32 *4147:31 *28607:D 0.000775521 -33 *4147:31 *5800:11 4.88795e-05 -34 *4147:46 *28695:D 1.20243e-05 -35 *4147:46 *29053:A 0.000321391 -36 *4147:46 *5631:71 0.000119924 -37 *4147:46 *5635:165 0.00013415 -38 *4147:46 *5654:131 0 -39 *4147:46 *5777:215 0.000365331 -40 *4147:46 *5829:68 0.000399883 -41 *4147:46 *5829:75 0.00111675 -42 *4147:46 *5829:76 0 -43 *4147:58 *5829:76 0.00388995 -44 *4147:58 *5926:72 0.0038922 -45 *4147:95 *25712:A1 0.00047001 -46 *4147:95 *28557:RESET_B 0.000266092 -47 *4147:95 *30838:A 3.33175e-05 -48 *4147:95 *30839:A 0.000125724 -49 *4147:95 *5461:28 1.05977e-05 -50 *4147:95 *5586:122 0.00025633 -51 *4147:95 *5670:13 0.000815896 -52 *4147:95 *5681:14 9.41254e-05 -53 *4147:95 *5773:100 0.00037089 -54 *4147:95 *5773:109 0.000113868 -55 *4147:95 *5773:120 7.40992e-05 -56 *4147:95 *5773:131 0.000128224 -57 *4147:97 *28192:RESET_B 0.000389854 -58 *4147:97 *29901:A 0.00128959 -59 *4147:97 *30836:A 0.00033693 -60 *4147:97 *30837:A 0.000125724 -61 *4147:97 *5461:28 0.000656248 -62 *4147:97 *5681:14 0 -63 *4147:97 *5681:27 0.000597976 -64 *4147:97 *5766:55 0.000472049 -65 *4147:97 *5824:306 0.000640656 -66 *4147:106 *26891:A1 3.97677e-05 -67 *4147:106 *26909:A1 7.83587e-05 -68 *4147:106 *28553:RESET_B 0.000100823 -69 *4147:106 *30834:A 7.82164e-05 -70 *4147:106 *5461:26 0.000160476 -71 *4147:106 *5461:28 9.25014e-06 -72 *4147:106 *5603:17 0.000137983 -73 *4147:106 *5676:179 0.000185304 -74 *4147:106 *5676:184 0.000459684 -75 *4147:106 *5681:27 0.000447016 -76 *4147:106 *5836:40 0.000176226 -77 *24853:A *4147:97 0.000248778 -78 *25051:B1 *4147:31 0.00197626 -79 *27114:S *4147:31 0 -80 *27152:S *4147:31 8.05246e-05 -81 *27381:A2 *27381:A1 3.92352e-05 -82 *27381:B1 *27381:A1 0.000174028 -83 *27381:B1 *4147:57 0.000164481 -84 *27390:B1 *4147:46 0.000135136 -85 *27742:A1 *4147:46 0.00015735 -86 *27742:B1 *4147:31 0.000165621 -87 *27743:D *4147:46 4.75963e-05 -88 *27788:C1 *4147:20 0.000416475 -89 *27792:B *4147:20 4.46186e-06 -90 *27833:B2 *4147:20 0.000552972 -91 *28631:CLK *4147:46 0.00050898 -92 *28695:CLK *4147:46 0.000409791 -93 *29089:A *4147:20 0.000389872 -94 *30046:A *4147:106 0.00050705 -95 *30154:A *4147:21 1.24368e-05 -96 *890:19 *4147:20 0.000411635 -97 *940:17 *4147:31 4.00349e-05 -98 *1261:227 *4147:46 0 -99 *1282:210 *25188:A1 0.000111738 -100 *1288:157 *30153:A 2.39654e-05 -101 *1506:18 *4147:46 1.00037e-05 -102 *2761:184 *4147:95 0.00053573 -103 *2761:184 *4147:97 0.00182632 -104 *2761:213 *4147:106 0.000955232 -105 *2763:169 *4147:31 7.55474e-05 -106 *2763:185 *4147:31 1.42087e-05 -107 *2763:185 *4147:46 2.35215e-05 -108 *2769:10 *4147:95 0.00017796 -109 *2769:10 *4147:97 1.37568e-05 -110 *2769:66 *4147:97 0.000364273 -111 *2769:79 *4147:106 5.32956e-05 -112 *2769:167 *4147:20 1.6449e-05 -113 *2770:118 *4147:46 0 -114 *2772:45 *4147:31 3.47641e-06 -115 *2772:152 *4147:97 0.00011391 -116 *2776:192 *4147:97 0.00236347 -117 *2787:139 *25188:A1 0.00132861 -118 *2788:158 *4147:31 4.21358e-05 -119 *2848:369 *4147:46 4.49626e-05 -120 *2874:336 *4147:58 0.000457048 -121 *2943:7 *27381:A1 3.69047e-06 -122 *2943:7 *4147:57 1.58163e-05 -123 *2943:11 *4147:46 7.58103e-05 -124 *3335:14 *4147:20 0.000470214 -125 *3338:8 *4147:20 0.000114721 -126 *3612:49 *4147:20 0.000161473 -127 *3705:22 *27739:B2 8.20823e-05 -128 *3705:22 *4147:46 0.000203284 -129 *3718:92 *25188:A1 0.00132695 -130 *3800:69 *4147:20 1.76606e-05 -131 *3813:11 *4147:106 5.52238e-05 -132 *3876:62 *4147:21 0.000455412 -133 *3876:62 *4147:31 0.000318732 -134 *3887:41 *4147:46 5.35383e-05 -135 *3978:33 *27739:B2 5.52238e-05 -136 *4082:33 *27739:B2 0.000174224 -137 *4082:33 *4147:46 0.00032719 -138 *4082:70 *4147:46 0.00287393 -139 *4084:18 *4147:31 3.35685e-06 -140 *4095:33 *4147:31 0 -141 *4095:33 *4147:46 4.65519e-05 -142 *4107:26 *4147:57 3.97677e-05 -143 *4108:58 *25188:A1 0.000284316 -144 *4134:6 *4147:46 0.00010283 -*RES -1 *28541:Q *4147:5 9.83571 -2 *4147:5 *4147:20 47.5 -3 *4147:20 *4147:21 5.46429 -4 *4147:21 *4147:31 27.1974 -5 *4147:31 *4147:46 46.4529 -6 *4147:46 *27381:A1 11.0857 -7 *4147:46 *4147:57 7.08929 -8 *4147:57 *4147:58 50.8214 -9 *4147:58 *25188:A1 42.1036 -10 *4147:31 *27739:B2 16.7375 -11 *4147:21 *30153:A 19.0321 -12 *4147:5 *4147:95 33.9018 -13 *4147:95 *4147:97 66.4554 -14 *4147:97 *4147:106 35.6071 -15 *4147:106 *24849:A 33.2107 -*END - -*D_NET *4148 0.0271662 -*CONN -*I *30193:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25145:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27400:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27760:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28542:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *30193:A 0.000327308 -2 *25145:A1 0.000454732 -3 *27400:A1 0.000195119 -4 *27760:A1 0.000160824 -5 *28542:Q 3.92213e-05 -6 *4148:24 0.00287164 -7 *4148:23 0.00230877 -8 *4148:10 0.00127888 -9 *4148:7 0.00139761 -10 *25145:A1 *5646:213 5.96974e-06 -11 *27400:A1 *5587:65 0.000157061 -12 *27760:A1 *5651:419 0.000139913 -13 *30193:A *26960:A1 0.000267377 -14 *30193:A *6036:107 0.000309266 -15 *4148:7 *5832:46 5.52302e-05 -16 *4148:10 *5689:56 0.000111382 -17 *4148:10 *5689:81 0.000523611 -18 *4148:10 *5763:110 0.000361729 -19 *4148:23 *5651:419 8.27532e-05 -20 *4148:24 *26865:A 0.000352949 -21 *4148:24 *5587:65 0.000911425 -22 *4148:24 *5643:282 0.000121246 -23 *4148:24 *5651:419 0.0016546 -24 *4148:24 *5688:25 0.000798495 -25 *4148:24 *5689:81 1.08359e-05 -26 *25145:B1 *25145:A1 2.59355e-05 -27 *25145:B2 *25145:A1 5.10907e-05 -28 *25147:A1 *25145:A1 3.29037e-05 -29 *25384:C *4148:24 0.00156461 -30 *27404:A2 *4148:24 0.00107407 -31 *27409:A *27400:A1 2.60408e-05 -32 *27760:B2 *27760:A1 0.000269107 -33 *27761:C1 *27760:A1 0.000100831 -34 *28562:CLK *4148:10 0.000166269 -35 *28743:CLK *4148:10 0.000196509 -36 *1469:21 *25145:A1 0.000344413 -37 *2963:12 *27400:A1 0.000134599 -38 *3179:205 *4148:24 0.000606704 -39 *3589:9 *4148:10 0.000120655 -40 *3589:79 *4148:10 0.000557844 -41 *3589:121 *30193:A 0 -42 *3589:121 *4148:10 0 -43 *3589:132 *30193:A 0 -44 *3680:32 *4148:24 0.00301438 -45 *3706:9 *4148:10 5.64954e-05 -46 *3706:18 *4148:10 0.0018319 -47 *3706:22 *4148:10 3.17148e-05 -48 *3706:24 *4148:24 1.8441e-05 -49 *3706:35 *27400:A1 0.000122922 -50 *3706:35 *4148:24 1.44954e-05 -51 *3732:43 *4148:24 0.000262957 -52 *3849:30 *4148:24 5.66311e-05 -53 *3849:48 *27400:A1 6.59118e-05 -54 *3849:48 *4148:24 0.000574954 -55 *3901:63 *4148:24 6.54654e-05 -56 *4096:25 *27760:A1 0 -57 *4109:14 *30193:A 0.000227755 -58 *4109:14 *4148:10 0.000446734 -59 *4109:20 *27760:A1 1.41029e-05 -60 *4135:18 *4148:24 0.000191777 -*RES -1 *28542:Q *4148:7 14.3357 -2 *4148:7 *4148:10 39.8393 -3 *4148:10 *27760:A1 22.5321 -4 *4148:10 *4148:23 5.85714 -5 *4148:23 *4148:24 76.625 -6 *4148:24 *27400:A1 18.8357 -7 *4148:24 *25145:A1 29.0321 -8 *4148:7 *30193:A 22.3893 -*END - -*D_NET *4149 0.0383249 -*CONN -*I *27783:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25066:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27435:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *29073:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28543:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27783:A1 0.000173803 -2 *25066:A1 0.00086621 -3 *27435:A1 4.18209e-05 -4 *29073:A 0 -5 *28543:Q 0.000212156 -6 *4149:77 0.00266328 -7 *4149:72 0.00272366 -8 *4149:57 0.00216549 -9 *4149:40 0.0016435 -10 *4149:34 0.0021162 -11 *4149:10 0.00205573 -12 *25066:A1 *5644:68 5.33005e-05 -13 *25066:A1 *5644:71 0.000879966 -14 *25066:A1 *5650:329 0.0019873 -15 *27435:A1 *5862:23 4.35597e-05 -16 *27783:A1 *27783:B1 0.0001254 -17 *4149:10 *5582:183 0.000347181 -18 *4149:10 *5774:37 4.87854e-05 -19 *4149:34 *26943:A0 6.46107e-05 -20 *4149:34 *28127:D 0.000479601 -21 *4149:34 *28527:D 0.000254118 -22 *4149:34 *4206:36 0.000218685 -23 *4149:34 *5651:357 0.000940667 -24 *4149:34 *5651:378 0.000144906 -25 *4149:34 *5800:68 0.000347785 -26 *4149:34 *5800:78 2.99011e-05 -27 *4149:34 *5810:47 3.81293e-05 -28 *4149:34 *6086:23 0.000552525 -29 *4149:40 *5810:47 0.000432177 -30 *4149:57 *27783:B1 0.000136682 -31 *4149:57 *5676:87 0.0002076 -32 *4149:57 *5862:23 1.32056e-05 -33 *4149:57 *5879:112 0.000219525 -34 *4149:72 *5637:43 0.000136951 -35 *4149:72 *5683:223 0.000382744 -36 *4149:77 *27125:A0 1.36687e-05 -37 *4149:77 *27793:C1 2.87555e-06 -38 *25059:B2 *4149:40 8.49391e-05 -39 *25065:A2 *25066:A1 0.000133721 -40 *25066:B1 *25066:A1 5.33005e-05 -41 *27430:A1 *4149:40 0.000164722 -42 *27434:B1 *4149:57 9.70394e-05 -43 *27435:B1 *27435:A1 5.33005e-05 -44 *27435:B1 *4149:57 2.47631e-06 -45 *27435:B1 *4149:72 0.000332637 -46 *27436:B2 *4149:57 1.28809e-05 -47 *27436:C1 *4149:57 0.000107786 -48 *27463:B1 *25066:A1 0.000453197 -49 *27744:A2 *4149:77 0.000155471 -50 *27783:B2 *27783:A1 1.79687e-05 -51 *27791:A *4149:57 0.000200406 -52 *27793:A1 *4149:77 0.00125186 -53 *29090:A *4149:34 0.000118645 -54 *30148:A *4149:72 4.58194e-05 -55 *792:24 *4149:34 2.06112e-05 -56 *940:17 *4149:34 0.000260152 -57 *1242:135 *4149:72 2.59795e-05 -58 *1260:135 *4149:57 0.000612944 -59 *1266:186 *4149:72 0.000464535 -60 *1266:194 *4149:72 0.00129789 -61 *1272:142 *25066:A1 8.07879e-05 -62 *1288:152 *4149:10 8.43535e-06 -63 *1302:6 *4149:72 0.00182744 -64 *1364:19 *4149:57 0.000795193 -65 *1389:10 *4149:10 0.000165422 -66 *2767:66 *25066:A1 2.14757e-05 -67 *2772:45 *4149:34 0.00024464 -68 *2772:46 *4149:10 0.000169759 -69 *2792:95 *4149:57 1.84099e-05 -70 *2861:51 *4149:72 0.000356462 -71 *2872:355 *4149:57 0.000979129 -72 *2875:344 *25066:A1 0.00077778 -73 *2892:242 *4149:57 9.05855e-05 -74 *3206:256 *4149:34 0.000228351 -75 *3206:256 *4149:40 8.53773e-05 -76 *3339:22 *4149:72 0.000203909 -77 *3367:18 *4149:34 0.00056426 -78 *3582:134 *4149:34 0.00077827 -79 *3607:13 *4149:10 0.00014183 -80 *3619:14 *25066:A1 0.000370533 -81 *3694:32 *4149:34 0.000189483 -82 *3798:98 *4149:57 1.02366e-05 -83 *3798:99 *4149:40 5.65321e-05 -84 *3798:99 *4149:57 0.00117709 -85 *3837:27 *4149:10 0.000167144 -86 *3839:39 *4149:72 1.21258e-05 -87 *3980:20 *4149:34 6.13706e-05 -88 *4086:28 *4149:72 1.08359e-05 -89 *4110:37 *4149:57 0 -*RES -1 *28543:Q *4149:10 25.1929 -2 *4149:10 *29073:A 9.3 -3 *4149:10 *4149:34 49.6071 -4 *4149:34 *4149:40 11.2321 -5 *4149:40 *4149:57 42.8571 -6 *4149:57 *27435:A1 10.2464 -7 *4149:57 *4149:72 46.7321 -8 *4149:72 *4149:77 30.4821 -9 *4149:77 *25066:A1 47.7107 -10 *4149:40 *27783:A1 11.8 -*END - -*D_NET *4150 0.0358582 -*CONN -*I *30379:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25028:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27453:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27811:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28544:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *30379:A 0.000291767 -2 *25028:B2 0 -3 *27453:A1 3.82418e-05 -4 *27811:B2 0.00093166 -5 *28544:Q 8.31973e-05 -6 *4150:65 0.000874536 -7 *4150:33 0.0018429 -8 *4150:31 0.001916 -9 *4150:22 0.00573632 -10 *4150:7 0.00419375 -11 *27811:B2 *26881:A0 5.33005e-05 -12 *27811:B2 *27051:A1 5.33005e-05 -13 *27811:B2 *27811:B1 0.000336029 -14 *27811:B2 *5589:166 0.000143652 -15 *27811:B2 *5649:91 8.46644e-05 -16 *27811:B2 *5680:232 5.203e-05 -17 *27811:B2 *5926:103 0.000185006 -18 *30379:A *26962:A1 2.14658e-05 -19 *30379:A *26971:A1 0.000259542 -20 *4150:7 *26890:A1 4.58194e-05 -21 *4150:22 *25012:A2 0.000296678 -22 *4150:22 *26980:A1 4.28365e-05 -23 *4150:22 *28480:D 5.01117e-05 -24 *4150:22 *5528:47 0.000272824 -25 *4150:22 *5529:46 0.000168046 -26 *4150:22 *5529:52 0.000229389 -27 *4150:22 *5601:128 8.89574e-05 -28 *4150:22 *5602:53 0 -29 *4150:22 *5602:100 0 -30 *4150:31 *26964:B 0.000538969 -31 *4150:31 *28757:D 0.000216755 -32 *4150:31 *5639:90 2.81946e-05 -33 *4150:31 *5756:60 0.000102477 -34 *4150:31 *5829:126 2.42516e-05 -35 *4150:31 *5863:163 0.000148189 -36 *4150:31 *5926:105 0.00117855 -37 *4150:33 *27808:A2 0.000505335 -38 *4150:33 *27808:B1 1.21258e-05 -39 *4150:33 *5649:91 1.55885e-05 -40 *4150:65 *25028:B1 3.25477e-05 -41 *4150:65 *5602:53 0.000540489 -42 *4150:65 *5678:81 0.00051407 -43 *4150:65 *5829:127 3.03244e-05 -44 *4150:65 *5926:105 9.41642e-05 -45 *25028:C1 *4150:65 2.79421e-05 -46 *25032:A *4150:65 0.000753776 -47 *26890:S *30379:A 0.000598173 -48 *26962:S *30379:A 0.000250393 -49 *26964:A *4150:65 9.25014e-06 -50 *27453:A2 *4150:33 9.60939e-05 -51 *27453:B2 *27811:B2 5.33005e-05 -52 *27808:A1 *4150:31 1.46231e-05 -53 *27808:A1 *4150:33 0.000238606 -54 *27808:B2 *4150:33 0.000136951 -55 *27812:A1 *4150:65 1.86259e-05 -56 *28552:CLK *30379:A 0.000315023 -57 *30434:A *4150:31 4.00679e-05 -58 *244:65 *4150:22 3.15517e-05 -59 *1185:54 *27811:B2 0.0016909 -60 *1185:72 *27811:B2 0.000184807 -61 *1246:90 *27811:B2 0.000158398 -62 *1339:36 *4150:22 0.00018798 -63 *2768:22 *27811:B2 3.34366e-05 -64 *2768:22 *4150:31 0.000135383 -65 *2768:141 *4150:22 0.00010932 -66 *2772:177 *30379:A 7.88844e-05 -67 *2772:183 *4150:22 0.000164945 -68 *2772:189 *4150:22 0.000205678 -69 *2776:117 *4150:65 3.51016e-05 -70 *2776:128 *4150:22 1.25092e-05 -71 *2776:128 *4150:65 0.00163041 -72 *2776:139 *4150:22 6.35819e-05 -73 *2776:139 *4150:65 9.30878e-05 -74 *2859:299 *27811:B2 0.00157978 -75 *2859:313 *4150:33 0.00168946 -76 *2866:39 *4150:33 0.000371755 -77 *3574:28 *27811:B2 6.06291e-05 -78 *3574:53 *4150:22 0.00033593 -79 *3630:41 *4150:22 0.000259608 -80 *3630:48 *30379:A 0.000117039 -81 *3630:48 *4150:22 0.000342481 -82 *3670:47 *27811:B2 7.24353e-05 -83 *3695:26 *4150:22 0 -84 *3864:39 *4150:65 0.000360154 -85 *4072:34 *30379:A 7.80714e-06 -86 *4072:34 *4150:22 0.000275068 -87 *4124:104 *4150:22 0.000265217 -88 *4124:116 *4150:65 0.000477956 -*RES -1 *28544:Q *4150:7 14.7464 -2 *4150:7 *4150:22 33.5268 -3 *4150:22 *4150:31 32.1607 -4 *4150:31 *4150:33 21.4821 -5 *4150:33 *27811:B2 49.9652 -6 *4150:33 *27453:A1 10.0321 -7 *4150:22 *4150:65 41.1295 -8 *4150:65 *25028:B2 9.3 -9 *4150:7 *30379:A 24.4071 -*END - -*D_NET *4151 0.0199387 -*CONN -*I *27834:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27480:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *24970:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30043:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28545:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *27834:A1 3.10632e-05 -2 *27480:A1 0.000362539 -3 *24970:B2 0.00100653 -4 *30043:A 6.71384e-05 -5 *28545:Q 9.80154e-05 -6 *4151:45 0.00202577 -7 *4151:44 0.00117586 -8 *4151:32 0.00186289 -9 *4151:7 0.00147783 -10 *24970:B2 *5642:82 1.80199e-05 -11 *24970:B2 *5656:240 0.000138671 -12 *24970:B2 *5910:122 9.6788e-05 -13 *30043:A *26891:A0 5.83233e-05 -14 *30043:A *26963:A1 5.33005e-05 -15 *30043:A *5528:53 3.48235e-05 -16 *30043:A *5676:184 2.59355e-05 -17 *4151:32 *28545:D 0.000342302 -18 *4151:32 *5528:53 0.000118095 -19 *4151:32 *5601:83 0.000129542 -20 *4151:32 *5662:76 0.000147801 -21 *24970:A2 *24970:B2 5.33005e-05 -22 *27469:B2 *4151:44 2.13969e-05 -23 *27469:B2 *4151:45 2.40822e-05 -24 *27480:A2 *27480:A1 3.33174e-05 -25 *27480:B1 *27480:A1 6.05161e-06 -26 *27834:B2 *4151:32 0.000614843 -27 *28555:CLK *30043:A 0.00012401 -28 *28555:CLK *4151:32 0.000226021 -29 *1258:90 *4151:32 1.8995e-06 -30 *1258:100 *24970:B2 0.000208342 -31 *1258:100 *4151:45 0.000197779 -32 *1260:202 *4151:32 0.000331777 -33 *1288:264 *24970:B2 0.000391314 -34 *1490:128 *27480:A1 9.87421e-06 -35 *1490:146 *27480:A1 0.000146698 -36 *2763:69 *24970:B2 0.000240844 -37 *2763:71 *24970:B2 3.06878e-06 -38 *2767:62 *4151:44 9.58126e-05 -39 *3177:61 *24970:B2 0.000867108 -40 *3177:61 *4151:44 0.000432529 -41 *3177:61 *4151:45 0.00210641 -42 *3206:232 *27834:A1 5.74026e-05 -43 *3206:232 *4151:44 0.000320948 -44 *3386:10 *4151:32 4.64488e-06 -45 *3696:12 *24970:B2 2.976e-05 -46 *3709:9 *4151:32 0.000306919 -47 *3709:11 *4151:32 0.000220039 -48 *3786:57 *4151:32 0.000132889 -49 *3800:46 *24970:B2 0.000469872 -50 *3800:46 *4151:45 0.00204912 -51 *3800:58 *27834:A1 6.47471e-05 -52 *3800:58 *4151:44 0.000864414 -53 *4125:24 *4151:32 1.01912e-05 -*RES -1 *28545:Q *4151:7 14.7464 -2 *4151:7 *30043:A 15.9786 -3 *4151:7 *4151:32 39.8479 -4 *4151:32 *4151:44 26.9908 -5 *4151:44 *4151:45 27.2946 -6 *4151:45 *24970:B2 44.2643 -7 *4151:45 *27480:A1 19.2643 -8 *4151:32 *27834:A1 14.6839 -*END - -*D_NET *4152 0.0349163 -*CONN -*I *25361:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *27489:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27859:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *29372:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28289:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25361:A1 0 -2 *27489:A1 0.000296487 -3 *27859:A1 0.000128446 -4 *29372:A 0 -5 *28289:Q 9.88057e-05 -6 *4152:60 0.00158853 -7 *4152:51 0.00424562 -8 *4152:50 0.00418764 -9 *4152:12 0.000606168 -10 *4152:5 0.00181059 -11 *27489:A1 *5461:7 0.000135028 -12 *27859:A1 *5947:85 2.4587e-05 -13 *27859:A1 *5947:89 0.000153703 -14 *4152:5 *5458:24 0.000257619 -15 *4152:12 *27860:A2 8.84874e-05 -16 *4152:50 *25641:A0 5.31101e-05 -17 *4152:50 *27516:A2 0.0001711 -18 *4152:50 *28137:D 0.000245014 -19 *4152:50 *4153:48 3.06406e-05 -20 *4152:50 *5458:24 0.000715986 -21 *4152:50 *5467:14 0 -22 *4152:50 *5493:25 5.41794e-05 -23 *4152:50 *5657:88 0.000836965 -24 *4152:50 *5712:117 0.00023037 -25 *4152:50 *6036:38 0.000395661 -26 *4152:51 *24879:A 0.000117447 -27 *4152:51 *25437:A1 0.000125555 -28 *4152:51 *25866:A0 9.94004e-05 -29 *4152:51 *4185:41 0.000122439 -30 *4152:60 *28314:D 0.000143324 -31 *4152:60 *28963:A 0.000358756 -32 *4152:60 *5657:132 0.000128315 -33 *4152:60 *5823:58 0.000206725 -34 *4152:60 *5890:6 3.89502e-05 -35 *4152:60 *5890:124 7.43679e-05 -36 *25191:A2 *4152:51 0 -37 *25191:A2 *4152:60 3.71811e-05 -38 *25307:C1 *4152:50 0.000143979 -39 *25307:C1 *4152:51 1.90936e-05 -40 *25361:B1 *4152:60 6.05161e-06 -41 *25361:B2 *4152:60 0.000107578 -42 *25416:A2 *4152:51 0.000121887 -43 *27485:B2 *27489:A1 0.000156835 -44 *27487:B1 *4152:51 0.00102515 -45 *27489:A2 *27489:A1 0.000243386 -46 *27489:A2 *27859:A1 0 -47 *27489:A2 *4152:12 0.0001107 -48 *27499:B2 *27859:A1 2.49843e-05 -49 *27521:A2 *4152:51 1.90936e-05 -50 *27569:B *4152:51 6.09762e-05 -51 *27589:B2 *4152:60 0.000120975 -52 *27859:B1 *27489:A1 1.90936e-05 -53 *27859:B1 *27859:A1 4.61529e-05 -54 *27859:B2 *27859:A1 5.33005e-05 -55 *27860:C1 *4152:12 4.33002e-05 -56 *30448:A *4152:50 2.21972e-05 -57 *1423:54 *4152:51 4.21517e-05 -58 *1423:66 *4152:51 0.00109276 -59 *1423:71 *4152:51 0.00146984 -60 *1470:90 *4152:51 6.7848e-05 -61 *1518:15 *4152:60 2.06112e-05 -62 *2789:27 *27489:A1 3.56057e-05 -63 *2834:97 *27489:A1 0.000348891 -64 *2834:97 *4152:12 0.000107313 -65 *2850:88 *4152:51 0.00103644 -66 *2850:94 *4152:51 0.00134017 -67 *2850:98 *4152:51 5.19313e-05 -68 *2859:117 *4152:51 0.000680585 -69 *2874:74 *4152:50 5.19462e-05 -70 *2877:128 *4152:51 0.00023168 -71 *2882:96 *4152:50 0.00032343 -72 *2889:289 *4152:51 0.000895468 -73 *2889:289 *4152:60 0.00198394 -74 *2892:65 *4152:50 6.4359e-05 -75 *2894:87 *4152:50 0.000102299 -76 *3081:8 *4152:51 6.49247e-05 -77 *3089:13 *4152:51 0.000221217 -78 *3156:124 *4152:12 0.00017958 -79 *3168:66 *4152:51 8.64454e-05 -80 *3564:103 *4152:60 1.21258e-05 -81 *3698:78 *4152:60 5.33005e-05 -82 *3700:40 *4152:60 9.53604e-05 -83 *3736:62 *4152:50 9.11825e-07 -84 *3802:38 *4152:51 1.90936e-05 -85 *3830:70 *4152:50 0.00119736 -86 *3840:27 *4152:5 0.000125355 -87 *3840:27 *4152:50 0.000354175 -88 *3858:22 *4152:60 0.00113256 -89 *3897:32 *4152:51 0.000191337 -90 *3909:31 *4152:50 6.40293e-05 -91 *3948:24 *4152:60 0 -92 *4091:20 *4152:60 0.000778021 -93 *4103:24 *27489:A1 7.40571e-05 -94 *4103:24 *4152:12 3.68236e-05 -95 *4116:51 *4152:51 0.000130371 -*RES -1 *28289:Q *4152:5 11.8893 -2 *4152:5 *29372:A 9.3 -3 *4152:5 *4152:12 9.48214 -4 *4152:12 *27859:A1 16.6929 -5 *4152:12 *27489:A1 30.8179 -6 *4152:5 *4152:50 38.3759 -7 *4152:50 *4152:51 77.0804 -8 *4152:51 *4152:60 49.5357 -9 *4152:60 *25361:A1 9.3 -*END - -*D_NET *4153 0.0197669 -*CONN -*I *25302:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27517:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27884:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *29289:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28290:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25302:B2 9.43154e-05 -2 *27517:A1 1.99857e-05 -3 *27884:A1 0.000428947 -4 *29289:A 0 -5 *28290:Q 0.000192219 -6 *4153:62 0.00163648 -7 *4153:48 0.00295715 -8 *4153:32 0.00222598 -9 *4153:8 0.000525899 -10 *4153:5 0.00108019 -11 *25302:B2 *5500:57 1.90936e-05 -12 *27884:A1 *25491:A 3.80613e-05 -13 *4153:5 *28290:D 1.58163e-05 -14 *4153:5 *29001:A 6.05161e-06 -15 *4153:32 *29001:A 0.000142979 -16 *4153:32 *5711:7 1.58163e-05 -17 *4153:48 *28135:D 0.000124873 -18 *4153:48 *6036:38 5.84267e-05 -19 *4153:62 *5386:11 0.000786133 -20 *4153:62 *5581:64 2.63501e-05 -21 *4153:62 *5706:195 0.000515461 -22 *4153:62 *5744:76 0.000178847 -23 *25302:B1 *25302:B2 1.74719e-05 -24 *27517:A2 *4153:48 3.28686e-05 -25 *27517:B1 *27517:A1 1.04232e-05 -26 *27517:B1 *4153:32 1.55885e-05 -27 *27517:B1 *4153:48 2.98258e-05 -28 *27517:B2 *4153:32 9.55785e-05 -29 *27881:C *4153:62 2.51343e-06 -30 *27881:D *4153:62 0.000391098 -31 *28666:CLK *25302:B2 0 -32 *30544:A *4153:62 4.58194e-05 -33 *1182:43 *4153:48 0.000554956 -34 *1182:43 *4153:62 0.000516154 -35 *1360:27 *25302:B2 2.06178e-05 -36 *1411:97 *4153:62 5.9913e-05 -37 *1619:25 *25302:B2 6.05161e-06 -38 *1619:25 *4153:62 1.10422e-05 -39 *2866:168 *4153:48 0.00059489 -40 *2883:53 *25302:B2 8.34819e-06 -41 *2894:55 *4153:48 0.000490886 -42 *2894:55 *4153:62 0.0001501 -43 *2895:79 *4153:62 0.000588485 -44 *3073:14 *4153:48 5.52238e-05 -45 *3145:14 *4153:62 0.000140383 -46 *3205:72 *4153:62 0.000205935 -47 *3414:33 *27884:A1 0.000728356 -48 *3414:33 *4153:8 0.000314236 -49 *3570:11 *4153:62 0.000880513 -50 *3645:7 *4153:62 0.000463867 -51 *3662:30 *4153:62 0.000141969 -52 *3723:34 *4153:48 0.000332709 -53 *3736:25 *4153:48 6.05161e-06 -54 *3831:28 *4153:5 4.5314e-05 -55 *3895:29 *27884:A1 0.000771646 -56 *3895:29 *4153:8 0.000315165 -57 *3932:5 *4153:62 4.36664e-05 -58 *3970:7 *4153:48 0.00038021 -59 *4035:60 *4153:62 4.43081e-05 -60 *4127:41 *27884:A1 0.000135028 -61 *4152:50 *4153:48 3.06406e-05 -*RES -1 *28290:Q *4153:5 11.4786 -2 *4153:5 *4153:8 8.57143 -3 *4153:8 *29289:A 13.8 -4 *4153:8 *27884:A1 26.4964 -5 *4153:5 *4153:32 9.17857 -6 *4153:32 *27517:A1 9.72857 -7 *4153:32 *4153:48 38.6964 -8 *4153:48 *4153:62 48.5488 -9 *4153:62 *25302:B2 18.7591 -*END - -*D_NET *4154 0.0105827 -*CONN -*I *29482:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25554:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *28414:Q O *D sky130_fd_sc_hd__dfrtn_1 -*CAP -1 *29482:A 8.1606e-05 -2 *25554:A1 0 -3 *28414:Q 0.000332113 -4 *4154:27 0.00178195 -5 *4154:6 0.00219567 -6 *29482:A *25000:A1 8.85532e-05 -7 *4154:6 *25808:A0 0.000113219 -8 *4154:6 *4159:33 0.000476876 -9 *4154:6 *4160:27 8.74295e-05 -10 *4154:6 *5836:135 0.000348513 -11 *4154:6 *5836:150 0.000104774 -12 *4154:27 *25554:A0 2.59355e-05 -13 *4154:27 *4185:30 5.8777e-05 -14 *4154:27 *4189:40 7.117e-05 -15 *4154:27 *4192:14 0.000441793 -16 *4154:27 *4192:20 8.07525e-05 -17 *4154:27 *4195:36 1.21432e-05 -18 *4154:27 *4195:67 8.34352e-05 -19 *4154:27 *4548:15 0 -20 *4154:27 *5545:59 0.000856149 -21 *4154:27 *5727:20 0 -22 *25430:B *4154:6 0.000168939 -23 *25430:B *4154:27 9.27418e-05 -24 *25461:A1 *4154:27 0.000271134 -25 *28085:RESET_B *4154:27 0.000429573 -26 *28093:RESET_B *4154:6 0.000207054 -27 *28093:RESET_B *4154:27 0.000317583 -28 *412:14 *4154:27 1.46617e-05 -29 *418:8 *4154:27 0.000621083 -30 *1173:11 *4154:27 0 -31 *1173:82 *4154:27 0 -32 *1192:25 *4154:27 0.000100904 -33 *1324:37 *29482:A 0.000219711 -34 *1545:48 *4154:27 0.000100807 -35 *1749:21 *4154:27 4.83837e-05 -36 *1752:15 *4154:27 0 -37 *1763:22 *4154:27 0 -38 *1830:55 *4154:27 3.87372e-05 -39 *1862:36 *4154:27 0.000690772 -40 *3857:21 *4154:27 1.97725e-05 -*RES -1 *28414:Q *4154:6 25.1571 -2 *4154:6 *4154:27 40.9576 -3 *4154:27 *25554:A1 9.3 -4 *4154:6 *29482:A 15.9786 -*END - -*D_NET *4155 0.014925 -*CONN -*I *25431:C I *D sky130_fd_sc_hd__and3_1 -*I *25450:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *29634:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24809:A I *D sky130_fd_sc_hd__inv_2 -*I *29758:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28087:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25431:C 0.000327689 -2 *25450:A2 0.00133173 -3 *29634:A 0 -4 *24809:A 0.00044443 -5 *29758:A 0.000223404 -6 *28087:Q 0.000694372 -7 *4155:35 0.00142957 -8 *4155:20 0.00128057 -9 *4155:16 0.00160836 -10 *4155:15 0.00122666 -11 *24809:A *25646:B 0.000309226 -12 *25431:C *24886:A0 6.73313e-05 -13 *25431:C *24886:A1 5.96516e-05 -14 *25431:C *24888:S 0.000144038 -15 *25431:C *4157:31 4.16984e-05 -16 *25431:C *4195:143 5.33005e-05 -17 *25431:C *5836:131 0.000135028 -18 *25450:A2 *25826:A1 0.000603168 -19 *25450:A2 *5666:55 7.21115e-05 -20 *25450:A2 *5686:118 6.30931e-05 -21 *25450:A2 *5742:234 0 -22 *29758:A *5843:8 0.000690776 -23 *29758:A *5865:85 0.000688346 -24 *4155:15 *6460:DIODE 0.000239331 -25 *4155:16 *24883:S 0.000238376 -26 *4155:16 *24886:A0 7.50601e-05 -27 *4155:16 *24888:S 9.25014e-06 -28 *4155:16 *4158:46 0.000270754 -29 *4155:16 *5843:8 6.0749e-05 -30 *4155:20 *4158:46 3.88451e-05 -31 *4155:20 *5843:8 0.000127918 -32 *4155:20 *5865:85 5.41794e-05 -33 *4155:35 *25448:A1 4.58194e-05 -34 *4155:35 *25452:A1 3.80953e-06 -35 *4155:35 *4157:15 0.000140481 -36 *4155:35 *5728:10 0.000147325 -37 *4155:35 *5836:135 4.94245e-05 -38 *25073:A0 *24809:A 9.71197e-05 -39 *25073:S *24809:A 4.58194e-05 -40 *25440:B *4155:15 7.02611e-05 -41 *28087:RESET_B *4155:15 1.07719e-05 -42 *28411:RESET_B *24809:A 0.000175519 -43 *29477:A *24809:A 4.13595e-05 -44 *440:29 *25450:A2 0 -45 *1207:11 *4155:35 0.000310292 -46 *1212:12 *4155:15 0.000126821 -47 *1214:11 *4155:15 9.71197e-05 -48 *1488:33 *25431:C 4.45573e-05 -49 *1743:30 *4155:15 0.000151139 -50 *1743:54 *4155:15 4.05236e-05 -51 *1829:16 *24809:A 0.000268403 -52 *1862:36 *4155:16 0.000116994 -53 *1873:61 *24809:A 0.000177234 -54 *1875:29 *25450:A2 6.24672e-05 -55 *3626:68 *25450:A2 9.83051e-06 -56 *3858:19 *25450:A2 8.28647e-05 -*RES -1 *28087:Q *4155:15 24.8893 -2 *4155:15 *4155:16 6.65179 -3 *4155:16 *4155:20 2.33036 -4 *4155:20 *29758:A 22.7286 -5 *4155:20 *4155:35 26.25 -6 *4155:35 *24809:A 29.4607 -7 *4155:35 *29634:A 9.3 -8 *4155:16 *25450:A2 25.2359 -9 *4155:15 *25431:C 21.0321 -*END - -*D_NET *4156 0.0157745 -*CONN -*I *25450:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *25431:B I *D sky130_fd_sc_hd__and3_1 -*I *25451:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25453:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *30069:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28088:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25450:A1 0.000336803 -2 *25431:B 0.000195574 -3 *25451:A1 0.000686175 -4 *25453:B1 1.14775e-05 -5 *30069:A 0.000166701 -6 *28088:Q 0.000452534 -7 *4156:60 0.00181192 -8 *4156:46 0.00154585 -9 *4156:36 0.00135752 -10 *4156:22 0.0016864 -11 *25431:B *4157:45 0.000294747 -12 *25450:A1 *4157:45 2.95693e-05 -13 *25451:A1 *24883:S 9.41642e-05 -14 *25451:A1 *25456:S 9.85599e-06 -15 *25451:A1 *28282:D 1.04745e-05 -16 *25451:A1 *4195:123 9.58689e-05 -17 *25453:B1 *24903:A 5.7836e-06 -18 *30069:A *24873:A1 0.000205072 -19 *30069:A *24875:A2 2.16719e-05 -20 *30069:A *4192:239 0.000263524 -21 *30069:A *5843:8 0.000155877 -22 *4156:22 *24873:A1 1.12323e-05 -23 *4156:22 *24881:A0 0.000295844 -24 *4156:22 *28043:B 0.000480343 -25 *4156:22 *4195:105 1.10856e-05 -26 *4156:22 *5843:8 0.000305244 -27 *4156:46 *24903:A 4.23299e-05 -28 *4156:46 *5719:98 0.000621448 -29 *4156:60 *25646:B 0.000136958 -30 *4156:60 *4157:45 3.21438e-05 -31 *4156:60 *5797:11 0 -32 *25155:B *4156:46 0.000431286 -33 *25432:B *25431:B 0.000385829 -34 *25432:B *4156:60 0.000271607 -35 *25449:A0 *4156:22 4.43299e-05 -36 *25451:B1 *25451:A1 7.25873e-06 -37 *28088:D *4156:22 0.000347487 -38 *28088:RESET_B *4156:22 0.000139808 -39 *28282:CLK *25451:A1 0.000204398 -40 *29118:A *30069:A 9.05499e-05 -41 *440:29 *4156:60 0.00011069 -42 *1173:130 *4156:22 9.72014e-05 -43 *1195:6 *4156:60 0.000173797 -44 *1259:8 *4156:60 0.000175519 -45 *1427:161 *4156:60 4.89138e-05 -46 *1743:8 *25431:B 0.000188734 -47 *1744:25 *4156:22 1.90936e-05 -48 *1757:23 *25451:A1 0.000596665 -49 *1827:61 *4156:46 0 -50 *1829:25 *4156:60 7.62206e-05 -51 *1875:29 *25450:A1 8.65688e-05 -52 *1875:35 *4156:60 0.000216755 -53 *3626:68 *25450:A1 9.78828e-05 -54 *3626:87 *25450:A1 0.000126827 -55 *3626:87 *4156:60 0.000256242 -56 *3710:18 *25453:B1 1.01912e-05 -57 *3710:18 *4156:46 0.000192245 -58 *4117:25 *25450:A1 4.22135e-06 -*RES -1 *28088:Q *4156:22 37.2193 -2 *4156:22 *30069:A 19.0946 -3 *4156:22 *4156:36 9.10107 -4 *4156:36 *25453:B1 14.0768 -5 *4156:36 *4156:46 14.7946 -6 *4156:46 *4156:60 30.25 -7 *4156:60 *25451:A1 25.9786 -8 *4156:60 *25431:B 21.2107 -9 *4156:46 *25450:A1 23.4964 -*END - -*D_NET *4157 0.0128201 -*CONN -*I *30191:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25431:A I *D sky130_fd_sc_hd__and3_1 -*I *25450:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *29611:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25448:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28089:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30191:A 0.000206849 -2 *25431:A 0 -3 *25450:B1 0 -4 *29611:A 0 -5 *25448:A1 0.000313669 -6 *28089:Q 0 -7 *4157:45 0.00135951 -8 *4157:31 0.00156738 -9 *4157:15 0.000654876 -10 *4157:9 0.00069952 -11 *4157:5 0.0003573 -12 *25448:A1 *25457:A1 0.000125724 -13 *25448:A1 *4195:105 0.000267005 -14 *30191:A *5742:275 0.000271345 -15 *4157:9 *4195:133 4.58194e-05 -16 *4157:15 *25452:A1 4.884e-05 -17 *4157:15 *4189:41 0.0008063 -18 *4157:31 *24886:A1 0.000224577 -19 *4157:31 *4195:133 0.000108525 -20 *4157:31 *4195:143 0.000183422 -21 *4157:45 *28308:RESET_B 0 -22 *4157:45 *5797:11 0.000305173 -23 *25431:B *4157:45 0.000294747 -24 *25431:C *4157:31 4.16984e-05 -25 *25450:A1 *4157:45 2.95693e-05 -26 *28087:RESET_B *30191:A 0.000271345 -27 *28087:RESET_B *4157:9 0 -28 *28089:RESET_B *30191:A 0 -29 *28089:RESET_B *4157:9 0 -30 *28282:CLK *4157:45 0.000144784 -31 *1195:6 *4157:45 0.000120505 -32 *1207:11 *4157:45 0.000265447 -33 *1259:8 *4157:45 0.00012222 -34 *1427:161 *25448:A1 6.77187e-05 -35 *1488:33 *4157:9 9.41642e-05 -36 *1488:33 *4157:31 0.000911888 -37 *1742:65 *25448:A1 0.00054919 -38 *1742:74 *25448:A1 0.000170496 -39 *1757:23 *4157:45 0.000630084 -40 *1771:29 *30191:A 0.000315165 -41 *1771:29 *4157:9 0.00022287 -42 *1771:29 *4157:15 0.000803878 -43 *4155:35 *25448:A1 4.58194e-05 -44 *4155:35 *4157:15 0.000140481 -45 *4156:60 *4157:45 3.21438e-05 -*RES -1 *28089:Q *4157:5 13.8 -2 *4157:5 *4157:9 8.30357 -3 *4157:9 *4157:15 22.0357 -4 *4157:15 *25448:A1 28.4786 -5 *4157:15 *29611:A 9.3 -6 *4157:9 *4157:31 8.75 -7 *4157:31 *4157:45 41.1429 -8 *4157:45 *25450:B1 9.3 -9 *4157:31 *25431:A 9.3 -10 *4157:5 *30191:A 20.4607 -*END - -*D_NET *4158 0.0132039 -*CONN -*I *25432:A I *D sky130_fd_sc_hd__and2_1 -*I *25447:A I *D sky130_fd_sc_hd__or2_1 -*I *29658:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29568:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28090:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25432:A 0.00014934 -2 *25447:A 0.000461745 -3 *29658:A 0.000164094 -4 *29568:A 1.42796e-05 -5 *28090:Q 0 -6 *4158:46 0.00202237 -7 *4158:10 0.00025613 -8 *4158:7 0.00171796 -9 *4158:4 0.000228915 -10 *29658:A *24875:A1 5.52302e-05 -11 *29658:A *5797:11 0.000124865 -12 *29658:A *5802:7 5.33005e-05 -13 *29658:A *5812:8 0.000150618 -14 *4158:10 *5865:85 0.000244145 -15 *4158:46 *24883:A0 2.89114e-05 -16 *4158:46 *25457:A1 0.000481575 -17 *4158:46 *25818:A0 0.000329007 -18 *4158:46 *5865:85 0.000300411 -19 *25452:S *4158:46 0.000101687 -20 *25457:A0 *4158:46 9.90431e-05 -21 *25457:S *4158:46 1.69961e-05 -22 *28087:D *4158:46 0.000144773 -23 *28089:D *4158:46 0.000439685 -24 *28849:A *25447:A 2.70242e-05 -25 *28849:A *4158:46 0.000143375 -26 *440:29 *29568:A 5.33005e-05 -27 *440:29 *29658:A 9.41642e-05 -28 *1173:130 *29568:A 5.52302e-05 -29 *1173:130 *29658:A 8.27532e-05 -30 *1209:13 *4158:46 0.000100809 -31 *1743:54 *25447:A 0.00114616 -32 *1755:10 *25447:A 0.000973391 -33 *1755:10 *4158:46 1.02937e-05 -34 *1760:13 *4158:46 0.000767522 -35 *1829:25 *25432:A 0.000220608 -36 *1829:25 *4158:7 2.61099e-05 -37 *1862:36 *29658:A 0.000387974 -38 *1862:36 *4158:10 0.000242559 -39 *1862:36 *4158:46 0.000654018 -40 *3548:5 *4158:46 0.000182592 -41 *3548:17 *4158:46 0.000141306 -42 *4155:16 *4158:46 0.000270754 -43 *4155:20 *4158:46 3.88451e-05 -*RES -1 *28090:Q *4158:4 9.3 -2 *4158:4 *4158:7 5.44643 -3 *4158:7 *4158:10 7.66071 -4 *4158:10 *29568:A 9.83571 -5 *4158:10 *29658:A 24.7643 -6 *4158:7 *4158:46 42.8393 -7 *4158:46 *25447:A 23.3179 -8 *4158:4 *25432:A 12.2107 -*END - -*D_NET *4159 0.039498 -*CONN -*I *29631:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29096:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *6404:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25441:B1 I *D sky130_fd_sc_hd__o21a_1 -*I *25433:C I *D sky130_fd_sc_hd__nand4_1 -*I *25437:A2 I *D sky130_fd_sc_hd__a31o_1 -*I *28091:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29631:A 0 -2 *29096:A 0 -3 *6404:DIODE 0 -4 *25441:B1 0 -5 *25433:C 0 -6 *25437:A2 0.000348137 -7 *28091:Q 0.000458053 -8 *4159:100 0.00145476 -9 *4159:91 0.00239627 -10 *4159:80 0.00163588 -11 *4159:71 0.000770176 -12 *4159:64 0.000206913 -13 *4159:56 0.00226967 -14 *4159:33 0.00323794 -15 *4159:14 0.00189403 -16 *4159:9 0.000904564 -17 *25437:A2 *25437:B1 0.00105495 -18 *4159:14 *5247:10 2.2628e-05 -19 *4159:14 *5835:11 4.49293e-05 -20 *4159:33 *25808:A0 0.000474926 -21 *4159:33 *5835:11 5.58941e-05 -22 *4159:56 *25651:A0 5.88126e-05 -23 *4159:56 *28238:D 0.00105549 -24 *4159:56 *4160:98 0.000469772 -25 *4159:56 *4161:10 3.92854e-05 -26 *4159:56 *4161:80 0.000467849 -27 *4159:56 *5007:31 0.000343433 -28 *4159:56 *5706:38 4.96679e-06 -29 *4159:56 *5728:205 2.6519e-05 -30 *4159:56 *5743:95 4.62968e-05 -31 *4159:56 *5865:53 7.6644e-05 -32 *4159:64 *24867:A2 9.60939e-05 -33 *4159:64 *24877:A1 0.00017754 -34 *4159:64 *5835:11 0.000100832 -35 *4159:71 *24867:A2 0.000180951 -36 *4159:71 *28413:D 2.6304e-05 -37 *4159:80 *25441:A1 0.000136958 -38 *4159:80 *25821:A0 5.36e-05 -39 *4159:80 *5332:20 0.000126439 -40 *4159:80 *5549:34 0.000125316 -41 *4159:91 *25821:A0 0.000344835 -42 *4159:91 *29560:A 0.00146773 -43 *4159:91 *29578:A 0.000156114 -44 *4159:91 *4171:21 0.000932032 -45 *4159:91 *5244:17 6.57032e-05 -46 *4159:91 *5577:20 0.00193996 -47 *4159:91 *5580:16 3.47641e-06 -48 *4159:100 *4166:17 0.000631896 -49 *25430:B *4159:33 0 -50 *25433:D *4159:100 2.59355e-05 -51 *25441:A2 *4159:80 9.41642e-05 -52 *25441:A2 *4159:91 4.22349e-05 -53 *25820:S *4159:80 5.96516e-05 -54 *27938:A1 *4159:56 4.65519e-05 -55 *28078:RESET_B *4159:100 0.000303306 -56 *28238:CLK *4159:56 0.000136958 -57 *28271:D *4159:100 8.03949e-05 -58 *29118:A *4159:9 0.000150625 -59 *30289:A *4159:56 3.1971e-05 -60 *30325:A *4159:56 0.00137604 -61 *373:12 *4159:9 0 -62 *399:18 *4159:14 0.000253865 -63 *743:11 *4159:71 1.39782e-05 -64 *1191:76 *4159:14 0.000383932 -65 *1193:9 *4159:33 0.000421837 -66 *1193:9 *4159:64 0.000457945 -67 *1193:9 *4159:71 0.000180951 -68 *1193:9 *4159:80 0.000263863 -69 *1194:14 *4159:33 0.000385089 -70 *1196:23 *4159:33 0.000131152 -71 *1197:15 *4159:9 9.90367e-05 -72 *1251:21 *4159:33 0.000282565 -73 *1251:24 *4159:100 0.00123166 -74 *1323:57 *4159:14 0.000830045 -75 *1323:57 *4159:33 5.41794e-05 -76 *1324:37 *4159:33 0.00092326 -77 *1396:64 *4159:56 0 -78 *1730:41 *4159:56 8.08438e-05 -79 *1730:56 *4159:14 2.04825e-05 -80 *1730:76 *4159:14 4.49293e-05 -81 *1742:55 *4159:9 2.12521e-05 -82 *1742:65 *4159:9 4.57538e-05 -83 *1744:112 *25437:A2 0.000399402 -84 *1745:11 *4159:100 0.000234388 -85 *1751:8 *4159:91 1.34195e-05 -86 *1752:19 *4159:33 0.000256923 -87 *1771:29 *4159:9 0 -88 *1874:75 *4159:80 6.05161e-06 -89 *1874:75 *4159:91 9.41642e-05 -90 *1888:27 *4159:56 1.19191e-05 -91 *2874:101 *4159:56 1.09611e-05 -92 *3156:162 *25437:A2 6.7224e-05 -93 *3561:19 *4159:9 0.000639517 -94 *3561:19 *4159:14 0.000221634 -95 *3688:35 *4159:56 3.95113e-05 -96 *3727:20 *25437:A2 5.49544e-05 -97 *3727:20 *4159:56 3.34295e-05 -98 *3727:32 *25437:A2 0.00041666 -99 *3749:105 *4159:56 1.89255e-05 -100 *4065:91 *4159:56 0.000110179 -101 *4126:84 *4159:56 0.00153282 -102 *4154:6 *4159:33 0.000476876 -*RES -1 *28091:Q *4159:9 28.9607 -2 *4159:9 *4159:14 18.9464 -3 *4159:14 *4159:33 49.9065 -4 *4159:33 *4159:56 48.9984 -5 *4159:56 *25437:A2 20.925 -6 *4159:14 *4159:64 9.14286 -7 *4159:64 *4159:71 11.5 -8 *4159:71 *4159:80 19.5357 -9 *4159:80 *4159:91 49.1786 -10 *4159:91 *4159:100 44.3929 -11 *4159:100 *25433:C 9.3 -12 *4159:80 *25441:B1 9.3 -13 *4159:71 *6404:DIODE 9.3 -14 *4159:64 *29096:A 9.3 -15 *4159:9 *29631:A 9.3 -*END - -*D_NET *4160 0.0381436 -*CONN -*I *24876:A I *D sky130_fd_sc_hd__nand2_1 -*I *25437:A1 I *D sky130_fd_sc_hd__a31o_1 -*I *24868:A I *D sky130_fd_sc_hd__and2_1 -*I *25433:B I *D sky130_fd_sc_hd__nand4_1 -*I *29635:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28092:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *24876:A 3.475e-05 -2 *25437:A1 0.00089966 -3 *24868:A 0.000183677 -4 *25433:B 0 -5 *29635:A 0 -6 *28092:Q 0.0010424 -7 *4160:98 0.00261824 -8 *4160:77 0.00179921 -9 *4160:63 0.00103495 -10 *4160:57 0.00210254 -11 *4160:38 0.00394628 -12 *4160:27 0.00288677 -13 *4160:15 0.00128003 -14 *24868:A *25651:A0 5.52238e-05 -15 *24868:A *28238:D 5.33005e-05 -16 *24868:A *5545:50 4.28249e-05 -17 *24868:A *5742:163 0.000125917 -18 *25437:A1 *28139:D 0.000293542 -19 *25437:A1 *5691:61 7.49387e-06 -20 *4160:15 *24877:A1 0.000381703 -21 *4160:15 *25000:A1 0.000365217 -22 *4160:15 *4195:90 1.18358e-05 -23 *4160:15 *5575:30 0.000290534 -24 *4160:15 *5742:193 0.000287586 -25 *4160:27 *5836:150 8.93351e-05 -26 *4160:38 *24870:A1 0.000121411 -27 *4160:38 *28168:D 6.07149e-05 -28 *4160:38 *4195:67 0.000674294 -29 *4160:38 *5727:20 0.00137119 -30 *4160:57 *25471:A1 7.83659e-05 -31 *4160:57 *25473:A1 2.90959e-05 -32 *4160:57 *25473:A2 2.88082e-05 -33 *4160:57 *25473:B1 2.59355e-05 -34 *4160:57 *25480:A 0.000227117 -35 *4160:57 *28213:D 0.000242913 -36 *4160:57 *4194:65 5.41763e-05 -37 *4160:57 *4195:179 0.000301438 -38 *4160:57 *5341:12 0.000521268 -39 *4160:57 *5727:20 0.000280633 -40 *4160:98 *25775:A0 0.000139478 -41 *4160:98 *25801:A1 0.00017754 -42 *4160:98 *28139:D 6.94952e-05 -43 *4160:98 *4161:80 0.000411391 -44 *4160:98 *4487:24 6.57032e-05 -45 *4160:98 *5545:50 0.000495795 -46 *4160:98 *5691:61 9.83388e-05 -47 *25416:B2 *25437:A1 2.55806e-05 -48 *25416:B2 *4160:63 0.000822915 -49 *25416:B2 *4160:77 0.000158552 -50 *25433:D *4160:57 0.000143969 -51 *25473:C1 *4160:57 0.000285237 -52 *25480:B *4160:57 0.000653625 -53 *25538:A3 *4160:38 0.000187622 -54 *25538:A3 *4160:57 1.30241e-05 -55 *25775:S *4160:98 2.59355e-05 -56 *28092:D *4160:15 7.83659e-05 -57 *28092:RESET_B *4160:15 3.8524e-05 -58 *28166:RESET_B *4160:57 0.000337033 -59 *29107:A *4160:15 0.000355094 -60 *30305:A *4160:38 8.66789e-05 -61 *30325:A *4160:98 0.000198024 -62 *30354:A *4160:98 5.33005e-05 -63 *501:10 *4160:38 0.000800374 -64 *1173:82 *24868:A 0.000303221 -65 *1192:34 *4160:38 0.000117447 -66 *1194:37 *4160:38 0.000374091 -67 *1196:23 *4160:38 0.000200806 -68 *1251:21 *4160:27 0.00017313 -69 *1251:21 *4160:63 0.00192138 -70 *1251:21 *4160:77 0.000130239 -71 *1287:21 *24868:A 4.18222e-05 -72 *1324:37 *4160:98 0.000909742 -73 *1423:66 *25437:A1 0.000125555 -74 *1545:51 *4160:38 0 -75 *1603:47 *4160:38 0.000168527 -76 *1730:19 *25437:A1 0.000135028 -77 *1730:41 *25437:A1 1.55885e-05 -78 *1730:41 *4160:38 0.000260688 -79 *1731:19 *4160:15 1.58163e-05 -80 *1731:19 *4160:27 4.85033e-05 -81 *1738:40 *4160:57 7.6644e-05 -82 *1738:92 *4160:38 0.000690797 -83 *1742:12 *4160:27 0.000170256 -84 *1742:12 *4160:63 0.000264703 -85 *1745:38 *4160:38 0.000164358 -86 *1752:19 *4160:38 0.000178813 -87 *1762:16 *4160:38 0.000100041 -88 *1828:47 *4160:98 7.21849e-05 -89 *1868:49 *4160:98 0.000110151 -90 *2789:44 *4160:98 9.41642e-05 -91 *3561:53 *4160:38 2.30116e-06 -92 *3570:97 *24876:A 8.78052e-05 -93 *3570:97 *4160:98 0.000178671 -94 *3657:10 *24876:A 7.87814e-05 -95 *3657:10 *4160:98 0.000182694 -96 *3688:35 *4160:98 3.10108e-05 -97 *3711:21 *4160:98 0.000108504 -98 *3962:13 *25437:A1 0.000177545 -99 *4065:10 *4160:98 5.52238e-05 -100 *4065:91 *4160:98 0.000122591 -101 *4152:51 *25437:A1 0.000125555 -102 *4154:6 *4160:27 8.74295e-05 -103 *4159:56 *4160:98 0.000469772 -*RES -1 *28092:Q *4160:15 39.5679 -2 *4160:15 *29635:A 9.3 -3 *4160:15 *4160:27 11.1646 -4 *4160:27 *4160:38 47.3732 -5 *4160:38 *4160:57 47.4375 -6 *4160:57 *25433:B 9.3 -7 *4160:27 *4160:63 3.1819 -8 *4160:63 *24868:A 21.9689 -9 *4160:63 *4160:77 3.6732 -10 *4160:77 *4160:98 46.2101 -11 *4160:98 *25437:A1 34.8357 -12 *4160:77 *24876:A 14.9875 -*END - -*D_NET *4161 0.0296075 -*CONN -*I *25437:B1 I *D sky130_fd_sc_hd__a31o_1 -*I *29591:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29991:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25433:A I *D sky130_fd_sc_hd__nand4_1 -*I *28093:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25437:B1 0.000549618 -2 *29591:A 2.37855e-05 -3 *29991:A 0 -4 *25433:A 0 -5 *28093:Q 0.000359407 -6 *4161:80 0.00241911 -7 *4161:49 0.00126254 -8 *4161:41 0.00255275 -9 *4161:28 0.00189098 -10 *4161:17 0.000761498 -11 *4161:10 0.00236585 -12 *4161:10 *25439:A1 0.000547949 -13 *4161:10 *5865:40 1.03926e-05 -14 *4161:10 *5865:53 6.09273e-05 -15 *4161:17 *24877:A2 4.13595e-05 -16 *4161:17 *29173:A 6.09762e-05 -17 *4161:17 *30790:A 5.52238e-05 -18 *4161:17 *5902:8 4.18834e-05 -19 *4161:17 *5902:22 1.90936e-05 -20 *4161:28 *24877:A1 6.8944e-05 -21 *4161:28 *24877:A2 0.00025453 -22 *4161:28 *28148:D 0.000180789 -23 *4161:28 *5377:15 3.69047e-06 -24 *4161:28 *5836:150 0 -25 *4161:41 *4166:7 0.000108456 -26 *4161:41 *5545:59 0 -27 *4161:41 *5727:8 0.000426985 -28 *4161:41 *5728:53 5.86263e-05 -29 *4161:41 *5728:64 0.000262679 -30 *4161:49 *25428:A 0.000166345 -31 *4161:49 *4166:10 0 -32 *4161:49 *4168:20 0.000351546 -33 *4161:49 *5541:8 0.000721728 -34 *4161:80 *28139:D 2.59355e-05 -35 *4161:80 *4487:24 0.000136951 -36 wb_dat_o[1] *4161:49 2.11419e-05 -37 wb_dat_o[2] *4161:49 4.32258e-05 -38 wb_dat_o[3] *4161:49 2.11419e-05 -39 *25416:B2 *4161:10 2.04825e-05 -40 *25433:D *4161:49 0.000123733 -41 *25435:A0 *4161:28 0.000373684 -42 *25437:A2 *25437:B1 0.00105495 -43 *25482:B *4161:49 5.2066e-05 -44 *25775:S *4161:80 5.52302e-05 -45 *25814:S *4161:49 0.000581604 -46 *25874:S *4161:80 0.000801929 -47 *28077:CLK *4161:41 0.000310653 -48 *28079:RESET_B *4161:41 2.05139e-05 -49 *28094:RESET_B *4161:10 5.33005e-05 -50 *28148:CLK *4161:10 1.34436e-05 -51 *28408:RESET_B *4161:17 0.00012726 -52 *28408:RESET_B *4161:28 0.00105386 -53 *29107:A *4161:28 9.22103e-06 -54 *29467:A *4161:41 1.01912e-05 -55 *30276:A *4161:10 8.58353e-05 -56 *30354:A *4161:80 2.84109e-05 -57 *30502:A *4161:28 5.52302e-05 -58 *419:9 *4161:41 3.69047e-06 -59 *1194:14 *4161:17 4.22135e-06 -60 *1202:43 *4161:28 0.000498816 -61 *1545:51 *4161:41 0.000224392 -62 *1730:41 *4161:17 0.000242229 -63 *1730:41 *4161:80 0.00187922 -64 *1739:10 *4161:49 0.00119039 -65 *1739:22 *4161:49 0.000176118 -66 *1740:13 *4161:49 0.00012401 -67 *1744:46 *4161:17 2.83129e-05 -68 *1744:112 *4161:17 0.000223442 -69 *1744:112 *4161:80 0.00190283 -70 *1774:16 *4161:49 0.000114121 -71 *1828:47 *4161:80 1.14166e-05 -72 *1868:49 *4161:80 0.000136448 -73 *1874:84 *4161:49 0.000338521 -74 *2789:44 *4161:80 4.82947e-05 -75 *2844:89 *25437:B1 5.04841e-06 -76 *3156:162 *25437:B1 0.000433264 -77 *3616:67 *4161:10 4.29471e-05 -78 *3688:35 *4161:80 0.000165626 -79 *3698:40 *4161:80 5.32236e-05 -80 *3711:21 *4161:80 0.000134733 -81 *4159:56 *4161:10 3.92854e-05 -82 *4159:56 *4161:80 0.000467849 -83 *4160:98 *4161:80 0.000411391 -*RES -1 *28093:Q *4161:10 25.6118 -2 *4161:10 *4161:17 10.5584 -3 *4161:17 *4161:28 26.8571 -4 *4161:28 *4161:41 25.0692 -5 *4161:41 *4161:49 42.5268 -6 *4161:49 *25433:A 9.3 -7 *4161:28 *29991:A 9.3 -8 *4161:17 *29591:A 9.72857 -9 *4161:10 *4161:80 46.0642 -10 *4161:80 *25437:B1 20.9429 -*END - -*D_NET *4162 0.0076138 -*CONN -*I *29545:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30491:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28094:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29545:A 0.000121017 -2 *30491:A 0.000342303 -3 *28094:Q 0.00114606 -4 *4162:12 0.00160938 -5 *30491:A *24816:A 0.000300406 -6 *30491:A *29481:A 4.2609e-05 -7 *30491:A *5350:8 0.000126439 -8 *30491:A *5728:53 0.000314909 -9 *4162:12 *5238:13 0.000650948 -10 *4162:12 *5350:8 0.000142412 -11 *4162:12 *5728:53 0.000224511 -12 *28094:D *4162:12 0.000164802 -13 *28094:RESET_B *4162:12 0.000131765 -14 *28165:D *30491:A 0.000136776 -15 *28408:RESET_B *4162:12 0.000257619 -16 *359:14 *30491:A 0.000158586 -17 *361:20 *30491:A 0.000524182 -18 *399:18 *4162:12 1.08691e-05 -19 *1191:42 *4162:12 6.99087e-05 -20 *1191:52 *4162:12 0.00015234 -21 *1324:23 *4162:12 0.000242778 -22 *1324:60 *4162:12 0.000226021 -23 *1603:47 *30491:A 0.000298483 -24 *3558:58 *29545:A 0.000218679 -*RES -1 *28094:Q *4162:12 35.6929 -2 *4162:12 *30491:A 26.3357 -3 *4162:12 *29545:A 15.9786 -*END - -*D_NET *4163 0.0330322 -*CONN -*I *29610:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25463:A I *D sky130_fd_sc_hd__nor2_1 -*I *25420:B I *D sky130_fd_sc_hd__and3_1 -*I *25494:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *24865:C I *D sky130_fd_sc_hd__or3_4 -*I *25472:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *25491:B I *D sky130_fd_sc_hd__and3_1 -*I *25470:C_N I *D sky130_fd_sc_hd__or4bb_1 -*I *25468:C I *D sky130_fd_sc_hd__or4b_1 -*I *25426:C I *D sky130_fd_sc_hd__and3_2 -*I *25427:A I *D sky130_fd_sc_hd__nand2_1 -*I *25423:A1 I *D sky130_fd_sc_hd__a21oi_1 -*I *28095:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29610:A 0.000179031 -2 *25463:A 0 -3 *25420:B 0.000493321 -4 *25494:A1 0 -5 *24865:C 0.000257406 -6 *25472:A2 0.000340784 -7 *25491:B 0.000561989 -8 *25470:C_N 0 -9 *25468:C 0 -10 *25426:C 0 -11 *25427:A 0 -12 *25423:A1 0.000125979 -13 *28095:Q 0 -14 *4163:149 0.000729092 -15 *4163:145 0.000615021 -16 *4163:95 0.00144062 -17 *4163:93 0.00254067 -18 *4163:84 0.0023871 -19 *4163:76 0.000875085 -20 *4163:55 0.00142682 -21 *4163:42 0.000808232 -22 *4163:28 0.00110175 -23 *4163:24 0.00127388 -24 *4163:17 0.000823675 -25 *4163:4 0.000855413 -26 *24865:C *24865:A 0.000217129 -27 *24865:C *24865:B 2.59355e-05 -28 *24865:C *5341:12 0.000112286 -29 *25420:B *25421:B 9.97164e-05 -30 *25420:B *28051:A 0.000140088 -31 *25420:B *28051:B 5.49489e-05 -32 *25423:A1 *25423:B1 0.000149419 -33 *25423:A1 *4164:55 0.000148903 -34 *25423:A1 *5541:8 0.000192444 -35 *25472:A2 *25472:A1 0.000216755 -36 *25472:A2 *5727:243 0.000126837 -37 *25491:B *25491:C 1.90936e-05 -38 *25491:B *28259:RESET_B 0.000166674 -39 *29610:A *25425:A 0.000262504 -40 *29610:A *25569:S 0.000388051 -41 *29610:A *6036:13 4.13496e-05 -42 *4163:17 *25465:A1 0.000137829 -43 *4163:17 *25494:A2 0.000141031 -44 *4163:17 *4187:48 5.58875e-06 -45 *4163:17 *4195:196 0 -46 *4163:17 *6169:144 0 -47 *4163:24 *25421:A 9.83673e-05 -48 *4163:24 *4164:24 0.000151737 -49 *4163:28 *25421:A 5.33005e-05 -50 *4163:28 *28034:A 1.02821e-05 -51 *4163:28 *5541:8 6.09476e-05 -52 *4163:28 *5728:88 0.000190546 -53 *4163:28 *5728:90 0.000194122 -54 *4163:42 *25421:A 9.58181e-05 -55 *4163:42 *4165:13 8.2607e-05 -56 *4163:55 *4164:13 0.000121842 -57 *4163:55 *4165:13 0.000178043 -58 *4163:55 *4195:196 7.00257e-05 -59 *4163:55 *6169:144 0.000372603 -60 *4163:76 *29650:A 5.7903e-06 -61 *4163:76 *4164:82 0 -62 *4163:76 *4164:115 0 -63 *4163:76 *4167:24 2.05484e-05 -64 *4163:76 *5341:12 9.31709e-05 -65 *4163:76 *5467:14 4.47201e-05 -66 *4163:76 *5728:72 9.09604e-05 -67 *4163:76 *5728:88 5.88342e-05 -68 *4163:76 *6169:144 0.000153387 -69 *4163:84 *25469:A1 0.000139215 -70 *4163:84 *25470:D_N 9.58126e-05 -71 *4163:84 *5341:12 0.000236416 -72 *4163:93 *25459:A0 2.47753e-05 -73 *4163:93 *25459:A1 8.50166e-05 -74 *4163:93 *25498:A1 0.00012062 -75 *4163:93 *29550:A 2.47753e-05 -76 *4163:93 *4192:44 0.000304394 -77 *4163:93 *5836:162 1.21258e-05 -78 *4163:93 *5836:168 2.37452e-05 -79 *4163:95 *28053:A 0.000139907 -80 *4163:95 *5727:243 0.000158894 -81 *4163:145 *24810:A 0 -82 *4163:145 *4187:48 9.60875e-05 -83 *4163:145 *4192:79 0.000398946 -84 *4163:149 *4192:79 0.000357795 -85 *25418:B *4163:17 9.0005e-05 -86 *25420:C *25420:B 0.000140815 -87 *25459:S *4163:93 5.33005e-05 -88 *25463:B *25420:B 0.000137151 -89 *25478:A *4163:28 0.000262504 -90 *25494:B1 *4163:17 1.51571e-05 -91 *25494:B1 *4163:24 4.58194e-05 -92 *25538:B2 *4163:93 0.00038907 -93 *25698:A1 *4163:93 0.000433968 -94 *27885:B1 *25491:B 5.2609e-05 -95 *27913:A1 *25491:B 1.60861e-05 -96 *28081:D *4163:93 0.000388655 -97 *28082:RESET_B *4163:17 4.99054e-05 -98 *28083:RESET_B *4163:17 0.000124527 -99 *28083:RESET_B *4163:149 7.35585e-05 -100 *28086:D *4163:93 5.71472e-05 -101 *28096:CLK *4163:76 0.00021484 -102 *28097:RESET_B *4163:55 0.00011082 -103 *28166:RESET_B *4163:76 0.000135028 -104 *30537:A *4163:95 0.000219289 -105 *406:8 *4163:95 0.000475683 -106 *410:17 *4163:145 0.000171375 -107 *411:19 *4163:24 0.000139208 -108 *411:25 *24865:C 0.000121871 -109 *411:25 *4163:84 0.000237743 -110 *1251:29 *4163:76 2.45058e-05 -111 *1287:51 *4163:93 0.000137983 -112 *1323:24 *4163:145 0.000124732 -113 *1323:24 *4163:149 0.000294788 -114 *1456:82 *25491:B 0.000204706 -115 *1476:103 *25491:B 0.000562368 -116 *1732:29 *4163:17 0.00015497 -117 *1732:29 *4163:55 8.59851e-05 -118 *1733:8 *25420:B 2.81946e-05 -119 *1735:8 *25420:B 9.71197e-05 -120 *1764:11 *25420:B 7.85891e-05 -121 *1765:8 *25420:B 3.48705e-05 -122 *1769:16 *25472:A2 0.000257619 -123 *1769:16 *4163:95 0.000505757 -124 *1780:13 *4163:24 3.05522e-05 -125 *1877:42 *25491:B 0.000276801 -126 *1887:31 *25491:B 7.93543e-05 -127 *3165:297 *25491:B 3.36355e-06 -128 *3184:207 *25491:B 2.95874e-05 -129 *3428:14 *25491:B 0.000216469 -130 *3558:112 *4163:84 2.75938e-05 -131 *3561:131 *4163:17 2.28499e-05 -132 *3561:131 *4163:145 0.000137983 -133 *3570:50 *4163:93 0.000214104 -134 *3570:50 *4163:95 0.000462095 -135 *3570:57 *4163:93 0.000247544 -136 *3571:22 *25423:A1 4.23086e-05 -137 *3571:22 *4163:28 6.07328e-05 -138 *3605:40 *4163:93 7.33786e-06 -139 *3605:44 *4163:93 0.00030575 -140 *3737:19 *25472:A2 3.83493e-06 -141 *3830:26 *25491:B 1.90936e-05 -142 *3831:83 *25472:A2 0.000100831 -143 *4113:36 *25472:A2 9.91086e-05 -144 *4127:41 *25491:B 4.79964e-05 -*RES -1 *28095:Q *4163:4 9.3 -2 *4163:4 *4163:17 23.8542 -3 *4163:17 *4163:24 12.9286 -4 *4163:24 *4163:28 17.3571 -5 *4163:28 *25423:A1 18.4786 -6 *4163:28 *25427:A 13.8 -7 *4163:24 *4163:42 1.76786 -8 *4163:42 *25426:C 9.3 -9 *4163:42 *4163:55 17.7857 -10 *4163:55 *25468:C 13.8 -11 *4163:55 *4163:76 29.8173 -12 *4163:76 *25470:C_N 9.3 -13 *4163:76 *4163:84 9.01786 -14 *4163:84 *4163:93 38.4643 -15 *4163:93 *4163:95 14.0893 -16 *4163:95 *25491:B 32.5679 -17 *4163:95 *25472:A2 24.8179 -18 *4163:84 *24865:C 19.3 -19 *4163:17 *25494:A1 9.3 -20 *4163:4 *4163:145 11.5714 -21 *4163:145 *4163:149 11.9107 -22 *4163:149 *25420:B 27.7107 -23 *4163:149 *25463:A 9.3 -24 *4163:145 *29610:A 18.4429 -*END - -*D_NET *4164 0.0300266 -*CONN -*I *25472:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *24865:B I *D sky130_fd_sc_hd__or3_4 -*I *25470:B I *D sky130_fd_sc_hd__or4bb_1 -*I *25468:B I *D sky130_fd_sc_hd__or4b_1 -*I *25473:A2 I *D sky130_fd_sc_hd__o211a_1 -*I *25426:B I *D sky130_fd_sc_hd__and3_2 -*I *24808:A I *D sky130_fd_sc_hd__inv_2 -*I *25423:B1 I *D sky130_fd_sc_hd__a21oi_1 -*I *25421:B I *D sky130_fd_sc_hd__and2_1 -*I *25420:A I *D sky130_fd_sc_hd__and3_1 -*I *28096:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25472:A1 0.000178254 -2 *24865:B 0.000473803 -3 *25470:B 0 -4 *25468:B 0 -5 *25473:A2 0.000765263 -6 *25426:B 0 -7 *24808:A 4.11274e-05 -8 *25423:B1 8.51069e-05 -9 *25421:B 0.000194889 -10 *25420:A 0 -11 *28096:Q 6.82153e-05 -12 *4164:153 0.00361145 -13 *4164:124 0.00407329 -14 *4164:115 0.000475814 -15 *4164:87 0.000850825 -16 *4164:82 0.000847564 -17 *4164:55 0.000966475 -18 *4164:29 0.000846647 -19 *4164:24 0.00190618 -20 *4164:13 0.00069677 -21 *4164:5 0.000803291 -22 *24865:B *4168:20 8.98798e-06 -23 *24865:B *5836:176 0.000126463 -24 *24865:B *6147:31 0.000420592 -25 *25421:B *25462:A 1.37693e-05 -26 *25421:B *28051:A 0.000226828 -27 *25421:B *6169:148 0.000236227 -28 *25423:B1 *5541:8 3.36355e-06 -29 *25473:A2 *25473:A1 1.85392e-05 -30 *25473:A2 *25473:B1 5.33005e-05 -31 *25473:A2 *4192:112 0.000106992 -32 *25473:A2 *4192:117 0.000190058 -33 *25473:A2 *6158:28 0.00012401 -34 *4164:5 *25546:S 0.00017679 -35 *4164:13 *25546:S 0.000155787 -36 *4164:13 *4165:13 1.40034e-05 -37 *4164:13 *4195:196 0.000123495 -38 *4164:24 *25421:A 3.09686e-05 -39 *4164:24 *4165:13 2.10756e-05 -40 *4164:24 *5728:88 2.61635e-05 -41 *4164:24 *6169:144 1.95453e-05 -42 *4164:29 *25421:A 1.09026e-05 -43 *4164:29 *28050:A 0.000220455 -44 *4164:29 *28050:B 8.16036e-05 -45 *4164:29 *28051:A 0.000143375 -46 *4164:29 *4213:15 0.000378451 -47 *4164:29 *5727:92 0.00011915 -48 *4164:29 *5947:100 7.6644e-05 -49 *4164:55 *28032:A 4.59075e-05 -50 *4164:55 *28058:B 6.37237e-05 -51 *4164:55 *5728:101 0.000224583 -52 *4164:82 *4165:13 1.08359e-05 -53 *4164:82 *4165:50 0.000176407 -54 *4164:82 *4165:92 0 -55 *4164:82 *4194:65 0 -56 *4164:87 *4192:112 0.000148789 -57 *4164:115 *25477:A 9.41642e-05 -58 *4164:115 *29650:A 0 -59 *4164:115 *4165:92 7.50697e-05 -60 *4164:115 *5341:12 0.000121254 -61 *4164:115 *6169:144 0 -62 *4164:124 *4165:92 2.39779e-05 -63 *4164:124 *4165:103 7.12171e-05 -64 *4164:124 *4192:44 0.000216209 -65 *4164:153 *25655:A1 0.000699885 -66 *4164:153 *25872:A1 0.000476715 -67 *4164:153 *28326:RESET_B 2.24079e-05 -68 *4164:153 *4165:122 0.000486213 -69 *4164:153 *4168:20 5.80135e-05 -70 *4164:153 *4194:65 0 -71 *4164:153 *5836:162 4.32957e-05 -72 *4164:153 *5836:176 0.000111774 -73 *24865:C *24865:B 2.59355e-05 -74 *25420:B *25421:B 9.97164e-05 -75 *25420:C *25421:B 0.000128923 -76 *25423:A1 *25423:B1 0.000149419 -77 *25423:A1 *4164:55 0.000148903 -78 *25423:A2 *25423:B1 3.47641e-06 -79 *25424:B *25423:B1 1.92905e-05 -80 *25427:B *4164:55 9.12632e-05 -81 *25469:S *4164:87 0.000141729 -82 *25472:A2 *25472:A1 0.000216755 -83 *25473:C1 *25473:A2 5.52238e-05 -84 *25476:B1_N *24865:B 6.05161e-06 -85 *25480:B *25473:A2 0.000262498 -86 *25492:B *4164:29 4.00121e-05 -87 *25493:A1 *24808:A 3.69047e-06 -88 *25493:B1 *4164:24 4.78762e-05 -89 *25493:B1 *4164:29 0.000101545 -90 *28086:D *4164:153 9.25014e-06 -91 *28096:RESET_B *4164:5 2.59355e-05 -92 *28096:RESET_B *4164:13 2.29097e-05 -93 *28096:RESET_B *4164:82 5.37664e-05 -94 *28166:RESET_B *25473:A2 5.33005e-05 -95 *386:10 *25423:B1 2.59355e-05 -96 *389:8 *4164:24 5.55405e-05 -97 *389:8 *4164:29 0.000672304 -98 *407:10 *25473:A2 0.00010326 -99 *411:19 *4164:24 0.000177081 -100 *411:19 *4164:55 3.30337e-05 -101 *411:25 *4164:82 1.94879e-05 -102 *411:25 *4164:115 0.000464493 -103 *411:25 *4164:124 0.000400034 -104 *418:8 *4164:153 1.70307e-05 -105 *448:11 *4164:29 0.000126487 -106 *1251:29 *4164:153 0 -107 *1732:29 *4164:82 0.000198284 -108 *1735:8 *4164:29 5.89615e-05 -109 *1736:7 *25421:B 6.7e-06 -110 *1738:5 *4164:13 5.49544e-05 -111 *1749:21 *4164:153 2.35005e-06 -112 *1762:16 *4164:153 0.000848903 -113 *1774:15 *4164:115 9.58181e-05 -114 *1778:25 *4164:5 5.91336e-05 -115 *1778:25 *4164:13 8.2238e-05 -116 *1830:23 *4164:153 0.000117543 -117 *1872:31 *25472:A1 1.24368e-05 -118 *1872:31 *4164:153 3.29233e-05 -119 *3558:76 *24865:B 1.94945e-05 -120 *3561:104 *4164:82 0.000215448 -121 *3571:22 *25423:B1 0.00017096 -122 *3571:22 *4164:55 0.000143804 -123 *3737:7 *4164:153 0.000139019 -124 *3737:19 *25472:A1 9.72432e-05 -125 *3737:19 *4164:153 0.000382905 -126 *4160:57 *25473:A2 2.88082e-05 -127 *4163:24 *4164:24 0.000151737 -128 *4163:55 *4164:13 0.000121842 -129 *4163:76 *4164:82 0 -130 *4163:76 *4164:115 0 -*RES -1 *28096:Q *4164:5 11.4786 -2 *4164:5 *4164:13 15 -3 *4164:13 *4164:24 21.3393 -4 *4164:24 *4164:29 24.625 -5 *4164:29 *25420:A 9.3 -6 *4164:29 *25421:B 23.7777 -7 *4164:24 *4164:55 21.0714 -8 *4164:55 *25423:B1 16.5857 -9 *4164:55 *24808:A 14.3357 -10 *4164:13 *25426:B 9.3 -11 *4164:5 *4164:82 13.5893 -12 *4164:82 *4164:87 7.10714 -13 *4164:87 *25473:A2 30.2062 -14 *4164:87 *25468:B 9.3 -15 *4164:82 *4164:115 16.2679 -16 *4164:115 *25470:B 13.8 -17 *4164:115 *4164:124 8.8475 -18 *4164:124 *24865:B 19.6837 -19 *4164:124 *4164:153 49.3747 -20 *4164:153 *25472:A1 13.0321 -*END - -*D_NET *4165 0.0289076 -*CONN -*I *25472:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *25491:A I *D sky130_fd_sc_hd__and3_1 -*I *24865:A I *D sky130_fd_sc_hd__or3_4 -*I *25470:A I *D sky130_fd_sc_hd__or4bb_1 -*I *25468:A I *D sky130_fd_sc_hd__or4b_1 -*I *29559:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25473:A1 I *D sky130_fd_sc_hd__o211a_1 -*I *25426:A I *D sky130_fd_sc_hd__and3_2 -*I *25421:A I *D sky130_fd_sc_hd__and2_1 -*I *28097:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *25472:B1 0.000577086 -2 *25491:A 0.000843207 -3 *24865:A 0.000191279 -4 *25470:A 3.19283e-05 -5 *25468:A 2.00462e-05 -6 *29559:A 9.42797e-05 -7 *25473:A1 0.000465366 -8 *25426:A 0 -9 *25421:A 0.000895046 -10 *28097:Q 8.21614e-06 -11 *4165:122 0.0040807 -12 *4165:103 0.00303365 -13 *4165:92 0.000635893 -14 *4165:53 0.000924456 -15 *4165:51 0.000540265 -16 *4165:50 0.000809332 -17 *4165:13 0.00130291 -18 *4165:5 0.000648003 -19 *25421:A *25425:A 0.000107712 -20 *25421:A *25462:A 0.000271726 -21 *25421:A *25569:A0 0.000626747 -22 *25421:A *28050:B 0.000148911 -23 *25421:A *28051:A 0.000110795 -24 *25421:A *5727:92 0.000243627 -25 *25421:A *6169:144 0 -26 *25468:A *4192:112 1.02132e-05 -27 *25470:A *25470:D_N 5.33005e-05 -28 *25470:A *4192:58 5.33005e-05 -29 *25472:B1 *28022:A 9.11102e-06 -30 *25472:B1 *4186:20 6.02338e-05 -31 *25472:B1 *5699:106 0.00075475 -32 *25473:A1 *4192:117 0.000132879 -33 *25473:A1 *5467:8 0.000175526 -34 *25491:A *5007:16 0 -35 *25491:A *5007:31 0.000309557 -36 *25491:A *5706:63 1.90936e-05 -37 *25491:A *5706:65 0.000268709 -38 *25491:A *5706:78 4.00349e-05 -39 *29559:A *25493:A2 0.000173804 -40 *29559:A *6114:39 0.000136958 -41 *4165:5 *5007:10 5.52302e-05 -42 *4165:13 *5007:10 0.000137561 -43 *4165:50 *4194:65 2.68527e-05 -44 *4165:51 *4192:112 0.000293044 -45 *4165:53 *4192:112 0.000106992 -46 *4165:53 *4192:117 0.000227057 -47 *4165:92 *25480:A 4.16233e-05 -48 *4165:92 *4192:44 3.06466e-05 -49 *4165:92 *4192:58 0 -50 *4165:92 *4192:112 9.66977e-05 -51 *4165:92 *4194:65 2.04558e-05 -52 *4165:103 *4192:44 0.000183977 -53 *4165:122 *29582:A 3.19423e-05 -54 *4165:122 *4186:20 0.00121989 -55 *4165:122 *5706:63 7.59402e-06 -56 *24865:C *24865:A 0.000217129 -57 *25304:B2 *25491:A 0.000269501 -58 *25433:D *25473:A1 1.33343e-05 -59 *25473:A2 *25473:A1 1.85392e-05 -60 *25477:B *4165:92 0.000109165 -61 *25477:B *4165:103 0.000163347 -62 *25478:A *25473:A1 8.32171e-05 -63 *25480:B *25473:A1 6.24874e-05 -64 *25481:B *29559:A 0.000135028 -65 *25481:B *4165:50 4.21278e-05 -66 *25481:B *4165:92 0.000170661 -67 *25541:A *4165:122 9.58126e-05 -68 *27884:A1 *25491:A 3.80613e-05 -69 *27885:C1 *25491:A 5.33005e-05 -70 *28085:RESET_B *4165:122 0 -71 *28086:CLK *4165:122 0.000244567 -72 *28086:D *4165:122 1.01581e-05 -73 *28086:RESET_B *4165:122 0.00076159 -74 *28097:CLK *4165:13 5.33005e-05 -75 *373:10 *4165:122 3.98517e-05 -76 *385:18 *29559:A 0.000173804 -77 *389:8 *25421:A 4.30185e-05 -78 *394:14 *4165:122 3.17148e-05 -79 *411:25 *4165:92 6.9293e-05 -80 *411:25 *4165:103 2.30116e-06 -81 *499:10 *4165:122 1.98839e-05 -82 *1191:11 *24865:A 3.29284e-05 -83 *1732:29 *4165:13 0.000444041 -84 *1736:7 *25421:A 0.000146689 -85 *1738:5 *4165:13 8.79095e-05 -86 *1748:20 *25472:B1 0 -87 *1748:20 *4165:122 0 -88 *1770:6 *25473:A1 0.000103267 -89 *1770:42 *25473:A1 1.02504e-05 -90 *1808:11 *4165:122 5.52302e-05 -91 *1830:23 *4165:122 0.000117136 -92 *2789:27 *25472:B1 4.0393e-05 -93 *3164:125 *25472:B1 0.000270473 -94 *3414:20 *25491:A 0.000493289 -95 *3414:33 *25491:A 4.936e-05 -96 *3558:112 *25470:A 9.41642e-05 -97 *3561:95 *4165:5 4.38128e-05 -98 *3561:95 *4165:13 9.60939e-05 -99 *3561:104 *4165:13 0.000424395 -100 *3895:29 *25491:A 7.83659e-05 -101 *4103:18 *25472:B1 8.79284e-05 -102 *4127:41 *25491:A 0.000186257 -103 *4140:66 *25491:A 0.000378314 -104 *4142:9 *25472:B1 0 -105 *4160:57 *25473:A1 2.90959e-05 -106 *4163:24 *25421:A 9.83673e-05 -107 *4163:28 *25421:A 5.33005e-05 -108 *4163:42 *25421:A 9.58181e-05 -109 *4163:42 *4165:13 8.2607e-05 -110 *4163:55 *4165:13 0.000178043 -111 *4164:13 *4165:13 1.40034e-05 -112 *4164:24 *25421:A 3.09686e-05 -113 *4164:24 *4165:13 2.10756e-05 -114 *4164:29 *25421:A 1.09026e-05 -115 *4164:82 *4165:13 1.08359e-05 -116 *4164:82 *4165:50 0.000176407 -117 *4164:82 *4165:92 0 -118 *4164:115 *4165:92 7.50697e-05 -119 *4164:124 *4165:92 2.39779e-05 -120 *4164:124 *4165:103 7.12171e-05 -121 *4164:153 *4165:122 0.000486213 -*RES -1 *28097:Q *4165:5 9.83571 -2 *4165:5 *4165:13 20.4821 -3 *4165:13 *25421:A 49.2107 -4 *4165:13 *25426:A 9.3 -5 *4165:5 *4165:50 13.7321 -6 *4165:50 *4165:51 3 -7 *4165:51 *4165:53 6.28571 -8 *4165:53 *25473:A1 27.3357 -9 *4165:53 *29559:A 21.9071 -10 *4165:51 *25468:A 9.72857 -11 *4165:50 *4165:92 13.4643 -12 *4165:92 *25470:A 14.7464 -13 *4165:92 *4165:103 9.17857 -14 *4165:103 *24865:A 13.1393 -15 *4165:103 *4165:122 35.2293 -16 *4165:122 *25491:A 37.3439 -17 *4165:122 *25472:B1 19.9579 -*END - -*D_NET *4166 0.0143717 -*CONN -*I *29566:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25477:A I *D sky130_fd_sc_hd__nor2_1 -*I *25428:C_N I *D sky130_fd_sc_hd__or3b_1 -*I *28077:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29566:A 0 -2 *25477:A 0.00074221 -3 *25428:C_N 0 -4 *28077:Q 0.000965098 -5 *4166:17 0.00166173 -6 *4166:10 0.00167498 -7 *4166:7 0.00172055 -8 *25477:A *25428:B 0.000212203 -9 *25477:A *28046:A 3.63587e-05 -10 *25477:A *29556:A 0.000139913 -11 *25477:A *5326:11 9.60939e-05 -12 *25477:A *5545:75 1.58163e-05 -13 *4166:7 *25817:A0 0.000467426 -14 *4166:7 *5728:64 2.84109e-05 -15 *4166:10 *29560:A 0.00156293 -16 *4166:10 *4168:20 4.08609e-05 -17 *4166:17 *25482:A_N 0.000431857 -18 *4166:17 *5545:75 4.00679e-05 -19 *25483:C1 *4166:17 0.000114577 -20 *25814:S *4166:10 4.53427e-05 -21 *28077:CLK *4166:7 1.16832e-05 -22 *399:17 *25477:A 1.39726e-05 -23 *429:31 *4166:7 5.21937e-05 -24 *430:9 *4166:10 0.000173915 -25 *1739:22 *4166:10 0.000999889 -26 *1740:13 *25477:A 2.89114e-05 -27 *1769:17 *25477:A 0.000983518 -28 *1774:15 *25477:A 0.00112289 -29 *1874:82 *4166:10 2.88624e-05 -30 *1874:84 *4166:10 0.000124896 -31 *4159:100 *4166:17 0.000631896 -32 *4161:41 *4166:7 0.000108456 -33 *4161:49 *4166:10 0 -34 *4164:115 *25477:A 9.41642e-05 -*RES -1 *28077:Q *4166:7 25.425 -2 *4166:7 *4166:10 29.5179 -3 *4166:10 *4166:17 27.6429 -4 *4166:17 *25428:C_N 9.3 -5 *4166:17 *25477:A 30.5321 -6 *4166:10 *29566:A 9.3 -*END - -*D_NET *4167 0.00836309 -*CONN -*I *25475:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *29546:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29650:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25428:B I *D sky130_fd_sc_hd__or3b_1 -*I *28078:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25475:A2 0.000239399 -2 *29546:A 0 -3 *29650:A 0.000136907 -4 *25428:B 0.000809272 -5 *28078:Q 0 -6 *4167:24 0.000430511 -7 *4167:14 0.00227938 -8 *4167:4 0.00141591 -9 *25428:B *29556:A 7.02611e-05 -10 *25428:B *5326:11 4.58194e-05 -11 *25475:A2 *25466:B1 0.000122591 -12 *25475:A2 *25475:A1 5.53249e-05 -13 *29650:A *5341:12 0 -14 *29650:A *6169:144 0.000185307 -15 *4167:14 *25466:B1 9.66977e-05 -16 *4167:14 *25483:A1 8.41751e-05 -17 *4167:14 *5348:17 0.000249584 -18 *4167:14 *5412:27 2.46988e-05 -19 *4167:14 *5558:17 0.000269668 -20 *4167:24 *6169:144 6.45035e-05 -21 *25475:B1 *25475:A2 9.5425e-06 -22 *25477:A *25428:B 0.000212203 -23 *25483:B1 *4167:14 5.25862e-06 -24 *28078:RESET_B *4167:14 0.000132612 -25 *389:8 *4167:14 0.000139543 -26 *429:31 *4167:14 0.000590482 -27 *1740:13 *25428:B 5.33005e-05 -28 *1749:21 *4167:14 1.94879e-05 -29 *1769:17 *25428:B 5.49544e-05 -30 *1769:17 *4167:24 0.000337901 -31 *1772:29 *25475:A2 0.000100479 -32 *3558:76 *4167:14 0 -33 *3558:88 *4167:14 0.000100971 -34 *4163:76 *29650:A 5.7903e-06 -35 *4163:76 *4167:24 2.05484e-05 -36 *4164:115 *29650:A 0 -*RES -1 *28078:Q *4167:4 9.3 -2 *4167:4 *4167:14 32.6607 -3 *4167:14 *25428:B 18.8714 -4 *4167:14 *4167:24 8.94643 -5 *4167:24 *29650:A 16.3536 -6 *4167:24 *29546:A 13.8 -7 *4167:4 *25475:A2 13.3536 -*END - -*D_NET *4168 0.0112742 -*CONN -*I *25475:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *25479:A1 I *D sky130_fd_sc_hd__o22a_1 -*I *25428:A I *D sky130_fd_sc_hd__or3b_1 -*I *28079:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25475:A1 9.81568e-05 -2 *25479:A1 2.25053e-05 -3 *25428:A 0.000194081 -4 *28079:Q 0.000554801 -5 *4168:20 0.00197728 -6 *4168:12 0.00241365 -7 *25428:A *29550:A 0.000175892 -8 *25428:A *5541:8 0.000199338 -9 *25479:A1 *5316:23 5.33005e-05 -10 *4168:20 *6147:31 0.000589427 -11 *24865:B *4168:20 8.98798e-06 -12 *25475:A2 *25475:A1 5.53249e-05 -13 *25475:B1 *25475:A1 2.55982e-05 -14 *25479:A2 *25479:A1 1.58163e-05 -15 *25479:B1 *25428:A 0.000200923 -16 *25482:B *25428:A 0.000166345 -17 *25482:B *4168:20 0.000171368 -18 *359:14 *4168:12 0.000785699 -19 *359:14 *4168:20 0.000432036 -20 *399:18 *4168:12 0.00078723 -21 *399:18 *4168:20 3.55624e-05 -22 *430:9 *4168:20 0 -23 *1738:59 *4168:20 0.000517813 -24 *1740:13 *25428:A 8.55871e-05 -25 *1740:22 *4168:12 1.32091e-05 -26 *1749:21 *4168:20 0.00101331 -27 *1772:29 *25475:A1 6.8377e-06 -28 *1772:29 *4168:20 5.73665e-05 -29 *4161:49 *25428:A 0.000166345 -30 *4161:49 *4168:20 0.000351546 -31 *4164:153 *4168:20 5.80135e-05 -32 *4166:10 *4168:20 4.08609e-05 -*RES -1 *28079:Q *4168:12 27.2821 -2 *4168:12 *4168:20 22.8217 -3 *4168:20 *25428:A 29.3714 -4 *4168:20 *25479:A1 14.3357 -5 *4168:12 *25475:A1 15.5857 -*END - -*D_NET *4169 0.00676654 -*CONN -*I *25348:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29481:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28407:Q O *D sky130_fd_sc_hd__dfrtn_1 -*CAP -1 *25348:A1 8.17943e-05 -2 *29481:A 0.00074946 -3 *28407:Q 0 -4 *4169:5 0.000831255 -5 *25348:A1 *5236:20 0.000182081 -6 *25348:A1 *5350:8 0.000243147 -7 *29481:A *28048:A 6.99087e-05 -8 *29481:A *5236:20 0.000442757 -9 *29481:A *5350:8 0.000644869 -10 *28078:CLK *29481:A 0.000604117 -11 *28407:RESET_B *29481:A 0.000981325 -12 *30491:A *29481:A 4.2609e-05 -13 *361:20 *29481:A 0.00110766 -14 *411:25 *29481:A 9.20464e-06 -15 *1202:43 *29481:A 0.000602194 -16 *1730:114 *29481:A 0.000133209 -17 *3561:28 *25348:A1 2.65576e-05 -18 *3561:28 *29481:A 1.4396e-05 -*RES -1 *28407:Q *4169:5 13.8 -2 *4169:5 *29481:A 43.4786 -3 *4169:5 *25348:A1 16.9607 -*END - -*D_NET *4170 0.00551046 -*CONN -*I *29466:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28408:Q O *D sky130_fd_sc_hd__dfrtn_1 -*CAP -1 *29466:A 0 -2 *28408:Q 0.00131932 -3 *4170:20 0.00131932 -4 *4170:20 *5236:20 8.94491e-05 -5 *4170:20 *5237:29 0.000551418 -6 *4170:20 *5341:12 5.64694e-05 -7 *4170:20 *5350:8 0.000113061 -8 *4170:20 *5728:53 0.000245284 -9 *4170:20 *5728:64 1.01057e-05 -10 *4170:20 *6169:126 0 -11 *25821:A1 *4170:20 3.08793e-05 -12 *1191:11 *4170:20 0.000874365 -13 *1191:16 *4170:20 0.000720917 -14 *1191:42 *4170:20 4.18413e-05 -15 *1393:165 *4170:20 2.05803e-05 -16 *1545:51 *4170:20 5.43438e-05 -17 *1603:76 *4170:20 0 -18 *1744:46 *4170:20 4.29744e-06 -19 *3561:28 *4170:20 5.88158e-05 -*RES -1 *28408:Q *4170:20 47.0554 -2 *4170:20 *29466:A 9.3 -*END - -*D_NET *4171 0.00797899 -*CONN -*I *25226:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29472:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28409:Q O *D sky130_fd_sc_hd__dfrtn_1 -*CAP -1 *25226:A1 0 -2 *29472:A 0 -3 *28409:Q 0.000494523 -4 *4171:21 0.00134766 -5 *4171:11 0.00184218 -6 *4171:11 *28413:D 0.000148903 -7 *4171:21 *31004:A 0.000118949 -8 *4171:21 *31005:A 0.000134461 -9 *4171:21 *5577:20 7.48356e-05 -10 *4171:21 *5578:19 8.03821e-05 -11 *25226:A0 *4171:21 0.000120126 -12 *25226:S *4171:11 0.000139202 -13 *28264:D *4171:21 0.000388876 -14 *28409:CLK_N *4171:11 0.000222491 -15 *28413:CLK_N *4171:11 0.000150618 -16 *28413:RESET_B *4171:21 0.000319053 -17 *1191:76 *4171:11 3.69047e-06 -18 *1323:80 *4171:11 0.000119279 -19 *1323:80 *4171:21 6.8445e-06 -20 *1545:62 *4171:21 0.000370423 -21 *1874:80 *4171:21 0.000599195 -22 *1874:82 *4171:21 0.000365266 -23 *4159:91 *4171:21 0.000932032 -*RES -1 *28409:Q *4171:11 27.0679 -2 *4171:11 *4171:21 44.4643 -3 *4171:21 *29472:A 9.3 -4 *4171:11 *25226:A1 9.3 -*END - -*D_NET *4172 0.00198102 -*CONN -*I *29470:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28410:Q O *D sky130_fd_sc_hd__dfrtn_1 -*CAP -1 *29470:A 0.000297843 -2 *28410:Q 0.000297843 -3 *29470:A *5238:13 0.000148903 -4 *29470:A *5728:36 2.08975e-05 -5 *29470:A *5899:20 0.00052271 -6 *25805:S *29470:A 0 -7 *28092:CLK *29470:A 0.000497199 -8 *28411:RESET_B *29470:A 7.83659e-05 -9 *399:18 *29470:A 2.14474e-05 -10 *1191:76 *29470:A 9.58126e-05 -*RES -1 *28410:Q *29470:A 37.475 -*END - -*D_NET *4173 0.00366045 -*CONN -*I *25169:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25072:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *28411:Q O *D sky130_fd_sc_hd__dfrtn_1 -*CAP -1 *25169:A1 0.000495675 -2 *25072:A1 0.000468492 -3 *28411:Q 0 -4 *4173:4 0.000964167 -5 *25072:A1 *25168:A1 2.45832e-05 -6 *25072:A1 *25646:B 0.000137561 -7 *25072:A0 *25072:A1 5.33005e-05 -8 *25073:A0 *25072:A1 6.39334e-05 -9 *25454:B *25169:A1 0.000433209 -10 *25794:S *25169:A1 3.75868e-05 -11 *28411:D *25169:A1 8.43535e-06 -12 *28411:RESET_B *25169:A1 9.41642e-05 -13 *414:22 *25169:A1 1.94926e-05 -14 *1173:130 *25072:A1 0 -15 *1427:168 *25072:A1 0.000317889 -16 *1742:77 *25169:A1 4.17702e-05 -17 *1755:10 *25072:A1 0.000250859 -18 *1873:61 *25072:A1 0.00024933 -*RES -1 *28411:Q *4173:4 9.3 -2 *4173:4 *25072:A1 31.225 -3 *4173:4 *25169:A1 25.2107 -*END - -*D_NET *4174 0.00545713 -*CONN -*I *25035:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29476:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28412:Q O *D sky130_fd_sc_hd__dfrtn_1 -*CAP -1 *25035:A1 0 -2 *29476:A 0.00054673 -3 *28412:Q 0.000256493 -4 *4174:17 0.000803223 -5 *29476:A *28064:A 0.000135028 -6 *29476:A *4175:10 0.000688947 -7 *29476:A *5350:8 7.79781e-06 -8 *29476:A *5899:20 0.000571559 -9 *29476:A *6169:126 1.76616e-05 -10 *4174:17 *25790:A0 2.12087e-05 -11 *4174:17 *5248:11 0.000262505 -12 *25000:S *29476:A 6.86792e-05 -13 *25805:S *29476:A 0.000435378 -14 *28411:RESET_B *4174:17 0.000121573 -15 *593:19 *4174:17 0.000256328 -16 *1191:76 *4174:17 7.10319e-05 -17 *1324:113 *29476:A 5.33005e-05 -18 *1324:113 *4174:17 2.18985e-05 -19 *1755:10 *29476:A 0.000123288 -20 *1871:94 *29476:A 1.21258e-05 -21 *1871:94 *4174:17 0.000175892 -22 *1873:61 *29476:A 9.45395e-05 -23 *1873:63 *29476:A 6.91157e-05 -24 *3561:20 *29476:A 0.000325965 -25 *3561:22 *29476:A 0.000195291 -26 *3564:26 *4174:17 0.000121573 -*RES -1 *28412:Q *4174:17 25.9964 -2 *4174:17 *29476:A 37.0143 -3 *4174:17 *25035:A1 9.3 -*END - -*D_NET *4175 0.00553533 -*CONN -*I *29468:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28413:Q O *D sky130_fd_sc_hd__dfrtn_1 -*CAP -1 *29468:A 0 -2 *28413:Q 0.000821891 -3 *4175:10 0.000821891 -4 *4175:10 *24867:A2 0.000123295 -5 *4175:10 *28064:B 2.09826e-05 -6 *4175:10 *5899:20 1.24368e-05 -7 *4175:10 *6169:126 0.00159245 -8 *28407:RESET_B *4175:10 0.000362794 -9 *29476:A *4175:10 0.000688947 -10 *743:11 *4175:10 1.12635e-05 -11 *1393:165 *4175:10 0.00011994 -12 *3561:22 *4175:10 8.24637e-05 -13 *3561:24 *4175:10 0.000122927 -14 *3561:28 *4175:10 0.000754052 -*RES -1 *28413:Q *4175:10 45.5857 -2 *4175:10 *29468:A 9.3 -*END - -*D_NET *4176 0.00293592 -*CONN -*I *29412:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29513:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28070:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29412:A 0.000231103 -2 *29513:A 4.26984e-05 -3 *28070:Q 0.000430172 -4 *4176:9 0.000703973 -5 *29412:A *29924:A 0.00020717 -6 *4176:9 *6036:64 0.000506478 -7 *4176:9 *6376:41 0.000506478 -8 *1771:58 *29412:A 0.00024426 -9 *1880:18 *29412:A 6.35892e-05 -10 *1935:193 *29412:A 0 -*RES -1 *28070:Q *4176:9 29.0321 -2 *4176:9 *29513:A 9.83571 -3 *4176:9 *29412:A 24.7821 -*END - -*D_NET *4177 0.00305298 -*CONN -*I *29947:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29520:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28071:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29947:A 0.000157928 -2 *29520:A 0.000929406 -3 *28071:Q 0.000122686 -4 *4177:5 0.00121002 -5 *29520:A *5290:10 5.49995e-05 -6 *28071:CLK *29520:A 0.000346605 -7 *28071:CLK *4177:5 9.40308e-05 -8 *28071:D *29520:A 8.21873e-05 -9 *28071:RESET_B *29520:A 3.51224e-06 -10 *28074:RESET_B *29947:A 5.1601e-05 -*RES -1 *28071:Q *4177:5 11.0679 -2 *4177:5 *29520:A 21.2464 -3 *4177:5 *29947:A 21.4607 -*END - -*D_NET *4178 0.00142717 -*CONN -*I *29858:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29514:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28072:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29858:A 0 -2 *29514:A 6.99485e-05 -3 *28072:Q 0.000317043 -4 *4178:9 0.000386992 -5 *29514:A *5878:12 0.000102971 -6 *4178:9 *25595:A0 6.18937e-05 -7 *4178:9 *5778:142 0.000141965 -8 *3567:33 *29514:A 0.000197834 -9 *3567:39 *4178:9 0.00014852 -*RES -1 *28072:Q *4178:9 24.9607 -2 *4178:9 *29514:A 20.8536 -3 *4178:9 *29858:A 9.3 -*END - -*D_NET *4179 0.00330912 -*CONN -*I *29435:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28073:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29435:A 0.000927813 -2 *28073:Q 0.000927813 -3 *29435:A *25603:S 0.000293341 -4 *29435:A *28075:D 1.69976e-05 -5 *29435:A *4180:11 5.92441e-05 -6 *29435:A *4181:10 7.62718e-05 -7 *29435:A *5205:5 0.000107539 -8 *29435:A *5935:30 0.000900098 -*RES -1 *28073:Q *29435:A 43.4214 -*END - -*D_NET *4180 0.00278396 -*CONN -*I *29523:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29340:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28074:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29523:A 0.000314447 -2 *29340:A 0 -3 *28074:Q 0.000561882 -4 *4180:11 0.000876329 -5 *29523:A *25601:A0 5.35386e-05 -6 *29523:A *5293:11 0.000404168 -7 *4180:11 *28075:D 0.00012249 -8 *4180:11 *4181:10 1.84776e-05 -9 *4180:11 *5205:5 7.4057e-06 -10 *4180:11 *5293:11 0.000161126 -11 *4180:11 *6359:18 0.000204849 -12 *29435:A *4180:11 5.92441e-05 -*RES -1 *28074:Q *4180:11 27.5857 -2 *4180:11 *29340:A 9.3 -3 *4180:11 *29523:A 16.8179 -*END - -*D_NET *4181 0.0060872 -*CONN -*I *29061:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25485:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25484:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28075:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29061:A 0.000652529 -2 *25485:A1 0.00015046 -3 *25484:A0 0 -4 *28075:Q 0.000311627 -5 *4181:22 0.00103775 -6 *4181:10 0.00054639 -7 *25485:A1 *25599:A0 0 -8 *25485:A1 *6374:61 6.19181e-05 -9 *29061:A *25599:A0 0 -10 *29061:A *25603:A0 0.000428908 -11 *29061:A *28828:RESET_B 0.000293167 -12 *29061:A *28829:RESET_B 7.83587e-05 -13 *29061:A *5544:11 8.15385e-05 -14 *29061:A *5780:125 0 -15 *29061:A *5853:8 0.000459727 -16 *29061:A *6374:61 0.000446585 -17 *4181:10 *25601:S 0.000113244 -18 *4181:10 *25603:S 0.000137177 -19 *4181:22 *25484:A1 2.56601e-05 -20 *4181:22 *25601:S 0.000102053 -21 *4181:22 *25603:S 0 -22 *4181:22 *25605:S 6.29366e-05 -23 *25484:S *25485:A1 0.00027032 -24 *29435:A *4181:10 7.62718e-05 -25 *1771:95 *25485:A1 5.71472e-05 -26 *1817:16 *25485:A1 0.000166407 -27 *3516:20 *29061:A 5.33005e-05 -28 *3516:28 *29061:A 0.000201734 -29 *3530:15 *29061:A 0.000253513 -30 *4180:11 *4181:10 1.84776e-05 -*RES -1 *28075:Q *4181:10 19.9607 -2 *4181:10 *25484:A0 13.8 -3 *4181:10 *4181:22 12.5179 -4 *4181:22 *25485:A1 18.4429 -5 *4181:22 *29061:A 35.8 -*END - -*D_NET *4182 0.00228054 -*CONN -*I *29489:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29902:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28076:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29489:A 0.000243615 -2 *29902:A 0.00010373 -3 *28076:Q 9.90328e-05 -4 *4182:8 0.000446378 -5 *29489:A *25484:A1 6.57914e-05 -6 *29489:A *25601:A0 6.42338e-05 -7 *29489:A *27178:A1 7.31032e-05 -8 *29489:A *5716:265 0 -9 *29489:A *5930:11 8.91726e-05 -10 *29902:A *25605:A0 8.86512e-05 -11 *29902:A *30035:A 0.000271352 -12 *29902:A *5836:312 0.000235184 -13 *4182:8 *25601:A0 7.60306e-05 -14 *4182:8 *28076:D 3.18676e-05 -15 *29490:A *4182:8 7.83587e-05 -16 *1822:11 *29489:A 9.60875e-05 -17 *3535:13 *29489:A 0.000179863 -18 *3535:13 *4182:8 3.80904e-05 -*RES -1 *28076:Q *4182:8 16.2821 -2 *4182:8 *29902:A 18.0321 -3 *4182:8 *29489:A 20.6571 -*END - -*D_NET *4183 0.029165 -*CONN -*I *25569:S I *D sky130_fd_sc_hd__mux2_1 -*I *29592:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25556:S I *D sky130_fd_sc_hd__mux2_4 -*I *25573:A I *D sky130_fd_sc_hd__or2_1 -*I *25570:A I *D sky130_fd_sc_hd__nor2_1 -*I *25577:A_N I *D sky130_fd_sc_hd__and2b_4 -*I *28083:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25569:S 0.000284604 -2 *29592:A 0.000119093 -3 *25556:S 0.000314482 -4 *25573:A 0 -5 *25570:A 0.00115995 -6 *25577:A_N 1.17665e-05 -7 *28083:Q 0.000351897 -8 *4183:78 0.00134796 -9 *4183:28 0.00190547 -10 *4183:24 0.00384518 -11 *4183:22 0.00368714 -12 *4183:13 0.00160162 -13 *4183:8 0.00261301 -14 *25556:S *25577:B 5.85575e-05 -15 *25569:S *25425:A 0.000196555 -16 *25569:S *4192:79 0.000171375 -17 *25569:S *6036:13 0.000765655 -18 *25570:A *25570:B 0.00105608 -19 *25570:A *25572:A 0.000227526 -20 *25570:A *30911:A 0.000443187 -21 *25570:A *30916:A 7.55308e-06 -22 *25570:A *5493:68 0.000453572 -23 *25577:A_N *25577:B 5.49995e-05 -24 *25577:A_N *25708:A0 5.49995e-05 -25 *29592:A *25465:B1 0.000149797 -26 *4183:8 *25495:B2 0.00016834 -27 *4183:8 *28386:D 0.000349819 -28 *4183:8 *28388:D 7.22594e-05 -29 *4183:8 *4188:20 0.000508786 -30 *4183:8 *5542:12 6.57616e-05 -31 *4183:13 *25577:B 0.000140243 -32 *4183:13 *25708:A0 0.000129504 -33 *4183:13 *28184:D 0.000174282 -34 *4183:13 *28198:D 0.000125724 -35 *4183:13 *28388:D 0.000496727 -36 *4183:13 *5457:21 0 -37 *4183:13 *5542:10 0 -38 *4183:13 *5542:12 0.000728614 -39 *4183:22 *25708:A0 0.000131248 -40 *4183:22 *29733:A 0.000117896 -41 *4183:24 *25354:B 0.000172831 -42 *4183:24 *25696:A0 5.07157e-05 -43 *4183:24 *29733:A 0.000391576 -44 *4183:24 *4336:11 0.000572935 -45 *4183:24 *4978:17 1.90936e-05 -46 *4183:24 *5002:18 0.000197127 -47 *4183:24 *5027:17 1.68854e-05 -48 *4183:24 *5093:19 0.000165683 -49 *4183:24 *5489:19 0 -50 *4183:24 *6313:45 3.72472e-05 -51 *4183:28 *30916:A 0 -52 *4183:28 *4383:16 0 -53 *4183:28 *5235:14 3.61416e-05 -54 *4183:28 *5498:34 0 -55 *4183:78 *25575:A1 0 -56 *4183:78 *28388:D 1.58163e-05 -57 *4183:78 *4184:8 0.000243315 -58 *4183:78 *5727:36 2.61337e-05 -59 pad_flash_io0_do *25570:A 2.59355e-05 -60 pad_flash_io0_ieb *25570:A 0.00019287 -61 pad_flash_io0_oeb *25570:A 0 -62 *25494:B1 *29592:A 5.33005e-05 -63 *25696:A1 *4183:24 7.83659e-05 -64 *28083:RESET_B *25569:S 0.000171375 -65 *28084:D *4183:78 5.52238e-05 -66 *28084:RESET_B *4183:78 0.000312838 -67 *28184:CLK *4183:22 0.000174805 -68 *28201:CLK *4183:13 1.59689e-05 -69 *28212:CLK *4183:24 0 -70 *29172:A *4183:24 7.69776e-06 -71 *29172:A *4183:28 6.07655e-06 -72 *29259:A *4183:24 0 -73 *29389:A *4183:24 0 -74 *29465:A *4183:28 3.27093e-05 -75 *29610:A *25569:S 0.000388051 -76 *30032:A *4183:24 1.21384e-05 -77 *30055:A *4183:28 0 -78 *30566:A *4183:24 0.000282094 -79 *410:17 *29592:A 0.000123861 -80 *410:17 *4183:78 6.86792e-05 -81 *701:29 *4183:24 0.000577885 -82 *701:29 *4183:28 6.52216e-05 -83 *755:42 *4183:28 0 -84 *1430:46 *4183:13 0 -85 *1430:46 *4183:22 0 -86 *1430:46 *4183:24 0 -87 *1430:50 *4183:8 0 -88 *1430:50 *4183:13 0 -89 *3571:15 *25556:S 0.000483225 -*RES -1 *28083:Q *4183:8 25.0679 -2 *4183:8 *4183:13 35.4286 -3 *4183:13 *25577:A_N 9.83571 -4 *4183:13 *4183:22 11.3036 -5 *4183:22 *4183:24 61.9018 -6 *4183:24 *4183:28 10.0268 -7 *4183:28 *25570:A 39.8536 -8 *4183:28 *25573:A 13.8 -9 *4183:22 *25556:S 21.4786 -10 *4183:8 *4183:78 27.6607 -11 *4183:78 *29592:A 12.3 -12 *4183:78 *25569:S 28.4964 -*END - -*D_NET *4184 0.027055 -*CONN -*I *25464:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25567:S I *D sky130_fd_sc_hd__mux2_2 -*I *25575:S I *D sky130_fd_sc_hd__mux2_2 -*I *25571:A_N I *D sky130_fd_sc_hd__nand2b_1 -*I *25576:A_N I *D sky130_fd_sc_hd__and2b_4 -*I *25568:A I *D sky130_fd_sc_hd__nor2_1 -*I *25354:A I *D sky130_fd_sc_hd__or3_4 -*I *28084:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25464:A0 0 -2 *25567:S 2.91227e-05 -3 *25575:S 3.59657e-05 -4 *25571:A_N 3.55686e-05 -5 *25576:A_N 0.00160101 -6 *25568:A 0.000105853 -7 *25354:A 0.000312279 -8 *28084:Q 0.000492107 -9 *4184:45 0.0022679 -10 *4184:38 0.000688716 -11 *4184:14 0.0023001 -12 *4184:12 0.0026682 -13 *4184:10 0.00081698 -14 *4184:8 0.000543434 -15 *25354:A *25354:B 1.19191e-05 -16 *25354:A *25354:C 5.46858e-05 -17 *25354:A *29128:A 1.88295e-05 -18 *25354:A *5069:27 0.00026221 -19 *25354:A *5093:19 5.11895e-05 -20 *25354:A *5351:19 0.000821882 -21 *25354:A *5477:15 2.09826e-05 -22 *25354:A *5489:19 0.000534754 -23 *25354:A *5498:34 1.53411e-05 -24 *25567:S *25567:A1 9.71197e-05 -25 *25567:S *5727:45 3.32631e-05 -26 *25568:A *25570:B 5.71472e-05 -27 *25568:A *29137:A 1.49882e-05 -28 *25568:A *5733:13 5.0946e-05 -29 *25568:A *5980:14 3.47641e-06 -30 *25575:S *25575:A1 1.58163e-05 -31 *25576:A_N *4240:33 0 -32 *25576:A_N *5000:14 4.10599e-05 -33 *25576:A_N *5015:14 0.000559806 -34 *25576:A_N *5541:8 6.92148e-06 -35 *25576:A_N *5979:21 0 -36 *4184:8 *28199:D 0.000113419 -37 *4184:8 *28199:RESET_B 1.78353e-05 -38 *4184:8 *4187:20 0.000439111 -39 *4184:8 *4187:22 1.02504e-05 -40 *4184:10 *28199:D 7.38748e-05 -41 *4184:10 *4187:22 0.000150625 -42 *4184:12 *28199:D 5.86416e-05 -43 *4184:12 *4187:22 0.00153937 -44 *4184:12 *5732:109 0 -45 *4184:12 *5732:138 0 -46 *4184:14 *4187:22 0.00437003 -47 *4184:14 *4276:17 0 -48 *4184:14 *4383:16 0 -49 *4184:14 *4978:17 2.22043e-05 -50 *4184:14 *5476:8 0.00280134 -51 *4184:14 *5477:15 2.13965e-05 -52 *4184:38 *5477:15 9.60875e-05 -53 *4184:38 *6147:31 8.6197e-05 -54 *4184:38 *6313:45 0.000183595 -55 *4184:45 *29137:A 4.47433e-05 -56 *4184:45 *4382:17 5.86957e-05 -57 *4184:45 *4910:17 0.00042976 -58 *4184:45 *5000:14 1.90936e-05 -59 *4184:45 *5028:8 5.41797e-06 -60 *4184:45 *5496:40 0.000243518 -61 *4184:45 *5980:14 8.14896e-05 -62 *28084:CLK *4184:8 0 -63 *28084:D *4184:8 0 -64 *28084:RESET_B *4184:8 6.79957e-05 -65 *29175:A *4184:45 4.15526e-05 -66 *29304:A *25568:A 0.000140933 -67 *30000:A *4184:14 0 -68 *30031:A *4184:14 2.06112e-05 -69 *30140:A *25571:A_N 2.89016e-05 -70 *30140:A *4184:38 4.04232e-05 -71 *160:14 *25568:A 1.15058e-05 -72 *160:14 *4184:45 0.00027142 -73 *244:19 *25576:A_N 0.000743505 -74 *750:19 *25354:A 8.6229e-06 -75 *1323:24 *4184:8 0 -76 *1323:24 *4184:12 0 -77 *1323:24 *4184:14 0 -78 *3892:18 *4184:14 0 -79 *4183:78 *4184:8 0.000243315 -*RES -1 *28084:Q *4184:8 25.1571 -2 *4184:8 *4184:10 1.94643 -3 *4184:10 *4184:12 19.5536 -4 *4184:12 *4184:14 68.125 -5 *4184:14 *25354:A 23.8258 -6 *4184:14 *4184:38 7.91071 -7 *4184:38 *4184:45 16.7768 -8 *4184:45 *25568:A 16.4696 -9 *4184:45 *25576:A_N 24.4594 -10 *4184:38 *25571:A_N 10.2643 -11 *4184:12 *25575:S 14.3357 -12 *4184:10 *25567:S 14.7464 -13 *4184:8 *25464:A0 13.8 -*END - -*D_NET *4185 0.0856848 -*CONN -*I *25551:S I *D sky130_fd_sc_hd__mux2_1 -*I *25461:B1 I *D sky130_fd_sc_hd__a31o_1 -*I *25555:S I *D sky130_fd_sc_hd__mux2_1 -*I *28085:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25551:S 0.000313273 -2 *25461:B1 0 -3 *25555:S 9.48898e-05 -4 *28085:Q 0.000111965 -5 *4185:67 0.00187313 -6 *4185:66 0.00155986 -7 *4185:64 0.00335598 -8 *4185:62 0.00339423 -9 *4185:60 0.00534039 -10 *4185:59 0.00772069 -11 *4185:42 0.00927928 -12 *4185:41 0.00730588 -13 *4185:30 0.00260244 -14 *4185:7 0.00236415 -15 *25551:S *25551:A0 0.000177185 -16 *4185:7 *4560:14 1.21258e-05 -17 *4185:30 *25461:A2 0.000468247 -18 *4185:30 *25675:A0 0.000108955 -19 *4185:30 *25774:A1 0.000714038 -20 *4185:30 *5614:100 6.8377e-06 -21 *4185:41 *5614:100 0.000444852 -22 *4185:42 *28158:D 3.53533e-05 -23 *4185:42 *5694:43 0.00481759 -24 *4185:59 *25273:A2 3.50637e-05 -25 *4185:59 *25336:A1 0.000112783 -26 *4185:59 *27932:A2 0.000963969 -27 *4185:59 *5583:88 0.000138024 -28 *4185:59 *5605:56 0 -29 *4185:59 *5634:122 0.000413534 -30 *4185:59 *5638:104 0.000174414 -31 *4185:59 *5936:70 0.000177 -32 *4185:60 *6484:DIODE 0.000298483 -33 *4185:60 *28472:D 0.000554811 -34 *4185:60 *5584:80 0.00011556 -35 *4185:60 *5694:71 0.000999077 -36 *4185:64 *30866:A 0.000808484 -37 *4185:64 *5584:80 0.000460917 -38 *4185:64 *5660:52 4.82947e-05 -39 *4185:67 *4186:47 0.000925987 -40 *4185:67 *4186:58 0.000343472 -41 *4185:67 *4214:38 0.00161471 -42 *4185:67 *5648:8 0.000970873 -43 *4185:67 *5981:8 0.00468506 -44 *6600:DIODE *4185:60 1.21088e-05 -45 *25151:A1 *4185:42 0.00034127 -46 *25278:B2 *4185:60 9.07766e-06 -47 *25278:C1 *4185:60 9.50025e-05 -48 *25283:C *4185:59 0.00021991 -49 *25461:A1 *4185:30 0.00192704 -50 *27534:A2 *4185:41 5.33005e-05 -51 *27534:B1 *4185:41 0.000264333 -52 *27556:A1 *4185:42 0.00140476 -53 *28085:RESET_B *25555:S 0.000164714 -54 *28085:RESET_B *4185:7 0.000125355 -55 *28085:RESET_B *4185:30 4.73656e-05 -56 *30219:A *4185:30 0.000243407 -57 *402:13 *4185:30 0.000177422 -58 *437:23 *4185:7 0.000301438 -59 *437:23 *4185:30 0.000584528 -60 *1228:47 *4185:59 0.000300109 -61 *1244:174 *4185:59 0.000113754 -62 *1244:198 *4185:59 0.000337435 -63 *1246:36 *4185:59 5.21154e-05 -64 *1246:62 *4185:59 0.000106778 -65 *1292:234 *4185:60 9.29262e-05 -66 *1292:234 *4185:64 0.00241308 -67 *1371:28 *4185:67 0.00353455 -68 *1419:30 *4185:59 0.000141772 -69 *1654:17 *4185:59 0.000135743 -70 *1830:55 *25555:S 0.000164714 -71 *1830:55 *4185:30 0.000180372 -72 *2850:88 *4185:41 0.000120724 -73 *3170:191 *4185:64 0.000136682 -74 *3176:49 *4185:59 0.000123801 -75 *3176:62 *4185:59 0.000111172 -76 *3184:71 *4185:59 0.000268857 -77 *3184:178 *4185:30 3.55836e-05 -78 *3184:178 *4185:41 0.00117431 -79 *3387:14 *4185:60 8.55871e-05 -80 *3739:38 *4185:30 0.000172348 -81 *3779:97 *4185:42 0.000515517 -82 *3797:65 *4185:59 0.000139453 -83 *3822:49 *4185:60 0.000715986 -84 *3822:56 *4185:60 0.000441423 -85 *3834:24 *4185:59 4.6118e-05 -86 *3841:68 *4185:59 0.000140107 -87 *3857:21 *4185:30 0.000685308 -88 *3867:80 *4185:59 4.84757e-06 -89 *3872:72 *4185:59 0.000411948 -90 *3873:79 *4185:60 9.41642e-05 -91 *3897:48 *4185:42 0.000593389 -92 *4152:51 *4185:41 0.000122439 -93 *4154:27 *4185:30 5.8777e-05 -*RES -1 *28085:Q *4185:7 16.8 -2 *4185:7 *25555:S 16.05 -3 *4185:7 *4185:30 29.8603 -4 *4185:30 *25461:B1 9.3 -5 *4185:30 *4185:41 22.6786 -6 *4185:41 *4185:42 85.1429 -7 *4185:42 *4185:59 35.9132 -8 *4185:59 *4185:60 61.9375 -9 *4185:60 *4185:62 0.732143 -10 *4185:62 *4185:64 56.5982 -11 *4185:64 *4185:66 4.5 -12 *4185:66 *4185:67 97.2679 -13 *4185:67 *25551:S 18.8536 -*END - -*D_NET *4186 0.0877901 -*CONN -*I *25550:S I *D sky130_fd_sc_hd__mux2_1 -*I *25552:S I *D sky130_fd_sc_hd__mux2_1 -*I *25459:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *28086:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25550:S 0.000272895 -2 *25552:S 0.000121486 -3 *25459:A1 0.000422169 -4 *28086:Q 4.39483e-06 -5 *4186:58 0.000954099 -6 *4186:47 0.00184418 -7 *4186:46 0.00272417 -8 *4186:34 0.00445849 -9 *4186:26 0.00410118 -10 *4186:20 0.0160782 -11 *4186:6 0.0151794 -12 *25459:A1 *28179:D 0.000205572 -13 *25459:A1 *5742:38 0.000203515 -14 *25550:S *5836:11 7.8401e-05 -15 *25552:S *25552:A0 0.000431851 -16 *4186:6 *28179:D 1.24469e-05 -17 *4186:6 *5742:38 2.11419e-05 -18 *4186:20 *25394:B2 0.000389667 -19 *4186:20 *25642:A0 5.29336e-05 -20 *4186:20 *27715:B1 0.000280043 -21 *4186:20 *27716:B1 0 -22 *4186:20 *5458:39 8.69554e-05 -23 *4186:20 *5600:37 9.09539e-05 -24 *4186:20 *5644:158 9.74809e-06 -25 *4186:20 *5653:61 0.000136948 -26 *4186:20 *5699:106 0.00173234 -27 *4186:20 *5706:159 0.000147134 -28 *4186:20 *5707:100 2.28246e-05 -29 *4186:20 *5744:114 0.000484187 -30 *4186:20 *5754:102 1.09611e-05 -31 *4186:26 *27163:A1 0.000995533 -32 *4186:26 *28640:D 0.000306324 -33 *4186:26 *5640:18 0.000171099 -34 *4186:26 *5936:25 0.000544147 -35 *4186:34 *27455:B1 0.000264333 -36 *4186:34 *27809:A2 4.72141e-05 -37 *4186:34 *5639:90 0.000271388 -38 *4186:34 *5936:25 0.000853518 -39 *4186:47 *5970:8 0.00191058 -40 *4186:47 *5981:8 0.00369272 -41 *4186:58 *5424:8 0.000379735 -42 *4186:58 *5586:162 0.00255242 -43 *4186:58 *5648:8 0.00294731 -44 *25033:A *4186:46 3.46748e-05 -45 *25033:C *4186:46 0.000326124 -46 *25217:D *4186:20 0.0002057 -47 *25303:B *4186:20 0 -48 *25323:B1 *4186:20 0.000345208 -49 *25324:B1 *4186:20 0.000211572 -50 *25459:S *25459:A1 2.89016e-05 -51 *25472:B1 *4186:20 6.02338e-05 -52 *27454:A2 *4186:34 1.5357e-05 -53 *27455:A1 *4186:34 0.000213932 -54 *27455:A1 *4186:46 0.000281006 -55 *27456:C1 *4186:34 0.000888863 -56 *27634:B1 *4186:20 0.00308738 -57 *27809:A1 *4186:34 2.84026e-05 -58 *27809:B1 *4186:34 6.19382e-06 -59 *27809:B2 *4186:34 2.83882e-05 -60 *27809:C1 *4186:34 3.04311e-05 -61 *28327:CLK *4186:20 8.77408e-05 -62 *30394:A *4186:26 5.52302e-05 -63 *1178:48 *4186:20 3.53026e-05 -64 *1218:37 *4186:20 4.65519e-05 -65 *1228:47 *4186:20 0 -66 *1248:81 *4186:20 0.00205884 -67 *1257:46 *4186:20 4.1129e-05 -68 *1263:36 *4186:20 0 -69 *1357:10 *4186:46 2.89114e-05 -70 *1420:40 *4186:20 0.000176647 -71 *1430:59 *4186:20 0.00100222 -72 *1446:20 *4186:20 8.6321e-05 -73 *1470:64 *4186:20 4.10533e-05 -74 *1476:151 *4186:20 4.27997e-05 -75 *1536:27 *4186:20 4.39391e-05 -76 *1617:30 *4186:20 1.94318e-05 -77 *1644:36 *4186:20 0.000391598 -78 *1749:21 *4186:20 4.2985e-06 -79 *1864:49 *4186:20 8.7467e-05 -80 *2787:113 *4186:26 0.00112602 -81 *2787:127 *4186:26 0.000262087 -82 *2852:17 *4186:20 0 -83 *2852:28 *4186:20 0 -84 *2870:65 *4186:20 3.97058e-05 -85 *2875:196 *4186:20 0.00150205 -86 *2877:58 *4186:20 0.000356206 -87 *2877:69 *4186:20 5.48029e-05 -88 *2882:114 *4186:20 2.71688e-05 -89 *2895:256 *4186:34 0.000128321 -90 *3013:11 *4186:34 0.00108038 -91 *3153:140 *4186:20 0.000726011 -92 *3182:55 *4186:20 0 -93 *3197:138 *4186:20 4.06382e-05 -94 *3205:83 *4186:20 0.000213669 -95 *3209:85 *4186:20 0.000127359 -96 *3220:44 *4186:20 1.65345e-06 -97 *3293:34 *4186:20 0 -98 *3389:20 *4186:20 0.000188457 -99 *3441:14 *4186:20 0.000195968 -100 *3605:44 *25459:A1 0.00022266 -101 *3627:57 *4186:26 0.000550174 -102 *3776:90 *4186:20 0 -103 *3890:68 *4186:34 6.8377e-06 -104 *3965:82 *4186:26 0.00014759 -105 *3991:29 *4186:26 0.000148883 -106 *4098:13 *4186:46 0.000127194 -107 *4108:75 *25552:S 0.000347168 -108 *4108:78 *4186:47 0.00192111 -109 *4108:78 *4186:58 1.08359e-05 -110 *4126:39 *4186:20 7.07467e-05 -111 *4129:74 *4186:20 5.55459e-05 -112 *4163:93 *25459:A1 8.50166e-05 -113 *4165:122 *4186:20 0.00121989 -114 *4185:67 *4186:47 0.000925987 -115 *4185:67 *4186:58 0.000343472 -*RES -1 *28086:Q *4186:6 14.0768 -2 *4186:6 *25459:A1 21.9696 -3 *4186:6 *4186:20 42.6121 -4 *4186:20 *4186:26 40.4018 -5 *4186:26 *4186:34 45.9732 -6 *4186:34 *4186:46 30.5804 -7 *4186:46 *4186:47 65.6964 -8 *4186:47 *25552:S 18.0321 -9 *4186:47 *4186:58 48.3393 -10 *4186:58 *25550:S 13.5321 -*END - -*D_NET *4187 0.0245238 -*CONN -*I *25538:A2 I *D sky130_fd_sc_hd__o32ai_1 -*I *24810:A I *D sky130_fd_sc_hd__inv_2 -*I *25537:A1 I *D sky130_fd_sc_hd__a31o_1 -*I *25494:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *25464:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25354:B I *D sky130_fd_sc_hd__or3_4 -*I *28069:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25538:A2 0 -2 *24810:A 2.49199e-05 -3 *25537:A1 0.000128296 -4 *25494:A2 0.000358418 -5 *25464:A1 0 -6 *25354:B 0.00127233 -7 *28069:Q 0.000148029 -8 *4187:48 0.000776933 -9 *4187:43 0.000448581 -10 *4187:22 0.00380073 -11 *4187:20 0.00280147 -12 *4187:18 0.000951274 -13 *4187:10 0.000692796 -14 *25354:B *28368:D 2.21972e-05 -15 *25354:B *4316:25 1.04048e-05 -16 *25354:B *4900:26 0.000357333 -17 *25354:B *4977:22 0 -18 *25354:B *5027:17 6.09762e-05 -19 *25354:B *5498:34 0 -20 *25537:A1 *25537:A2 0.000136951 -21 *25537:A1 *4188:20 4.60232e-06 -22 *25537:A1 *4192:64 2.95642e-05 -23 *25537:A1 *4192:73 5.33005e-05 -24 *25537:A1 *4192:79 0.00010047 -25 *25537:A1 *4194:65 4.14545e-05 -26 *4187:10 *5357:16 0.000193833 -27 *4187:10 *5727:36 1.3142e-05 -28 *4187:18 *25546:S 0.000268403 -29 *4187:18 *25575:A1 1.31235e-05 -30 *4187:18 *28166:D 0.000306317 -31 *4187:18 *5727:36 3.15832e-05 -32 *4187:18 *5739:37 0 -33 *4187:18 *5836:211 0.000170211 -34 *4187:20 *25575:A1 3.47468e-05 -35 *4187:22 *25575:A1 0.000472874 -36 *4187:22 *25696:A0 0 -37 *4187:22 *25708:A0 0 -38 *4187:22 *29295:A 0.000166314 -39 *4187:22 *29935:A 0.000176096 -40 *4187:22 *30565:A 0 -41 *4187:22 *4336:11 0 -42 *4187:48 *25465:A1 2.75667e-05 -43 *4187:48 *4192:79 0.000404889 -44 *4187:48 *4194:65 9.56345e-05 -45 *25354:A *25354:B 1.19191e-05 -46 *25494:B1 *25494:A2 0.000228124 -47 *25495:A2 *4187:20 0.000488191 -48 *25536:A2 *4187:18 0 -49 *25538:A3 *4187:10 0.000148196 -50 *28068:CLK *4187:43 0.000166485 -51 *28068:CLK *4187:48 7.43578e-06 -52 *28068:D *4187:43 6.05161e-06 -53 *28069:RESET_B *4187:10 9.41642e-05 -54 *28080:RESET_B *4187:18 0 -55 *28080:RESET_B *4187:20 0 -56 *28083:RESET_B *4187:48 0.00012401 -57 *28084:CLK *4187:20 0 -58 *28169:RESET_B *4187:18 0 -59 *29282:A *4187:22 1.08359e-05 -60 *29389:A *25354:B 0 -61 *30032:A *25354:B 0.000147352 -62 *30526:A *4187:10 0.000111931 -63 *30526:A *4187:18 4.78056e-05 -64 *30566:A *4187:22 0 -65 *388:10 *4187:20 0 -66 *701:29 *25354:B 8.0883e-05 -67 *719:17 *25494:A2 0.000341886 -68 *750:19 *25354:B 5.74241e-05 -69 *1738:15 *25537:A1 8.85532e-05 -70 *1738:26 *4187:18 8.50152e-05 -71 *1765:8 *4187:20 8.00806e-05 -72 *1765:8 *4187:22 0.000290279 -73 *1780:13 *25494:A2 5.74499e-06 -74 *1780:13 *4187:18 0 -75 *1780:13 *4187:43 0.000318101 -76 *3561:131 *4187:48 6.26276e-05 -77 *3892:18 *4187:22 0 -78 *4163:17 *25494:A2 0.000141031 -79 *4163:17 *4187:48 5.58875e-06 -80 *4163:145 *24810:A 0 -81 *4163:145 *4187:48 9.60875e-05 -82 *4183:24 *25354:B 0.000172831 -83 *4184:8 *4187:20 0.000439111 -84 *4184:8 *4187:22 1.02504e-05 -85 *4184:10 *4187:22 0.000150625 -86 *4184:12 *4187:22 0.00153937 -87 *4184:14 *4187:22 0.00437003 -*RES -1 *28069:Q *4187:10 18.1393 -2 *4187:10 *4187:18 21.1607 -3 *4187:18 *4187:20 8.625 -4 *4187:20 *4187:22 76.3214 -5 *4187:22 *25354:B 42.8 -6 *4187:20 *25464:A1 13.8 -7 *4187:18 *4187:43 8.73214 -8 *4187:43 *4187:48 11.9643 -9 *4187:48 *25494:A2 20.5143 -10 *4187:48 *25537:A1 17.2107 -11 *4187:43 *24810:A 9.72857 -12 *4187:10 *25538:A2 13.8 -*END - -*D_NET *4188 0.00842797 -*CONN -*I *29534:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25536:A1 I *D sky130_fd_sc_hd__a41o_1 -*I *28068:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29534:A 0.000969901 -2 *25536:A1 8.22018e-05 -3 *28068:Q 0.00136223 -4 *4188:25 0.00110912 -5 *4188:20 0.00141925 -6 *4188:20 *25495:B2 2.49484e-05 -7 *4188:20 *25536:B1 0.000215102 -8 *4188:20 *28146:D 0.00018077 -9 *4188:20 *28386:D 4.55911e-05 -10 *4188:20 *4192:64 0 -11 *4188:20 *4192:79 0.000268519 -12 *4188:25 *25546:S 4.00679e-05 -13 *25481:B *29534:A 0.000169698 -14 *25492:A *4188:20 1.4598e-05 -15 *25537:A1 *4188:20 4.60232e-06 -16 *28080:RESET_B *25536:A1 0.000216297 -17 *28080:RESET_B *29534:A 0.000276884 -18 *28169:RESET_B *25536:A1 4.98776e-05 -19 *28169:RESET_B *29534:A 0.000144323 -20 *29369:A *29534:A 0.000556707 -21 *29586:A *25536:A1 0.000123295 -22 *410:17 *4188:20 0.000192262 -23 *1323:24 *4188:20 0.000317914 -24 *1430:50 *4188:20 0 -25 *1778:25 *4188:25 0.000135028 -26 *4183:8 *4188:20 0.000508786 -*RES -1 *28068:Q *4188:20 46.925 -2 *4188:20 *4188:25 10.3571 -3 *4188:25 *25536:A1 16.6571 -4 *4188:25 *29534:A 28.6929 -*END - -*D_NET *4189 0.0628818 -*CONN -*I *25500:A1 I *D sky130_fd_sc_hd__o21a_1 -*I *25501:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *25466:B1 I *D sky130_fd_sc_hd__a21oi_1 -*I *28082:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25500:A1 0.000725012 -2 *25501:A1 0 -3 *25466:B1 0.000911161 -4 *28082:Q 2.87022e-05 -5 *4189:72 0.00264292 -6 *4189:67 0.00550385 -7 *4189:60 0.00544672 -8 *4189:41 0.00691859 -9 *4189:40 0.00571041 -10 *4189:7 0.00159246 -11 *25466:B1 *25466:A1 0.000431832 -12 *25466:B1 *5253:21 8.49829e-05 -13 *25466:B1 *5341:12 9.89574e-05 -14 *25466:B1 *5727:20 4.21517e-05 -15 *25466:B1 *6169:144 3.29605e-05 -16 *25500:A1 *25501:A2 1.15281e-05 -17 *25500:A1 *25501:B1 0.000232047 -18 *25500:A1 *25804:A 6.87574e-05 -19 *25500:A1 *25804:B 0.00014285 -20 *25500:A1 *5729:83 0.00012401 -21 *25500:A1 *5779:26 0.000269428 -22 *25500:A1 *5878:14 1.31516e-05 -23 *4189:40 *4194:32 0 -24 *4189:40 *5727:20 0.000104976 -25 *4189:40 *5902:22 0.00017179 -26 *4189:41 *24894:A 0.000364111 -27 *4189:41 *24894:B 0.000625639 -28 *4189:41 *25540:A1 2.06178e-05 -29 *4189:41 *28042:B 0 -30 *4189:41 *28043:A 0.000207024 -31 *4189:41 *28308:D 0 -32 *4189:41 *4192:218 0.000179599 -33 *4189:41 *4192:239 0.000398386 -34 *4189:41 *4195:19 0.000124498 -35 *4189:41 *4195:44 3.29511e-05 -36 *4189:41 *5542:21 0.001879 -37 *4189:41 *5545:59 0 -38 *4189:41 *5855:8 0 -39 *4189:60 *5722:112 0.000112539 -40 *4189:67 *5007:48 1.17968e-05 -41 *4189:72 *25501:A2 1.24368e-05 -42 *4189:72 *25501:B1 1.3701e-05 -43 *25434:B *4189:41 7.5407e-05 -44 *25435:A0 *4189:41 0.000972395 -45 *25445:A0 *4189:41 0.000122786 -46 *25446:A0 *4189:41 0.000272665 -47 *25466:A2 *25466:B1 0.000351664 -48 *25467:A1 *25466:B1 0.000176005 -49 *25474:B *4189:41 6.19092e-05 -50 *25475:A2 *25466:B1 0.000122591 -51 *26047:C *4189:67 0 -52 *26076:D *4189:67 1.01737e-05 -53 *26118:A *4189:67 0.000236243 -54 *26172:A1 *4189:67 0 -55 *26251:D *4189:41 0.000175162 -56 *26257:A *4189:41 0 -57 *26258:A *4189:41 0 -58 *26258:D *4189:41 9.87092e-05 -59 *26339:A1 *4189:72 0.00047567 -60 *26339:A2 *4189:72 9.8637e-05 -61 *26339:C1 *4189:72 0.000181762 -62 *26346:B *4189:72 4.22519e-05 -63 *26347:A2 *4189:72 6.26177e-05 -64 *26348:A *4189:72 0.000219711 -65 *26361:A *4189:67 0 -66 *26400:A2 *4189:72 0.000345251 -67 *26551:B1 *4189:72 0.000175892 -68 *26601:B1 *4189:60 0.000123295 -69 *26602:A2 *4189:60 1.69115e-05 -70 *26662:A3 *4189:67 0 -71 *26719:B *4189:60 0.000480434 -72 *26719:D *4189:60 5.33882e-05 -73 *26742:C1 *4189:67 0 -74 *26801:B *4189:41 5.41794e-05 -75 *28080:CLK *25466:B1 5.33005e-05 -76 *28080:D *25466:B1 2.84026e-05 -77 *28082:RESET_B *25466:B1 0.00012963 -78 *28088:D *4189:41 1.90936e-05 -79 *361:20 *25466:B1 0.000175804 -80 *373:10 *25466:B1 2.07809e-05 -81 *373:10 *4189:40 3.54821e-05 -82 *411:25 *25466:B1 8.68127e-05 -83 *434:13 *4189:7 2.89016e-05 -84 *623:22 *4189:40 0.000223672 -85 *1173:11 *4189:40 6.37188e-06 -86 *1173:20 *25466:B1 0.000465332 -87 *1198:11 *4189:41 0.00163046 -88 *1472:11 *25466:B1 0.00115437 -89 *1545:48 *4189:41 0 -90 *1763:22 *4189:40 0.000267167 -91 *1766:24 *25466:B1 4.15411e-05 -92 *1771:29 *4189:41 0.00337902 -93 *1772:29 *25466:B1 8.1303e-05 -94 *1783:10 *25500:A1 0.000142856 -95 *1783:10 *4189:72 0.000100855 -96 *1935:72 *4189:60 4.58194e-05 -97 *1937:36 *4189:67 7.36162e-05 -98 *1943:18 *4189:67 2.74584e-05 -99 *1953:38 *4189:67 0.000773311 -100 *1997:45 *4189:72 0.000175892 -101 *2016:41 *4189:67 0.00012127 -102 *2026:10 *4189:67 0.000710988 -103 *2036:25 *4189:67 0.000187105 -104 *2044:7 *4189:72 9.41642e-05 -105 *2060:40 *4189:60 9.62904e-05 -106 *2060:42 *4189:41 2.99773e-05 -107 *2098:31 *4189:67 0 -108 *2107:11 *4189:67 0 -109 *2126:36 *4189:60 0.000393217 -110 *2126:40 *4189:60 0.000197969 -111 *2133:135 *4189:60 0.000360678 -112 *2136:89 *4189:41 0.000125674 -113 *2142:119 *4189:41 0 -114 *2149:110 *4189:41 0.000312736 -115 *2149:110 *4189:60 0.000277913 -116 *2150:10 *4189:41 0.00350932 -117 *2191:18 *4189:41 0.000358548 -118 *2216:11 *4189:72 0.000212153 -119 *2221:8 *4189:41 0.00241342 -120 *2221:8 *4189:60 0.000103852 -121 *2234:16 *4189:67 0.000177271 -122 *2250:51 *4189:60 1.47728e-05 -123 *2250:64 *4189:67 0.00065271 -124 *2290:8 *4189:67 0.000162211 -125 *2290:8 *4189:72 9.41642e-05 -126 *2344:8 *4189:60 0.000711342 -127 *2348:8 *4189:60 0.000200547 -128 *2358:17 *4189:60 3.48358e-06 -129 *2385:10 *4189:67 0.000684051 -130 *2490:23 *4189:60 0 -131 *2545:8 *4189:67 0.000162204 -132 *3567:33 *25500:A1 7.50697e-05 -133 *4154:27 *4189:40 7.117e-05 -134 *4157:15 *4189:41 0.0008063 -135 *4167:14 *25466:B1 9.66977e-05 -*RES -1 *28082:Q *4189:7 14.3357 -2 *4189:7 *25466:B1 44.005 -3 *4189:7 *4189:40 16.6319 -4 *4189:40 *4189:41 164.812 -5 *4189:41 *4189:60 41.3935 -6 *4189:60 *4189:67 45.7216 -7 *4189:67 *4189:72 36.9821 -8 *4189:72 *25501:A1 9.3 -9 *4189:72 *25500:A1 33.1214 -*END - -*D_NET *4190 0.0091047 -*CONN -*I *24998:A I *D sky130_fd_sc_hd__nand2_4 -*I *29625:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25466:A1 I *D sky130_fd_sc_hd__a21oi_1 -*I *28080:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *24998:A 0.000349997 -2 *29625:A 0.000611046 -3 *25466:A1 0.000860806 -4 *28080:Q 0 -5 *4190:13 0.00114719 -6 *4190:4 0.00104696 -7 *24998:A *5727:8 0.000185448 -8 *24998:A *5727:20 2.09826e-05 -9 *29625:A *25459:A0 0.000676187 -10 *29625:A *25498:A1 0.000194573 -11 *29625:A *29521:A 9.60875e-05 -12 *29625:A *5291:29 9.80173e-05 -13 *25466:B1 *25466:A1 0.000431832 -14 *25469:S *29625:A 5.58515e-05 -15 *25538:B2 *29625:A 0.000307813 -16 *28080:D *25466:A1 0.000151398 -17 *28080:D *4190:13 4.85033e-05 -18 *28080:RESET_B *25466:A1 0.000128809 -19 *28080:RESET_B *4190:13 5.33005e-05 -20 *28169:RESET_B *29625:A 0.00058809 -21 *1173:20 *24998:A 0.000311338 -22 *1173:20 *29625:A 0.000153514 -23 *1173:22 *29625:A 0.000191751 -24 *1738:50 *29625:A 3.32442e-05 -25 *1808:11 *24998:A 0.000770401 -26 *1808:11 *29625:A 0.000468403 -27 *3561:57 *24998:A 0.000100136 -28 *3561:59 *24998:A 2.30169e-05 -*RES -1 *28080:Q *4190:4 9.3 -2 *4190:4 *25466:A1 19.6929 -3 *4190:4 *4190:13 6.67857 -4 *4190:13 *29625:A 33.3893 -5 *4190:13 *24998:A 24.2464 -*END - -*D_NET *4191 0.00261314 -*CONN -*I *25558:A1 I *D sky130_fd_sc_hd__mux2_8 -*I *28067:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *25558:A1 0.000493057 -2 *28067:Q 0.000493057 -3 *25558:A1 *25778:A0 0.000122941 -4 *25558:A1 *5715:83 0.000535598 -5 *2773:155 *25558:A1 0.000535598 -6 *4039:39 *25558:A1 0.000432883 -*RES -1 *28067:Q *25558:A1 31.5946 -*END - -*D_NET *4192 0.0630696 -*CONN -*I *25453:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *25430:A_N I *D sky130_fd_sc_hd__nand2b_4 -*I *25474:C I *D sky130_fd_sc_hd__or3_4 -*I *25460:B I *D sky130_fd_sc_hd__nor3_1 -*I *25467:C1 I *D sky130_fd_sc_hd__a211oi_1 -*I *25491:C I *D sky130_fd_sc_hd__and3_1 -*I *25458:A I *D sky130_fd_sc_hd__nand2_1 -*I *25468:D_N I *D sky130_fd_sc_hd__or4b_1 -*I *25515:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25482:A_N I *D sky130_fd_sc_hd__and2b_1 -*I *25473:B1 I *D sky130_fd_sc_hd__o211a_1 -*I *25536:A3 I *D sky130_fd_sc_hd__a41o_1 -*I *25418:A I *D sky130_fd_sc_hd__or2_2 -*I *25462:A I *D sky130_fd_sc_hd__nand2_1 -*I *25537:A2 I *D sky130_fd_sc_hd__a31o_1 -*I *25470:D_N I *D sky130_fd_sc_hd__or4bb_1 -*I *28165:Q O *D sky130_fd_sc_hd__dfstp_4 -*CAP -1 *25453:A2 0.000139528 -2 *25430:A_N 0.000654892 -3 *25474:C 5.83622e-05 -4 *25460:B 0.000240284 -5 *25467:C1 0.000508551 -6 *25491:C 0.000884467 -7 *25458:A 4.98328e-06 -8 *25468:D_N 1.83384e-05 -9 *25515:A1 0.000338695 -10 *25482:A_N 0.000312988 -11 *25473:B1 2.04425e-05 -12 *25536:A3 0.000124164 -13 *25418:A 0 -14 *25462:A 0.000463614 -15 *25537:A2 0.000121716 -16 *25470:D_N 8.87876e-05 -17 *28165:Q 0 -18 *4192:239 0.00190276 -19 *4192:218 0.00273398 -20 *4192:168 0.00292833 -21 *4192:128 0.000967593 -22 *4192:117 0.000606663 -23 *4192:112 0.000564254 -24 *4192:79 0.00108291 -25 *4192:73 0.000797447 -26 *4192:64 0.000539293 -27 *4192:58 0.0010104 -28 *4192:44 0.000796787 -29 *4192:35 0.000866204 -30 *4192:28 0.00247137 -31 *4192:20 0.000954148 -32 *4192:14 0.000861701 -33 *4192:4 0.000816958 -34 *25430:A_N *5377:15 0.000339346 -35 *25430:A_N *5902:8 0.000218679 -36 *25462:A *28050:B 5.33005e-05 -37 *25467:C1 *25652:B 9.94436e-05 -38 *25467:C1 *25675:A0 0.00026367 -39 *25467:C1 *5666:77 6.04063e-05 -40 *25467:C1 *5666:101 0.000127214 -41 *25470:D_N *25469:A1 0.000110967 -42 *25474:C *4195:67 1.24368e-05 -43 *25482:A_N *6114:39 9.04785e-05 -44 *25515:A1 *25515:B2 0.000107374 -45 *25515:A1 *29556:A 0.000203348 -46 *25515:A1 *5331:10 3.69047e-06 -47 *25515:A1 *5546:6 0.000194373 -48 *25536:A3 *25536:B1 0.000136676 -49 *4192:14 *25434:A 0.000383166 -50 *4192:14 *28148:D 0.000383166 -51 *4192:20 *5256:23 9.9407e-05 -52 *4192:20 *5836:150 0.000238607 -53 *4192:28 *5256:23 0.000161822 -54 *4192:28 *5727:20 0.000126134 -55 *4192:28 *5836:150 0.000164864 -56 *4192:35 *5256:23 4.79453e-05 -57 *4192:35 *5727:20 1.32023e-05 -58 *4192:35 *5836:162 0.000331696 -59 *4192:44 *25498:A1 0.000265184 -60 *4192:44 *29550:A 1.21258e-05 -61 *4192:44 *5836:176 1.90936e-05 -62 *4192:58 *25469:A1 0.000211109 -63 *4192:58 *4194:65 8.9158e-05 -64 *4192:58 *4195:196 0.000293437 -65 *4192:64 *25536:B1 6.06101e-05 -66 *4192:64 *4194:65 0.00077136 -67 *4192:64 *4195:196 0.000267146 -68 *4192:79 *5474:9 7.32272e-05 -69 *4192:79 *5727:45 0.00016345 -70 *4192:79 *6125:21 1.21258e-05 -71 *4192:112 *29521:A 0.000107938 -72 *4192:117 *6114:39 0.000196916 -73 *4192:117 *6158:28 3.38196e-06 -74 *4192:128 *29556:A 0.000519064 -75 *4192:128 *6114:39 8.51236e-05 -76 *4192:128 *6158:28 0.000205978 -77 *4192:168 *5728:151 7.36557e-05 -78 *4192:168 *5742:102 0.000684126 -79 *4192:218 *25434:A 0.000124521 -80 *4192:218 *28148:D 0.000135028 -81 *4192:239 *24894:A 0.000364111 -82 *4192:239 *5209:39 0.00060314 -83 *4192:239 *5719:115 8.87062e-05 -84 *4192:239 *5843:8 5.52302e-05 -85 *4192:239 *5888:16 5.74562e-05 -86 *24873:B1_N *4192:239 2.82057e-05 -87 *25418:B *25462:A 5.49544e-05 -88 *25421:A *25462:A 0.000271726 -89 *25421:B *25462:A 1.37693e-05 -90 *25430:B *25430:A_N 9.41642e-05 -91 *25434:B *4192:218 0.00052936 -92 *25434:B *4192:239 0.000422136 -93 *25435:A0 *4192:218 0.000348304 -94 *25435:A0 *4192:239 0.000624091 -95 *25445:A0 *4192:239 0.00059153 -96 *25453:A1 *25453:A2 0.000129901 -97 *25468:A *4192:112 1.02132e-05 -98 *25469:S *4192:112 4.16473e-05 -99 *25470:A *25470:D_N 5.33005e-05 -100 *25470:A *4192:58 5.33005e-05 -101 *25473:A1 *4192:117 0.000132879 -102 *25473:A2 *25473:B1 5.33005e-05 -103 *25473:A2 *4192:112 0.000106992 -104 *25473:A2 *4192:117 0.000190058 -105 *25477:B *4192:58 0.000100009 -106 *25480:B *4192:117 0.000347187 -107 *25482:B *25482:A_N 0.000175892 -108 *25483:C1 *25482:A_N 7.48301e-06 -109 *25491:B *25491:C 1.90936e-05 -110 *25515:A2 *25515:A1 5.33005e-05 -111 *25515:B1 *25515:A1 9.47262e-05 -112 *25537:A1 *25537:A2 0.000136951 -113 *25537:A1 *4192:64 2.95642e-05 -114 *25537:A1 *4192:73 5.33005e-05 -115 *25537:A1 *4192:79 0.00010047 -116 *25541:A *25458:A 2.06178e-05 -117 *25541:A *4192:44 0.00015763 -118 *25569:S *4192:79 0.000171375 -119 *28082:RESET_B *4192:64 0.000112443 -120 *28083:RESET_B *4192:79 0.000301982 -121 *28085:RESET_B *4192:168 0.00251812 -122 *28093:RESET_B *25430:A_N 6.90644e-05 -123 *28093:RESET_B *4192:14 0.00043866 -124 *28093:RESET_B *4192:20 0.000428594 -125 *28095:RESET_B *25462:A 0.000185401 -126 *28407:D *25430:A_N 8.75919e-05 -127 *29118:A *4192:239 5.32439e-05 -128 *29522:A *25536:A3 0.000265453 -129 *29547:A *4192:128 0.000120342 -130 *30069:A *4192:239 0.000263524 -131 *359:14 *25515:A1 0.000434115 -132 *373:10 *4192:14 0.000100831 -133 *373:10 *4192:28 0.000262262 -134 *373:10 *4192:35 0.000365087 -135 *385:39 *25515:A1 0.000400657 -136 *385:39 *4192:128 0.000175519 -137 *399:17 *4192:58 0.000243635 -138 *399:18 *25458:A 1.24469e-05 -139 *399:18 *4192:44 0.000335987 -140 *407:10 *4192:117 0.000150625 -141 *410:17 *4192:79 0.000117945 -142 *434:13 *25460:B 0.000505757 -143 *448:11 *25462:A 5.71472e-05 -144 *622:20 *4192:168 0.000177186 -145 *1192:25 *4192:20 0.000391578 -146 *1195:6 *4192:239 7.83659e-05 -147 *1199:8 *4192:239 0.000338495 -148 *1231:17 *25430:A_N 0.000467849 -149 *1323:24 *4192:44 7.94584e-05 -150 *1733:7 *25462:A 3.17684e-05 -151 *1733:7 *4192:79 1.21258e-05 -152 *1736:7 *25462:A 0.000190182 -153 *1738:15 *25536:A3 0.000112806 -154 *1738:15 *25537:A2 1.39841e-05 -155 *1738:15 *4192:73 8.43535e-06 -156 *1738:92 *4192:28 0.000259542 -157 *1739:22 *25515:A1 0.000170368 -158 *1740:22 *25474:C 2.42516e-05 -159 *1744:38 *4192:239 0 -160 *1749:21 *4192:35 0.000757975 -161 *1749:21 *4192:168 0 -162 *1752:19 *4192:14 9.91086e-05 -163 *1759:11 *25453:A2 1.15281e-05 -164 *1762:16 *4192:35 0.000225946 -165 *1763:22 *25460:B 0.000218679 -166 *1763:22 *4192:168 0.00210602 -167 *1766:24 *4192:168 0.000393633 -168 *1770:67 *25482:A_N 0.000219711 -169 *1827:61 *4192:239 7.6644e-05 -170 *1872:31 *25467:C1 2.06178e-05 -171 *3164:125 *25491:C 2.84663e-05 -172 *3184:202 *25467:C1 0.000263494 -173 *3184:202 *25491:C 0.00162899 -174 *3184:207 *25491:C 2.06112e-05 -175 *3558:112 *25470:D_N 0.000150509 -176 *3558:112 *4192:58 8.86512e-05 -177 *3561:49 *4192:14 0.000110029 -178 *3749:46 *25467:C1 0.000575959 -179 *3749:46 *25491:C 0.00151893 -180 *3830:35 *25491:C 5.00194e-05 -181 *3830:70 *25491:C 2.83129e-05 -182 *3831:82 *25491:C 3.15388e-05 -183 *3831:83 *25467:C1 2.06178e-05 -184 *3857:21 *4192:168 0.00071222 -185 *4126:48 *25491:C 2.86821e-05 -186 *4127:41 *25491:C 1.58948e-05 -187 *4154:27 *4192:14 0.000441793 -188 *4154:27 *4192:20 8.07525e-05 -189 *4160:57 *25473:B1 2.59355e-05 -190 *4163:84 *25470:D_N 9.58126e-05 -191 *4163:93 *4192:44 0.000304394 -192 *4163:145 *4192:79 0.000398946 -193 *4163:149 *4192:79 0.000357795 -194 *4164:87 *4192:112 0.000148789 -195 *4164:124 *4192:44 0.000216209 -196 *4165:51 *4192:112 0.000293044 -197 *4165:53 *4192:112 0.000106992 -198 *4165:53 *4192:117 0.000227057 -199 *4165:92 *4192:44 3.06466e-05 -200 *4165:92 *4192:58 0 -201 *4165:92 *4192:112 9.66977e-05 -202 *4165:103 *4192:44 0.000183977 -203 *4166:17 *25482:A_N 0.000431857 -204 *4187:48 *4192:79 0.000404889 -205 *4188:20 *4192:64 0 -206 *4188:20 *4192:79 0.000268519 -207 *4189:41 *4192:218 0.000179599 -208 *4189:41 *4192:239 0.000398386 -*RES -1 *28165:Q *4192:4 9.3 -2 *4192:4 *4192:14 26.7321 -3 *4192:14 *4192:20 9.89286 -4 *4192:20 *4192:28 17.4554 -5 *4192:28 *4192:35 13.0743 -6 *4192:35 *4192:44 23.8839 -7 *4192:44 *25470:D_N 12.0321 -8 *4192:44 *4192:58 14.0893 -9 *4192:58 *4192:64 15.1786 -10 *4192:64 *25537:A2 11.4964 -11 *4192:64 *4192:73 0.946429 -12 *4192:73 *4192:79 28.9286 -13 *4192:79 *25462:A 19.5679 -14 *4192:79 *25418:A 9.3 -15 *4192:73 *25536:A3 13.1393 -16 *4192:58 *4192:112 12.5714 -17 *4192:112 *4192:117 13.75 -18 *4192:117 *25473:B1 14.3357 -19 *4192:117 *4192:128 9.76786 -20 *4192:128 *25482:A_N 21.05 -21 *4192:128 *25515:A1 24.9786 -22 *4192:112 *25468:D_N 9.72857 -23 *4192:35 *25458:A 14.0768 -24 *4192:28 *4192:168 13.0287 -25 *4192:168 *25491:C 24.8454 -26 *4192:168 *25467:C1 22.6656 -27 *4192:20 *25460:B 18.8536 -28 *4192:14 *25474:C 14.7464 -29 *4192:4 *4192:218 13.9286 -30 *4192:218 *25430:A_N 26.2643 -31 *4192:218 *4192:239 47.5714 -32 *4192:239 *25453:A2 15.8893 -*END - -*D_NET *4193 0.00912372 -*CONN -*I *25540:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *29521:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28166:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25540:A1 0.000855635 -2 *29521:A 0.000826602 -3 *28166:Q 2.52229e-05 -4 *4193:7 0.00170746 -5 *25540:A1 *25540:A2 9.41642e-05 -6 *25540:A1 *4195:10 0.00052239 -7 *25540:A1 *4195:19 0.000384593 -8 *25540:A1 *4560:14 7.6644e-05 -9 *25540:A1 *5542:12 6.14977e-05 -10 *25540:A1 *5542:21 9.61291e-05 -11 *29521:A *5007:16 4.61721e-05 -12 *29521:A *5291:5 2.59355e-05 -13 *29521:A *5291:29 0.000741757 -14 *29521:A *5542:12 4.87767e-05 -15 *4193:7 *5727:163 5.52238e-05 -16 *25469:S *29521:A 0.000517344 -17 *25539:A2_N *25540:A1 0.000906812 -18 *28280:CLK *25540:A1 7.12588e-05 -19 *29625:A *29521:A 9.60875e-05 -20 *495:15 *25540:A1 9.41642e-05 -21 *1173:11 *25540:A1 0.000128982 -22 *1795:26 *25540:A1 0.00049941 -23 *3605:45 *25540:A1 0.000164781 -24 *3605:47 *25540:A1 0.000399473 -25 *3605:47 *29521:A 0.00014849 -26 *3605:74 *25540:A1 0.000400157 -27 *4189:41 *25540:A1 2.06178e-05 -28 *4192:112 *29521:A 0.000107938 -*RES -1 *28166:Q *4193:7 14.3357 -2 *4193:7 *29521:A 31.0857 -3 *4193:7 *25540:A1 41.5857 -*END - -*D_NET *4194 0.0291594 -*CONN -*I *25429:A1 I *D sky130_fd_sc_hd__a31o_1 -*I *24816:A I *D sky130_fd_sc_hd__inv_2 -*I *25498:B1 I *D sky130_fd_sc_hd__o211a_1 -*I *25417:B I *D sky130_fd_sc_hd__or2_1 -*I *29641:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24998:B I *D sky130_fd_sc_hd__nand2_4 -*I *28167:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25429:A1 0.000114588 -2 *24816:A 0.000203954 -3 *25498:B1 5.6217e-06 -4 *25417:B 0.000435682 -5 *29641:A 5.89016e-06 -6 *24998:B 0.000173959 -7 *28167:Q 0.000227818 -8 *4194:111 0.00342393 -9 *4194:65 0.00175129 -10 *4194:51 0.00215135 -11 *4194:32 0.00196441 -12 *4194:12 0.00135851 -13 *4194:10 0.000357565 -14 *4194:8 0.00363462 -15 *24998:B *5727:8 6.35819e-05 -16 *24998:B *5836:150 6.35819e-05 -17 *25498:B1 *5256:23 7.13226e-06 -18 *29641:A *24866:A 2.06178e-05 -19 *4194:8 *28148:D 5.76973e-05 -20 *4194:8 *5727:8 0.000153315 -21 *4194:8 *5836:150 0.000276853 -22 *4194:10 *5727:8 0.000265799 -23 *4194:10 *5836:150 0.000272573 -24 *4194:12 *5727:8 9.73014e-05 -25 *4194:12 *5836:150 0.000105924 -26 *4194:32 *5545:59 0.000163741 -27 *4194:32 *5728:137 4.926e-05 -28 *4194:51 *25471:A1 2.02469e-05 -29 *4194:51 *5256:23 3.93244e-05 -30 *4194:51 *5728:137 0.000146531 -31 *4194:65 *25465:A1 0.000328887 -32 *4194:65 *25469:A1 0.000110072 -33 *4194:65 *25471:A1 0.000135526 -34 *4194:65 *25480:A 2.89114e-05 -35 *4194:65 *4195:196 0.000127216 -36 *4194:65 *5256:23 1.90936e-05 -37 *4194:65 *5357:16 0.000123288 -38 *4194:65 *5836:176 6.20441e-06 -39 *4194:111 *28330:D 0.000226513 -40 *4194:111 *28717:D 0.000288316 -41 *4194:111 *4195:36 5.99615e-05 -42 *4194:111 *4195:67 9.34324e-05 -43 *4194:111 *4548:15 2.06112e-05 -44 *4194:111 *5693:87 0.00071791 -45 *4194:111 *5693:97 5.33005e-05 -46 *25438:B1 *4194:51 0.000170436 -47 *25439:A0 *4194:111 2.18527e-05 -48 *25467:A1 *4194:32 4.79905e-05 -49 *25467:A1 *4194:51 0.000174007 -50 *25467:A2 *4194:111 0.00015273 -51 *25481:B *4194:65 0.00031275 -52 *25492:A *25417:B 0.000113964 -53 *25498:C1 *25498:B1 1.46576e-05 -54 *25537:A1 *4194:65 4.14545e-05 -55 *28082:RESET_B *4194:32 0.000114286 -56 *28082:RESET_B *4194:51 0.000107002 -57 *28096:RESET_B *4194:65 2.9048e-05 -58 *28097:RESET_B *25417:B 5.52302e-05 -59 *28166:RESET_B *4194:65 0.000223891 -60 *28167:RESET_B *4194:8 0.000218685 -61 *28717:CLK *4194:111 3.14163e-05 -62 *30307:A *4194:111 0.00115391 -63 *30359:A *4194:111 9.41642e-05 -64 *30491:A *24816:A 0.000300406 -65 *361:20 *4194:65 1.90936e-05 -66 *399:17 *4194:65 8.13433e-05 -67 *401:13 *24998:B 3.69047e-06 -68 *1173:22 *4194:51 1.34355e-05 -69 *1173:82 *4194:111 1.90936e-05 -70 *1175:21 *4194:32 5.96489e-05 -71 *1175:31 *24816:A 0.000552525 -72 *1251:29 *4194:65 0.000413442 -73 *1323:24 *29641:A 2.23393e-05 -74 *1323:24 *4194:65 0.000459517 -75 *1545:51 *4194:111 0 -76 *1603:47 *24816:A 0.000154443 -77 *1603:47 *4194:111 0.0011773 -78 *1732:7 *25417:B 0.000139202 -79 *1738:50 *4194:51 4.24752e-05 -80 *1738:50 *4194:65 0.000474713 -81 *1738:106 *4194:111 2.24195e-05 -82 *1739:26 *24998:B 3.97677e-05 -83 *1749:21 *4194:51 0.000171761 -84 *1752:15 *4194:32 4.34506e-05 -85 *1795:19 *4194:111 2.23401e-05 -86 *1808:11 *24998:B 0.000137983 -87 *2789:27 *25429:A1 0.000254697 -88 *2834:142 *25429:A1 0.000254567 -89 *3561:88 *4194:51 0.000192732 -90 *4160:57 *4194:65 5.41763e-05 -91 *4164:82 *4194:65 0 -92 *4164:153 *4194:65 0 -93 *4165:50 *4194:65 2.68527e-05 -94 *4165:92 *4194:65 2.04558e-05 -95 *4187:48 *4194:65 9.56345e-05 -96 *4189:40 *4194:32 0 -97 *4192:58 *4194:65 8.9158e-05 -98 *4192:64 *4194:65 0.00077136 -*RES -1 *28167:Q *4194:8 19.5946 -2 *4194:8 *4194:10 3.61607 -3 *4194:10 *4194:12 1.49107 -4 *4194:12 *24998:B 17.2911 -5 *4194:12 *4194:32 12.3669 -6 *4194:32 *29641:A 17.4868 -7 *4194:32 *4194:51 13.1283 -8 *4194:51 *4194:65 47.3013 -9 *4194:65 *25417:B 20.9607 -10 *4194:51 *25498:B1 13.9473 -11 *4194:10 *24816:A 20.1036 -12 *4194:8 *4194:111 47.5564 -13 *4194:111 *25429:A1 21.7643 -*END - -*D_NET *4195 0.047308 -*CONN -*I *25539:B2 I *D sky130_fd_sc_hd__a2bb2o_1 -*I *25417:A I *D sky130_fd_sc_hd__or2_1 -*I *30280:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24886:S I *D sky130_fd_sc_hd__mux2_1 -*I *24888:S I *D sky130_fd_sc_hd__mux2_1 -*I *25440:A I *D sky130_fd_sc_hd__nor2_1 -*I *25444:A I *D sky130_fd_sc_hd__nor2_1 -*I *25456:S I *D sky130_fd_sc_hd__mux2_1 -*I *25451:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25448:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25441:A1 I *D sky130_fd_sc_hd__o21a_1 -*I *25474:A I *D sky130_fd_sc_hd__or3_4 -*I *25429:B1 I *D sky130_fd_sc_hd__a31o_1 -*I *25460:A I *D sky130_fd_sc_hd__nor3_1 -*I *28168:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25539:B2 0 -2 *25417:A 0 -3 *30280:A 0.000126281 -4 *24886:S 0 -5 *24888:S 0.000116683 -6 *25440:A 0.000118101 -7 *25444:A 0 -8 *25456:S 0.000301891 -9 *25451:A2 0 -10 *25448:A2 2.52229e-05 -11 *25441:A1 0.00140238 -12 *25474:A 0 -13 *25429:B1 0 -14 *25460:A 0.00015407 -15 *28168:Q 2.75679e-05 -16 *4195:196 0.00158264 -17 *4195:179 0.00245305 -18 *4195:143 0.000216946 -19 *4195:133 0.000429278 -20 *4195:123 0.000428154 -21 *4195:105 0.00100801 -22 *4195:90 0.00160478 -23 *4195:67 0.0044088 -24 *4195:45 0.00216972 -25 *4195:44 0.000399821 -26 *4195:36 0.00249167 -27 *4195:19 0.00283625 -28 *4195:10 0.000747481 -29 *4195:5 0.00116638 -30 *24888:S *6460:DIODE 9.60875e-05 -31 *24888:S *24886:A0 7.79781e-06 -32 *24888:S *24888:A0 2.14658e-05 -33 *25440:A *5855:8 0 -34 *25441:A1 *25821:A0 0.000135028 -35 *25441:A1 *5334:28 6.28948e-05 -36 *25456:S *6461:DIODE 1.73088e-05 -37 *4195:5 *4546:20 3.2033e-05 -38 *4195:10 *4546:20 2.79421e-05 -39 *4195:36 *28717:D 9.23975e-05 -40 *4195:36 *5545:59 5.44547e-05 -41 *4195:36 *5693:87 5.74499e-06 -42 *4195:36 *5728:172 7.31195e-06 -43 *4195:36 *5743:60 2.06178e-05 -44 *4195:36 *5778:47 7.69776e-06 -45 *4195:67 *5237:29 0 -46 *4195:90 *25000:A1 0.000153704 -47 *4195:90 *5242:18 0.000630941 -48 *4195:90 *5742:193 8.30964e-05 -49 *4195:90 *5742:207 9.47787e-05 -50 *4195:90 *5836:135 0.00155119 -51 *4195:90 *5887:14 0.000227883 -52 *4195:105 *25452:A1 0.000115933 -53 *4195:105 *25457:A1 0.000239631 -54 *4195:123 *25452:A1 0.000191554 -55 *4195:133 *5836:131 0.000304387 -56 *4195:143 *24886:A1 9.90367e-05 -57 *4195:143 *5836:131 0.000278297 -58 *4195:179 *28179:D 0.000140801 -59 *4195:179 *4759:8 2.50593e-05 -60 *4195:179 *5742:38 0 -61 *4195:196 *25536:B1 0.000532622 -62 *4195:196 *5739:37 9.34074e-05 -63 *4195:196 *6169:144 3.80808e-05 -64 *25431:C *24888:S 0.000144038 -65 *25431:C *4195:143 5.33005e-05 -66 *25440:B *25440:A 3.34295e-05 -67 *25448:A1 *4195:105 0.000267005 -68 *25449:A0 *4195:105 7.19022e-05 -69 *25451:A1 *25456:S 9.85599e-06 -70 *25451:A1 *4195:123 9.58689e-05 -71 *25451:B1 *25456:S 7.30227e-05 -72 *25451:B1 *4195:123 3.84385e-05 -73 *25452:A0 *25456:S 1.32293e-05 -74 *25452:A0 *4195:123 3.83545e-05 -75 *25467:A2 *4195:36 0.000729303 -76 *25474:B *4195:19 0.000140192 -77 *25474:B *4195:44 6.8194e-05 -78 *25474:B *4195:45 7.34839e-05 -79 *25474:B *4195:67 9.41642e-05 -80 *25474:C *4195:67 1.24368e-05 -81 *25514:A *4195:36 8.11273e-05 -82 *25539:A2_N *4195:179 0.000298305 -83 *25539:A2_N *4195:196 0.000136682 -84 *25540:A1 *4195:10 0.00052239 -85 *25540:A1 *4195:19 0.000384593 -86 *25821:A1 *25441:A1 0.000917606 -87 *28082:RESET_B *4195:196 0.000134168 -88 *28087:RESET_B *4195:123 0 -89 *28087:RESET_B *4195:133 0 -90 *28088:RESET_B *4195:105 0.000117643 -91 *28091:RESET_B *4195:90 1.25672e-06 -92 *28097:RESET_B *4195:196 0.000527112 -93 *28168:RESET_B *4195:5 8.43386e-05 -94 *28168:RESET_B *4195:10 9.58632e-05 -95 *28280:CLK *4195:10 0.000520729 -96 *28280:CLK *4195:19 0.000568819 -97 *28280:CLK *4195:179 0.000166923 -98 *28408:CLK_N *25441:A1 5.33005e-05 -99 *29108:A *4195:179 0.000511239 -100 *30307:A *4195:36 4.49626e-05 -101 *399:17 *4195:196 3.34366e-05 -102 *408:20 *4195:36 0.000228271 -103 *418:8 *4195:67 8.34352e-05 -104 *434:13 *25460:A 3.00623e-05 -105 *746:10 *25441:A1 6.48497e-05 -106 *1173:11 *25460:A 3.14048e-05 -107 *1175:21 *4195:196 2.89016e-05 -108 *1192:34 *4195:67 0.000307784 -109 *1198:11 *25440:A 4.53031e-05 -110 *1212:12 *25440:A 2.56694e-05 -111 *1214:11 *24888:S 0.000135028 -112 *1251:21 *25441:A1 0 -113 *1323:24 *4195:196 5.5527e-05 -114 *1323:33 *25441:A1 8.92205e-05 -115 *1323:33 *4195:67 0 -116 *1427:161 *4195:105 6.60111e-05 -117 *1430:59 *4195:179 0.000346684 -118 *1545:48 *4195:36 0.00157163 -119 *1545:51 *4195:67 1.04954e-05 -120 *1603:47 *30280:A 0.000158016 -121 *1603:47 *4195:45 0.000383166 -122 *1730:56 *25441:A1 2.01997e-05 -123 *1730:56 *4195:67 0 -124 *1732:29 *4195:196 0 -125 *1738:106 *4195:36 0.000358579 -126 *1739:34 *4195:36 0.000726788 -127 *1740:22 *4195:67 2.28499e-05 -128 *1742:12 *25441:A1 3.06626e-05 -129 *1742:12 *4195:67 1.27819e-05 -130 *1742:65 *4195:90 5.26184e-05 -131 *1742:65 *4195:105 5.90443e-06 -132 *1742:77 *25456:S 7.51484e-05 -133 *1749:21 *4195:36 4.58615e-05 -134 *1749:21 *4195:179 2.99989e-05 -135 *1750:15 *25440:A 3.07555e-05 -136 *1750:15 *25448:A2 5.52238e-05 -137 *1757:23 *25456:S 3.54605e-05 -138 *1769:17 *4195:196 5.87969e-05 -139 *1771:8 *4195:45 0.000145239 -140 *1771:29 *4195:90 0 -141 *1771:29 *4195:105 0 -142 *1771:29 *4195:123 0.000383431 -143 *1771:29 *4195:133 0.000195555 -144 *1795:19 *4195:36 0.000541559 -145 *1795:26 *4195:19 1.82896e-05 -146 *1829:16 *4195:105 0.000145154 -147 *1862:36 *24888:S 0.000166503 -148 *3164:146 *4195:36 7.40526e-05 -149 *3461:26 *25441:A1 0 -150 *3461:26 *4195:67 0 -151 *3605:45 *4195:179 0.000172607 -152 *3605:47 *4195:179 0.000241716 -153 *3605:74 *4195:179 0.000396356 -154 *3831:83 *4195:36 7.29646e-05 -155 *4154:27 *4195:36 1.21432e-05 -156 *4154:27 *4195:67 8.34352e-05 -157 *4155:16 *24888:S 9.25014e-06 -158 *4156:22 *4195:105 1.10856e-05 -159 *4157:9 *4195:133 4.58194e-05 -160 *4157:31 *4195:133 0.000108525 -161 *4157:31 *4195:143 0.000183422 -162 *4159:80 *25441:A1 0.000136958 -163 *4160:15 *4195:90 1.18358e-05 -164 *4160:38 *4195:67 0.000674294 -165 *4160:57 *4195:179 0.000301438 -166 *4163:17 *4195:196 0 -167 *4163:55 *4195:196 7.00257e-05 -168 *4164:13 *4195:196 0.000123495 -169 *4189:41 *4195:19 0.000124498 -170 *4189:41 *4195:44 3.29511e-05 -171 *4192:58 *4195:196 0.000293437 -172 *4192:64 *4195:196 0.000267146 -173 *4194:65 *4195:196 0.000127216 -174 *4194:111 *4195:36 5.99615e-05 -175 *4194:111 *4195:67 9.34324e-05 -*RES -1 *28168:Q *4195:5 10.2464 -2 *4195:5 *4195:10 12.5536 -3 *4195:10 *25460:A 15.9786 -4 *4195:10 *4195:19 9.38393 -5 *4195:19 *4195:36 45.4027 -6 *4195:36 *25429:B1 9.3 -7 *4195:19 *4195:44 5.38393 -8 *4195:44 *4195:45 5.46429 -9 *4195:45 *25474:A 9.3 -10 *4195:45 *4195:67 21.7571 -11 *4195:67 *25441:A1 24.0198 -12 *4195:67 *4195:90 39.0082 -13 *4195:90 *25448:A2 14.3357 -14 *4195:90 *4195:105 17.5357 -15 *4195:105 *25451:A2 9.3 -16 *4195:105 *25456:S 14.6929 -17 *4195:105 *4195:123 10.4286 -18 *4195:123 *25444:A 13.8 -19 *4195:123 *4195:133 10.0536 -20 *4195:133 *25440:A 20.8536 -21 *4195:133 *4195:143 4.23214 -22 *4195:143 *24888:S 21.9071 -23 *4195:143 *24886:S 9.3 -24 *4195:44 *30280:A 11.8714 -25 *4195:5 *4195:179 31.3929 -26 *4195:179 *4195:196 40.8051 -27 *4195:196 *25417:A 9.3 -28 *4195:179 *25539:B2 9.3 -*END - -*D_NET *4196 0.00830145 -*CONN -*I *25540:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *25460:C I *D sky130_fd_sc_hd__nor3_1 -*I *29585:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28169:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25540:A2 0.000275897 -2 *25460:C 8.89751e-05 -3 *29585:A 0.000356102 -4 *28169:Q 0 -5 *4196:18 0.000895051 -6 *4196:4 0.000886281 -7 *25540:A2 *29485:A 0.000172233 -8 *29585:A *28145:D 4.13496e-05 -9 *29585:A *28168:D 0.000564271 -10 *29585:A *28213:D 0.000139907 -11 *29585:A *5291:29 1.46717e-05 -12 *29585:A *5542:12 0 -13 *29585:A *5727:36 7.2754e-05 -14 *4196:18 *28168:D 0.00068566 -15 *4196:18 *28213:D 3.14163e-05 -16 *4196:18 *5291:29 0.00103589 -17 *4196:18 *5902:22 0.000124005 -18 *25538:A3 *29585:A 0.000796226 -19 *25540:A1 *25540:A2 9.41642e-05 -20 *30305:A *4196:18 1.13346e-05 -21 *30506:A *29585:A 0.00022459 -22 *434:13 *25460:C 4.58194e-05 -23 *495:15 *25540:A2 0.000123861 -24 *1194:37 *4196:18 1.3185e-05 -25 *1745:38 *25540:A2 0.000212417 -26 *1745:38 *4196:18 0.000485983 -27 *1808:11 *25540:A2 0.000342302 -28 *3935:16 *25540:A2 0.00042298 -29 *3935:16 *4196:18 0.000144129 -*RES -1 *28169:Q *4196:4 9.3 -2 *4196:4 *29585:A 30.925 -3 *4196:4 *4196:18 21.3571 -4 *4196:18 *25460:C 15.175 -5 *4196:18 *25540:A2 22.8 -*END - -*D_NET *4197 0.00629858 -*CONN -*I *25498:A1 I *D sky130_fd_sc_hd__o211a_1 -*I *29550:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28081:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25498:A1 0.000472557 -2 *29550:A 0.00127256 -3 *28081:Q 0 -4 *4197:4 0.00174511 -5 *25498:A1 *25469:A1 0.000175892 -6 *25498:A1 *5836:168 6.90625e-05 -7 *29550:A *25469:A1 0.00017542 -8 *29550:A *5316:23 4.61453e-05 -9 *29550:A *5317:19 0.000131593 -10 *29550:A *5348:17 0.000177821 -11 *29550:A *6169:144 9.16325e-06 -12 *25428:A *29550:A 0.000175892 -13 *25438:A1 *25498:A1 0.000114596 -14 *25469:S *25498:A1 3.34366e-05 -15 *28081:D *29550:A 2.40584e-05 -16 *28081:RESET_B *29550:A 4.70821e-05 -17 *29547:A *29550:A 0.000342302 -18 *29625:A *25498:A1 0.000194573 -19 *1175:21 *25498:A1 0.000275387 -20 *1738:54 *25498:A1 0.000114596 -21 *1740:13 *29550:A 1.21258e-05 -22 *1808:11 *25498:A1 0.000166183 -23 *3558:88 *29550:A 8.71194e-05 -24 *3558:112 *29550:A 1.32056e-05 -25 *4163:93 *25498:A1 0.00012062 -26 *4163:93 *29550:A 2.47753e-05 -27 *4192:44 *25498:A1 0.000265184 -28 *4192:44 *29550:A 1.21258e-05 -*RES -1 *28081:Q *4197:4 9.3 -2 *4197:4 *29550:A 37.9786 -3 *4197:4 *25498:A1 30.8804 -*END - -*D_NET *4198 0.0103087 -*CONN -*I *29173:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29485:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28066:Q O *D sky130_fd_sc_hd__dfrtn_1 -*CAP -1 *29173:A 0.00103826 -2 *29485:A 0.00121109 -3 *28066:Q 3.59792e-05 -4 *4198:5 0.00228533 -5 *29173:A *24873:A1 0.000392001 -6 *29173:A *25434:A 4.24333e-05 -7 *29173:A *5865:53 0 -8 *29173:A *5865:85 0 -9 *29173:A *5902:8 1.74909e-05 -10 *29485:A *28048:B 4.58194e-05 -11 *29485:A *5545:59 1.25672e-06 -12 *25434:B *29173:A 0 -13 *25439:A0 *29173:A 0.00096883 -14 *25540:A2 *29485:A 0.000172233 -15 *28066:RESET_B *29485:A 9.10743e-05 -16 *28066:RESET_B *4198:5 4.36666e-05 -17 *28085:D *29485:A 6.57032e-05 -18 *30307:A *29173:A 2.30116e-06 -19 *401:13 *29485:A 0.000136958 -20 *1194:14 *29173:A 4.72134e-05 -21 *1194:37 *29485:A 0.000425397 -22 *1196:23 *29173:A 9.34707e-05 -23 *1545:48 *29173:A 0.000108912 -24 *1744:38 *29173:A 0.00163577 -25 *1745:38 *29485:A 0.000768459 -26 *3561:57 *29485:A 0.000345257 -27 *3935:16 *29173:A 0.000195562 -28 *3935:16 *29485:A 7.73026e-05 -29 *4161:17 *29173:A 6.09762e-05 -*RES -1 *28066:Q *4198:5 9.83571 -2 *4198:5 *29485:A 41.0321 -3 *4198:5 *29173:A 49.0857 -*END - -*D_NET *4199 0.0123388 -*CONN -*I *29327:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25377:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25496:A I *D sky130_fd_sc_hd__nor3_2 -*I *28444:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29327:A 0.000131309 -2 *25377:B2 0.000125769 -3 *25496:A 0 -4 *28444:Q 0 -5 *4199:12 0.00134436 -6 *4199:11 0.00155566 -7 *4199:8 0.000405342 -8 *4199:5 0.000199581 -9 *29327:A *26848:A1 4.58194e-05 -10 *29327:A *26902:A0 9.41642e-05 -11 *29327:A *5832:8 0.000236657 -12 *4199:8 *5832:8 0.000168401 -13 *4199:12 *30780:A 0.000213269 -14 *25167:B *4199:12 0.000244372 -15 *25196:B2 *4199:12 0.000309022 -16 *25377:B1 *25377:B2 2.98478e-05 -17 *25377:C1 *25377:B2 3.93083e-05 -18 *1269:86 *4199:12 0.000353599 -19 *1415:27 *4199:12 0.00212677 -20 *1627:10 *4199:12 3.9513e-05 -21 *1627:12 *4199:12 0.00358833 -22 *3197:74 *4199:12 0.000196269 -23 *3216:199 *4199:12 0.000146061 -24 *3888:12 *29327:A 1.81805e-05 -25 *3888:12 *4199:8 5.41797e-06 -26 *4022:59 *4199:8 0.000155954 -27 *4088:56 *4199:12 0.000375832 -28 *4109:53 *29327:A 0.000190013 -*RES -1 *28444:Q *4199:5 13.8 -2 *4199:5 *4199:8 6.75 -3 *4199:8 *4199:11 7.08929 -4 *4199:11 *4199:12 48.0893 -5 *4199:12 *25496:A 13.8 -6 *4199:8 *25377:B2 11.3938 -7 *4199:5 *29327:A 17.9071 -*END - -*D_NET *4200 0.00611547 -*CONN -*I *25584:A I *D sky130_fd_sc_hd__and2_2 -*I *29548:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25385:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28448:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25584:A 0.000895222 -2 *29548:A 1.39964e-05 -3 *25385:B2 0.0007422 -4 *28448:Q 0 -5 *4200:23 0.0010051 -6 *4200:5 0.000838082 -7 *25385:B2 *28395:RESET_B 0.000271643 -8 *25385:B2 *4201:10 0 -9 *25385:B2 *5599:115 0.000140751 -10 *25584:A *25298:B 0.000103832 -11 *25584:A *28394:RESET_B 0.000766676 -12 *25584:A *5499:59 0.000312736 -13 *25584:A *5618:12 2.20608e-05 -14 *25584:A *5618:47 0.000116299 -15 *25584:A *6317:85 0.000312736 -16 *29548:A *25298:B 1.83053e-05 -17 *29548:A *28394:RESET_B 5.65955e-05 -18 *25272:C1 *25385:B2 9.66977e-05 -19 *1227:44 *25584:A 5.32956e-05 -20 *1240:11 *25385:B2 3.47641e-06 -21 *1257:99 *25385:B2 1.42319e-05 -22 *1407:89 *25385:B2 4.61329e-05 -23 *3568:99 *25385:B2 0 -24 *3568:99 *4200:23 0 -25 *3986:26 *25385:B2 0.000236908 -26 *3986:26 *4200:23 4.8496e-05 -27 *3996:19 *25385:B2 0 -*RES -1 *28448:Q *4200:5 13.8 -2 *4200:5 *25385:B2 35.5679 -3 *4200:5 *4200:23 6.44643 -4 *4200:23 *29548:A 9.83571 -5 *4200:23 *25584:A 43.4429 -*END - -*D_NET *4201 0.00527904 -*CONN -*I *29574:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25339:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *25585:A I *D sky130_fd_sc_hd__and2_2 -*I *28449:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29574:A 0.000337241 -2 *25339:B2 9.50829e-05 -3 *25585:A 0.000399047 -4 *28449:Q 0.000521587 -5 *4201:21 0.000594881 -6 *4201:10 0.00108319 -7 *25585:A *25585:B 9.54798e-06 -8 *25585:A *5624:28 0.000119437 -9 *4201:10 *28449:RESET_B 9.58026e-05 -10 *4201:10 *5738:204 5.6069e-05 -11 *4201:10 *5738:223 9.63449e-05 -12 *24914:B *25585:A 3.42426e-05 -13 *25272:C1 *25585:A 2.13481e-06 -14 *25272:C1 *29574:A 1.09669e-05 -15 *25339:A1 *25339:B2 1.03742e-05 -16 *25385:A1 *25585:A 7.72787e-05 -17 *25385:A1 *29574:A 1.59373e-05 -18 *25385:A1 *4201:21 1.10868e-05 -19 *25385:B1 *25339:B2 9.47762e-05 -20 *25385:B1 *29574:A 8.67797e-05 -21 *25385:B1 *4201:10 0 -22 *25385:B1 *4201:21 5.49544e-05 -23 *25385:B2 *4201:10 0 -24 *1240:11 *25585:A 6.05161e-06 -25 *1240:11 *4201:10 5.81642e-06 -26 *1240:11 *4201:21 6.7224e-05 -27 *1407:89 *29574:A 2.13018e-05 -28 *1471:121 *25585:A 4.78058e-05 -29 *1590:15 *29574:A 0.000241927 -30 *1656:13 *25339:B2 2.13202e-05 -31 *1701:11 *29574:A 8.80543e-05 -32 *3568:99 *29574:A 5.05056e-05 -33 *3648:114 *4201:10 0.000442936 -34 *3853:45 *25339:B2 4.27575e-05 -35 *3996:19 *4201:10 0.000436574 -*RES -1 *28449:Q *4201:10 30.4786 -2 *4201:10 *25585:A 23.7643 -3 *4201:10 *4201:21 2.17857 -4 *4201:21 *25339:B2 20.1571 -5 *4201:21 *29574:A 23.8 -*END - -*D_NET *4202 0.00432667 -*CONN -*I *29614:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25557:A0 I *D sky130_fd_sc_hd__mux2_4 -*I *28178:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29614:A 0.000181408 -2 *25557:A0 0.000665677 -3 *28178:Q 0.000426424 -4 *4202:8 0.00127351 -5 *25557:A0 *25557:A1 0.000227539 -6 *25557:A0 *28178:D 0.000313458 -7 *25557:A0 *6014:20 0.000370865 -8 *25557:A0 *6103:20 7.92975e-05 -9 *29614:A *29258:A 0.000270326 -10 *4202:8 *28178:D 0.000141375 -11 *4202:8 *28178:RESET_B 9.42929e-05 -12 *4202:8 *6103:20 7.6021e-05 -13 *29259:A *25557:A0 0 -14 *1323:17 *29614:A 4.35336e-05 -15 *1430:46 *25557:A0 0 -16 *3654:63 *4202:8 0.000162947 -*RES -1 *28178:Q *4202:8 20.8 -2 *4202:8 *25557:A0 30.675 -3 *4202:8 *29614:A 17.6214 -*END - -*D_NET *4203 0.00383143 -*CONN -*I *29628:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25550:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28192:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29628:A 0.00042104 -2 *25550:A0 0.000794917 -3 *28192:Q 5.54804e-05 -4 *4203:7 0.00127144 -5 *25550:A0 *5625:8 0 -6 *25550:A0 *5836:19 5.52302e-05 -7 *25550:A0 *5947:118 0 -8 *29628:A *29071:A 0.000260574 -9 *29628:A *30835:A 7.60719e-05 -10 *29628:A *5947:118 0 -11 mgmt_gpio_oeb[11] *25550:A0 4.63099e-05 -12 mgmt_gpio_out[11] *25550:A0 0.000116914 -13 *24847:A *29628:A 6.05161e-06 -14 *27798:B2 *29628:A 0 -15 *30692:A *25550:A0 0.000138671 -16 *30693:A *25550:A0 0.000298998 -17 *30693:A *29628:A 0.000289731 -18 *3679:89 *25550:A0 0 -19 *3692:8 *29628:A 0 -*RES -1 *28192:Q *4203:7 14.3357 -2 *4203:7 *25550:A0 30.8536 -3 *4203:7 *29628:A 22.6393 -*END - -*D_NET *4204 0.0153601 -*CONN -*I *30414:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25562:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *28195:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30414:A 0.000120638 -2 *25562:A0 0.000241992 -3 *28195:Q 3.92359e-05 -4 *4204:12 0.00212704 -5 *4204:10 0.00209157 -6 *4204:7 0.000366387 -7 *25562:A0 *5434:5 0.000311932 -8 *25562:A0 *5628:137 0.000445352 -9 *25562:A0 *6351:15 0.000135028 -10 *30414:A *25723:A0 0.000139087 -11 *30414:A *28195:D 8.39516e-05 -12 *30414:A *5590:161 0.000310292 -13 *4204:7 *25723:A0 5.52238e-05 -14 *4204:10 *26887:A1 9.91086e-05 -15 *4204:12 *28676:RESET_B 0.000198546 -16 *27378:B2 *4204:12 0.000802813 -17 *1525:14 *4204:12 0.000726086 -18 *2788:16 *4204:12 0.00069986 -19 *2852:108 *4204:12 6.79672e-06 -20 *2895:283 *25562:A0 0.000303092 -21 *3809:20 *4204:12 0.00257237 -22 *3835:36 *30414:A 1.08109e-05 -23 *3835:36 *4204:10 6.83429e-05 -24 *3835:36 *4204:12 0.000636601 -25 *3848:14 *30414:A 2.79472e-05 -26 *3848:14 *4204:10 6.59765e-05 -27 *3978:33 *4204:12 0.000491711 -28 *4017:44 *4204:10 0.000190333 -29 *4017:44 *4204:12 0.000418256 -30 *4069:66 *4204:12 0.000178742 -31 *4095:46 *4204:12 0.00110974 -32 *4095:57 *4204:12 0.000285259 -*RES -1 *28195:Q *4204:7 14.3357 -2 *4204:7 *4204:10 4.91071 -3 *4204:10 *4204:12 60.8393 -4 *4204:12 *25562:A0 21.3179 -5 *4204:7 *30414:A 17.8357 -*END - -*D_NET *4205 0.0114786 -*CONN -*I *29630:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25561:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28196:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29630:A 0.000159264 -2 *25561:A0 0.000404164 -3 *28196:Q 0.000110848 -4 *4205:22 0.00171027 -5 *4205:10 0.00157622 -6 *25561:A0 *5650:335 0.000257491 -7 *25561:A0 *5814:12 0.000107634 -8 *29630:A *5641:211 0.000393521 -9 *29630:A *5669:296 0.000393521 -10 *29630:A *5676:26 4.5147e-05 -11 *29630:A *5676:47 2.06178e-05 -12 *4205:10 *28196:D 0.000221634 -13 *4205:22 *5814:12 0.00154655 -14 *25561:A1 *25561:A0 9.41642e-05 -15 *28196:CLK *4205:10 0.000175892 -16 *28732:CLK *4205:10 5.52302e-05 -17 *2767:142 *4205:22 0.000187661 -18 *2767:149 *4205:22 1.55206e-05 -19 *2773:84 *25561:A0 0.000185618 -20 *2773:94 *25561:A0 9.87648e-05 -21 *3565:10 *25561:A0 0.000120018 -22 *3757:75 *4205:22 0.00122876 -23 *3796:163 *4205:22 7.46114e-05 -24 *3939:102 *4205:22 0.000109601 -25 *3939:104 *29630:A 3.25339e-05 -26 *3939:104 *4205:10 5.58941e-05 -27 *3939:104 *4205:22 0.00040527 -28 *3965:29 *25561:A0 0.000516505 -29 *3965:29 *4205:22 5.31774e-05 -30 *4138:18 *29630:A 0.000182018 -31 *4138:18 *4205:22 0.000940437 -*RES -1 *28196:Q *4205:10 17.2464 -2 *4205:10 *4205:22 44.8125 -3 *4205:22 *25561:A0 25.0411 -4 *4205:10 *29630:A 20.175 -*END - -*D_NET *4206 0.0125173 -*CONN -*I *29782:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25560:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28197:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29782:A 0 -2 *25560:A0 0 -3 *28197:Q 0.000493211 -4 *4206:36 0.00236628 -5 *4206:29 0.00313809 -6 *4206:10 0.00126502 -7 *4206:10 *26977:A1 0.000269536 -8 *4206:10 *5829:169 0.000245046 -9 *4206:29 *25727:A0 0.000304998 -10 *4206:29 *28605:RESET_B 4.73514e-05 -11 *4206:29 *5670:13 0.00038907 -12 *4206:29 *5800:78 0.000432819 -13 *4206:29 *5829:169 0.000157465 -14 *4206:29 *5911:64 5.13107e-05 -15 *4206:29 *6086:23 1.81774e-05 -16 *4206:36 *27006:A1 0.000951467 -17 *4206:36 *5800:68 8.59207e-05 -18 *4206:36 *5800:78 0.000698996 -19 *4206:36 *6086:23 0.000348631 -20 *25055:C *4206:36 7.6232e-05 -21 *28605:CLK *4206:29 9.60939e-05 -22 *2767:142 *4206:29 6.60111e-05 -23 *2769:146 *4206:10 4.75812e-05 -24 *2769:167 *4206:10 5.63588e-05 -25 *3538:92 *4206:10 0.000102282 -26 *3538:92 *4206:29 0.000300064 -27 *3582:134 *4206:36 5.33005e-05 -28 *3612:33 *4206:29 1.437e-05 -29 *3757:102 *4206:10 3.28804e-05 -30 *3770:8 *4206:29 0.000117543 -31 *4085:18 *4206:36 7.25293e-05 -32 *4149:34 *4206:36 0.000218685 -*RES -1 *28197:Q *4206:10 23.925 -2 *4206:10 *25560:A0 13.8 -3 *4206:10 *4206:29 33.1429 -4 *4206:29 *4206:36 41.0893 -5 *4206:36 *29782:A 9.3 -*END - -*D_NET *4207 0.00449103 -*CONN -*I *25554:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29582:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28179:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25554:A0 0.000533829 -2 *29582:A 0.000518466 -3 *28179:Q 0 -4 *4207:5 0.00105229 -5 *25554:A0 *4546:20 3.48053e-05 -6 *25554:A0 *5355:10 0 -7 *25554:A0 *5742:102 0.000174916 -8 *29582:A *29588:A 7.77641e-05 -9 *29582:A *5355:10 0 -10 *29582:A *5727:170 0.000306317 -11 *394:14 *29582:A 0.000124008 -12 *1440:56 *25554:A0 0.000134471 -13 *1830:23 *25554:A0 0.000120975 -14 *1830:23 *29582:A 1.94105e-05 -15 *1830:33 *29582:A 0.000725275 -16 *3570:57 *29582:A 0.00040429 -17 *4127:14 *25554:A0 0.000206328 -18 *4154:27 *25554:A0 2.59355e-05 -19 *4165:122 *29582:A 3.19423e-05 -*RES -1 *28179:Q *4207:5 13.8 -2 *4207:5 *29582:A 28.1571 -3 *4207:5 *25554:A0 24.7107 -*END - -*D_NET *4208 0.00362081 -*CONN -*I *25547:A0 I *D sky130_fd_sc_hd__mux2_8 -*I *29644:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28198:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25547:A0 0.000283411 -2 *29644:A 0.000789892 -3 *28198:Q 0.000205344 -4 *4208:5 0.00127865 -5 *25547:A0 *25729:A0 0.000197984 -6 *25547:A0 *5936:87 3.05475e-05 -7 *25547:A0 *6103:34 0.000147628 -8 *29644:A *29295:A 9.23851e-05 -9 *4208:5 *28198:RESET_B 0.000131765 -10 *4208:5 *6103:34 3.14178e-05 -11 *28198:CLK *29644:A 2.33029e-05 -12 *721:24 *25547:A0 5.54856e-05 -13 *1810:36 *25547:A0 0.000313979 -14 *3584:32 *29644:A 3.61463e-05 -15 *3584:32 *4208:5 2.88018e-06 -*RES -1 *28198:Q *4208:5 11.8893 -2 *4208:5 *29644:A 20.1393 -3 *4208:5 *25547:A0 25.3 -*END - -*D_NET *4209 0.00530533 -*CONN -*I *25548:A0 I *D sky130_fd_sc_hd__mux2_8 -*I *29281:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28199:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25548:A0 0.000376266 -2 *29281:A 0.000333347 -3 *28199:Q 8.68415e-05 -4 *4209:7 0.000796454 -5 *25548:A0 *5458:14 2.22716e-05 -6 *25548:A0 *5727:38 9.64459e-05 -7 *25548:A0 *6036:13 0.000605185 -8 *29281:A *25730:A1 0.000239738 -9 *29281:A *28023:A 0.000123861 -10 *29281:A *5727:38 9.60808e-05 -11 *29281:A *5947:100 0.0011658 -12 *4209:7 *6036:13 2.79421e-05 -13 *25465:A2 *29281:A 0.000130011 -14 *28068:RESET_B *25548:A0 0.000103974 -15 *28068:RESET_B *29281:A 9.60808e-05 -16 *28199:CLK *25548:A0 0.000605185 -17 *29282:A *29281:A 0.000399841 -*RES -1 *28199:Q *4209:7 14.7464 -2 *4209:7 *29281:A 26.7821 -3 *4209:7 *25548:A0 23.6214 -*END - -*D_NET *4210 0.00329475 -*CONN -*I *25549:A0 I *D sky130_fd_sc_hd__mux2_8 -*I *29619:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28201:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25549:A0 0.000165286 -2 *29619:A 0.000570698 -3 *28201:Q 0.000334734 -4 *4210:5 0.00107072 -5 *25549:A0 *6103:34 0.000105761 -6 *29619:A *28198:D 0.000442635 -7 *29619:A *5732:109 0.00025955 -8 *4210:5 *28201:RESET_B 9.51514e-06 -9 *4210:5 *5732:92 1.07719e-05 -10 *4210:5 *5732:109 1.56177e-05 -11 *25556:A0 *25549:A0 3.84385e-05 -12 *25729:S *25549:A0 1.07719e-05 -13 *1844:66 *25549:A0 0.000260256 -*RES -1 *28201:Q *4210:5 12.7107 -2 *4210:5 *29619:A 19.8536 -3 *4210:5 *25549:A0 22.3 -*END - -*D_NET *4211 0.00372409 -*CONN -*I *29596:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25543:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *28202:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29596:A 0.00022728 -2 *25543:A0 0.000187122 -3 *28202:Q 2.94474e-05 -4 *4211:5 0.00044385 -5 *25543:A0 *25543:A1 9.90431e-05 -6 *25543:A0 *5462:19 6.87574e-05 -7 *25543:A0 *5763:163 0.000125443 -8 *25543:A0 *6036:71 0.000140933 -9 *25543:A0 *6036:95 9.41642e-05 -10 *25543:A0 *6364:14 0.000213269 -11 *29596:A *27996:A2 0.000482594 -12 *29596:A *5684:14 0.000216755 -13 *29596:A *5690:7 0.000482594 -14 *29596:A *6374:61 6.94775e-05 -15 *4211:5 *5684:14 0.0001399 -16 *28004:A0 *25543:A0 0.000213269 -17 *1862:95 *29596:A 0.000206248 -18 *1862:95 *4211:5 0.0001399 -19 *3530:15 *29596:A 0.000144038 -*RES -1 *28202:Q *4211:5 10.6571 -2 *4211:5 *25543:A0 24.5679 -3 *4211:5 *29596:A 27.0679 -*END - -*D_NET *4212 0.00404188 -*CONN -*I *25542:A0 I *D sky130_fd_sc_hd__mux2_4 -*I *29597:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28203:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25542:A0 0.000226966 -2 *29597:A 0.000304622 -3 *28203:Q 0.000278906 -4 *4212:8 0.000810494 -5 *25542:A0 *25542:S 0.000158937 -6 *25542:A0 *6203:31 9.44351e-05 -7 *29597:A *25734:A0 0.000369854 -8 *29597:A *28976:A 0.000140933 -9 *29597:A *29673:A 0.000154027 -10 *29597:A *6203:31 0.000432279 -11 *4212:8 *25542:S 0.000369071 -12 *4212:8 *28203:RESET_B 7.70134e-05 -13 *4212:8 *6036:71 0.000186669 -14 *4212:8 *6203:31 1.49682e-05 -15 *4212:8 *6376:41 0 -16 *25734:S *29597:A 0.000393943 -17 *28203:CLK *4212:8 2.87631e-05 -*RES -1 *28203:Q *4212:8 21.2643 -2 *4212:8 *29597:A 25.0143 -3 *4212:8 *25542:A0 18.4786 -*END - -*D_NET *4213 0.0105909 -*CONN -*I *29647:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25553:A0 I *D sky130_fd_sc_hd__mux2_4 -*I *28184:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29647:A 0 -2 *25553:A0 0 -3 *28184:Q 0.00104627 -4 *4213:15 0.00182294 -5 *4213:11 0.00259175 -6 *4213:7 0.00181508 -7 *4213:7 *28184:RESET_B 0.000128809 -8 *4213:7 *6058:9 0.000324213 -9 *4213:11 *6058:9 0.00102079 -10 *4213:15 *25553:A1 2.89016e-05 -11 *4213:15 *25553:S 0.000263524 -12 *4213:15 *28050:A 4.06782e-05 -13 *4213:15 *28057:B 5.33005e-05 -14 *4213:15 *5947:100 7.83659e-05 -15 *4213:15 *6158:28 0 -16 *4213:15 *6158:32 0 -17 *25423:A2 *4213:15 0.000414148 -18 *25427:B *4213:15 6.8646e-06 -19 *410:17 *4213:15 3.14048e-05 -20 *448:11 *4213:15 0.000545413 -21 *4164:29 *4213:15 0.000378451 -*RES -1 *28184:Q *4213:7 22.8893 -2 *4213:7 *4213:11 22.2857 -3 *4213:11 *4213:15 44.125 -4 *4213:15 *25553:A0 9.3 -5 *4213:7 *29647:A 9.3 -*END - -*D_NET *4214 0.0256399 -*CONN -*I *25552:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29314:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28190:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25552:A0 0.000322052 -2 *29314:A 0.00042487 -3 *28190:Q 0 -4 *4214:38 0.00352442 -5 *4214:37 0.00366891 -6 *4214:20 0.00292243 -7 *4214:4 0.00296411 -8 *25552:A0 *25552:A1 5.33334e-05 -9 *29314:A *27027:A 0.000343528 -10 *29314:A *5084:20 9.74665e-05 -11 *29314:A *5643:203 2.63501e-05 -12 *29314:A *5652:184 6.7848e-05 -13 *4214:20 *26944:A1 0.000126999 -14 *4214:20 *26988:A0 8.07927e-05 -15 *4214:20 *28567:D 1.86738e-05 -16 *4214:20 *28567:RESET_B 4.70821e-05 -17 *4214:20 *4239:17 0.00011281 -18 *4214:20 *5586:138 0.000143439 -19 *4214:20 *5587:169 0.000112538 -20 *4214:20 *5800:108 2.37761e-05 -21 *4214:20 *6206:11 0 -22 *4214:37 *5648:7 2.27951e-05 -23 *4214:38 *5648:8 0.00412603 -24 mgmt_gpio_oeb[14] *4214:20 3.69406e-05 -25 *25552:S *25552:A0 0.000431851 -26 *25713:A1 *4214:20 0.000131156 -27 *27426:A1 *29314:A 0 -28 *27784:A1 *4214:20 3.62456e-05 -29 *27784:A2 *4214:20 0.000131742 -30 *27784:B2 *29314:A 8.51786e-05 -31 *27784:B2 *4214:20 5.37446e-05 -32 *27791:A *4214:20 4.58026e-06 -33 *28567:CLK *4214:20 0.000219451 -34 *1371:28 *4214:38 0.00204812 -35 *1853:77 *4214:20 0.000153072 -36 *1855:11 *4214:20 0.000229872 -37 *2769:30 *4214:20 6.94613e-05 -38 *2769:31 *29314:A 0.000123095 -39 *2769:65 *4214:20 1.30843e-05 -40 *2770:97 *29314:A 6.92858e-05 -41 *2770:97 *4214:20 0.000655408 -42 *2772:152 *4214:20 3.30397e-05 -43 *3378:8 *4214:20 1.06171e-05 -44 *3681:52 *29314:A 0.000114731 -45 *3681:52 *4214:20 9.60337e-06 -46 *3692:8 *4214:20 0 -47 *3891:29 *4214:20 6.13706e-05 -48 *4108:75 *25552:A0 7.32955e-05 -49 *4185:67 *4214:38 0.00161471 -*RES -1 *28190:Q *4214:4 9.3 -2 *4214:4 *4214:20 47.2726 -3 *4214:20 *29314:A 25.5382 -4 *4214:4 *4214:37 10.7857 -5 *4214:37 *4214:38 110.321 -6 *4214:38 *25552:A0 20.4964 -*END - -*D_NET *4215 0.0229152 -*CONN -*I *25551:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29384:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28191:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25551:A0 0.000274997 -2 *29384:A 0.00114482 -3 *28191:Q 0 -4 *4215:34 0.00189207 -5 *4215:33 0.00187509 -6 *4215:14 0.00275226 -7 *4215:4 0.00186545 -8 *29384:A *26944:S 0.000552531 -9 *29384:A *28529:RESET_B 0.000298866 -10 *29384:A *4320:16 9.54798e-06 -11 *29384:A *5639:165 2.03917e-05 -12 *29384:A *5928:20 7.02795e-05 -13 *4215:14 *25718:A0 0.00019983 -14 *4215:14 *25718:A1 9.99644e-06 -15 *4215:14 *5586:138 2.19684e-05 -16 *4215:14 *5586:144 0 -17 *4215:34 *5424:8 0.00255 -18 *4215:34 *5586:162 0.00400851 -19 *4215:34 *5648:8 0.00114123 -20 *25551:A1 *25551:A0 2.44318e-05 -21 *25551:S *25551:A0 0.000177185 -22 *28529:CLK *29384:A 0.000156092 -23 *29721:A *29384:A 0.000737448 -24 *244:65 *4215:14 0.00012401 -25 *3558:282 *25551:A0 7.43578e-06 -26 *3617:130 *4215:14 0.000356208 -27 *3692:8 *4215:14 0.000324526 -28 *3718:157 *4215:14 4.03318e-05 -29 *4069:187 *4215:34 0.00227975 -*RES -1 *28191:Q *4215:4 9.3 -2 *4215:4 *4215:14 39.8571 -3 *4215:14 *29384:A 41.9071 -4 *4215:4 *4215:33 7.5 -5 *4215:33 *4215:34 84.8214 -6 *4215:34 *25551:A0 18.4429 -*END - -*D_NET *4216 0.00335393 -*CONN -*I *29599:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28212:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29599:A 0.001175 -2 *28212:Q 0.001175 -3 *29599:A *28212:RESET_B 9.23695e-05 -4 *29599:A *6037:8 6.62868e-05 -5 *29599:A *6092:11 0.00014285 -6 *29599:A *6092:18 3.2534e-05 -7 *29599:A *6103:20 0 -8 *25696:S *29599:A 2.03439e-05 -9 *30566:A *29599:A 0.00048555 -10 *1430:46 *29599:A 0.000163999 -*RES -1 *28212:Q *29599:A 47.5286 -*END - -*D_NET *4217 0.004677 -*CONN -*I *30444:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28460:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30444:A 0 -2 *28460:Q 0.00146083 -3 *4217:15 0.00146083 -4 *4217:15 *26932:A1 0.000128969 -5 *4217:15 *28460:D 0.000252417 -6 *4217:15 *28496:D 0.000342302 -7 *4217:15 *5847:44 1.17968e-05 -8 *28460:CLK *4217:15 8.42903e-06 -9 *1260:184 *4217:15 3.17684e-05 -10 *2768:204 *4217:15 5.75147e-05 -11 *3889:61 *4217:15 0.000222244 -12 *3889:62 *4217:15 0.000316631 -13 *4108:13 *4217:15 0.000181381 -14 *4108:36 *4217:15 0.000201899 -*RES -1 *28460:Q *4217:15 45.2464 -2 *4217:15 *30444:A 9.3 -*END - -*D_NET *4218 0.0091009 -*CONN -*I *29699:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28461:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29699:A 0.000117744 -2 *28461:Q 0.00250743 -3 *4218:18 0.00262518 -4 *29699:A *5810:47 0.000428674 -5 *29699:A *6004:15 0.000180072 -6 *4218:18 *28190:D 6.99005e-05 -7 *4218:18 *28461:D 0.000178545 -8 *4218:18 *28461:RESET_B 0.000134861 -9 *4218:18 *5824:286 0.00100942 -10 *4218:18 *6004:15 4.92844e-05 -11 *25042:A2 *29699:A 0.000178425 -12 *28461:CLK *4218:18 0.000178847 -13 *244:65 *4218:18 0.000524602 -14 *794:23 *4218:18 0.000352538 -15 *1304:6 *4218:18 9.87983e-06 -16 *2763:42 *4218:18 0.000145618 -17 *3333:17 *29699:A 3.37161e-05 -18 *3718:157 *4218:18 2.30516e-05 -19 *4125:13 *4218:18 0.000349181 -20 *4125:23 *4218:18 3.92946e-06 -*RES -1 *28461:Q *4218:18 46.0101 -2 *4218:18 *29699:A 18.0321 -*END - -*D_NET *4219 0.00903894 -*CONN -*I *29640:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28462:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29640:A 0 -2 *28462:Q 0.00257641 -3 *4219:11 0.00257641 -4 *4219:11 *26934:A0 6.8445e-06 -5 *4219:11 *26970:A1 0.000211516 -6 *4219:11 *5643:192 5.96516e-05 -7 *4219:11 *5656:294 0.000471184 -8 *4219:11 *5683:223 2.12005e-05 -9 *4219:11 *5683:231 8.43535e-06 -10 *4219:11 *5683:286 1.96616e-05 -11 *4219:11 *5863:161 0.000269372 -12 *4219:11 *5953:11 0.00122814 -13 *4219:11 *5954:15 0.00133673 -14 *2771:11 *4219:11 0.000239558 -15 *2771:171 *4219:11 1.38323e-05 -*RES -1 *28462:Q *4219:11 49.0143 -2 *4219:11 *29640:A 9.3 -*END - -*D_NET *4220 0.00240899 -*CONN -*I *29639:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28463:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29639:A 0.000477006 -2 *28463:Q 0.000477006 -3 *29639:A *27063:B 0.000173804 -4 *29639:A *5863:60 6.77513e-05 -5 *29639:A *5903:28 0 -6 *2754:34 *29639:A 0.000658247 -7 *2754:41 *29639:A 0.000402162 -8 *3861:40 *29639:A 0.000153013 -*RES -1 *28463:Q *29639:A 41.3857 -*END - -*D_NET *4221 0.000939166 -*CONN -*I *29602:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28464:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29602:A 0.000244836 -2 *28464:Q 0.000244836 -3 *29602:A *5682:44 5.84348e-05 -4 *29602:A *5683:96 0.000122657 -5 *2792:65 *29602:A 0.000268403 -*RES -1 *28464:Q *29602:A 31.8321 -*END - -*D_NET *4222 0.00671048 -*CONN -*I *30380:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28465:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30380:A 0 -2 *28465:Q 0.00191639 -3 *4222:21 0.00191639 -4 *4222:21 *26889:A1 4.04754e-05 -5 *4222:21 *27210:A1 7.52579e-06 -6 *4222:21 *28465:D 2.96581e-05 -7 *4222:21 *5703:21 0.000163065 -8 *4222:21 *5847:12 1.01241e-05 -9 *25727:S *4222:21 0.000209448 -10 *27771:A1 *4222:21 0.000175892 -11 *27832:B2 *4222:21 0.000161013 -12 *28465:CLK *4222:21 9.90916e-05 -13 *29064:A *4222:21 5.15972e-05 -14 *540:15 *4222:21 0.000312651 -15 *2759:31 *4222:21 2.99468e-05 -16 *2771:36 *4222:21 0.0001782 -17 *2776:224 *4222:21 0.00102953 -18 *3796:173 *4222:21 8.55871e-05 -19 *4058:15 *4222:21 0.000293886 -*RES -1 *28465:Q *4222:21 48.146 -2 *4222:21 *30380:A 9.3 -*END - -*D_NET *4223 0.0024378 -*CONN -*I *29603:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28204:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29603:A 0.000435527 -2 *28204:Q 0.000435527 -3 *29603:A *25679:A0 5.52238e-05 -4 *29603:A *28170:RESET_B 5.66157e-05 -5 *29603:A *28204:RESET_B 2.59355e-05 -6 *29603:A *30361:A 7.77652e-05 -7 *29603:A *5588:105 0.000368111 -8 *29603:A *5776:79 0.000520986 -9 *24841:A *29603:A 5.08481e-05 -10 *25679:A1 *29603:A 7.83659e-05 -11 *3538:76 *29603:A 0.000332891 -12 *3783:150 *29603:A 0 -*RES -1 *28204:Q *29603:A 41.4214 -*END - -*D_NET *4224 0.00174989 -*CONN -*I *29589:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28205:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29589:A 0.000364812 -2 *28205:Q 0.000364812 -3 *29589:A *25680:A1 1.08359e-05 -4 *29589:A *25737:A1 0.000272672 -5 *29589:A *5709:332 5.24702e-05 -6 *29589:A *5893:113 2.72545e-05 -7 *29589:A *6048:8 0.000462106 -8 *25679:S *29589:A 0.000194928 -9 *30700:A *29589:A 0 -*RES -1 *28205:Q *29589:A 38.9571 -*END - -*D_NET *4225 0.00271039 -*CONN -*I *29594:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28206:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29594:A 0.000466771 -2 *28206:Q 0.000466771 -3 *29594:A *28172:RESET_B 7.48091e-05 -4 *29594:A *30538:A 0.000108525 -5 *29594:A *30563:A 0.000353073 -6 *29594:A *5440:9 0.000374629 -7 *29594:A *5461:32 0.000390575 -8 *29594:A *5776:109 0 -9 *29594:A *5776:115 0.000120236 -10 *30701:A *29594:A 0.000355002 -*RES -1 *28206:Q *29594:A 42.2786 -*END - -*D_NET *4226 0.00405746 -*CONN -*I *29587:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28207:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29587:A 0 -2 *28207:Q 0.000984015 -3 *4226:23 0.000984015 -4 *4226:23 *25685:A0 5.10822e-05 -5 *4226:23 *28206:D 0.000181796 -6 *4226:23 *30005:A 0.000225616 -7 *4226:23 *30538:A 0.000265453 -8 *4226:23 *5386:12 0.00010508 -9 *4226:23 *5441:10 0.000145407 -10 *4226:23 *5461:32 8.46991e-05 -11 *4226:23 *5776:124 1.08359e-05 -12 *4226:23 *5914:6 0.000527085 -13 *30564:A *4226:23 0.000492379 -*RES -1 *28207:Q *4226:23 49.2107 -2 *4226:23 *29587:A 9.3 -*END - -*D_NET *4227 0.0024361 -*CONN -*I *29588:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28213:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29588:A 0.000450038 -2 *28213:Q 0.000450038 -3 *29588:A *5739:181 1.07719e-05 -4 *28069:RESET_B *29588:A 5.71472e-05 -5 *29582:A *29588:A 7.77641e-05 -6 *394:14 *29588:A 0.000267814 -7 *1287:60 *29588:A 0.000443928 -8 *1778:16 *29588:A 5.52302e-05 -9 *1830:33 *29588:A 0.000319968 -10 *1864:70 *29588:A 1.90019e-05 -11 *1864:89 *29588:A 5.63588e-05 -12 *3570:57 *29588:A 0.000148911 -13 *3944:11 *29588:A 7.91281e-05 -*RES -1 *28213:Q *29588:A 40.6893 -*END - -*D_NET *4228 0.00208151 -*CONN -*I *29483:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28208:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29483:A 0.000484583 -2 *28208:Q 0.000484583 -3 *29483:A *28208:RESET_B 0.000136638 -4 *29483:A *5725:10 0.000690932 -5 *29483:A *5770:30 0.000235925 -6 *28176:CLK *29483:A 4.88531e-05 -*RES -1 *28208:Q *29483:A 39.1179 -*END - -*D_NET *4229 0.00768455 -*CONN -*I *29402:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28209:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29402:A 0 -2 *28209:Q 0.0018784 -3 *4229:27 0.0018784 -4 *4229:27 *25690:A1 3.35959e-05 -5 *4229:27 *25742:A1 0 -6 *4229:27 *28177:D 0.000215822 -7 *4229:27 *5172:23 0.000543317 -8 *4229:27 *5175:25 0.000118164 -9 *4229:27 *5680:18 7.13664e-05 -10 *4229:27 *5680:30 0.00041664 -11 *4229:27 *5893:46 0.000650934 -12 *4229:27 *5893:81 0 -13 *4229:27 *5926:49 0.000335867 -14 *4229:27 *5926:63 2.28499e-05 -15 *4229:27 *6252:13 4.43256e-05 -16 *25691:A1 *4229:27 0.000625083 -17 *25693:S *4229:27 1.94879e-05 -18 *26858:S *4229:27 1.90323e-05 -19 *60:13 *4229:27 5.25192e-06 -20 *554:20 *4229:27 0 -21 *1835:40 *4229:27 0.000108891 -22 *1835:54 *4229:27 0.000651784 -23 *1835:84 *4229:27 4.53351e-05 -*RES -1 *28209:Q *4229:27 48.8535 -2 *4229:27 *29402:A 9.3 -*END - -*D_NET *4230 0.00889996 -*CONN -*I *29404:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28210:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29404:A 0 -2 *28210:Q 0.00156841 -3 *4230:17 0.00156841 -4 *4230:17 *25742:A1 2.04825e-05 -5 *4230:17 *28210:D 4.88637e-05 -6 *4230:17 *28210:RESET_B 0.000105163 -7 *4230:17 *28452:RESET_B 0.000238628 -8 *4230:17 *29949:A 0.000140933 -9 *4230:17 *4231:14 0.000318157 -10 *4230:17 *5449:16 0 -11 *4230:17 *5701:29 5.44309e-05 -12 *4230:17 *5771:157 0.0003108 -13 *4230:17 *5879:237 0.000118533 -14 *4230:17 *5926:63 0.000453565 -15 *4230:17 *5927:14 0.000393936 -16 *4230:17 *6011:17 0.0013939 -17 *4230:17 *6252:13 7.48091e-05 -18 *4230:17 *6262:14 1.67577e-05 -19 *28452:CLK *4230:17 5.49981e-05 -20 *553:13 *4230:17 0.000265453 -21 *783:17 *4230:17 0.00167088 -22 *3604:127 *4230:17 8.28448e-05 -*RES -1 *28210:Q *4230:17 44.6472 -2 *4230:17 *29404:A 9.3 -*END - -*D_NET *4231 0.00870835 -*CONN -*I *29403:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28211:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29403:A 0 -2 *28211:Q 0.00160114 -3 *4231:14 0.00160114 -4 *4231:14 *5683:29 4.68604e-05 -5 *4231:14 *5803:10 0 -6 *4231:14 *5829:42 0.000964676 -7 *4231:14 *5879:237 0.00106113 -8 *4231:14 *5926:63 5.66248e-05 -9 mgmt_gpio_out[26] *4231:14 0.000235254 -10 *28452:CLK *4231:14 0.00013747 -11 *61:14 *4231:14 0.000105128 -12 *783:17 *4231:14 0.00181156 -13 *970:16 *4231:14 0.000586074 -14 *3604:127 *4231:14 0.000183127 -15 *3874:102 *4231:14 0 -16 *4230:17 *4231:14 0.000318157 -*RES -1 *28211:Q *4231:14 45.9483 -2 *4231:14 *29403:A 9.3 -*END - -*D_NET *4232 0.0064088 -*CONN -*I *29846:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28214:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29846:A 0.000337824 -2 *28214:Q 0.00184278 -3 *4232:13 0.0021806 -4 *29846:A *5536:31 0.000231256 -5 *29846:A *6150:18 0.000178847 -6 *4232:13 *25699:A0 1.41029e-05 -7 *4232:13 *27081:A 0.000106018 -8 *4232:13 *28656:RESET_B 6.85725e-05 -9 *4232:13 *28765:D 1.24368e-05 -10 *4232:13 *30893:A 2.59355e-05 -11 *4232:13 *5583:156 0.000317594 -12 *4232:13 *5606:55 8.50785e-05 -13 *1371:25 *4232:13 0.000245349 -14 *1844:189 *4232:13 0 -15 *2781:7 *4232:13 2.89016e-05 -16 *2781:39 *29846:A 0.000212414 -17 *4046:38 *29846:A 8.55871e-05 -18 *4098:42 *29846:A 0.000435505 -*RES -1 *28214:Q *4232:13 47.0143 -2 *4232:13 *29846:A 23.6214 -*END - -*D_NET *4233 0.00114234 -*CONN -*I *29598:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28215:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29598:A 0.000278167 -2 *28215:Q 0.000278167 -3 *29598:A *27089:A1 4.87953e-05 -4 *29598:A *30882:A 0.000137437 -5 *29598:A *5468:25 9.74684e-05 -6 *29598:A *5675:119 0.000177294 -7 *28181:CLK *29598:A 0.00012501 -*RES -1 *28215:Q *29598:A 34.2607 -*END - -*D_NET *4234 0.00245167 -*CONN -*I *29450:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28216:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29450:A 0.00102642 -2 *28216:Q 0.00102642 -3 *29450:A *28216:RESET_B 7.4826e-05 -4 *29450:A *5528:33 0.00012026 -5 *29450:A *5608:71 0.000121982 -6 *28216:CLK *29450:A 8.17663e-05 -*RES -1 *28216:Q *29450:A 39.6357 -*END - -*D_NET *4235 0.00294468 -*CONN -*I *29623:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28217:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29623:A 0.00109378 -2 *28217:Q 0.00109378 -3 *29623:A *28217:RESET_B 0.00044051 -4 *29623:A *29695:A 0.000178503 -5 *29623:A *5755:78 0.000114886 -6 *29623:A *5755:151 2.32166e-05 -*RES -1 *28217:Q *29623:A 31.7786 -*END - -*D_NET *4236 0.00272309 -*CONN -*I *29609:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28218:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29609:A 0.00108386 -2 *28218:Q 0.00108386 -3 *29609:A *29733:A 4.00276e-06 -4 *28142:CLK *29609:A 6.05161e-06 -5 *28184:CLK *29609:A 0.000126639 -6 *3654:88 *29609:A 0.000418677 -*RES -1 *28218:Q *29609:A 32.1893 -*END - -*D_NET *4237 0.00157903 -*CONN -*I *29613:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28219:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29613:A 0.000439206 -2 *28219:Q 0.000439206 -3 *29613:A *30319:A 6.4382e-05 -4 *29613:A *5592:10 0.000237619 -5 *29613:A *5625:15 1.21258e-05 -6 *29613:A *5659:36 0.00038649 -*RES -1 *28219:Q *29613:A 38.725 -*END - -*D_NET *4238 0.00563454 -*CONN -*I *30500:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28458:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30500:A 0 -2 *28458:Q 0.00122705 -3 *4238:16 0.00122705 -4 *4238:16 *29901:A 4.00679e-05 -5 *4238:16 *5589:135 0.000384446 -6 *27031:S *4238:16 0.000295929 -7 *1697:20 *4238:16 0.000146876 -8 *2759:149 *4238:16 0.00103685 -9 *2764:94 *4238:16 0.000111568 -10 *2772:152 *4238:16 0.00013175 -11 *2776:207 *4238:16 0.00103297 -*RES -1 *28458:Q *4238:16 48.2824 -2 *4238:16 *30500:A 9.3 -*END - -*D_NET *4239 0.00742981 -*CONN -*I *29405:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28459:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29405:A 0 -2 *28459:Q 0.00201165 -3 *4239:17 0.00201165 -4 *4239:17 *26901:B 0.000623507 -5 *4239:17 *4744:15 1.90936e-05 -6 *4239:17 *5176:11 0.000978676 -7 *4239:17 *5586:122 2.53848e-05 -8 *4239:17 *5589:134 0.000130622 -9 *4239:17 *5682:197 0.000333762 -10 *4239:17 *5911:79 0.00022379 -11 mgmt_gpio_oeb[14] *4239:17 2.82701e-05 -12 *25060:B2 *4239:17 0.000191853 -13 *27790:A1 *4239:17 0.000136727 -14 *1275:164 *4239:17 3.95014e-05 -15 *1275:195 *4239:17 1.1904e-05 -16 *1382:16 *4239:17 2.51443e-05 -17 *1855:11 *4239:17 0 -18 *2761:19 *4239:17 9.41642e-05 -19 *2761:38 *4239:17 2.05612e-05 -20 *2770:97 *4239:17 1.39717e-05 -21 *2772:152 *4239:17 0.000312891 -22 *3692:8 *4239:17 6.56976e-05 -23 *3707:13 *4239:17 1.81922e-05 -24 *3733:51 *4239:17 0 -25 *4214:20 *4239:17 0.00011281 -*RES -1 *28459:Q *4239:17 44.5726 -2 *4239:17 *29405:A 9.3 -*END - -*D_NET *4240 0.0167309 -*CONN -*I *25559:S I *D sky130_fd_sc_hd__mux2_4 -*I *25557:S I *D sky130_fd_sc_hd__mux2_4 -*I *25583:B I *D sky130_fd_sc_hd__and2_1 -*I *30590:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *25559:S 8.94383e-05 -2 *25557:S 0.000171955 -3 *25583:B 0.000330529 -4 *30590:X 0 -5 *4240:37 0.00151683 -6 *4240:33 0.004649 -7 *4240:4 0.0037241 -8 *25557:S *25831:A0 0 -9 *25557:S *6014:20 0 -10 *25559:S *25559:A1 7.95495e-05 -11 *25583:B *5541:8 0.000230201 -12 *4240:33 *6540:DIODE 2.2351e-05 -13 *4240:33 *26815:A1 9.94918e-05 -14 *4240:33 *29128:A 3.35036e-05 -15 *4240:33 *29137:A 4.11173e-05 -16 *4240:33 *4316:25 4.65519e-05 -17 *4240:33 *4383:16 0.000213051 -18 *4240:33 *4910:17 0.00112141 -19 *4240:33 *5351:19 1.19556e-05 -20 *4240:33 *5479:14 2.07158e-05 -21 *4240:33 *5733:48 4.98422e-05 -22 *4240:33 *5979:21 0.000215293 -23 *4240:33 *6014:19 0.00015016 -24 *4240:37 *25559:A1 0.000142856 -25 *4240:37 *25617:A0 3.30259e-05 -26 *4240:37 *25831:A0 0 -27 *4240:37 *28150:D 1.90936e-05 -28 *4240:37 *4329:18 0 -29 *4240:37 *4899:13 8.34418e-05 -30 *4240:37 *4951:17 0 -31 *4240:37 *4965:16 2.09826e-05 -32 *4240:37 *5026:35 0.000175306 -33 *4240:37 *5041:54 0 -34 *4240:37 *6014:20 0.000478172 -35 *25559:A0 *25559:S 6.9276e-05 -36 *25576:A_N *4240:33 0 -37 *29175:A *4240:33 0.000139156 -38 *29180:A *4240:37 0 -39 *29199:A *4240:37 0 -40 *29206:A *4240:37 0.000152363 -41 *29231:A *4240:37 2.04825e-05 -42 *29271:A *4240:33 0.000231479 -43 *30087:A *4240:37 0.000254087 -44 *30590:A *25583:B 0.000334562 -45 *701:29 *4240:33 0.000868861 -46 *757:12 *4240:33 0.000871623 -47 *3893:41 *4240:37 1.90936e-05 -*RES -1 *30590:X *4240:4 9.3 -2 *4240:4 *25583:B 26.55 -3 *4240:4 *4240:33 44.6482 -4 *4240:33 *4240:37 29.8125 -5 *4240:37 *25557:S 21.0857 -6 *4240:37 *25559:S 11.5143 -*END - -*D_NET *4241 0.00277351 -*CONN -*I *24974:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30591:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *24974:A1 0.00072266 -2 *30591:X 0.00072266 -3 *24974:A1 *27232:A1 0.000217597 -4 *24974:A1 *28129:RESET_B 0.000311196 -5 *24974:A1 *4907:10 2.88045e-05 -6 *24974:A1 *5585:115 0.000337905 -7 *24974:A2 *24974:A1 0.00015546 -8 *30674:A *24974:A1 8.56851e-05 -9 *1104:16 *24974:A1 2.59355e-05 -10 *1798:19 *24974:A1 7.14469e-05 -11 *2810:15 *24974:A1 9.41642e-05 -*RES -1 *30591:X *24974:A1 39.5821 -*END - -*D_NET *4242 0.00503067 -*CONN -*I *25502:B I *D sky130_fd_sc_hd__or4_1 -*I *25963:D I *D sky130_fd_sc_hd__and4_1 -*I *30592:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25502:B 0.000143634 -2 *25963:D 0 -3 *30592:X 0.00106923 -4 *4242:9 0.00121287 -5 *25502:B *25502:D 9.91086e-05 -6 *25502:B *25979:B1 3.69047e-06 -7 *25502:B *4353:167 0 -8 *25502:B *4552:15 1.21258e-05 -9 *4242:9 *4253:11 0.000304394 -10 *4242:9 *4552:15 1.39726e-05 -11 *4242:9 *4563:21 0 -12 *4242:9 *6214:10 9.25014e-06 -13 *26329:A1 *4242:9 9.3056e-05 -14 *26329:A2 *4242:9 0.000315141 -15 *26330:C1 *4242:9 5.54432e-05 -16 *30593:A *25502:B 0.000193125 -17 *30623:A *4242:9 0.000907252 -18 *2141:71 *4242:9 6.28185e-05 -19 *2227:30 *4242:9 0.00021236 -20 *2227:34 *4242:9 0.000323193 -*RES -1 *30592:X *4242:9 38.4964 -2 *4242:9 *25963:D 9.3 -3 *4242:9 *25502:B 21.3893 -*END - -*D_NET *4243 0.00567439 -*CONN -*I *25663:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29999:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25663:A0 0.00155665 -2 *29999:X 0.00155665 -3 *25663:A0 *26809:A1 3.18676e-05 -4 *25663:A0 *26810:A1 0.000439207 -5 *25663:A0 *28153:D 0.000222474 -6 *25663:A0 *28227:D 0.000548296 -7 *25663:A0 *5303:9 6.26865e-05 -8 *26809:S *25663:A0 0.000161995 -9 *506:24 *25663:A0 5.33433e-05 -10 *698:11 *25663:A0 7.77751e-05 -11 *748:16 *25663:A0 0.000304387 -12 *749:24 *25663:A0 0.000356209 -13 *3804:14 *25663:A0 0.000302844 -*RES -1 *29999:X *25663:A0 47.6714 -*END - -*D_NET *4244 0.0114642 -*CONN -*I *28154:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30000:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28154:D 0.000305817 -2 *30000:X 0 -3 *4244:7 0.00356853 -4 *4244:4 0.00326272 -5 *28154:D *28152:SET_B 2.51837e-05 -6 *28154:D *5488:55 0.000570961 -7 *28154:D *5730:133 0.000178874 -8 *4244:7 *4276:17 1.94146e-05 -9 *4244:7 *4978:17 0.00189222 -10 *4244:7 *5079:20 0.000481243 -11 *26813:S *4244:7 0.000183027 -12 *28154:CLK *28154:D 0.000350143 -13 *28228:CLK *4244:7 5.33433e-05 -14 *29309:A *4244:7 0.000115538 -15 *3652:97 *4244:7 0.000316281 -16 *3652:136 *28154:D 0.000140933 -*RES -1 *30000:X *4244:4 9.3 -2 *4244:4 *4244:7 43.5714 -3 *4244:7 *28154:D 18.8714 -*END - -*D_NET *4245 0.00427143 -*CONN -*I *25605:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30001:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25605:A1 0.000546626 -2 *30001:X 0.000546626 -3 *25605:A1 *25601:S 2.14658e-05 -4 *25605:A1 *25605:S 5.52302e-05 -5 *25605:A1 *28010:A1 0.000530229 -6 *25605:A1 *28981:A 0.000139907 -7 *25605:A1 *30001:A 4.13496e-05 -8 *25605:A1 *5689:30 0.00022266 -9 *25605:A1 *5895:15 0.000464586 -10 *1821:18 *25605:A1 9.6514e-05 -11 *1823:12 *25605:A1 9.90431e-05 -12 *1883:10 *25605:A1 0.0015072 -*RES -1 *30001:X *25605:A1 49.975 -*END - -*D_NET *4246 0.00590268 -*CONN -*I *29913:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30003:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *29913:A 0 -2 *30003:X 0.00182561 -3 *4246:11 0.00182561 -4 *4246:11 *26960:A0 0.000168216 -5 *4246:11 *28530:D 7.32173e-05 -6 *4246:11 *28530:SET_B 3.71441e-05 -7 *4246:11 *5544:11 4.55132e-05 -8 *4246:11 *5689:37 2.77258e-05 -9 *4246:11 *5689:56 1.02821e-05 -10 *4246:11 *5832:46 0.000400445 -11 *4246:11 *5833:200 0.000863392 -12 *26960:S *4246:11 0.000241145 -13 *29354:A *4246:11 0.000245356 -14 *2770:156 *4246:11 0.000139019 -*RES -1 *30003:X *4246:11 47.9964 -2 *4246:11 *29913:A 9.3 -*END - -*D_NET *4247 0.00177276 -*CONN -*I *28113:D I *D sky130_fd_sc_hd__dfstp_4 -*I *30004:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28113:D 0.000466688 -2 *30004:X 0.000466688 -3 *28113:D *25596:A0 0.000123756 -4 *28113:D *25600:A0 7.6644e-05 -5 *28113:D *30939:A 1.54874e-05 -6 *28113:D *5485:104 1.58163e-05 -7 *28113:D *5665:285 0.000319717 -8 *28113:D *5749:23 0 -9 *25216:C1 *28113:D 1.32293e-05 -10 *25614:S *28113:D 9.18532e-05 -11 *1498:49 *28113:D 0.00018288 -*RES -1 *30004:X *28113:D 38.35 -*END - -*D_NET *4248 0.00367619 -*CONN -*I *25684:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25739:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30005:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25684:A0 0.00018993 -2 *25739:A1 0 -3 *30005:X 0.000836489 -4 *4248:8 0.00102642 -5 *25684:A0 *25684:A1 5.71472e-05 -6 *25684:A0 *25684:S 5.52238e-05 -7 *25684:A0 *25739:S 0 -8 *25684:A0 *4781:15 0.000186662 -9 *4248:8 *28172:D 0.000440711 -10 *4248:8 *30005:A 2.37485e-05 -11 mgmt_gpio_out[19] *25684:A0 0.000266829 -12 mgmt_gpio_out[19] *4248:8 0.00026235 -13 *25685:A1 *25684:A0 0 -14 *30006:A *4248:8 0 -15 *30539:A *4248:8 0.000126629 -16 *30564:A *4248:8 0.000140471 -17 *30701:A *4248:8 6.35819e-05 -*RES -1 *30005:X *4248:8 26.8357 -2 *4248:8 *25739:A1 13.8 -3 *4248:8 *25684:A0 19.0321 -*END - -*D_NET *4249 0.00266259 -*CONN -*I *28207:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30006:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28207:D 0.000474839 -2 *30006:X 0.000474839 -3 *28207:D *25682:A0 0.000144633 -4 *28207:D *25683:A0 0.000161859 -5 *28207:D *30880:A 7.80714e-06 -6 *28207:D *5588:98 0.000870758 -7 *28207:D *5893:101 0.000243635 -8 mgmt_gpio_out[19] *28207:D 0.000120975 -9 *28207:CLK *28207:D 0.000107974 -10 *30701:A *28207:D 5.52724e-05 -*RES -1 *30006:X *28207:D 41.9571 -*END - -*D_NET *4250 0.00262112 -*CONN -*I *28021:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30007:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28021:A1 0.000570745 -2 *30007:X 0.000570745 -3 *28021:A1 *28835:RESET_B 5.41054e-05 -4 *28021:A1 *5489:45 0.000860278 -5 *28021:A1 *5691:269 0.000194147 -6 *28021:A1 *5691:276 1.90936e-05 -7 *28021:A1 *5698:55 0.000222238 -8 *3918:16 *28021:A1 0 -9 *3918:41 *28021:A1 0.000129764 -*RES -1 *30007:X *28021:A1 41.1357 -*END - -*D_NET *4251 0.00331849 -*CONN -*I *28836:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30008:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28836:D 0.000439925 -2 *30008:X 0.000439925 -3 *28836:D *28017:A1 8.43535e-06 -4 *28836:D *5126:11 0.000352657 -5 *28836:D *5691:284 0.000164635 -6 *29356:A *28836:D 0.000132201 -7 *1362:152 *28836:D 5.49544e-05 -8 *1430:29 *28836:D 2.59355e-05 -9 *1430:36 *28836:D 0.000162694 -10 *1600:9 *28836:D 0.000857799 -11 *3536:7 *28836:D 0.000605198 -12 *3814:28 *28836:D 7.41311e-05 -*RES -1 *30008:X *28836:D 42.4214 -*END - -*D_NET *4252 0.00676932 -*CONN -*I *27130:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30009:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27130:A1 3.33146e-05 -2 *30009:X 0.00231967 -3 *4252:9 0.00235299 -4 *27130:A1 *5781:10 7.5779e-05 -5 *4252:9 *26976:A1 4.0342e-06 -6 *4252:9 *27071:A1 0.000462763 -7 *4252:9 *5702:202 3.61629e-06 -8 *4252:9 *5906:15 0.000152813 -9 *4252:9 *6218:16 0.000257491 -10 *4252:9 *6259:38 0.000243818 -11 *29424:A *4252:9 9.90431e-05 -12 *974:20 *4252:9 0.000179034 -13 *978:14 *27130:A1 7.72966e-05 -14 *2769:209 *4252:9 0.000307336 -15 *3916:14 *4252:9 0.000178847 -16 *4034:42 *4252:9 2.14757e-05 -*RES -1 *30009:X *4252:9 49.8179 -2 *4252:9 *27130:A1 19.3357 -*END - -*D_NET *4253 0.00419826 -*CONN -*I *25963:C I *D sky130_fd_sc_hd__and4_1 -*I *25502:A I *D sky130_fd_sc_hd__or4_1 -*I *30593:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25963:C 0 -2 *25502:A 0.0001126 -3 *30593:X 0.000653092 -4 *4253:11 0.000765692 -5 *25502:A *25502:C 5.71472e-05 -6 *25502:A *25502:D 9.41642e-05 -7 *25502:A *25963:B 0.000148189 -8 *4253:11 *4552:15 5.33433e-05 -9 *4253:11 *6192:9 0.000178847 -10 *25503:C *25502:A 6.12434e-05 -11 *25511:A *25502:A 4.27437e-05 -12 *26329:B1 *4253:11 8.92415e-06 -13 *26329:C1 *4253:11 3.51442e-05 -14 *26330:A1 *4253:11 0.000127064 -15 *26330:C1 *4253:11 0.000364888 -16 *30592:A *4253:11 4.07673e-05 -17 *30593:A *25502:A 0.000146474 -18 *2046:20 *4253:11 0.000610754 -19 *2046:51 *4253:11 5.19921e-05 -20 *2157:16 *4253:11 0.000340793 -21 *4242:9 *4253:11 0.000304394 -*RES -1 *30593:X *4253:11 35.0321 -2 *4253:11 *25502:A 22.0143 -3 *4253:11 *25963:C 9.3 -*END - -*D_NET *4254 0.00559983 -*CONN -*I *28693:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30010:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28693:D 0 -2 *30010:X 0.000965991 -3 *4254:17 0.000965991 -4 *4254:17 *28457:D 0.000144773 -5 *4254:17 *4817:18 0.00022459 -6 *4254:17 *5544:5 0.000690986 -7 *4254:17 *6222:14 2.95642e-05 -8 *4254:17 *6224:18 0.000949016 -9 *4254:17 *6259:24 1.5424e-05 -10 *4254:17 *6260:10 0.000259674 -11 *4254:17 *6268:23 0.000196211 -12 *24832:A *4254:17 3.2086e-05 -13 *29920:A *4254:17 6.44345e-05 -14 *29956:A *4254:17 0.000269627 -15 *29964:A *4254:17 5.33005e-05 -16 *30010:A *4254:17 0.0004622 -17 *30574:A *4254:17 6.37318e-05 -18 *3926:15 *4254:17 0.000212234 -*RES -1 *30010:X *4254:17 46.2286 -2 *4254:17 *28693:D 9.3 -*END - -*D_NET *4255 0.00843545 -*CONN -*I *26816:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30011:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26816:A1 0 -2 *30011:X 0.000807463 -3 *4255:9 0.000807463 -4 *4255:9 *30910:A 0.000274245 -5 *4255:9 *30916:A 0.000328179 -6 *4255:9 *4256:11 0.000302544 -7 *4255:9 *5477:15 0.000117543 -8 *4255:9 *5496:40 5.71472e-05 -9 *4255:9 *5592:113 2.44318e-05 -10 pad_flash_clk_oeb *4255:9 9.83442e-05 -11 pad_flash_csb_oeb *4255:9 0.000353086 -12 pad_flash_io1_oeb *4255:9 0.000274294 -13 *26816:S *4255:9 0.000464797 -14 *754:11 *4255:9 0.00361735 -15 *755:42 *4255:9 0.000908566 -*RES -1 *30011:X *4255:9 48.4786 -2 *4255:9 *26816:A1 9.3 -*END - -*D_NET *4256 0.0137865 -*CONN -*I *28421:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30012:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28421:D 0.000733659 -2 *30012:X 0 -3 *4256:11 0.00172552 -4 *4256:4 0.000991862 -5 *28421:D *28422:D 0.000575099 -6 *28421:D *5496:40 0.000747296 -7 *28421:D *5685:173 0.000698716 -8 *4256:11 *26816:A0 3.10819e-05 -9 *4256:11 *29228:A 0.000258387 -10 *4256:11 *30910:A 0.000195331 -11 *4256:11 *30916:A 0.00020016 -12 *4256:11 *5477:15 0.000222666 -13 *4256:11 *5495:23 0.000256242 -14 *4256:11 *5592:113 0.00426135 -15 pad_flash_clk_oeb *4256:11 5.20751e-05 -16 pad_flash_csb_oeb *4256:11 0.00018631 -17 pad_flash_io1_ieb *4256:11 0 -18 pad_flash_io1_oeb *28421:D 0.000102197 -19 pll_div[0] *28421:D 6.98351e-05 -20 pll_div[2] *28421:D 0.000230704 -21 *26816:S *4256:11 0.000119193 -22 *244:20 *28421:D 0.000308469 -23 *754:11 *4256:11 0.000115846 -24 *755:42 *4256:11 0.00140199 -25 *4255:9 *4256:11 0.000302544 -*RES -1 *30012:X *4256:4 9.3 -2 *4256:4 *4256:11 49.987 -3 *4256:11 *28421:D 48.7011 -*END - -*D_NET *4257 0.0100279 -*CONN -*I *25923:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30014:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25923:A1 0 -2 *30014:X 0.00153446 -3 *4257:15 0.00153446 -4 *4257:15 *25617:A0 0.000108798 -5 *4257:15 *28229:SET_B 1.03859e-05 -6 *4257:15 *4258:16 9.54798e-06 -7 *4257:15 *5125:19 0.000847482 -8 *4257:15 *5705:44 0.000194286 -9 *4257:15 *5710:166 0.00128797 -10 *4257:15 *6225:27 8.86413e-05 -11 *25399:B2 *4257:15 0.000557391 -12 *29180:A *4257:15 0.00244373 -13 *29359:A *4257:15 0.000261583 -14 *460:33 *4257:15 0.000105924 -15 *572:19 *4257:15 4.14407e-05 -16 *1263:122 *4257:15 0.000112076 -17 *1471:56 *4257:15 0 -18 *3652:136 *4257:15 0.000161974 -19 *3801:21 *4257:15 0.000727783 -*RES -1 *30014:X *4257:15 47.329 -2 *4257:15 *25923:A1 9.3 -*END - -*D_NET *4258 0.00863971 -*CONN -*I *28369:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30015:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28369:D 0.00204674 -2 *30015:X 0.000314575 -3 *4258:16 0.00236132 -4 *28369:D *28369:RESET_B 9.66868e-05 -5 *28369:D *4329:18 0.000646055 -6 *28369:D *4950:25 7.49093e-05 -7 *4258:16 *25761:A0 0.000314313 -8 *4258:16 *4968:26 0.000316236 -9 *4258:16 *5698:106 5.28139e-05 -10 *4258:16 *5705:44 7.9475e-05 -11 *28369:CLK *28369:D 7.02611e-05 -12 *29199:A *4258:16 0.000295175 -13 *29241:A *28369:D 0.000769987 -14 *460:33 *28369:D 0.000649062 -15 *572:19 *4258:16 0.000125917 -16 *759:16 *4258:16 0.000290724 -17 *3653:26 *4258:16 0.000125917 -18 *4257:15 *4258:16 9.54798e-06 -*RES -1 *30015:X *4258:16 32.1887 -2 *4258:16 *28369:D 36.4473 -*END - -*D_NET *4259 0.00448404 -*CONN -*I *25600:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30016:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25600:A0 0.00146705 -2 *30016:X 0.00146705 -3 *25600:A0 *25613:A0 0.000305285 -4 *25600:A0 *30016:A 9.76689e-05 -5 *25600:A0 *5485:104 0.000161801 -6 *25600:A0 *5749:23 2.8266e-06 -7 *25600:A0 *5926:204 9.02916e-05 -8 *28113:D *25600:A0 7.6644e-05 -9 *3146:17 *25600:A0 0.000815421 -*RES -1 *30016:X *25600:A0 47.3679 -*END - -*D_NET *4260 0.00944018 -*CONN -*I *28102:D I *D sky130_fd_sc_hd__dfstp_1 -*I *30017:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28102:D 0 -2 *30017:X 0.00394095 -3 *4260:22 0.00394095 -4 *4260:22 *5040:13 0.000121786 -5 *4260:22 *5521:22 0.000180938 -6 *4260:22 *5624:132 0.000940308 -7 *4260:22 *6385:44 8.35554e-07 -8 *1227:68 *4260:22 0.000180313 -9 *1327:141 *4260:22 1.09611e-05 -10 *3648:30 *4260:22 1.08413e-05 -11 *3986:26 *4260:22 0.000112296 -*RES -1 *30017:X *4260:22 48.2889 -2 *4260:22 *28102:D 9.3 -*END - -*D_NET *4261 0.00727932 -*CONN -*I *25764:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30018:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25764:A0 0.000636128 -2 *30018:X 0.0012062 -3 *4261:17 0.00184233 -4 *25764:A0 *25759:B 0.000313541 -5 *25764:A0 *5778:31 0.000988451 -6 *25764:A0 *6225:17 5.33005e-05 -7 *4261:17 *25760:A0 0.000348474 -8 *4261:17 *28225:D 9.25014e-06 -9 *4261:17 *5733:72 0.000400867 -10 *25759:A *25764:A0 8.81605e-05 -11 *29231:A *25764:A0 0.000254031 -12 *30570:A *4261:17 0.000225616 -13 *568:21 *4261:17 0 -14 *572:19 *25764:A0 0 -15 *627:15 *4261:17 0.00011799 -16 *3806:25 *4261:17 0.00079498 -*RES -1 *30018:X *4261:17 43.9071 -2 *4261:17 *25764:A0 28.1214 -*END - -*D_NET *4262 0.0037854 -*CONN -*I *28229:D I *D sky130_fd_sc_hd__dfstp_2 -*I *30019:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28229:D 0.00037283 -2 *30019:X 0.00037283 -3 *28229:D *28284:D 4.43256e-05 -4 *28229:D *29015:A 1.02821e-05 -5 *28229:D *5002:18 0.00109642 -6 *28229:D *5041:54 0.00018126 -7 *28229:D *5041:55 0.000576118 -8 *29181:A *28229:D 0.000209229 -9 *504:19 *28229:D 0.000595547 -10 *568:21 *28229:D 0.000267558 -11 *627:15 *28229:D 5.89958e-05 -*RES -1 *30019:X *28229:D 36.2607 -*END - -*D_NET *4263 0.00523422 -*CONN -*I *25612:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30020:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25612:A0 0 -2 *30020:X 0.00104229 -3 *4263:9 0.00104229 -4 *4263:9 *27893:A1 9.99597e-05 -5 *4263:9 *27942:S 2.06178e-05 -6 *4263:9 *27968:A2 3.01697e-05 -7 *4263:9 *28811:RESET_B 6.22238e-05 -8 *4263:9 *4265:8 4.91106e-05 -9 *4263:9 *5279:29 4.23907e-05 -10 *4263:9 *5483:120 1.58163e-05 -11 *4263:9 *5599:128 4.29062e-05 -12 *4263:9 *5624:81 0.000371016 -13 *4263:9 *5685:122 9.60337e-06 -14 *4263:9 *5737:22 0.00060342 -15 *4263:9 *5737:43 0.000167902 -16 *4263:9 *5737:55 0.00010874 -17 *25609:S *4263:9 1.55485e-05 -18 *27942:A1 *4263:9 0.000191315 -19 *461:19 *4263:9 0.00079335 -20 *1294:77 *4263:9 9.39125e-05 -21 *1453:17 *4263:9 1.95625e-05 -22 *1498:34 *4263:9 5.52302e-05 -23 *1824:51 *4263:9 0.000130038 -24 *3412:62 *4263:9 0.000216542 -25 *3482:38 *4263:9 1.02603e-05 -*RES -1 *30020:X *4263:9 45.0679 -2 *4263:9 *25612:A0 9.3 -*END - -*D_NET *4264 0.00496625 -*CONN -*I *25502:D I *D sky130_fd_sc_hd__or4_1 -*I *25962:B I *D sky130_fd_sc_hd__and4_1 -*I *30594:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25502:D 0.000114731 -2 *25962:B 0.000195838 -3 *30594:X 0.000699988 -4 *4264:8 0.00101056 -5 *25502:D *4353:167 4.62539e-05 -6 *25962:B *25979:B1 0.000214593 -7 *4264:8 *4353:167 1.07561e-05 -8 *4264:8 *4552:20 0.000323167 -9 *4264:8 *6291:16 7.51601e-05 -10 *4264:8 *6291:30 6.23602e-05 -11 *25502:A *25502:D 9.41642e-05 -12 *25502:B *25502:D 9.91086e-05 -13 *25511:A *25502:D 9.41642e-05 -14 *25979:A1 *25962:B 5.52302e-05 -15 *30593:A *25502:D 2.14378e-05 -16 *30593:A *4264:8 0.000131845 -17 *30594:A *4264:8 2.37324e-05 -18 *1187:7 *4264:8 0.00014183 -19 *1905:8 *25962:B 0.00064503 -20 *1911:14 *4264:8 0.000151302 -21 *1911:19 *4264:8 2.07158e-05 -22 *1921:10 *25962:B 0.000179043 -23 *1927:8 *4264:8 0.000120776 -24 *1993:8 *4264:8 0.000434463 -*RES -1 *30594:X *4264:8 29.2464 -2 *4264:8 *25962:B 20.5143 -3 *4264:8 *25502:D 16.6929 -*END - -*D_NET *4265 0.00742764 -*CONN -*I *28110:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30021:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28110:D 0.000102548 -2 *30021:X 0.00118382 -3 *4265:8 0.00128637 -4 *28110:D *25612:A1 0.000349111 -5 *28110:D *5483:120 2.57487e-05 -6 *4265:8 *27893:A1 0.000797991 -7 *4265:8 *5052:36 9.66977e-05 -8 *4265:8 *5685:122 1.90936e-05 -9 *25609:S *4265:8 5.46971e-05 -10 *28110:CLK *28110:D 7.16452e-05 -11 *459:10 *4265:8 0.00231079 -12 *461:19 *4265:8 0.000124949 -13 *1294:77 *4265:8 0.000132319 -14 *1453:17 *4265:8 0.000170318 -15 *1471:37 *4265:8 4.26825e-05 -16 *1498:34 *28110:D 9.60939e-05 -17 *1824:51 *4265:8 0.000513656 -18 *4263:9 *4265:8 4.91106e-05 -*RES -1 *30021:X *4265:8 46.1393 -2 *4265:8 *28110:D 17.2107 -*END - -*D_NET *4266 0.00172 -*CONN -*I *25941:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30022:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25941:A0 0.000385902 -2 *30022:X 0.000385902 -3 *25941:A0 *30022:A 0.000260574 -4 *25941:A0 *5154:10 0.000147121 -5 *25941:A0 *5685:112 0.000135028 -6 *25941:A0 *5685:122 5.33005e-05 -7 *25941:S *25941:A0 4.58194e-05 -8 *29536:A *25941:A0 2.89016e-05 -9 *451:12 *25941:A0 0.000147121 -10 *3820:38 *25941:A0 0.000130326 -*RES -1 *30022:X *25941:A0 36.2429 -*END - -*D_NET *4267 0.00243724 -*CONN -*I *28384:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30023:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28384:D 0.00046722 -2 *30023:X 0.00046722 -3 *28384:D *5037:11 0.000656626 -4 *28384:D *5501:23 0.000465281 -5 *28384:D *5737:77 0.000150629 -6 *3568:136 *28384:D 0.000218404 -7 *3649:79 *28384:D 1.18544e-05 -*RES -1 *30023:X *28384:D 38.7071 -*END - -*D_NET *4268 0.00196641 -*CONN -*I *25629:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30025:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25629:A1 0.00039669 -2 *30025:X 0.00039669 -3 *25629:A1 *28196:RESET_B 0.000117533 -4 *25629:A1 *5586:51 4.79957e-05 -5 *25629:A1 *5638:195 0.000177341 -6 *25629:A1 *5774:164 0.000386945 -7 *25629:S *25629:A1 5.71472e-05 -8 *29942:A *25629:A1 0.000178847 -9 *30025:A *25629:A1 5.33005e-05 -10 *3731:39 *25629:A1 0.000153921 -*RES -1 *30025:X *25629:A1 29.8143 -*END - -*D_NET *4269 0.000979322 -*CONN -*I *28125:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30026:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28125:D 0.000137776 -2 *30026:X 0.000137776 -3 *28125:D *5676:47 0.000258582 -4 *28125:D *5695:99 9.41642e-05 -5 *28125:D *5814:12 0.00025686 -6 *3991:71 *28125:D 9.41642e-05 -*RES -1 *30026:X *28125:D 32.0107 -*END - -*D_NET *4270 0.0016319 -*CONN -*I *27103:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30027:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27103:A1 0.000500952 -2 *30027:X 0.000500952 -3 *2774:31 *27103:A1 0.000629996 -*RES -1 *30027:X *27103:A1 24.8857 -*END - -*D_NET *4271 0.00346889 -*CONN -*I *28669:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30028:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28669:D 0.000906552 -2 *30028:X 0.000906552 -3 *28669:D *5668:50 0.000552117 -4 *28669:D *5795:206 0.000250532 -5 *3887:7 *28669:D 0.000123471 -6 *3887:9 *28669:D 8.33813e-05 -7 *3887:17 *28669:D 9.41642e-05 -8 *3991:38 *28669:D 0.000552117 -*RES -1 *30028:X *28669:D 42.9973 -*END - -*D_NET *4272 0.00118275 -*CONN -*I *25832:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30029:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25832:A0 0.000343661 -2 *30029:X 0.000343661 -3 *25832:A0 *5698:90 0 -4 *25832:A0 *5705:227 0.000221641 -5 *30029:A *25832:A0 0.000148182 -6 *1430:43 *25832:A0 2.47753e-05 -7 *1876:12 *25832:A0 0.000100831 -*RES -1 *30029:X *25832:A0 32.9571 -*END - -*D_NET *4273 0.000638844 -*CONN -*I *28287:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30030:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28287:D 0.000154549 -2 *30030:X 0.000154549 -3 *28287:CLK *28287:D 0.000227539 -4 *4129:34 *28287:D 0.000102207 -*RES -1 *30030:X *28287:D 22.4393 -*END - -*D_NET *4274 0.0093304 -*CONN -*I *25662:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30031:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25662:A0 0.000426934 -2 *30031:X 0.0017506 -3 *4274:16 0.00217753 -4 *25662:A0 *26821:A1 0.000269428 -5 *25662:A0 *5691:298 0.000944559 -6 *4274:16 *26835:A0 0.00020546 -7 *4274:16 *28227:D 3.49234e-05 -8 *4274:16 *29533:A 7.69776e-06 -9 *4274:16 *4276:17 3.11358e-05 -10 *4274:16 *5027:17 0.00141012 -11 *4274:16 *5079:20 0.000295412 -12 *4274:16 *5484:44 2.06112e-05 -13 *4274:16 *5491:104 0.000388398 -14 *26813:S *4274:16 2.63752e-05 -15 *26815:S *4274:16 1.5702e-05 -16 *26821:S *25662:A0 2.89016e-05 -17 *29999:A *25662:A0 0.000268259 -18 *30031:A *4274:16 0.000562943 -19 *505:17 *25662:A0 2.23592e-05 -20 *698:11 *25662:A0 1.21289e-05 -21 *758:26 *25662:A0 5.71472e-05 -22 *758:26 *4274:16 4.19624e-06 -23 *2744:51 *4274:16 2.30169e-05 -24 *3884:24 *25662:A0 0.000346564 -*RES -1 *30031:X *4274:16 48.0139 -2 *4274:16 *25662:A0 23.9429 -*END - -*D_NET *4275 0.00543625 -*CONN -*I *25502:C I *D sky130_fd_sc_hd__or4_1 -*I *25962:A I *D sky130_fd_sc_hd__and4_1 -*I *30595:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25502:C 0.000332689 -2 *25962:A 0 -3 *30595:X 0.000776273 -4 *4275:8 0.00110896 -5 *25502:C *4353:181 1.10632e-05 -6 *25502:C *4541:8 0.000203996 -7 *4275:8 *26086:A 5.41794e-05 -8 *4275:8 *26559:A 9.3854e-05 -9 *4275:8 *4353:181 0.0001824 -10 *4275:8 *4541:8 0.000200937 -11 *4275:8 *4541:10 0.000514785 -12 *4275:8 *4541:17 8.71613e-05 -13 *25502:A *25502:C 5.71472e-05 -14 *25503:C *25502:C 0.00014285 -15 *25511:A *25502:C 0.000174884 -16 *25977:A1 *4275:8 5.15925e-05 -17 *25978:A *25502:C 0.000137983 -18 *25978:B *25502:C 7.27493e-05 -19 *25978:B *4275:8 8.31932e-05 -20 *30595:A *25502:C 1.4851e-05 -21 *1907:22 *4275:8 0.000407836 -22 *1919:8 *4275:8 4.35842e-05 -23 *1919:19 *4275:8 0.000211592 -24 *1922:19 *4275:8 0 -25 *1926:13 *4275:8 3.35016e-05 -26 *2039:29 *4275:8 2.39654e-05 -27 *2141:39 *4275:8 9.90367e-05 -28 *2250:94 *4275:8 0.000216147 -29 *2512:20 *4275:8 9.90367e-05 -*RES -1 *30595:X *4275:8 34.9071 -2 *4275:8 *25962:A 13.8 -3 *4275:8 *25502:C 22.3714 -*END - -*D_NET *4276 0.0130781 -*CONN -*I *28153:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30032:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28153:D 0.000344611 -2 *30032:X 0.00283879 -3 *4276:17 0.0031834 -4 *28153:D *5303:9 0.00112404 -5 *28153:D *5691:293 3.72472e-05 -6 *4276:17 *25617:A0 0.000173072 -7 *4276:17 *26835:A0 0.00069684 -8 *4276:17 *28228:SET_B 4.85631e-05 -9 *4276:17 *4331:27 2.89016e-05 -10 *4276:17 *4336:11 7.57819e-06 -11 *4276:17 *4382:17 4.00349e-05 -12 *4276:17 *4383:16 4.79803e-05 -13 *4276:17 *4977:22 0 -14 *4276:17 *4978:17 0.000211836 -15 *4276:17 *5026:35 2.50073e-05 -16 *4276:17 *5078:20 0.000542003 -17 *4276:17 *5079:20 0.00100155 -18 *4276:17 *5093:19 0 -19 *4276:17 *5094:20 0.000358287 -20 *4276:17 *5698:256 1.59371e-05 -21 *25663:A0 *28153:D 0.000222474 -22 *28228:CLK *4276:17 3.67257e-05 -23 *29206:A *4276:17 0.00119395 -24 *29309:A *4276:17 8.6229e-06 -25 *30031:A *4276:17 0.000423537 -26 *30032:A *4276:17 2.09655e-05 -27 *698:11 *28153:D 0.000144105 -28 *758:26 *4276:17 2.24813e-05 -29 *3653:44 *4276:17 1.15313e-05 -30 *3804:14 *28153:D 0.000181796 -31 *3892:18 *4276:17 3.56346e-05 -32 *4184:14 *4276:17 0 -33 *4244:7 *4276:17 1.94146e-05 -34 *4274:16 *4276:17 3.11358e-05 -*RES -1 *30032:X *4276:17 46.2971 -2 *4276:17 *28153:D 30.4429 -*END - -*D_NET *4277 0.00192408 -*CONN -*I *25757:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30033:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25757:A0 0.000295692 -2 *30033:X 0.000295692 -3 *25757:A0 *5016:17 8.43535e-06 -4 *25757:A0 *5052:36 0.000510394 -5 *25757:A0 *5618:142 0.000167489 -6 *25757:A0 *5691:252 4.27935e-05 -7 *25757:A0 *5691:260 5.33005e-05 -8 *25757:S *25757:A0 5.52302e-05 -9 *29995:A *25757:A0 0.000129981 -10 *30033:A *25757:A0 0.000136682 -11 *3801:109 *25757:A0 0.000228389 -*RES -1 *30033:X *25757:A0 27.7607 -*END - -*D_NET *4278 0.0049264 -*CONN -*I *28223:D I *D sky130_fd_sc_hd__dfstp_2 -*I *30034:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28223:D 0.000749342 -2 *30034:X 0.000749342 -3 *28223:D *27505:A2 0.000736221 -4 *28223:D *4946:14 0.00067704 -5 *28223:D *5705:139 0.000760063 -6 *28223:D *5705:148 4.38128e-05 -7 *28223:D *5710:183 0.000136951 -8 *25755:S *28223:D 0.000318618 -9 *28952:A *28223:D 5.52302e-05 -10 *29176:A *28223:D 0.000130045 -11 *1471:37 *28223:D 0.000259854 -12 *3650:38 *28223:D 0.000170385 -13 *3650:42 *28223:D 0.000139491 -*RES -1 *30034:X *28223:D 41.4571 -*END - -*D_NET *4279 0.00291033 -*CONN -*I *27176:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30037:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27176:A1 0.000387395 -2 *30037:X 0.000387395 -3 *27176:A1 *27176:A0 2.28598e-05 -4 *27176:A1 *5649:116 7.34839e-05 -5 *27176:A1 *5829:113 1.21258e-05 -6 *27176:A1 *5926:78 8.99641e-05 -7 *24988:A2 *27176:A1 0.000385699 -8 *30037:A *27176:A1 9.41642e-05 -9 *30038:A *27176:A1 0.000306921 -10 *1288:229 *27176:A1 0.000124765 -11 *2791:102 *27176:A1 5.52302e-05 -12 *2874:190 *27176:A1 0.000278342 -13 *2891:147 *27176:A1 0.000680182 -14 *3153:165 *27176:A1 1.18064e-05 -*RES -1 *30037:X *27176:A1 40.5643 -*END - -*D_NET *4280 0.0014116 -*CONN -*I *28734:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30038:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28734:D 0.000493777 -2 *30038:X 0.000493777 -3 *28734:D *28734:RESET_B 2.5385e-05 -4 *28734:D *5640:27 2.59355e-05 -5 *28734:D *5658:44 0.000150618 -6 *28734:D *5756:29 6.77953e-05 -7 *24988:A2 *28734:D 0.000150618 -8 *4008:8 *28734:D 3.69047e-06 -*RES -1 *30038:X *28734:D 36.2429 -*END - -*D_NET *4281 0.00357063 -*CONN -*I *25940:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30039:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25940:A0 0.000539681 -2 *30039:X 0.000539681 -3 *25940:A0 *25940:A1 5.33005e-05 -4 *25940:A0 *5488:79 0.000355619 -5 *25940:A0 *5691:229 2.05917e-05 -6 *25940:A0 *6225:48 2.43875e-05 -7 *25940:A0 *6225:61 0.000187964 -8 *25132:B1 *25940:A0 0.000135028 -9 *25132:B2 *25940:A0 0.000635491 -10 *25940:S *25940:A0 0.000304394 -11 *458:10 *25940:A0 0.000261485 -12 *1140:10 *25940:A0 0.000261485 -13 *1264:17 *25940:A0 6.43445e-05 -14 *3661:31 *25940:A0 9.09604e-05 -15 *3663:10 *25940:A0 3.37161e-05 -16 *4009:31 *25940:A0 6.25005e-05 -*RES -1 *30039:X *25940:A0 45.8292 -*END - -*D_NET *4282 0.00647984 -*CONN -*I *28383:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30040:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28383:D 0.000386491 -2 *30040:X 0.00115111 -3 *4282:17 0.0015376 -4 *28383:D *27527:A2 0.000173551 -5 *28383:D *28222:D 0.000886578 -6 *4282:17 *25756:A0 6.97409e-05 -7 *4282:17 *28221:RESET_B 0.000103685 -8 *4282:17 *28222:D 9.55226e-05 -9 *4282:17 *29018:A 4.53889e-05 -10 *4282:17 *5041:23 1.6539e-05 -11 *4282:17 *5510:87 3.72534e-05 -12 *4282:17 *5734:8 2.84026e-05 -13 *4282:17 *5735:42 0.000515765 -14 *27527:B1 *28383:D 5.33005e-05 -15 *27918:B1_N *28383:D 6.05161e-06 -16 *28222:CLK *28383:D 4.48128e-05 -17 *28222:CLK *4282:17 0.000225103 -18 *565:17 *4282:17 5.31113e-05 -19 *716:16 *4282:17 0.000600862 -20 *1408:26 *4282:17 6.72456e-05 -21 *3571:53 *4282:17 0.000204524 -22 *3650:13 *28383:D 7.27549e-05 -23 *3918:83 *4282:17 0.000104452 -*RES -1 *30040:X *4282:17 49.8357 -2 *4282:17 *28383:D 19.1929 -*END - -*D_NET *4283 0.00182497 -*CONN -*I *26981:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30041:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26981:A1 0.000570231 -2 *30041:X 0.000570231 -3 *26981:A1 *27026:A1 9.41642e-05 -4 *26981:A1 *28561:D 1.21258e-05 -5 *26981:S *26981:A1 6.42095e-05 -6 *30041:A *26981:A1 0.000175892 -7 *30042:A *26981:A1 0.000135028 -8 *1274:172 *26981:A1 0.000101545 -9 *3708:32 *26981:A1 0.000101545 -*RES -1 *30041:X *26981:A1 35.7607 -*END - -*D_NET *4284 0.0025323 -*CONN -*I *28561:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30042:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28561:D 0.000555254 -2 *30042:X 0.000555254 -3 *28561:D *5528:47 0.000337637 -4 *28561:D *5529:52 0.000340066 -5 *26981:A1 *28561:D 1.21258e-05 -6 *30041:A *28561:D 0.000108557 -7 *30395:A *28561:D 0.000200003 -8 *3696:5 *28561:D 0.000199334 -9 *3786:68 *28561:D 0.000224064 -*RES -1 *30042:X *28561:D 41.1357 -*END - -*D_NET *4285 0.0012776 -*CONN -*I *26963:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30043:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26963:A1 0.000264326 -2 *30043:X 0.000264326 -3 *26963:A1 *26963:A0 0.000126713 -4 *26963:A1 *5614:13 0.000203665 -5 *26963:A1 *5614:18 0.000164921 -6 *26963:A1 *5676:184 0.00014705 -7 *26963:S *26963:A1 5.33005e-05 -8 *30043:A *26963:A1 5.33005e-05 -9 *2767:62 *26963:A1 0 -*RES -1 *30043:X *26963:A1 25.9929 -*END - -*D_NET *4286 0.00952286 -*CONN -*I *25505:B I *D sky130_fd_sc_hd__or4_1 -*I *25962:D I *D sky130_fd_sc_hd__and4_1 -*I *30596:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25505:B 6.53012e-05 -2 *25962:D 0.000151721 -3 *30596:X 0.0012212 -4 *4286:23 0.000434242 -5 *4286:15 0.00143842 -6 *25505:B *25961:A 9.54301e-05 -7 *25505:B *25961:B 0.000116964 -8 *25962:D *25961:B 5.33005e-05 -9 *25962:D *4341:11 6.71657e-05 -10 *4286:15 *4419:20 0.000964542 -11 *4286:15 *5726:20 0.000792233 -12 *4286:15 *6214:22 0.00057022 -13 *4286:23 *25961:B 0.000137983 -14 *25511:B *4286:15 0.000186662 -15 *30596:A *4286:15 2.95726e-05 -16 *30598:A *4286:23 0.000351835 -17 *30600:A *4286:15 7.83587e-05 -18 *30606:A *4286:15 9.90431e-05 -19 *255:24 *25962:D 0.000114577 -20 *255:24 *4286:23 6.26276e-05 -21 *1791:19 *4286:15 0.00181212 -22 *1793:22 *4286:15 0.000173854 -23 *1904:8 *25962:D 3.91952e-05 -24 *1905:8 *25962:D 7.43578e-06 -25 *1914:8 *25505:B 9.21418e-06 -26 *1914:8 *4286:23 0.000353557 -27 *1921:10 *25962:D 9.60875e-05 -*RES -1 *30596:X *4286:15 49.7107 -2 *4286:15 *4286:23 15.4643 -3 *4286:23 *25962:D 13.2643 -4 *4286:23 *25505:B 19.9429 -*END - -*D_NET *4287 0.00121776 -*CONN -*I *28545:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30044:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28545:D 0.000311152 -2 *30044:X 0.000311152 -3 *244:65 *28545:D 0.000127439 -4 *2763:58 *28545:D 0.000125717 -5 *4151:32 *28545:D 0.000342302 -*RES -1 *30044:X *28545:D 32.6536 -*END - -*D_NET *4288 0.00341587 -*CONN -*I *26990:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30045:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26990:A1 0.0010911 -2 *30045:X 0.0010911 -3 *26990:A1 *26990:A0 9.41642e-05 -4 *26990:A1 *28497:RESET_B 6.56534e-05 -5 *26990:A1 *5767:81 0.000537316 -6 *3618:39 *26990:A1 0.000149337 -7 *4073:22 *26990:A1 0.0003872 -*RES -1 *30045:X *26990:A1 34.0643 -*END - -*D_NET *4289 0.00170316 -*CONN -*I *28569:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30046:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28569:D 0.00043557 -2 *30046:X 0.00043557 -3 *28569:D *5614:13 5.52238e-05 -4 *28569:D *5767:89 0.000370533 -5 *2768:190 *28569:D 0.000142748 -6 *3679:89 *28569:D 0.000126439 -7 *3709:9 *28569:D 0.000137074 -*RES -1 *30046:X *28569:D 37.4571 -*END - -*D_NET *4290 0.00258662 -*CONN -*I *26891:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30048:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26891:A1 0.000790957 -2 *30048:X 0.000790957 -3 *26891:A1 *28555:D 1.58163e-05 -4 *26891:A1 *28568:RESET_B 1.92905e-05 -5 *26891:A1 *5461:26 0.000203777 -6 *26891:A1 *5603:17 2.77258e-05 -7 *28555:CLK *26891:A1 0.000135028 -8 *2759:168 *26891:A1 2.5066e-05 -9 *2759:180 *26891:A1 1.52717e-05 -10 *2769:79 *26891:A1 0.000391302 -11 *3813:11 *26891:A1 0.000131659 -12 *4147:106 *26891:A1 3.97677e-05 -*RES -1 *30048:X *26891:A1 41.35 -*END - -*D_NET *4291 0.00095689 -*CONN -*I *28481:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30049:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28481:D 0.00021711 -2 *30049:X 0.00021711 -3 *28481:D *26891:A0 0.000150618 -4 *28481:D *5528:53 0.00015234 -5 *3813:19 *28481:D 0.000219711 -*RES -1 *30049:X *28481:D 31.725 -*END - -*D_NET *4292 0.00117365 -*CONN -*I *27035:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30050:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27035:A1 0.000363897 -2 *30050:X 0.000363897 -3 *2770:27 *27035:A1 0.000128146 -4 *3774:5 *27035:A1 0.000189564 -5 *3786:57 *27035:A1 0.000128146 -*RES -1 *30050:X *27035:A1 33.0643 -*END - -*D_NET *4293 0.00074003 -*CONN -*I *28609:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30051:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28609:D 0.000233267 -2 *30051:X 0.000233267 -3 *28609:D *5528:55 0.000101178 -4 *28609:D *5676:125 6.57032e-05 -5 *24853:A *28609:D 6.96499e-06 -6 *3786:57 *28609:D 9.96487e-05 -*RES -1 *30051:X *28609:D 31.1179 -*END - -*D_NET *4294 0.00169231 -*CONN -*I *26999:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30052:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26999:A1 0.000196176 -2 *30052:X 0.000196176 -3 *26999:A1 *28577:D 0.000549154 -4 *26999:A1 *5676:125 0.000549154 -5 *26999:A1 *5910:88 0.000100823 -6 *2773:45 *26999:A1 0.000100823 -*RES -1 *30052:X *26999:A1 34.4036 -*END - -*D_NET *4295 0.00279913 -*CONN -*I *28577:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30053:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28577:D 0.000487026 -2 *30053:X 0.000487026 -3 *28577:D *5676:110 5.33005e-05 -4 *28577:D *5676:125 5.36e-05 -5 *28577:D *5767:150 4.27842e-06 -6 *28577:D *5767:166 1.89846e-05 -7 *28577:D *5847:44 8.80151e-05 -8 *26998:S *28577:D 0.000354109 -9 *26999:A1 *28577:D 0.000549154 -10 *27798:A1 *28577:D 1.21258e-05 -11 *28577:CLK *28577:D 6.96806e-05 -12 *28616:CLK *28577:D 0.000355301 -13 *30053:A *28577:D 0.000104793 -14 *2771:171 *28577:D 8.36572e-05 -15 *4124:59 *28577:D 7.80759e-05 -*RES -1 *30053:X *28577:D 41.35 -*END - -*D_NET *4296 0.0117699 -*CONN -*I *25621:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30054:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25621:A0 0.000764753 -2 *30054:X 0.000175229 -3 *4296:9 0.00295634 -4 *4296:8 0.00236682 -5 *25621:A0 *28426:D 1.30905e-05 -6 *25621:A0 *5160:37 2.37838e-05 -7 *25621:A0 *5496:10 0.000139028 -8 *25621:A0 *5518:33 0.000135848 -9 *4296:9 *4309:9 0.000236891 -10 *4296:9 *5073:13 0.00309564 -11 pad_flash_io0_do *4296:8 0 -12 pll_sel[0] *25621:A0 0 -13 pll_sel[1] *25621:A0 9.97104e-05 -14 pll_sel[2] *25621:A0 0 -15 *160:14 *4296:8 9.23634e-05 -16 *177:11 *4296:9 0.00128912 -17 *469:10 *25621:A0 0.000353539 -18 *1825:34 *25621:A0 2.77258e-05 -*RES -1 *30054:X *4296:8 21.7643 -2 *4296:8 *4296:9 59.2679 -3 *4296:9 *25621:A0 33.675 -*END - -*D_NET *4297 0.00497667 -*CONN -*I *25505:A I *D sky130_fd_sc_hd__or4_1 -*I *25962:C I *D sky130_fd_sc_hd__and4_1 -*I *30597:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25505:A 0 -2 *25962:C 0.000295853 -3 *30597:X 0.000767269 -4 *4297:15 0.00106312 -5 *25962:C *4541:8 5.10176e-05 -6 *4297:15 *26015:A2 6.92856e-05 -7 *25970:B *4297:15 4.6098e-05 -8 *26091:A *4297:15 0 -9 *26332:C *25962:C 1.24368e-05 -10 *26332:C *4297:15 0.000137983 -11 *26559:B *25962:C 5.96046e-05 -12 *30598:A *4297:15 2.09826e-05 -13 *1788:17 *4297:15 1.90936e-05 -14 *1902:8 *4297:15 0 -15 *1906:14 *4297:15 1.94879e-05 -16 *1956:15 *25962:C 6.33204e-05 -17 *1956:15 *4297:15 0.00054847 -18 *2152:157 *25962:C 0.000335871 -19 *2152:157 *4297:15 0.000962787 -20 *2157:25 *4297:15 4.33002e-05 -21 *2227:85 *4297:15 7.23544e-05 -22 *2258:41 *4297:15 0 -23 *2272:15 *25962:C 0.000388334 -*RES -1 *30597:X *4297:15 34.6625 -2 *4297:15 *25962:C 26.05 -3 *4297:15 *25505:A 9.3 -*END - -*D_NET *4298 0.0210751 -*CONN -*I *28118:D I *D sky130_fd_sc_hd__dfstp_1 -*I *30055:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28118:D 0.000405164 -2 *30055:X 0.000639887 -3 *4298:9 0.00199806 -4 *4298:8 0.00223278 -5 *28118:D *28117:D 0 -6 *28118:D *28117:SET_B 0 -7 *28118:D *5235:22 9.57906e-05 -8 *28118:D *5734:60 0 -9 *4298:8 *29257:A 0.000186805 -10 *4298:8 *5476:8 0 -11 *4298:8 *5477:15 0.000202206 -12 *4298:8 *5733:13 0.000354466 -13 *4298:9 *4309:9 0.00109367 -14 *4298:9 *5520:25 0.00707932 -15 pad_flash_io0_do *4298:8 0.000195555 -16 pll_trim[2] *28118:D 0.000193833 -17 pll_trim[3] *28118:D 0 -18 *30055:A *4298:8 0.000313148 -19 *470:15 *4298:9 0.00608442 -*RES -1 *30055:X *4298:8 32.0857 -2 *4298:8 *4298:9 78.9821 -3 *4298:9 *28118:D 25.4071 -*END - -*D_NET *4299 0.000826348 -*CONN -*I *26909:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30056:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26909:A1 0.000334815 -2 *30056:X 0.000334815 -3 *26909:A1 *5681:27 7.83587e-05 -4 *4147:106 *26909:A1 7.83587e-05 -*RES -1 *30056:X *26909:A1 31.6357 -*END - -*D_NET *4300 0.001457 -*CONN -*I *28497:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30057:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28497:D 0.000436398 -2 *30057:X 0.000436398 -3 *1315:10 *28497:D 7.83659e-05 -4 *2764:129 *28497:D 0.00034127 -5 *2773:8 *28497:D 8.00806e-05 -6 *3618:39 *28497:D 6.32914e-05 -7 *3618:43 *28497:D 2.12005e-05 -*RES -1 *30057:X *28497:D 33.6045 -*END - -*D_NET *4301 0.0020784 -*CONN -*I *26972:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30059:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26972:A1 0.000447487 -2 *30059:X 0.000447487 -3 *26972:A1 *26972:A0 0.000277095 -4 *26972:A1 *27035:A0 0.000135028 -5 *26972:A1 *28553:RESET_B 0.000345257 -6 *26972:A1 *5528:53 1.721e-05 -7 *26972:A1 *5528:55 4.53834e-05 -8 *26972:A1 *5601:97 2.04825e-05 -9 *27035:S *26972:A1 0.000178425 -10 *2768:190 *26972:A1 6.05161e-06 -11 *3618:74 *26972:A1 0.00010326 -12 *3683:9 *26972:A1 5.52302e-05 -*RES -1 *30059:X *26972:A1 37.4036 -*END - -*D_NET *4302 0.00264008 -*CONN -*I *28553:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30060:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28553:D 0.000693416 -2 *30060:X 0.000693416 -3 *28553:D *28609:RESET_B 0.000292047 -4 *28553:D *5681:27 1.90303e-05 -5 *28553:D *5767:125 0.000146474 -6 *28553:D *5836:40 2.89114e-05 -7 *2759:149 *28553:D 6.82922e-05 -8 *2759:168 *28553:D 7.47029e-06 -9 *2761:213 *28553:D 0.000493565 -10 *2772:164 *28553:D 0.00014211 -11 *3618:69 *28553:D 5.53458e-05 -*RES -1 *30060:X *28553:D 41.6 -*END - -*D_NET *4303 0.00167597 -*CONN -*I *28020:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30061:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28020:A1 0.000358815 -2 *30061:X 0.000358815 -3 *28020:A1 *28355:D 0.00033901 -4 *1363:49 *28020:A1 0.000176438 -5 *1600:17 *28020:A1 0.000262114 -6 *3651:65 *28020:A1 0.000180777 -*RES -1 *30061:X *28020:A1 37.0286 -*END - -*D_NET *4304 0.000889734 -*CONN -*I *28835:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30062:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28835:D 0.000293582 -2 *30062:X 0.000293582 -3 *30062:A *28835:D 0.000100823 -4 *3791:84 *28835:D 0.000102545 -5 *3973:41 *28835:D 9.92016e-05 -*RES -1 *30062:X *28835:D 31.9393 -*END - -*D_NET *4305 0.000878377 -*CONN -*I *26927:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30063:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26927:A1 0.000126478 -2 *30063:X 0.000126478 -3 *26927:A1 *5767:55 0.000218685 -4 *30063:A *26927:A1 0.000150632 -5 *30064:A *26927:A1 0.000105471 -6 *2767:20 *26927:A1 0.000150632 -*RES -1 *30063:X *26927:A1 31.725 -*END - -*D_NET *4306 0.00257722 -*CONN -*I *28513:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30064:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28513:D 0.000750109 -2 *30064:X 0.000750109 -3 *28513:D *5910:91 3.69047e-06 -4 *30057:A *28513:D 9.23451e-05 -5 *30063:A *28513:D 0.000376474 -6 *2763:44 *28513:D 0.000316894 -7 *2763:55 *28513:D 0.000135138 -8 *2767:20 *28513:D 4.75428e-05 -9 *2773:45 *28513:D 9.66073e-06 -10 *3580:57 *28513:D 5.56292e-05 -11 *4099:8 *28513:D 9.25014e-06 -12 *4124:85 *28513:D 3.03813e-05 -*RES -1 *30064:X *28513:D 41.225 -*END - -*D_NET *4307 0.0177218 -*CONN -*I *25620:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30065:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25620:A0 0.000539477 -2 *30065:X 0.00128443 -3 *4307:17 0.00384013 -4 *4307:14 0.00458508 -5 *25620:A0 *28425:D 0.000141985 -6 *25620:A0 *28425:RESET_B 6.72353e-05 -7 *25620:A0 *5483:96 0.000402405 -8 *25620:A0 *5734:125 0.000445358 -9 *4307:14 *5014:24 8.79856e-06 -10 *4307:14 *5042:5 0.00146161 -11 *4307:14 *5050:25 8.6229e-06 -12 *4307:14 *5160:22 4.2985e-05 -13 *4307:14 *5476:8 9.14152e-05 -14 *4307:14 *5477:15 0.000207282 -15 *4307:14 *5730:11 2.49067e-05 -16 *4307:17 *28425:D 0.000240537 -17 *4307:17 *5519:19 0.000374527 -18 *4307:17 *5519:25 0.00260596 -19 *25921:S *4307:17 2.23628e-05 -20 *469:10 *25620:A0 0.000476744 -21 *756:16 *4307:14 7.29712e-05 -22 *1498:13 *25620:A0 6.87574e-05 -23 *1825:7 *4307:17 0.00052642 -24 *1825:34 *4307:17 0.000181803 -*RES -1 *30065:X *4307:14 46.8 -2 *4307:14 *4307:17 45.2143 -3 *4307:17 *25620:A0 24.2286 -*END - -*D_NET *4308 0.00469631 -*CONN -*I *25961:B I *D sky130_fd_sc_hd__and4_1 -*I *25505:D I *D sky130_fd_sc_hd__or4_1 -*I *30598:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25961:B 0.000158186 -2 *25505:D 0 -3 *30598:X 0.000685254 -4 *4308:8 0.000843439 -5 *25961:B *25505:C 2.21972e-05 -6 *25961:B *25961:A 1.19021e-05 -7 *25961:B *4319:8 2.49484e-05 -8 *25961:B *4341:11 0.000181796 -9 *4308:8 *4319:8 0.000127335 -10 *25505:B *25961:B 0.000116964 -11 *25962:D *25961:B 5.33005e-05 -12 *26560:A *4308:8 7.32272e-05 -13 *26561:A2 *4308:8 0.000429934 -14 *30598:A *4308:8 0.00022151 -15 *256:22 *25961:B 0.000220972 -16 *256:22 *4308:8 0.000449004 -17 *1187:7 *4308:8 1.21289e-05 -18 *1908:27 *4308:8 7.93957e-05 -19 *1912:17 *4308:8 8.42734e-05 -20 *1914:8 *25961:B 4.60232e-06 -21 *1914:12 *4308:8 0.000195547 -22 *2031:68 *4308:8 0.000518092 -23 *2258:41 *4308:8 4.43126e-05 -24 *4286:23 *25961:B 0.000137983 -*RES -1 *30598:X *4308:8 31.9429 -2 *4308:8 *25505:D 13.8 -3 *4308:8 *25961:B 18.7286 -*END - -*D_NET *4309 0.0187913 -*CONN -*I *28117:D I *D sky130_fd_sc_hd__dfstp_1 -*I *30066:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28117:D 0.0007239 -2 *30066:X 0.000334625 -3 *4309:9 0.00275954 -4 *4309:8 0.00237026 -5 *28117:D *28115:SET_B 0.000167873 -6 *28117:D *5204:15 0 -7 *28117:D *5483:96 0.000282763 -8 *28117:D *5485:8 0 -9 *4309:8 *29137:A 0.000108617 -10 *4309:8 *5073:10 0.000356648 -11 *4309:8 *5075:31 8.68082e-05 -12 *4309:9 *5073:13 0.000724759 -13 *4309:9 *5494:21 0.000907233 -14 *4309:9 *5520:25 0.000193329 -15 pad_flash_csb_oeb *4309:8 0.000405031 -16 pll_trim[1] *28117:D 0.000175269 -17 pll_trim[2] *28117:D 0 -18 *28115:CLK *28117:D 1.90936e-05 -19 *28117:CLK *28117:D 0.000165159 -20 *28118:D *28117:D 0 -21 *177:11 *4309:9 0.00131349 -22 *469:11 *4309:9 6.62898e-05 -23 *470:15 *4309:9 0.00608826 -24 *1498:13 *28117:D 6.13217e-05 -25 *3650:106 *28117:D 6.80359e-05 -26 *3650:122 *28117:D 2.49484e-05 -27 *3650:129 *28117:D 5.74823e-05 -28 *4296:9 *4309:9 0.000236891 -29 *4298:9 *4309:9 0.00109367 -*RES -1 *30066:X *4309:8 27.8357 -2 *4309:8 *4309:9 83.9107 -3 *4309:9 *28117:D 34.175 -*END - -*D_NET *4310 0.00704008 -*CONN -*I *25598:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30067:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25598:A0 0.000595179 -2 *30067:X 0.00121087 -3 *4310:10 0.00180605 -4 *25598:A0 *25598:A1 5.49489e-05 -5 *25598:A0 *5680:308 0.00035893 -6 *4310:10 *25596:A1 0.000427432 -7 *4310:10 *28392:SET_B 4.16502e-05 -8 *4310:10 *29268:A 8.15434e-05 -9 *4310:10 *5010:18 0.000699828 -10 *4310:10 *5483:120 9.10327e-05 -11 *4310:10 *5499:31 2.8266e-06 -12 *4310:10 *5698:203 0.000342793 -13 *4310:10 *5737:203 1.55485e-05 -14 *25341:A2 *4310:10 2.09826e-05 -15 *29518:A *4310:10 0 -16 *1815:40 *4310:10 0.000181692 -17 *1815:49 *4310:10 5.05461e-05 -18 *2798:68 *4310:10 0.000505757 -19 *3875:51 *4310:10 0.000552475 -*RES -1 *30067:X *4310:10 45.3357 -2 *4310:10 *25598:A0 17.2286 -*END - -*D_NET *4311 0.00567079 -*CONN -*I *28101:D I *D sky130_fd_sc_hd__dfstp_1 -*I *30068:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28101:D 0 -2 *30068:X 0.000933385 -3 *4311:12 0.000933385 -4 *4311:12 *28100:D 0.000865791 -5 *4311:12 *5507:23 0.000188749 -6 *24922:A *4311:12 0.00025364 -7 *24952:A *4311:12 5.65955e-05 -8 *29183:A *4311:12 0.00100537 -9 *1278:5 *4311:12 8.61499e-05 -10 *1278:18 *4311:12 0.000218404 -11 *1408:103 *4311:12 0.00102033 -12 *3568:136 *4311:12 0.000108986 -13 *3648:24 *4311:12 0 -14 *3648:26 *4311:12 0 -15 *3648:30 *4311:12 0 -*RES -1 *30068:X *4311:12 45.6393 -2 *4311:12 *28101:D 9.3 -*END - -*D_NET *4312 0.00173214 -*CONN -*I *25959:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30070:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25959:A1 0.000502967 -2 *30070:X 0.000502967 -3 *25959:A1 *25959:A0 8.58914e-05 -4 *25339:A2 *25959:A1 2.11068e-05 -5 *1657:19 *25959:A1 6.19639e-06 -6 *3191:37 *25959:A1 0.000175892 -7 *3568:99 *25959:A1 0.000163801 -8 *3845:9 *25959:A1 5.49166e-05 -9 *3845:31 *25959:A1 0.000218404 -*RES -1 *30070:X *25959:A1 34.9036 -*END - -*D_NET *4313 0.00083156 -*CONN -*I *28399:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30071:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28399:D 0.000112404 -2 *30071:X 0.000112404 -3 *28399:CLK *28399:D 7.53354e-05 -4 *30071:A *28399:D 5.33005e-05 -5 *3568:93 *28399:D 8.88486e-05 -6 *3854:10 *28399:D 0.000389268 -*RES -1 *30071:X *28399:D 22.4214 -*END - -*D_NET *4314 0.00277165 -*CONN -*I *26925:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30072:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26925:A1 0.00062667 -2 *30072:X 0.00062667 -3 *26925:A1 *28511:D 2.06178e-05 -4 *26925:A1 *30875:A 0.000680124 -5 *26925:A1 *5824:286 0.000144416 -6 *26925:A1 *5911:94 9.25014e-06 -7 *794:23 *26925:A1 0.000432483 -8 *2775:18 *26925:A1 0.000159628 -9 *3733:59 *26925:A1 7.17904e-05 -*RES -1 *30072:X *26925:A1 39.6405 -*END - -*D_NET *4315 0.00395614 -*CONN -*I *28511:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30073:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28511:D 0.000743977 -2 *30073:X 0.000743977 -3 *28511:D *27786:B1 2.3176e-05 -4 *28511:D *4357:21 0.000178847 -5 *28511:D *5587:169 0.000612235 -6 *26925:A1 *28511:D 2.06178e-05 -7 *27786:A1 *28511:D 9.13543e-06 -8 *27786:B2 *28511:D 8.60436e-05 -9 *27786:C1 *28511:D 0.000439953 -10 *28529:CLK *28511:D 0.000115358 -11 *794:23 *28511:D 6.07037e-07 -12 *844:19 *28511:D 0.000698161 -13 *2993:27 *28511:D 0.000136512 -14 *4097:11 *28511:D 0.000147537 -*RES -1 *30073:X *28511:D 46.9571 -*END - -*D_NET *4316 0.00970935 -*CONN -*I *26815:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30074:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26815:A1 0.000905193 -2 *30074:X 0.000941026 -3 *4316:25 0.00184622 -4 *26815:A1 *26815:A0 5.52238e-05 -5 *26815:A1 *29128:A 0.000160526 -6 *26815:A1 *29533:A 0.000201956 -7 *26815:A1 *4945:15 0.000288607 -8 *26815:A1 *5069:46 8.6229e-06 -9 *26815:A1 *5484:44 2.89114e-05 -10 *26815:A1 *5489:19 0.000487668 -11 *26815:A1 *5491:97 1.21289e-05 -12 *26815:A1 *5532:15 4.60232e-06 -13 *26815:A1 *6313:17 0.000390489 -14 *4316:25 *25559:A1 2.04825e-05 -15 *4316:25 *28368:D 0.000487991 -16 *4316:25 *5027:17 0.000100368 -17 *4316:25 *5491:104 0.000613919 -18 *4316:25 *5498:34 6.02109e-05 -19 *4316:25 *5733:48 9.20725e-05 -20 *4316:25 *6014:19 4.73891e-05 -21 *4316:25 *6014:20 1.90936e-05 -22 *25354:B *4316:25 1.04048e-05 -23 *26834:A *26815:A1 0.000140933 -24 *28435:CLK *26815:A1 0.000184349 -25 *29389:A *4316:25 3.47248e-05 -26 *30087:A *4316:25 0.000223475 -27 *701:29 *4316:25 0.000137983 -28 *748:16 *4316:25 0.00170174 -29 *755:18 *26815:A1 2.76139e-05 -30 *760:20 *26815:A1 0.000180951 -31 *2744:36 *26815:A1 9.92684e-05 -32 *3791:36 *26815:A1 4.91663e-05 -33 *4240:33 *26815:A1 9.94918e-05 -34 *4240:33 *4316:25 4.65519e-05 -*RES -1 *30074:X *4316:25 47.6476 -2 *4316:25 *26815:A1 41.4462 -*END - -*D_NET *4317 0.0132767 -*CONN -*I *28420:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30075:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28420:D 0 -2 *30075:X 0.00190369 -3 *4317:16 0.00190369 -4 *4317:16 *25359:B1 0.00112048 -5 *4317:16 *28420:RESET_B 1.00887e-05 -6 *4317:16 *29571:A 0.000388982 -7 *4317:16 *30139:A 0.000853149 -8 *4317:16 *4332:24 0.000219546 -9 *4317:16 *4944:18 0.000480903 -10 *4317:16 *4945:15 0.00382 -11 *4317:16 *5014:24 0.000778763 -12 *4317:16 *5050:25 2.02389e-05 -13 *4317:16 *5483:44 2.18813e-05 -14 *4317:16 *5488:11 0.000118378 -15 *4317:16 *5490:39 4.47431e-05 -16 *4317:16 *5498:34 1.90936e-05 -17 *4317:16 *5532:15 0.000513286 -18 *4317:16 *5733:48 1.90936e-05 -19 *4317:16 *6313:45 0.000394859 -20 pll_div[1] *4317:16 0 -21 *29172:A *4317:16 0.000223662 -22 *30075:A *4317:16 0.000422141 -*RES -1 *30075:X *4317:16 48.5433 -2 *4317:16 *28420:D 9.3 -*END - -*D_NET *4318 0.00112255 -*CONN -*I *26945:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30076:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26945:A1 0.000264819 -2 *30076:X 0.000264819 -3 *26945:A1 *5692:17 9.41642e-05 -4 *26945:A1 *5873:31 0.00015698 -5 *26945:A1 *5892:25 0.000341771 -*RES -1 *30076:X *26945:A1 33.225 -*END - -*D_NET *4319 0.00330221 -*CONN -*I *25961:A I *D sky130_fd_sc_hd__and4_1 -*I *25505:C I *D sky130_fd_sc_hd__or4_1 -*I *30599:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25961:A 6.45175e-05 -2 *25505:C 4.05201e-05 -3 *30599:X 0.000613372 -4 *4319:8 0.00071841 -5 *4319:8 *6192:9 0.000385089 -6 *25505:B *25961:A 9.54301e-05 -7 *25961:B *25505:C 2.21972e-05 -8 *25961:B *25961:A 1.19021e-05 -9 *25961:B *4319:8 2.49484e-05 -10 *1912:17 *4319:8 8.23185e-05 -11 *1914:8 *25961:A 0.000129847 -12 *1914:8 *4319:8 0.000698216 -13 *2031:68 *4319:8 0.000245957 -14 *2258:41 *4319:8 4.21517e-05 -15 *4308:8 *4319:8 0.000127335 -*RES -1 *30599:X *4319:8 27.0054 -2 *4319:8 *25505:C 14.6125 -3 *4319:8 *25961:A 15.5946 -*END - -*D_NET *4320 0.00465565 -*CONN -*I *28529:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30077:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28529:D 0 -2 *30077:X 0.000947416 -3 *4320:16 0.000947416 -4 *4320:16 *26944:S 0.000135028 -5 *4320:16 *27027:B 5.33005e-05 -6 *4320:16 *28190:D 0.000239863 -7 *4320:16 *28528:D 0.000725669 -8 *4320:16 *4744:15 0.000343908 -9 *4320:16 *5639:165 0.000145795 -10 *4320:16 *5810:47 0.000479172 -11 *4320:16 *5873:31 0 -12 *4320:16 *5911:94 0.000455883 -13 *4320:16 *6004:15 4.37307e-05 -14 *28529:CLK *4320:16 0 -15 *29384:A *4320:16 9.54798e-06 -16 *30077:A *4320:16 0.000108308 -17 *4125:13 *4320:16 2.06178e-05 -*RES -1 *30077:X *4320:16 48.0143 -2 *4320:16 *28529:D 9.3 -*END - -*D_NET *4321 0.00100179 -*CONN -*I *27026:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30078:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27026:A0 0.000197527 -2 *30078:X 0.000197527 -3 *30079:A *27026:A0 1.15281e-05 -4 *1268:123 *27026:A0 0.000125731 -5 *1274:172 *27026:A0 1.43864e-05 -6 *1490:146 *27026:A0 0.000113277 -7 *2763:62 *27026:A0 5.52238e-05 -8 *2774:180 *27026:A0 2.12005e-05 -9 *2776:144 *27026:A0 0.000265385 -*RES -1 *30078:X *27026:A0 32.4214 -*END - -*D_NET *4322 0.00244902 -*CONN -*I *28601:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30079:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28601:D 0.00102635 -2 *30079:X 0.00102635 -3 *28601:D *28601:RESET_B 8.79458e-05 -4 *30079:A *28601:D 3.05475e-05 -5 *1268:123 *28601:D 0.000104173 -6 *1274:172 *28601:D 7.83587e-05 -7 *3761:18 *28601:D 1.86479e-05 -8 *3786:60 *28601:D 7.6644e-05 -*RES -1 *30079:X *28601:D 39.5821 -*END - -*D_NET *4323 0.00178319 -*CONN -*I *25910:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30081:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25910:A1 0.000559919 -2 *30081:X 0.000559919 -3 *25910:A1 *28375:D 0.000258246 -4 *25910:A1 *5691:260 0.000129913 -5 *28375:CLK *25910:A1 1.83136e-05 -6 *3779:12 *25910:A1 0.000256878 -*RES -1 *30081:X *25910:A1 36.1179 -*END - -*D_NET *4324 0.0020295 -*CONN -*I *28358:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30082:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28358:D 0.000603522 -2 *30082:X 0.000603522 -3 *28358:D *27505:A1 0.000139907 -4 *28358:D *28358:RESET_B 5.06576e-05 -5 *28358:D *5619:58 7.61932e-05 -6 *28358:D *5698:55 0.000184745 -7 *28358:D *5735:27 6.04865e-05 -8 *28358:D *5738:59 7.80714e-06 -9 *27526:A1 *28358:D 0 -10 *30082:A *28358:D 0.000260772 -11 *1362:136 *28358:D 4.18834e-05 -12 *3568:17 *28358:D 0 -13 *3672:8 *28358:D 0 -*RES -1 *30082:X *28358:D 39.35 -*END - -*D_NET *4325 0.0022007 -*CONN -*I *27112:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30083:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27112:A1 0.000639996 -2 *30083:X 0.000639996 -3 *27112:A1 *26887:A0 5.6197e-05 -4 *27112:A1 *5669:278 0.000742528 -5 *27112:A1 *5795:181 0.000121982 -*RES -1 *30083:X *27112:A1 37.1714 -*END - -*D_NET *4326 0.001574 -*CONN -*I *28677:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30084:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28677:D 0.000193889 -2 *30084:X 0.000193889 -3 *28677:D *28677:RESET_B 5.61015e-05 -4 *28463:CLK *28677:D 0.000310292 -5 *28677:CLK *28677:D 0.000218685 -6 *2764:35 *28677:D 0.000601147 -*RES -1 *30084:X *28677:D 25.4393 -*END - -*D_NET *4327 0.00228217 -*CONN -*I *26887:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30085:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26887:A1 0.000609114 -2 *30085:X 0.000609114 -3 *26887:S *26887:A1 4.58194e-05 -4 *30086:A *26887:A1 0.000175892 -5 *2759:43 *26887:A1 1.65169e-05 -6 *3582:13 *26887:A1 0.000354111 -7 *3835:36 *26887:A1 4.78056e-05 -8 *4017:41 *26887:A1 0.000324684 -9 *4204:10 *26887:A1 9.91086e-05 -*RES -1 *30085:X *26887:A1 37.4036 -*END - -*D_NET *4328 0.00222438 -*CONN -*I *28477:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30086:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28477:D 0.000401554 -2 *30086:X 0.000401554 -3 *28477:D *5627:251 0.000119889 -4 *28477:D *5777:131 0.000193932 -5 *28477:D *5777:160 0.000208595 -6 *3153:320 *28477:D 0.000551673 -7 *4030:27 *28477:D 0.000347187 -*RES -1 *30086:X *28477:D 39.6357 -*END - -*D_NET *4329 0.00549604 -*CONN -*I *25763:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30087:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25763:A0 6.73001e-05 -2 *30087:X 0.000707917 -3 *4329:18 0.000775217 -4 *25763:A0 *4950:25 0.00014183 -5 *4329:18 *28226:D 0.000355753 -6 *4329:18 *4950:25 0.000908624 -7 *4329:18 *4951:17 0.000697414 -8 *4329:18 *4968:26 5.71472e-05 -9 *4329:18 *5665:127 3.46591e-05 -10 *4329:18 *5733:72 0.000159446 -11 *28369:D *4329:18 0.000646055 -12 *29199:A *4329:18 2.51133e-05 -13 *569:11 *4329:18 0.000654903 -14 *1866:5 *4329:18 9.41642e-05 -15 *1866:38 *4329:18 0.000170497 -16 *4240:37 *4329:18 0 -*RES -1 *30087:X *4329:18 49.8536 -2 *4329:18 *25763:A0 10.6571 -*END - -*D_NET *4330 0.00480018 -*CONN -*I *25504:B I *D sky130_fd_sc_hd__or4b_1 -*I *25961:D I *D sky130_fd_sc_hd__and4_1 -*I *30600:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25504:B 0.000293825 -2 *25961:D 3.97594e-05 -3 *30600:X 0.000642419 -4 *4330:8 0.000976003 -5 *25504:B *25504:A 0.000137983 -6 *25504:B *4364:54 2.72763e-05 -7 *25504:B *4364:60 3.47641e-06 -8 *25961:D *25504:A 9.41642e-05 -9 *4330:8 *4364:92 0.000307878 -10 *25511:B *25504:B 0.000186669 -11 *25511:C *4330:8 0.000138634 -12 *25973:A2 *4330:8 5.15925e-05 -13 *25974:B *4330:8 1.48119e-05 -14 *26017:A2 *4330:8 2.50489e-05 -15 *30599:A *25504:B 0.000240326 -16 *30599:A *4330:8 0.000159079 -17 *30600:A *25504:B 0 -18 *255:24 *25504:B 4.51726e-05 -19 *255:24 *25961:D 2.79421e-05 -20 *1906:14 *4330:8 0.000213917 -21 *1915:8 *4330:8 0.000136388 -22 *1915:13 *4330:8 0.000155212 -23 *1916:6 *4330:8 7.43679e-05 -24 *1958:8 *4330:8 0.000808234 -*RES -1 *30600:X *4330:8 34.8179 -2 *4330:8 *25961:D 10.2464 -3 *4330:8 *25504:B 24.5857 -*END - -*D_NET *4331 0.00587754 -*CONN -*I *28228:D I *D sky130_fd_sc_hd__dfstp_2 -*I *30088:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28228:D 0 -2 *30088:X 0.00133599 -3 *4331:27 0.00133599 -4 *4331:27 *25617:A0 0.000153516 -5 *4331:27 *28227:D 0 -6 *4331:27 *29433:A 4.00658e-06 -7 *4331:27 *5026:35 3.47641e-06 -8 *4331:27 *5079:20 8.56547e-05 -9 *4331:27 *5510:59 0.000607108 -10 *4331:27 *5685:183 0.000126999 -11 *4331:27 *5705:48 5.26224e-05 -12 *4331:27 *5778:31 4.14984e-05 -13 *29206:A *4331:27 0.000180951 -14 *29208:A *4331:27 0.000391597 -15 *29308:A *4331:27 0.000409988 -16 *29401:A *4331:27 2.24195e-05 -17 *30015:A *4331:27 0 -18 *502:18 *4331:27 0.000438994 -19 *506:24 *4331:27 3.7331e-05 -20 *3892:18 *4331:27 0.000620495 -21 *4276:17 *4331:27 2.89016e-05 -*RES -1 *30088:X *4331:27 46.2824 -2 *4331:27 *28228:D 9.3 -*END - -*D_NET *4332 0.0148331 -*CONN -*I *25922:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30089:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25922:A1 0 -2 *30089:X 0.00139149 -3 *4332:25 0.00331261 -4 *4332:24 0.0047041 -5 *4332:24 *26819:A0 8.6229e-06 -6 *4332:24 *28435:RESET_B 0.000340187 -7 *4332:24 *29128:A 1.30471e-05 -8 *4332:24 *29571:A 0.000251298 -9 *4332:24 *4977:22 2.06178e-05 -10 *4332:24 *5050:25 5.40964e-05 -11 *4332:24 *5069:46 2.11419e-05 -12 *4332:24 *5203:27 0.000286489 -13 *4332:24 *5204:15 0.00044493 -14 *4332:24 *5342:19 0.000107179 -15 *4332:24 *5491:97 0 -16 *4332:24 *5733:72 0.000487314 -17 *4332:25 *25618:A1 5.33005e-05 -18 *4332:25 *25922:A0 3.11088e-05 -19 *4332:25 *28420:RESET_B 0.000174896 -20 *4332:25 *5050:25 0.000137639 -21 *4332:25 *5485:32 0.00153046 -22 *25618:S *4332:25 0.000527994 -23 *28416:CLK *4332:25 2.59355e-05 -24 *28420:CLK *4332:25 2.85321e-05 -25 *701:15 *4332:25 8.40115e-05 -26 *749:24 *4332:24 0 -27 *753:13 *4332:24 6.44531e-05 -28 *755:18 *4332:24 6.00598e-05 -29 *758:26 *4332:24 0.000232827 -30 *760:20 *4332:24 6.58294e-06 -31 *1895:19 *4332:25 7.59498e-05 -32 *2744:36 *4332:24 8.79856e-06 -33 *2745:33 *4332:25 0.000127921 -34 *3892:18 *4332:24 0 -35 *4317:16 *4332:24 0.000219546 -*RES -1 *30089:X *4332:24 49.6054 -2 *4332:24 *4332:25 43.25 -3 *4332:25 *25922:A1 9.3 -*END - -*D_NET *4333 0.016231 -*CONN -*I *28368:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30090:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28368:D 0.00216409 -2 *30090:X 0.00216409 -3 *28368:D *25399:A1 0.000109296 -4 *28368:D *25617:A0 0.000486331 -5 *28368:D *4382:17 1.31686e-05 -6 *28368:D *5342:19 0.00456663 -7 *28368:D *5351:19 2.1479e-05 -8 *28368:D *5484:15 7.48301e-06 -9 *28368:D *5498:34 5.7836e-06 -10 *28368:D *5510:59 0 -11 *28368:D *5733:48 8.88295e-05 -12 *25354:B *28368:D 2.21972e-05 -13 *28368:CLK *28368:D 6.61546e-05 -14 *28953:A *28368:D 0.000107581 -15 *30031:A *28368:D 0.00551287 -16 *30087:A *28368:D 9.06633e-05 -17 *750:19 *28368:D 6.82827e-05 -18 *3883:31 *28368:D 2.34503e-05 -19 *3884:24 *28368:D 0.00022459 -20 *4316:25 *28368:D 0.000487991 -*RES -1 *30090:X *28368:D 49.196 -*END - -*D_NET *4334 0.0063082 -*CONN -*I *25700:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30092:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25700:A0 0 -2 *30092:X 0.00154264 -3 *4334:15 0.00154264 -4 *4334:15 *27088:A1 0.000608154 -5 *4334:15 *28214:RESET_B 0.000216755 -6 *4334:15 *28656:RESET_B 0.000171375 -7 *4334:15 *30092:A 2.22618e-05 -8 *4334:15 *5468:29 0.000236686 -9 *4334:15 *5678:111 2.14757e-05 -10 *4334:15 *5678:113 0.000701417 -11 *27034:S *4334:15 0.000135028 -12 *30482:A *4334:15 0.000305291 -13 *557:11 *4334:15 3.13225e-05 -14 *1347:31 *4334:15 0.000477305 -15 *3633:111 *4334:15 0.000295844 -*RES -1 *30092:X *4334:15 47.925 -2 *4334:15 *25700:A0 9.3 -*END - -*D_NET *4335 0.00242426 -*CONN -*I *28180:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30093:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28180:D 0.000684306 -2 *30093:X 0.000684306 -3 *28180:D *28214:D 7.6644e-05 -4 *28180:D *30855:A 0.000146788 -5 *28180:D *5468:25 9.10431e-06 -6 *28180:D *5753:119 1.02821e-05 -7 *28180:D *5926:120 3.56976e-05 -8 *24855:A *28180:D 0.000723827 -9 *30093:A *28180:D 5.33005e-05 -*RES -1 *30093:X *28180:D 39.475 -*END - -*D_NET *4336 0.0245834 -*CONN -*I *26822:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30094:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26822:A1 0.000605674 -2 *30094:X 0.0042194 -3 *4336:11 0.00482508 -4 *26822:A1 *27527:A2 0.000259549 -5 *26822:A1 *28222:RESET_B 0.000138399 -6 *26822:A1 *5685:126 5.33005e-05 -7 *26822:A1 *5685:142 5.52238e-05 -8 *26822:A1 *5735:51 0.000120329 -9 *26822:A1 *6323:10 6.09588e-05 -10 *4336:11 *4899:13 9.71197e-05 -11 *4336:11 *4909:14 1.49698e-05 -12 *4336:11 *4951:17 0.002043 -13 *4336:11 *4978:17 2.06178e-05 -14 *4336:11 *5026:35 0.000273004 -15 *4336:11 *5488:34 9.60875e-05 -16 *25754:S *26822:A1 4.58976e-05 -17 *26822:S *26822:A1 4.11173e-05 -18 *28798:D *26822:A1 0.000347174 -19 *29180:A *4336:11 0.00157538 -20 *29359:A *4336:11 0 -21 *30000:A *4336:11 0 -22 *466:15 *4336:11 0.000591318 -23 *572:19 *4336:11 0.000954148 -24 *759:16 *26822:A1 1.7721e-05 -25 *1263:122 *4336:11 0.00326496 -26 *1327:116 *26822:A1 1.94879e-05 -27 *1430:29 *4336:11 0.0021132 -28 *1671:24 *26822:A1 0.000115499 -29 *1715:18 *26822:A1 9.41642e-05 -30 *3082:13 *4336:11 0.000378981 -31 *3571:53 *26822:A1 0.000211013 -32 *3661:10 *26822:A1 4.1686e-05 -33 *3805:47 *4336:11 0.00130847 -34 *3892:18 *4336:11 0 -35 *4183:24 *4336:11 0.000572935 -36 *4187:22 *4336:11 0 -37 *4276:17 *4336:11 7.57819e-06 -*RES -1 *30094:X *4336:11 47.0064 -2 *4336:11 *26822:A1 40.2368 -*END - -*D_NET *4337 0.0150031 -*CONN -*I *28426:D I *D sky130_fd_sc_hd__dfstp_1 -*I *30095:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28426:D 0.000983873 -2 *30095:X 0.00182735 -3 *4337:16 0.00281123 -4 *28426:D *28423:D 3.16952e-05 -5 *28426:D *5015:14 0.000662455 -6 *28426:D *5494:46 0.000266479 -7 *28426:D *5496:16 5.25871e-05 -8 *28426:D *5518:33 0.00112212 -9 *28426:D *5980:14 0.000944816 -10 *4337:16 *26814:A0 4.08007e-05 -11 *4337:16 *28418:RESET_B 5.20298e-05 -12 *4337:16 *28435:RESET_B 0.000248853 -13 *4337:16 *29571:A 0.000411637 -14 *4337:16 *4910:17 0.000616819 -15 *4337:16 *5000:14 0.00190061 -16 *4337:16 *5014:24 6.51034e-05 -17 *4337:16 *5069:46 0.000201329 -18 *4337:16 *5665:131 0.000395944 -19 *4337:16 *5685:173 6.51414e-05 -20 *4337:16 *5730:11 0.000492728 -21 *4337:16 *5730:14 1.1594e-05 -22 *4337:16 *5733:72 0.000202339 -23 pll_div[3] *28426:D 3.53618e-05 -24 pll_sel[0] *28426:D 1.30905e-05 -25 *25621:A0 *28426:D 1.30905e-05 -26 *26817:A *28426:D 0.000230694 -27 *29175:A *4337:16 0.000464711 -28 *468:20 *28426:D 0.000437756 -29 *751:9 *4337:16 0.000400867 -*RES -1 *30095:X *4337:16 48.8896 -2 *4337:16 *28426:D 43.894 -*END - -*D_NET *4338 0.0017522 -*CONN -*I *26936:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30096:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26936:A1 0.000577452 -2 *30096:X 0.000577452 -3 *1367:53 *26936:A1 0.000502801 -4 *2764:129 *26936:A1 9.35114e-06 -5 *4112:11 *26936:A1 6.33364e-05 -6 *4112:13 *26936:A1 2.1806e-05 -*RES -1 *30096:X *26936:A1 25.7071 -*END - -*D_NET *4339 0.00103083 -*CONN -*I *28521:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30097:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28521:D 0.000291935 -2 *30097:X 0.000291935 -3 *28521:D *5651:311 0.000100823 -4 *28521:D *5767:41 0.000298483 -5 *2792:102 *28521:D 4.76575e-05 -*RES -1 *30097:X *28521:D 31.9393 -*END - -*D_NET *4340 0.00162222 -*CONN -*I *27058:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30098:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27058:A1 0.000495806 -2 *30098:X 0.000495806 -3 *27058:A1 *5695:133 0.000630607 -*RES -1 *30098:X *27058:A1 24.8857 -*END - -*D_NET *4341 0.00365226 -*CONN -*I *25504:A I *D sky130_fd_sc_hd__or4b_1 -*I *25961:C I *D sky130_fd_sc_hd__and4_1 -*I *30601:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25504:A 0.000347808 -2 *25961:C 0 -3 *30601:X 0.000385142 -4 *4341:11 0.00073295 -5 *25504:A *25504:C 0.000175932 -6 *25504:A *25504:D_N 2.09897e-05 -7 *25504:A *26012:A 7.58643e-05 -8 *25504:A *26012:B 9.71197e-05 -9 *4341:11 *6214:22 0.000224583 -10 *25504:B *25504:A 0.000137983 -11 *25961:B *4341:11 0.000181796 -12 *25961:D *25504:A 9.41642e-05 -13 *25962:D *4341:11 6.71657e-05 -14 *25972:B *4341:11 6.81083e-05 -15 *26091:B *25504:A 3.24517e-05 -16 *26091:C *25504:A 5.33005e-05 -17 *30600:A *25504:A 4.60232e-06 -18 *255:24 *25504:A 2.32353e-05 -19 *255:24 *4341:11 0.000296632 -20 *256:22 *4341:11 9.16325e-06 -21 *1903:8 *25504:A 0.000136958 -22 *1903:8 *4341:11 0.000180764 -23 *1904:8 *4341:11 0.000250778 -24 *2220:19 *25504:A 5.4772e-05 -*RES -1 *30601:X *4341:11 27.6571 -2 *4341:11 *25961:C 9.3 -3 *4341:11 *25504:A 26.1214 -*END - -*D_NET *4342 0.0015182 -*CONN -*I *28629:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30099:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28629:D 0.00053808 -2 *30099:X 0.00053808 -3 *28629:D *6351:12 0.000132098 -4 *30099:A *28629:D 2.85234e-05 -5 *3951:20 *28629:D 0.000281415 -*RES -1 *30099:X *28629:D 35.6 -*END - -*D_NET *4343 0.00124843 -*CONN -*I *25782:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30100:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25782:A1 0.000569944 -2 *30100:X 0.000569944 -3 *25782:S *25782:A1 3.22964e-05 -4 *30100:A *25782:A1 2.59355e-05 -5 *1869:29 *25782:A1 5.03068e-05 -*RES -1 *30100:X *25782:A1 24.8857 -*END - -*D_NET *4344 0.00106139 -*CONN -*I *28244:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30101:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28244:D 0.000451219 -2 *30101:X 0.000451219 -3 *28244:D *6036:52 5.56943e-05 -4 *3948:64 *28244:D 0.000103253 -*RES -1 *30101:X *28244:D 33.1714 -*END - -*D_NET *4345 0.00152636 -*CONN -*I *25645:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30103:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25645:A1 0.000544774 -2 *30103:X 0.000544774 -3 *25416:A2 *25645:A1 0.000423607 -4 *27569:B *25645:A1 1.32091e-05 -*RES -1 *30103:X *25645:A1 24.8857 -*END - -*D_NET *4346 0.00174021 -*CONN -*I *28139:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30104:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28139:D 0.000388051 -2 *30104:X 0.000388051 -3 *28139:D *5691:61 0.000185849 -4 *25416:B2 *28139:D 1.24368e-05 -5 *25437:A1 *28139:D 0.000293542 -6 *27534:A1 *28139:D 0.000141345 -7 *2871:110 *28139:D 0.000141345 -8 *3962:13 *28139:D 9.41642e-05 -9 *4160:98 *28139:D 6.94952e-05 -10 *4161:80 *28139:D 2.59355e-05 -*RES -1 *30104:X *28139:D 37.0643 -*END - -*D_NET *4347 0.00120818 -*CONN -*I *27125:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30105:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27125:A1 0.000300609 -2 *30105:X 0.000300609 -3 *27125:A1 *27125:A0 0.00031266 -4 *27125:A1 *27125:S 5.33005e-05 -5 *30105:A *27125:A1 0.000216755 -6 *1378:21 *27125:A1 2.42516e-05 -*RES -1 *30105:X *27125:A1 24.8857 -*END - -*D_NET *4348 0.00177103 -*CONN -*I *28689:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30106:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28689:D 0.000364128 -2 *30106:X 0.000364128 -3 *28689:D *27793:C1 0.000105471 -4 *2791:73 *28689:D 0.000465734 -5 *3969:16 *28689:D 0.00047157 -*RES -1 *30106:X *28689:D 35.975 -*END - -*D_NET *4349 0.00369626 -*CONN -*I *25591:A1 I *D sky130_fd_sc_hd__mux2_8 -*I *30107:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25591:A1 0.00140135 -2 *30107:X 0.00140135 -3 *25591:A1 *25593:A1 0.000447566 -4 *25591:A1 *25595:A0 0 -5 *25591:A1 *25595:A1 0 -6 *25591:A1 *25597:A1 0 -7 *25591:A1 *30107:A 9.24825e-05 -8 *25591:A1 *30257:A 9.74552e-05 -9 *25591:A1 *5779:181 0.000239098 -10 *25591:A1 *5836:324 1.69497e-05 -11 *1817:16 *25591:A1 0 -*RES -1 *30107:X *25591:A1 40.0857 -*END - -*D_NET *4350 0.0879599 -*CONN -*I *26911:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29005:A I *D sky130_fd_sc_hd__buf_8 -*I *6523:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27064:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29003:A I *D sky130_fd_sc_hd__buf_8 -*I *30108:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26911:A0 0.00164262 -2 *29005:A 0 -3 *6523:DIODE 0 -4 *27064:A0 0.000217227 -5 *29003:A 0.000428169 -6 *30108:X 3.92213e-05 -7 *4350:116 0.00377334 -8 *4350:103 0.00306769 -9 *4350:96 0.00239933 -10 *4350:95 0.00181996 -11 *4350:87 0.00348609 -12 *4350:66 0.00440109 -13 *4350:65 0.00573071 -14 *4350:48 0.00226551 -15 *4350:31 0.00275026 -16 *4350:14 0.00265063 -17 *4350:8 0.00208946 -18 *4350:7 0.00506632 -19 *26911:A0 *25356:A1 0.000178847 -20 *26911:A0 *26911:S 0.000508682 -21 *26911:A0 *28747:RESET_B 2.72967e-05 -22 *26911:A0 *5716:214 4.60438e-05 -23 *26911:A0 *5820:46 5.41794e-05 -24 *26911:A0 *5879:19 0.000471025 -25 *27064:A0 *5603:52 0.000344406 -26 *29003:A *25864:B 0.000922416 -27 *29003:A *29002:A 2.14757e-05 -28 *4350:7 *26658:B2 5.52302e-05 -29 *4350:8 *25768:A1 0.000105374 -30 *4350:8 *25788:A0 0.000265385 -31 *4350:8 *28231:RESET_B 0.000149812 -32 *4350:8 *29040:A 0.000698957 -33 *4350:8 *5250:8 0 -34 *4350:8 *5250:27 1.43349e-05 -35 *4350:8 *5250:29 0.000209223 -36 *4350:8 *6354:8 0.00192041 -37 *4350:31 *24889:A1 3.75528e-05 -38 *4350:31 *27546:B1 0.000561851 -39 *4350:31 *5215:12 0.000151596 -40 *4350:31 *5849:11 0.000348207 -41 *4350:48 *24889:A1 0.000903186 -42 *4350:48 *25869:A0 2.11419e-05 -43 *4350:48 *27546:B1 5.71335e-05 -44 *4350:48 *27590:B1 5.4826e-05 -45 *4350:48 *5656:189 0.000202981 -46 *4350:65 *5614:60 0.000195739 -47 *4350:66 *25173:A2 0.00155253 -48 *4350:66 *5585:158 3.35e-05 -49 *4350:66 *5603:52 3.5298e-05 -50 *4350:87 *28348:D 9.94194e-05 -51 *4350:87 *28360:D 0.000324013 -52 *4350:87 *5250:29 0.000374038 -53 *4350:87 *5760:50 0.000844476 -54 *4350:95 *5688:125 0.000216755 -55 *4350:96 *28350:D 0.000102545 -56 *4350:96 *5607:47 0.00172981 -57 *4350:96 *5716:14 0 -58 *4350:96 *5823:13 0.000210533 -59 *4350:96 *5909:17 0.000119881 -60 *4350:103 *25588:A1 7.6021e-05 -61 *4350:103 *25588:A2 0.000455554 -62 *4350:103 *28506:D 0.000245349 -63 *4350:103 *29196:A 3.34687e-05 -64 *4350:103 *5366:28 0 -65 *4350:103 *5823:13 7.44824e-05 -66 *4350:103 *5908:8 7.17146e-05 -67 *4350:103 *5909:17 0.000354584 -68 *4350:103 *5935:30 0.00108049 -69 *4350:103 *5961:10 0 -70 *4350:116 *28510:D 2.07878e-05 -71 *4350:116 *28743:D 0.000194122 -72 *4350:116 *5704:6 7.83659e-05 -73 *4350:116 *5716:42 0.000357054 -74 *4350:116 *5729:176 2.87555e-06 -75 *25252:A1 *4350:31 7.83659e-05 -76 *25367:A2 *4350:31 9.00868e-05 -77 *25368:A1 *4350:95 2.80412e-05 -78 *25855:B *4350:103 0.000209491 -79 *25894:A *4350:87 0.000201064 -80 *26675:B1 *4350:87 0.000290993 -81 *27286:B2 *4350:95 0.000496476 -82 *27286:C1 *4350:87 1.07719e-05 -83 *27546:C1 *4350:31 1.32511e-05 -84 *27582:B1 *4350:14 9.41642e-05 -85 *27585:A1 *4350:48 4.21517e-05 -86 *27588:B1 *4350:48 0.000342108 -87 *27656:C1 *4350:103 9.10431e-06 -88 *27666:C1 *4350:96 0.000178151 -89 *27667:A1 *4350:96 0.000291399 -90 *27667:A1 *4350:103 0.000142959 -91 *28335:CLK *4350:8 0.000360102 -92 *29237:A *4350:87 0.000513224 -93 *29238:A *4350:87 9.41642e-05 -94 *29291:A *4350:96 0.000384018 -95 *29584:A *4350:87 0.000301438 -96 *29606:A *4350:8 0.000130965 -97 *29692:A *26911:A0 0.000521419 -98 *29749:A *4350:8 9.76928e-05 -99 *30200:A *4350:116 0.000353028 -100 *1288:104 *4350:96 0.00227799 -101 *1362:25 *4350:65 2.05484e-05 -102 *1399:19 *29003:A 4.27437e-05 -103 *1410:47 *4350:31 0.000415843 -104 *1422:52 *4350:48 6.5919e-05 -105 *1472:25 *4350:31 0.000164928 -106 *1473:56 *4350:8 1.31516e-05 -107 *1473:70 *4350:8 0.000765791 -108 *1483:25 *4350:14 0.000292488 -109 *1483:25 *4350:31 4.11173e-05 -110 *1873:32 *4350:65 0 -111 *1881:8 *4350:103 0.000315187 -112 *1883:29 *4350:103 0 -113 *1884:56 *4350:87 1.08359e-05 -114 *1891:7 *4350:87 6.13757e-06 -115 *2763:219 *4350:116 0.000600814 -116 *2850:121 *4350:48 0.000725939 -117 *2855:121 *4350:48 1.54746e-05 -118 *2856:196 *4350:65 4.09571e-05 -119 *2875:119 *4350:48 0.000866691 -120 *2875:134 *4350:48 1.30375e-05 -121 *2878:120 *4350:48 0.000158214 -122 *3101:17 *4350:48 0.000225628 -123 *3413:16 *4350:96 0.000260125 -124 *3413:25 *4350:31 0.000162076 -125 *3578:21 *4350:116 0.000499517 -126 *3581:33 *4350:8 2.83916e-05 -127 *3581:33 *4350:87 2.05938e-05 -128 *3656:22 *4350:8 0.000797469 -129 *3725:10 *4350:96 0.000717155 -130 *3725:18 *4350:95 8.2958e-06 -131 *3725:18 *4350:96 0.000940045 -132 *3738:28 *4350:95 0.000544556 -133 *3741:68 *4350:48 6.37188e-06 -134 *3766:54 *4350:65 4.33002e-05 -135 *3871:51 *29003:A 0.000711724 -136 *3881:62 *4350:96 0.000113887 -137 *3920:40 *4350:96 2.28159e-05 -138 *3958:10 *4350:8 2.28159e-05 -139 *3958:14 *4350:8 0.000445478 -140 *3958:16 *4350:8 8.89912e-05 -141 *3958:16 *4350:14 0.000285082 -142 *3958:16 *4350:31 0.000639205 -143 *3958:16 *4350:48 0.000515395 -144 *3984:76 *4350:31 0.000299779 -145 *4013:25 *4350:65 0.000109422 -146 *4031:5 *26911:A0 2.36158e-05 -147 *4031:17 *26911:A0 5.46079e-05 -148 *4065:53 *4350:48 0.00018314 -149 *4069:117 *4350:66 0.00353182 -150 *4101:22 *4350:8 0.00079824 -151 *4101:24 *4350:48 0.000297117 -152 *4102:12 *4350:116 2.34204e-05 -153 *4102:32 *4350:116 0.00181991 -154 *4103:68 *4350:65 0.000990098 -155 *4105:78 *4350:65 0 -156 *4113:104 *4350:48 0.000266832 -157 *4113:116 *4350:65 0.00129196 -*RES -1 *30108:X *4350:7 14.3357 -2 *4350:7 *4350:8 63.2679 -3 *4350:8 *4350:14 13.8661 -4 *4350:14 *4350:31 38.0118 -5 *4350:31 *4350:48 47.9461 -6 *4350:48 *29003:A 24.6214 -7 *4350:14 *4350:65 16.2714 -8 *4350:65 *4350:66 53.1071 -9 *4350:66 *27064:A0 13.1393 -10 *4350:66 *6523:DIODE 9.3 -11 *4350:7 *4350:87 47.5357 -12 *4350:87 *4350:95 22.7857 -13 *4350:95 *4350:96 55.2589 -14 *4350:96 *4350:103 33.7411 -15 *4350:103 *29005:A 9.3 -16 *4350:103 *4350:116 45.8393 -17 *4350:116 *26911:A0 47.5857 -*END - -*D_NET *4351 0.00258575 -*CONN -*I *28430:D I *D sky130_fd_sc_hd__dfstp_2 -*I *30109:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28430:D 0.000550583 -2 *30109:X 0.000550583 -3 *28430:D *5583:71 0.000694838 -4 *28861:A *28430:D 0.000298483 -5 *30109:A *28430:D 0.000166345 -6 *30121:A *28430:D 0.00024415 -7 *3641:8 *28430:D 6.36092e-05 -8 *3641:61 *28430:D 1.71638e-05 -*RES -1 *30109:X *28430:D 39.8321 -*END - -*D_NET *4352 0.0314154 -*CONN -*I *25404:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30602:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 -*CAP -1 *25404:A1 0.0020304 -2 *30602:X 0.000201858 -3 *4352:35 0.0033546 -4 *4352:19 0.00512921 -5 *4352:11 0.00456549 -6 *4352:8 0.000962339 -7 *25404:A1 *24955:B 6.71755e-05 -8 *25404:A1 *25134:A 0.000160329 -9 *25404:A1 *5041:21 0.000213722 -10 *25404:A1 *5508:44 0.000219711 -11 *25404:A1 *5622:93 4.51726e-05 -12 *25404:A1 *5624:58 9.25014e-06 -13 *4352:11 *5448:11 0 -14 *4352:11 *5757:176 0.00248815 -15 *4352:19 *25037:A1 0.0005584 -16 *4352:19 *26843:A1 9.49984e-05 -17 *4352:19 *28441:D 3.39206e-05 -18 *4352:19 *28441:RESET_B 0.000130365 -19 *4352:19 *28783:D 3.84902e-05 -20 *4352:19 *28783:RESET_B 0.000132969 -21 *4352:19 *29838:A 2.30169e-05 -22 *4352:19 *4574:47 0.000186546 -23 *4352:19 *4742:17 0 -24 *4352:19 *5512:50 3.51111e-05 -25 *4352:19 *5521:67 9.59532e-06 -26 *4352:19 *5602:21 0.000923898 -27 *4352:19 *5678:247 8.40344e-05 -28 *4352:19 *5746:97 1.71755e-05 -29 *4352:19 *5746:124 7.75005e-05 -30 *4352:19 *5746:132 0.000332153 -31 *4352:19 *6008:26 0.000129568 -32 *4352:19 *6104:19 2.04825e-05 -33 *4352:19 *6179:19 0 -34 *4352:19 *6314:11 0 -35 *4352:19 *6319:10 8.84757e-05 -36 *4352:19 *6338:41 2.45366e-05 -37 *4352:19 *6350:26 8.44523e-05 -38 *4352:35 *24955:B 0.000137983 -39 *4352:35 *25096:A 9.66977e-05 -40 *4352:35 *26845:A 0.00067023 -41 *4352:35 *27050:A0 9.41642e-05 -42 *4352:35 *28122:D 0.00013594 -43 *4352:35 *5504:56 2.04825e-05 -44 *4352:35 *5592:64 1.90936e-05 -45 *25401:B1 *4352:19 6.29863e-05 -46 *25404:A2 *25404:A1 0.000116526 -47 *25405:C *25404:A1 2.14757e-05 -48 *26838:A *4352:19 6.3827e-05 -49 *26842:S *4352:19 9.60337e-06 -50 *26845:B *4352:35 2.89016e-05 -51 *29269:A *25404:A1 4.09076e-05 -52 *30454:A *4352:19 0.00011315 -53 *18:20 *4352:8 0 -54 *19:25 *4352:8 0.000111158 -55 *39:26 *4352:19 0.000598781 -56 *40:30 *4352:19 0 -57 *474:15 *4352:35 0.000140933 -58 *1244:53 *4352:19 0 -59 *1327:141 *25404:A1 0.000263279 -60 *1327:141 *4352:35 6.57815e-05 -61 *1327:156 *4352:35 0.000187398 -62 *1328:78 *4352:19 0.000193215 -63 *1471:12 *25404:A1 4.1664e-05 -64 *1624:43 *25404:A1 0.000219711 -65 *1659:18 *25404:A1 9.91086e-05 -66 *1717:14 *4352:19 0 -67 *1717:25 *4352:35 0.000899078 -68 *2778:35 *4352:19 0.000694587 -69 *3847:17 *4352:11 0.00379562 -*RES -1 *30602:X *4352:8 22.3714 -2 *4352:8 *4352:11 40.3571 -3 *4352:11 *4352:19 48.6962 -4 *4352:19 *4352:35 35.5082 -5 *4352:35 *25404:A1 45.3536 -*END - -*D_NET *4353 0.0587982 -*CONN -*I *25999:A I *D sky130_fd_sc_hd__nor3b_2 -*I *26043:B I *D sky130_fd_sc_hd__and3b_4 -*I *26569:A1 I *D sky130_fd_sc_hd__o221a_1 -*I *26007:A I *D sky130_fd_sc_hd__nand2_2 -*I *26470:A I *D sky130_fd_sc_hd__and4_1 -*I *25969:A1 I *D sky130_fd_sc_hd__o211a_4 -*I *25989:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *24860:A I *D sky130_fd_sc_hd__clkinv_2 -*I *25995:A I *D sky130_fd_sc_hd__nand2_2 -*I *26161:A I *D sky130_fd_sc_hd__and4_4 -*I *26020:A I *D sky130_fd_sc_hd__or3_2 -*I *26226:A1 I *D sky130_fd_sc_hd__a41o_1 -*I *25968:A I *D sky130_fd_sc_hd__or2_1 -*I *26022:A I *D sky130_fd_sc_hd__and3_4 -*I *26194:A I *D sky130_fd_sc_hd__or4_4 -*I *26096:A I *D sky130_fd_sc_hd__or4bb_4 -*I *30603:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *25999:A 1.16062e-05 -2 *26043:B 0.000135716 -3 *26569:A1 0.000169521 -4 *26007:A 0 -5 *26470:A 0.000578464 -6 *25969:A1 0 -7 *25989:A_N 0 -8 *24860:A 0.000348749 -9 *25995:A 2.25053e-05 -10 *26161:A 0 -11 *26020:A 0.000156025 -12 *26226:A1 0.000668555 -13 *25968:A 0.000955101 -14 *26022:A 0.0001917 -15 *26194:A 0 -16 *26096:A 0.000108653 -17 *30603:X 0.00037944 -18 *4353:194 0.000898534 -19 *4353:187 0.00100598 -20 *4353:183 0.00119755 -21 *4353:181 0.00196323 -22 *4353:167 0.00273079 -23 *4353:113 0.00113049 -24 *4353:95 0.00147749 -25 *4353:88 0.00108556 -26 *4353:70 0.00113743 -27 *4353:68 0.00162152 -28 *4353:54 0.00194781 -29 *4353:48 0.00164814 -30 *4353:34 0.00245587 -31 *4353:19 0.0033295 -32 *4353:7 0.000911058 -33 *24860:A *26189:A 5.49544e-05 -34 *25968:A *25968:B 0.000135028 -35 *25995:A *6291:67 5.33005e-05 -36 *25999:A *25999:C_N 5.52302e-05 -37 *26022:A *26022:B 9.41642e-05 -38 *26022:A *26022:C 0.000108535 -39 *26022:A *4541:58 5.52238e-05 -40 *26022:A *6291:80 0.000125717 -41 *26043:B *26043:A_N 6.27058e-05 -42 *26043:B *4508:285 9.71197e-05 -43 *26096:A *4508:14 0.000119738 -44 *26096:A *4508:42 8.95675e-06 -45 *26226:A1 *26226:A3 0.000318252 -46 *26470:A *26007:B 2.26973e-05 -47 *26470:A *26470:B 0.00121512 -48 *4353:7 *4475:5 0.000176231 -49 *4353:19 *4475:5 1.83136e-05 -50 *4353:19 *4475:21 4.34858e-05 -51 *4353:34 *4475:21 0.00116465 -52 *4353:34 *4519:26 1.34698e-05 -53 *4353:34 *4530:14 0.00011217 -54 *4353:34 *4552:79 1.99406e-05 -55 *4353:34 *4563:21 0.000133629 -56 *4353:34 *5782:20 0.00150504 -57 *4353:48 *26277:A 9.9129e-05 -58 *4353:48 *6169:44 0 -59 *4353:54 *6169:44 0 -60 *4353:68 *26003:D 0.000137561 -61 *4353:68 *26194:B 0.000940788 -62 *4353:68 *26194:D 2.8078e-05 -63 *4353:68 *4508:107 0 -64 *4353:68 *4519:38 5.05773e-05 -65 *4353:68 *4552:105 8.94491e-05 -66 *4353:70 *6291:80 0.000260993 -67 *4353:88 *26161:B 5.12608e-05 -68 *4353:88 *26161:C 3.23658e-05 -69 *4353:88 *26161:D 6.05161e-06 -70 *4353:88 *26175:B1 3.23658e-05 -71 *4353:88 *4519:70 0.000146474 -72 *4353:88 *4519:84 0.000191968 -73 *4353:88 *4541:40 1.02504e-05 -74 *4353:88 *4541:47 0.000128161 -75 *4353:88 *4552:112 2.68614e-05 -76 *4353:88 *5782:62 1.17968e-05 -77 *4353:95 *26161:B 6.86792e-05 -78 *4353:95 *6291:88 0.000134186 -79 *4353:167 *4475:21 6.98302e-05 -80 *4353:167 *4563:21 7.07676e-05 -81 *4353:167 *6214:10 0 -82 *4353:167 *6214:22 0.0010901 -83 *4353:167 *6291:16 0.000153888 -84 *4353:181 *25509:D_N 0.000301273 -85 *4353:181 *25966:A 4.87953e-05 -86 *4353:181 *25966:C 5.69386e-05 -87 *4353:181 *25999:B 3.47641e-06 -88 *4353:181 *25999:C_N 0.00158907 -89 *4353:181 *4364:54 0.000612681 -90 *4353:181 *4508:54 4.87854e-05 -91 *4353:183 *25999:B 0.000444057 -92 *4353:183 *4508:294 2.84494e-05 -93 *4353:187 *4508:294 0.000246282 -94 *4353:194 *5673:299 9.7659e-05 -95 *25502:B *4353:167 0 -96 *25502:C *4353:181 1.10632e-05 -97 *25502:D *4353:167 4.62539e-05 -98 *25510:A *4353:181 2.30116e-06 -99 *25978:B *4353:181 0.000195625 -100 *26015:B1 *4353:183 0 -101 *26043:C *26043:B 5.52302e-05 -102 *26169:A *4353:88 2.14757e-05 -103 *26174:B *4353:113 9.99303e-05 -104 *26215:C *4353:88 6.92626e-05 -105 *26229:B *4353:88 2.42516e-05 -106 *26277:C *4353:48 0.000210176 -107 *26293:A2 *4353:68 4.34734e-05 -108 *26314:B *4353:183 0 -109 *26314:B *4353:187 0.000189134 -110 *26318:A *4353:48 1.90936e-05 -111 *26320:B2 *24860:A 0.000140488 -112 *26321:C1 *4353:48 5.49544e-05 -113 *26452:A3 *4353:187 0.000406656 -114 *26452:A3 *4353:194 0.000342081 -115 *26482:A1 *4353:68 2.35042e-06 -116 *26558:A2 *4353:187 0.000211446 -117 *26558:A2 *4353:194 0.000343728 -118 *26562:B1 *4353:68 0 -119 *26563:A *26470:A 0.000142856 -120 *26569:A2 *26569:A1 2.09826e-05 -121 *26680:A2 *4353:48 2.18078e-05 -122 *30593:A *4353:167 0 -123 *30594:A *4353:167 0.000485792 -124 *30619:A *4353:19 0.000203572 -125 *30620:A *4353:167 0 -126 *30621:A *4353:167 4.9773e-05 -127 *30622:A *4353:167 6.78942e-05 -128 *1186:40 *26022:A 0.000118195 -129 *1186:40 *4353:68 0.000349415 -130 *1186:40 *4353:70 0.000268528 -131 *1187:12 *26470:A 0.000737299 -132 *1187:14 *26470:A 0.000562683 -133 *1187:41 *25995:A 1.58163e-05 -134 *1187:48 *4353:95 0.000609061 -135 *1793:22 *4353:183 0.000570194 -136 *1910:5 *25968:A 5.49544e-05 -137 *1935:25 *25968:A 0.00012401 -138 *1942:106 *4353:48 0.000131197 -139 *1944:23 *4353:68 0.000314516 -140 *1952:22 *26470:A 2.6949e-05 -141 *1956:15 *4353:181 0.000209855 -142 *1956:21 *4353:34 0.000142103 -143 *1956:65 *25968:A 0.000125724 -144 *1962:8 *26020:A 4.58194e-05 -145 *1966:17 *26226:A1 0.000381839 -146 *2025:47 *26569:A1 0.000160485 -147 *2025:55 *26569:A1 0.000475589 -148 *2031:35 *4353:183 2.4134e-05 -149 *2032:100 *4353:48 4.03528e-05 -150 *2032:150 *4353:68 0.000133813 -151 *2032:169 *4353:68 0.000340393 -152 *2046:10 *4353:88 7.83587e-05 -153 *2046:51 *25999:A 5.52302e-05 -154 *2046:51 *4353:181 4.68604e-05 -155 *2046:66 *4353:88 5.2514e-05 -156 *2048:10 *4353:95 0.000607346 -157 *2054:38 *26569:A1 0.000620614 -158 *2111:13 *4353:88 0.000179745 -159 *2111:58 *4353:88 0.000167634 -160 *2130:11 *24860:A 0.000333929 -161 *2130:50 *25968:A 6.05161e-06 -162 *2131:7 *24860:A 0.000219711 -163 *2131:22 *4353:68 1.09611e-05 -164 *2136:10 *4353:68 2.84125e-05 -165 *2147:24 *4353:48 0.000343302 -166 *2147:38 *4353:48 6.04444e-05 -167 *2152:125 *4353:34 0.00111186 -168 *2154:143 *4353:187 6.73313e-05 -169 *2157:8 *4353:88 4.54254e-05 -170 *2161:11 *4353:68 6.87834e-06 -171 *2176:27 *26226:A1 0.000118349 -172 *2176:27 *4353:113 0.000170328 -173 *2187:36 *4353:34 0.000489535 -174 *2220:33 *26096:A 0 -175 *2226:31 *4353:48 0.0001531 -176 *2226:31 *4353:54 0.000771695 -177 *2230:19 *25968:A 0.000266301 -178 *2233:37 *4353:68 0.000103253 -179 *2235:10 *4353:48 0 -180 *2269:23 *4353:34 0.000253196 -181 *2272:15 *4353:181 0.000383166 -182 *2347:8 *26226:A1 0.000137983 -183 *2470:7 *4353:95 6.57032e-05 -184 *2470:11 *26226:A1 0.000225307 -185 *2470:11 *4353:113 0.000327093 -186 *2497:14 *4353:187 0.000239928 -187 *2514:10 *26569:A1 1.01912e-05 -188 *2620:13 *26470:A 0.000113021 -189 *4264:8 *4353:167 1.07561e-05 -190 *4275:8 *4353:181 0.0001824 -*RES -1 *30603:X *4353:7 14.3714 -2 *4353:7 *26096:A 20.2464 -3 *4353:7 *4353:19 9.96429 -4 *4353:19 *4353:34 37.9106 -5 *4353:34 *4353:48 22.4464 -6 *4353:48 *4353:54 14.875 -7 *4353:54 *26194:A 9.3 -8 *4353:54 *4353:68 28.265 -9 *4353:68 *4353:70 3.46429 -10 *4353:70 *26022:A 18.4429 -11 *4353:70 *4353:88 28.7679 -12 *4353:88 *4353:95 18.0714 -13 *4353:95 *25968:A 33.3357 -14 *4353:95 *4353:113 11.0714 -15 *4353:113 *26226:A1 27.4786 -16 *4353:113 *26020:A 15.9786 -17 *4353:88 *26161:A 9.3 -18 *4353:68 *25995:A 14.3357 -19 *4353:48 *24860:A 21.4607 -20 *4353:34 *25989:A_N 9.3 -21 *4353:19 *4353:167 36.2321 -22 *4353:167 *25969:A1 9.3 -23 *4353:167 *4353:181 21.7739 -24 *4353:181 *4353:183 12.875 -25 *4353:183 *4353:187 15.0804 -26 *4353:187 *4353:194 12.4554 -27 *4353:194 *26470:A 37.0857 -28 *4353:194 *26007:A 9.3 -29 *4353:187 *26569:A1 21.8807 -30 *4353:183 *26043:B 16.3893 -31 *4353:181 *25999:A 14.3357 -*END - -*D_NET *4354 0.00130475 -*CONN -*I *26900:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30110:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26900:A1 0.000369015 -2 *30110:X 0.000369015 -3 *26900:A1 *26900:A0 5.65955e-05 -4 *26900:A1 *5675:58 0.000251121 -5 *30110:A *26900:A1 5.20751e-05 -6 *2760:69 *26900:A1 5.65955e-05 -7 *3956:17 *26900:A1 4.48647e-05 -8 *3956:52 *26900:A1 0.000105471 -*RES -1 *30110:X *26900:A1 25.7071 -*END - -*D_NET *4355 0.000933446 -*CONN -*I *28489:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30111:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28489:D 0.000247104 -2 *30111:X 0.000247104 -3 *28489:D *5602:76 6.94952e-05 -4 *28489:D *5863:163 8.91822e-05 -5 *3558:223 *28489:D 0.000141734 -6 *3956:10 *28489:D 0.000138826 -*RES -1 *30111:X *28489:D 32.5643 -*END - -*D_NET *4356 0.00200528 -*CONN -*I *26997:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30112:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26997:A1 0.000343951 -2 *30112:X 0.000343951 -3 *26997:A1 *26925:A0 4.08637e-05 -4 *26997:A1 *27433:A2 0.000387803 -5 *26997:A1 *28461:D 0.000125084 -6 *26997:A1 *5683:266 0.000135028 -7 *29903:A *26997:A1 6.7224e-05 -8 *3720:19 *26997:A1 0.00056137 -*RES -1 *30112:X *26997:A1 27.7607 -*END - -*D_NET *4357 0.00279658 -*CONN -*I *28575:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30114:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28575:D 0 -2 *30114:X 0.000584803 -3 *4357:21 0.000584803 -4 *4357:21 *26925:A0 7.6644e-05 -5 *4357:21 *28516:D 0.000125769 -6 *4357:21 *5432:36 0.000152823 -7 *4357:21 *5824:286 0.000126582 -8 *4357:21 *5847:43 3.20775e-05 -9 *4357:21 *5911:94 9.99668e-05 -10 *4357:21 *6207:19 0.000120975 -11 *25061:C1 *4357:21 0.000124639 -12 *26997:S *4357:21 6.35864e-05 -13 *27786:A1 *4357:21 6.68876e-05 -14 *28511:D *4357:21 0.000178847 -15 *844:19 *4357:21 0.000165675 -16 *1382:16 *4357:21 9.50636e-05 -17 *3733:59 *4357:21 1.85914e-05 -18 *4097:11 *4357:21 0.000178847 -*RES -1 *30114:X *4357:21 41.6214 -2 *4357:21 *28575:D 9.3 -*END - -*D_NET *4358 0.000824731 -*CONN -*I *25899:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30115:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25899:A0 0.00021834 -2 *30115:X 0.00021834 -3 *30115:A *25899:A0 0.000388051 -*RES -1 *30115:X *25899:A0 22.4214 -*END - -*D_NET *4359 0.00181751 -*CONN -*I *28349:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30116:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28349:D 0.000416741 -2 *30116:X 0.000416741 -3 *28349:D *5688:158 6.05161e-06 -4 *28349:D *5760:32 5.33005e-05 -5 *26587:A *28349:D 6.09889e-05 -6 *29573:A *28349:D 8.25843e-06 -7 *29584:A *28349:D 9.91086e-05 -8 *30108:A *28349:D 2.89016e-05 -9 *3583:24 *28349:D 0.000473146 -10 *3656:93 *28349:D 0.00012783 -11 *3656:104 *28349:D 0.000126439 -*RES -1 *30116:X *28349:D 36.9214 -*END - -*D_NET *4360 0.00486592 -*CONN -*I *26934:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30117:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26934:A1 0 -2 *30117:X 0.000908984 -3 *4360:11 0.000908984 -4 *4360:11 *25720:S 6.57032e-05 -5 *4360:11 *26870:A0 5.33005e-05 -6 *4360:11 *26898:A0 5.33005e-05 -7 *4360:11 *26898:A1 0.000448827 -8 *4360:11 *26934:A0 0.000304869 -9 *4360:11 *5879:112 0.000135028 -10 *4360:11 *5879:118 0.000339346 -11 *4360:11 *5954:15 1.39726e-05 -12 *25721:A1 *4360:11 4.99681e-05 -13 *30118:A *4360:11 5.49544e-05 -14 *1275:164 *4360:11 0.000492754 -15 *2760:135 *4360:11 0.000237636 -16 *3733:59 *4360:11 0.000492223 -17 *4110:17 *4360:11 0.000306068 -*RES -1 *30117:X *4360:11 41.0679 -2 *4360:11 *26934:A1 9.3 -*END - -*D_NET *4361 0.00328357 -*CONN -*I *28519:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30118:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28519:D 0.000634566 -2 *30118:X 0.000634566 -3 *28519:D *28461:D 0.000239005 -4 *28519:D *28516:D 0.000263656 -5 *28519:D *5911:94 0.000145154 -6 *28516:CLK *28519:D 0.000406263 -7 *30118:A *28519:D 4.83863e-05 -8 *1275:164 *28519:D 0.000911978 -*RES -1 *30118:X *28519:D 42.975 -*END - -*D_NET *4362 0.00396049 -*CONN -*I *27181:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30119:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27181:A1 0.00103375 -2 *30119:X 0.00103375 -3 *27181:A1 *27181:A0 0.000506284 -4 *27181:A1 *28756:RESET_B 0.00018372 -5 *27181:A1 *28987:A 0.000696639 -6 *27181:A1 *5191:15 9.80405e-05 -7 *29416:A *27181:A1 0.000256458 -8 *29813:A *27181:A1 6.8646e-06 -9 *4017:82 *27181:A1 0.000144971 -*RES -1 *30119:X *27181:A1 46.2071 -*END - -*D_NET *4363 0.00363582 -*CONN -*I *28738:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30120:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28738:D 0.00056899 -2 *30120:X 0.00056899 -3 *28738:D *27181:A0 0.00129019 -4 *28738:D *5191:15 0.00023991 -5 *28738:D *6221:16 7.32173e-05 -6 *29416:A *28738:D 0.00048489 -7 *30120:A *28738:D 0.000409633 -*RES -1 *30120:X *28738:D 36.7964 -*END - -*D_NET *4364 0.0183131 -*CONN -*I *26012:B I *D sky130_fd_sc_hd__nor2_1 -*I *25973:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *26013:A I *D sky130_fd_sc_hd__nor2_8 -*I *24811:A I *D sky130_fd_sc_hd__clkinv_2 -*I *25974:A I *D sky130_fd_sc_hd__nand3_1 -*I *26014:B I *D sky130_fd_sc_hd__or4_4 -*I *25506:B I *D sky130_fd_sc_hd__and2_1 -*I *26278:A I *D sky130_fd_sc_hd__or3b_4 -*I *30604:X O *D sky130_fd_sc_hd__clkbuf_4 -*CAP -1 *26012:B 2.85312e-05 -2 *25973:B1 0.000236928 -3 *26013:A 4.63768e-05 -4 *24811:A 1.7778e-05 -5 *25974:A 2.37577e-05 -6 *26014:B 0 -7 *25506:B 0.000252064 -8 *26278:A 8.95055e-06 -9 *30604:X 0.000341473 -10 *4364:92 0.00151716 -11 *4364:69 0.00189785 -12 *4364:62 0.000525432 -13 *4364:60 0.000678755 -14 *4364:54 0.000702841 -15 *4364:34 0.000742659 -16 *4364:10 0.000573045 -17 *4364:8 0.000751801 -18 *25506:B *25999:B 0 -19 *25506:B *26014:A 7.55804e-05 -20 *25506:B *4386:13 0 -21 *25506:B *4419:20 0 -22 *25506:B *6203:23 0.000186662 -23 *25973:B1 *6203:23 4.10403e-05 -24 *4364:8 *4375:10 0.000113349 -25 *4364:10 *4375:10 2.11068e-05 -26 *4364:34 *25960:B 5.33005e-05 -27 *4364:34 *4375:37 4.8472e-05 -28 *4364:34 *4397:34 5.04571e-06 -29 *4364:34 *4397:36 0.000493582 -30 *4364:34 *4397:49 3.06466e-05 -31 *4364:54 *25504:D_N 4.97144e-05 -32 *4364:54 *25509:D_N 0.000211829 -33 *4364:54 *6214:22 0 -34 *25504:A *26012:B 9.71197e-05 -35 *25504:B *4364:54 2.72763e-05 -36 *25504:B *4364:60 3.47641e-06 -37 *25510:A *4364:34 0 -38 *25510:B *4364:8 0 -39 *25510:B *4364:10 0 -40 *25510:B *4364:34 6.05538e-06 -41 *26017:B1 *26013:A 0.000137983 -42 *26049:B *24811:A 2.59355e-05 -43 *26091:B *26012:B 5.33005e-05 -44 *26091:B *26278:A 5.71472e-05 -45 *26091:B *4364:60 6.61005e-05 -46 *26091:C *4364:54 2.22674e-05 -47 *26091:C *4364:60 3.30147e-05 -48 *26332:C *4364:34 0.000650272 -49 *26456:A *4364:69 0 -50 *26460:A *4364:92 6.80138e-05 -51 *26556:B *4364:92 0.000915063 -52 *30598:A *4364:92 3.52655e-05 -53 *30599:A *4364:60 0.00018601 -54 *30599:A *4364:62 5.68973e-05 -55 *30599:A *4364:69 0.000191187 -56 *30600:A *4364:54 2.95076e-06 -57 *30600:A *4364:60 0 -58 *30605:A *4364:8 0.000126639 -59 *255:24 *26012:B 1.98839e-05 -60 *1788:5 *25506:B 5.62995e-05 -61 *1906:19 *25973:B1 9.13898e-05 -62 *1906:20 *4364:60 1.10997e-05 -63 *1906:20 *4364:62 3.40301e-05 -64 *1906:20 *4364:69 2.30116e-06 -65 *1915:8 *25973:B1 7.66995e-05 -66 *1916:6 *4364:92 5.83233e-05 -67 *1917:8 *4364:69 0.000163475 -68 *1928:14 *4364:92 0.00025862 -69 *1955:19 *4364:69 1.26641e-05 -70 *1958:7 *25973:B1 0.000137983 -71 *1958:8 *4364:92 0.00244853 -72 *1959:10 *4364:69 0.000341802 -73 *2025:55 *4364:92 5.00194e-05 -74 *2031:68 *4364:92 3.47985e-06 -75 *2033:40 *4364:92 0.000808411 -76 *2039:29 *25974:A 5.33005e-05 -77 *2039:29 *4364:69 5.51918e-05 -78 *2046:51 *26278:A 5.52302e-05 -79 *2046:51 *4364:10 3.23542e-05 -80 *2046:51 *4364:34 6.79764e-06 -81 *2144:95 *24811:A 5.52238e-05 -82 *2217:77 *4364:92 5.2908e-05 -83 *2227:85 *26013:A 4.53889e-05 -84 *2500:26 *4364:92 2.34204e-05 -85 *4330:8 *4364:92 0.000307878 -86 *4353:181 *4364:54 0.000612681 -*RES -1 *30604:X *4364:8 19.2911 -2 *4364:8 *4364:10 1.1875 -3 *4364:10 *26278:A 14.3357 -4 *4364:10 *4364:34 15.7321 -5 *4364:34 *25506:B 22.925 -6 *4364:34 *26014:B 9.3 -7 *4364:8 *4364:54 8.6878 -8 *4364:54 *4364:60 6.55357 -9 *4364:60 *4364:62 1.94643 -10 *4364:62 *4364:69 12.3929 -11 *4364:69 *25974:A 9.83571 -12 *4364:69 *4364:92 49.2985 -13 *4364:92 *24811:A 14.3357 -14 *4364:62 *26013:A 15.1571 -15 *4364:60 *25973:B1 18.3357 -16 *4364:54 *26012:B 14.7464 -*END - -*D_NET *4365 0.00139231 -*CONN -*I *25892:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30121:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25892:A0 0.00023079 -2 *30121:X 0.00023079 -3 *25892:A0 *25892:A1 4.87953e-05 -4 *25892:S *25892:A0 5.33005e-05 -5 *1890:35 *25892:A0 0.000510402 -6 *2776:65 *25892:A0 0.000318231 -*RES -1 *30121:X *25892:A0 25.2964 -*END - -*D_NET *4366 0.00106587 -*CONN -*I *28343:D I *D sky130_fd_sc_hd__dfstp_1 -*I *30122:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28343:D 0.000315306 -2 *30122:X 0.000315306 -3 *28343:D *5483:145 0.00011294 -4 *28343:D *5691:109 0.00011294 -5 *25269:A1 *28343:D 5.30836e-05 -6 *30122:A *28343:D 1.94945e-05 -7 *2855:32 *28343:D 3.46406e-05 -8 *2886:41 *28343:D 2.59355e-05 -9 *3547:25 *28343:D 2.09897e-05 -10 *3989:20 *28343:D 5.52302e-05 -*RES -1 *30122:X *28343:D 37.203 -*END - -*D_NET *4367 0.0013536 -*CONN -*I *25929:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30123:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25929:A1 0.000320836 -2 *30123:X 0.000320836 -3 *25929:S *25929:A1 0.000175892 -4 *3762:29 *25929:A1 0.000220935 -5 *4014:9 *25929:A1 5.33005e-05 -6 *4014:18 *25929:A1 0.000261799 -*RES -1 *30123:X *25929:A1 24.8857 -*END - -*D_NET *4368 0.00200902 -*CONN -*I *28374:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30125:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28374:D 0.000649058 -2 *30125:X 0.000649058 -3 *25115:A1 *28374:D 2.40271e-05 -4 *30125:A *28374:D 8.55871e-05 -5 *3766:40 *28374:D 0.000291986 -6 *4023:8 *28374:D 1.90303e-05 -7 *4026:24 *28374:D 0.000290271 -*RES -1 *30125:X *28374:D 37.2429 -*END - -*D_NET *4369 0.00161897 -*CONN -*I *27105:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30126:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27105:A1 0.000492781 -2 *30126:X 0.000492781 -3 *29704:A *27105:A1 0.000633407 -*RES -1 *30126:X *27105:A1 24.8857 -*END - -*D_NET *4370 0.00196077 -*CONN -*I *28671:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30127:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28671:D 0.000480213 -2 *30127:X 0.000480213 -3 *28671:D *27096:A0 0.000187817 -4 *28671:D *5847:44 0.000328766 -5 *28671:D *5872:42 0.000326337 -6 *28671:CLK *28671:D 0.000157426 -*RES -1 *30127:X *28671:D 38.6714 -*END - -*D_NET *4371 0.0121986 -*CONN -*I *25624:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30128:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25624:A0 0.000906122 -2 *30128:X 0.00167018 -3 *4371:19 0.0025763 -4 *25624:A0 *25623:A0 0.000395256 -5 *25624:A0 *4372:35 2.24574e-05 -6 *25624:A0 *5483:96 0.000777016 -7 *25624:A0 *5483:120 9.71197e-05 -8 *25624:A0 *5522:8 0.000141551 -9 *25624:A0 *5522:32 0.000777177 -10 *25624:A0 *5523:36 0.000255953 -11 *25624:A0 *6055:23 5.52238e-05 -12 *4371:19 *4617:23 0.000454834 -13 *4371:19 *4947:16 0.000278409 -14 *4371:19 *5149:22 0.000360833 -15 *4371:19 *5520:48 8.76591e-06 -16 *4371:19 *5522:32 0.000333967 -17 *4371:19 *5705:162 4.37712e-06 -18 *4371:19 *5706:219 0.00121913 -19 *4371:19 *6054:21 0.000336659 -20 *27853:A1 *4371:19 1.84765e-05 -21 *27853:B2 *4371:19 0 -22 *30138:A *4371:19 0 -23 *473:13 *25624:A0 9.50184e-05 -24 *1264:41 *4371:19 6.0378e-06 -25 *1264:162 *4371:19 0.000262741 -26 *1457:35 *4371:19 2.04866e-05 -27 *1498:34 *25624:A0 0.000368919 -28 *1825:58 *25624:A0 1.52353e-05 -29 *1895:13 *25624:A0 0.000120755 -30 *3125:10 *25624:A0 1.24912e-05 -31 *3178:69 *4371:19 0.000246359 -32 *3191:54 *4371:19 5.33005e-05 -33 *3460:21 *4371:19 4.65519e-05 -34 *3672:45 *4371:19 0.000100454 -35 *4010:21 *4371:19 0.000160489 -*RES -1 *30128:X *4371:19 47.6259 -2 *4371:19 *25624:A0 49.1839 -*END - -*D_NET *4372 0.0115286 -*CONN -*I *28121:D I *D sky130_fd_sc_hd__dfstp_2 -*I *30129:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28121:D 0 -2 *30129:X 0.000676501 -3 *4372:35 0.00165754 -4 *4372:19 0.00233404 -5 *4372:19 *25936:B 0.000218409 -6 *4372:19 *5052:36 0.000220943 -7 *4372:19 *5167:14 0.00023915 -8 *4372:19 *5738:172 0.000136958 -9 *4372:35 *25623:A0 4.69231e-05 -10 *4372:35 *25937:A1 3.81605e-05 -11 *4372:35 *28106:D 0 -12 *4372:35 *28120:SET_B 0.000122303 -13 *4372:35 *28121:SET_B 0 -14 *4372:35 *5149:22 3.454e-05 -15 *4372:35 *5485:102 6.77303e-05 -16 *4372:35 *5521:22 9.15186e-06 -17 *4372:35 *5521:73 4.48418e-05 -18 *4372:35 *5522:8 0.000140028 -19 *4372:35 *5522:32 0.000828218 -20 *4372:35 *5523:36 1.24156e-05 -21 *4372:35 *5592:95 5.52302e-05 -22 *4372:35 *5680:320 0.000559367 -23 *4372:35 *5706:219 0.000940626 -24 *4372:35 *5737:10 3.18676e-05 -25 pll_trim[6] *4372:35 0.000111094 -26 pll_trim[8] *4372:35 0 -27 *25398:A2 *4372:19 3.98517e-05 -28 *25398:B1 *4372:19 2.05612e-05 -29 *25624:A0 *4372:35 2.24574e-05 -30 *27853:B1 *4372:19 6.05161e-06 -31 *27853:B2 *4372:19 0.000238443 -32 *473:29 *4372:19 0.000481993 -33 *1481:46 *4372:19 0.000136958 -34 *3482:38 *4372:19 0.000480271 -35 *3801:109 *4372:19 0.000136628 -36 *4010:21 *4372:35 0.00143933 -*RES -1 *30129:X *4372:19 49.2286 -2 *4372:19 *4372:35 45.5893 -3 *4372:35 *28121:D 9.3 -*END - -*D_NET *4373 0.00426021 -*CONN -*I *25770:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30130:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25770:A1 0.00128085 -2 *30130:X 0.00128085 -3 *28579:CLK *25770:A1 7.6644e-05 -4 *3753:75 *25770:A1 0.00151757 -5 *3776:33 *25770:A1 2.59355e-05 -6 *4012:22 *25770:A1 7.83659e-05 -*RES -1 *30130:X *25770:A1 44.4929 -*END - -*D_NET *4374 0.00224009 -*CONN -*I *28234:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30131:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28234:D 0.000720858 -2 *30131:X 0.000720858 -3 *28234:D *25780:A1 0.000263663 -4 *28234:D *28234:RESET_B 4.37158e-05 -5 *28234:D *5709:85 0.00013061 -6 *28234:D *5709:87 3.28967e-05 -7 *25777:A *28234:D 0.000145618 -8 *28363:CLK *28234:D 0.000126439 -9 *3576:111 *28234:D 2.24075e-05 -10 *3776:33 *28234:D 1.58163e-05 -11 *4077:16 *28234:D 1.721e-05 -*RES -1 *30131:X *28234:D 39.1402 -*END - -*D_NET *4375 0.00622964 -*CONN -*I *26014:A I *D sky130_fd_sc_hd__or4_4 -*I *25506:A I *D sky130_fd_sc_hd__and2_1 -*I *25960:A I *D sky130_fd_sc_hd__or3_4 -*I *26278:C_N I *D sky130_fd_sc_hd__or3b_4 -*I *26012:A I *D sky130_fd_sc_hd__nor2_1 -*I *30605:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *26014:A 0.00035406 -2 *25506:A 9.66941e-05 -3 *25960:A 0 -4 *26278:C_N 0 -5 *26012:A 5.81272e-05 -6 *30605:X 0.000595179 -7 *4375:45 0.000543747 -8 *4375:37 0.000356775 -9 *4375:16 0.000200565 -10 *4375:10 0.0010014 -11 *25506:A *6203:23 0.000136958 -12 *26012:A *25504:C 2.95641e-05 -13 *26014:A *4386:13 0.000189184 -14 *26014:A *4386:15 0.000265453 -15 *26014:A *4419:20 0 -16 *4375:10 *25509:D_N 9.99405e-05 -17 *4375:10 *25999:C_N 6.30931e-05 -18 *4375:16 *25999:C_N 1.90936e-05 -19 *4375:37 *4397:49 1.46717e-05 -20 *4375:45 *4386:15 0.000310292 -21 *4375:45 *4386:17 5.52238e-05 -22 *25504:A *26012:A 7.58643e-05 -23 *25506:B *26014:A 7.55804e-05 -24 *25510:A *25506:A 0.000122221 -25 *25510:A *4375:45 4.5147e-05 -26 *26091:A *4375:16 0 -27 *26091:A *4375:37 0 -28 *26091:B *26012:A 0.000212956 -29 *26332:C *4375:45 0.000354105 -30 *30600:A *4375:10 0 -31 *30601:A *4375:10 0.000330456 -32 *30604:A *4375:10 0 -33 *30605:A *4375:10 1.74352e-05 -34 *1788:5 *25506:A 7.16452e-05 -35 *1793:22 *25506:A 0.000118982 -36 *1793:22 *4375:45 5.31158e-05 -37 *2046:51 *4375:10 8.74121e-05 -38 *2046:51 *4375:37 8.6229e-06 -39 *2220:19 *26012:A 5.52238e-05 -40 *2220:19 *4375:10 2.79235e-05 -41 *4364:8 *4375:10 0.000113349 -42 *4364:10 *4375:10 2.11068e-05 -43 *4364:34 *4375:37 4.8472e-05 -*RES -1 *30605:X *4375:10 24.3982 -2 *4375:10 *4375:16 6.33036 -3 *4375:16 *26012:A 11.9786 -4 *4375:16 *26278:C_N 9.3 -5 *4375:10 *4375:37 8.1875 -6 *4375:37 *25960:A 9.3 -7 *4375:37 *4375:45 8.64286 -8 *4375:45 *25506:A 16.8 -9 *4375:45 *26014:A 28.0679 -*END - -*D_NET *4376 0.00187564 -*CONN -*I *26970:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30132:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26970:A1 0.000329943 -2 *30132:X 0.000329943 -3 *26970:A1 *5683:231 0.00056049 -4 *26970:A1 *5683:286 6.8529e-05 -5 *26970:A1 *5683:299 9.83797e-05 -6 *26970:A1 *5863:161 0.000267731 -7 *26970:A1 *5911:115 9.11048e-06 -8 *4219:11 *26970:A1 0.000211516 -*RES -1 *30132:X *26970:A1 37.1536 -*END - -*D_NET *4377 0.00166373 -*CONN -*I *28551:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30133:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28551:D 0.000426159 -2 *30133:X 0.000426159 -3 *25043:A1 *28551:D 0.000174329 -4 *27436:B1 *28551:D 0.000172607 -5 *3954:13 *28551:D 0.000464471 -*RES -1 *30133:X *28551:D 34.4929 -*END - -*D_NET *4378 0.00180029 -*CONN -*I *26898:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30134:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26898:A1 0.000386221 -2 *30134:X 0.000386221 -3 *26898:A1 *5872:42 0.000123295 -4 *26898:A1 *5879:112 6.8529e-05 -5 *26898:A1 *6244:23 2.55806e-05 -6 *25721:A1 *26898:A1 0.000135028 -7 *27786:A1 *26898:A1 2.84109e-05 -8 *849:17 *26898:A1 5.86416e-05 -9 *2760:135 *26898:A1 5.49544e-05 -10 *4107:17 *26898:A1 8.45805e-05 -11 *4360:11 *26898:A1 0.000448827 -*RES -1 *30134:X *26898:A1 36.6179 -*END - -*D_NET *4379 0.00185114 -*CONN -*I *28487:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30136:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28487:D 0.000468954 -2 *30136:X 0.000468954 -3 *28487:D *5639:165 0.000488699 -4 *28487:D *5863:161 0 -5 *28487:D *6244:23 2.23592e-05 -6 *30136:A *28487:D 7.29712e-05 -7 *3681:17 *28487:D 2.11419e-05 -8 *4107:17 *28487:D 0.000221815 -9 *4110:16 *28487:D 8.62476e-05 -*RES -1 *30136:X *28487:D 36.6893 -*END - -*D_NET *4380 0.00486198 -*CONN -*I *25611:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30137:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25611:A0 0 -2 *30137:X 0.000985047 -3 *4380:8 0.000985047 -4 *4380:8 *25939:A1 6.47471e-05 -5 *4380:8 *28382:D 0.000142237 -6 *4380:8 *29581:A 0.000273249 -7 *4380:8 *29649:A 0.000531926 -8 *4380:8 *5500:41 0.000598625 -9 *4380:8 *5501:23 9.82693e-05 -10 *4380:8 *5599:125 0.000308357 -11 *4380:8 *5691:176 0.000306731 -12 *27968:B1 *4380:8 0.00029002 -13 *27968:B2 *4380:8 9.04953e-05 -14 *28812:D *4380:8 0.000137616 -15 *1294:64 *4380:8 4.96152e-05 -*RES -1 *30137:X *4380:8 43.6214 -2 *4380:8 *25611:A0 9.3 -*END - -*D_NET *4381 0.011201 -*CONN -*I *28109:D I *D sky130_fd_sc_hd__dfstp_2 -*I *30138:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28109:D 6.00189e-05 -2 *30138:X 0.00279649 -3 *4381:19 0.00285651 -4 *28109:D *5524:23 0 -5 *4381:19 *29394:A 0.00112579 -6 *4381:19 *29430:A 0.000456782 -7 *4381:19 *5166:23 0.000118322 -8 *4381:19 *5691:201 0.000140137 -9 *4381:19 *5718:88 0.00113382 -10 *4381:19 *6385:56 0.000144958 -11 pll_trim[9] *28109:D 8.68244e-05 -12 *25359:A2 *4381:19 0 -13 *27928:B2 *4381:19 0.000842626 -14 *27928:C1 *4381:19 0.000143461 -15 *462:17 *4381:19 1.83517e-05 -16 *1257:99 *4381:19 0.000208166 -17 *1294:64 *4381:19 0 -18 *1395:61 *4381:19 9.71197e-05 -19 *1624:55 *4381:19 1.86129e-05 -20 *3460:21 *4381:19 0.000208166 -21 *3649:42 *28109:D 0 -22 *3663:42 *4381:19 0.000655855 -23 *4000:17 *4381:19 8.90144e-05 -*RES -1 *30138:X *4381:19 49.5264 -2 *4381:19 *28109:D 14.9875 -*END - -*D_NET *4382 0.0109244 -*CONN -*I *26821:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30139:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26821:A1 0.000115336 -2 *30139:X 0.00206446 -3 *4382:17 0.00217979 -4 *4382:17 *26812:A1 0.000271339 -5 *4382:17 *28418:D 0.00033864 -6 *4382:17 *29128:A 0.00148594 -7 *4382:17 *30139:A 0.000744029 -8 *4382:17 *4383:16 0.000210168 -9 *4382:17 *4910:17 0.000259264 -10 *4382:17 *5093:19 0.000252361 -11 *4382:17 *5488:34 0.000111763 -12 *4382:17 *5532:15 0.000241806 -13 *4382:17 *5710:112 0.000249827 -14 *4382:17 *5733:48 0.000949818 -15 *25662:A0 *26821:A1 0.000269428 -16 *28368:D *4382:17 1.31686e-05 -17 *30032:A *26821:A1 2.12087e-05 -18 *30087:A *4382:17 0.000157777 -19 *160:14 *4382:17 0.000183093 -20 *505:17 *26821:A1 0.000396623 -21 *750:19 *4382:17 0.000166862 -22 *758:26 *26821:A1 3.68898e-05 -23 *2744:51 *26821:A1 0.000106037 -24 *4184:45 *4382:17 5.86957e-05 -25 *4276:17 *4382:17 4.00349e-05 -*RES -1 *30139:X *4382:17 48.0472 -2 *4382:17 *26821:A1 21.7189 -*END - -*D_NET *4383 0.0178634 -*CONN -*I *28425:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30140:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28425:D 0.00194951 -2 *30140:X 0.00176645 -3 *4383:16 0.00371596 -4 *28425:D *25616:B 5.33005e-05 -5 *28425:D *28419:D 0.000287299 -6 *28425:D *5485:52 0.000776494 -7 *28425:D *5490:7 0.00020569 -8 *28425:D *5519:19 0.000238257 -9 *28425:D *5519:25 1.3228e-05 -10 *28425:D *5698:143 0.000606412 -11 *4383:16 *26812:A1 0.000230475 -12 *4383:16 *26818:A1 0.000130117 -13 *4383:16 *29128:A 0.000232399 -14 *4383:16 *5026:15 1.54142e-05 -15 *4383:16 *5069:27 6.36238e-05 -16 *4383:16 *5204:15 0.00241231 -17 *4383:16 *5477:15 0 -18 *4383:16 *5488:34 0.000482601 -19 *4383:16 *5489:19 0.000257269 -20 *4383:16 *5778:219 0.000321551 -21 *4383:16 *5979:21 0.00020846 -22 pll_div[0] *28425:D 9.71197e-05 -23 *25620:A0 *28425:D 0.000141985 -24 *25921:S *28425:D 7.4456e-05 -25 *30032:A *4383:16 0 -26 *30055:A *4383:16 0.000109215 -27 *160:14 *4383:16 0.000186662 -28 *701:29 *4383:16 0.00192257 -29 *1264:41 *28425:D 0.000140546 -30 *1825:7 *28425:D 0.000214309 -31 *1825:34 *28425:D 0.000169366 -32 *3653:56 *4383:16 0.000128599 -33 *4183:28 *4383:16 0 -34 *4184:14 *4383:16 0 -35 *4240:33 *4383:16 0.000213051 -36 *4276:17 *4383:16 4.79803e-05 -37 *4307:17 *28425:D 0.000240537 -38 *4382:17 *4383:16 0.000210168 -*RES -1 *30140:X *4383:16 47.3858 -2 *4383:16 *28425:D 44.1393 -*END - -*D_NET *4384 0.00163333 -*CONN -*I *26882:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30141:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26882:A0 0.000207159 -2 *30141:X 0.000207159 -3 *26882:S *26882:A0 5.33005e-05 -4 *27813:A2 *26882:A0 0.000250836 -5 *30141:A *26882:A0 0.000137983 -6 *1273:130 *26882:A0 0.000723587 -7 *2758:74 *26882:A0 5.33005e-05 -*RES -1 *30141:X *26882:A0 25.7071 -*END - -*D_NET *4385 0.00147514 -*CONN -*I *28473:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30142:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28473:D 0.000380981 -2 *30142:X 0.000380981 -3 *28473:D *5757:134 0.000141409 -4 *1242:230 *28473:D 0.00034188 -5 *2998:30 *28473:D 0.000209275 -6 *3293:34 *28473:D 2.06112e-05 -*RES -1 *30142:X *28473:D 34.7786 -*END - -*D_NET *4386 0.00547002 -*CONN -*I *25507:B I *D sky130_fd_sc_hd__or2_1 -*I *26014:D I *D sky130_fd_sc_hd__or4_4 -*I *26015:A2 I *D sky130_fd_sc_hd__a31oi_2 -*I *25960:C I *D sky130_fd_sc_hd__or3_4 -*I *26016:A2 I *D sky130_fd_sc_hd__a31o_2 -*I *30606:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 -*CAP -1 *25507:B 3.55319e-05 -2 *26014:D 0 -3 *26015:A2 0.000135242 -4 *25960:C 3.5343e-05 -5 *26016:A2 0.000216433 -6 *30606:X 0.000153931 -7 *4386:17 0.000271865 -8 *4386:15 0.000354163 -9 *4386:13 0.000472692 -10 *4386:8 0.000463323 -11 *25507:B *25504:C 1.5424e-05 -12 *25507:B *4397:34 9.71197e-05 -13 *26015:A2 *26016:A1 5.52238e-05 -14 *26015:A2 *4397:49 6.06514e-05 -15 *26016:A2 *6203:23 5.71472e-05 -16 *4386:8 *25507:A 1.52978e-05 -17 *4386:8 *4397:8 6.02554e-05 -18 *4386:8 *4397:34 6.8646e-06 -19 *4386:13 *25507:A 2.07158e-05 -20 *4386:13 *25999:B 0 -21 *4386:13 *4397:34 1.55463e-05 -22 *4386:13 *4419:20 0 -23 *25506:B *4386:13 0 -24 *26014:A *4386:13 0.000189184 -25 *26014:A *4386:15 0.000265453 -26 *26091:A *26016:A2 0.000228558 -27 *26332:C *25960:C 0.00010219 -28 *26332:C *26016:A2 3.98559e-05 -29 *26332:C *4386:13 0.000312209 -30 *26332:C *4386:15 0.000177085 -31 *26332:C *4386:17 1.5424e-05 -32 *30600:A *26016:A2 4.82859e-05 -33 *30608:A *25507:B 5.71472e-05 -34 *1790:17 *4386:8 0.000186662 -35 *1791:19 *4386:8 0.000186662 -36 *1902:8 *26016:A2 0.000225609 -37 *1902:8 *4386:15 4.27935e-05 -38 *1902:8 *4386:17 8.46829e-05 -39 *1941:9 *4386:8 0 -40 *1956:15 *4386:13 9.59532e-06 -41 *1957:12 *26015:A2 5.71472e-05 -42 *2033:12 *26016:A2 7.55769e-05 -43 *2046:51 *25507:B 0.000183726 -44 *2258:41 *26015:A2 4.60232e-06 -45 *4297:15 *26015:A2 6.92856e-05 -46 *4375:45 *4386:15 0.000310292 -47 *4375:45 *4386:17 5.52238e-05 -*RES -1 *30606:X *4386:8 17.8179 -2 *4386:8 *4386:13 10.6607 -3 *4386:13 *4386:15 5.46429 -4 *4386:15 *4386:17 0.946429 -5 *4386:17 *26016:A2 23.9964 -6 *4386:17 *25960:C 11.0679 -7 *4386:15 *26015:A2 21.0857 -8 *4386:13 *26014:D 9.3 -9 *4386:8 *25507:B 15.5679 -*END - -*D_NET *4387 0.000985611 -*CONN -*I *26995:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30143:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26995:A1 0.000111136 -2 *30143:X 0.000111136 -3 *26995:A1 *5680:89 0.000105667 -4 *26995:S *26995:A1 0.00029388 -5 *30144:A *26995:A1 0.00022459 -6 *2771:57 *26995:A1 0.000139202 -*RES -1 *30143:X *26995:A1 22.8321 -*END - -*D_NET *4388 0.00280288 -*CONN -*I *28573:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30144:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28573:D 0.000730129 -2 *30144:X 0.000730129 -3 *28573:D *28573:RESET_B 8.92098e-05 -4 *28573:D *5589:135 6.05538e-05 -5 *28573:D *5911:53 0.000103267 -6 *28573:D *5911:64 0.0003507 -7 *28573:CLK *28573:D 0.000279659 -8 *30178:A *28573:D 0.000357687 -9 *3796:173 *28573:D 0.000101545 -*RES -1 *30144:X *28573:D 41.725 -*END - -*D_NET *4389 0.00130456 -*CONN -*I *27141:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30145:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27141:A1 0.000288622 -2 *30145:X 0.000288622 -3 *30145:A *27141:A1 6.05161e-06 -4 *1273:161 *27141:A1 0.000328052 -5 *1378:36 *27141:A1 4.46618e-05 -6 *3930:70 *27141:A1 0.000257221 -7 *3941:9 *27141:A1 7.95355e-05 -8 *4137:20 *27141:A1 1.17921e-05 -*RES -1 *30145:X *27141:A1 33.7429 -*END - -*D_NET *4390 0.00180037 -*CONN -*I *28703:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30148:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28703:D 0.000350281 -2 *30148:X 0.000350281 -3 *28703:D *28703:RESET_B 0.000252875 -4 *28703:CLK *28703:D 0.000441636 -5 *3580:102 *28703:D 0.000153848 -6 *4085:27 *28703:D 0.000125724 -7 *4086:28 *28703:D 0.000125724 -*RES -1 *30148:X *28703:D 36.7607 -*END - -*D_NET *4391 0.00225828 -*CONN -*I *27017:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30149:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27017:A1 0.000521291 -2 *30149:X 0.000521291 -3 *27017:A1 *5465:37 0.000373281 -4 *27017:A1 *5659:32 0.000508151 -5 *27017:A1 *5675:86 0.000257619 -6 *27017:A1 *5926:117 7.6644e-05 -*RES -1 *30149:X *27017:A1 38.3321 -*END - -*D_NET *4392 0.00120863 -*CONN -*I *28593:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30150:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28593:D 0.000478794 -2 *30150:X 0.000478794 -3 *28593:D *5755:113 8.85712e-05 -4 *28593:D *5926:117 1.05999e-05 -5 *1326:68 *28593:D 0.000100661 -6 *1347:31 *28593:D 5.12112e-05 -*RES -1 *30150:X *28593:D 33.5821 -*END - -*D_NET *4393 0.00416545 -*CONN -*I *27031:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30151:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27031:A1 0 -2 *30151:X 0.000751453 -3 *4393:9 0.000751453 -4 *4393:9 *5680:106 0.000664696 -5 *4393:9 *5681:10 0.000327594 -6 *4393:9 *5800:84 0.000128146 -7 *244:166 *4393:9 2.89016e-05 -8 *244:172 *4393:9 0.000223102 -9 *2759:147 *4393:9 0.000810381 -10 *3783:10 *4393:9 0.000479719 -*RES -1 *30151:X *4393:9 41.5857 -2 *4393:9 *27031:A1 9.3 -*END - -*D_NET *4394 0.00382403 -*CONN -*I *28605:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30152:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28605:D 0.000792073 -2 *30152:X 0.000792073 -3 *28605:D *27004:A1 1.31516e-05 -4 *28605:D *27040:A1 0.000146638 -5 *28605:D *28465:RESET_B 6.10829e-05 -6 *28605:D *28581:D 5.99573e-05 -7 *28605:D *5588:130 0.000243937 -8 *28605:D *5589:135 0 -9 *28605:D *5875:13 0.000110952 -10 *25727:S *28605:D 0.000170048 -11 *938:9 *28605:D 0.000139019 -12 *2764:89 *28605:D 0.000286128 -13 *2764:92 *28605:D 0.000585123 -14 *3718:27 *28605:D 0.000146474 -15 *3718:147 *28605:D 0.00027737 -*RES -1 *30152:X *28605:D 48.5286 -*END - -*D_NET *4395 0.00545907 -*CONN -*I *26959:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30153:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26959:A1 0.00172557 -2 *30153:X 0.00172557 -3 *26959:A1 *28583:D 0.000182337 -4 *26959:A1 *6087:19 6.27543e-05 -5 *26959:S *26959:A1 0.000125547 -6 *27792:C *26959:A1 0.000405712 -7 *28583:CLK *26959:A1 9.73694e-05 -8 *30154:A *26959:A1 8.92452e-05 -9 *244:158 *26959:A1 8.86898e-05 -10 *244:166 *26959:A1 0.000306317 -11 *2767:142 *26959:A1 0.00018077 -12 *3733:5 *26959:A1 0.000168937 -13 *3733:15 *26959:A1 0.000149228 -14 *3811:32 *26959:A1 0.000137561 -15 *3876:62 *26959:A1 8.25843e-06 -16 *4147:21 *26959:A1 5.20359e-06 -*RES -1 *30153:X *26959:A1 44.225 -*END - -*D_NET *4396 0.00594782 -*CONN -*I *28541:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30154:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28541:D 1.67213e-05 -2 *30154:X 0.00147117 -3 *4396:14 0.00148789 -4 *4396:14 *27792:A 2.93421e-05 -5 *4396:14 *5589:135 0.000406847 -6 *27792:C *4396:14 0.000262679 -7 *30154:A *4396:14 0.000132927 -8 *2767:122 *4396:14 0.000405132 -9 *2769:167 *4396:14 0.00162171 -10 *3378:8 *4396:14 3.11997e-05 -11 *3612:49 *28541:D 5.33005e-05 -12 *4147:20 *28541:D 2.89016e-05 -*RES -1 *30154:X *4396:14 48.05 -2 *4396:14 *28541:D 14.3357 -*END - -*D_NET *4397 0.00627087 -*CONN -*I *26014:C I *D sky130_fd_sc_hd__or4_4 -*I *26015:A1 I *D sky130_fd_sc_hd__a31oi_2 -*I *26016:A1 I *D sky130_fd_sc_hd__a31o_2 -*I *25960:B I *D sky130_fd_sc_hd__or3_4 -*I *25507:A I *D sky130_fd_sc_hd__or2_1 -*I *30607:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 -*CAP -1 *26014:C 0 -2 *26015:A1 0 -3 *26016:A1 0.000162218 -4 *25960:B 0.000188141 -5 *25507:A 0.000252613 -6 *30607:X 0.00021681 -7 *4397:49 0.000353752 -8 *4397:36 0.000763041 -9 *4397:34 0.000691627 -10 *4397:8 0.000777684 -11 *25507:A *4419:20 4.29471e-05 -12 *26016:A1 *6203:23 0.000481922 -13 *4397:8 *6214:32 5.52238e-05 -14 *4397:34 *25504:C 0.000225616 -15 *4397:34 *25999:B 0 -16 *4397:34 *25999:C_N 9.90431e-05 -17 *25507:B *4397:34 9.71197e-05 -18 *25510:A *4397:49 0 -19 *26015:A2 *26016:A1 5.52238e-05 -20 *26015:A2 *4397:49 6.06514e-05 -21 *26015:A3 *26016:A1 5.33005e-05 -22 *26016:A3 *26016:A1 1.38262e-05 -23 *26016:B1 *26016:A1 1.33251e-05 -24 *26332:C *25960:B 9.31893e-05 -25 *30608:A *25507:A 6.25506e-05 -26 *30608:A *4397:8 0.000350494 -27 *30610:A *4397:8 2.89016e-05 -28 *1941:9 *4397:34 1.02504e-05 -29 *1956:15 *4397:34 4.19624e-06 -30 *1957:12 *26016:A1 0.000313465 -31 *2046:51 *25507:A 5.33005e-05 -32 *2046:51 *4397:34 1.54142e-05 -33 *2258:41 *4397:49 1.90936e-05 -34 *4364:34 *25960:B 5.33005e-05 -35 *4364:34 *4397:34 5.04571e-06 -36 *4364:34 *4397:36 0.000493582 -37 *4364:34 *4397:49 3.06466e-05 -38 *4375:37 *4397:49 1.46717e-05 -39 *4386:8 *25507:A 1.52978e-05 -40 *4386:8 *4397:8 6.02554e-05 -41 *4386:8 *4397:34 6.8646e-06 -42 *4386:13 *25507:A 2.07158e-05 -43 *4386:13 *4397:34 1.55463e-05 -*RES -1 *30607:X *4397:8 19.0143 -2 *4397:8 *25507:A 17.1571 -3 *4397:8 *4397:34 19.2098 -4 *4397:34 *4397:36 5.37946 -5 *4397:36 *25960:B 11.9071 -6 *4397:36 *4397:49 12.1607 -7 *4397:49 *26016:A1 15.5679 -8 *4397:49 *26015:A1 9.3 -9 *4397:34 *26014:C 9.3 -*END - -*D_NET *4398 0.00491658 -*CONN -*I *27013:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30155:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27013:A1 0.00142738 -2 *30155:X 0.00142738 -3 *27013:A1 *4399:14 0.000557129 -4 *27013:A1 *5696:77 0.000230677 -5 *27013:S *27013:A1 9.41642e-05 -6 *28673:CLK *27013:A1 0.00019867 -7 *3582:146 *27013:A1 2.23695e-05 -8 *3891:7 *27013:A1 0.000223884 -9 *4069:32 *27013:A1 0.000734929 -*RES -1 *30155:X *27013:A1 41.35 -*END - -*D_NET *4399 0.00530968 -*CONN -*I *28589:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30156:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28589:D 1.76131e-05 -2 *30156:X 0.00102004 -3 *4399:14 0.00103765 -4 *28589:D *30839:A 5.33005e-05 -5 *4399:14 *26919:A 0.000348556 -6 *4399:14 *28597:D 1.17968e-05 -7 *4399:14 *4511:19 2.97829e-05 -8 *4399:14 *5911:64 0.000137561 -9 *4399:14 *5911:71 3.37161e-05 -10 *27013:A1 *4399:14 0.000557129 -11 *27013:S *4399:14 1.98839e-05 -12 *28589:CLK *4399:14 0.000101209 -13 *30214:A *4399:14 0.000768231 -14 *244:65 *4399:14 9.81873e-05 -15 *922:17 *4399:14 5.52238e-05 -16 *2759:149 *4399:14 0.000195198 -17 *2763:5 *4399:14 2.59355e-05 -18 *2763:25 *4399:14 2.14658e-05 -19 *2763:145 *4399:14 5.55449e-05 -20 *2772:14 *4399:14 0.000394969 -21 *2774:244 *4399:14 0.000298838 -22 *3744:5 *28589:D 2.78512e-05 -*RES -1 *30156:X *4399:14 47.425 -2 *4399:14 *28589:D 14.3357 -*END - -*D_NET *4400 0.00315254 -*CONN -*I *27096:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30157:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27096:A0 0.00105894 -2 *30157:X 0.00105894 -3 *27096:A0 *27096:A1 2.17474e-05 -4 *27096:A0 *5683:299 0.000189498 -5 *27096:A0 *5863:161 0 -6 *27096:A0 *6001:17 0.000182592 -7 *27096:S *27096:A0 1.97695e-05 -8 *28663:CLK *27096:A0 0.000126187 -9 *28671:CLK *27096:A0 3.01728e-05 -10 *28671:D *27096:A0 0.000187817 -11 *2782:147 *27096:A0 2.92869e-05 -12 *3615:12 *27096:A0 0.000247588 -*RES -1 *30157:X *27096:A0 43.975 -*END - -*D_NET *4401 0.000740259 -*CONN -*I *28663:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30159:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28663:D 0.0001805 -2 *30159:X 0.0001805 -3 *28663:D *5630:217 0.000100823 -4 *2892:220 *28663:D 0.000102545 -5 *3165:73 *28663:D 0.000175892 -*RES -1 *30159:X *28663:D 30.7071 -*END - -*D_NET *4402 0.00675985 -*CONN -*I *27074:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30160:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27074:A1 0.000883563 -2 *30160:X 0.00117647 -3 *4402:16 0.00206003 -4 *27074:A1 *4699:9 0.000609051 -5 *4402:16 *26976:A1 9.71197e-05 -6 *4402:16 *4403:20 0.000346644 -7 *4402:16 *4884:17 0.000209911 -8 *4402:16 *5803:10 0.000319265 -9 *28445:CLK *4402:16 5.41009e-05 -10 *29082:A *4402:16 1.94945e-05 -11 *976:31 *27074:A1 0.00060947 -12 *976:31 *4402:16 1.71561e-05 -13 *2760:174 *27074:A1 3.36817e-05 -14 *2780:297 *4402:16 0.000201265 -15 *3603:6 *4402:16 7.9343e-05 -16 *3874:91 *4402:16 4.32957e-05 -*RES -1 *30160:X *4402:16 39.5152 -2 *4402:16 *27074:A1 21.7464 -*END - -*D_NET *4403 0.00698861 -*CONN -*I *28643:D I *D sky130_fd_sc_hd__dfstp_2 -*I *30161:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28643:D 0.000314712 -2 *30161:X 0.000870653 -3 *4403:20 0.00118537 -4 *28643:D *4928:13 0.000158459 -5 *28643:D *5772:70 0.000904983 -6 *28643:D *5912:67 0.000564335 -7 *4403:20 *29157:A 6.8646e-06 -8 *4403:20 *5702:29 0.000146474 -9 *30161:A *4403:20 1.2662e-05 -10 *30569:A *4403:20 6.25005e-05 -11 *976:31 *4403:20 5.52302e-05 -12 *2760:174 *4403:20 0.000212315 -13 *2760:179 *28643:D 0.000406405 -14 *2770:134 *4403:20 0.00166478 -15 *3704:10 *4403:20 1.37292e-05 -16 *3861:53 *4403:20 6.25005e-05 -17 *4402:16 *4403:20 0.000346644 -*RES -1 *30161:X *4403:20 42.4011 -2 *4403:20 *28643:D 22.1571 -*END - -*D_NET *4404 0.00192321 -*CONN -*I *27042:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30162:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27042:A1 0.000672082 -2 *30162:X 0.000672082 -3 *27042:A1 *5767:41 0.00038021 -4 *30162:A *27042:A1 5.36e-05 -5 *3785:16 *27042:A1 0.000145239 -*RES -1 *30162:X *27042:A1 26.5286 -*END - -*D_NET *4405 0.00155421 -*CONN -*I *28615:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30163:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28615:D 0.000448938 -2 *30163:X 0.000448938 -3 *2791:73 *28615:D 0.000261934 -4 *3619:7 *28615:D 5.06983e-05 -5 *3619:9 *28615:D 8.17663e-05 -6 *3969:16 *28615:D 0.000261934 -*RES -1 *30163:X *28615:D 35.3143 -*END - -*D_NET *4406 0.00287067 -*CONN -*I *25887:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30164:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25887:A0 0.00108058 -2 *30164:X 0.00108058 -3 *25887:A0 *28336:RESET_B 0.000376947 -4 *25887:A0 *5231:21 7.83659e-05 -5 *25887:A0 *5688:205 1.84127e-05 -6 *25887:A0 *5758:75 6.05161e-06 -7 *3583:24 *25887:A0 7.83659e-05 -8 *3763:10 *25887:A0 6.77953e-05 -9 *3957:67 *25887:A0 8.35766e-05 -*RES -1 *30164:X *25887:A0 40.6714 -*END - -*D_NET *4407 0.000625103 -*CONN -*I *28339:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30165:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28339:D 0.000138632 -2 *30165:X 0.000138632 -3 *28339:D *5231:21 3.79254e-05 -4 *28339:D *5719:38 0.000124012 -5 *28339:D *5833:57 0.000113415 -6 *28339:CLK *28339:D 7.24871e-05 -*RES -1 *30165:X *28339:D 31.4214 -*END - -*D_NET *4408 0.00465226 -*CONN -*I *25504:C I *D sky130_fd_sc_hd__or4b_1 -*I *30608:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25504:C 0.00136623 -2 *30608:X 0.00136623 -3 *25504:C *25999:C_N 0.000934289 -4 *25504:A *25504:C 0.000175932 -5 *25507:B *25504:C 1.5424e-05 -6 *26012:A *25504:C 2.95641e-05 -7 *30600:A *25504:C 5.68913e-05 -8 *30608:A *25504:C 0.000437762 -9 *2220:19 *25504:C 4.43256e-05 -10 *4397:34 *25504:C 0.000225616 -*RES -1 *30608:X *25504:C 47.4393 -*END - -*D_NET *4409 0.00322779 -*CONN -*I *25644:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30166:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25644:A1 0.000889246 -2 *30166:X 0.000889246 -3 *25644:A1 *24879:A 3.05522e-05 -4 *25416:A2 *25644:A1 0.000388565 -5 *25645:S *25644:A1 0.000120628 -6 *27534:A1 *25644:A1 5.49489e-05 -7 *3192:107 *25644:A1 6.87839e-06 -8 *3197:125 *25644:A1 6.3284e-05 -9 *3947:68 *25644:A1 0.00010516 -10 *4127:84 *25644:A1 0.000679277 -*RES -1 *30166:X *25644:A1 41.5464 -*END - -*D_NET *4410 0.000575934 -*CONN -*I *28138:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30167:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28138:D 0.000126211 -2 *30167:X 0.000126211 -3 *30167:A *28138:D 9.3927e-05 -4 *3558:17 *28138:D 0.000177254 -5 *4127:84 *28138:D 5.23296e-05 -*RES -1 *30167:X *28138:D 22.0107 -*END - -*D_NET *4411 0.00410824 -*CONN -*I *27040:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30168:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27040:A1 0.000815076 -2 *30168:X 0.000815076 -3 *27040:A1 *27040:A0 0.0005993 -4 *27040:A1 *28581:D 0.000311036 -5 *27040:A1 *5588:130 0 -6 *27040:A1 *5696:21 0.000309266 -7 *27040:A1 *5716:133 0.000177461 -8 *27040:S *27040:A1 2.28598e-05 -9 *28605:D *27040:A1 0.000146638 -10 *30170:A *27040:A1 0.000221641 -11 *3770:11 *27040:A1 0.000689888 -*RES -1 *30168:X *27040:A1 47.9393 -*END - -*D_NET *4412 0.00611301 -*CONN -*I *28613:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30170:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28613:D 0.00020209 -2 *30170:X 0.00120352 -3 *4412:11 0.00140561 -4 *28613:D *28613:RESET_B 0.000113761 -5 *4412:11 *26873:A0 0.000175519 -6 *4412:11 *26873:A1 0.000176226 -7 *4412:11 *5461:32 0 -8 *4412:11 *5586:84 0.000596351 -9 mgmt_gpio_oeb[16] *4412:11 5.33005e-05 -10 *28613:CLK *28613:D 0.000116787 -11 *30170:A *4412:11 0.000552405 -12 *798:11 *28613:D 7.99211e-05 -13 *798:11 *4412:11 0.000171177 -14 *3538:92 *4412:11 0.000906399 -15 *3612:9 *28613:D 0.000125453 -16 *3612:9 *4412:11 0.000218679 -17 *3783:10 *4412:11 1.58163e-05 -*RES -1 *30170:X *4412:11 49.7107 -2 *4412:11 *28613:D 14.3714 -*END - -*D_NET *4413 0.00210314 -*CONN -*I *26880:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30171:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26880:A0 0.000589156 -2 *30171:X 0.000589156 -3 *26880:A0 *5635:104 0.000127446 -4 *25010:B1 *26880:A0 0.000674097 -5 *2786:87 *26880:A0 0.000123288 -*RES -1 *30171:X *26880:A0 35.9393 -*END - -*D_NET *4414 0.00211172 -*CONN -*I *28471:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30172:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28471:D 0.000596786 -2 *30172:X 0.000596786 -3 *28471:D *26880:A1 5.01453e-05 -4 *26880:S *28471:D 0.000173083 -5 *30172:A *28471:D 0.000424029 -6 *2786:89 *28471:D 0.000174805 -7 *3215:196 *28471:D 9.60875e-05 -*RES -1 *30172:X *28471:D 36.5464 -*END - -*D_NET *4415 0.00189954 -*CONN -*I *27086:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30173:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27086:A1 0.000702872 -2 *30173:X 0.000702872 -3 *27086:A1 *28654:D 0.000263833 -4 *27086:S *27086:A1 3.23206e-05 -5 *30174:A *27086:A1 3.22692e-05 -6 *1516:17 *27086:A1 9.35114e-06 -7 *1516:21 *27086:A1 4.46231e-06 -8 *2879:88 *27086:A1 7.5779e-05 -9 *4080:44 *27086:A1 7.5779e-05 -*RES -1 *30173:X *27086:A1 36.5643 -*END - -*D_NET *4416 0.00418353 -*CONN -*I *28654:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30174:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28654:D 0.00113528 -2 *30174:X 0.00113528 -3 *28654:D *24960:A 2.53722e-05 -4 *28654:D *28654:RESET_B 4.83461e-05 -5 *28654:D *5650:250 0.000153038 -6 *28654:D *5688:349 9.78604e-05 -7 *27086:A1 *28654:D 0.000263833 -8 *28654:CLK *28654:D 0.000112823 -9 *30173:A *28654:D 0.000617216 -10 *30174:A *28654:D 0.000117543 -11 *1260:73 *28654:D 8.34114e-06 -12 *1516:17 *28654:D 0.000105128 -13 *1700:20 *28654:D 1.05524e-05 -14 *2774:110 *28654:D 1.88175e-05 -15 *2877:299 *28654:D 0 -16 *3299:14 *28654:D 4.38058e-05 -17 *3862:21 *28654:D 0.000270798 -18 *3998:46 *28654:D 1.94879e-05 -*RES -1 *30174:X *28654:D 47.2113 -*END - -*D_NET *4417 0.00158971 -*CONN -*I *27149:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30175:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27149:A1 0.00060582 -2 *30175:X 0.00060582 -3 *27149:A1 *25147:A2 6.55123e-05 -4 *27400:B1 *27149:A1 2.11956e-05 -5 *27749:A1 *27149:A1 4.00679e-05 -6 *2788:139 *27149:A1 0.000251292 -*RES -1 *30175:X *27149:A1 26.1536 -*END - -*D_NET *4418 0.00316782 -*CONN -*I *28710:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30176:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28710:D 0.000689574 -2 *30176:X 0.000689574 -3 *28710:D *5688:85 0.000425688 -4 *28710:D *5688:97 0.00013563 -5 *25146:A2 *28710:D 5.69378e-05 -6 *27400:B2 *28710:D 4.97904e-05 -7 *27756:A2 *28710:D 0.000176291 -8 *1700:6 *28710:D 0.0001055 -9 *2866:323 *28710:D 0.000257619 -10 *2892:292 *28710:D 0.000581218 -*RES -1 *30176:X *28710:D 41.886 -*END - -*D_NET *4419 0.0071819 -*CONN -*I *25504:D_N I *D sky130_fd_sc_hd__or4b_1 -*I *30609:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25504:D_N 0.000339953 -2 *30609:X 0.00142264 -3 *4419:20 0.00176259 -4 *25504:D_N *25509:D_N 0.000519028 -5 *25504:D_N *6214:22 0.000153349 -6 *25504:A *25504:D_N 2.09897e-05 -7 *25506:B *4419:20 0 -8 *25507:A *4419:20 4.29471e-05 -9 *25510:A *4419:20 7.69776e-06 -10 *25510:B *4419:20 8.77729e-05 -11 *25511:D *25504:D_N 0.000267377 -12 *26014:A *4419:20 0 -13 *26314:A *4419:20 7.83587e-05 -14 *26331:A *4419:20 0.000147332 -15 *26331:B *4419:20 1.00375e-05 -16 *26332:A *4419:20 0.000378431 -17 *26455:A2 *4419:20 8.56851e-05 -18 *30608:A *4419:20 6.3291e-05 -19 *30609:A *4419:20 0.000304233 -20 *1793:22 *25504:D_N 0.000137427 -21 *1941:18 *4419:20 0.000319018 -22 *2220:19 *25504:D_N 1.94945e-05 -23 *4286:15 *4419:20 0.000964542 -24 *4364:54 *25504:D_N 4.97144e-05 -25 *4386:13 *4419:20 0 -*RES -1 *30609:X *4419:20 49.5232 -2 *4419:20 *25504:D_N 24.4699 -*END - -*D_NET *4420 0.0046326 -*CONN -*I *27004:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30177:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27004:A1 0.00143402 -2 *30177:X 0.00143402 -3 *27004:A1 *28581:D 0.000123295 -4 *28573:CLK *27004:A1 0.000386581 -5 *28605:D *27004:A1 1.31516e-05 -6 *3718:27 *27004:A1 9.91086e-05 -7 *3783:24 *27004:A1 0.000901762 -8 *3796:176 *27004:A1 0.000221641 -9 *3811:15 *27004:A1 1.90111e-05 -*RES -1 *30177:X *27004:A1 47.85 -*END - -*D_NET *4421 0.00347561 -*CONN -*I *28581:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30178:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28581:D 0.000706795 -2 *30178:X 0.000706795 -3 *28581:D *28170:D 3.25247e-05 -4 *28581:D *5588:130 0 -5 *28581:D *5589:135 0.00122051 -6 *28581:D *5773:167 6.05161e-06 -7 *28581:D *5773:173 2.59355e-05 -8 *28581:D *5875:13 5.65001e-05 -9 *26883:A *28581:D 5.33005e-05 -10 *27004:A1 *28581:D 0.000123295 -11 *27040:A1 *28581:D 0.000311036 -12 *28605:D *28581:D 5.99573e-05 -13 *2764:89 *28581:D 0.000148935 -14 *3718:27 *28581:D 2.39779e-05 -*RES -1 *30178:X *28581:D 46.225 -*END - -*D_NET *4422 0.00222053 -*CONN -*I *25905:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30179:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25905:A1 0.000449135 -2 *30179:X 0.000449135 -3 *25905:A1 *25903:A1 0.000266479 -4 *25905:A1 *25905:A0 3.97677e-05 -5 *25905:A1 *28241:RESET_B 6.05161e-06 -6 *25905:A1 *28578:SET_B 0.000177948 -7 *25905:A1 *5762:222 0.000100823 -8 *25905:A1 *5780:110 4.53124e-05 -9 *25905:A1 *5833:175 0.000221163 -10 *25905:S *25905:A1 5.33005e-05 -11 *28586:CLK *25905:A1 1.91414e-05 -12 *30179:A *25905:A1 0.000392276 -*RES -1 *30179:X *25905:A1 38.35 -*END - -*D_NET *4423 0.00194038 -*CONN -*I *28354:D I *D sky130_fd_sc_hd__dfstp_1 -*I *30181:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28354:D 0.000525196 -2 *30181:X 0.000525196 -3 *28354:D *28354:SET_B 3.02581e-06 -4 *28354:D *5762:209 9.25014e-06 -5 *28354:D *5799:10 0.000579323 -6 *28586:CLK *28354:D 0.00011062 -7 *3591:60 *28354:D 0.000187771 -*RES -1 *30181:X *28354:D 39.6536 -*END - -*D_NET *4424 0.0016777 -*CONN -*I *25917:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30182:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25917:A1 0.000462239 -2 *30182:X 0.000462239 -3 *25917:A1 *5760:156 0.000425959 -4 *1434:76 *25917:A1 0.000162476 -5 *4040:20 *25917:A1 0.000164792 -*RES -1 *30182:X *25917:A1 35.9036 -*END - -*D_NET *4425 0.00170648 -*CONN -*I *28364:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30183:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28364:D 0.000452597 -2 *30183:X 0.000452597 -3 *28364:D *27944:B1 7.70044e-05 -4 *28364:D *5715:83 0.000242314 -5 *28364:D *5729:35 0.000308994 -6 *25144:B1 *28364:D 5.33334e-05 -7 *27944:A1 *28364:D 9.90431e-05 -8 *2773:155 *28364:D 2.05938e-05 -*RES -1 *30183:X *28364:D 35.9036 -*END - -*D_NET *4426 0.000876536 -*CONN -*I *26951:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30184:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26951:A0 0.000219416 -2 *30184:X 0.000219416 -3 *30184:A *26951:A0 0.000437705 -*RES -1 *30184:X *26951:A0 22.8321 -*END - -*D_NET *4427 0.00100625 -*CONN -*I *28534:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30185:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28534:D 0.000165769 -2 *30185:X 0.000165769 -3 *28534:D *28999:A 0.000289315 -4 *28534:D *5836:312 9.60875e-05 -5 *2767:188 *28534:D 0.000289315 -*RES -1 *30185:X *28534:D 32.3143 -*END - -*D_NET *4428 0.00229062 -*CONN -*I *25851:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30186:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25851:A1 0.000574322 -2 *30186:X 0.000574322 -3 *30186:A *25851:A1 0.000198721 -4 *2895:180 *25851:A1 0.00026182 -5 *3564:11 *25851:A1 0.000301438 -6 *3564:103 *25851:A1 0.000309273 -7 *3689:11 *25851:A1 6.53083e-05 -8 *4092:35 *25851:A1 5.41797e-06 -*RES -1 *30186:X *25851:A1 36.9393 -*END - -*D_NET *4429 0.000894596 -*CONN -*I *28303:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30187:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28303:D 0.000210865 -2 *30187:X 0.000210865 -3 *25367:A1 *28303:D 0.000219711 -4 *30047:A *28303:D 0.000125717 -5 *30187:A *28303:D 0.000127439 -*RES -1 *30187:X *28303:D 31.4214 -*END - -*D_NET *4430 0.00587057 -*CONN -*I *25509:D_N I *D sky130_fd_sc_hd__or4bb_1 -*I *30610:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25509:D_N 0.00215477 -2 *30610:X 0.00215477 -3 *25509:D_N *25509:A 0 -4 *25509:D_N *25509:B 6.76272e-05 -5 *25509:D_N *6214:22 1.41754e-05 -6 *25504:D_N *25509:D_N 0.000519028 -7 *26785:A2 *25509:D_N 0 -8 *30596:A *25509:D_N 0 -9 *1790:17 *25509:D_N 6.56976e-05 -10 *1956:15 *25509:D_N 7.80762e-05 -11 *2152:157 *25509:D_N 0 -12 *2220:19 *25509:D_N 0.00020338 -13 *4353:181 *25509:D_N 0.000301273 -14 *4364:54 *25509:D_N 0.000211829 -15 *4375:10 *25509:D_N 9.99405e-05 -*RES -1 *30610:X *25509:D_N 44.7106 -*END - -*D_NET *4431 0.0011652 -*CONN -*I *27032:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30188:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27032:A1 0.000330072 -2 *30188:X 0.000330072 -3 *3686:67 *27032:A1 0.000385699 -4 *3790:102 *27032:A1 8.00806e-05 -5 *4128:24 *27032:A1 3.92757e-05 -*RES -1 *30188:X *27032:A1 32.4571 -*END - -*D_NET *4432 0.00103775 -*CONN -*I *28606:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30189:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28606:D 0.000309108 -2 *30189:X 0.000309108 -3 *28606:D *28606:RESET_B 2.24792e-05 -4 *28606:D *5600:61 5.90416e-05 -5 *28606:D *5760:156 3.34633e-05 -6 *30189:A *28606:D 4.15914e-05 -7 *1235:165 *28606:D 0.000262956 -*RES -1 *30189:X *28606:D 33.3009 -*END - -*D_NET *4433 0.00161767 -*CONN -*I *27104:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30190:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27104:A1 0.000500694 -2 *30190:X 0.000500694 -3 *27104:A1 *5642:172 0.00031527 -4 *27104:A1 *5688:123 0.000301016 -*RES -1 *30190:X *27104:A1 24.8857 -*END - -*D_NET *4434 0.0016284 -*CONN -*I *28670:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30192:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28670:D 0.0003271 -2 *30192:X 0.0003271 -3 *28670:D *5646:213 0.000262498 -4 *28606:CLK *28670:D 9.25014e-06 -5 *1682:12 *28670:D 0.000127255 -6 *3593:51 *28670:D 0.000218128 -7 *4141:34 *28670:D 0.000357067 -*RES -1 *30192:X *28670:D 34.8679 -*END - -*D_NET *4435 0.0028232 -*CONN -*I *26960:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30193:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26960:A1 0.000768482 -2 *30193:X 0.000768482 -3 *26960:A1 *26960:A0 5.65955e-05 -4 *26960:A1 *28542:D 5.49544e-05 -5 *26960:A1 *6036:107 0.000326112 -6 *26960:S *26960:A1 7.09928e-05 -7 *30193:A *26960:A1 0.000267377 -8 *30194:A *26960:A1 0.000510202 -9 *3578:36 *26960:A1 0 -*RES -1 *30193:X *26960:A1 44.2071 -*END - -*D_NET *4436 0.00100255 -*CONN -*I *28542:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30194:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28542:D 0.000250937 -2 *30194:X 0.000250937 -3 *28542:D *28518:RESET_B 0 -4 *28542:D *5832:46 5.52302e-05 -5 *26960:A1 *28542:D 5.49544e-05 -6 *28542:CLK *28542:D 2.59355e-05 -7 *30231:A *28542:D 0 -8 *3578:36 *28542:D 0.000364551 -*RES -1 *30194:X *28542:D 33.35 -*END - -*D_NET *4437 0.00182263 -*CONN -*I *27131:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30195:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27131:A1 0.00064486 -2 *30195:X 0.00064486 -3 *27131:A1 *5688:115 6.05161e-06 -4 *27416:A *27131:A1 0.00043284 -5 *2786:59 *27131:A1 9.40164e-05 -*RES -1 *30195:X *27131:A1 26.5286 -*END - -*D_NET *4438 0.00155391 -*CONN -*I *28694:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30196:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28694:D 0.000431176 -2 *30196:X 0.000431176 -3 *1235:159 *28694:D 0.000344917 -4 *1469:33 *28694:D 0.000346639 -*RES -1 *30196:X *28694:D 35.3857 -*END - -*D_NET *4439 0.00201453 -*CONN -*I *26941:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30197:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26941:A1 0.000581001 -2 *30197:X 0.000581001 -3 *26941:A1 *26937:B 2.44318e-05 -4 *26941:A1 *26941:A0 0.000303362 -5 *26941:A1 *5810:34 7.7099e-05 -6 *26941:A1 *5911:173 0.00010047 -7 *3320:18 *26941:A1 0.000263232 -8 *3969:14 *26941:A1 8.39356e-05 -*RES -1 *30197:X *26941:A1 36.9393 -*END - -*D_NET *4440 0.00140231 -*CONN -*I *28525:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30198:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28525:D 0.000498752 -2 *30198:X 0.000498752 -3 *28525:D *5905:79 7.83659e-05 -4 *25194:A2 *28525:D 2.22043e-05 -5 *27727:A1 *28525:D 0.000112839 -6 *3694:69 *28525:D 1.71615e-05 -7 *4121:7 *28525:D 0.000174238 -*RES -1 *30198:X *28525:D 34.158 -*END - -*D_NET *4441 0.00105633 -*CONN -*I *25509:A I *D sky130_fd_sc_hd__or4bb_1 -*I *30611:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25509:A 0.00025412 -2 *30611:X 0.00025412 -3 *25509:A *25509:B 0.0002678 -4 *25509:A *25509:C_N 0 -5 *25509:A *5007:48 0 -6 *25509:D_N *25509:A 0 -7 *30596:A *25509:A 0.000137983 -8 *1791:19 *25509:A 0.000142307 -*RES -1 *30611:X *25509:A 33.3321 -*END - -*D_NET *4442 0.00158368 -*CONN -*I *26924:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30199:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26924:A1 0.000150368 -2 *30199:X 0.000150368 -3 *26924:A1 *26924:A0 0.000693415 -4 *26920:S *26924:A1 9.99853e-05 -5 *2763:219 *26924:A1 0.000165217 -6 *4096:11 *26924:A1 0.000139202 -7 *4096:25 *26924:A1 0.000185124 -*RES -1 *30199:X *26924:A1 25.2964 -*END - -*D_NET *4443 0.00141296 -*CONN -*I *28510:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30200:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28510:D 0.000607795 -2 *30200:X 0.000607795 -3 *3578:27 *28510:D 0 -4 *3907:108 *28510:D 3.47176e-05 -5 *4096:11 *28510:D 0.000141863 -6 *4096:25 *28510:D 0 -7 *4350:116 *28510:D 2.07878e-05 -*RES -1 *30200:X *28510:D 35.85 -*END - -*D_NET *4444 0.00241353 -*CONN -*I *27059:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30201:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27059:A1 0.000637221 -2 *30201:X 0.000637221 -3 *27059:A1 *28630:RESET_B 0.000178548 -4 *27059:A1 *6280:18 0.0001039 -5 *25240:B2 *27059:A1 0.000280996 -6 *30201:A *27059:A1 0.000178503 -7 *1287:184 *27059:A1 6.62954e-05 -8 *1558:33 *27059:A1 4.95925e-05 -9 *2778:132 *27059:A1 2.11419e-05 -10 *2844:290 *27059:A1 0.000177596 -11 *2871:354 *27059:A1 4.65519e-05 -12 *2893:26 *27059:A1 2.11063e-05 -13 *3823:17 *27059:A1 5.60463e-06 -14 *3823:40 *27059:A1 9.25014e-06 -*RES -1 *30201:X *27059:A1 42.828 -*END - -*D_NET *4445 0.00199397 -*CONN -*I *28630:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30203:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28630:D 0.000441378 -2 *30203:X 0.000441378 -3 *28630:D *29059:A 0.00015949 -4 *28630:D *5709:182 9.60939e-05 -5 *1558:33 *28630:D 0.000235194 -6 *2775:160 *28630:D 2.55897e-05 -7 *2871:354 *28630:D 0.000136779 -8 *2889:65 *28630:D 3.14163e-05 -9 *3595:6 *28630:D 0.000113635 -10 *3595:18 *28630:D 9.26621e-05 -11 *3758:41 *28630:D 0.000176193 -12 *3823:17 *28630:D 4.41588e-05 -*RES -1 *30203:X *28630:D 43.5582 -*END - -*D_NET *4446 0.00421372 -*CONN -*I *27128:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30204:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27128:A1 0 -2 *30204:X 0.00123136 -3 *4446:13 0.00123136 -4 *4446:13 *26974:A1 0.000702569 -5 *4446:13 *27128:A0 5.52302e-05 -6 *4446:13 *29679:A 2.95726e-05 -7 *4446:13 *5716:234 1.76135e-05 -8 *4446:13 *5716:244 0.000215423 -9 *30204:A *4446:13 0.000371903 -10 *30249:A *4446:13 9.77884e-05 -11 *2786:151 *4446:13 4.35567e-05 -12 *3924:18 *4446:13 8.20967e-05 -13 *4037:18 *4446:13 0.000135245 -*RES -1 *30204:X *4446:13 41.2821 -2 *4446:13 *27128:A1 9.3 -*END - -*D_NET *4447 0.00399515 -*CONN -*I *28691:D I *D sky130_fd_sc_hd__dfstp_2 -*I *30205:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28691:D 0.000492482 -2 *30205:X 0.000492482 -3 *28691:D *26985:A0 9.25014e-06 -4 *28691:D *28691:SET_B 2.58757e-05 -5 *28691:D *29157:A 9.90367e-05 -6 *28691:D *4928:13 5.74562e-05 -7 *28691:D *5544:11 9.27866e-05 -8 *28691:D *5695:16 0.0010857 -9 *28691:D *5702:16 0.000266479 -10 *28691:D *5893:10 8.2395e-05 -11 *1024:19 *28691:D 0.000219349 -12 *2766:79 *28691:D 0.000486672 -13 *2769:227 *28691:D 0.000530999 -14 *3924:34 *28691:D 5.41794e-05 -*RES -1 *30205:X *28691:D 48.1714 -*END - -*D_NET *4448 0.00343756 -*CONN -*I *27173:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30206:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27173:A1 0.000959516 -2 *30206:X 0.000959516 -3 *27173:A1 *5590:79 0.00113517 -4 *27173:A1 *5643:227 1.98839e-05 -5 *27173:A1 *5709:182 0.000104587 -6 *6618:DIODE *27173:A1 3.99614e-06 -7 *27173:S *27173:A1 5.49544e-05 -8 *3706:35 *27173:A1 9.91086e-05 -9 *3849:50 *27173:A1 0.000100831 -*RES -1 *30206:X *27173:A1 41.5286 -*END - -*D_NET *4449 0.00160349 -*CONN -*I *28731:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30207:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28731:D 0.000303322 -2 *30207:X 0.000303322 -3 *28731:D *28731:RESET_B 5.09296e-05 -4 *28731:D *5643:237 0.000151141 -5 *1558:33 *28731:D 1.32056e-05 -6 *1558:48 *28731:D 0.000235181 -7 *3183:169 *28731:D 9.25796e-05 -8 *3595:22 *28731:D 0.000118035 -9 *4005:12 *28731:D 0.000335774 -*RES -1 *30207:X *28731:D 36.6179 -*END - -*D_NET *4450 0.00281093 -*CONN -*I *27191:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30208:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27191:A1 0.000781378 -2 *30208:X 0.000781378 -3 *27191:A1 *5777:94 2.06178e-05 -4 *27191:A1 *5795:19 8.97864e-05 -5 *30209:A *27191:A1 9.58689e-05 -6 *4128:10 *27191:A1 2.06725e-05 -7 *4132:33 *27191:A1 0.00100174 -8 *4133:12 *27191:A1 1.94879e-05 -*RES -1 *30208:X *27191:A1 39.1953 -*END - -*D_NET *4451 0.00254258 -*CONN -*I *28747:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30209:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28747:D 0.000745094 -2 *30209:X 0.000745094 -3 *28747:D *28743:D 0.000151009 -4 *28747:D *5715:32 0.000518479 -5 *28747:D *6258:12 0.000106864 -6 *30215:A *28747:D 5.80706e-06 -7 *2763:219 *28747:D 8.55871e-05 -8 *3953:6 *28747:D 0.000184644 -*RES -1 *30209:X *28747:D 40.8143 -*END - -*D_NET *4452 0.00177991 -*CONN -*I *25509:B I *D sky130_fd_sc_hd__or4bb_1 -*I *30612:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25509:B 0.000502933 -2 *30612:X 0.000502933 -3 *25509:B *6214:32 0.000219808 -4 *25509:A *25509:B 0.0002678 -5 *25509:D_N *25509:B 6.76272e-05 -6 *30611:A *25509:B 0.000144038 -7 *1790:17 *25509:B 5.41797e-05 -8 *1791:19 *25509:B 2.05938e-05 -*RES -1 *30612:X *25509:B 37.3143 -*END - -*D_NET *4453 0.0017965 -*CONN -*I *26996:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30210:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26996:A1 0.000390323 -2 *30210:X 0.000390323 -3 *26996:A1 *25085:A1 0.000240706 -4 *26996:A1 *5584:162 0.000110226 -5 *26996:A1 *5589:73 6.20441e-06 -6 *26996:A1 *5645:156 0.000149826 -7 *25085:A2 *26996:A1 0.000110948 -8 *2891:212 *26996:A1 1.86496e-05 -9 *3719:13 *26996:A1 0.000135028 -10 *4031:28 *26996:A1 0.000244271 -*RES -1 *30210:X *26996:A1 40.8439 -*END - -*D_NET *4454 0.00228907 -*CONN -*I *28574:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30211:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28574:D 0.000870635 -2 *30211:X 0.000870635 -3 *28574:D *28574:RESET_B 0.000228782 -4 *1225:130 *28574:D 7.83659e-05 -5 *2852:161 *28574:D 0.000162285 -6 *3712:22 *28574:D 7.83659e-05 -*RES -1 *30211:X *28574:D 38.6357 -*END - -*D_NET *4455 0.00442182 -*CONN -*I *27022:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30212:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27022:A0 0 -2 *30212:X 0.00129273 -3 *4455:11 0.00129273 -4 *4455:11 *26919:A 4.27437e-05 -5 *4455:11 *27022:A1 4.58194e-05 -6 *4455:11 *28197:D 1.15172e-05 -7 *4455:11 *28673:D 0.000178847 -8 *4455:11 *5829:149 5.49544e-05 -9 *4455:11 *5911:64 1.38323e-05 -10 *4455:11 *5911:71 0.000218679 -11 *30214:A *4455:11 5.95659e-05 -12 *30369:A *4455:11 5.33005e-05 -13 *922:17 *4455:11 0.000353626 -14 *2763:5 *4455:11 0.000123471 -15 *2763:25 *4455:11 0.000139631 -16 *2772:14 *4455:11 3.68898e-05 -17 *2774:244 *4455:11 0.000405304 -18 *3378:8 *4455:11 9.81872e-05 -*RES -1 *30212:X *4455:11 40.8357 -2 *4455:11 *27022:A0 9.3 -*END - -*D_NET *4456 0.00221467 -*CONN -*I *28597:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30214:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28597:D 0.000561047 -2 *30214:X 0.000561047 -3 *28597:D *26919:A 0.000167619 -4 *28597:D *5589:135 0.000282378 -5 *28597:D *5829:165 0.000603322 -6 *2763:145 *28597:D 2.74584e-05 -7 *4399:14 *28597:D 1.17968e-05 -*RES -1 *30214:X *28597:D 37.2429 -*END - -*D_NET *4457 0.00127577 -*CONN -*I *26897:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30215:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26897:A1 0.00033484 -2 *30215:X 0.00033484 -3 *26897:A1 *27068:A0 3.06878e-06 -4 *26897:A1 *5833:191 0.000127439 -5 *26897:S *26897:A1 0.000125717 -6 *2760:179 *26897:A1 0.000349867 -*RES -1 *30215:X *26897:A1 33.0643 -*END - -*D_NET *4458 0.000922395 -*CONN -*I *28486:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30216:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28486:D 0.000151291 -2 *30216:X 0.000151291 -3 *30216:A *28486:D 5.52302e-05 -4 *3706:18 *28486:D 0.000195471 -5 *4109:20 *28486:D 0.000369111 -*RES -1 *30216:X *28486:D 32.8143 -*END - -*D_NET *4459 0.00132173 -*CONN -*I *26933:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30217:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26933:A1 0.000279908 -2 *30217:X 0.000279908 -3 *26933:A1 *28518:RESET_B 0.00017715 -4 *26933:A1 *4963:8 0 -5 *26933:A1 *4974:11 2.89114e-05 -6 *30217:A *26933:A1 0.000241385 -7 *4109:14 *26933:A1 0.000314465 -*RES -1 *30217:X *26933:A1 35.2429 -*END - -*D_NET *4460 0.00199849 -*CONN -*I *28518:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30218:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28518:D 0.000523526 -2 *30218:X 0.000523526 -3 *28518:D *4963:15 0.00048265 -4 *28518:D *5463:14 0.000103666 -5 *30218:A *28518:D 0.000186669 -6 *4109:11 *28518:D 0.00017845 -*RES -1 *30218:X *28518:D 31.4571 -*END - -*D_NET *4461 0.00147282 -*CONN -*I *25675:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30219:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25675:A1 0.000216294 -2 *30219:X 0.000216294 -3 *25675:A1 *5742:111 0.000383016 -4 *25675:S *25675:A1 5.33005e-05 -5 *30219:A *25675:A1 9.41642e-05 -6 *30220:A *25675:A1 0.00050975 -*RES -1 *30219:X *25675:A1 25.4393 -*END - -*D_NET *4462 0.00470933 -*CONN -*I *28164:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30220:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28164:D 0.000790416 -2 *30220:X 0.000790416 -3 *28164:D *5666:101 0.000986 -4 *25467:A1 *28164:D 0.000137656 -5 *28164:CLK *28164:D 5.56679e-05 -6 *434:13 *28164:D 8.55871e-05 -7 *1472:11 *28164:D 0.00126317 -8 *1888:39 *28164:D 8.23597e-06 -9 *2789:27 *28164:D 0.000285591 -10 *2894:99 *28164:D 0.000285591 -11 *3711:34 *28164:D 2.09897e-05 -*RES -1 *30220:X *28164:D 42.5212 -*END - -*D_NET *4463 0.00581461 -*CONN -*I *25306:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *30613:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25306:A1 0 -2 *30613:X 0.00156023 -3 *4463:19 0.00156023 -4 *4463:19 *25049:A1 0.000919073 -5 *4463:19 *25306:B2 3.37207e-05 -6 *4463:19 *27226:A1 0 -7 *4463:19 *5129:18 6.46107e-05 -8 *4463:19 *6380:112 8.52811e-05 -9 *25306:A2 *4463:19 7.53816e-05 -10 *27219:A *4463:19 9.41642e-05 -11 *27226:A2 *4463:19 1.74352e-05 -12 *27226:B1 *4463:19 5.71472e-05 -13 *27226:B2 *4463:19 0.000162593 -14 *15:18 *4463:19 4.58194e-05 -15 *22:18 *4463:19 0.000126629 -16 *26:17 *4463:19 0.00027288 -17 *1102:18 *4463:19 1.0306e-05 -18 *1371:11 *4463:19 3.05784e-05 -19 *1385:15 *4463:19 0.000698523 -*RES -1 *30613:X *4463:19 48.8179 -2 *4463:19 *25306:A1 9.3 -*END - -*D_NET *4464 0.00162387 -*CONN -*I *25509:C_N I *D sky130_fd_sc_hd__or4bb_1 -*I *30614:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25509:C_N 0.000471513 -2 *30614:X 0.000471513 -3 *25509:C_N *25508:A 0 -4 *25509:C_N *5007:48 8.68665e-05 -5 *25509:A *25509:C_N 0 -6 *26333:B1 *25509:C_N 1.92905e-05 -7 *1791:19 *25509:C_N 0.000174844 -8 *1942:14 *25509:C_N 0.000399841 -*RES -1 *30614:X *25509:C_N 37.9214 -*END - -*D_NET *4465 0.00424096 -*CONN -*I *26905:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30221:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26905:A1 0 -2 *30221:X 0.000735128 -3 *4465:17 0.000735128 -4 *4465:17 *25381:A1 0.000261933 -5 *4465:17 *25381:A2 2.84332e-05 -6 *4465:17 *26905:A0 1.36251e-05 -7 *4465:17 *4466:10 0.000186539 -8 *4465:17 *4511:19 8.43535e-06 -9 *4465:17 *4743:10 0.00015815 -10 *4465:17 *5586:122 8.55871e-05 -11 *4465:17 *5670:13 3.97677e-05 -12 *4465:17 *5801:19 0.000179189 -13 *826:27 *4465:17 0.000183643 -14 *2759:149 *4465:17 1.66787e-05 -15 *2773:60 *4465:17 0.000127798 -16 *3692:29 *4465:17 9.15076e-05 -17 *3744:20 *4465:17 0.000921994 -18 *4069:17 *4465:17 0.000467426 -*RES -1 *30221:X *4465:17 41.778 -2 *4465:17 *26905:A1 9.3 -*END - -*D_NET *4466 0.00518967 -*CONN -*I *28493:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30222:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28493:D 0 -2 *30222:X 0.00073112 -3 *4466:10 0.00073112 -4 *4466:10 *25381:B2 8.14342e-05 -5 *4466:10 *4511:19 0.00143045 -6 *4466:10 *4743:10 0.00054976 -7 *826:27 *4466:10 4.45363e-05 -8 *1490:166 *4466:10 0.000484673 -9 *2764:94 *4466:10 0.000111113 -10 *4069:17 *4466:10 0.000759383 -11 *4069:21 *4466:10 7.95355e-05 -12 *4465:17 *4466:10 0.000186539 -*RES -1 *30222:X *4466:10 41.925 -2 *4466:10 *28493:D 9.3 -*END - -*D_NET *4467 0.00264275 -*CONN -*I *25788:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30223:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25788:A0 0.000681058 -2 *30223:X 0.000681058 -3 *25788:A0 *29040:A 0.000100831 -4 *25788:A0 *5250:8 3.75052e-05 -5 *25788:A0 *5761:179 5.66157e-05 -6 *3688:78 *25788:A0 0.000820294 -7 *4350:8 *25788:A0 0.000265385 -*RES -1 *30223:X *25788:A0 38.9929 -*END - -*D_NET *4468 0.00290444 -*CONN -*I *28249:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30225:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28249:D 0.00105321 -2 *30225:X 0.00105321 -3 *28249:D *28246:D 2.22891e-05 -4 *28249:D *28246:RESET_B 1.20244e-05 -5 *28249:D *5688:213 0.000125055 -6 *28249:D *5761:147 2.13481e-06 -7 *28249:D *5761:157 9.80418e-06 -8 *28249:D *5761:179 9.21604e-05 -9 *28249:D *5761:192 5.33005e-05 -10 *30225:A *28249:D 0.000354487 -11 *3553:26 *28249:D 0.000126769 -*RES -1 *30225:X *28249:D 41.6893 -*END - -*D_NET *4469 0.00260906 -*CONN -*I *25669:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30226:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25669:A1 0.000783331 -2 *30226:X 0.000783331 -3 *25669:A1 *5685:12 0.000121701 -4 *25300:B1 *25669:A1 0.00012323 -5 *3666:52 *25669:A1 0.000673055 -6 *3818:43 *25669:A1 0.000124412 -*RES -1 *30226:X *25669:A1 38.4036 -*END - -*D_NET *4470 0.00188687 -*CONN -*I *28159:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30227:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28159:D 0.00039507 -2 *30227:X 0.00039507 -3 *25300:A2 *28159:D 0.000136676 -4 *25303:C *28159:D 3.17684e-05 -5 *1844:26 *28159:D 5.74499e-06 -6 *3214:92 *28159:D 0.000461542 -7 *4023:18 *28159:D 0.000460997 -*RES -1 *30227:X *28159:D 36.3857 -*END - -*D_NET *4471 0.000948595 -*CONN -*I *27087:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30228:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27087:A1 0.000190953 -2 *30228:X 0.000190953 -3 *27087:A1 *5683:184 4.84159e-05 -4 *27087:A1 *5777:247 0.00017177 -5 *27087:A1 *5777:259 9.51899e-05 -6 *1246:111 *27087:A1 7.05143e-06 -7 *3668:34 *27087:A1 0.000244262 -*RES -1 *30228:X *27087:A1 32.0107 -*END - -*D_NET *4472 0.00337577 -*CONN -*I *28655:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30229:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28655:D 0.000684512 -2 *30229:X 0.000684512 -3 *28655:D *5635:120 0.000273127 -4 *28655:D *5718:387 0.000406295 -5 *28655:D *5718:390 0.000228251 -6 *28655:D *5777:259 0.000239268 -7 *28655:CLK *28655:D 6.57032e-05 -8 *1270:75 *28655:D 0.000455716 -9 *1287:210 *28655:D 0.000135028 -10 *2791:73 *28655:D 4.21517e-05 -11 *3798:40 *28655:D 2.90996e-05 -12 *3969:16 *28655:D 4.21517e-05 -13 *4095:83 *28655:D 2.59355e-05 -14 *4108:36 *28655:D 5.78674e-05 -15 *4121:62 *28655:D 6.14836e-06 -*RES -1 *30229:X *28655:D 42.9284 -*END - -*D_NET *4473 0.00221832 -*CONN -*I *26987:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30230:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26987:A1 0.000419262 -2 *30230:X 0.000419262 -3 *26987:A1 *5763:110 0.000224583 -4 *26987:A1 *5763:119 0.000127439 -5 *26987:A1 *5763:125 0.000581017 -6 *29778:A *26987:A1 0.000129868 -7 *3706:9 *26987:A1 1.98839e-05 -8 *4109:14 *26987:A1 0.000297008 -*RES -1 *30230:X *26987:A1 39.1179 -*END - -*D_NET *4474 0.00275145 -*CONN -*I *28566:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30231:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28566:D 0.000762316 -2 *30231:X 0.000762316 -3 *28566:D *28518:RESET_B 0.000150758 -4 *28566:D *5763:125 0.000579302 -5 *28566:D *5763:138 0.000102685 -6 *30231:A *28566:D 0.000121869 -7 *3578:27 *28566:D 0 -8 *3578:36 *28566:D 0 -9 *3589:9 *28566:D 6.05161e-06 -10 *3589:11 *28566:D 0.000266156 -*RES -1 *30231:X *28566:D 43.0509 -*END - -*D_NET *4475 0.0287833 -*CONN -*I *26575:A I *D sky130_fd_sc_hd__and3_1 -*I *29060:A I *D sky130_fd_sc_hd__buf_12 -*I *30615:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *26575:A 0.00022043 -2 *29060:A 2.22847e-05 -3 *30615:X 0.000475927 -4 *4475:37 0.00318711 -5 *4475:34 0.00420481 -6 *4475:21 0.00396724 -7 *4475:5 0.00322732 -8 *4475:21 *26325:A2 6.93944e-05 -9 *4475:21 *4508:65 0 -10 *4475:21 *4530:14 0 -11 *4475:21 *4552:65 2.42564e-05 -12 *4475:21 *4552:79 5.92e-05 -13 *4475:21 *5722:42 0.000564193 -14 *4475:21 *5722:48 0.000280972 -15 *4475:21 *5782:6 0.000213152 -16 *4475:21 *5782:11 0.000242208 -17 *4475:34 *6291:186 0.00135707 -18 *4475:37 *26011:A 0.00046716 -19 *4475:37 *6291:186 1.38323e-05 -20 *26113:C *4475:34 0.000466643 -21 *26126:A *4475:34 4.19624e-06 -22 *26286:A *4475:21 0 -23 *26325:C1 *4475:21 0.000147474 -24 *26327:A1 *4475:21 2.60996e-05 -25 *26327:B1 *4475:21 0.000623927 -26 *26335:B1 *4475:21 0 -27 *26480:A1 *4475:34 7.98463e-05 -28 *26567:A2 *4475:37 9.85599e-06 -29 *26573:A1 *4475:34 0.000224051 -30 *26574:C *4475:21 4.63939e-05 -31 *26680:A2 *4475:34 0.000101921 -32 *26693:A *26575:A 0.000539619 -33 *30603:A *4475:5 9.90431e-05 -34 *30615:A *4475:5 0.000192489 -35 *30619:A *4475:21 0.000354511 -36 *30620:A *4475:21 0 -37 *1907:22 *4475:34 0.000756088 -38 *1931:45 *4475:37 0.00094014 -39 *1942:45 *4475:34 0.000929122 -40 *1950:181 *4475:37 0.000908221 -41 *1997:31 *26575:A 0.000659591 -42 *2016:8 *4475:37 4.58194e-05 -43 *2016:194 *4475:37 8.33274e-05 -44 *2024:7 *4475:37 0.000970566 -45 *2038:12 *4475:21 0 -46 *2089:20 *26575:A 6.10629e-05 -47 *2152:125 *4475:21 0.000273684 -48 *2154:143 *4475:34 0.000126847 -49 *2187:36 *4475:21 2.61928e-05 -50 *2616:8 *4475:34 1.7532e-05 -51 *4353:7 *4475:5 0.000176231 -52 *4353:19 *4475:5 1.83136e-05 -53 *4353:19 *4475:21 4.34858e-05 -54 *4353:34 *4475:21 0.00116465 -55 *4353:167 *4475:21 6.98302e-05 -*RES -1 *30615:X *4475:5 19.2821 -2 *4475:5 *29060:A 9.72857 -3 *4475:5 *4475:21 49.0787 -4 *4475:21 *4475:34 28.6846 -5 *4475:34 *4475:37 42.8214 -6 *4475:37 *26575:A 22.425 -*END - -*D_NET *4476 0.00234748 -*CONN -*I *27060:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30232:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27060:A1 0.000471008 -2 *30232:X 0.000471008 -3 *27060:A1 *27060:A0 0.00020153 -4 *27060:A1 *5658:124 0.000166025 -5 *2997:20 *27060:A1 0.000136861 -6 *3967:29 *27060:A1 2.79421e-05 -7 *4107:26 *27060:A1 0.000873108 -*RES -1 *30232:X *27060:A1 39.0286 -*END - -*D_NET *4477 0.0031494 -*CONN -*I *28631:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30233:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28631:D 0.000734351 -2 *30233:X 0.000734351 -3 *28631:D *27433:B1 9.23367e-05 -4 *28631:D *5642:141 0.000172156 -5 *28631:D *5644:94 4.31764e-05 -6 *28631:D *5660:198 0.000691494 -7 *28631:D *5662:111 2.04825e-05 -8 *28631:D *5662:116 5.33005e-05 -9 *27429:B1 *28631:D 0 -10 *2855:219 *28631:D 0.000366403 -11 *3718:89 *28631:D 6.71579e-05 -12 *3887:42 *28631:D 6.3494e-05 -13 *3915:37 *28631:D 0.000110694 -*RES -1 *30233:X *28631:D 42.0136 -*END - -*D_NET *4478 0.00231446 -*CONN -*I *27132:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30234:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27132:A1 0.000316721 -2 *30234:X 0.000316721 -3 *27132:A1 *27132:A0 0.00059044 -4 *27132:A1 *5683:134 0.000218685 -5 *27132:S *27132:A1 5.33005e-05 -6 *2872:376 *27132:A1 0.000424029 -7 *3694:77 *27132:A1 0.000150625 -8 *3746:19 *27132:A1 0.000150625 -9 *3939:94 *27132:A1 9.33161e-05 -*RES -1 *30234:X *27132:A1 37.475 -*END - -*D_NET *4479 0.0023494 -*CONN -*I *28695:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30236:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28695:D 0.000439466 -2 *30236:X 0.000439466 -3 *28695:D *5631:71 0.000558111 -4 *28695:D *5635:165 0.000552109 -5 *28695:D *5692:31 4.87854e-05 -6 *28695:D *5829:76 2.04825e-05 -7 *27389:A2 *28695:D 0 -8 *27390:A2 *28695:D 1.95625e-05 -9 *27390:B1 *28695:D 9.95103e-05 -10 *1506:17 *28695:D 7.17259e-05 -11 *1506:18 *28695:D 6.24939e-05 -12 *2848:369 *28695:D 2.56666e-05 -13 *4082:70 *28695:D 0 -14 *4147:46 *28695:D 1.20243e-05 -*RES -1 *30236:X *28695:D 43.3718 -*END - -*D_NET *4480 0.00160775 -*CONN -*I *27064:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30237:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27064:A1 0.000480458 -2 *30237:X 0.000480458 -3 *27064:A1 *5603:52 0.000495678 -4 *27064:A1 *5609:183 7.55769e-05 -5 *27064:A1 *5650:250 7.55769e-05 -*RES -1 *30237:X *27064:A1 34.1 -*END - -*D_NET *4481 0.00151231 -*CONN -*I *28634:D I *D sky130_fd_sc_hd__dfstp_2 -*I *30238:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28634:D 0.000292814 -2 *30238:X 0.000292814 -3 *28634:D *5634:150 0.000128279 -4 *28634:D *5663:87 0.000192579 -5 *2779:107 *28634:D 0.00014129 -6 *2779:121 *28634:D 0.000165932 -7 *3768:22 *28634:D 0.000298599 -*RES -1 *30238:X *28634:D 35.4929 -*END - -*D_NET *4482 0.00146754 -*CONN -*I *25951:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30239:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25951:A0 0.000227022 -2 *30239:X 0.000227022 -3 *25951:A0 *24922:B 9.41642e-05 -4 *25951:A0 *25951:A1 5.49544e-05 -5 *25949:S *25951:A0 0.000140088 -6 *30239:A *25951:A0 0.000135028 -7 *3568:110 *25951:A0 0.000382133 -8 *3569:92 *25951:A0 0.000207127 -*RES -1 *30239:X *25951:A0 25.2964 -*END - -*D_NET *4483 0.00116943 -*CONN -*I *28392:D I *D sky130_fd_sc_hd__dfstp_1 -*I *30240:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28392:D 0.000295586 -2 *30240:X 0.000295586 -3 *28392:D *5040:13 0.000322307 -4 *28392:CLK *28392:D 5.49489e-05 -5 *30240:A *28392:D 0 -6 *1227:68 *28392:D 0 -7 *1294:30 *28392:D 6.05161e-06 -8 *3568:136 *28392:D 0.000194947 -*RES -1 *30240:X *28392:D 33.4571 -*END - -*D_NET *4484 0.000560324 -*CONN -*I *25845:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30241:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25845:A1 0.000133446 -2 *30241:X 0.000133446 -3 *25845:A1 *5007:42 7.74135e-05 -4 *1878:13 *25845:A1 7.74135e-05 -5 *3858:19 *25845:A1 0.000138606 -*RES -1 *30241:X *25845:A1 29.9929 -*END - -*D_NET *4485 0.000485592 -*CONN -*I *28298:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30242:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28298:D 0.000128276 -2 *30242:X 0.000128276 -3 *28298:D *5545:30 6.32633e-05 -4 *28298:D *5699:17 1.02504e-05 -5 *28298:D *5741:171 5.84075e-05 -6 *30242:A *28298:D 9.71197e-05 -*RES -1 *30242:X *28298:D 30.1893 -*END - -*D_NET *4486 0.000749139 -*CONN -*I *25508:B I *D sky130_fd_sc_hd__or4bb_1 -*I *30616:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25508:B 0.000284192 -2 *30616:X 0.000284192 -3 *25508:B *25508:A 0.000121573 -4 *25508:B *5007:48 0 -5 *25508:B *5726:20 1.03968e-05 -6 *30616:A *25508:B 4.87854e-05 -7 *2056:53 *25508:B 0 -*RES -1 *30616:X *25508:B 31.9214 -*END - -*D_NET *4487 0.00383124 -*CONN -*I *25881:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30243:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25881:A0 4.25676e-05 -2 *30243:X 0.000742923 -3 *4487:24 0.00078549 -4 *25881:A0 *5838:31 9.41642e-05 -5 *4487:24 *25801:A0 3.44143e-05 -6 *4487:24 *25801:A1 0.000180496 -7 *4487:24 *25876:B 0.000124518 -8 *4487:24 *5706:38 0.000183227 -9 *28260:CLK *4487:24 0.000102053 -10 *1872:10 *4487:24 0.000220539 -11 *1872:40 *4487:24 1.90936e-05 -12 *1872:45 *4487:24 0.000231912 -13 *3164:146 *4487:24 0.000410515 -14 *3558:17 *4487:24 6.42095e-05 -15 *3637:29 *25881:A0 5.33005e-05 -16 *3637:40 *4487:24 3.17148e-05 -17 *3697:33 *4487:24 0.000183227 -18 *3831:83 *4487:24 8.77986e-05 -19 *4113:25 *4487:24 3.64259e-05 -20 *4160:98 *4487:24 6.57032e-05 -21 *4161:80 *4487:24 0.000136951 -*RES -1 *30243:X *4487:24 49.3893 -2 *4487:24 *25881:A0 14.7464 -*END - -*D_NET *4488 0.00436768 -*CONN -*I *28334:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30244:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28334:D 0.00125669 -2 *30244:X 0.00125669 -3 *28334:D *5712:30 0.000170503 -4 *30244:A *28334:D 0.0015133 -5 *1427:149 *28334:D 0.000170503 -*RES -1 *30244:X *28334:D 45.5821 -*END - -*D_NET *4489 0.00171614 -*CONN -*I *25844:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30245:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25844:A1 0.000492429 -2 *30245:X 0.000492429 -3 *25844:A1 *27908:A2 0.00014443 -4 *25844:A1 *5839:26 0.000142905 -5 *27935:C1 *25844:A1 6.05161e-06 -6 *1448:60 *25844:A1 5.21937e-05 -7 *1665:29 *25844:A1 0.000385699 -*RES -1 *30245:X *25844:A1 35.1357 -*END - -*D_NET *4490 0.00139174 -*CONN -*I *28297:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30247:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28297:D 0.000312725 -2 *30247:X 0.000312725 -3 *30247:A *28297:D 0.000196909 -4 *1665:29 *28297:D 0.000219289 -5 *2894:138 *28297:D 2.51343e-06 -6 *3697:31 *28297:D 6.38844e-06 -7 *3697:33 *28297:D 0 -8 *4103:44 *28297:D 0.000285965 -9 *4105:20 *28297:D 5.52238e-05 -*RES -1 *30247:X *28297:D 34.0821 -*END - -*D_NET *4491 0.00550783 -*CONN -*I *27199:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30248:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27199:A1 0 -2 *30248:X 0.00173995 -3 *4491:14 0.00173995 -4 *4491:14 *5772:52 0.000121982 -5 *27196:S *4491:14 0.00011001 -6 *29113:A *4491:14 5.52238e-05 -7 *2794:202 *4491:14 1.02504e-05 -8 *3848:95 *4491:14 9.41642e-05 -9 *4043:21 *4491:14 0.00132328 -10 *4043:29 *4491:14 0.000251093 -11 *4043:47 *4491:14 6.19406e-05 -*RES -1 *30248:X *4491:14 46.4964 -2 *4491:14 *27199:A1 9.3 -*END - -*D_NET *4492 0.00213413 -*CONN -*I *28754:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30249:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28754:D 0.000218098 -2 *30249:X 0.000218098 -3 *28754:D *29679:A 0.000394975 -4 *28754:CLK *28754:D 0.000140933 -5 *29144:A *28754:D 0.000163519 -6 *4043:47 *28754:D 0.000998507 -*RES -1 *30249:X *28754:D 28.1714 -*END - -*D_NET *4493 0.00147414 -*CONN -*I *25802:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30250:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25802:A1 0.000396572 -2 *30250:X 0.000396572 -3 *25802:A1 *5545:30 0.000102545 -4 *25802:A1 *5693:20 3.25078e-05 -5 *25802:A1 *5693:25 1.76039e-05 -6 *25802:A1 *5719:86 0.000528343 -*RES -1 *30250:X *25802:A1 33.9929 -*END - -*D_NET *4494 0.00105023 -*CONN -*I *28261:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30251:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28261:D 0.000266688 -2 *30251:X 0.000266688 -3 *28261:D *28261:RESET_B 1.97695e-05 -4 *30251:A *28261:D 0.000178847 -5 *1872:58 *28261:D 0.000100969 -6 *3830:36 *28261:D 0.000197977 -7 *4065:53 *28261:D 1.92905e-05 -*RES -1 *30251:X *28261:D 32.8857 -*END - -*D_NET *4495 0.00102972 -*CONN -*I *27158:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30252:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27158:A0 0.000240683 -2 *30252:X 0.000240683 -3 *27158:A0 *27158:A1 5.33005e-05 -4 *27158:A0 *28718:D 0.000142725 -5 *27158:S *27158:A0 0.000175892 -6 *30252:A *27158:A0 0.000142725 -7 *400:14 *27158:A0 3.37161e-05 -*RES -1 *30252:X *27158:A0 32.5464 -*END - -*D_NET *4496 0.00338092 -*CONN -*I *28718:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30253:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28718:D 0.000909017 -2 *30253:X 0.000909017 -3 *28718:D *25842:A0 2.12484e-05 -4 *28718:D *5623:23 0.000428211 -5 *28718:D *5706:16 5.08999e-05 -6 *28718:D *5706:27 0.000205421 -7 *27158:A0 *28718:D 0.000142725 -8 *30252:A *28718:D 9.25713e-05 -9 *3697:33 *28718:D 0.000621811 -*RES -1 *30253:X *28718:D 43.7071 -*END - -*D_NET *4497 0.00111296 -*CONN -*I *25508:A I *D sky130_fd_sc_hd__or4bb_1 -*I *30617:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25508:A 0.000321747 -2 *30617:X 0.000321747 -3 *25508:A *25508:C_N 9.80173e-05 -4 *25508:A *6214:32 7.77652e-05 -5 *25508:B *25508:A 0.000121573 -6 *25509:C_N *25508:A 0 -7 *26786:D *25508:A 9.60939e-05 -8 *30616:A *25508:A 7.6021e-05 -*RES -1 *30617:X *25508:A 33.975 -*END - -*D_NET *4498 0.00386075 -*CONN -*I *25869:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30254:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25869:A0 0.000976774 -2 *30254:X 0.000976774 -3 *25869:A0 *28314:RESET_B 0.000386313 -4 *25869:A0 *28997:A 0 -5 *27585:A2 *25869:A0 0.000195375 -6 *28314:CLK *25869:A0 0.000384667 -7 *1423:54 *25869:A0 0 -8 *1476:21 *25869:A0 5.10217e-05 -9 *2855:121 *25869:A0 1.90936e-05 -10 *2882:142 *25869:A0 0 -11 *3115:19 *25869:A0 9.07743e-05 -12 *3685:66 *25869:A0 3.33847e-05 -13 *3698:31 *25869:A0 9.87983e-06 -14 *3713:39 *25869:A0 4.65519e-05 -15 *3984:73 *25869:A0 0.000647853 -16 *4113:104 *25869:A0 2.11419e-05 -17 *4350:48 *25869:A0 2.11419e-05 -*RES -1 *30254:X *25869:A0 41.1481 -*END - -*D_NET *4499 0.00198733 -*CONN -*I *28314:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30255:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28314:D 0.000482234 -2 *30255:X 0.000482234 -3 *28314:D *28314:RESET_B 0.000104729 -4 *28314:D *28963:A 0.00019678 -5 *25191:A2 *28314:D 0.000492447 -6 *30255:A *28314:D 8.55871e-05 -7 *4152:60 *28314:D 0.000143324 -*RES -1 *30255:X *28314:D 38.7429 -*END - -*D_NET *4500 0.00146917 -*CONN -*I *25732:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30256:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25732:A1 0.000620212 -2 *30256:X 0.000620212 -3 *25732:A1 *30256:A 6.05161e-06 -4 *25556:A0 *25732:A1 0.000128154 -5 *28132:CLK *25732:A1 4.87953e-05 -6 *1844:66 *25732:A1 4.57445e-05 -*RES -1 *30256:X *25732:A1 35.5286 -*END - -*D_NET *4501 0.00148325 -*CONN -*I *28201:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30259:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28201:D 0.000397828 -2 *30259:X 0.000397828 -3 *28201:D *6058:26 0.000174116 -4 *28132:CLK *28201:D 2.89114e-05 -5 *1430:50 *28201:D 0.000484571 -*RES -1 *30259:X *28201:D 36.3857 -*END - -*D_NET *4502 0.00393279 -*CONN -*I *27113:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30260:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27113:A1 0 -2 *30260:X 0.000746103 -3 *4502:21 0.000746103 -4 *4502:21 *26938:A0 2.05484e-05 -5 *4502:21 *27113:A0 9.41642e-05 -6 *4502:21 *28522:D 0.000510642 -7 *4502:21 *5640:235 3.91065e-05 -8 *4502:21 *5646:258 0.000149636 -9 *4502:21 *5651:410 0.000110763 -10 *4502:21 *5716:77 1.99921e-05 -11 *4502:21 *5879:32 0.00034405 -12 *25342:C1 *4502:21 1.73942e-05 -13 *29121:A *4502:21 0.000137983 -14 *1286:72 *4502:21 0.000119053 -15 *2757:11 *4502:21 0.000219711 -16 *3162:8 *4502:21 0.00034405 -17 *3836:10 *4502:21 9.08304e-05 -18 *4076:21 *4502:21 0.00022266 -*RES -1 *30260:X *4502:21 40.7279 -2 *4502:21 *27113:A1 9.3 -*END - -*D_NET *4503 0.00196259 -*CONN -*I *28678:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30261:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28678:D 0.000455282 -2 *30261:X 0.000455282 -3 *28678:D *5584:163 0.00029443 -4 *28678:D *5777:86 0.000292708 -5 *2767:175 *28678:D 0.000464893 -*RES -1 *30261:X *28678:D 36.0107 -*END - -*D_NET *4504 0.00172128 -*CONN -*I *25868:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30262:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25868:A0 0.000357358 -2 *30262:X 0.000357358 -3 *25868:A0 *25868:A1 2.59355e-05 -4 *25868:S *25868:A0 6.57032e-05 -5 *27563:A1 *25868:A0 0.000258098 -6 *30262:A *25868:A0 0.000216755 -7 *30263:A *25868:A0 0 -8 *3541:43 *25868:A0 3.97677e-05 -9 *3561:16 *25868:A0 0.0004003 -*RES -1 *30262:X *25868:A0 27.2429 -*END - -*D_NET *4505 0.00104982 -*CONN -*I *28313:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30263:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28313:D 0.000337964 -2 *30263:X 0.000337964 -3 *30263:A *28313:D 0.000139239 -4 *3871:50 *28313:D 9.38921e-05 -5 *4142:26 *28313:D 0.000140764 -*RES -1 *30263:X *28313:D 32.5464 -*END - -*D_NET *4506 0.00267189 -*CONN -*I *27023:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30264:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27023:A0 0.00034291 -2 *30264:X 0.00034291 -3 *27023:A0 *5768:55 0.000303092 -4 *27023:S *27023:A0 0.000178847 -5 *27408:A2 *27023:A0 0.000265602 -6 *30264:A *27023:A0 0.000424029 -7 *2864:328 *27023:A0 0.000814501 -*RES -1 *30264:X *27023:A0 29.8143 -*END - -*D_NET *4507 0.00162325 -*CONN -*I *28598:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30265:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28598:D 0.000364248 -2 *30265:X 0.000364248 -3 *28598:D *5589:74 0.000350131 -4 *28598:D *5777:82 0.000181919 -5 *28598:CLK *28598:D 7.43578e-06 -6 *2775:117 *28598:D 1.32091e-05 -7 *3581:138 *28598:D 0.000138881 -8 *3600:42 *28598:D 6.12335e-05 -9 *3998:17 *28598:D 0.000141944 -*RES -1 *30265:X *28598:D 35.4036 -*END - -*D_NET *4508 0.0871373 -*CONN -*I *26043:A_N I *D sky130_fd_sc_hd__and3b_4 -*I *25999:B I *D sky130_fd_sc_hd__nor3b_2 -*I *26007:B I *D sky130_fd_sc_hd__nand2_2 -*I *26470:B I *D sky130_fd_sc_hd__and4_1 -*I *26122:C1 I *D sky130_fd_sc_hd__a2111o_1 -*I *25991:A I *D sky130_fd_sc_hd__nand2_2 -*I *26044:C I *D sky130_fd_sc_hd__or3_4 -*I *26022:B I *D sky130_fd_sc_hd__and3_4 -*I *25996:A I *D sky130_fd_sc_hd__nor2_8 -*I *25997:A I *D sky130_fd_sc_hd__or2_2 -*I *26190:A I *D sky130_fd_sc_hd__or2_2 -*I *26422:A1 I *D sky130_fd_sc_hd__a32o_1 -*I *26241:A3 I *D sky130_fd_sc_hd__a41o_1 -*I *26193:A I *D sky130_fd_sc_hd__nor2_1 -*I *26187:A I *D sky130_fd_sc_hd__nand2_2 -*I *26161:C I *D sky130_fd_sc_hd__and4_4 -*I *25967:A I *D sky130_fd_sc_hd__nand2_2 -*I *26194:C I *D sky130_fd_sc_hd__or4_4 -*I *25969:B1 I *D sky130_fd_sc_hd__o211a_4 -*I *26096:C_N I *D sky130_fd_sc_hd__or4bb_4 -*I *30618:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *26043:A_N 8.27387e-05 -2 *25999:B 0.000700427 -3 *26007:B 3.86971e-06 -4 *26470:B 0.000626008 -5 *26122:C1 0.000232553 -6 *25991:A 2.23854e-05 -7 *26044:C 1.69531e-05 -8 *26022:B 6.54458e-05 -9 *25996:A 0 -10 *25997:A 0.00026932 -11 *26190:A 0.00170746 -12 *26422:A1 0 -13 *26241:A3 0 -14 *26193:A 0.000842318 -15 *26187:A 0.00011061 -16 *26161:C 0.000173745 -17 *25967:A 6.75827e-05 -18 *26194:C 0.000808891 -19 *25969:B1 0 -20 *26096:C_N 8.15047e-05 -21 *30618:X 0.000723202 -22 *4508:294 0.00152791 -23 *4508:287 0.00159846 -24 *4508:285 0.00163931 -25 *4508:227 0.000949183 -26 *4508:202 0.00261173 -27 *4508:194 0.00140187 -28 *4508:158 0.00109123 -29 *4508:153 0.00173922 -30 *4508:144 0.00352143 -31 *4508:132 0.00496173 -32 *4508:116 0.00237169 -33 *4508:109 0.00112836 -34 *4508:107 0.00190765 -35 *4508:77 0.0013415 -36 *4508:70 0.00243709 -37 *4508:65 0.00130434 -38 *4508:58 0.00149041 -39 *4508:54 0.00238388 -40 *4508:42 0.00150136 -41 *4508:14 0.00181595 -42 *25967:A *25967:B 3.63587e-05 -43 *25999:B *25999:C_N 9.90431e-05 -44 *26022:B *26022:C 0.000178847 -45 *26043:A_N *6192:9 0.000446603 -46 *26096:C_N *26096:D_N 5.52238e-05 -47 *26122:C1 *6180:11 2.79421e-05 -48 *26161:C *26175:B1 4.75152e-06 -49 *26161:C *4519:84 2.81474e-05 -50 *26190:A *26186:A 0.000502801 -51 *26190:A *6169:44 1.08847e-05 -52 *26193:A *26204:A 7.48301e-06 -53 *26194:C *26194:D 1.49011e-05 -54 *26194:C *5542:44 0.000439641 -55 *26470:B *5673:299 0.000190943 -56 *4508:42 *4575:8 8.51784e-05 -57 *4508:42 *5782:11 7.3025e-06 -58 *4508:42 *6291:13 0.00151036 -59 *4508:54 *25966:B 6.72549e-05 -60 *4508:54 *25966:C 0.000274632 -61 *4508:54 *25969:A2 5.52238e-05 -62 *4508:54 *4530:194 1.39737e-05 -63 *4508:58 *26052:A 1.33846e-05 -64 *4508:58 *4530:194 3.11336e-05 -65 *4508:65 *25989:B 0.00016641 -66 *4508:65 *26676:A2 1.98839e-05 -67 *4508:70 *25989:B 0.000135028 -68 *4508:70 *4530:37 0.000593444 -69 *4508:70 *4541:22 0.000336922 -70 *4508:70 *4541:24 0.000539739 -71 *4508:77 *25967:B 0.000260078 -72 *4508:77 *4530:37 0.000182956 -73 *4508:77 *4541:24 0.000184533 -74 *4508:77 *4552:86 0.000344232 -75 *4508:116 *4530:72 2.74457e-05 -76 *4508:116 *5673:251 1.71321e-05 -77 *4508:132 *4530:72 0.000304214 -78 *4508:132 *5673:251 0.00103865 -79 *4508:144 *5664:20 0.00052954 -80 *4508:144 *5673:251 0 -81 *4508:285 *4541:17 0.000149133 -82 *4508:285 *6192:9 0.000249084 -83 *25506:B *25999:B 0 -84 *25970:B *4508:285 0.000331157 -85 *25979:A1 *4508:54 0.000210833 -86 *25991:B *4508:70 0.000221163 -87 *25996:B *25997:A 0.000216755 -88 *25997:B *25997:A 0.000124245 -89 *26022:A *26022:B 9.41642e-05 -90 *26043:B *26043:A_N 6.27058e-05 -91 *26043:B *4508:285 9.71197e-05 -92 *26043:C *26043:A_N 9.71197e-05 -93 *26043:C *4508:294 3.75052e-05 -94 *26096:A *4508:14 0.000119738 -95 *26096:A *4508:42 8.95675e-06 -96 *26097:B *4508:58 9.22948e-05 -97 *26122:A1 *26122:C1 9.91713e-05 -98 *26123:A3 *26122:C1 8.23597e-06 -99 *26124:C1 *4508:285 0.000164936 -100 *26193:B *26193:A 0.000420446 -101 *26196:B1 *26190:A 0.000240188 -102 *26225:B1 *4508:227 0.000303362 -103 *26225:D1 *4508:227 0.000185095 -104 *26241:A4 *26193:A 0.000129901 -105 *26241:A4 *4508:158 4.58194e-05 -106 *26262:B *26096:C_N 7.86187e-05 -107 *26262:B *4508:42 9.21933e-05 -108 *26277:C *25991:A 0 -109 *26277:C *4508:65 0.000108345 -110 *26277:C *4508:70 5.79452e-05 -111 *26313:B *26096:C_N 9.60875e-05 -112 *26334:C1 *4508:42 0 -113 *26335:A3 *4508:54 0.000273262 -114 *26335:B1 *26096:C_N 0.00011897 -115 *26335:B1 *4508:42 0.000184689 -116 *26405:B *4508:109 0.000209491 -117 *26410:A2 *4508:194 0.000219711 -118 *26417:A *4508:132 0.000101444 -119 *26422:B2 *4508:194 0.00111328 -120 *26452:A2 *26043:A_N 0.00014285 -121 *26452:A3 *26470:B 0 -122 *26452:A3 *4508:294 0.000309524 -123 *26456:B *4508:285 9.90367e-05 -124 *26470:A *26007:B 2.26973e-05 -125 *26470:A *26470:B 0.00121512 -126 *26478:B1 *4508:107 7.15687e-05 -127 *26481:A *4508:65 9.20457e-05 -128 *26482:A1 *4508:107 0.00019805 -129 *26537:B1 *26190:A 1.98839e-05 -130 *26562:B1 *4508:107 4.49293e-05 -131 *26562:B1 *4508:109 5.15925e-05 -132 *26572:A2 *4508:58 5.43687e-05 -133 *26574:C *4508:65 4.29267e-05 -134 *26579:A1 *4508:294 0.000758671 -135 *26579:B1 *4508:294 9.87627e-05 -136 *26581:B *26470:B 0.000127441 -137 *26600:A2 *26193:A 0.000196262 -138 *26600:A2 *4508:158 0.000163911 -139 *26601:A1 *4508:194 1.98839e-05 -140 *26601:A2 *4508:194 0.000516812 -141 *26640:A *26193:A 0.000148911 -142 *26647:A *26190:A 7.8195e-05 -143 *26647:B *26190:A 0.000383603 -144 *26676:A1 *4508:65 8.50152e-05 -145 *26676:B1 *4508:65 0.000135028 -146 *26676:B2 *4508:65 1.21258e-05 -147 *26680:A2 *4508:107 0.000161083 -148 *26718:B *4508:194 3.37161e-05 -149 *26721:A2 *4508:194 0.000508063 -150 *26729:A2 *26187:A 1.98839e-05 -151 *26729:A2 *4508:144 3.97677e-05 -152 *26742:A1 *4508:227 0.000122933 -153 *26760:C_N *4508:194 0.000121573 -154 *28961:A *4508:153 0.000171375 -155 *30592:A *4508:42 4.97121e-06 -156 *30593:A *4508:42 2.90759e-05 -157 *30594:A *4508:54 0.000510261 -158 *30594:A *4508:58 0.000109654 -159 *30620:A *4508:42 4.13122e-06 -160 *30621:A *4508:14 3.466e-06 -161 *373:32 *26193:A 0.000135028 -162 *373:44 *4508:144 0.000476496 -163 *373:44 *4508:153 3.25078e-05 -164 *373:44 *4508:158 5.95419e-05 -165 *1186:40 *26044:C 5.33005e-05 -166 *1186:40 *26161:C 7.02297e-05 -167 *1186:40 *4508:116 0.000130831 -168 *1187:12 *4508:294 9.74546e-05 -169 *1188:102 *25997:A 4.10843e-05 -170 *1188:104 *25997:A 0.000146701 -171 *1771:29 *4508:144 0.000168959 -172 *1771:43 *4508:116 5.59885e-05 -173 *1862:28 *4508:227 0.000307014 -174 *1874:35 *4508:132 0 -175 *1902:13 *4508:285 4.11173e-05 -176 *1907:22 *4508:107 0.000126496 -177 *1907:27 *4508:107 0.000213644 -178 *1907:27 *4508:109 8.19273e-05 -179 *1909:7 *4508:77 9.76435e-06 -180 *1911:9 *4508:42 5.80706e-06 -181 *1911:19 *4508:285 2.02078e-05 -182 *1911:61 *4508:65 0.000283284 -183 *1924:9 *4508:54 0.000119636 -184 *1925:8 *4508:107 0.000398759 -185 *1927:8 *4508:58 6.8646e-06 -186 *1927:15 *4508:58 0.0001159 -187 *1931:51 *26470:B 0.000211457 -188 *1932:63 *4508:132 0.0001326 -189 *1937:22 *4508:109 0.000335922 -190 *1937:22 *4508:116 0.000399732 -191 *1946:29 *4508:132 0.000490461 -192 *1946:154 *4508:132 0 -193 *1946:154 *4508:202 0 -194 *1946:173 *4508:202 6.37188e-06 -195 *1952:8 *26470:B 1.90936e-05 -196 *1952:22 *26470:B 0.000134253 -197 *1952:54 *4508:194 2.3796e-05 -198 *1952:63 *4508:194 4.81136e-05 -199 *1955:31 *4508:65 0.000556919 -200 *1955:52 *4508:65 0.000218734 -201 *1959:36 *26122:C1 0.000112962 -202 *1959:36 *4508:65 8.01383e-05 -203 *1959:59 *26122:C1 1.94945e-05 -204 *1965:31 *26190:A 0.000175892 -205 *1965:53 *26190:A 0.000171224 -206 *1985:29 *26043:A_N 5.52302e-05 -207 *1992:6 *4508:58 0.000286608 -208 *1992:10 *4508:58 0.000334609 -209 *2032:89 *4508:107 0.000128307 -210 *2032:169 *4508:116 0.000125975 -211 *2032:170 *4508:144 0.000625989 -212 *2032:185 *26193:A 9.95308e-05 -213 *2032:185 *4508:158 0.000165435 -214 *2038:10 *4508:42 5.82051e-05 -215 *2038:104 *4508:107 6.74751e-05 -216 *2039:40 *4508:285 0.000272371 -217 *2043:85 *26187:A 0.000339346 -218 *2043:85 *4508:144 0.000124521 -219 *2046:51 *25999:B 9.90431e-05 -220 *2046:51 *4508:42 3.47641e-06 -221 *2046:154 *4508:144 0.000115139 -222 *2047:81 *26190:A 0.00019559 -223 *2054:51 *4508:70 2.8046e-05 -224 *2060:25 *4508:194 2.77258e-05 -225 *2060:40 *4508:194 0.000344225 -226 *2099:49 *4508:144 0.000101444 -227 *2099:70 *4508:194 0.00016949 -228 *2104:34 *4508:227 9.23706e-05 -229 *2123:8 *4508:144 0.000177418 -230 *2128:41 *26193:A 0.000252114 -231 *2129:7 *26187:A 0.000298483 -232 *2129:7 *4508:144 5.33005e-05 -233 *2129:29 *4508:144 3.35973e-05 -234 *2131:22 *4508:132 0.000157238 -235 *2131:45 *4508:144 4.26136e-05 -236 *2135:9 *26193:A 3.84946e-05 -237 *2136:58 *4508:144 0.000108996 -238 *2141:39 *4508:58 0.000125375 -239 *2141:134 *4508:132 1.19751e-05 -240 *2141:134 *4508:144 0.000306872 -241 *2141:134 *4508:153 0.00023267 -242 *2141:134 *4508:158 0.000110238 -243 *2146:38 *26193:A 0.000163455 -244 *2146:38 *4508:158 6.57032e-05 -245 *2152:157 *4508:42 0.00115932 -246 *2154:61 *4508:158 0.000262498 -247 *2157:81 *4508:132 9.09469e-06 -248 *2157:84 *4508:158 9.99931e-06 -249 *2165:31 *4508:144 0.00072537 -250 *2187:131 *26193:A 0.000550257 -251 *2204:30 *26194:C 0.000582445 -252 *2217:77 *26122:C1 0.000101945 -253 *2217:77 *4508:65 9.11102e-06 -254 *2220:23 *4508:14 5.02808e-05 -255 *2220:33 *4508:14 4.23215e-05 -256 *2221:8 *26190:A 7.83659e-05 -257 *2221:8 *4508:109 0.000670597 -258 *2221:8 *4508:116 0.000401453 -259 *2221:8 *4508:202 8.26492e-05 -260 *2233:37 *26044:C 5.33005e-05 -261 *2233:37 *4508:107 0 -262 *2272:15 *4508:54 0.000201351 -263 *2277:8 *26194:C 5.29648e-05 -264 *2357:13 *26190:A 7.6644e-05 -265 *2357:13 *4508:202 9.11849e-05 -266 *2358:17 *4508:144 1.49122e-05 -267 *2367:5 *25997:A 0.000714948 -268 *2428:8 *25999:B 0 -269 *2541:8 *4508:194 0.00038021 -270 *2587:7 *26190:A 4.00276e-06 -271 *2615:10 *4508:65 0.000300412 -272 *4353:68 *4508:107 0 -273 *4353:88 *26161:C 3.23658e-05 -274 *4353:181 *25999:B 3.47641e-06 -275 *4353:181 *4508:54 4.87854e-05 -276 *4353:183 *25999:B 0.000444057 -277 *4353:183 *4508:294 2.84494e-05 -278 *4353:187 *4508:294 0.000246282 -279 *4386:13 *25999:B 0 -280 *4397:34 *25999:B 0 -281 *4475:21 *4508:65 0 -*RES -1 *30618:X *4508:14 28.4964 -2 *4508:14 *26096:C_N 12.0321 -3 *4508:14 *4508:42 23.9601 -4 *4508:42 *25969:B1 9.3 -5 *4508:42 *4508:54 18.2589 -6 *4508:54 *4508:58 13.8564 -7 *4508:58 *4508:65 24.6879 -8 *4508:65 *4508:70 17.3661 -9 *4508:70 *4508:77 14.2232 -10 *4508:77 *26194:C 33.9696 -11 *4508:77 *25967:A 10.675 -12 *4508:70 *4508:107 24.5379 -13 *4508:107 *4508:109 9.53571 -14 *4508:109 *4508:116 10.0056 -15 *4508:116 *26161:C 18.1617 -16 *4508:116 *4508:132 11.0813 -17 *4508:132 *4508:144 28.8356 -18 *4508:144 *26187:A 12.7107 -19 *4508:144 *4508:153 7.66071 -20 *4508:153 *4508:158 11.1607 -21 *4508:158 *26193:A 36.9786 -22 *4508:158 *26241:A3 9.3 -23 *4508:153 *4508:194 44.1607 -24 *4508:194 *26422:A1 9.3 -25 *4508:132 *4508:202 5.04087 -26 *4508:202 *26190:A 47.0857 -27 *4508:202 *4508:227 24.2679 -28 *4508:227 *25997:A 17.2643 -29 *4508:227 *25996:A 9.3 -30 *4508:109 *26022:B 15.5679 -31 *4508:107 *26044:C 14.3357 -32 *4508:65 *25991:A 9.72857 -33 *4508:58 *26122:C1 19.4133 -34 *4508:54 *4508:285 22.5099 -35 *4508:285 *4508:287 4.5 -36 *4508:287 *4508:294 21.6161 -37 *4508:294 *26470:B 30.1661 -38 *4508:294 *26007:B 14.0768 -39 *4508:287 *25999:B 27.0143 -40 *4508:285 *26043:A_N 13.5321 -*END - -*D_NET *4509 0.00620178 -*CONN -*I *27068:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30266:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27068:A1 0 -2 *30266:X 0.00109776 -3 *4509:13 0.00109776 -4 *4509:13 *27118:A0 1.98839e-05 -5 *4509:13 *27118:A1 0.000267516 -6 *4509:13 *27118:S 5.52302e-05 -7 *4509:13 *27128:A0 0.000126669 -8 *4509:13 *28682:SET_B 0.000735624 -9 *4509:13 *5716:215 2.14658e-05 -10 *4509:13 *5748:6 0.000544075 -11 *29459:A *4509:13 5.74499e-06 -12 *30266:A *4509:13 6.87574e-05 -13 *30455:A *4509:13 0.000437768 -14 *2760:179 *4509:13 0.00154012 -15 *2769:227 *4509:13 3.69047e-06 -16 *2786:147 *4509:13 2.13481e-06 -17 *2786:151 *4509:13 8.25843e-06 -18 *3907:6 *4509:13 0.000169315 -*RES -1 *30266:X *4509:13 47.0321 -2 *4509:13 *27068:A1 9.3 -*END - -*D_NET *4510 0.00148178 -*CONN -*I *28638:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30267:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28638:D 0.000422033 -2 *30267:X 0.000422033 -3 *28638:D *4912:10 9.58593e-05 -4 *28554:CLK *28638:D 9.43763e-05 -5 *30267:A *28638:D 0.000181368 -6 *1060:17 *28638:D 0.000144951 -7 *1835:6 *28638:D 0.00012116 -*RES -1 *30267:X *28638:D 37.1536 -*END - -*D_NET *4511 0.0132245 -*CONN -*I *26977:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30268:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26977:A1 0.000244824 -2 *30268:X 0.00152487 -3 *4511:19 0.00151565 -4 *4511:10 0.00279569 -5 *26977:A1 *26867:A1 0.000162963 -6 *4511:10 *5863:143 9.91224e-05 -7 *4511:19 *25381:A2 9.97669e-05 -8 *4511:19 *30839:A 5.33005e-05 -9 *4511:19 *4743:10 5.49544e-05 -10 *4511:19 *5716:141 0.000136951 -11 mgmt_gpio_oeb[15] *26977:A1 2.56219e-05 -12 *24843:A *4511:19 5.52238e-05 -13 *27013:S *4511:19 6.05161e-06 -14 *28589:CLK *4511:19 9.8045e-05 -15 *826:27 *4511:19 5.49111e-05 -16 *2769:146 *26977:A1 0.000776044 -17 *2773:68 *4511:19 0.00177087 -18 *3582:134 *4511:10 9.78596e-05 -19 *3582:146 *4511:10 0.00169946 -20 *3692:32 *4511:10 6.47568e-05 -21 *3744:5 *4511:19 4.27437e-05 -22 *3744:20 *4511:19 7.49387e-06 -23 *3757:102 *26977:A1 0 -24 *3891:29 *4511:10 9.91086e-05 -25 *4206:10 *26977:A1 0.000269536 -26 *4399:14 *4511:19 2.97829e-05 -27 *4465:17 *4511:19 8.43535e-06 -28 *4466:10 *4511:19 0.00143045 -*RES -1 *30268:X *4511:10 38.6571 -2 *4511:10 *4511:19 40.9643 -3 *4511:19 *26977:A1 23.6393 -*END - -*D_NET *4512 0.0101275 -*CONN -*I *28557:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30270:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28557:D 0.00168919 -2 *30270:X 0.00126029 -3 *4512:14 0.00294948 -4 *4512:14 *28527:RESET_B 5.31158e-05 -5 *4512:14 *28679:RESET_B 0.00029908 -6 *4512:14 *5773:6 0.000147708 -7 *4512:14 *5773:20 4.80378e-05 -8 *4512:14 *5795:221 0.000449575 -9 *4512:14 *5829:149 5.52238e-05 -10 *4512:14 *5873:26 1.9774e-05 -11 *28557:CLK *28557:D 3.43708e-05 -12 *30212:A *4512:14 0.000135218 -13 *791:11 *28557:D 0.00206028 -14 *1269:154 *28557:D 2.6709e-05 -15 *2776:207 *28557:D 9.71197e-05 -16 *3335:14 *4512:14 5.94943e-05 -17 *3338:8 *4512:14 0.000644407 -18 *3378:8 *4512:14 7.6997e-05 -19 *3800:69 *4512:14 1.11654e-05 -20 *4123:9 *4512:14 1.02504e-05 -*RES -1 *30270:X *4512:14 45.8536 -2 *4512:14 *28557:D 36.6571 -*END - -*D_NET *4513 0.00241957 -*CONN -*I *25946:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30271:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25946:A0 0.000842427 -2 *30271:X 0.000842427 -3 *25946:A0 *28039:B 0.000272679 -4 *25945:S *25946:A0 1.31516e-05 -5 *30271:A *25946:A0 0.000316431 -6 *1899:42 *25946:A0 0.000132452 -*RES -1 *30271:X *25946:A0 40.225 -*END - -*D_NET *4514 0.00334245 -*CONN -*I *28388:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30272:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28388:D 0.000924667 -2 *30272:X 0.000924667 -3 *28388:D *5542:12 0.000568272 -4 *28084:RESET_B *28388:D 3.19457e-05 -5 *30271:A *28388:D 0.000308092 -6 *4183:8 *28388:D 7.22594e-05 -7 *4183:13 *28388:D 0.000496727 -8 *4183:78 *28388:D 1.58163e-05 -*RES -1 *30272:X *28388:D 44.0866 -*END - -*D_NET *4515 0.00171356 -*CONN -*I *25947:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30273:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25947:A0 0.00024354 -2 *30273:X 0.00024354 -3 *25947:A0 *25942:B 0.000137561 -4 *30273:A *25947:A0 0.00017754 -5 *1644:19 *25947:A0 0.000513315 -6 *2875:63 *25947:A0 5.49166e-05 -7 *3170:51 *25947:A0 0.00024898 -8 *3832:33 *25947:A0 9.41642e-05 -*RES -1 *30273:X *25947:A0 26.1179 -*END - -*D_NET *4516 0.00116345 -*CONN -*I *28389:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30274:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28389:D 0.000220118 -2 *30274:X 0.000220118 -3 *28389:D *5661:64 8.55871e-05 -4 *28389:D *5936:78 0.000135028 -5 *1613:6 *28389:D 0.000161538 -6 *2848:53 *28389:D 0.000177815 -7 *3778:77 *28389:D 0.000163246 -*RES -1 *30274:X *28389:D 32.975 -*END - -*D_NET *4517 0.0038146 -*CONN -*I *25651:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30275:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25651:A0 0.000874411 -2 *30275:X 0.000874411 -3 *25651:A0 *28144:D 0.000163062 -4 *25651:A0 *28238:D 0.000401268 -5 *25651:A0 *5743:60 9.42557e-05 -6 *25651:A0 *5838:31 2.89114e-05 -7 *24868:A *25651:A0 5.52238e-05 -8 *1194:14 *25651:A0 0.000508712 -9 *1829:34 *25651:A0 0.000188361 -10 *3871:17 *25651:A0 0.000219711 -11 *3936:33 *25651:A0 0.000347463 -12 *4159:56 *25651:A0 5.88126e-05 -*RES -1 *30275:X *25651:A0 46.0821 -*END - -*D_NET *4518 0.00414022 -*CONN -*I *28144:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30276:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28144:D 0.000802447 -2 *30276:X 0.000802447 -3 *28144:D *28149:RESET_B 0.000376947 -4 *28144:D *29024:A 5.33005e-05 -5 *28144:D *4525:11 2.59355e-05 -6 *28144:D *5742:163 4.70821e-05 -7 *28144:D *5901:57 2.89016e-05 -8 *25651:A0 *28144:D 0.000163062 -9 *28148:CLK *28144:D 9.41642e-05 -10 *28149:CLK *28144:D 0.000137983 -11 *28407:D *28144:D 0.000594852 -12 *30276:A *28144:D 0.000136958 -13 *30282:A *28144:D 9.73694e-05 -14 *740:19 *28144:D 0.000303355 -15 *1231:17 *28144:D 3.2687e-05 -16 *1829:34 *28144:D 0.000163062 -17 *3558:38 *28144:D 0.000152763 -18 *3936:33 *28144:D 0.000126905 -*RES -1 *30276:X *28144:D 48.5821 -*END - -*D_NET *4519 0.0356918 -*CONN -*I *25966:C I *D sky130_fd_sc_hd__and3_2 -*I *25982:A I *D sky130_fd_sc_hd__and2_1 -*I *25981:A I *D sky130_fd_sc_hd__nor2_1 -*I *25965:B I *D sky130_fd_sc_hd__and2_2 -*I *26004:D I *D sky130_fd_sc_hd__or4_4 -*I *26202:C I *D sky130_fd_sc_hd__or4b_4 -*I *26212:C_N I *D sky130_fd_sc_hd__or4bb_4 -*I *26189:A I *D sky130_fd_sc_hd__or2_4 -*I *26003:D I *D sky130_fd_sc_hd__nor4_4 -*I *26100:D_N I *D sky130_fd_sc_hd__or4b_1 -*I *26245:B I *D sky130_fd_sc_hd__or3_4 -*I *24864:A I *D sky130_fd_sc_hd__clkinv_2 -*I *26104:D I *D sky130_fd_sc_hd__or4_4 -*I *26032:A1 I *D sky130_fd_sc_hd__a21oi_1 -*I *26215:B I *D sky130_fd_sc_hd__or3_4 -*I *26031:A I *D sky130_fd_sc_hd__xnor2_2 -*I *26228:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *26174:A I *D sky130_fd_sc_hd__xnor2_1 -*I *30619:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *25966:C 0.000870273 -2 *25982:A 6.48537e-05 -3 *25981:A 0 -4 *25965:B 2.23854e-05 -5 *26004:D 0 -6 *26202:C 0.000219062 -7 *26212:C_N 0.000130012 -8 *26189:A 1.21645e-05 -9 *26003:D 0.000721594 -10 *26100:D_N 2.15818e-05 -11 *26245:B 0.000211615 -12 *24864:A 0 -13 *26104:D 0 -14 *26032:A1 0.000406999 -15 *26215:B 0 -16 *26031:A 0.000371042 -17 *26228:A1 1.90338e-05 -18 *26174:A 0 -19 *30619:X 0.000419675 -20 *4519:209 0.000195083 -21 *4519:206 0.00123765 -22 *4519:194 0.000448691 -23 *4519:133 0.000261425 -24 *4519:91 0.000633584 -25 *4519:84 0.000645573 -26 *4519:71 0.000468324 -27 *4519:70 0.000614231 -28 *4519:61 0.000789502 -29 *4519:53 0.00125929 -30 *4519:38 0.000700569 -31 *4519:30 0.0012259 -32 *4519:28 0.000739951 -33 *4519:26 0.00118543 -34 *4519:23 0.00173282 -35 *4519:11 0.00114187 -36 *4519:8 0.000950841 -37 *25966:C *25966:A 9.60939e-05 -38 *25966:C *25969:C1 2.86824e-05 -39 *25982:A *6192:9 4.13496e-05 -40 *26003:D *26003:C 5.33005e-05 -41 *26003:D *26194:D 1.82328e-05 -42 *26003:D *26209:B 0.000100892 -43 *26003:D *4552:220 7.85063e-05 -44 *26003:D *5782:46 0.000386115 -45 *26031:A *26177:A 1.56e-05 -46 *26032:A1 *26032:B1 0.000362657 -47 *26032:A1 *26215:A 5.67638e-05 -48 *26032:A1 *6291:80 1.98839e-05 -49 *26212:C_N *26212:D_N 5.33005e-05 -50 *4519:11 *26004:A 9.39369e-05 -51 *4519:11 *26004:C 2.67559e-05 -52 *4519:11 *4530:177 7.62291e-05 -53 *4519:23 *5722:20 0 -54 *4519:26 *4552:79 0.0004942 -55 *4519:28 *4552:86 0 -56 *4519:30 *26194:B 5.1353e-05 -57 *4519:30 *5782:28 0 -58 *4519:38 *26194:B 4.36402e-05 -59 *4519:53 *6169:44 0.000193392 -60 *4519:61 *26104:B 3.06878e-06 -61 *4519:70 *26104:B 4.02191e-05 -62 *4519:70 *4541:47 9.60875e-05 -63 *4519:71 *26215:A 7.43578e-06 -64 *4519:71 *6291:80 1.82657e-05 -65 *4519:84 *26175:B1 0 -66 *4519:84 *4552:112 8.81187e-05 -67 *4519:194 *4530:177 6.595e-05 -68 *4519:194 *4530:185 3.67142e-05 -69 *4519:206 *4530:185 1.40034e-05 -70 *24860:A *26189:A 5.49544e-05 -71 *25982:B *25982:A 0.000137983 -72 *25984:A *4519:206 9.71197e-05 -73 *25984:A *4519:209 7.9337e-05 -74 *26033:B1 *26032:A1 9.41642e-05 -75 *26104:C *4519:70 6.17397e-05 -76 *26161:C *4519:84 2.81474e-05 -77 *26165:B *26031:A 0.000355349 -78 *26165:B *26228:A1 0 -79 *26174:B *26031:A 8.43535e-06 -80 *26174:B *4519:91 0.000189296 -81 *26178:A2 *26031:A 6.83955e-05 -82 *26199:C *26245:B 0.000162825 -83 *26228:A2 *26031:A 5.23792e-05 -84 *26228:A2 *26228:A1 1.04232e-05 -85 *26228:B1 *26228:A1 4.8765e-06 -86 *26228:B1 *4519:91 2.59355e-05 -87 *26232:A1 *26031:A 0.000168939 -88 *26287:A *4519:53 5.33005e-05 -89 *26287:B *4519:53 1.32056e-05 -90 *26290:B *26003:D 0.000595037 -91 *26293:A1 *26100:D_N 0.000129021 -92 *26293:A1 *4519:53 0.000136432 -93 *26293:A2 *26003:D 5.49489e-05 -94 *26293:B1 *4519:53 0.000147005 -95 *26316:B *4519:8 0.000282774 -96 *26323:A *4519:23 0 -97 *26323:D *4519:23 0.00021393 -98 *26323:D *4519:26 8.86189e-05 -99 *26326:B1 *4519:8 8.72997e-05 -100 *26329:C1 *4519:8 0.000635781 -101 *26334:B2 *4519:23 0 -102 *26416:C *26031:A 0.000287976 -103 *26527:B1 *4519:53 0.000136676 -104 *26528:A1 *4519:61 6.05161e-06 -105 *30592:A *25966:C 8.82757e-05 -106 *30592:A *4519:209 2.84054e-05 -107 *30593:A *25966:C 7.16319e-05 -108 *30593:A *25982:A 0.000136958 -109 *30623:A *4519:8 0.000213398 -110 *30625:A *4519:8 0 -111 *1190:5 *26245:B 0.000175892 -112 *1874:27 *26031:A 5.33005e-05 -113 *1907:5 *4519:206 3.97677e-05 -114 *1911:56 *25982:A 9.60875e-05 -115 *1937:22 *26031:A 0.000170661 -116 *1946:8 *4519:8 3.17148e-05 -117 *1946:8 *4519:11 0.000262491 -118 *1955:31 *25966:C 0.000120506 -119 *1955:31 *4519:209 0.00025708 -120 *2038:12 *4519:23 0.000571154 -121 *2042:9 *26100:D_N 0.000141734 -122 *2042:9 *4519:53 4.08637e-05 -123 *2042:20 *4519:38 3.12359e-05 -124 *2043:14 *4519:53 4.58194e-05 -125 *2043:143 *26245:B 5.96516e-05 -126 *2043:143 *4519:53 8.40933e-05 -127 *2043:143 *4519:133 6.57032e-05 -128 *2103:39 *26031:A 3.2687e-05 -129 *2105:31 *26031:A 3.10579e-05 -130 *2109:23 *26245:B 3.34366e-05 -131 *2111:13 *26245:B 9.60875e-05 -132 *2116:8 *26031:A 1.24368e-05 -133 *2116:8 *4519:91 0.000390322 -134 *2130:11 *26189:A 5.49544e-05 -135 *2130:46 *26245:B 0.000197969 -136 *2133:56 *26031:A 5.33005e-05 -137 *2136:36 *4519:53 0.000556619 -138 *2136:43 *4519:53 3.27461e-05 -139 *2141:52 *4519:8 0.00012401 -140 *2142:12 *4519:61 0.000504613 -141 *2144:15 *26202:C 0.000227635 -142 *2144:15 *4519:23 6.94952e-05 -143 *2152:118 *4519:61 0.000502899 -144 *2154:7 *26212:C_N 0.000140488 -145 *2157:7 *26032:A1 5.33005e-05 -146 *2157:8 *4519:70 0.000146474 -147 *2157:81 *26032:A1 5.33005e-05 -148 *2159:117 *4519:30 0.00028131 -149 *2176:18 *4519:84 6.94238e-05 -150 *2176:27 *4519:84 0.000221657 -151 *2235:10 *4519:26 0.000519264 -152 *2235:10 *4519:28 0.000527151 -153 *2235:10 *4519:30 0.000797084 -154 *2235:10 *4519:38 0.000153085 -155 *2240:8 *4519:8 2.90049e-05 -156 *2258:10 *25966:C 0.000137964 -157 *2260:33 *26003:D 2.60984e-05 -158 *2272:15 *25966:C 1.35455e-05 -159 *2279:7 *4519:53 2.18902e-05 -160 *2346:5 *26245:B 0.000286046 -161 *2346:5 *4519:53 0.000135028 -162 *2346:5 *4519:133 0.000122591 -163 *2470:11 *4519:84 0.000528658 -164 *4353:34 *4519:26 1.34698e-05 -165 *4353:68 *26003:D 0.000137561 -166 *4353:68 *4519:38 5.05773e-05 -167 *4353:88 *4519:70 0.000146474 -168 *4353:88 *4519:84 0.000191968 -169 *4353:181 *25966:C 5.69386e-05 -170 *4508:54 *25966:C 0.000274632 -*RES -1 *30619:X *4519:8 29.6571 -2 *4519:8 *4519:11 6.30357 -3 *4519:11 *4519:23 24.7679 -4 *4519:23 *4519:26 21.0179 -5 *4519:26 *4519:28 7.10714 -6 *4519:28 *4519:30 10.4464 -7 *4519:30 *4519:38 7.71429 -8 *4519:38 *4519:53 30.2857 -9 *4519:53 *4519:61 20.5714 -10 *4519:61 *4519:70 13.0357 -11 *4519:70 *4519:71 0.946429 -12 *4519:71 *4519:84 20.7679 -13 *4519:84 *26174:A 9.3 -14 *4519:84 *4519:91 5.89286 -15 *4519:91 *26228:A1 9.72857 -16 *4519:91 *26031:A 27.925 -17 *4519:71 *26215:B 9.3 -18 *4519:70 *26032:A1 16.8536 -19 *4519:61 *26104:D 9.3 -20 *4519:53 *4519:133 1.35714 -21 *4519:133 *24864:A 9.3 -22 *4519:133 *26245:B 24.8 -23 *4519:38 *26100:D_N 10.6571 -24 *4519:30 *26003:D 28.05 -25 *4519:28 *26189:A 14.3357 -26 *4519:26 *26212:C_N 16.3893 -27 *4519:23 *26202:C 12.3 -28 *4519:11 *26004:D 9.3 -29 *4519:8 *4519:194 3 -30 *4519:194 *25965:B 9.72857 -31 *4519:194 *4519:206 7.51786 -32 *4519:206 *4519:209 7.96429 -33 *4519:209 *25981:A 9.3 -34 *4519:209 *25982:A 11.4786 -35 *4519:206 *25966:C 26.8 -*END - -*D_NET *4520 0.00210787 -*CONN -*I *25776:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30277:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25776:A1 0.000280904 -2 *30277:X 0.000280904 -3 *29454:A *25776:A1 0.000686919 -4 *30278:A *25776:A1 0.000730732 -5 *3561:19 *25776:A1 0.000128409 -*RES -1 *30277:X *25776:A1 27.7607 -*END - -*D_NET *4521 0.000889224 -*CONN -*I *28239:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30278:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28239:D 0.000159338 -2 *30278:X 0.000159338 -3 *28239:D *5742:332 0.000425959 -4 *28239:CLK *28239:D 6.86792e-05 -5 *30277:A *28239:D 3.05203e-05 -6 *1173:96 *28239:D 4.53889e-05 -*RES -1 *30278:X *28239:D 22.8321 -*END - -*D_NET *4522 0.0015719 -*CONN -*I *25934:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30279:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25934:A1 0.000461418 -2 *30279:X 0.000461418 -3 *25934:A1 *25934:A0 3.23206e-05 -4 *27556:A1 *25934:A1 0.000432953 -5 *30281:A *25934:A1 2.59355e-05 -6 *2878:92 *25934:A1 0.000141864 -7 *3763:20 *25934:A1 1.59885e-05 -*RES -1 *30279:X *25934:A1 36.3857 -*END - -*D_NET *4523 0.00133855 -*CONN -*I *28378:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30281:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28378:D 0.000293924 -2 *30281:X 0.000293924 -3 *28378:D *5614:100 2.89114e-05 -4 *30279:A *28378:D 0.000149797 -5 *3124:15 *28378:D 3.57844e-05 -6 *3209:102 *28378:D 0.000301803 -7 *3752:39 *28378:D 0.000234402 -*RES -1 *30281:X *28378:D 34.6714 -*END - -*D_NET *4524 0.0016026 -*CONN -*I *25657:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30282:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25657:A0 0.000262915 -2 *30282:X 0.000262915 -3 *25657:A0 *4560:14 0.000125724 -4 *25657:A0 *5136:11 6.05161e-06 -5 *25657:A0 *5545:59 1.02821e-05 -6 *28066:RESET_B *25657:A0 0.000173643 -7 *30282:A *25657:A0 0.000588525 -8 *1875:36 *25657:A0 0.000172546 -*RES -1 *30282:X *25657:A0 36.1179 -*END - -*D_NET *4525 0.00500023 -*CONN -*I *28149:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30283:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28149:D 0 -2 *30283:X 0.00123149 -3 *4525:11 0.00123149 -4 *25474:B *4525:11 5.17614e-05 -5 *25657:S *4525:11 9.56112e-07 -6 *28094:RESET_B *4525:11 0.000676744 -7 *28144:D *4525:11 2.59355e-05 -8 *408:20 *4525:11 0.000317895 -9 *412:14 *4525:11 0.0008138 -10 *1194:37 *4525:11 2.59355e-05 -11 *1231:17 *4525:11 5.33005e-05 -12 *1324:37 *4525:11 0.00016702 -13 *1738:92 *4525:11 0.000219389 -14 *1830:55 *4525:11 9.18259e-05 -15 *1862:36 *4525:11 9.26855e-05 -*RES -1 *30283:X *4525:11 43.0857 -2 *4525:11 *28149:D 9.3 -*END - -*D_NET *4526 0.000637659 -*CONN -*I *27053:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30284:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27053:A1 0.000158383 -2 *30284:X 0.000158383 -3 *27053:A1 *4685:8 0 -4 *27053:A1 *5752:66 0.000123288 -5 *2777:119 *27053:A1 9.91086e-05 -6 *3800:13 *27053:A1 4.13496e-05 -7 *3826:26 *27053:A1 5.71472e-05 -*RES -1 *30284:X *27053:A1 30.6 -*END - -*D_NET *4527 0.00283749 -*CONN -*I *28625:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30285:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28625:D 0.00099603 -2 *30285:X 0.00099603 -3 *28625:D *5530:20 0.000560311 -4 *28625:D *5530:32 0.000282035 -5 *28625:CLK *28625:D 3.08382e-06 -*RES -1 *30285:X *28625:D 31.8857 -*END - -*D_NET *4528 0.0111569 -*CONN -*I *25606:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30286:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25606:A0 0.00153339 -2 *30286:X 0.00209581 -3 *4528:14 0.00362919 -4 *25606:A0 *24819:A 0.000219818 -5 *25606:A0 *27075:A0 0.00018077 -6 *25606:A0 *28662:D 0.000288201 -7 *25606:A0 *28662:RESET_B 0.00039804 -8 *25606:A0 *4881:17 7.44888e-05 -9 *4528:14 *25604:A0 2.70341e-05 -10 *4528:14 *6008:26 0.000734815 -11 *27075:S *4528:14 0.000148383 -12 *29112:A *4528:14 2.44318e-05 -13 *30287:A *4528:14 0.00119276 -14 *977:15 *25606:A0 1.74903e-05 -15 *1178:10 *4528:14 0 -16 *2780:94 *4528:14 0.000153283 -17 *3847:14 *4528:14 6.71336e-05 -18 *3847:25 *4528:14 0.000236838 -19 *3875:7 *25606:A0 0.000135028 -*RES -1 *30286:X *4528:14 49.4964 -2 *4528:14 *25606:A0 39.175 -*END - -*D_NET *4529 0.00889231 -*CONN -*I *28105:D I *D sky130_fd_sc_hd__dfstp_2 -*I *30287:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28105:D 0.000743929 -2 *30287:X 0.00181441 -3 *4529:15 0.00255834 -4 *28105:D *5521:41 7.32272e-05 -5 *4529:15 *30922:A 0.000185643 -6 *29112:A *4529:15 0.000732319 -7 *29706:A *4529:15 0.000536146 -8 *30287:A *4529:15 0.000134617 -9 *30682:A *4529:15 5.52238e-05 -10 *30684:A *4529:15 0.000768837 -11 *30694:A *4529:15 0.000230475 -12 *244:28 *28105:D 0.000277152 -13 *348:16 *28105:D 0.00034087 -14 *761:17 *28105:D 0.000131648 -15 *761:17 *4529:15 0.000309477 -*RES -1 *30287:X *4529:15 49.9429 -2 *4529:15 *28105:D 26.8536 -*END - -*D_NET *4530 0.0399448 -*CONN -*I *25966:B I *D sky130_fd_sc_hd__and3_2 -*I *26086:A I *D sky130_fd_sc_hd__nor2_1 -*I *26052:A I *D sky130_fd_sc_hd__nand2_1 -*I *25985:B2 I *D sky130_fd_sc_hd__o2bb2a_1 -*I *25965:A I *D sky130_fd_sc_hd__and2_2 -*I *26004:C I *D sky130_fd_sc_hd__or4_4 -*I *26202:B I *D sky130_fd_sc_hd__or4b_4 -*I *26003:C I *D sky130_fd_sc_hd__nor4_4 -*I *26562:A1 I *D sky130_fd_sc_hd__a311o_1 -*I *26100:C I *D sky130_fd_sc_hd__or4b_1 -*I *26199:A I *D sky130_fd_sc_hd__or3_4 -*I *24863:A I *D sky130_fd_sc_hd__inv_2 -*I *26032:B1 I *D sky130_fd_sc_hd__a21oi_1 -*I *26215:A I *D sky130_fd_sc_hd__or3_4 -*I *26181:A I *D sky130_fd_sc_hd__or3_2 -*I *26229:A I *D sky130_fd_sc_hd__or3_1 -*I *26045:A I *D sky130_fd_sc_hd__nor2_2 -*I *26188:C I *D sky130_fd_sc_hd__nand3b_4 -*I *26212:B I *D sky130_fd_sc_hd__or4bb_4 -*I *30620:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *25966:B 0.000502831 -2 *26086:A 0.000210239 -3 *26052:A 0.000303696 -4 *25985:B2 0 -5 *25965:A 2.23854e-05 -6 *26004:C 7.02475e-05 -7 *26202:B 4.36033e-05 -8 *26003:C 1.69531e-05 -9 *26562:A1 0.000620702 -10 *26100:C 0.000330609 -11 *26199:A 4.1975e-05 -12 *24863:A 0.000113379 -13 *26032:B1 0.00013369 -14 *26215:A 7.05693e-05 -15 *26181:A 0 -16 *26229:A 0.000165337 -17 *26045:A 0.000931088 -18 *26188:C 0 -19 *26212:B 0 -20 *30620:X 0.000454095 -21 *4530:194 0.000700816 -22 *4530:193 0.00074292 -23 *4530:185 0.000488024 -24 *4530:177 0.000675976 -25 *4530:170 0.000289021 -26 *4530:133 0.000951311 -27 *4530:104 0.00020426 -28 *4530:93 0.000165337 -29 *4530:72 0.00165313 -30 *4530:58 0.000800869 -31 *4530:56 0.000500587 -32 *4530:50 0.000526235 -33 *4530:48 0.000293998 -34 *4530:46 0.000511638 -35 *4530:37 0.000893026 -36 *4530:23 0.00108417 -37 *4530:14 0.000967167 -38 *4530:10 0.000809944 -39 *24863:A *26022:C 6.05161e-06 -40 *24863:A *4541:58 0.000219711 -41 *26003:C *26209:B 5.33005e-05 -42 *26004:C *26004:A 5.33005e-05 -43 *26004:C *26004:B 9.41642e-05 -44 *26032:B1 *6291:80 0.000383166 -45 *26045:A *26186:A 3.36871e-05 -46 *26045:A *5782:116 1.40034e-05 -47 *26086:A *26559:A 5.41794e-05 -48 *26100:C *26100:A 0.000508712 -49 *26215:A *6291:80 0.000106933 -50 *4530:10 *26004:A 1.52978e-05 -51 *4530:10 *4552:65 1.3047e-05 -52 *4530:10 *4563:21 0.000427129 -53 *4530:10 *6214:10 1.92789e-05 -54 *4530:14 *26004:A 4.038e-06 -55 *4530:14 *4541:20 1.40849e-05 -56 *4530:14 *4541:22 2.84398e-05 -57 *4530:14 *4552:65 0.000660158 -58 *4530:14 *4563:21 0.000100823 -59 *4530:23 *26200:A 9.1407e-06 -60 *4530:23 *4541:22 9.63558e-05 -61 *4530:23 *5722:42 7.71321e-05 -62 *4530:23 *5722:48 0.000431882 -63 *4530:37 *26188:B 7.43578e-06 -64 *4530:37 *4552:86 0 -65 *4530:58 *5673:251 9.16782e-05 -66 *4530:72 *5673:251 0.000255725 -67 *4530:72 *5782:86 8.34787e-05 -68 *4530:177 *26004:A 0.000137983 -69 *4530:177 *26004:B 8.36572e-05 -70 *4530:177 *4552:48 0.000466816 -71 *25979:A1 *25966:B 0.000306075 -72 *25979:A2 *25966:B 0.000235107 -73 *25983:A *26100:C 0.000178847 -74 *25983:A *26562:A1 0.000181796 -75 *25984:B *4530:193 4.08637e-05 -76 *26003:D *26003:C 5.33005e-05 -77 *26021:B *4530:46 0.000370617 -78 *26032:A1 *26032:B1 0.000362657 -79 *26032:A1 *26215:A 5.67638e-05 -80 *26050:A *26086:A 8.86413e-05 -81 *26097:B *4530:194 0.000100823 -82 *26124:C1 *25966:B 9.25014e-06 -83 *26124:C1 *4530:194 8.51829e-05 -84 *26181:C *26229:A 5.33005e-05 -85 *26182:A *26045:A 0.000257619 -86 *26182:B *26045:A 0.000123861 -87 *26229:B *26229:A 1.24368e-05 -88 *26229:B *4530:56 0.000185297 -89 *26229:B *4530:58 0.000211212 -90 *26229:B *4530:72 2.09826e-05 -91 *26229:C *26229:A 0.000278482 -92 *26245:A *4530:56 9.65075e-05 -93 *26262:B *4530:10 5.52238e-05 -94 *26276:B *4530:46 0.000222666 -95 *26291:B *4530:46 1.10632e-05 -96 *26291:B *4530:48 0.000140518 -97 *26291:C *26100:C 0.000218685 -98 *26293:A3 *4530:50 9.91086e-05 -99 *26324:A2 *4530:14 0.000146474 -100 *26325:C1 *4530:14 2.96981e-05 -101 *26326:A2 *4530:10 2.06112e-05 -102 *26327:C1 *4530:10 0.000125886 -103 *26329:A2 *4530:10 0.000263067 -104 *26335:A3 *25966:B 0.000176091 -105 *26335:A3 *4530:194 9.56535e-05 -106 *26405:A *26562:A1 0.000119303 -107 *26417:B *26045:A 0.000266092 -108 *26458:A3 *26052:A 0.00014433 -109 *26458:B1 *26052:A 0.000167449 -110 *26562:A2 *26562:A1 0.000270867 -111 *26562:A3 *26562:A1 1.11777e-05 -112 *26562:B1 *26562:A1 2.6726e-05 -113 *30595:A *25966:B 5.40121e-05 -114 *30595:A *26052:A 0.000120246 -115 *30595:A *4530:194 9.12904e-05 -116 *1187:7 *26086:A 5.33005e-05 -117 *1907:5 *4530:185 0.000211627 -118 *1907:13 *4530:185 6.05266e-05 -119 *1907:22 *26052:A 7.46368e-05 -120 *1909:7 *4530:37 3.45337e-05 -121 *1909:7 *4530:46 0.000137983 -122 *1911:61 *4530:46 0.000542819 -123 *1911:61 *4530:48 0.000188074 -124 *1912:28 *25966:B 8.58196e-05 -125 *1924:9 *4530:185 5.33005e-05 -126 *1924:9 *4530:193 5.33005e-05 -127 *1925:5 *26562:A1 3.18676e-05 -128 *1926:13 *26086:A 0.000191284 -129 *1927:8 *4530:185 0.000137983 -130 *1931:6 *4530:23 0.000194358 -131 *1931:10 *4530:23 0.000153047 -132 *1932:37 *4530:56 0.000182179 -133 *1932:37 *4530:58 6.28742e-05 -134 *1946:29 *4530:72 0 -135 *1948:17 *26045:A 4.00679e-05 -136 *1955:19 *25966:B 1.21859e-05 -137 *1966:17 *26045:A 0.000345257 -138 *1992:6 *26052:A 0.000197991 -139 *1992:6 *4530:194 9.4724e-05 -140 *1992:10 *26052:A 0.000332894 -141 *2032:89 *4530:46 0.00018077 -142 *2032:170 *26045:A 0.000564592 -143 *2032:170 *4530:72 4.11173e-05 -144 *2042:9 *26100:C 0.000152337 -145 *2043:143 *26199:A 7.02611e-05 -146 *2054:51 *4530:37 0.000767383 -147 *2116:8 *26045:A 5.4695e-05 -148 *2116:8 *4530:72 3.18672e-05 -149 *2116:10 *26045:A 9.49949e-05 -150 *2116:12 *26045:A 0.000168939 -151 *2120:36 *26229:A 0.000177815 -152 *2124:26 *26045:A 0.000177821 -153 *2127:77 *4530:72 0.0001711 -154 *2131:22 *4530:72 0.000974551 -155 *2139:26 *26045:A 0.000246057 -156 *2141:13 *4530:50 0.000169377 -157 *2141:13 *4530:56 9.25014e-06 -158 *2142:10 *4530:23 8.77179e-05 -159 *2144:15 *26202:B 5.33005e-05 -160 *2152:125 *4530:23 0.000815454 -161 *2154:7 *4530:23 0.000136951 -162 *2154:7 *4530:37 0.000122591 -163 *2157:7 *26215:A 5.52238e-05 -164 *2157:8 *4530:46 8.0089e-05 -165 *2157:8 *4530:48 3.90683e-05 -166 *2157:8 *4530:50 0.000116004 -167 *2157:8 *4530:56 6.09476e-05 -168 *2157:81 *26215:A 4.08637e-05 -169 *2187:11 *4530:48 1.08192e-05 -170 *2187:11 *4530:50 5.67634e-05 -171 *2187:11 *4530:56 0.000115654 -172 *2187:36 *4530:14 0.000142021 -173 *2210:17 *26045:A 0.000247771 -174 *2233:5 *26100:C 0.000175892 -175 *2233:37 *26100:C 5.33005e-05 -176 *2233:37 *26562:A1 0.000537133 -177 *2240:29 *26052:A 2.04825e-05 -178 *2240:98 *4530:14 5.72318e-05 -179 *2240:98 *4530:23 0.000171368 -180 *2272:15 *25966:B 0.000216755 -181 *2279:7 *26100:C 3.2687e-05 -182 *2279:7 *26562:A1 4.45417e-05 -183 *2346:5 *26199:A 0.000137983 -184 *2358:17 *26045:A 0.000136898 -185 *2502:12 *26562:A1 0 -186 *4275:8 *26086:A 5.41794e-05 -187 *4353:34 *4530:14 0.00011217 -188 *4475:21 *4530:14 0 -189 *4508:54 *25966:B 6.72549e-05 -190 *4508:54 *4530:194 1.39737e-05 -191 *4508:58 *26052:A 1.33846e-05 -192 *4508:58 *4530:194 3.11336e-05 -193 *4508:70 *4530:37 0.000593444 -194 *4508:77 *4530:37 0.000182956 -195 *4508:116 *4530:72 2.74457e-05 -196 *4508:132 *4530:72 0.000304214 -197 *4519:11 *26004:C 2.67559e-05 -198 *4519:11 *4530:177 7.62291e-05 -199 *4519:71 *26215:A 7.43578e-06 -200 *4519:194 *4530:177 6.595e-05 -201 *4519:194 *4530:185 3.67142e-05 -202 *4519:206 *4530:185 1.40034e-05 -*RES -1 *30620:X *4530:10 25.0143 -2 *4530:10 *4530:14 10.6786 -3 *4530:14 *4530:23 22.125 -4 *4530:23 *26212:B 9.3 -5 *4530:23 *4530:37 22.5893 -6 *4530:37 *26188:C 9.3 -7 *4530:37 *4530:46 15.8393 -8 *4530:46 *4530:48 3.16071 -9 *4530:48 *4530:50 4.67857 -10 *4530:50 *4530:56 8.17857 -11 *4530:56 *4530:58 2.85714 -12 *4530:58 *4530:72 17.0226 -13 *4530:72 *26045:A 45.675 -14 *4530:72 *4530:93 4.5 -15 *4530:93 *26229:A 13.1214 -16 *4530:93 *26181:A 9.3 -17 *4530:58 *4530:104 4.5 -18 *4530:104 *26215:A 11.4786 -19 *4530:104 *26032:B1 13.55 -20 *4530:56 *24863:A 15.9786 -21 *4530:50 *26199:A 15.1571 -22 *4530:48 *4530:133 4.5 -23 *4530:133 *26100:C 17.6571 -24 *4530:133 *26562:A1 31.2107 -25 *4530:46 *26003:C 14.3357 -26 *4530:14 *26202:B 14.3357 -27 *4530:10 *4530:170 4.5 -28 *4530:170 *26004:C 10.742 -29 *4530:170 *4530:177 5.875 -30 *4530:177 *25965:A 9.72857 -31 *4530:177 *4530:185 7.51786 -32 *4530:185 *25985:B2 9.3 -33 *4530:185 *4530:193 5.44643 -34 *4530:193 *4530:194 4.98214 -35 *4530:194 *26052:A 23.0321 -36 *4530:194 *26086:A 27.0679 -37 *4530:193 *25966:B 24.6036 -*END - -*D_NET *4531 0.00336405 -*CONN -*I *25874:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30288:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25874:A1 0.000704049 -2 *30288:X 0.000704049 -3 *25874:A1 *25874:A0 2.42516e-05 -4 *25874:S *25874:A1 9.23489e-05 -5 *27938:A2 *25874:A1 7.37323e-05 -6 *27938:B2 *25874:A1 4.60355e-05 -7 *1744:112 *25874:A1 0.0012497 -8 *3156:162 *25874:A1 3.82551e-05 -9 *3727:20 *25874:A1 9.58181e-05 -10 *3727:32 *25874:A1 0.000263731 -11 *4113:25 *25874:A1 7.20784e-05 -*RES -1 *30288:X *25874:A1 36.2786 -*END - -*D_NET *4532 0.00385654 -*CONN -*I *28328:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30289:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28328:D 0.000854277 -2 *30289:X 0.000854277 -3 *28328:D *27157:A1 0.000260574 -4 *28328:D *28328:RESET_B 4.57695e-05 -5 *28328:D *28330:RESET_B 4.13496e-05 -6 *27938:C1 *28328:D 0.000682915 -7 *3727:7 *28328:D 0.000865119 -8 *3935:28 *28328:D 0.00025226 -*RES -1 *30289:X *28328:D 38.1714 -*END - -*D_NET *4533 0.00160499 -*CONN -*I *27167:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30290:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27167:A0 0.000159033 -2 *30290:X 0.000159033 -3 *27167:A0 *5675:132 9.98662e-05 -4 *27167:A0 *5675:140 5.65955e-05 -5 *27167:A0 *5707:260 0.000686869 -6 *27167:S *27167:A0 0.000139202 -7 *3995:9 *27167:A0 0.000304387 -*RES -1 *30290:X *27167:A0 25.2964 -*END - -*D_NET *4534 0.00123952 -*CONN -*I *28726:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30292:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28726:D 0.000321744 -2 *30292:X 0.000321744 -3 *28726:D *5714:5 0.0001687 -4 *27165:S *28726:D 0.000168197 -5 *1244:62 *28726:D 0 -6 *1310:11 *28726:D 1.80632e-05 -7 *2790:136 *28726:D 0.000132573 -8 *3796:14 *28726:D 0.000108501 -*RES -1 *30292:X *28726:D 35.2071 -*END - -*D_NET *4535 0.00124979 -*CONN -*I *26888:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30293:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26888:A1 0.000368924 -2 *30293:X 0.000368924 -3 *26888:A1 *5607:50 0.000387796 -4 *4096:32 *26888:A1 0.00012415 -*RES -1 *30293:X *26888:A1 33.0643 -*END - -*D_NET *4536 0.000320986 -*CONN -*I *28478:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30294:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28478:D 8.42799e-05 -2 *30294:X 8.42799e-05 -3 *28478:D *28478:RESET_B 5.07957e-05 -4 *3803:40 *28478:D 0.000101631 -*RES -1 *30294:X *28478:D 29.475 -*END - -*D_NET *4537 0.00169256 -*CONN -*I *27098:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30295:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27098:A0 0.000139282 -2 *30295:X 0.000139282 -3 *27098:A0 *25063:A1 0.000138435 -4 *27098:A0 *27098:A1 5.71472e-05 -5 *27098:A0 *27224:A 9.22103e-06 -6 *27098:A0 *5570:11 0.000786612 -7 *27098:S *27098:A0 2.44318e-05 -8 *3878:9 *27098:A0 0.000126004 -9 *3878:22 *27098:A0 0.000272147 -*RES -1 *30295:X *27098:A0 26.1179 -*END - -*D_NET *4538 0.00147906 -*CONN -*I *28665:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30296:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28665:D 0.000444526 -2 *30296:X 0.000444526 -3 *28665:D *27098:A1 3.69949e-05 -4 *28665:D *4574:20 6.57026e-05 -5 *28665:D *5470:10 0.000347174 -6 *28665:CLK *28665:D 5.75517e-05 -7 *30296:A *28665:D 7.83587e-05 -8 *26:17 *28665:D 4.22135e-06 -*RES -1 *30296:X *28665:D 34.7786 -*END - -*D_NET *4539 0.00140823 -*CONN -*I *27041:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30297:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27041:A1 0.000206081 -2 *30297:X 0.000206081 -3 *27041:A1 *5833:190 0.000629072 -4 *27041:S *27041:A1 0.000231967 -5 *30297:A *27041:A1 0.000135028 -*RES -1 *30297:X *27041:A1 25.4393 -*END - -*D_NET *4540 0.00113923 -*CONN -*I *28614:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30298:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28614:D 0.000397481 -2 *30298:X 0.000397481 -3 *1235:165 *28614:D 0.000102545 -4 *3578:75 *28614:D 0.0001409 -5 *3790:106 *28614:D 0.000100823 -*RES -1 *30298:X *28614:D 33.1714 -*END - -*D_NET *4541 0.029916 -*CONN -*I *25966:A I *D sky130_fd_sc_hd__and3_2 -*I *25977:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *26004:A I *D sky130_fd_sc_hd__or4_4 -*I *26202:A I *D sky130_fd_sc_hd__or4b_4 -*I *26212:A I *D sky130_fd_sc_hd__or4bb_4 -*I *26188:A_N I *D sky130_fd_sc_hd__nand3b_4 -*I *26209:A I *D sky130_fd_sc_hd__or3b_4 -*I *26003:A I *D sky130_fd_sc_hd__nor4_4 -*I *26100:A I *D sky130_fd_sc_hd__or4b_1 -*I *26167:B I *D sky130_fd_sc_hd__nand2b_1 -*I *26036:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *26175:B1 I *D sky130_fd_sc_hd__a21oi_1 -*I *26104:A I *D sky130_fd_sc_hd__or4_4 -*I *30621:X O *D sky130_fd_sc_hd__buf_4 -*CAP -1 *25966:A 0.000104532 -2 *25977:B1 1.69531e-05 -3 *26004:A 8.79303e-05 -4 *26202:A 5.78155e-05 -5 *26212:A 0 -6 *26188:A_N 5.59451e-05 -7 *26209:A 0.000162262 -8 *26003:A 0 -9 *26100:A 0.000153683 -10 *26167:B 0.00016919 -11 *26036:B1 0.000129931 -12 *26175:B1 0.000526137 -13 *26104:A 0 -14 *30621:X 0.000460276 -15 *4541:58 0.00106419 -16 *4541:47 0.00053332 -17 *4541:40 0.000680128 -18 *4541:34 0.000628195 -19 *4541:32 0.000156107 -20 *4541:29 0.000536102 -21 *4541:24 0.000645629 -22 *4541:22 0.000764188 -23 *4541:20 0.000846059 -24 *4541:17 0.00125876 -25 *4541:10 0.00118654 -26 *4541:8 0.0008708 -27 *26004:A *4552:65 5.41797e-06 -28 *26036:B1 *4552:132 4.58194e-05 -29 *26167:B *26167:A_N 0.00025224 -30 *26175:B1 *26161:D 5.33005e-05 -31 *26175:B1 *4552:112 4.10843e-05 -32 *26175:B1 *4552:132 5.36191e-05 -33 *26175:B1 *5782:51 0.000371961 -34 *26175:B1 *5782:62 0.000261114 -35 *26188:A_N *4552:86 0.000175892 -36 *26202:A *4552:65 5.07619e-05 -37 *4541:8 *6214:22 0.000704614 -38 *4541:17 *6291:30 8.16416e-05 -39 *4541:20 *4552:65 0.00026441 -40 *4541:20 *6291:40 2.91794e-05 -41 *4541:22 *5782:20 0.000127987 -42 *4541:22 *6291:40 0 -43 *4541:24 *4552:89 9.25014e-06 -44 *4541:29 *4552:89 0.000388146 -45 *4541:58 *26022:C 5.87969e-05 -46 *4541:58 *6291:80 0.000179054 -47 *24863:A *4541:58 0.000219711 -48 *25502:C *4541:8 0.000203996 -49 *25962:C *4541:8 5.10176e-05 -50 *25966:C *25966:A 9.60939e-05 -51 *25972:C *4541:8 7.44918e-05 -52 *25977:A2 *4541:10 7.69776e-06 -53 *25977:A2 *4541:17 3.47641e-06 -54 *25984:A *25977:B1 5.33005e-05 -55 *25984:B *25977:B1 5.33005e-05 -56 *25991:B *4541:22 1.41029e-05 -57 *26004:C *26004:A 5.33005e-05 -58 *26022:A *4541:58 5.52238e-05 -59 *26100:C *26100:A 0.000508712 -60 *26104:C *4541:47 1.38323e-05 -61 *26104:C *4541:58 9.41642e-05 -62 *26124:C1 *4541:17 0.00121793 -63 *26161:C *26175:B1 4.75152e-06 -64 *26164:C *26175:B1 6.26281e-05 -65 *26169:C *26167:B 4.08637e-05 -66 *26176:A *26175:B1 0.000212517 -67 *26199:C *4541:40 0.000174797 -68 *26209:C_N *26209:A 0.000105471 -69 *26215:C *4541:40 4.56437e-05 -70 *26215:C *4541:47 0.000127439 -71 *26298:B *4541:17 5.01516e-05 -72 *26324:A2 *26202:A 1.34548e-05 -73 *26324:B1 *4541:20 0.000125724 -74 *26326:B1 *4541:17 3.06626e-05 -75 *26329:A2 *26004:A 6.35864e-05 -76 *26329:A2 *4541:20 0.000101697 -77 *26330:A2 *4541:17 0 -78 *26559:B *4541:8 0 -79 *26559:B *4541:10 0 -80 *26559:B *4541:17 0 -81 *30595:A *4541:8 0 -82 *1186:40 *4541:58 0.000360408 -83 *1189:14 *26036:B1 8.36315e-05 -84 *1189:14 *26175:B1 7.16532e-05 -85 *1189:67 *4541:58 0.000343808 -86 *1190:16 *4541:40 0.000382566 -87 *1190:39 *4541:40 7.81384e-05 -88 *1905:8 *4541:8 3.0285e-05 -89 *1908:8 *25966:A 1.24368e-05 -90 *1908:8 *4541:10 0.000181304 -91 *1908:19 *4541:10 0.000172392 -92 *1909:7 *26188:A_N 0.000175892 -93 *1909:13 *26100:A 0.000165385 -94 *1909:13 *4541:32 0.000157967 -95 *1909:13 *4541:34 0.000188903 -96 *1909:18 *26100:A 0.000178847 -97 *1911:19 *4541:17 0.000288233 -98 *1926:13 *4541:17 9.60337e-06 -99 *1978:5 *26036:B1 9.71197e-05 -100 *2032:169 *4541:58 5.01138e-05 -101 *2046:5 *4541:58 2.42516e-05 -102 *2046:9 *4541:47 2.89016e-05 -103 *2046:9 *4541:58 1.21258e-05 -104 *2046:10 *4541:40 2.99773e-05 -105 *2054:51 *4541:22 5.66295e-05 -106 *2054:51 *4541:24 1.09026e-05 -107 *2103:7 *26175:B1 0.000426141 -108 *2106:17 *26036:B1 4.16984e-05 -109 *2109:5 *26167:B 0.000175892 -110 *2109:23 *4541:40 0.000218026 -111 *2130:29 *4541:34 5.68684e-06 -112 *2130:29 *4541:40 7.85465e-05 -113 *2130:46 *4541:40 8.122e-05 -114 *2141:16 *4541:22 0.000919775 -115 *2141:16 *4541:24 0.000759848 -116 *2141:16 *4541:29 0.000389854 -117 *2142:10 *4541:22 2.49484e-05 -118 *2144:15 *26202:A 5.49489e-05 -119 *2144:95 *26209:A 0.000329865 -120 *2144:95 *4541:29 0.000385089 -121 *2152:157 *4541:8 9.23689e-05 -122 *2152:157 *4541:10 1.02504e-05 -123 *2176:18 *26175:B1 2.30116e-06 -124 *2176:27 *26175:B1 4.88798e-05 -125 *2176:101 *4541:32 0.000168217 -126 *2176:101 *4541:34 0.000212733 -127 *2176:101 *4541:40 0.000229624 -128 *2190:134 *26167:B 2.82057e-05 -129 *2217:16 *4541:20 0.000410618 -130 *2220:23 *4541:8 0.000266761 -131 *2227:34 *4541:20 5.41797e-05 -132 *2228:11 *4541:22 0.00035392 -133 *2272:15 *25966:A 2.60984e-05 -134 *2279:7 *26100:A 8.11174e-05 -135 *4275:8 *4541:8 0.000200937 -136 *4275:8 *4541:10 0.000514785 -137 *4275:8 *4541:17 8.71613e-05 -138 *4353:88 *26175:B1 3.23658e-05 -139 *4353:88 *4541:40 1.02504e-05 -140 *4353:88 *4541:47 0.000128161 -141 *4353:181 *25966:A 4.87953e-05 -142 *4508:70 *4541:22 0.000336922 -143 *4508:70 *4541:24 0.000539739 -144 *4508:77 *4541:24 0.000184533 -145 *4508:285 *4541:17 0.000149133 -146 *4519:11 *26004:A 9.39369e-05 -147 *4519:70 *4541:47 9.60875e-05 -148 *4519:84 *26175:B1 0 -149 *4530:10 *26004:A 1.52978e-05 -150 *4530:14 *26004:A 4.038e-06 -151 *4530:14 *4541:20 1.40849e-05 -152 *4530:14 *4541:22 2.84398e-05 -153 *4530:23 *4541:22 9.63558e-05 -154 *4530:177 *26004:A 0.000137983 -*RES -1 *30621:X *4541:8 27.9071 -2 *4541:8 *4541:10 7.10714 -3 *4541:10 *4541:17 10.793 -4 *4541:17 *4541:20 9.61607 -5 *4541:20 *4541:22 16.8214 -6 *4541:22 *4541:24 10.1429 -7 *4541:24 *4541:29 13.3036 -8 *4541:29 *4541:32 6.75 -9 *4541:32 *4541:34 2.85714 -10 *4541:34 *4541:40 11.1071 -11 *4541:40 *4541:47 7.92857 -12 *4541:47 *26104:A 9.3 -13 *4541:47 *4541:58 19.9643 -14 *4541:58 *26175:B1 31.0679 -15 *4541:58 *26036:B1 12.3 -16 *4541:40 *26167:B 17.7911 -17 *4541:34 *26100:A 18.8536 -18 *4541:32 *26003:A 13.8 -19 *4541:29 *26209:A 13.1393 -20 *4541:24 *26188:A_N 15.5679 -21 *4541:22 *26212:A 13.8 -22 *4541:20 *26202:A 15.1571 -23 *4541:17 *26004:A 16.4518 -24 *4541:10 *25977:B1 14.3357 -25 *4541:8 *25966:A 15.9964 -*END - -*D_NET *4542 0.0002087 -*CONN -*I *25633:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30299:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25633:A1 2.54137e-05 -2 *30299:X 2.54137e-05 -3 *25633:A1 *28129:D 7.89362e-05 -4 *25633:A1 *5459:10 7.89362e-05 -*RES -1 *30299:X *25633:A1 28.6357 -*END - -*D_NET *4543 0.00230818 -*CONN -*I *28129:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30300:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28129:D 0.000684488 -2 *30300:X 0.000684488 -3 *28129:D *28129:RESET_B 0.000115686 -4 *28129:D *30969:A 0.000305438 -5 *28129:D *5459:10 0.000117928 -6 *28129:D *5492:10 0.000100623 -7 *28129:D *5659:48 0.000131887 -8 *25633:A1 *28129:D 7.89362e-05 -9 *3982:9 *28129:D 8.87037e-05 -*RES -1 *30300:X *28129:D 41.7786 -*END - -*D_NET *4544 0.00113057 -*CONN -*I *27116:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30301:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27116:A1 0.000299955 -2 *30301:X 0.000299955 -3 *27116:A1 *5538:35 0.000247007 -4 *25006:B2 *27116:A1 0.000226507 -5 *27116:S *27116:A1 5.71472e-05 -*RES -1 *30301:X *27116:A1 25.2964 -*END - -*D_NET *4545 0.000773656 -*CONN -*I *28681:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30303:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28681:D 0.000235764 -2 *30303:X 0.000235764 -3 *28681:D *5753:32 3.82151e-05 -4 *3878:22 *28681:D 0.000184976 -5 *3904:12 *28681:D 7.89362e-05 -*RES -1 *30303:X *28681:D 32.9571 -*END - -*D_NET *4546 0.00707977 -*CONN -*I *25638:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30304:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25638:A0 6.19863e-05 -2 *30304:X 0.00222186 -3 *4546:20 0.00228385 -4 *25638:A0 *25638:A1 5.93521e-05 -5 *25638:A0 *4560:14 1.38323e-05 -6 *25638:A0 *5742:102 9.41642e-05 -7 *4546:20 *25698:A0 0.000441021 -8 *4546:20 *25824:A0 0.000509585 -9 *4546:20 *28168:D 0.000100697 -10 *4546:20 *4759:8 0.000114611 -11 *4546:20 *5136:11 0.000508068 -12 *4546:20 *5355:10 0.00011708 -13 *4546:20 *5742:102 1.26641e-05 -14 *24866:B *4546:20 6.17358e-05 -15 *25554:A0 *4546:20 3.48053e-05 -16 *25638:S *25638:A0 9.41642e-05 -17 *28168:CLK *4546:20 7.56452e-06 -18 *28168:RESET_B *4546:20 0.000116294 -19 *437:23 *25638:A0 3.0166e-05 -20 *1192:25 *4546:20 0.000120466 -21 *1763:22 *4546:20 1.58281e-05 -22 *1827:36 *4546:20 0 -23 *4195:5 *4546:20 3.2033e-05 -24 *4195:10 *4546:20 2.79421e-05 -*RES -1 *30304:X *4546:20 47.8179 -2 *4546:20 *25638:A0 15.5679 -*END - -*D_NET *4547 0.00430258 -*CONN -*I *28133:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30305:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28133:D 0.00120379 -2 *30305:X 0.00120379 -3 *28133:D *24814:A 0.000339256 -4 *28133:D *4548:15 3.35685e-06 -5 *25438:A3 *28133:D 6.11448e-05 -6 *25555:A0 *28133:D 0.00018762 -7 *29108:A *28133:D 5.76571e-05 -8 *1287:38 *28133:D 9.73258e-05 -9 *1749:21 *28133:D 0 -10 *1766:24 *28133:D 3.17444e-05 -11 *1862:36 *28133:D 0.0011169 -*RES -1 *30305:X *28133:D 49.7071 -*END - -*D_NET *4548 0.00499234 -*CONN -*I *25656:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30306:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25656:A0 0 -2 *30306:X 0.00110361 -3 *4548:15 0.00110361 -4 *4548:15 *24814:A 6.59974e-05 -5 *4548:15 *4759:8 0 -6 *4548:15 *5545:59 0 -7 *6588:DIODE *4548:15 0.000135028 -8 *28133:D *4548:15 3.35685e-06 -9 *28167:RESET_B *4548:15 0.000137983 -10 *30306:A *4548:15 4.58194e-05 -11 *30307:A *4548:15 0.000298732 -12 *622:20 *4548:15 0.000422226 -13 *1173:82 *4548:15 0.000733753 -14 *1194:37 *4548:15 0.000135028 -15 *1440:56 *4548:15 0.000309041 -16 *1545:48 *4548:15 2.09e-05 -17 *1749:21 *4548:15 4.28159e-05 -18 *1766:24 *4548:15 0.000162747 -19 *1795:19 *4548:15 6.27272e-06 -20 *1810:19 *4548:15 1.90936e-05 -21 *1830:15 *4548:15 0.000225715 -22 *4154:27 *4548:15 0 -23 *4194:111 *4548:15 2.06112e-05 -*RES -1 *30306:X *4548:15 43.425 -2 *4548:15 *25656:A0 9.3 -*END - -*D_NET *4549 0.00334748 -*CONN -*I *28148:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30307:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28148:D 0.000727058 -2 *30307:X 0.000727058 -3 *28148:D *24877:A1 0 -4 *28148:D *25434:A 0.000236178 -5 *28148:D *5727:8 0.000195198 -6 *28148:D *5836:150 7.13477e-05 -7 *28148:CLK *28148:D 7.61932e-05 -8 *28165:SET_B *28148:D 0.000261032 -9 *419:9 *28148:D 5.01117e-05 -10 *1202:43 *28148:D 2.50463e-05 -11 *1740:22 *28148:D 9.41642e-05 -12 *3558:54 *28148:D 0.000127414 -13 *4161:28 *28148:D 0.000180789 -14 *4192:14 *28148:D 0.000383166 -15 *4192:218 *28148:D 0.000135028 -16 *4194:8 *28148:D 5.76973e-05 -*RES -1 *30307:X *28148:D 46.6893 -*END - -*D_NET *4550 0.00411751 -*CONN -*I *27089:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30308:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27089:A1 0.00108383 -2 *30308:X 0.00108383 -3 *27089:A1 *28215:RESET_B 0.000190277 -4 *27089:A1 *30882:A 0.000126612 -5 *27089:A1 *5529:31 0.00064469 -6 *27089:A1 *5753:87 9.04969e-05 -7 *29598:A *27089:A1 4.87953e-05 -8 *3352:10 *27089:A1 0.000510636 -9 *3634:82 *27089:A1 0.000338351 -*RES -1 *30308:X *27089:A1 46.725 -*END - -*D_NET *4551 0.00138042 -*CONN -*I *28657:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30309:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28657:D 0.00026241 -2 *30309:X 0.00026241 -3 *28657:D *5528:27 0.000317602 -4 *28657:D *5981:26 0.000218679 -5 *30309:A *28657:D 0.000319316 -*RES -1 *30309:X *28657:D 33.85 -*END - -*D_NET *4552 0.0427947 -*CONN -*I *25979:B1 I *D sky130_fd_sc_hd__a21oi_1 -*I *25971:A I *D sky130_fd_sc_hd__and3_1 -*I *26202:D_N I *D sky130_fd_sc_hd__or4b_4 -*I *26212:D_N I *D sky130_fd_sc_hd__or4bb_4 -*I *26188:B I *D sky130_fd_sc_hd__nand3b_4 -*I *26209:B I *D sky130_fd_sc_hd__or3b_4 -*I *26003:B I *D sky130_fd_sc_hd__nor4_4 -*I *26100:B I *D sky130_fd_sc_hd__or4b_1 -*I *26167:A_N I *D sky130_fd_sc_hd__nand2b_1 -*I *26104:B I *D sky130_fd_sc_hd__or4_4 -*I *26026:A I *D sky130_fd_sc_hd__and3_1 -*I *26168:A_N I *D sky130_fd_sc_hd__and2b_4 -*I *26038:B1 I *D sky130_fd_sc_hd__a21oi_1 -*I *26444:A1 I *D sky130_fd_sc_hd__a41o_1 -*I *26165:A I *D sky130_fd_sc_hd__nand2_2 -*I *26177:A I *D sky130_fd_sc_hd__nor2_1 -*I *26164:A I *D sky130_fd_sc_hd__and3_1 -*I *26004:B I *D sky130_fd_sc_hd__or4_4 -*I *26559:A I *D sky130_fd_sc_hd__or3_1 -*I *30622:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *25979:B1 0.000112031 -2 *25971:A 1.35541e-05 -3 *26202:D_N 0 -4 *26212:D_N 8.5667e-05 -5 *26188:B 1.73726e-05 -6 *26209:B 0.000200251 -7 *26003:B 2.23854e-05 -8 *26100:B 0.00013718 -9 *26167:A_N 0.000283946 -10 *26104:B 0.000147996 -11 *26026:A 2.67717e-05 -12 *26168:A_N 0.000197731 -13 *26038:B1 0.000280338 -14 *26444:A1 0 -15 *26165:A 0 -16 *26177:A 0.00015531 -17 *26164:A 2.30826e-05 -18 *26004:B 0.000139509 -19 *26559:A 0.00120293 -20 *30622:X 0.000335148 -21 *4552:220 0.000410968 -22 *4552:178 0.000396752 -23 *4552:162 0.000526253 -24 *4552:142 0.000405209 -25 *4552:135 0.000450546 -26 *4552:132 0.00110539 -27 *4552:112 0.00153396 -28 *4552:107 0.000903063 -29 *4552:105 0.0017805 -30 *4552:91 0.00164169 -31 *4552:89 0.000482446 -32 *4552:86 0.000757288 -33 *4552:79 0.00152468 -34 *4552:65 0.00146712 -35 *4552:48 0.00105605 -36 *4552:24 0.00194268 -37 *4552:20 0.00053849 -38 *4552:15 0.000591781 -39 *25971:A *6203:11 5.33005e-05 -40 *26559:A *6192:9 3.55279e-05 -41 *26559:A *6291:30 0.000192088 -42 *4552:15 *25503:A 0.000194348 -43 *4552:15 *25963:B 0.000242906 -44 *4552:15 *4575:8 9.54798e-06 -45 *4552:15 *6214:22 0.000229456 -46 *4552:24 *6203:11 0.000555481 -47 *4552:24 *6291:30 0.000221159 -48 *4552:79 *5722:48 0.000398407 -49 *4552:79 *5722:50 0.000287651 -50 *4552:79 *6180:11 0.000180951 -51 *4552:86 *5722:50 0.000452906 -52 *4552:86 *5722:69 0.000204894 -53 *4552:86 *5782:20 0.000202206 -54 *4552:86 *5782:28 9.11048e-06 -55 *4552:112 *26161:B 5.49489e-05 -56 *4552:112 *26161:D 9.71197e-05 -57 *4552:112 *5782:51 0.000382744 -58 *4552:220 *5782:46 0.000421074 -59 *25502:B *25979:B1 3.69047e-06 -60 *25502:B *4552:15 1.21258e-05 -61 *25962:B *25979:B1 0.000214593 -62 *25982:B *26559:A 0.000211249 -63 *26003:C *26209:B 5.33005e-05 -64 *26003:D *26209:B 0.000100892 -65 *26003:D *4552:220 7.85063e-05 -66 *26004:A *4552:65 5.41797e-06 -67 *26004:C *26004:B 9.41642e-05 -68 *26031:A *26177:A 1.56e-05 -69 *26036:B1 *4552:132 4.58194e-05 -70 *26038:A1 *26038:B1 0.00032165 -71 *26086:A *26559:A 5.41794e-05 -72 *26097:B *26559:A 0.000348207 -73 *26104:C *26104:B 6.17397e-05 -74 *26164:C *4552:132 6.26281e-05 -75 *26165:B *26177:A 0.000141445 -76 *26166:A *26026:A 1.33343e-05 -77 *26166:A *26168:A_N 0.000138881 -78 *26167:B *26167:A_N 0.00025224 -79 *26175:A1 *4552:132 0.000300406 -80 *26175:B1 *4552:112 4.10843e-05 -81 *26175:B1 *4552:132 5.36191e-05 -82 *26178:A1 *4552:132 6.37633e-05 -83 *26188:A_N *4552:86 0.000175892 -84 *26202:A *4552:65 5.07619e-05 -85 *26212:C_N *26212:D_N 5.33005e-05 -86 *26215:C *4552:105 4.11173e-05 -87 *26215:C *4552:107 0.000198691 -88 *26215:C *4552:112 7.6644e-05 -89 *26228:A2 *26177:A 0 -90 *26290:B *4552:220 0.000129913 -91 *26323:D *4552:79 0.000466264 -92 *26324:A2 *4552:65 9.58126e-05 -93 *26324:B1 *4552:65 0.000125724 -94 *26330:A1 *4552:24 6.67055e-05 -95 *26330:A2 *4552:24 0.000200351 -96 *26330:B1 *4552:24 0.000114973 -97 *26416:C *4552:132 5.33005e-05 -98 *26444:A2 *4552:142 1.04232e-05 -99 *26444:B1 *4552:142 4.59823e-05 -100 *26559:C *26559:A 2.89114e-05 -101 *26560:A *26559:A 1.3701e-05 -102 *30592:A *26559:A 0.000265453 -103 *30592:A *4552:15 6.172e-05 -104 *30593:A *4552:15 3.77702e-05 -105 *30593:A *4552:20 0.000320121 -106 *30621:A *4552:15 0.000128476 -107 *1186:40 *4552:132 8.72997e-05 -108 *1187:7 *26559:A 2.24079e-05 -109 *1771:29 *4552:132 0.000109742 -110 *1862:28 *4552:135 0.000348054 -111 *1862:28 *4552:162 0.000187529 -112 *1862:28 *4552:178 0.000546227 -113 *1902:59 *26026:A 5.52302e-05 -114 *1902:59 *4552:162 0.000147114 -115 *1902:59 *4552:178 0.00057038 -116 *1908:73 *4552:135 0.000126167 -117 *1909:7 *26188:B 5.52302e-05 -118 *1909:7 *4552:86 7.80417e-05 -119 *1909:18 *4552:105 8.72777e-05 -120 *1911:9 *25971:A 4.18895e-05 -121 *1911:9 *4552:24 0.000265453 -122 *1911:56 *26559:A 0.000185643 -123 *1911:61 *26209:B 1.01241e-05 -124 *1911:61 *26559:A 1.69961e-05 -125 *1921:10 *25979:B1 1.33343e-05 -126 *1922:19 *26559:A 1.08359e-05 -127 *1926:13 *26559:A 0.000166818 -128 *1932:37 *26209:B 0.000147132 -129 *1944:23 *4552:105 2.41653e-05 -130 *1945:47 *4552:105 0 -131 *1945:47 *4552:132 2.11419e-05 -132 *1946:29 *4552:105 0 -133 *1956:15 *25979:B1 9.12615e-05 -134 *1956:15 *4552:15 3.97677e-05 -135 *1964:37 *26038:B1 0.000305381 -136 *1964:41 *26038:B1 0.000348207 -137 *2031:81 *4552:135 0 -138 *2031:81 *4552:162 0 -139 *2038:12 *4552:65 0 -140 *2038:22 *4552:65 0 -141 *2038:22 *4552:79 0.000107263 -142 *2046:10 *26559:A 9.27418e-05 -143 *2046:20 *26559:A 2.25163e-05 -144 *2046:20 *4552:24 0.00014187 -145 *2046:51 *4552:15 9.90367e-05 -146 *2048:10 *4552:105 0.000114258 -147 *2054:51 *4552:89 0.000264565 -148 *2054:53 *4552:89 9.25014e-06 -149 *2054:53 *4552:91 0.000164974 -150 *2054:53 *4552:105 0.000130051 -151 *2103:11 *4552:132 0 -152 *2103:29 *4552:132 0.000198839 -153 *2103:39 *26177:A 0.000345257 -154 *2103:39 *4552:142 8.40933e-05 -155 *2103:68 *4552:132 0.000112958 -156 *2107:11 *4552:142 0.000265447 -157 *2110:12 *26168:A_N 0.000114121 -158 *2111:58 *26026:A 4.88637e-05 -159 *2111:58 *26168:A_N 2.14757e-05 -160 *2130:46 *4552:105 3.18672e-05 -161 *2130:46 *4552:107 0.000190148 -162 *2130:46 *4552:112 0.000266829 -163 *2131:22 *4552:105 0 -164 *2131:163 *4552:79 0.000266301 -165 *2141:16 *4552:89 6.24851e-05 -166 *2141:16 *4552:91 7.4555e-05 -167 *2141:16 *4552:105 2.26327e-05 -168 *2144:15 *4552:65 9.18273e-05 -169 *2152:118 *4552:105 0.000106386 -170 *2154:7 *26212:D_N 1.21258e-05 -171 *2176:18 *4552:105 0.000105594 -172 *2190:134 *26167:A_N 1.38239e-05 -173 *2221:8 *4552:132 0.000145781 -174 *2233:37 *26168:A_N 0.000113151 -175 *2240:8 *4552:24 1.79038e-05 -176 *2240:98 *4552:79 9.56233e-05 -177 *2260:33 *26209:B 0.000179233 -178 *2272:15 *4552:24 2.2355e-05 -179 *2279:7 *26100:B 0.000178847 -180 *2385:10 *4552:142 1.15281e-05 -181 *4242:9 *4552:15 1.39726e-05 -182 *4253:11 *4552:15 5.33433e-05 -183 *4264:8 *4552:20 0.000323167 -184 *4275:8 *26559:A 9.3854e-05 -185 *4353:34 *4552:79 1.99406e-05 -186 *4353:68 *4552:105 8.94491e-05 -187 *4353:88 *4552:112 2.68614e-05 -188 *4475:21 *4552:65 2.42564e-05 -189 *4475:21 *4552:79 5.92e-05 -190 *4508:77 *4552:86 0.000344232 -191 *4519:26 *4552:79 0.0004942 -192 *4519:28 *4552:86 0 -193 *4519:61 *26104:B 3.06878e-06 -194 *4519:70 *26104:B 4.02191e-05 -195 *4519:84 *4552:112 8.81187e-05 -196 *4530:10 *4552:65 1.3047e-05 -197 *4530:14 *4552:65 0.000660158 -198 *4530:37 *26188:B 7.43578e-06 -199 *4530:37 *4552:86 0 -200 *4530:177 *26004:B 8.36572e-05 -201 *4530:177 *4552:48 0.000466816 -202 *4541:20 *4552:65 0.00026441 -203 *4541:24 *4552:89 9.25014e-06 -204 *4541:29 *4552:89 0.000388146 -*RES -1 *30622:X *4552:15 27.8893 -2 *4552:15 *4552:20 13.375 -3 *4552:20 *4552:24 16.1607 -4 *4552:24 *26559:A 42.0857 -5 *4552:24 *4552:48 9.14286 -6 *4552:48 *26004:B 11.0679 -7 *4552:48 *4552:65 27.1071 -8 *4552:65 *4552:79 39.7321 -9 *4552:79 *4552:86 17.5714 -10 *4552:86 *4552:89 11.3036 -11 *4552:89 *4552:91 2.25 -12 *4552:91 *4552:105 18.2585 -13 *4552:105 *4552:107 2.55357 -14 *4552:107 *4552:112 14.6607 -15 *4552:112 *26164:A 9.72857 -16 *4552:112 *4552:132 28.4464 -17 *4552:132 *4552:135 9.17857 -18 *4552:135 *4552:142 5.625 -19 *4552:142 *26177:A 13.5679 -20 *4552:142 *26165:A 9.3 -21 *4552:135 *26444:A1 9.3 -22 *4552:132 *4552:162 2.55357 -23 *4552:162 *26038:B1 20.9429 -24 *4552:162 *4552:178 12.0357 -25 *4552:178 *26168:A_N 22.925 -26 *4552:178 *26026:A 10.2464 -27 *4552:107 *26104:B 15.5679 -28 *4552:105 *26167:A_N 17.5321 -29 *4552:91 *26100:B 15.5679 -30 *4552:89 *4552:220 9.14286 -31 *4552:220 *26003:B 9.72857 -32 *4552:220 *26209:B 22.8357 -33 *4552:86 *26188:B 9.83571 -34 *4552:79 *26212:D_N 15.175 -35 *4552:65 *26202:D_N 13.8 -36 *4552:20 *25971:A 9.83571 -37 *4552:15 *25979:B1 12.4429 -*END - -*D_NET *4553 0.003711 -*CONN -*I *25668:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30310:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25668:A1 0.00104901 -2 *30310:X 0.00104901 -3 *27552:B2 *25668:A1 0.000288984 -4 *27570:A1 *25668:A1 1.74782e-05 -5 *510:26 *25668:A1 5.49544e-05 -6 *3765:30 *25668:A1 0.000616698 -7 *3910:51 *25668:A1 0.000614976 -8 *3922:11 *25668:A1 1.98839e-05 -*RES -1 *30310:X *25668:A1 44.7964 -*END - -*D_NET *4554 0.00315981 -*CONN -*I *28158:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30311:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28158:D 0.000605627 -2 *30311:X 0.000605627 -3 *28158:D *28378:RESET_B 9.46095e-05 -4 *28158:D *5694:43 0.000210415 -5 *1362:90 *28158:D 7.20217e-06 -6 *1470:90 *28158:D 0.000672722 -7 *3503:28 *28158:D 0.000195205 -8 *3779:97 *28158:D 0.000136682 -9 *3818:43 *28158:D 0.000251683 -10 *3922:11 *28158:D 0.000141846 -11 *3922:35 *28158:D 6.05161e-06 -12 *4035:60 *28158:D 0.000196785 -13 *4185:42 *28158:D 3.53533e-05 -*RES -1 *30311:X *28158:D 43.5464 -*END - -*D_NET *4555 0.00258128 -*CONN -*I *25939:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30312:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25939:A0 0.000506382 -2 *30312:X 0.000506382 -3 *25939:A0 *5041:23 0.000387622 -4 *25939:A0 *5052:36 0.00034188 -5 *25939:A0 *5488:79 0.000176621 -6 *28382:CLK *25939:A0 0.000166813 -7 *1263:88 *25939:A0 0.00037262 -8 *1714:23 *25939:A0 7.40571e-05 -9 *3805:47 *25939:A0 4.89019e-05 -*RES -1 *30312:X *25939:A0 38.6 -*END - -*D_NET *4556 0.000872955 -*CONN -*I *28382:D I *D sky130_fd_sc_hd__dfstp_1 -*I *30314:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28382:D 0.000205888 -2 *30314:X 0.000205888 -3 *28382:D *5052:36 0.000178425 -4 *28382:D *5599:125 0.000140516 -5 *4380:8 *28382:D 0.000142237 -*RES -1 *30314:X *28382:D 31.3143 -*END - -*D_NET *4557 0.00113427 -*CONN -*I *26969:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30315:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26969:A1 0.0001314 -2 *30315:X 0.0001314 -3 *26969:A1 *5671:7 4.13595e-05 -4 *26969:A1 *5688:25 0.000347181 -5 *26969:A1 *5688:39 1.21258e-05 -6 *26969:A1 *5891:92 0.000470804 -*RES -1 *30315:X *26969:A1 23.2429 -*END - -*D_NET *4558 0.00181155 -*CONN -*I *28550:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30316:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28550:D 0.000430935 -2 *30316:X 0.000430935 -3 *28550:D *28550:RESET_B 8.71192e-05 -4 *28550:D *5669:251 0.000157465 -5 *28550:D *5715:32 0.000157465 -6 *1235:181 *28550:D 0.000366867 -7 *3680:7 *28550:D 0.000180765 -*RES -1 *30316:X *28550:D 38.7786 -*END - -*D_NET *4559 0.00135403 -*CONN -*I *25650:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30317:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25650:A0 0.000474619 -2 *30317:X 0.000474619 -3 *25650:A0 *25823:A0 2.15442e-05 -4 *25650:A0 *5743:60 7.29712e-05 -5 *1738:106 *25650:A0 0.000216755 -6 *1829:41 *25650:A0 1.90303e-05 -7 *3710:28 *25650:A0 7.44888e-05 -*RES -1 *30317:X *25650:A0 33.6893 -*END - -*D_NET *4560 0.0060122 -*CONN -*I *28143:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30318:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28143:D 0.000109456 -2 *30318:X 0.00137113 -3 *4560:14 0.00148059 -4 *28143:D *28143:RESET_B 0.000178847 -5 *4560:14 *25638:A1 0.000135028 -6 *4560:14 *25824:A0 0.000453574 -7 *4560:14 *5136:11 0.000646635 -8 *4560:14 *5542:21 7.83587e-05 -9 *4560:14 *5740:175 1.73201e-05 -10 *4560:14 *5742:102 9.60939e-05 -11 *24870:B1 *28143:D 0.000101658 -12 *25540:A1 *4560:14 7.6644e-05 -13 *25638:A0 *4560:14 1.38323e-05 -14 *25657:A0 *4560:14 0.000125724 -15 *28085:D *4560:14 6.24743e-05 -16 *28085:RESET_B *4560:14 0.000502494 -17 *28143:CLK *28143:D 6.86693e-05 -18 *30282:A *4560:14 2.04866e-05 -19 *437:23 *4560:14 2.13481e-06 -20 *495:15 *4560:14 0.000135028 -21 *1875:36 *4560:14 0.000323894 -22 *4185:7 *4560:14 1.21258e-05 -*RES -1 *30318:X *4560:14 46.6393 -2 *4560:14 *28143:D 16.3893 -*END - -*D_NET *4561 0.00552292 -*CONN -*I *25709:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25752:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30319:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25709:A0 0.000564405 -2 *25752:A1 0.00013988 -3 *30319:X 0.000599404 -4 *4561:9 0.00130369 -5 *25709:A0 *25709:A1 5.33005e-05 -6 *25709:A0 *28219:RESET_B 5.66647e-05 -7 *25709:A0 *30844:A 0.000433781 -8 *25709:A0 *5583:170 0.000198758 -9 *25709:A0 *5592:10 0.000315894 -10 *25709:A0 *5753:138 6.42242e-05 -11 *4561:9 *28185:D 0.00056654 -12 *4561:9 *5592:10 0 -13 *4561:9 *5700:271 0.00113887 -14 mgmt_gpio_out[0] *4561:9 2.28544e-05 -15 *25752:S *25709:A0 0 -16 *25752:S *25752:A1 6.46481e-05 -*RES -1 *30319:X *4561:9 35.2821 -2 *4561:9 *25752:A1 11.925 -3 *4561:9 *25709:A0 30.3 -*END - -*D_NET *4562 0.0011118 -*CONN -*I *28219:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30320:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28219:D 0.000341106 -2 *30320:X 0.000341106 -3 *28219:D *30561:A 0.000126439 -4 *28219:D *5675:123 9.01334e-05 -5 *28219:D *5694:217 8.47011e-05 -6 *28185:CLK *28219:D 4.87953e-05 -7 *30320:A *28219:D 3.97794e-05 -8 *3634:70 *28219:D 3.97356e-05 -*RES -1 *30320:X *28219:D 33.9571 -*END - -*D_NET *4563 0.00543965 -*CONN -*I *25963:B I *D sky130_fd_sc_hd__and4_1 -*I *25503:B I *D sky130_fd_sc_hd__or3_1 -*I *30623:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25963:B 0.000295963 -2 *25503:B 0 -3 *30623:X 0.00130071 -4 *4563:21 0.00159668 -5 *4563:21 *6214:10 0 -6 *25502:A *25963:B 0.000148189 -7 *25503:C *25963:B 0.000298875 -8 *25503:C *4563:21 1.33343e-05 -9 *26326:A2 *4563:21 2.06112e-05 -10 *26329:A2 *4563:21 0 -11 *26329:C1 *4563:21 2.69405e-05 -12 *30593:A *25963:B 4.98776e-05 -13 *30619:A *4563:21 8.90314e-05 -14 *1956:21 *4563:21 0.000140578 -15 *2187:36 *4563:21 0.000312029 -16 *2269:23 *4563:21 0.000171578 -17 *4242:9 *4563:21 0 -18 *4353:34 *4563:21 0.000133629 -19 *4353:167 *4563:21 7.07676e-05 -20 *4530:10 *4563:21 0.000427129 -21 *4530:14 *4563:21 0.000100823 -22 *4552:15 *25963:B 0.000242906 -*RES -1 *30623:X *4563:21 41.675 -2 *4563:21 *25503:B 9.3 -3 *4563:21 *25963:B 24.4607 -*END - -*D_NET *4564 0.00190377 -*CONN -*I *27143:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30321:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27143:A1 0.000638635 -2 *30321:X 0.000638635 -3 *27143:A1 *27061:A1 0.000259549 -4 *27143:A1 *5523:96 8.00806e-05 -5 *27143:S *27143:A1 7.83587e-05 -6 *30322:A *27143:A1 9.55141e-05 -7 *3943:9 *27143:A1 0.000112995 -*RES -1 *30321:X *27143:A1 36.1536 -*END - -*D_NET *4565 0.00402399 -*CONN -*I *28705:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30322:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28705:D 0.00125794 -2 *30322:X 0.00125794 -3 *28705:D *27097:A1 9.41642e-05 -4 *28705:D *28705:RESET_B 4.82781e-05 -5 *28705:D *5667:230 3.08382e-06 -6 *28705:CLK *28705:D 0.000252495 -7 *30322:A *28705:D 3.63775e-05 -8 *1300:8 *28705:D 0.000381361 -9 *1366:13 *28705:D 0.000309266 -10 *1385:16 *28705:D 0.000383082 -*RES -1 *30322:X *28705:D 46.4036 -*END - -*D_NET *4566 0.00289024 -*CONN -*I *25775:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30323:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25775:A1 0.000630737 -2 *30323:X 0.000630737 -3 *25775:A1 *25877:A0 0.000384814 -4 *1888:27 *25775:A1 0.000562546 -5 *3830:36 *25775:A1 0.000669282 -6 *4065:107 *25775:A1 1.21258e-05 -*RES -1 *30323:X *25775:A1 40.7607 -*END - -*D_NET *4567 0.00324179 -*CONN -*I *28238:D I *D sky130_fd_sc_hd__dfstp_2 -*I *30325:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28238:D 0.000424825 -2 *30325:X 0.000424825 -3 *24868:A *28238:D 5.33005e-05 -4 *25651:A0 *28238:D 0.000401268 -5 *28238:CLK *28238:D 0.000136958 -6 *30325:A *28238:D 4.43256e-05 -7 *1194:14 *28238:D 1.02821e-05 -8 *1324:37 *28238:D 0.000219711 -9 *3871:17 *28238:D 0.000470804 -10 *4159:56 *28238:D 0.00105549 -*RES -1 *30325:X *28238:D 32.8321 -*END - -*D_NET *4568 0.00141723 -*CONN -*I *25674:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30326:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25674:A1 0.000221043 -2 *30326:X 0.000221043 -3 *25674:A1 *28164:RESET_B 5.69386e-05 -4 *25674:A1 *5728:151 0.000175892 -5 *25674:A1 *5740:135 5.40148e-05 -6 *25674:S *25674:A1 2.85234e-05 -7 *30326:A *25674:A1 2.59355e-05 -8 *4116:17 *25674:A1 0.000592973 -9 *4116:36 *25674:A1 4.08637e-05 -*RES -1 *30326:X *25674:A1 24.8857 -*END - -*D_NET *4569 0.00277079 -*CONN -*I *28163:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30327:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28163:D 0.000633337 -2 *30327:X 0.000633337 -3 *28163:D *29106:A 9.85597e-05 -4 *28163:D *5253:28 9.58632e-05 -5 *28163:D *5614:114 0.000137983 -6 *28163:D *5699:66 0.000529608 -7 *30327:A *28163:D 4.37451e-05 -8 *437:23 *28163:D 7.02611e-05 -9 *3165:312 *28163:D 0.000528091 -*RES -1 *30327:X *28163:D 40.7071 -*END - -*D_NET *4570 0.00311417 -*CONN -*I *27005:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30328:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27005:A1 0.00100398 -2 *30328:X 0.00100398 -3 *27005:A1 *5836:300 4.21517e-05 -4 *28901:A *27005:A1 0.000318071 -5 *30328:A *27005:A1 0.000306317 -6 *30329:A *27005:A1 5.91246e-05 -7 *1433:23 *27005:A1 2.30314e-05 -8 *2772:69 *27005:A1 2.3495e-05 -9 *2780:259 *27005:A1 0.000321106 -10 *4076:48 *27005:A1 1.29106e-05 -*RES -1 *30328:X *27005:A1 47.4358 -*END - -*D_NET *4571 0.00136482 -*CONN -*I *28582:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30329:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28582:D 0.000341831 -2 *30329:X 0.000341831 -3 *28582:D *5582:113 0.000153054 -4 *2776:257 *28582:D 0.000375049 -5 *4133:12 *28582:D 0.000153054 -*RES -1 *30329:X *28582:D 35.4214 -*END - -*D_NET *4572 0.0013889 -*CONN -*I *27014:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30330:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27014:A1 0.000231696 -2 *30330:X 0.000231696 -3 *27014:A1 *27023:A1 0.000192628 -4 *27750:B2 *27014:A1 0.000110763 -5 *2773:150 *27014:A1 5.33005e-05 -6 *3179:205 *27014:A1 0.000303362 -7 *3745:26 *27014:A1 0.000265453 -*RES -1 *30330:X *27014:A1 25.2964 -*END - -*D_NET *4573 0.00102659 -*CONN -*I *28590:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30331:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28590:D 0.000230974 -2 *30331:X 0.000230974 -3 *27041:S *28590:D 0.000216755 -4 *27766:B *28590:D 0.000173083 -5 *4122:8 *28590:D 0.000174805 -*RES -1 *30331:X *28590:D 32.0286 -*END - -*D_NET *4574 0.0275318 -*CONN -*I *25271:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30624:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25271:A1 0 -2 *30624:X 0.00181058 -3 *4574:47 0.00209457 -4 *4574:37 0.00532778 -5 *4574:20 0.00504378 -6 *4574:20 *25524:A 1.33434e-05 -7 *4574:20 *27098:A1 1.44566e-05 -8 *4574:20 *27224:A 0.000181796 -9 *4574:20 *27224:B 0.000284055 -10 *4574:20 *5753:28 9.55761e-05 -11 *4574:20 *6383:46 2.95642e-05 -12 *4574:37 *25018:A1 0.000132862 -13 *4574:37 *27079:A1 0.000304703 -14 *4574:37 *27225:A1 4.00658e-06 -15 *4574:37 *27231:A1 0.000181796 -16 *4574:37 *5718:70 0.000448031 -17 *4574:37 *5926:171 0.000129384 -18 *4574:37 *5998:11 0.000529686 -19 *4574:37 *6104:19 0.00147229 -20 *4574:37 *6314:11 5.09402e-05 -21 *4574:37 *6314:51 8.6406e-05 -22 *4574:37 *6382:10 9.60875e-05 -23 *4574:37 *6385:32 4.62739e-05 -24 *4574:47 *25124:A1 0.000120754 -25 *4574:47 *26838:B 8.42091e-05 -26 *4574:47 *26840:A0 1.94945e-05 -27 *4574:47 *5581:25 9.87983e-06 -28 *4574:47 *5667:113 4.41831e-05 -29 *4574:47 *5694:133 0.000152036 -30 *4574:47 *5707:244 0 -31 *4574:47 *6314:37 0.000163117 -32 *4574:47 *6319:10 8.50207e-06 -33 *4574:47 *6325:12 4.99622e-05 -34 *4574:47 *6338:41 0.000230972 -35 *4574:47 *6345:13 2.22674e-05 -36 *4574:47 *6350:26 0.00010235 -37 *4574:47 *6350:35 9.15877e-05 -38 *25049:B1 *4574:20 0.000428341 -39 *25271:B1 *4574:47 5.52302e-05 -40 *25271:B2 *4574:47 9.54798e-06 -41 *26838:A *4574:47 4.15526e-05 -42 *26842:S *4574:37 3.05874e-05 -43 *27079:S *4574:37 0.00035281 -44 *27231:A2 *4574:20 0.000109709 -45 *27247:A2 *4574:47 0 -46 *27398:A1 *4574:47 0.000574632 -47 *27594:S *4574:47 0 -48 *28665:D *4574:20 6.57026e-05 -49 *28770:D *4574:37 0.000134362 -50 *28786:CLK *4574:37 0.000705876 -51 *28791:D *4574:47 0.000141999 -52 *29502:A *4574:47 0 -53 *29876:A *4574:37 0.00132649 -54 *30296:A *4574:20 2.04558e-05 -55 *30454:A *4574:47 8.7619e-05 -56 *30591:A *4574:20 0.000149445 -57 *30624:A *4574:20 8.43535e-06 -58 *19:25 *4574:20 0.000469064 -59 *26:17 *4574:20 0.000174819 -60 *244:64 *4574:20 0.000949747 -61 *1218:123 *4574:47 9.90431e-05 -62 *1245:116 *4574:37 0.000453535 -63 *1254:67 *4574:20 0.000469079 -64 *1290:89 *4574:37 5.68513e-05 -65 *2751:14 *4574:47 3.48731e-05 -66 *2782:27 *4574:47 9.75253e-05 -67 *2805:13 *4574:20 0.000669576 -68 *2806:9 *4574:37 4.37992e-05 -69 *2817:52 *4574:47 0 -70 *2831:17 *4574:47 0.000107313 -71 *4352:19 *4574:47 0.000186546 -*RES -1 *30624:X *4574:20 43.805 -2 *4574:20 *4574:37 47.6642 -3 *4574:37 *4574:47 48.3475 -4 *4574:47 *25271:A1 9.3 -*END - -*D_NET *4575 0.0057225 -*CONN -*I *25503:A I *D sky130_fd_sc_hd__or3_1 -*I *25963:A I *D sky130_fd_sc_hd__and4_1 -*I *30625:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25503:A 0.000132945 -2 *25963:A 0 -3 *30625:X 0.00135996 -4 *4575:8 0.0014929 -5 *25503:C *25503:A 7.32272e-05 -6 *25511:A *25503:A 2.89016e-05 -7 *30592:A *25503:A 9.6607e-05 -8 *30592:A *4575:8 6.04391e-05 -9 *30625:A *4575:8 0.00201335 -10 *2046:51 *4575:8 6.90348e-06 -11 *2272:15 *4575:8 0.000168187 -12 *4508:42 *4575:8 8.51784e-05 -13 *4552:15 *25503:A 0.000194348 -14 *4552:15 *4575:8 9.54798e-06 -*RES -1 *30625:X *4575:8 39.2821 -2 *4575:8 *25963:A 13.8 -3 *4575:8 *25503:A 17.7107 -*END - -*D_NET *4576 0.00248152 -*CONN -*I *25935:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30332:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25935:A1 0.000715994 -2 *30332:X 0.000715994 -3 *25935:A1 *28379:RESET_B 0.000102804 -4 *25935:A1 *5650:75 7.27549e-05 -5 *27925:B2 *25935:A1 0.000634451 -6 *3749:108 *25935:A1 0.000136928 -7 *3819:16 *25935:A1 8.6229e-06 -8 *3832:43 *25935:A1 6.75979e-05 -9 *4023:18 *25935:A1 2.6371e-05 -*RES -1 *30332:X *25935:A1 38.9571 -*END - -*D_NET *4577 0.00122555 -*CONN -*I *28379:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30333:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28379:D 0.000317952 -2 *30333:X 0.000317952 -3 *30333:A *28379:D 0.000122812 -4 *1730:19 *28379:D 0.000342302 -5 *4087:26 *28379:D 0.000124534 -*RES -1 *30333:X *28379:D 32.6536 -*END - -*D_NET *4578 0.00112835 -*CONN -*I *26942:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30334:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26942:A1 0.000189853 -2 *30334:X 0.000189853 -3 *26942:A1 *5689:85 0.000211652 -4 *27766:B *26942:A1 0.000104778 -5 *30334:A *26942:A1 6.98302e-05 -6 *2759:68 *26942:A1 0.00011802 -7 *4122:8 *26942:A1 0.000244366 -*RES -1 *30334:X *26942:A1 38.8076 -*END - -*D_NET *4579 0.00273316 -*CONN -*I *28526:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30336:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28526:D 0.000533799 -2 *30336:X 0.000533799 -3 *28526:D *28526:RESET_B 0.000585696 -4 *28526:D *5810:115 5.52238e-05 -5 *28526:D *5836:300 0.000123852 -6 *28526:CLK *28526:D 0.000416483 -7 *2780:259 *28526:D 0.000125369 -8 *3590:45 *28526:D 0.000342302 -9 *4122:7 *28526:D 1.66373e-05 -*RES -1 *30336:X *28526:D 40.5821 -*END - -*D_NET *4580 0.00130381 -*CONN -*I *27077:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30337:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27077:A1 0.000360053 -2 *30337:X 0.000360053 -3 *27077:A1 *5641:162 0.000426985 -4 *27077:A1 *5704:18 7.83587e-05 -5 *4043:58 *27077:A1 7.83587e-05 -*RES -1 *30337:X *27077:A1 32.8679 -*END - -*D_NET *4581 0.00131689 -*CONN -*I *28646:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30338:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28646:D 0.00037716 -2 *30338:X 0.00037716 -3 *28646:D *5836:300 0.000100823 -4 *3581:118 *28646:D 0.000426978 -5 *3590:12 *28646:D 3.47737e-05 -*RES -1 *30338:X *28646:D 33.1714 -*END - -*D_NET *4582 0.000271162 -*CONN -*I *26906:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30339:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26906:A1 7.33141e-05 -2 *30339:X 7.33141e-05 -3 *26906:A1 *5891:76 0 -4 *4096:32 *26906:A1 0.000124534 -*RES -1 *30339:X *26906:A1 29.2429 -*END - -*D_NET *4583 0.00254339 -*CONN -*I *28494:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30340:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28494:D 0.000619892 -2 *30340:X 0.000619892 -3 *28494:D *26906:A0 5.49489e-05 -4 *28494:D *5689:117 0.000137463 -5 *28494:D *5762:163 0.000135028 -6 *28494:D *5832:8 0.00012501 -7 *25202:B2 *28494:D 0.000216755 -8 *26906:S *28494:D 0.000430819 -9 *30340:A *28494:D 7.66031e-05 -10 *3413:16 *28494:D 3.69047e-06 -11 *3803:40 *28494:D 0.000123288 -*RES -1 *30340:X *28494:D 40.4571 -*END - -*D_NET *4584 0.00210606 -*CONN -*I *26911:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30341:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26911:A1 0.000366442 -2 *30341:X 0.000366442 -3 *26911:A1 *26911:S 9.25014e-06 -4 *26911:A1 *28682:D 0.000128161 -5 *26911:A1 *5725:10 0.000171688 -6 *26911:A1 *5725:17 0.000137062 -7 *26911:A1 *5769:44 5.33005e-05 -8 *26911:A1 *5879:19 0.000873714 -*RES -1 *30341:X *26911:A1 39.4929 -*END - -*D_NET *4585 0.00161017 -*CONN -*I *28498:D I *D sky130_fd_sc_hd__dfstp_2 -*I *30342:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28498:D 0.000617177 -2 *30342:X 0.000617177 -3 *28498:D *28498:SET_B 4.70821e-05 -4 *28498:D *28744:D 2.11646e-05 -5 *28498:CLK *28498:D 0.000231996 -6 *3998:13 *28498:D 7.55747e-05 -*RES -1 *30342:X *28498:D 37.0107 -*END - -*D_NET *4586 0.0242823 -*CONN -*I *25508:C_N I *D sky130_fd_sc_hd__or4bb_1 -*I *30626:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25508:C_N 0.000317006 -2 *30626:X 0.00120455 -3 *4586:37 0.00285912 -4 *4586:21 0.00390555 -5 *4586:17 0.00256798 -6 *25508:C_N *25508:D_N 5.71472e-05 -7 *4586:17 *4985:11 0.000183713 -8 *4586:17 *5019:14 0.0017551 -9 *4586:17 *5408:19 0.00105513 -10 *4586:17 *5457:30 0.000293036 -11 *4586:17 *5460:23 0.000230482 -12 *4586:21 *27999:A2 0.000198352 -13 *4586:21 *4774:19 0.00087884 -14 *4586:21 *4785:17 0.000469368 -15 *4586:21 *4985:11 0.000182908 -16 *4586:37 *27987:B1 0.00155578 -17 *4586:37 *27988:B2 2.17985e-05 -18 *4586:37 *27999:A2 0.000123829 -19 *4586:37 *27999:B2 2.89016e-05 -20 *4586:37 *28003:B1 0.000380759 -21 *4586:37 *28008:B1 2.72228e-05 -22 *4586:37 *4608:17 0.000171614 -23 *4586:37 *4797:11 0.000613624 -24 *4586:37 *4841:27 5.7705e-05 -25 *4586:37 *4874:16 0.000146016 -26 *4586:37 *4885:31 0.00191551 -27 *4586:37 *5674:8 1.68854e-05 -28 *4586:37 *6214:51 0.000996571 -29 *4586:37 *6376:80 0 -30 *4586:37 *6376:121 1.00073e-05 -31 *4586:37 *6377:113 7.69776e-06 -32 *25508:A *25508:C_N 9.80173e-05 -33 *26786:D *25508:C_N 0.000233917 -34 *28000:C1 *4586:37 2.13481e-06 -35 *29681:A *4586:17 0.000130751 -36 *30626:A *4586:17 0.000296564 -37 *30639:A *4586:37 7.44444e-05 -38 *30640:A *4586:21 9.90367e-05 -39 *30641:A *4586:21 5.52238e-05 -40 *30642:A *4586:21 1.59901e-05 -41 *30644:A *4586:21 9.90431e-05 -42 *30645:A *4586:21 0.000142856 -43 *30648:A *4586:21 9.90367e-05 -44 *30650:A *4586:21 9.83442e-05 -45 *30651:A *4586:37 0.000170064 -46 *30652:A *4586:21 5.52302e-05 -47 *30661:A *4586:37 0 -48 *30703:A *4586:17 0 -49 *30756:A *4586:17 2.04423e-05 -50 *286:17 *4586:37 0 -51 *2056:53 *25508:C_N 0.000358971 -*RES -1 *30626:X *4586:17 49.4071 -2 *4586:17 *4586:21 30.9464 -3 *4586:21 *4586:37 48.7911 -4 *4586:37 *25508:C_N 17.6393 -*END - -*D_NET *4587 0.00135868 -*CONN -*I *25875:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30343:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25875:A1 0.000526751 -2 *30343:X 0.000526751 -3 *25875:A1 *5686:15 0.000119208 -4 *3962:35 *25875:A1 0.000185967 -*RES -1 *30343:X *25875:A1 24.8857 -*END - -*D_NET *4588 0.00163172 -*CONN -*I *28329:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30344:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28329:D 0.000295079 -2 *30344:X 0.000295079 -3 *28329:D *6036:46 0.00034918 -4 *28329:CLK *28329:D 7.02611e-05 -5 *1247:25 *28329:D 0.000136676 -6 *3687:42 *28329:D 0.000347466 -7 *3806:74 *28329:D 0.000137983 -*RES -1 *30344:X *28329:D 34.9929 -*END - -*D_NET *4589 0.00273224 -*CONN -*I *27062:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30345:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27062:A1 0.000393738 -2 *30345:X 0.000393738 -3 *27062:A1 *27252:A 0.000377196 -4 *27062:A1 *4685:19 7.57144e-05 -5 *27062:A1 *4685:23 0.000136159 -6 *27062:A1 *5530:32 1.38323e-05 -7 *27062:A1 *5675:284 0.000184745 -8 *27062:A1 *6385:139 0 -9 *27061:S *27062:A1 5.52238e-05 -10 *28704:CLK *27062:A1 5.52302e-05 -11 *30345:A *27062:A1 9.41642e-05 -12 *1250:122 *27062:A1 8.11273e-05 -13 *1271:49 *27062:A1 0.000479704 -14 *2778:203 *27062:A1 5.52302e-05 -15 *2835:15 *27062:A1 0.00033644 -*RES -1 *30345:X *27062:A1 40.6357 -*END - -*D_NET *4590 0.00158314 -*CONN -*I *28633:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30347:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28633:D 0.000633501 -2 *30347:X 0.000633501 -3 *28633:D *27044:A0 3.92854e-05 -4 *28633:D *5694:183 0.000172146 -5 *28633:D *5970:43 1.65169e-05 -6 *25015:A2 *28633:D 3.92854e-05 -7 *27267:B *28633:D 2.01553e-05 -8 *28617:CLK *28633:D 2.87452e-05 -*RES -1 *30347:X *28633:D 35.3902 -*END - -*D_NET *4591 0.00122933 -*CONN -*I *27044:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30348:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27044:A1 0.000222078 -2 *30348:X 0.000222078 -3 *30348:A *27044:A1 9.90367e-05 -4 *2776:15 *27044:A1 0.000330112 -5 *3163:20 *27044:A1 0.000356028 -*RES -1 *30348:X *27044:A1 24.8857 -*END - -*D_NET *4592 0.00233597 -*CONN -*I *28617:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30349:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28617:D 0.000671373 -2 *30349:X 0.000671373 -3 *28617:D *5608:42 0.000862242 -4 *2827:37 *28617:D 0 -5 *2835:15 *28617:D 2.8266e-06 -6 *3147:27 *28617:D 0.000128154 -*RES -1 *30349:X *28617:D 37.5821 -*END - -*D_NET *4593 0.00279251 -*CONN -*I *25738:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25682:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30350:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25738:A1 0 -2 *25682:A0 0.000431689 -3 *30350:X 0.000204983 -4 *4593:11 0.000636672 -5 *25682:A0 *28206:D 7.8327e-05 -6 *25682:A0 *5588:98 1.00375e-05 -7 *25682:A0 *5702:214 0.000613026 -8 *4593:11 *25738:A0 0.000217177 -9 *4593:11 *30350:A 0.000398822 -10 *4593:11 *30880:A 5.71472e-05 -11 *28207:D *25682:A0 0.000144633 -12 *30006:A *25682:A0 0 -13 *3611:57 *25682:A0 0 -*RES -1 *30350:X *4593:11 15.3357 -2 *4593:11 *25682:A0 28.55 -3 *4593:11 *25738:A1 9.3 -*END - -*D_NET *4594 0.0016164 -*CONN -*I *28206:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30351:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28206:D 0.000341547 -2 *30351:X 0.000341547 -3 *28206:D *30350:A 0.000128161 -4 *28206:D *30880:A 0.000176234 -5 *28206:D *5893:101 1.09026e-05 -6 *25682:A0 *28206:D 7.8327e-05 -7 *30006:A *28206:D 0.00021141 -8 *30701:A *28206:D 0.000146474 -9 *4226:23 *28206:D 0.000181796 -*RES -1 *30351:X *28206:D 36.475 -*END - -*D_NET *4595 0.00045219 -*CONN -*I *25893:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30352:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25893:A0 8.67823e-05 -2 *30352:X 8.67823e-05 -3 *25893:A0 *25893:A1 2.84026e-05 -4 *30353:A *25893:A0 2.59355e-05 -5 *1653:35 *25893:A0 0.000147125 -6 *2778:66 *25893:A0 3.22304e-05 -7 *4053:12 *25893:A0 4.49318e-05 -*RES -1 *30352:X *25893:A0 30.0821 -*END - -*D_NET *4596 0.00362577 -*CONN -*I *28344:D I *D sky130_fd_sc_hd__dfstp_1 -*I *30353:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28344:D 0.00120556 -2 *30353:X 0.00120556 -3 *28344:D *28344:SET_B 0.000173861 -4 *28344:D *5483:145 9.65182e-05 -5 *25311:A1 *28344:D 0.000108437 -6 *28344:CLK *28344:D 0.00038 -7 *30353:A *28344:D 0.000103028 -8 *2865:44 *28344:D 7.7807e-05 -9 *3212:44 *28344:D 9.25014e-06 -10 *3794:33 *28344:D 0.000178847 -11 *3816:43 *28344:D 3.95546e-05 -12 *4053:12 *28344:D 4.73514e-05 -*RES -1 *30353:X *28344:D 46.3679 -*END - -*D_NET *4597 0.00543592 -*CONN -*I *27987:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *30627:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *27987:B1 0.00120109 -2 *30627:X 0.00120109 -3 *27987:B1 *27987:A2 1.8038e-05 -4 *27987:B1 *28003:B1 0.00110614 -5 *27987:B1 *4608:17 8.57184e-05 -6 *27987:B1 *4874:16 0.000213652 -7 *27987:B1 *5674:16 4.15526e-05 -8 *30616:A *27987:B1 1.28585e-05 -9 *4586:37 *27987:B1 0.00155578 -*RES -1 *30627:X *27987:B1 39.1437 -*END - -*D_NET *4598 0.00240093 -*CONN -*I *25801:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30354:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25801:A1 0.000502944 -2 *30354:X 0.000502944 -3 *25801:A1 *28260:D 0.00011594 -4 *1872:40 *25801:A1 5.33005e-05 -5 *1872:45 *25801:A1 9.58126e-05 -6 *2789:44 *25801:A1 1.20729e-05 -7 *3558:17 *25801:A1 0.000176601 -8 *3739:36 *25801:A1 0.000180564 -9 *4103:8 *25801:A1 0.000138854 -10 *4103:40 *25801:A1 0.00019064 -11 *4127:84 *25801:A1 7.32173e-05 -12 *4160:98 *25801:A1 0.00017754 -13 *4487:24 *25801:A1 0.000180496 -*RES -1 *30354:X *25801:A1 38.7964 -*END - -*D_NET *4599 0.00331602 -*CONN -*I *28260:D I *D sky130_fd_sc_hd__dfstp_2 -*I *30355:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28260:D 0.000766152 -2 *30355:X 0.000766152 -3 *28260:D *28260:SET_B 8.06317e-05 -4 *28260:D *5901:57 0.000522037 -5 *25416:A2 *28260:D 0.000135028 -6 *25775:S *28260:D 5.33005e-05 -7 *25801:A1 *28260:D 0.00011594 -8 *27938:A1 *28260:D 2.09655e-05 -9 *27938:B1 *28260:D 9.61591e-05 -10 *27938:C1 *28260:D 3.04311e-05 -11 *1872:10 *28260:D 0.000100833 -12 *2789:44 *28260:D 0.000460318 -13 *2894:106 *28260:D 1.08612e-05 -14 *3479:8 *28260:D 8.97264e-05 -15 *4103:7 *28260:D 5.33005e-05 -16 *4103:8 *28260:D 1.41885e-05 -*RES -1 *30355:X *28260:D 44.1893 -*END - -*D_NET *4600 0.00168115 -*CONN -*I *25867:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30356:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25867:A0 0.000443442 -2 *30356:X 0.000443442 -3 *25867:A0 *5651:176 0.000511246 -4 *25867:A0 *5699:10 0.000125731 -5 *25867:A0 *5839:26 9.10431e-06 -6 *3192:118 *25867:A0 0.000148189 -*RES -1 *30356:X *25867:A0 34.6 -*END - -*D_NET *4601 0.00194802 -*CONN -*I *28312:D I *D sky130_fd_sc_hd__dfstp_2 -*I *30358:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28312:D 0.00047541 -2 *30358:X 0.00047541 -3 *28312:D *5839:26 1.90936e-05 -4 *28312:D *5866:17 6.58734e-05 -5 *30241:A *28312:D 0.000288262 -6 *30358:A *28312:D 2.10292e-05 -7 *3450:13 *28312:D 0.00010487 -8 *3480:11 *28312:D 6.82912e-05 -9 *4142:26 *28312:D 0.000429786 -*RES -1 *30358:X *28312:D 37.5107 -*END - -*D_NET *4602 0.000636263 -*CONN -*I *27157:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30359:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27157:A0 0.000229672 -2 *30359:X 0.000229672 -3 *27938:B2 *27157:A0 8.00806e-05 -4 *2789:27 *27157:A0 2.94055e-05 -5 *2834:142 *27157:A0 2.04825e-05 -6 *4065:107 *27157:A0 4.69504e-05 -*RES -1 *30359:X *27157:A0 30.8143 -*END - -*D_NET *4603 0.00352423 -*CONN -*I *28717:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30360:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28717:D 0.000950099 -2 *30360:X 0.000950099 -3 *27557:B2 *28717:D 0.000175892 -4 *27558:A2 *28717:D 8.37343e-05 -5 *30359:A *28717:D 0.000177815 -6 *30360:A *28717:D 8.25843e-06 -7 *374:18 *28717:D 0.000175892 -8 *2789:27 *28717:D 0.000300343 -9 *2894:99 *28717:D 0.000298622 -10 *3212:99 *28717:D 1.98839e-05 -11 *3935:32 *28717:D 2.88018e-06 -12 *4194:111 *28717:D 0.000288316 -13 *4195:36 *28717:D 9.23975e-05 -*RES -1 *30360:X *28717:D 44.6536 -*END - -*D_NET *4604 0.00165082 -*CONN -*I *25678:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25736:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30361:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25678:A0 1.69531e-05 -2 *25736:A1 0.000185982 -3 *30361:X 4.3125e-05 -4 *4604:6 0.00024606 -5 *25678:A0 *25678:S 5.33005e-05 -6 *25736:A1 *25678:A1 1.58163e-05 -7 *25736:A1 *28170:RESET_B 0.000219592 -8 *25736:A1 *5461:32 0.000359967 -9 *25736:A1 *5716:174 1.58163e-05 -10 *25736:A1 *5776:79 0.000148196 -11 *4604:6 *5461:32 0.000150625 -12 *4604:6 *5776:79 0.00014209 -13 *24841:A *25678:A0 5.33005e-05 -*RES -1 *30361:X *4604:6 15.7464 -2 *4604:6 *25736:A1 19.425 -3 *4604:6 *25678:A0 14.3357 -*END - -*D_NET *4605 0.00136701 -*CONN -*I *28204:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30362:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28204:D 0.000269401 -2 *30362:X 0.000269401 -3 *28204:D *28170:D 0.000340066 -4 *28204:D *5588:130 0.000222878 -5 *29274:A *28204:D 0.00022459 -6 *30362:A *28204:D 4.06782e-05 -*RES -1 *30362:X *28204:D 34.1536 -*END - -*D_NET *4606 0.0020566 -*CONN -*I *27110:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30363:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27110:A1 0.000344706 -2 *30363:X 0.000344706 -3 *27110:A1 *5629:166 0.00032756 -4 *27110:A1 *5646:232 2.59093e-05 -5 *27110:A1 *5692:47 0.000297333 -6 *2779:80 *27110:A1 6.79442e-05 -7 *2784:94 *27110:A1 0.000286046 -8 *2784:112 *27110:A1 5.33005e-05 -9 *3924:53 *27110:A1 0.000309096 -*RES -1 *30363:X *27110:A1 37.85 -*END - -*D_NET *4607 0.00168358 -*CONN -*I *28675:D I *D sky130_fd_sc_hd__dfstp_1 -*I *30364:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28675:D 0.000416019 -2 *30364:X 0.000416019 -3 *28675:D *5655:217 0.000289407 -4 *27690:B1 *28675:D 2.26973e-05 -5 *1258:49 *28675:D 1.05952e-05 -6 *1261:177 *28675:D 8.21056e-05 -7 *1267:83 *28675:D 4.26902e-05 -8 *2784:112 *28675:D 2.11419e-05 -9 *3164:346 *28675:D 0.000288746 -10 *4003:20 *28675:D 9.41642e-05 -*RES -1 *30364:X *28675:D 41.0582 -*END - -*D_NET *4608 0.00590561 -*CONN -*I *27993:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *30628:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *27993:B1 0 -2 *30628:X 0.000895434 -3 *4608:17 0.000895434 -4 *4608:17 *25852:A 0.000142856 -5 *4608:17 *25852:C 8.50158e-05 -6 *4608:17 *27990:A2 9.90367e-05 -7 *4608:17 *27993:A1 3.45371e-05 -8 *4608:17 *28008:B1 2.44318e-05 -9 *4608:17 *4885:31 0.000694603 -10 *4608:17 *6214:32 0.000569313 -11 *4608:17 *6214:51 9.6422e-05 -12 *4608:17 *6377:87 4.38128e-05 -13 *4608:17 *6377:91 0.000315165 -14 *4608:17 *6378:62 1.21289e-05 -15 *27987:B1 *4608:17 8.57184e-05 -16 *30627:A *4608:17 0 -17 *30639:A *4608:17 9.59532e-06 -18 *30824:A *4608:17 0.000225616 -19 *286:17 *4608:17 0.00144138 -20 *290:21 *4608:17 1.01912e-05 -21 *291:18 *4608:17 5.33005e-05 -22 *4586:37 *4608:17 0.000171614 -*RES -1 *30628:X *4608:17 42.1842 -2 *4608:17 *27993:B1 9.3 -*END - -*D_NET *4609 0.0021606 -*CONN -*I *27065:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30365:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27065:A1 0.000552196 -2 *30365:X 0.000552196 -3 *28899:A *27065:A1 0.000548274 -4 *30365:A *27065:A1 0.00017991 -5 *3306:6 *27065:A1 0.000253968 -6 *3833:13 *27065:A1 7.40571e-05 -*RES -1 *30365:X *27065:A1 36.5286 -*END - -*D_NET *4610 0.0015131 -*CONN -*I *28635:D I *D sky130_fd_sc_hd__dfstp_1 -*I *30366:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28635:D 0.000402231 -2 *30366:X 0.000402231 -3 *28635:D *5795:78 0.000245222 -4 *28574:CLK *28635:D 6.0038e-05 -5 *3162:18 *28635:D 5.83304e-05 -6 *3598:21 *28635:D 9.77069e-05 -7 *4080:41 *28635:D 0.000247339 -*RES -1 *30366:X *28635:D 43.7964 -*END - -*D_NET *4611 0.00439362 -*CONN -*I *25733:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30367:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25733:A1 0.000636122 -2 *30367:X 0.000636122 -3 *25733:A1 *28014:B2 0.000167456 -4 *25733:A1 *28981:A 0.000594937 -5 *25733:A1 *30001:A 0.00026353 -6 *25733:A1 *5689:30 0.000280039 -7 *25733:A1 *5763:154 0 -8 *25733:A1 *6192:13 0.00167108 -9 *28014:B1 *25733:A1 0.000144336 -10 *1174:68 *25733:A1 0 -11 *3535:13 *25733:A1 0 -*RES -1 *30367:X *25733:A1 48.5464 -*END - -*D_NET *4612 0.0018051 -*CONN -*I *28202:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30370:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28202:D 0.000449061 -2 *30370:X 0.000449061 -3 *28202:D *5684:14 0.000117543 -4 *28001:A0 *28202:D 0.000302877 -5 *30370:A *28202:D 0.000310651 -6 *30413:A *28202:D 9.74907e-05 -7 *3578:56 *28202:D 7.84126e-05 -*RES -1 *30370:X *28202:D 36.85 -*END - -*D_NET *4613 0.00229343 -*CONN -*I *26881:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30371:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26881:A0 0.00036639 -2 *30371:X 0.00036639 -3 *26881:A0 *27811:B1 6.10411e-05 -4 *27811:A2 *26881:A0 5.53898e-05 -5 *27811:B2 *26881:A0 5.33005e-05 -6 *30371:A *26881:A0 0.000221894 -7 *2758:74 *26881:A0 4.97967e-05 -8 *2758:90 *26881:A0 6.57032e-05 -9 *3574:28 *26881:A0 0.000875097 -10 *3669:9 *26881:A0 0.000178425 -*RES -1 *30371:X *26881:A0 28.9929 -*END - -*D_NET *4614 0.00212192 -*CONN -*I *28472:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30372:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28472:D 0.000567902 -2 *30372:X 0.000567902 -3 *28472:D *28472:RESET_B 2.29097e-05 -4 *28472:D *5584:80 0.000302012 -5 *1290:179 *28472:D 1.02936e-05 -6 *3669:63 *28472:D 9.60875e-05 -7 *4185:60 *28472:D 0.000554811 -*RES -1 *30372:X *28472:D 30.7786 -*END - -*D_NET *4615 0.0017508 -*CONN -*I *27137:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30373:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27137:A1 0.000530902 -2 *30373:X 0.000530902 -3 *27137:A1 *5649:217 0.000119704 -4 *27137:S *27137:A1 0.000135028 -5 *27682:C *27137:A1 3.51368e-05 -6 *1260:91 *27137:A1 0.000135028 -7 *3177:117 *27137:A1 8.71761e-06 -8 *3177:121 *27137:A1 0.000198705 -9 *3846:34 *27137:A1 5.66795e-05 -*RES -1 *30373:X *27137:A1 36.4929 -*END - -*D_NET *4616 0.00151907 -*CONN -*I *28699:D I *D sky130_fd_sc_hd__dfstp_1 -*I *30374:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28699:D 0.000331597 -2 *30374:X 0.000331597 -3 *1260:91 *28699:D 0.000257619 -4 *3781:22 *28699:D 0.00030591 -5 *4044:13 *28699:D 0.000116971 -6 *4115:28 *28699:D 1.10978e-05 -7 *4115:30 *28699:D 0.00016428 -*RES -1 *30374:X *28699:D 34.2607 -*END - -*D_NET *4617 0.00540245 -*CONN -*I *25938:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30375:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25938:A0 0 -2 *30375:X 0.00139138 -3 *4617:23 0.00139138 -4 *4617:23 *27593:A2 0 -5 *4617:23 *28380:RESET_B 3.69047e-06 -6 *4617:23 *29577:A 6.62655e-05 -7 *4617:23 *5149:22 0.000468476 -8 *4617:23 *5599:158 0.000320597 -9 *4617:23 *5691:229 4.79843e-05 -10 *4617:23 *5706:219 5.33005e-05 -11 *25938:S *4617:23 2.59355e-05 -12 *27853:B2 *4617:23 0.000245134 -13 *28811:CLK *4617:23 7.43578e-06 -14 *473:29 *4617:23 0.000447916 -15 *1264:162 *4617:23 0.000117095 -16 *1481:46 *4617:23 1.58163e-05 -17 *3460:21 *4617:23 2.35126e-05 -18 *3661:31 *4617:23 0.000160526 -19 *4010:21 *4617:23 0.000161178 -20 *4371:19 *4617:23 0.000454834 -*RES -1 *30375:X *4617:23 43.4581 -2 *4617:23 *25938:A0 9.3 -*END - -*D_NET *4618 0.00729407 -*CONN -*I *28381:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30376:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28381:D 0 -2 *30376:X 0.00156376 -3 *4618:21 0.00156376 -4 *4618:21 *5485:102 5.93711e-05 -5 *4618:21 *5522:32 0.000238325 -6 *4618:21 *5523:41 5.75311e-05 -7 *4618:21 *5680:343 3.82172e-05 -8 *4618:21 *5691:252 0.000269591 -9 *4618:21 *5933:17 0.00124382 -10 *25918:A *4618:21 0 -11 *29177:A *4618:21 0.000154463 -12 *472:8 *4618:21 8.17344e-05 -13 *714:23 *4618:21 0.000105141 -14 *1264:22 *4618:21 0.00111782 -15 *1264:41 *4618:21 0.000409176 -16 *3460:21 *4618:21 0.000220456 -17 *3571:89 *4618:21 0.000170908 -*RES -1 *30376:X *4618:21 44.0514 -2 *4618:21 *28381:D 9.3 -*END - -*D_NET *4619 0.00846723 -*CONN -*I *27996:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *30629:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *27996:B1 0 -2 *30629:X 0.00139555 -3 *4619:21 0.00139555 -4 *4619:21 *25734:A0 5.33005e-05 -5 *4619:21 *26000:B 0.000375667 -6 *4619:21 *27996:B2 4.15526e-05 -7 *4619:21 *28003:B1 2.59355e-05 -8 *4619:21 *28982:A 6.05161e-06 -9 *4619:21 *4919:27 6.83556e-05 -10 *4619:21 *5724:6 8.97113e-05 -11 *4619:21 *5726:20 5.26224e-05 -12 *4619:21 *5878:8 4.00349e-05 -13 *30629:A *4619:21 0.000286766 -14 *30631:A *4619:21 0.00074543 -15 *30654:A *4619:21 0.000163749 -16 *30655:A *4619:21 5.60681e-05 -17 *290:21 *4619:21 0.00242416 -18 *291:18 *4619:21 0.00119031 -19 *1880:11 *4619:21 4.08232e-05 -20 *3523:16 *4619:21 1.55885e-05 -*RES -1 *30629:X *4619:21 46.0069 -2 *4619:21 *27996:B1 9.3 -*END - -*D_NET *4620 0.0055086 -*CONN -*I *25774:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30377:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25774:A1 0.00131457 -2 *30377:X 0.00131457 -3 *25774:A1 *25461:A2 2.72228e-05 -4 *25774:A1 *25675:A0 0.000733541 -5 *25774:A1 *5614:100 0.000592363 -6 *25774:A1 *5699:41 8.36572e-05 -7 *25774:A1 *5699:45 0.000309266 -8 *25774:A1 *5742:111 0.000316852 -9 *1872:31 *25774:A1 2.06112e-05 -10 *3711:34 *25774:A1 6.28203e-05 -11 *3831:83 *25774:A1 1.90936e-05 -12 *4185:30 *25774:A1 0.000714038 -*RES -1 *30377:X *25774:A1 49.7629 -*END - -*D_NET *4621 0.0013544 -*CONN -*I *28237:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30378:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28237:D 0.000329158 -2 *30378:X 0.000329158 -3 *28237:D *28281:D 0.000117693 -4 *28237:D *5253:28 9.58632e-05 -5 *28237:D *5740:162 3.14078e-05 -6 *30400:A *28237:D 5.19752e-05 -7 *434:13 *28237:D 0.000110029 -8 *1440:56 *28237:D 9.13038e-05 -9 *1766:33 *28237:D 9.04729e-05 -10 *3710:28 *28237:D 0.000107338 -*RES -1 *30378:X *28237:D 35.1 -*END - -*D_NET *4622 0.00209182 -*CONN -*I *26962:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30379:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26962:A1 0.000543101 -2 *30379:X 0.000543101 -3 *26962:A1 *26971:A1 1.21258e-05 -4 *26962:A1 *28544:D 0.000126797 -5 *26962:S *26962:A1 0.000425959 -6 *30379:A *26962:A1 2.14658e-05 -7 *1274:172 *26962:A1 0.000316238 -8 *2776:144 *26962:A1 0.000103032 -*RES -1 *30379:X *26962:A1 37.1357 -*END - -*D_NET *4623 0.0013983 -*CONN -*I *28544:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30381:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28544:D 0.000322108 -2 *30381:X 0.000322108 -3 *28544:D *26890:A1 8.85631e-05 -4 *28544:D *28544:RESET_B 4.90803e-05 -5 *28544:D *5756:119 9.25014e-06 -6 *26962:A1 *28544:D 0.000126797 -7 *1274:172 *28544:D 7.65202e-05 -8 *2776:144 *28544:D 0.000341541 -9 *3630:51 *28544:D 5.21937e-05 -10 *3682:9 *28544:D 1.01403e-05 -*RES -1 *30381:X *28544:D 35.1 -*END - -*D_NET *4624 0.00280015 -*CONN -*I *26904:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30382:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26904:A1 0.000713598 -2 *30382:X 0.000713598 -3 *26904:A1 *5819:13 1.07719e-05 -4 *26904:A1 *6225:139 0.000326633 -5 *1252:207 *26904:A1 9.94194e-05 -6 *2761:168 *26904:A1 5.33005e-05 -7 *2932:6 *26904:A1 0.000328158 -8 *3730:21 *26904:A1 0.00046654 -9 *3783:89 *26904:A1 2.54304e-06 -10 *4068:15 *26904:A1 8.55871e-05 -*RES -1 *30382:X *26904:A1 40.0286 -*END - -*D_NET *4625 0.00125892 -*CONN -*I *28492:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30383:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28492:D 0.000403526 -2 *30383:X 0.000403526 -3 *28492:D *5661:267 4.85033e-05 -4 *28492:D *5764:239 0.000101346 -5 *1269:117 *28492:D 0.000260152 -6 *2768:90 *28492:D 1.03169e-05 -7 *3912:27 *28492:D 3.15517e-05 -*RES -1 *30383:X *28492:D 33.1714 -*END - -*D_NET *4626 0.00175397 -*CONN -*I *26971:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30384:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26971:A1 0.000620691 -2 *30384:X 0.000620691 -3 *26962:A1 *26971:A1 1.21258e-05 -4 *26962:S *26971:A1 0.000101011 -5 *30379:A *26971:A1 0.000259542 -6 *2768:146 *26971:A1 0.000139907 -*RES -1 *30384:X *26971:A1 28.5821 -*END - -*D_NET *4627 0.00150123 -*CONN -*I *28552:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30385:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28552:D 0.000444088 -2 *30385:X 0.000444088 -3 *30385:A *28552:D 2.59355e-05 -4 *1338:11 *28552:D 0.000292701 -5 *4072:32 *28552:D 0.000294422 -*RES -1 *30385:X *28552:D 35.1893 -*END - -*D_NET *4628 0.00228614 -*CONN -*I *27012:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30386:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27012:A1 0.000637106 -2 *30386:X 0.000637106 -3 *27012:A1 *5629:166 0.000192773 -4 *2866:323 *27012:A1 0.000584528 -5 *3170:251 *27012:A1 4.58194e-05 -6 *3977:16 *27012:A1 0.000188812 -*RES -1 *30386:X *27012:A1 36.975 -*END - -*D_NET *4629 0.00121863 -*CONN -*I *28588:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30387:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28588:D 0.000311795 -2 *30387:X 0.000311795 -3 *28588:D *5642:144 0.000123471 -4 *28588:D *5642:151 0.000218409 -5 *30387:A *28588:D 0.000125717 -6 *2759:111 *28588:D 0.000127439 -*RES -1 *30387:X *28588:D 32.6536 -*END - -*D_NET *4630 0.00393164 -*CONN -*I *28000:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *30630:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *28000:B1 0.000867761 -2 *30630:X 0.000867761 -3 *28000:B1 *25543:A1 0.000103267 -4 *28000:B1 *27990:A2 7.88053e-05 -5 *28000:B1 *28000:A1 7.8178e-06 -6 *28000:B1 *28000:A2 2.23554e-05 -7 *28000:B1 *4774:19 0 -8 *28000:B1 *4896:9 5.52302e-05 -9 *28000:B1 *5462:19 0.000520522 -10 *28000:B1 *6036:71 1.98839e-05 -11 *28000:B1 *6364:32 9.30065e-05 -12 *28000:B1 *6377:48 1.34809e-05 -13 *25734:S *28000:B1 0.000125717 -14 *28001:A0 *28000:B1 0.000775875 -15 *290:21 *28000:B1 0.000380163 -*RES -1 *30630:X *28000:B1 46.5464 -*END - -*D_NET *4631 0.00193919 -*CONN -*I *27120:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30388:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27120:A1 0.000366085 -2 *30388:X 0.000366085 -3 *30388:A *27120:A1 0.000107598 -4 *1256:113 *27120:A1 0.000285036 -5 *1256:115 *27120:A1 1.38323e-05 -6 *1261:168 *27120:A1 0.000142372 -7 *1271:211 *27120:A1 7.69776e-06 -8 *1556:23 *27120:A1 9.54798e-06 -9 *1556:26 *27120:A1 2.63878e-05 -10 *2768:90 *27120:A1 1.17968e-05 -11 *2786:55 *27120:A1 1.98839e-05 -12 *3153:221 *27120:A1 6.34436e-05 -13 *3874:76 *27120:A1 0.000298483 -14 *3912:7 *27120:A1 0.000220943 -*RES -1 *30388:X *27120:A1 45.4929 -*END - -*D_NET *4632 0.00135137 -*CONN -*I *28684:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30389:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28684:D 0.000350275 -2 *30389:X 0.000350275 -3 *28684:D *28684:RESET_B 0.000104912 -4 *28684:D *5630:264 4.41457e-05 -5 *28684:D *5702:135 3.44143e-05 -6 *1556:26 *28684:D 5.47819e-05 -7 *2768:90 *28684:D 2.30116e-06 -8 *2786:55 *28684:D 0.000107815 -9 *3153:221 *28684:D 0.000302447 -*RES -1 *30389:X *28684:D 35.2429 -*END - -*D_NET *4633 0.00248697 -*CONN -*I *27021:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30390:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27021:A0 0.000743128 -2 *30390:X 0.000743128 -3 *27021:A0 *27021:A1 9.41642e-05 -4 *27021:A0 *5702:64 9.46451e-05 -5 *27021:A0 *5702:135 0.000163184 -6 *27021:S *27021:A0 7.62682e-05 -7 *30388:A *27021:A0 0.000105471 -8 *30389:A *27021:A0 2.84109e-05 -9 *1261:168 *27021:A0 0.000100474 -10 *1556:23 *27021:A0 0.000237624 -11 *3691:34 *27021:A0 0.000100474 -*RES -1 *30390:X *27021:A0 40.8321 -*END - -*D_NET *4634 0.00120532 -*CONN -*I *28596:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30392:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28596:D 0.000379131 -2 *30392:X 0.000379131 -3 *28596:D *5629:166 0.000192827 -4 *28596:CLK *28596:D 5.21937e-05 -5 *3177:117 *28596:D 9.20635e-06 -6 *3977:16 *28596:D 0.000192827 -*RES -1 *30392:X *28596:D 33.5821 -*END - -*D_NET *4635 0.00129101 -*CONN -*I *27070:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30393:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27070:A1 0.000264088 -2 *30393:X 0.000264088 -3 *27070:A1 *5678:11 0.000336275 -4 *25031:B1 *27070:A1 0.000110763 -5 *27070:S *27070:A1 5.52238e-05 -6 *30393:A *27070:A1 0.000260574 -*RES -1 *30393:X *27070:A1 25.2964 -*END - -*D_NET *4636 0.00109274 -*CONN -*I *28640:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30394:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28640:D 0.00026663 -2 *30394:X 0.00026663 -3 *28640:D *5485:139 0.000125717 -4 *30394:A *28640:D 0.000127439 -5 *4186:26 *28640:D 0.000306324 -*RES -1 *30394:X *28640:D 32.2429 -*END - -*D_NET *4637 0.00343559 -*CONN -*I *26890:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30395:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26890:A1 0.0010196 -2 *30395:X 0.0010196 -3 *26890:A1 *26890:A0 5.33005e-05 -4 *26890:A1 *28552:RESET_B 0.000663188 -5 *26890:S *26890:A1 0.000351156 -6 *28544:D *26890:A1 8.85631e-05 -7 *30395:A *26890:A1 0.000175892 -8 *3630:51 *26890:A1 1.11479e-05 -9 *3630:55 *26890:A1 7.31195e-06 -10 *4150:7 *26890:A1 4.58194e-05 -*RES -1 *30395:X *26890:A1 34.3679 -*END - -*D_NET *4638 0.00121875 -*CONN -*I *28480:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30396:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28480:D 0.000533908 -2 *30396:X 0.000533908 -3 *4072:34 *28480:D 0.000100823 -4 *4150:22 *28480:D 5.01117e-05 -*RES -1 *30396:X *28480:D 33.9929 -*END - -*D_NET *4639 0.00135388 -*CONN -*I *26926:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30397:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26926:A1 0.000549563 -2 *30397:X 0.000549563 -3 *26926:A1 *5679:92 0.000149279 -4 *30397:A *26926:A1 0.000105471 -*RES -1 *30397:X *26926:A1 24.8857 -*END - -*D_NET *4640 0.00200847 -*CONN -*I *28512:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30398:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28512:D 0.000437476 -2 *30398:X 0.000437476 -3 *28512:D *28512:RESET_B 9.00852e-05 -4 *1339:36 *28512:D 0.000341525 -5 *3630:25 *28512:D 1.39841e-05 -6 *4098:5 *28512:D 0.000296017 -7 *4098:13 *28512:D 5.03772e-05 -8 *4124:104 *28512:D 0.000341525 -*RES -1 *30398:X *28512:D 38.6714 -*END - -*D_NET *4641 0.00893095 -*CONN -*I *28003:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *30631:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *28003:B1 0.00198218 -2 *30631:X 0.00198218 -3 *28003:B1 *28003:A2 0 -4 *28003:B1 *28005:B2 4.04359e-05 -5 *28003:B1 *28006:A1 5.58875e-06 -6 *28003:B1 *28006:B1 0.00144948 -7 *28003:B1 *28008:B1 0.000403359 -8 *28003:B1 *4808:25 0.000122675 -9 *28003:B1 *4841:27 0.00038365 -10 *28003:B1 *4874:16 0.000470154 -11 *28003:B1 *4885:31 3.57814e-05 -12 *28003:B1 *6214:51 0.000246153 -13 *28003:B1 *6377:143 0.000118573 -14 *28003:B1 *6378:121 1.578e-05 -15 *27987:B1 *28003:B1 0.00110614 -16 *30654:A *28003:B1 1.90936e-05 -17 *30656:A *28003:B1 0.000138035 -18 *30659:A *28003:B1 5.00087e-06 -19 *4586:37 *28003:B1 0.000380759 -20 *4619:21 *28003:B1 2.59355e-05 -*RES -1 *30631:X *28003:B1 45.4281 -*END - -*D_NET *4642 0.00274305 -*CONN -*I *25825:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30399:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25825:A0 0.000492674 -2 *30399:X 0.000492674 -3 *25825:A0 *28237:RESET_B 0.000221544 -4 *25825:A0 *5253:28 0.000218454 -5 *25825:A0 *5778:47 0.000132617 -6 *25825:S *25825:A0 9.60875e-05 -7 *28163:CLK *25825:A0 0.000344225 -8 *437:23 *25825:A0 0.000233543 -9 *1868:37 *25825:A0 0.000511232 -*RES -1 *30399:X *25825:A0 39.9929 -*END - -*D_NET *4643 0.00127112 -*CONN -*I *28281:D I *D sky130_fd_sc_hd__dfstp_1 -*I *30400:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28281:D 0.000382079 -2 *30400:X 0.000382079 -3 *28281:D *25698:A0 5.49995e-05 -4 *28237:D *28281:D 0.000117693 -5 *30400:A *28281:D 0 -6 *1440:56 *28281:D 4.68201e-05 -7 *3710:28 *28281:D 0.00028745 -*RES -1 *30400:X *28281:D 34.3857 -*END - -*D_NET *4644 0.00374768 -*CONN -*I *25879:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30401:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25879:A0 0.000936048 -2 *30401:X 0.000936048 -3 *25879:A0 *28239:RESET_B 8.93931e-05 -4 *25879:A0 *5742:332 0.000235374 -5 *25879:A0 *5806:8 0.000729706 -6 *28332:CLK *25879:A0 9.25014e-06 -7 *30277:A *25879:A0 0.00021705 -8 *1827:61 *25879:A0 0 -9 *3710:18 *25879:A0 0.00012401 -10 *4066:33 *25879:A0 0.000470804 -*RES -1 *30401:X *25879:A0 45.5286 -*END - -*D_NET *4645 0.00326661 -*CONN -*I *25655:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30403:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25655:A0 0.000555042 -2 *30403:X 0.000555042 -3 *25655:A0 *25655:A1 0.000443083 -4 *25655:A0 *4646:13 9.90367e-05 -5 *28326:CLK *25655:A0 2.89114e-05 -6 *394:14 *25655:A0 4.46186e-06 -7 *409:10 *25655:A0 0.000540537 -8 *499:10 *25655:A0 0.000647985 -9 *1830:23 *25655:A0 2.28499e-05 -10 *3605:12 *25655:A0 0 -11 *3710:28 *25655:A0 0.000369659 -*RES -1 *30403:X *25655:A0 44.3679 -*END - -*D_NET *4646 0.00452917 -*CONN -*I *28147:D I *D sky130_fd_sc_hd__dfstp_2 -*I *30404:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28147:D 0 -2 *30404:X 0.000906604 -3 *4646:13 0.000906604 -4 *4646:13 *29027:A 0.000152773 -5 *4646:13 *5355:10 0.000522123 -6 *4646:13 *5727:170 0.000160365 -7 *4646:13 *5778:47 0.00019868 -8 *25655:A0 *4646:13 9.90367e-05 -9 *28885:A *4646:13 0.00014699 -10 *30403:A *4646:13 0.000310292 -11 *394:14 *4646:13 0.000394975 -12 *1830:33 *4646:13 0.000189444 -13 *1864:59 *4646:13 7.20457e-05 -14 *3570:57 *4646:13 5.52302e-05 -15 *3605:40 *4646:13 2.89114e-05 -16 *3867:24 *4646:13 0.000385095 -*RES -1 *30404:X *4646:13 41.4964 -2 *4646:13 *28147:D 9.3 -*END - -*D_NET *4647 0.00154261 -*CONN -*I *26980:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30405:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26980:A1 0.000359725 -2 *30405:X 0.000359725 -3 *26980:A1 *5679:95 5.33005e-05 -4 *30406:A *26980:A1 0.000268403 -5 *3574:53 *26980:A1 0.000149904 -6 *3630:10 *26980:A1 7.83587e-05 -7 *3630:41 *26980:A1 0.000157849 -8 *4072:34 *26980:A1 7.25104e-05 -9 *4150:22 *26980:A1 4.28365e-05 -*RES -1 *30405:X *26980:A1 36.0107 -*END - -*D_NET *4648 0.00141193 -*CONN -*I *28560:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30406:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28560:D 0.000324532 -2 *30406:X 0.000324532 -3 *28560:D *5461:20 0 -4 *28560:D *5468:31 0.00015234 -5 *28931:A *28560:D 2.59355e-05 -6 *30405:A *28560:D 1.17968e-05 -7 *2768:141 *28560:D 0.000315172 -8 *3630:7 *28560:D 0.000257619 -*RES -1 *30406:X *28560:D 35.0821 -*END - -*D_NET *4649 0.00268484 -*CONN -*I *27133:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30407:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27133:A1 0 -2 *30407:X 0.000653256 -3 *4649:20 0.000653256 -4 *4649:20 *27163:A0 0.000152137 -5 *4649:20 *5633:41 0.000276245 -6 *4649:20 *5644:158 2.41871e-05 -7 *4649:20 *5650:31 0.000220872 -8 *4649:20 *5755:237 2.04825e-05 -9 *29711:A *4649:20 8.6281e-05 -10 *2786:112 *4649:20 2.06178e-05 -11 *2791:139 *4649:20 0.000150344 -12 *3669:20 *4649:20 2.16689e-05 -13 *3670:47 *4649:20 0.000276245 -14 *3968:20 *4649:20 0.000129251 -*RES -1 *30407:X *4649:20 42.7614 -2 *4649:20 *27133:A1 9.3 -*END - -*D_NET *4650 0.00334416 -*CONN -*I *28696:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30408:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28696:D 0.00121215 -2 *30408:X 0.00121215 -3 *1844:138 *28696:D 0 -4 *2786:101 *28696:D 9.47364e-06 -5 *2791:139 *28696:D 2.27496e-05 -6 *2885:58 *28696:D 0.000301094 -7 *2889:151 *28696:D 0.000195277 -8 *3215:25 *28696:D 0.000151673 -9 *3669:20 *28696:D 6.10272e-05 -10 *3929:13 *28696:D 0.000115361 -11 *3968:20 *28696:D 6.32026e-05 -*RES -1 *30408:X *28696:D 48.4689 -*END - -*D_NET *4651 0.000459526 -*CONN -*I *27016:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30409:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27016:A1 0.00010335 -2 *30409:X 0.00010335 -3 *27016:A1 *5528:33 7.83659e-05 -4 *27016:A1 *5608:71 7.83659e-05 -5 *28593:CLK *27016:A1 9.60939e-05 -*RES -1 *30409:X *27016:A1 29.5821 -*END - -*D_NET *4652 0.0059074 -*CONN -*I *28005:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *30632:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *28005:B1 9.19317e-06 -2 *30632:X 0.00131439 -3 *4652:20 0.00132359 -4 *28005:B1 *27999:A2 3.33238e-05 -5 *28005:B1 *4797:11 3.33238e-05 -6 *4652:20 *27990:A2 0.000438264 -7 *4652:20 *27991:A2 3.34294e-05 -8 *4652:20 *27999:B2 1.61703e-05 -9 *4652:20 *28005:A1 1.61405e-05 -10 *4652:20 *28005:B2 1.61405e-05 -11 *4652:20 *28008:B1 0.000816672 -12 *4652:20 *28976:A 6.05161e-06 -13 *4652:20 *29429:A 9.27284e-05 -14 *4652:20 *4774:19 1.65493e-05 -15 *4652:20 *4808:25 0.000118573 -16 *4652:20 *5457:30 0.000275741 -17 *4652:20 *5463:8 0 -18 *4652:20 *6214:51 0.000522711 -19 *4652:20 *6377:63 1.76649e-05 -20 *4652:20 *6377:87 0.00010199 -21 *27989:A0 *4652:20 0 -22 *27995:A0 *4652:20 4.60232e-06 -23 *28000:C1 *4652:20 0.000299934 -24 *30632:A *4652:20 0.000142631 -25 *288:10 *4652:20 9.886e-06 -26 *3523:16 *4652:20 0.000247699 -*RES -1 *30632:X *4652:20 49.6428 -2 *4652:20 *28005:B1 14.1304 -*END - -*D_NET *4653 0.00307868 -*CONN -*I *28592:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30410:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28592:D 0.000852477 -2 *30410:X 0.000852477 -3 *28592:D *5606:78 0.000201127 -4 *28592:CLK *28592:D 7.20217e-06 -5 *30410:A *28592:D 0.000454218 -6 *1339:26 *28592:D 4.40898e-05 -7 *1347:31 *28592:D 6.57032e-05 -8 *3748:15 *28592:D 5.19805e-05 -9 *3864:23 *28592:D 0.000549408 -*RES -1 *30410:X *28592:D 42.7607 -*END - -*D_NET *4654 0.00111795 -*CONN -*I *26993:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30411:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26993:A1 0.000479248 -2 *30411:X 0.000479248 -3 *26993:S *26993:A1 2.89114e-05 -4 *30411:A *26993:A1 9.41642e-05 -5 *3781:30 *26993:A1 3.63775e-05 -*RES -1 *30411:X *26993:A1 24.8857 -*END - -*D_NET *4655 0.00150605 -*CONN -*I *28571:D I *D sky130_fd_sc_hd__dfstp_1 -*I *30412:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28571:D 0.000313951 -2 *30412:X 0.000313951 -3 *1660:42 *28571:D 0.000309647 -4 *2779:96 *28571:D 0.000307925 -5 *2781:136 *28571:D 0.000260574 -*RES -1 *30412:X *28571:D 34.2607 -*END - -*D_NET *4656 0.00334441 -*CONN -*I *25723:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30414:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25723:A0 0.00102824 -2 *30414:X 0.00102824 -3 *25723:A0 *28195:D 0.000451835 -4 *25723:A0 *5590:161 0.000109616 -5 *25723:A0 *5629:217 0.000166503 -6 *25723:A0 *5910:42 0.000304727 -7 *30414:A *25723:A0 0.000139087 -8 *3606:39 *25723:A0 6.09476e-05 -9 *4204:7 *25723:A0 5.52238e-05 -*RES -1 *30414:X *25723:A0 45.0821 -*END - -*D_NET *4657 0.00165387 -*CONN -*I *28195:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30415:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28195:D 0.000384908 -2 *30415:X 0.000384908 -3 *28195:D *28195:RESET_B 0.000187172 -4 *28195:D *5590:161 3.10779e-05 -5 *28195:D *5656:350 2.95726e-05 -6 *25723:A0 *28195:D 0.000451835 -7 *30414:A *28195:D 8.39516e-05 -8 *3835:36 *28195:D 7.01205e-05 -9 *3848:14 *28195:D 3.03282e-05 -*RES -1 *30415:X *28195:D 37.0643 -*END - -*D_NET *4658 0.00209591 -*CONN -*I *26899:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30416:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26899:A1 0.000382721 -2 *30416:X 0.000382721 -3 *26899:A1 *28488:RESET_B 9.58181e-05 -4 *26899:A1 *28757:RESET_B 0.000249017 -5 *26899:A1 *5647:39 0.000196777 -6 *26899:A1 *5678:47 0 -7 *26899:A1 *5678:71 0.000235543 -8 *30416:A *26899:A1 2.84026e-05 -9 *1262:224 *26899:A1 0.000195198 -10 *2760:69 *26899:A1 0.000135028 -11 *3177:59 *26899:A1 5.96516e-05 -12 *3812:31 *26899:A1 0.000135028 -*RES -1 *30416:X *26899:A1 37.1179 -*END - -*D_NET *4659 0.002011 -*CONN -*I *28488:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30417:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28488:D 0.000582521 -2 *30417:X 0.000582521 -3 *28488:CLK *28488:D 5.56679e-05 -4 *2888:177 *28488:D 0.000384032 -5 *3721:68 *28488:D 2.22222e-05 -6 *3787:37 *28488:D 0.000384032 -*RES -1 *30417:X *28488:D 37.6536 -*END - -*D_NET *4660 0.000835932 -*CONN -*I *27101:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30418:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27101:A1 0.00030615 -2 *30418:X 0.00030615 -3 *25251:C *27101:A1 5.19775e-05 -4 *30418:A *27101:A1 6.05161e-06 -5 *1568:15 *27101:A1 9.9471e-06 -6 *1605:13 *27101:A1 7.83587e-05 -7 *1660:42 *27101:A1 7.72966e-05 -*RES -1 *30418:X *27101:A1 31.6357 -*END - -*D_NET *4661 0.00443222 -*CONN -*I *28667:D I *D sky130_fd_sc_hd__dfstp_1 -*I *30419:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28667:D 0.00107487 -2 *30419:X 0.00107487 -3 *28667:D *27101:S 0.000461937 -4 *28667:D *5708:117 0.000461937 -5 *28667:D *5795:96 4.22135e-06 -6 *30173:A *28667:D 2.87212e-05 -7 *1265:112 *28667:D 2.3704e-05 -8 *2877:299 *28667:D 0.000540285 -9 *2877:313 *28667:D 3.43244e-05 -10 *2879:118 *28667:D 0.000187143 -11 *3596:13 *28667:D 4.32258e-05 -12 *4080:44 *28667:D 0.000200212 -13 *4146:79 *28667:D 0.000296771 -*RES -1 *30419:X *28667:D 44.4134 -*END - -*D_NET *4662 0.00146213 -*CONN -*I *26935:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30420:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26935:A1 0.000565362 -2 *30420:X 0.000565362 -3 *26935:A1 *30865:A 8.00708e-05 -4 *26935:A1 *5606:81 7.83659e-05 -5 *26935:S *26935:A1 2.89016e-05 -6 *3734:48 *26935:A1 6.57032e-05 -7 *4111:38 *26935:A1 7.83659e-05 -*RES -1 *30420:X *26935:A1 34.5107 -*END - -*D_NET *4663 0.0100593 -*CONN -*I *28008:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *30633:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *28008:B1 0.0020816 -2 *30633:X 0.0020816 -3 *28008:B1 *25852:C 4.00349e-05 -4 *28008:B1 *28005:A2 0.000430243 -5 *28008:B1 *28006:A2 3.15361e-05 -6 *28008:B1 *4808:25 0.00104452 -7 *28008:B1 *4885:31 0.0010927 -8 *28008:B1 *5457:30 7.59343e-05 -9 *28008:B1 *6214:32 0.00019492 -10 *28008:B1 *6376:98 2.57524e-05 -11 *28008:B1 *6376:138 0.000125318 -12 *28008:B1 *6377:87 0.000715374 -13 *28008:B1 *6377:113 0.000713209 -14 *28003:B1 *28008:B1 0.000403359 -15 *28009:C1 *28008:B1 1.81728e-05 -16 *30631:A *28008:B1 3.69047e-06 -17 *30638:A *28008:B1 2.45832e-05 -18 *30656:A *28008:B1 1.27341e-05 -19 *286:17 *28008:B1 7.57063e-05 -20 *4586:37 *28008:B1 2.72228e-05 -21 *4608:17 *28008:B1 2.44318e-05 -22 *4652:20 *28008:B1 0.000816672 -*RES -1 *30633:X *28008:B1 45.7804 -*END - -*D_NET *4664 0.00144151 -*CONN -*I *28520:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30421:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28520:D 0.000253649 -2 *30421:X 0.000253649 -3 *28520:D *5678:85 1.53472e-05 -4 *28520:D *5755:92 0.000267092 -5 *28520:CLK *28520:D 8.55871e-05 -6 *3864:23 *28520:D 0.000156081 -7 *4111:11 *28520:D 9.73548e-05 -8 *4124:116 *28520:D 0.00031275 -*RES -1 *30421:X *28520:D 34.6714 -*END - -*D_NET *4665 0.00133597 -*CONN -*I *27020:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30422:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27020:A0 0.000227435 -2 *30422:X 0.000227435 -3 *27337:B2 *27020:A0 0.000505412 -4 *27681:A1 *27020:A0 9.58632e-05 -5 *2886:295 *27020:A0 0.00027982 -*RES -1 *30422:X *27020:A0 24.475 -*END - -*D_NET *4666 0.00261056 -*CONN -*I *28595:D I *D sky130_fd_sc_hd__dfstp_1 -*I *30423:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28595:D 0.000743298 -2 *30423:X 0.000743298 -3 *2781:119 *28595:D 0.000122682 -4 *3667:65 *28595:D 0.000122682 -5 *3742:48 *28595:D 0.000878597 -*RES -1 *30423:X *28595:D 37.9929 -*END - -*D_NET *4667 0.00200512 -*CONN -*I *27119:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30425:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27119:A1 0.000562159 -2 *30425:X 0.000562159 -3 *27119:A1 *5589:57 0.000625392 -4 *27119:A1 *5708:58 0.000122933 -5 *27119:A1 *5708:80 9.54798e-06 -6 *3797:101 *27119:A1 0.000122933 -*RES -1 *30425:X *27119:A1 35.5286 -*END - -*D_NET *4668 0.00236817 -*CONN -*I *28683:D I *D sky130_fd_sc_hd__dfstp_1 -*I *30426:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28683:D 0.000712801 -2 *30426:X 0.000712801 -3 *28683:D *27119:S 3.97677e-05 -4 *27339:A1 *28683:D 0.000467849 -5 *27415:A2 *28683:D 0.000165239 -6 *30426:A *28683:D 0.000102758 -7 *3299:8 *28683:D 0.000166954 -*RES -1 *30426:X *28683:D 39.4214 -*END - -*D_NET *4669 0.000957623 -*CONN -*I *27151:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30427:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27151:A1 0.00016795 -2 *30427:X 0.00016795 -3 *27151:A1 *5627:162 9.58126e-05 -4 *27151:A1 *5679:8 0.000262956 -5 *1185:72 *27151:A1 0.000262956 -*RES -1 *30427:X *27151:A1 32.0107 -*END - -*D_NET *4670 0.00253395 -*CONN -*I *28712:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30428:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28712:D 0.000937981 -2 *30428:X 0.000937981 -3 *28712:CLK *28712:D 0.000337088 -4 *30428:A *28712:D 0.0003209 -*RES -1 *30428:X *28712:D 31.6179 -*END - -*D_NET *4671 0.00557724 -*CONN -*I *26931:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30429:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26931:A1 0 -2 *30429:X 0.000988637 -3 *4671:11 0.000988637 -4 *4671:11 *5645:220 0.000332538 -5 *4671:11 *5702:147 0.00171606 -6 *4671:11 *5702:160 4.08637e-05 -7 *26931:S *4671:11 1.24368e-05 -8 *28918:A *4671:11 4.10926e-05 -9 *3378:26 *4671:11 0.000326801 -10 *3580:102 *4671:11 2.42516e-05 -11 *3954:13 *4671:11 0.00085159 -12 *4107:17 *4671:11 0.000135028 -13 *4110:16 *4671:11 0.000119303 -*RES -1 *30429:X *4671:11 43.05 -2 *4671:11 *26931:A1 9.3 -*END - -*D_NET *4672 0.00391879 -*CONN -*I *28516:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30430:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28516:D 0.00133986 -2 *30430:X 0.00133986 -3 *28516:D *27433:A2 7.78042e-05 -4 *28516:D *27433:B1 3.94055e-05 -5 *28516:D *5432:36 8.61228e-05 -6 *28516:D *5911:94 6.05161e-06 -7 *27433:B2 *28516:D 8.67797e-05 -8 *27785:B2 *28516:D 0.000180072 -9 *28519:D *28516:D 0.000263656 -10 *30118:A *28516:D 0.000263656 -11 *2993:27 *28516:D 1.71482e-05 -12 *3720:19 *28516:D 2.80341e-05 -13 *4107:17 *28516:D 6.45628e-05 -14 *4357:21 *28516:D 0.000125769 -*RES -1 *30430:X *28516:D 47.533 -*END - -*D_NET *4673 0.00213452 -*CONN -*I *25837:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30431:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25837:A0 0.000569016 -2 *30431:X 0.000569016 -3 *25837:A0 *4877:10 0.000507946 -4 *25837:A0 *5007:31 9.21585e-05 -5 *25837:A0 *5706:63 0.000137556 -6 *3414:20 *25837:A0 5.83233e-05 -7 *3594:66 *25837:A0 0.000142244 -8 *3830:36 *25837:A0 5.82611e-05 -*RES -1 *30431:X *25837:A0 37.0286 -*END - -*D_NET *4674 0.00152974 -*CONN -*I *27987:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *30634:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *27987:A2 0.000429927 -2 *30634:X 0.000429927 -3 *27987:A2 *27990:B1 2.88045e-05 -4 *27987:A2 *5674:16 2.30116e-06 -5 *27987:B1 *27987:A2 1.8038e-05 -6 *27991:C1 *27987:A2 0.000141094 -7 *286:17 *27987:A2 0.000479652 -*RES -1 *30634:X *27987:A2 34.1893 -*END - -*D_NET *4675 0.000775156 -*CONN -*I *28291:D I *D sky130_fd_sc_hd__dfstp_2 -*I *30432:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28291:D 9.34354e-05 -2 *30432:X 9.34354e-05 -3 *28291:D *25698:A0 1.52131e-05 -4 *28291:CLK *28291:D 0.000182811 -5 *1748:26 *28291:D 0.000182804 -6 *1844:49 *28291:D 0.000207457 -*RES -1 *30432:X *28291:D 22.4214 -*END - -*D_NET *4676 0.00171843 -*CONN -*I *27202:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30433:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27202:A1 0.000521848 -2 *30433:X 0.000521848 -3 *27202:A1 *26964:B 0.000674731 -*RES -1 *30433:X *27202:A1 25.2964 -*END - -*D_NET *4677 0.00141272 -*CONN -*I *28757:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30434:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28757:D 0.000314341 -2 *30434:X 0.000314341 -3 *28757:CLK *28757:D 1.40415e-05 -4 *2760:69 *28757:D 5.33005e-05 -5 *3164:258 *28757:D 6.1772e-05 -6 *3177:59 *28757:D 0.000283507 -7 *3682:48 *28757:D 0.000154661 -8 *4150:31 *28757:D 0.000216755 -*RES -1 *30434:X *28757:D 34.0821 -*END - -*D_NET *4678 0.00204939 -*CONN -*I *27175:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30436:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27175:A1 0.000356838 -2 *30436:X 0.000356838 -3 *27175:A1 *5642:71 0.000339186 -4 *27175:S *27175:A1 5.65955e-05 -5 *27812:A1 *27175:A1 0.000600745 -6 *3889:62 *27175:A1 0.000339186 -*RES -1 *30436:X *27175:A1 37.85 -*END - -*D_NET *4679 0.00120623 -*CONN -*I *28733:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30437:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28733:D 0.000426156 -2 *30437:X 0.000426156 -3 *28733:D *28733:RESET_B 9.31595e-05 -4 *30437:A *28733:D 9.86488e-05 -5 *1844:146 *28733:D 9.37808e-05 -6 *3179:67 *28733:D 4.42765e-05 -7 *3864:39 *28733:D 2.40523e-05 -*RES -1 *30437:X *28733:D 34.3143 -*END - -*D_NET *4680 0.00227202 -*CONN -*I *27146:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30438:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27146:A1 0.00033944 -2 *30438:X 0.00033944 -3 *27146:A1 *27609:A2 0.000684023 -4 *27146:A1 *5586:11 0.000279707 -5 *27146:A1 *5795:60 0.000162966 -6 *28572:CLK *27146:A1 0.000136951 -7 *4054:8 *27146:A1 0.000329493 -*RES -1 *30438:X *27146:A1 38.6714 -*END - -*D_NET *4681 0.000961857 -*CONN -*I *28707:D I *D sky130_fd_sc_hd__dfstp_4 -*I *30439:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28707:D 0.00024626 -2 *30439:X 0.00024626 -3 *28707:D *5640:264 9.25014e-06 -4 *28707:D *5914:29 0.000181796 -5 *30439:A *28707:D 5.07157e-05 -6 *2768:107 *28707:D 7.62417e-05 -7 *3907:27 *28707:D 0.000151333 -*RES -1 *30439:X *28707:D 32.6714 -*END - -*D_NET *4682 0.00228128 -*CONN -*I *27038:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30440:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27038:A1 0.000740985 -2 *30440:X 0.000740985 -3 *27038:A1 *5651:410 1.1152e-05 -4 *27038:A1 *5708:25 0.000135028 -5 *1826:251 *27038:A1 0.000630278 -6 *3781:11 *27038:A1 2.28499e-05 -*RES -1 *30440:X *27038:A1 27.7607 -*END - -*D_NET *4683 0.0016913 -*CONN -*I *28611:D I *D sky130_fd_sc_hd__dfstp_1 -*I *30441:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28611:D 0.00037228 -2 *30441:X 0.00037228 -3 *28611:D *28611:SET_B 5.83304e-05 -4 *28611:D *5708:25 1.76241e-05 -5 *28611:D *5748:6 0.000151004 -6 *28611:D *5768:127 2.29097e-05 -7 *2767:167 *28611:D 0.000347432 -8 *3206:306 *28611:D 0.000149697 -9 *3907:27 *28611:D 0.000199743 -*RES -1 *30441:X *28611:D 37.0286 -*END - -*D_NET *4684 0.00149181 -*CONN -*I *27184:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30442:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27184:A1 0.000204554 -2 *30442:X 0.000204554 -3 *27184:A1 *5589:180 0.000259549 -4 *27184:S *27184:A1 5.33005e-05 -5 *30443:A *27184:A1 9.94194e-05 -6 *3799:37 *27184:A1 0.000335215 -7 *3955:16 *27184:A1 0.000335215 -*RES -1 *30442:X *27184:A1 34.5643 -*END - -*D_NET *4685 0.0199398 -*CONN -*I *25219:A1 I *D sky130_fd_sc_hd__a221o_2 -*I *30635:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25219:A1 0.000570113 -2 *30635:X 0.00165673 -3 *4685:23 0.00283499 -4 *4685:19 0.003655 -5 *4685:8 0.00304686 -6 *25219:A1 *26838:B 5.50361e-05 -7 *25219:A1 *27240:A 9.68931e-05 -8 *25219:A1 *5694:119 4.43081e-05 -9 *4685:8 *28129:RESET_B 0.000164529 -10 *4685:8 *28664:D 9.91086e-05 -11 *4685:8 *4907:10 0 -12 *4685:8 *4907:17 0.000899205 -13 *4685:8 *5675:145 0 -14 *4685:8 *5675:147 0 -15 *4685:8 *5752:66 0 -16 *4685:19 *30833:A 0 -17 *4685:19 *5602:21 0 -18 *4685:19 *5970:43 0.000446394 -19 *4685:23 *25528:A_N 4.3825e-05 -20 *4685:23 *25528:B 0.0002705 -21 *4685:23 *27256:A1 0.000216755 -22 *4685:23 *27260:A1 0.000311183 -23 *4685:23 *28790:RESET_B 2.77274e-05 -24 *4685:23 *29501:A 0.00027005 -25 *4685:23 *29554:A 0.000172309 -26 *4685:23 *5694:171 0.000359163 -27 *4685:23 *6309:13 0.00012747 -28 *24973:A1 *4685:8 0.000686205 -29 *25219:A2 *25219:A1 0.000204158 -30 *25219:B2 *25219:A1 5.02271e-05 -31 *27053:A1 *4685:8 0 -32 *27062:A1 *4685:19 7.57144e-05 -33 *27062:A1 *4685:23 0.000136159 -34 *27398:A1 *4685:23 0.000177557 -35 *28780:D *4685:23 0.000353073 -36 *30345:A *4685:19 4.58194e-05 -37 *30493:A *4685:8 0.000107026 -38 *30613:A *4685:8 0.000390541 -39 *26:17 *4685:8 0.000306907 -40 *1250:122 *4685:23 2.22618e-05 -41 *1264:116 *4685:19 7.69776e-06 -42 *1277:98 *4685:19 2.04825e-05 -43 *2751:14 *25219:A1 8.6229e-06 -44 *2777:119 *4685:8 0 -45 *2787:7 *4685:23 0.000312215 -46 *2817:33 *25219:A1 5.48123e-05 -47 *3796:36 *4685:23 0.00135744 -48 *3796:37 *25219:A1 0.000112972 -49 *3982:17 *4685:8 0.000141734 -*RES -1 *30635:X *4685:8 46.8982 -2 *4685:8 *4685:19 18.1495 -3 *4685:19 *4685:23 48.1607 -4 *4685:23 *25219:A1 24.2821 -*END - -*D_NET *4686 0.0084683 -*CONN -*I *27991:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *30636:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *27991:A2 0.0015693 -2 *30636:X 0.000535242 -3 *4686:14 0.00210455 -4 *27991:A2 *27982:B 0.000186379 -5 *27991:A2 *27991:A1 0.000202677 -6 *27991:A2 *28014:A2 0.000312222 -7 *4686:14 *27983:A1 0 -8 *4686:14 *27983:B2 1.67439e-05 -9 *4686:14 *4963:15 9.25014e-06 -10 *28013:B1 *4686:14 0 -11 *30632:A *27991:A2 0.000927007 -12 *287:27 *27991:A2 0.000908171 -13 *288:10 *27991:A2 0.0010339 -14 *292:21 *4686:14 0.000553851 -15 *3532:10 *4686:14 7.55769e-05 -16 *4652:20 *27991:A2 3.34294e-05 -*RES -1 *30636:X *4686:14 35.5321 -2 *4686:14 *27991:A2 39.7911 -*END - -*D_NET *4687 0.0014714 -*CONN -*I *28741:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30443:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28741:D 0.000346119 -2 *30443:X 0.000346119 -3 *28741:D *5645:71 0.000123288 -4 *28741:D *5675:67 0.000278374 -5 *25021:A1 *28741:D 0.000100823 -6 *28741:CLK *28741:D 0.000185608 -7 *2855:298 *28741:D 6.05161e-06 -8 *4020:7 *28741:D 5.33005e-05 -9 *4020:20 *28741:D 3.17148e-05 -*RES -1 *30443:X *28741:D 35.5286 -*END - -*D_NET *4688 0.00523949 -*CONN -*I *26868:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25716:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30444:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26868:A1 0.00028649 -2 *25716:A0 0 -3 *30444:X 0.00164289 -4 *4688:15 0.00192938 -5 *26868:A1 *25716:A1 0.000666208 -6 *26868:A1 *25716:S 5.30637e-06 -7 *26868:A1 *5586:152 0.00026408 -8 *4688:15 *5601:97 1.8995e-06 -9 *4688:15 *5659:24 2.06178e-05 -10 *25061:B1 *4688:15 6.76337e-05 -11 *29729:A *26868:A1 0 -12 *1275:142 *4688:15 1.99644e-05 -13 *2768:204 *26868:A1 0.000297566 -14 *3679:89 *4688:15 1.90936e-05 -15 *4072:29 *4688:15 0 -16 *4124:58 *4688:15 1.83505e-05 -*RES -1 *30444:X *4688:15 29.8182 -2 *4688:15 *25716:A0 9.3 -3 *4688:15 *26868:A1 27.8 -*END - -*D_NET *4689 0.00293208 -*CONN -*I *28460:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30445:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28460:D 0.000917711 -2 *30445:X 0.000917711 -3 *28460:D *26908:A1 3.97677e-05 -4 *28460:D *29071:A 0.000190331 -5 *28460:D *5680:147 0.000263524 -6 *26908:S *28460:D 5.52302e-05 -7 *793:13 *28460:D 9.74822e-05 -8 *2770:18 *28460:D 9.89536e-05 -9 *2773:46 *28460:D 9.89536e-05 -10 *4217:15 *28460:D 0.000252417 -*RES -1 *30445:X *28460:D 42.2473 -*END - -*D_NET *4690 0.00158809 -*CONN -*I *25643:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30447:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25643:A1 0.00019107 -2 *30447:X 0.00019107 -3 *1828:7 *25643:A1 3.97677e-05 -4 *2882:65 *25643:A1 0.000289452 -5 *2882:67 *25643:A1 0.000343534 -6 *3960:62 *25643:A1 0.000230535 -7 *3960:86 *25643:A1 0.000302657 -*RES -1 *30447:X *25643:A1 24.8857 -*END - -*D_NET *4691 0.00178718 -*CONN -*I *28137:D I *D sky130_fd_sc_hd__dfstp_1 -*I *30448:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28137:D 0.000372648 -2 *30448:X 0.000372648 -3 *28137:D *5666:138 0.00025223 -4 *28137:D *5740:55 0.000190258 -5 *28137:D *6036:38 0.000301699 -6 *27487:A1 *28137:D 2.55889e-05 -7 *30448:A *28137:D 2.70899e-05 -8 *4152:50 *28137:D 0.000245014 -*RES -1 *30448:X *28137:D 36.725 -*END - -*D_NET *4692 0.0031051 -*CONN -*I *25956:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30449:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25956:A1 0.000401914 -2 *30449:X 0.000401914 -3 *25956:A1 *29031:A 0.000630881 -4 *25289:B2 *25956:A1 0.000235757 -5 *25956:S *25956:A1 2.59355e-05 -6 *1323:5 *25956:A1 0.00129609 -7 *2860:56 *25956:A1 0.00011261 -*RES -1 *30449:X *25956:A1 31.4571 -*END - -*D_NET *4693 0.00192607 -*CONN -*I *28396:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30450:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28396:D 0.000591965 -2 *30450:X 0.000591965 -3 *25311:A1 *28396:D 0.000381648 -4 *25957:S *28396:D 7.14469e-05 -5 *1504:16 *28396:D 7.44888e-05 -6 *3178:43 *28396:D 0.000214554 -*RES -1 *30450:X *28396:D 35.3723 -*END - -*D_NET *4694 0.00148381 -*CONN -*I *25873:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30451:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25873:A1 0.00019938 -2 *30451:X 0.00019938 -3 *25873:A1 *5653:165 0.000179043 -4 *25873:A1 *5699:140 0.000633415 -5 *25873:S *25873:A1 5.33005e-05 -6 *3726:29 *25873:A1 0.000219289 -*RES -1 *30451:X *25873:A1 24.8857 -*END - -*D_NET *4695 0.00217745 -*CONN -*I *28327:D I *D sky130_fd_sc_hd__dfstp_1 -*I *30452:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28327:D 0.000618584 -2 *30452:X 0.000618584 -3 *28327:D *5744:48 0.000105471 -4 *28327:D *5744:102 0.000178847 -5 *1408:28 *28327:D 0.000205198 -6 *2853:90 *28327:D 9.09049e-05 -7 *3168:54 *28327:D 0.000112823 -8 *3570:11 *28327:D 8.56047e-05 -9 *3570:37 *28327:D 0.000161439 -*RES -1 *30452:X *28327:D 39.9929 -*END - -*D_NET *4696 0.00471507 -*CONN -*I *26843:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30453:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26843:A1 0.00129948 -2 *30453:X 0.00129948 -3 *26843:A1 *27844:A0 2.95726e-05 -4 *26843:A1 *5678:247 0.000132879 -5 *26843:A1 *5678:267 2.23337e-05 -6 *26843:A1 *6316:7 1.21258e-05 -7 *26843:A1 *6338:41 7.26522e-05 -8 *26843:A1 *6350:35 0.00132501 -9 *30454:A *26843:A1 0.000418282 -10 *3572:55 *26843:A1 8.25843e-06 -11 *4352:19 *26843:A1 9.49984e-05 -*RES -1 *30453:X *26843:A1 47.6893 -*END - -*D_NET *4697 0.000795884 -*CONN -*I *27993:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *30637:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *27993:A2 8.78307e-05 -2 *30637:X 8.78307e-05 -3 *27993:A2 *27993:A1 5.52302e-05 -4 *27993:A2 *4885:31 1.74352e-05 -5 *27993:A2 *6214:51 0.000317082 -6 *27993:A2 *6376:98 0.000230475 -*RES -1 *30637:X *27993:A2 22.3321 -*END - -*D_NET *4698 0.00207235 -*CONN -*I *28441:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30454:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28441:D 0.000657553 -2 *30454:X 0.000657553 -3 *28441:D *28441:RESET_B 3.23658e-05 -4 *28441:D *6317:70 0.000139905 -5 *28441:D *6319:19 2.59355e-05 -6 *1278:29 *28441:D 0.000137198 -7 *1717:14 *28441:D 0.00014652 -8 *3572:55 *28441:D 0.000241404 -9 *4352:19 *28441:D 3.39206e-05 -*RES -1 *30454:X *28441:D 39.2429 -*END - -*D_NET *4699 0.0049075 -*CONN -*I *27188:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30455:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27188:A1 0 -2 *30455:X 0.000884322 -3 *4699:9 0.000884322 -4 *4699:9 *27188:A0 0.00018077 -5 *4699:9 *4928:13 0.000225747 -6 *4699:9 *5736:10 8.46617e-05 -7 *4699:9 *5736:24 7.01471e-05 -8 *4699:9 *5896:17 0.000604185 -9 *4699:9 *5912:81 3.53079e-05 -10 *27074:A1 *4699:9 0.000609051 -11 *30160:A *4699:9 0.000466503 -12 *30557:A *4699:9 9.60939e-05 -13 *976:31 *4699:9 0.000635352 -14 *3924:34 *4699:9 0.00013104 -*RES -1 *30455:X *4699:9 42.3357 -2 *4699:9 *27188:A1 9.3 -*END - -*D_NET *4700 0.00306191 -*CONN -*I *28744:D I *D sky130_fd_sc_hd__dfstp_1 -*I *30456:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28744:D 0.000900834 -2 *30456:X 0.000900834 -3 *28744:D *5769:32 7.15687e-05 -4 *28744:D *5790:9 6.09804e-05 -5 *28498:D *28744:D 2.11646e-05 -6 *28682:CLK *28744:D 3.34366e-05 -7 *28744:CLK *28744:D 0.000177821 -8 *30456:A *28744:D 0.000194853 -9 *3907:108 *28744:D 0.000182729 -10 *3998:13 *28744:D 0 -11 *4037:18 *28744:D 0.000517691 -*RES -1 *30456:X *28744:D 43.2786 -*END - -*D_NET *4701 0.00230692 -*CONN -*I *27170:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30458:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27170:A1 0.000608023 -2 *30458:X 0.000608023 -3 *27170:A1 *25380:B2 4.97097e-05 -4 *27170:A1 *28728:SET_B 2.59355e-05 -5 *27170:A1 *5584:178 0.000148474 -6 *27170:A1 *5748:6 0.000170398 -7 *27170:A1 *5820:46 0.000177821 -8 *27170:A1 *5870:21 6.06291e-06 -9 *1863:14 *27170:A1 0.000172233 -10 *2791:246 *27170:A1 5.33005e-05 -11 *4002:5 *27170:A1 0.000286938 -*RES -1 *30458:X *27170:A1 39.5464 -*END - -*D_NET *4702 0.00100288 -*CONN -*I *28728:D I *D sky130_fd_sc_hd__dfstp_1 -*I *30459:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28728:D 0.000281249 -2 *30459:X 0.000281249 -3 *28728:D *5584:178 2.59355e-05 -4 *28728:D *5708:9 0 -5 *28902:A *28728:D 0.000269428 -6 *30459:A *28728:D 0.000125731 -7 *3601:50 *28728:D 1.92905e-05 -*RES -1 *30459:X *28728:D 32.3679 -*END - -*D_NET *4703 0.0035528 -*CONN -*I *27088:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30460:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27088:A1 0.000621398 -2 *30460:X 0.000621398 -3 *27088:A1 *5625:8 6.07328e-05 -4 *27088:A1 *5700:269 0.000368955 -5 *27088:A1 *6150:18 0.00075656 -6 *27088:S *27088:A1 1.55885e-05 -7 *527:16 *27088:A1 3.61629e-06 -8 *1864:178 *27088:A1 0.000175519 -9 *1864:187 *27088:A1 0.000320882 -10 *4334:15 *27088:A1 0.000608154 -*RES -1 *30460:X *27088:A1 45.1714 -*END - -*D_NET *4704 0.000635155 -*CONN -*I *28656:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30461:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28656:D 0.00014924 -2 *30461:X 0.00014924 -3 *28656:D *5753:177 0.000100823 -4 *28656:D *6150:18 0.000135028 -5 *3864:23 *28656:D 0.000100823 -*RES -1 *30461:X *28656:D 30.2964 -*END - -*D_NET *4705 0.0015422 -*CONN -*I *27007:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30462:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27007:A1 0.000246227 -2 *30462:X 0.000246227 -3 *27007:A1 *28216:RESET_B 0.000136958 -4 *27007:A1 *5678:106 0.000455533 -5 *1270:114 *27007:A1 0.000457255 -*RES -1 *30462:X *27007:A1 34.85 -*END - -*D_NET *4706 0.00344399 -*CONN -*I *28584:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30463:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28584:D 0.000593273 -2 *30463:X 0.000593273 -3 *28584:D *25704:A0 0.000312032 -4 *28584:D *29944:A 0.000388957 -5 *28584:D *5465:37 0.000137983 -6 *28584:D *5468:29 0.000693926 -7 *29715:A *28584:D 2.89016e-05 -8 *1326:68 *28584:D 0.000695641 -*RES -1 *30463:X *28584:D 45.4036 -*END - -*D_NET *4707 0.00220504 -*CONN -*I *27106:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30464:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27106:A1 0.000657987 -2 *30464:X 0.000657987 -3 *1342:26 *27106:A1 0.000268306 -4 *3865:17 *27106:A1 0.000136733 -5 *3890:9 *27106:A1 8.86413e-05 -6 *3890:24 *27106:A1 0.000125355 -7 *3981:42 *27106:A1 0.000270028 -*RES -1 *30464:X *27106:A1 37.8857 -*END - -*D_NET *4708 0.00420925 -*CONN -*I *27996:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *30638:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *27996:A2 0.000769437 -2 *30638:X 0.000769437 -3 *27996:A2 *25734:A0 0.000808572 -4 *27996:A2 *27983:A1 4.81755e-05 -5 *27996:A2 *27983:B2 0.000208072 -6 *27996:A2 *28014:A2 0 -7 *27996:A2 *28982:A 0.000339093 -8 *27996:A2 *29463:A 0.000212176 -9 *27996:A2 *5019:50 0.00030926 -10 *27996:A2 *5690:7 0.000101144 -11 *27996:A2 *6364:32 6.91767e-05 -12 *27996:A2 *6376:138 0 -13 *27996:A2 *6378:154 5.49627e-05 -14 *27986:C *27996:A2 3.71496e-05 -15 *29596:A *27996:A2 0.000482594 -16 *3532:10 *27996:A2 0 -*RES -1 *30638:X *27996:A2 49.7071 -*END - -*D_NET *4709 0.00132606 -*CONN -*I *28672:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30465:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28672:D 0.000359772 -2 *30465:X 0.000359772 -3 *28672:D *5592:24 3.4879e-05 -4 *3633:33 *28672:D 5.21937e-05 -5 *3889:66 *28672:D 0.000260579 -6 *3981:42 *28672:D 0.000258864 -*RES -1 *30465:X *28672:D 34.2071 -*END - -*D_NET *4710 0.00269753 -*CONN -*I *26957:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30466:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26957:A1 0.00079089 -2 *30466:X 0.00079089 -3 *1286:168 *26957:A1 0.000959023 -4 *2853:224 *26957:A1 7.83659e-05 -5 *3538:16 *26957:A1 7.83659e-05 -*RES -1 *30466:X *26957:A1 38.2071 -*END - -*D_NET *4711 0.00148787 -*CONN -*I *28539:D I *D sky130_fd_sc_hd__dfstp_1 -*I *30467:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28539:D 0.000523446 -2 *30467:X 0.000523446 -3 *28539:D *5660:166 5.67751e-05 -4 *28539:D *6225:135 7.83659e-05 -5 *28539:D *6351:26 7.6644e-05 -6 *28539:CLK *28539:D 1.24368e-05 -7 *1266:119 *28539:D 0.000216755 -*RES -1 *30467:X *28539:D 35.9036 -*END - -*D_NET *4712 0.00277322 -*CONN -*I *27115:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30469:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27115:A1 0.000890832 -2 *30469:X 0.000890832 -3 *27115:A1 *5535:71 0.000118987 -4 *30470:A *27115:A1 0.000350124 -5 *30673:A *27115:A1 0.000522445 -*RES -1 *30469:X *27115:A1 43.1179 -*END - -*D_NET *4713 0.00115441 -*CONN -*I *28680:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30470:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28680:D 0.000297139 -2 *30470:X 0.000297139 -3 *28680:D *5535:71 5.52302e-05 -4 *28680:D *5675:147 0.000148189 -5 *28680:D *5752:35 7.2754e-05 -6 *30673:A *28680:D 3.18676e-05 -7 *2782:183 *28680:D 0.000252092 -*RES -1 *30470:X *28680:D 34.725 -*END - -*D_NET *4714 0.00151811 -*CONN -*I *27052:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30471:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27052:A1 0.000514933 -2 *30471:X 0.000514933 -3 *25022:A1 *27052:A1 0.000265453 -4 *28726:CLK *27052:A1 6.05161e-06 -5 *30471:A *27052:A1 0.000128496 -6 *1346:7 *27052:A1 2.72695e-05 -7 *2777:119 *27052:A1 6.09688e-05 -*RES -1 *30471:X *27052:A1 25.2964 -*END - -*D_NET *4715 0.000985306 -*CONN -*I *28624:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30472:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28624:D 0.000337916 -2 *30472:X 0.000337916 -3 *28624:D *5970:43 0.000101346 -4 *30472:A *28624:D 9.96242e-05 -5 *1310:11 *28624:D 0.000108504 -*RES -1 *30472:X *28624:D 32.35 -*END - -*D_NET *4716 0.000459526 -*CONN -*I *25842:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30473:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25842:A1 0.00010335 -2 *30473:X 0.00010335 -3 *25842:A1 *25842:A0 7.83659e-05 -4 *28938:A *25842:A1 9.60939e-05 -5 *1476:10 *25842:A1 7.83659e-05 -*RES -1 *30473:X *25842:A1 29.5821 -*END - -*D_NET *4717 0.00172204 -*CONN -*I *28295:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30474:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28295:D 0.000303913 -2 *30474:X 0.000303913 -3 *28295:D *5545:30 0.000546645 -4 *28312:CLK *28295:D 0.00022266 -5 *30474:A *28295:D 0.000128154 -6 *1872:58 *28295:D 0.000216756 -*RES -1 *30474:X *28295:D 36.8857 -*END - -*D_NET *4718 0.00102869 -*CONN -*I *27197:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30475:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27197:A1 0.000208665 -2 *30475:X 0.000208665 -3 *27197:A1 *27197:A0 9.58181e-05 -4 *2888:212 *27197:A1 0.000106325 -5 *3899:40 *27197:A1 8.42484e-06 -6 *3912:58 *27197:A1 0.000312585 -7 *4041:12 *27197:A1 8.82117e-05 -*RES -1 *30475:X *27197:A1 32.6179 -*END - -*D_NET *4719 0.00266053 -*CONN -*I *27991:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *30639:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *27991:B1 0.00104786 -2 *30639:X 0.00104786 -3 *27991:B1 *26000:A 0.000171313 -4 *27991:B1 *26000:B 0.000129595 -5 *27991:B1 *27991:B2 1.38106e-05 -6 *27991:C1 *27991:B1 3.69047e-06 -7 *30639:A *27991:B1 5.62995e-05 -8 *288:10 *27991:B1 0.000158916 -9 *1942:7 *27991:B1 3.11905e-05 -*RES -1 *30639:X *27991:B1 34.475 -*END - -*D_NET *4720 0.00266308 -*CONN -*I *28752:D I *D sky130_fd_sc_hd__dfstp_1 -*I *30476:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28752:D 0.0011197 -2 *30476:X 0.0011197 -3 *28752:D *28752:SET_B 0.000108231 -4 *28752:D *5629:166 7.86062e-05 -5 *28752:D *5652:12 8.0321e-05 -6 *28752:D *5892:81 2.38044e-05 -7 *30476:A *28752:D 1.58163e-05 -8 *4041:12 *28752:D 0.000116898 -*RES -1 *30476:X *28752:D 40.2607 -*END - -*D_NET *4721 0.00160848 -*CONN -*I *25627:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30477:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25627:A1 0.000486053 -2 *30477:X 0.000486053 -3 *25627:A1 *5892:74 0.00063637 -*RES -1 *30477:X *25627:A1 24.8857 -*END - -*D_NET *4722 0.00101356 -*CONN -*I *28123:D I *D sky130_fd_sc_hd__dfstp_1 -*I *30478:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28123:D 0.000253119 -2 *30478:X 0.000253119 -3 *3538:16 *28123:D 0.00012465 -4 *3690:63 *28123:D 0.000259549 -5 *3913:50 *28123:D 0.000123126 -*RES -1 *30478:X *28123:D 31.8321 -*END - -*D_NET *4723 0.000534199 -*CONN -*I *27034:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30481:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27034:A1 0.000127245 -2 *30481:X 0.000127245 -3 *27034:A1 *5608:71 0.000123295 -4 *24855:A *27034:A1 3.14048e-05 -5 *3572:95 *27034:A1 0.00012501 -*RES -1 *30481:X *27034:A1 30.1893 -*END - -*D_NET *4724 0.00080875 -*CONN -*I *28608:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30482:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28608:D 0.000187293 -2 *30482:X 0.000187293 -3 *28608:D *5667:286 0.000176226 -4 *1339:26 *28608:D 0.000176226 -5 *3633:9 *28608:D 5.33005e-05 -6 *3633:13 *28608:D 2.84109e-05 -*RES -1 *30482:X *28608:D 31.7429 -*END - -*D_NET *4725 0.001151 -*CONN -*I *27166:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30483:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27166:A0 0.00040937 -2 *30483:X 0.00040937 -3 *27166:A0 *30483:A 4.82947e-05 -4 *27166:A0 *5678:129 0.000125153 -5 *3890:24 *27166:A0 7.43578e-06 -6 *3982:46 *27166:A0 0.000151376 -*RES -1 *30483:X *27166:A0 33.3679 -*END - -*D_NET *4726 0.00334109 -*CONN -*I *28725:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30484:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28725:D 0.000577414 -2 *30484:X 0.000577414 -3 *28725:D *27106:A0 7.95355e-05 -4 *28725:D *27106:S 0.000386924 -5 *28725:D *5602:52 1.4621e-05 -6 *28725:D *5873:91 0.00011994 -7 *28725:CLK *28725:D 0.000232864 -8 *30308:A *28725:D 9.58181e-05 -9 *1367:18 *28725:D 0.000525256 -10 *3865:17 *28725:D 2.12005e-05 -11 *3865:33 *28725:D 0.000491545 -12 *3994:13 *28725:D 0.00021856 -*RES -1 *30484:X *28725:D 44.3857 -*END - -*D_NET *4727 0.00173418 -*CONN -*I *26984:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30485:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26984:A1 0.000520612 -2 *30485:X 0.000520612 -3 *26984:A1 *5650:234 1.97756e-05 -4 *26984:A1 *5660:157 0.000267807 -5 *26984:S *26984:A1 0.00013757 -6 *2874:192 *26984:A1 9.25014e-06 -7 *2874:209 *26984:A1 6.73939e-05 -8 *2874:321 *26984:A1 0.000191163 -*RES -1 *30485:X *26984:A1 36.1179 -*END - -*D_NET *4728 0.0012527 -*CONN -*I *28563:D I *D sky130_fd_sc_hd__dfstp_1 -*I *30486:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28563:D 0.000368291 -2 *30486:X 0.000368291 -3 *28563:D *5632:53 0.000122682 -4 *1281:145 *28563:D 0.000218685 -5 *3703:13 *28563:D 5.035e-05 -6 *3913:50 *28563:D 0.000124404 -*RES -1 *30486:X *28563:D 33.0643 -*END - -*D_NET *4729 0.00115101 -*CONN -*I *27211:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30487:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27211:A1 0.000247264 -2 *30487:X 0.000247264 -3 *24980:B1 *27211:A1 2.42516e-05 -4 *30488:A *27211:A1 2.59355e-05 -5 *1317:30 *27211:A1 0.000301702 -6 *4007:6 *27211:A1 0.000304592 -*RES -1 *30487:X *27211:A1 33.0286 -*END - -*D_NET *4730 0.00263517 -*CONN -*I *27999:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *30640:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *27999:A2 0.00035927 -2 *30640:X 0.00035927 -3 *27999:A2 *28005:A2 3.28686e-05 -4 *27999:A2 *28005:B2 5.52302e-05 -5 *27999:A2 *4785:17 0.000121574 -6 *27999:A2 *4797:11 0.000244849 -7 *27999:A2 *4885:31 1.73088e-05 -8 *27999:A2 *6376:121 0.000606699 -9 *27999:A2 *6376:138 0.000482594 -10 *28005:B1 *27999:A2 3.33238e-05 -11 *4586:21 *27999:A2 0.000198352 -12 *4586:37 *27999:A2 0.000123829 -*RES -1 *30640:X *27999:A2 32.1357 -*END - -*D_NET *4731 0.00217872 -*CONN -*I *28765:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30488:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28765:D 0.000744528 -2 *30488:X 0.000744528 -3 *28765:D *5602:52 1.16839e-05 -4 *28765:D *5606:55 9.02017e-05 -5 *28765:D *5753:53 1.61554e-05 -6 *28765:D *5873:91 1.80827e-05 -7 *24980:B1 *28765:D 0.000136119 -8 *30488:A *28765:D 0.000175892 -9 *1347:19 *28765:D 7.14719e-05 -10 *3348:17 *28765:D 2.22164e-05 -11 *3995:32 *28765:D 0.000135405 -12 *4232:13 *28765:D 1.24368e-05 -*RES -1 *30488:X *28765:D 42.2585 -*END - -*D_NET *4732 0.00162867 -*CONN -*I *25866:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30489:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25866:A0 0.000338513 -2 *30489:X 0.000338513 -3 *25866:A0 *25866:A1 0.000175892 -4 *25866:A0 *5706:8 1.69961e-05 -5 *25866:A0 *5707:9 0.000136951 -6 *25866:A0 *5707:28 4.08637e-05 -7 *25866:A0 *5742:332 0.00038214 -8 *2850:98 *25866:A0 9.94004e-05 -9 *4152:51 *25866:A0 9.94004e-05 -*RES -1 *30489:X *25866:A0 34.8143 -*END - -*D_NET *4733 0.00247481 -*CONN -*I *28311:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30490:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28311:D 0.000545651 -2 *30490:X 0.000545651 -3 *28311:D *28311:RESET_B 2.29097e-05 -4 *28311:D *5623:23 0.000259267 -5 *30490:A *28311:D 0.000256256 -6 *400:14 *28311:D 0.000129147 -7 *1886:18 *28311:D 6.57032e-05 -8 *2855:121 *28311:D 0.00014534 -9 *3119:16 *28311:D 2.33274e-05 -10 *3713:39 *28311:D 0.00048156 -*RES -1 *30490:X *28311:D 39.2786 -*END - -*D_NET *4734 0.00232257 -*CONN -*I *27097:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30492:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27097:A0 0.000578224 -2 *30492:X 0.000578224 -3 *27097:A0 *27097:A1 5.71472e-05 -4 *27097:A0 *5752:46 7.34866e-05 -5 *27097:S *27097:A0 0.000212443 -6 *30493:A *27097:A0 4.01768e-05 -7 *1342:11 *27097:A0 0.000695307 -8 *3903:26 *27097:A0 8.75582e-05 -*RES -1 *30492:X *27097:A0 39.9571 -*END - -*D_NET *4735 0.001658 -*CONN -*I *28664:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30493:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28664:D 0.00042834 -2 *30493:X 0.00042834 -3 *28664:D *4907:10 0 -4 *25018:B2 *28664:D 0.00014285 -5 *1342:11 *28664:D 8.07313e-05 -6 *1366:13 *28664:D 0.000478632 -7 *4685:8 *28664:D 9.91086e-05 -*RES -1 *30493:X *28664:D 34.9393 -*END - -*D_NET *4736 0.00140295 -*CONN -*I *27155:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30494:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27155:A0 0.000258882 -2 *30494:X 0.000258882 -3 *27155:A0 *27155:A1 2.84026e-05 -4 *27155:A0 *5838:31 0.000109271 -5 *27158:S *27155:A0 2.28598e-05 -6 *30494:A *27155:A0 0.000185824 -7 *2789:44 *27155:A0 2.59355e-05 -8 *3637:59 *27155:A0 0.000512894 -*RES -1 *30494:X *27155:A0 25.2964 -*END - -*D_NET *4737 0.00426675 -*CONN -*I *28715:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30495:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28715:D 0.00147409 -2 *30495:X 0.00147409 -3 *28715:D *28715:RESET_B 2.1692e-05 -4 *28715:D *5626:19 2.73311e-05 -5 *27158:S *28715:D 5.60201e-05 -6 *1247:25 *28715:D 0.00121352 -*RES -1 *30495:X *28715:D 36.8143 -*END - -*D_NET *4738 0.00193497 -*CONN -*I *26958:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30496:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26958:A1 0.000604496 -2 *30496:X 0.000604496 -3 *27353:A2 *26958:A1 0.000725983 -*RES -1 *30496:X *26958:A1 26.1179 -*END - -*D_NET *4739 0.00105315 -*CONN -*I *28540:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30497:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28540:D 0.000324745 -2 *30497:X 0.000324745 -3 *25182:B1 *28540:D 0.00013833 -4 *30497:A *28540:D 0.000100823 -5 *3899:40 *28540:D 0.000102545 -6 *3952:68 *28540:D 6.19664e-05 -*RES -1 *30497:X *28540:D 32.35 -*END - -*D_NET *4740 0.0130651 -*CONN -*I *25604:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30498:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25604:A0 0.00149281 -2 *30498:X 0.00142392 -3 *4740:33 0.00291673 -4 *25604:A0 *25604:A1 0.000175892 -5 *25604:A0 *4881:17 6.25524e-05 -6 *25604:A0 *4952:13 5.38313e-05 -7 *25604:A0 *5675:223 0.000321882 -8 *25604:A0 *5707:222 0.00046001 -9 *25604:A0 *5746:21 3.06868e-05 -10 *25604:A0 *5944:17 0.00144392 -11 *25604:A0 *5945:18 9.39125e-05 -12 *25604:A0 *6070:13 6.09762e-05 -13 *25604:A0 *6142:14 0.000115769 -14 *4740:33 *28644:D 2.28598e-05 -15 *4740:33 *29838:A 0.00012191 -16 *4740:33 *5513:32 7.30957e-06 -17 *4740:33 *5521:67 0.000118055 -18 *4740:33 *5537:14 8.6229e-06 -19 *4740:33 *5707:222 0.000333946 -20 *4740:33 *5747:21 0.000335699 -21 *4740:33 *6178:35 8.50544e-05 -22 *27075:S *25604:A0 0.000139452 -23 *29562:A *25604:A0 0.000806351 -24 *30686:A *25604:A0 6.9232e-05 -25 *30686:A *4740:33 2.04825e-05 -26 *11:17 *4740:33 2.48175e-05 -27 *39:26 *4740:33 0.00071429 -28 *40:30 *4740:33 4.25457e-05 -29 *244:50 *4740:33 0.000260574 -30 *775:23 *4740:33 0.000128994 -31 *995:25 *4740:33 5.58004e-06 -32 *1240:130 *25604:A0 7.30149e-05 -33 *1815:19 *25604:A0 1.47872e-05 -34 *2780:94 *25604:A0 2.04825e-05 -35 *2780:100 *4740:33 0.000775236 -36 *3638:100 *4740:33 1.90936e-05 -37 *3847:14 *4740:33 0.000203556 -38 *3847:25 *25604:A0 3.32582e-05 -39 *4528:14 *25604:A0 2.70341e-05 -*RES -1 *30498:X *4740:33 48.2632 -2 *4740:33 *25604:A0 35.9464 -*END - -*D_NET *4741 0.00337243 -*CONN -*I *28002:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *30641:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *28002:A2 0.00105165 -2 *30641:X 0.00105165 -3 *28002:A2 *27994:A2 3.88534e-05 -4 *28002:A2 *28006:A2 7.5779e-05 -5 *28002:A2 *4797:11 0.000788265 -6 *28002:A2 *5674:76 0.000127279 -7 *28002:A2 *5674:91 0.000178306 -8 *28003:C1 *28002:A2 4.72818e-05 -9 *28006:C1 *28002:A2 1.33594e-05 -10 *30638:A *28002:A2 0 -*RES -1 *30641:X *28002:A2 43.9304 -*END - -*D_NET *4742 0.0118631 -*CONN -*I *28104:D I *D sky130_fd_sc_hd__dfstp_2 -*I *30499:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28104:D 0 -2 *30499:X 0.00213447 -3 *4742:17 0.00213447 -4 *4742:17 *29029:A 5.33334e-05 -5 *4742:17 *30946:A 0.000348093 -6 *4742:17 *5746:97 8.41716e-05 -7 *4742:17 *5757:21 0.00317739 -8 *4742:17 *6008:26 0.00030563 -9 *4742:17 *6009:23 0.000864726 -10 *4742:17 *6104:19 0.000209327 -11 *4742:17 *6141:21 0.00156115 -12 *4742:17 *6314:11 6.10074e-05 -13 pll_trim[24] *4742:17 9.71197e-05 -14 pll_trim[25] *4742:17 0.000106325 -15 *282:15 *4742:17 0.000105739 -16 *456:17 *4742:17 0.000144494 -17 *775:23 *4742:17 0.000475677 -18 *4352:19 *4742:17 0 -*RES -1 *30499:X *4742:17 44.3462 -2 *4742:17 *28104:D 9.3 -*END - -*D_NET *4743 0.00707105 -*CONN -*I *26866:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25712:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30500:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26866:A1 0.000290099 -2 *25712:A0 0.000939992 -3 *30500:X 0.000261893 -4 *4743:10 0.00149198 -5 *25712:A0 *25712:A1 9.09891e-05 -6 *25712:A0 *26901:B 6.09762e-05 -7 *25712:A0 *30876:A 0.000218409 -8 *25712:A0 *5589:135 0.0003239 -9 *26866:A1 *30876:A 0.000751191 -10 *4743:10 *25381:A2 0 -11 *4743:10 *25381:B2 0.000344538 -12 *4743:10 *26905:A0 1.69115e-05 -13 *791:11 *26866:A1 0.000197078 -14 *3613:5 *25712:A0 7.95355e-05 -15 *3613:5 *26866:A1 4.58194e-05 -16 *3613:7 *25712:A0 2.59355e-05 -17 *3613:56 *25712:A0 0.000185297 -18 *3613:58 *25712:A0 0.000327413 -19 *3707:38 *25712:A0 0.000597417 -20 *3718:157 *25712:A0 5.88081e-05 -21 *4465:17 *4743:10 0.00015815 -22 *4466:10 *4743:10 0.00054976 -23 *4511:19 *4743:10 5.49544e-05 -*RES -1 *30500:X *4743:10 26.2464 -2 *4743:10 *25712:A0 35.6214 -3 *4743:10 *26866:A1 16.8179 -*END - -*D_NET *4744 0.00609811 -*CONN -*I *28458:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30501:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28458:D 0 -2 *30501:X 0.00159503 -3 *4744:15 0.00159503 -4 *4744:15 *5587:169 0.000249531 -5 *4744:15 *5910:69 0.00127779 -6 *4744:15 *5911:79 1.68659e-05 -7 *4744:15 *5911:81 0.000471249 -8 *4744:15 *5911:94 9.25014e-06 -9 *28458:CLK *4744:15 7.89895e-05 -10 *30077:A *4744:15 0.000178847 -11 *1382:16 *4744:15 0.000124424 -12 *2769:30 *4744:15 0.000138103 -13 *4239:17 *4744:15 1.90936e-05 -14 *4320:16 *4744:15 0.000343908 -*RES -1 *30501:X *4744:15 46.3357 -2 *4744:15 *28458:D 9.3 -*END - -*D_NET *4745 0.000221827 -*CONN -*I *25632:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30503:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25632:A1 5.96411e-05 -2 *30503:X 5.96411e-05 -3 *25632:A1 *5503:8 0.000102545 -4 *1490:82 *25632:A1 0 -*RES -1 *30503:X *25632:A1 28.9393 -*END - -*D_NET *4746 0.00168477 -*CONN -*I *28128:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30504:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28128:D 0.000697092 -2 *30504:X 0.000697092 -3 *28128:D *5240:8 0.000174805 -4 *1307:23 *28128:D 5.74562e-05 -5 *3634:25 *28128:D 5.83233e-05 -*RES -1 *30504:X *28128:D 36.5464 -*END - -*D_NET *4747 0.00239158 -*CONN -*I *25746:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25697:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30505:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25746:A1 0 -2 *25697:A0 0.000181868 -3 *30505:X 0.000349602 -4 *4747:10 0.00053147 -5 *25697:A0 *5739:170 0.000103812 -6 *25697:A0 *5742:38 4.00607e-05 -7 *4747:10 *25654:A1 0.000456985 -8 *4747:10 *25697:A1 6.98302e-05 -9 *4747:10 *30505:A 3.30352e-05 -10 *4747:10 *5739:170 4.58194e-05 -11 *6609:DIODE *25697:A0 2.14658e-05 -12 *29108:A *25697:A0 0.00024259 -13 *29108:A *4747:10 3.06466e-05 -14 *1769:17 *25697:A0 9.41642e-05 -15 *1810:36 *25697:A0 2.06112e-05 -16 *1810:36 *4747:10 0.000169617 -*RES -1 *30505:X *4747:10 26.8714 -2 *4747:10 *25697:A0 23.3179 -3 *4747:10 *25746:A1 9.3 -*END - -*D_NET *4748 0.00344876 -*CONN -*I *28213:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30506:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28213:D 0.000799622 -2 *30506:X 0.000799622 -3 *28213:D *28145:D 0.00038907 -4 *28213:D *5727:20 6.39932e-05 -5 *28213:D *5727:36 2.47627e-05 -6 *25538:A3 *28213:D 0.000700758 -7 *25538:B2 *28213:D 0.000128291 -8 *29585:A *28213:D 0.000139907 -9 *30506:A *28213:D 0.000128409 -10 *4160:57 *28213:D 0.000242913 -11 *4196:18 *28213:D 3.14163e-05 -*RES -1 *30506:X *28213:D 46.1179 -*END - -*D_NET *4749 0.00277633 -*CONN -*I *26966:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30507:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26966:A1 0.000598471 -2 *30507:X 0.000598471 -3 *26966:A1 *26966:A0 0.000426141 -4 *26966:A1 *5764:131 2.5206e-05 -5 *26966:S *26966:A1 5.33005e-05 -6 *30507:A *26966:A1 5.96516e-05 -7 *30508:A *26966:A1 4.22431e-05 -8 *2871:298 *26966:A1 0.000354178 -9 *2872:260 *26966:A1 3.97677e-05 -10 *2922:20 *26966:A1 9.06541e-05 -11 *3835:45 *26966:A1 0.000339346 -12 *4107:40 *26966:A1 0.000148903 -*RES -1 *30507:X *26966:A1 39.2429 -*END - -*D_NET *4750 0.00196243 -*CONN -*I *28547:D I *D sky130_fd_sc_hd__dfstp_1 -*I *30508:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28547:D 0.000544281 -2 *30508:X 0.000544281 -3 *28547:D *5702:84 0.000111218 -4 *28925:A *28547:D 0.000632529 -5 *2872:260 *28547:D 0.000124534 -6 *3912:35 *28547:D 5.58875e-06 -*RES -1 *30508:X *28547:D 35.5286 -*END - -*D_NET *4751 0.00212536 -*CONN -*I *27142:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30509:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27142:A1 0.000297142 -2 *30509:X 0.000297142 -3 *27142:A1 *5678:204 0.000600191 -4 *24991:B1 *27142:A1 0.000341525 -5 *1218:154 *27142:A1 0.000341525 -6 *1278:41 *27142:A1 0.000151259 -7 *1278:58 *27142:A1 4.13496e-05 -8 *2787:17 *27142:A1 5.52238e-05 -*RES -1 *30509:X *27142:A1 37.85 -*END - -*D_NET *4752 0.00310621 -*CONN -*I *28006:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *30642:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *28006:A2 0.000485352 -2 *30642:X 0.000485352 -3 *28006:A2 *28008:A2 7.17235e-05 -4 *28006:A2 *28008:B2 0.000354389 -5 *28006:A2 *4785:17 0.000274294 -6 *28006:A2 *4797:11 0.000670311 -7 *28006:A2 *5674:91 0.000294733 -8 *28002:A2 *28006:A2 7.5779e-05 -9 *28008:B1 *28006:A2 3.15361e-05 -10 *28009:C1 *28006:A2 4.46309e-05 -11 *30638:A *28006:A2 0.000318107 -*RES -1 *30642:X *28006:A2 41.7518 -*END - -*D_NET *4753 0.000922207 -*CONN -*I *28704:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30510:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28704:D 0.000261281 -2 *30510:X 0.000261281 -3 *28704:D *28704:RESET_B 9.41642e-05 -4 *28704:D *5523:96 0.000100474 -5 *28704:D *5530:32 7.3237e-05 -6 *1264:116 *28704:D 0.000102196 -7 *3826:26 *28704:D 2.95726e-05 -*RES -1 *30510:X *28704:D 32.0643 -*END - -*D_NET *4754 0.00316491 -*CONN -*I *26885:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30511:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26885:A1 0.000721212 -2 *30511:X 0.000721212 -3 *26885:A1 *27101:S 0.00038021 -4 *26885:A1 *5708:117 0.000340995 -5 *26885:A1 *5708:141 1.21258e-05 -6 *27347:A1 *26885:A1 3.27024e-05 -7 *27347:A2 *26885:A1 7.86118e-06 -8 *27347:B2 *26885:A1 7.76892e-05 -9 *3807:49 *26885:A1 0.000303362 -10 *3846:34 *26885:A1 0.000284626 -11 *3992:54 *26885:A1 0.000282912 -*RES -1 *30511:X *26885:A1 42.1714 -*END - -*D_NET *4755 0.00280199 -*CONN -*I *28475:D I *D sky130_fd_sc_hd__dfstp_1 -*I *30512:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28475:D 0.000708413 -2 *30512:X 0.000708413 -3 *28475:CLK *28475:D 5.33005e-05 -4 *1456:134 *28475:D 0.000711998 -5 *2784:67 *28475:D 0.000311879 -6 *4054:26 *28475:D 0.000307986 -*RES -1 *30512:X *28475:D 39.3143 -*END - -*D_NET *4756 0.00216875 -*CONN -*I *25872:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30514:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25872:A1 0.000589428 -2 *30514:X 0.000589428 -3 *499:10 *25872:A1 9.60201e-05 -4 *3570:50 *25872:A1 0.000222666 -5 *4127:32 *25872:A1 0.000194491 -6 *4164:153 *25872:A1 0.000476715 -*RES -1 *30514:X *25872:A1 36.975 -*END - -*D_NET *4757 0.0016545 -*CONN -*I *28326:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30515:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28326:D 0.000410078 -2 *30515:X 0.000410078 -3 *28326:D *25655:A1 7.16452e-05 -4 *28326:D *5583:11 0.000431864 -5 *28326:D *5712:52 9.60808e-05 -6 *499:10 *28326:D 0.000136951 -7 *3934:28 *28326:D 9.78027e-05 -*RES -1 *30515:X *28326:D 34.5286 -*END - -*D_NET *4758 0.00307663 -*CONN -*I *25824:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30516:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25824:A0 0.000531287 -2 *30516:X 0.000531287 -3 *25824:A0 *5136:11 1.721e-05 -4 *25824:A0 *5740:162 9.73347e-05 -5 *25824:A0 *5740:166 0.000258937 -6 *25824:A0 *5740:175 0.000110089 -7 *1763:22 *25824:A0 0.00043038 -8 *1795:19 *25824:A0 0.000136951 -9 *4546:20 *25824:A0 0.000509585 -10 *4560:14 *25824:A0 0.000453574 -*RES -1 *30516:X *25824:A0 42.4393 -*END - -*D_NET *4759 0.00764148 -*CONN -*I *28280:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30517:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28280:D 0 -2 *30517:X 0.00226888 -3 *4759:8 0.00226888 -4 *4759:8 *28168:D 0.000165123 -5 *4759:8 *5545:59 6.81247e-05 -6 *24866:B *4759:8 0.00010629 -7 *25540:B1 *4759:8 6.7848e-05 -8 *28168:CLK *4759:8 9.07341e-06 -9 *28168:RESET_B *4759:8 0.000305353 -10 *28280:CLK *4759:8 3.55632e-05 -11 *359:14 *4759:8 0.000478842 -12 *1175:56 *4759:8 5.35702e-05 -13 *1192:25 *4759:8 3.02916e-05 -14 *1749:21 *4759:8 0.000817041 -15 *1795:26 *4759:8 0.000103091 -16 *3857:21 *4759:8 0.000723848 -17 *4195:179 *4759:8 2.50593e-05 -18 *4546:20 *4759:8 0.000114611 -19 *4548:15 *4759:8 0 -*RES -1 *30517:X *4759:8 49.9607 -2 *4759:8 *28280:D 13.8 -*END - -*D_NET *4760 0.00153792 -*CONN -*I *25636:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30518:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25636:A0 0.000167512 -2 *30518:X 0.000167512 -3 *25636:A0 *25636:A1 0.000183726 -4 *25636:A0 *28995:A 0.000170385 -5 *25636:A0 *5007:16 0.000689881 -6 *30518:A *25636:A0 0.000139019 -7 *1827:36 *25636:A0 1.98839e-05 -*RES -1 *30518:X *25636:A0 25.2964 -*END - -*D_NET *4761 0.00155169 -*CONN -*I *28131:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30519:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28131:D 0.000370835 -2 *30519:X 0.000370835 -3 *28131:D *25634:B 5.80706e-06 -4 *28131:D *25653:A1 0.000134461 -5 *28131:D *28995:A 3.50062e-05 -6 *28131:D *5712:52 5.66157e-05 -7 *28131:D *5727:202 7.83659e-05 -8 *3710:38 *28131:D 0.000499762 -*RES -1 *30519:X *28131:D 36.6893 -*END - -*D_NET *4762 0.00239625 -*CONN -*I *27079:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30520:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27079:A1 0.000474659 -2 *30520:X 0.000474659 -3 *27079:A1 *27272:A 3.48001e-05 -4 *27079:S *27079:A1 5.68713e-05 -5 *19:25 *27079:A1 0.00026353 -6 *2799:18 *27079:A1 0.000731804 -7 *2838:31 *27079:A1 5.52238e-05 -8 *4574:37 *27079:A1 0.000304703 -*RES -1 *30520:X *27079:A1 30.9214 -*END - -*D_NET *4763 0.00318124 -*CONN -*I *28009:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *30643:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *28009:A2 0.000343404 -2 *30643:X 0.000343404 -3 *28009:A2 *28000:A2 0.00121135 -4 *28009:A2 *28003:A2 0.00122276 -5 *28009:A2 *28014:A2 1.89507e-06 -6 *28009:A2 *6376:138 2.231e-05 -7 *28009:A2 *6376:145 1.46576e-05 -8 *292:21 *28009:A2 8.7636e-06 -9 *3532:10 *28009:A2 1.26938e-05 -*RES -1 *30643:X *28009:A2 38.2559 -*END - -*D_NET *4764 0.00256298 -*CONN -*I *28648:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30521:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28648:D 0.000933231 -2 *30521:X 0.000933231 -3 *28648:D *28648:RESET_B 0.000200482 -4 *28648:D *5521:67 0.000335572 -5 *30670:A *28648:D 0.000137983 -6 *22:18 *28648:D 2.24763e-05 -*RES -1 *30521:X *28648:D 32.1714 -*END - -*D_NET *4765 0.00255792 -*CONN -*I *27061:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30522:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27061:A1 0.000446639 -2 *30522:X 0.000446639 -3 *27061:A1 *27061:A0 9.90367e-05 -4 *27061:A1 *27233:A 5.68722e-05 -5 *27061:A1 *27252:A 2.39313e-05 -6 *27061:A1 *5678:216 0.000215102 -7 *27061:A1 *6386:35 3.34582e-05 -8 *27143:A1 *27061:A1 0.000259549 -9 *30322:A *27061:A1 0.000146721 -10 *30522:A *27061:A1 0.000428908 -11 *2811:15 *27061:A1 0.000401066 -*RES -1 *30522:X *27061:A1 30.225 -*END - -*D_NET *4766 0.000762007 -*CONN -*I *28632:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30523:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28632:D 0.000108096 -2 *30523:X 0.000108096 -3 *28632:CLK *28632:D 5.52302e-05 -4 *30523:A *28632:D 0.000145327 -5 *1278:41 *28632:D 0.000345257 -*RES -1 *30523:X *28632:D 22.0107 -*END - -*D_NET *4767 0.00279404 -*CONN -*I *25654:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30525:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25654:A0 0.000719037 -2 *30525:X 0.000719037 -3 *25654:A0 *25546:A1 0.000125243 -4 *25654:A0 *25653:A0 0.000226513 -5 *25654:A0 *5739:170 5.57914e-05 -6 *29287:A *25654:A0 1.5424e-05 -7 *719:17 *25654:A0 0.000476709 -8 *1810:36 *25654:A0 0 -9 *1844:66 *25654:A0 0.000456284 -*RES -1 *30525:X *25654:A0 40.6 -*END - -*D_NET *4768 0.00158566 -*CONN -*I *28146:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30526:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28146:D 0.000486647 -2 *30526:X 0.000486647 -3 *28146:D *25495:B2 0 -4 *28146:D *28386:D 8.0786e-06 -5 *28146:D *5386:8 2.26973e-05 -6 *28146:D *5542:12 0 -7 *28146:CLK *28146:D 9.25014e-06 -8 *28386:CLK *28146:D 7.43578e-06 -9 *30525:A *28146:D 0.000114814 -10 *1430:50 *28146:D 0.00026932 -11 *4188:20 *28146:D 0.00018077 -*RES -1 *30526:X *28146:D 37.2964 -*END - -*D_NET *4769 0.0015831 -*CONN -*I *25878:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30527:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25878:A0 0.000501212 -2 *30527:X 0.000501212 -3 *25878:A0 *25878:A1 5.33005e-05 -4 *25878:A0 *5740:99 2.79421e-05 -5 *25304:A1 *25878:A0 2.22618e-05 -6 *499:10 *25878:A0 0.000144038 -7 *1769:17 *25878:A0 3.37161e-05 -8 *1888:39 *25878:A0 0.000181803 -9 *3750:5 *25878:A0 2.59355e-05 -10 *3750:11 *25878:A0 1.98839e-05 -11 *4127:32 *25878:A0 7.17932e-05 -*RES -1 *30527:X *25878:A0 35.5464 -*END - -*D_NET *4770 0.00176277 -*CONN -*I *28331:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30528:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28331:D 0.000522079 -2 *30528:X 0.000522079 -3 *28331:D *28331:RESET_B 2.29097e-05 -4 *28331:D *5706:78 6.77953e-05 -5 *28331:D *5743:18 0.000312736 -6 *25871:S *28331:D 0.00030394 -7 *25872:S *28331:D 1.12323e-05 -*RES -1 *30528:X *28331:D 37.9571 -*END - -*D_NET *4771 0.00209483 -*CONN -*I *25847:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30529:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25847:A1 0.000466831 -2 *30529:X 0.000466831 -3 *25847:A1 *25850:S 0 -4 *25847:A1 *28299:RESET_B 4.79842e-05 -5 *25847:A1 *5545:28 3.94228e-05 -6 *25847:A1 *5545:30 0.000726787 -7 *25847:A1 *5841:22 0 -8 *26715:A1 *25847:A1 8.99002e-05 -9 *2006:36 *25847:A1 0.000117164 -10 *3553:17 *25847:A1 0.000139907 -*RES -1 *30529:X *25847:A1 39.7071 -*END - -*D_NET *4772 0.00041463 -*CONN -*I *28299:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30530:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28299:D 0.000131099 -2 *30530:X 0.000131099 -3 *28299:D *5545:30 0 -4 *3564:11 *28299:D 9.90431e-05 -5 *3658:10 *28299:D 5.33882e-05 -*RES -1 *30530:X *28299:D 29.8857 -*END - -*D_NET *4773 0.00200832 -*CONN -*I *25642:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30531:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25642:A1 0.000299427 -2 *30531:X 0.000299427 -3 *25642:A1 *25307:B2 0.000145398 -4 *25642:A1 *28136:D 9.58181e-05 -5 *25642:A1 *5712:117 5.63883e-05 -6 *1471:95 *25642:A1 0.00014712 -7 *3945:34 *25642:A1 0.000666256 -8 *3971:5 *25642:A1 0.000257619 -9 *3971:23 *25642:A1 4.08637e-05 -*RES -1 *30531:X *25642:A1 36.2429 -*END - -*D_NET *4774 0.0088543 -*CONN -*I *27988:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *30644:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *27988:A2 9.44858e-05 -2 *30644:X 0.00219445 -3 *4774:19 0.00228894 -4 *27988:A2 *4841:27 0.000295175 -5 *27988:A2 *5674:38 4.43256e-05 -6 *27988:A2 *6376:80 7.80096e-05 -7 *27988:A2 *6378:90 0.000222194 -8 *4774:19 *27994:A2 0.0001698 -9 *4774:19 *28002:A1 2.45945e-05 -10 *4774:19 *5457:30 0.00142387 -11 *4774:19 *5674:38 0.000136958 -12 *4774:19 *5674:48 5.52238e-05 -13 *4774:19 *5674:62 0.000564322 -14 *4774:19 *6214:51 0.000161441 -15 *4774:19 *6376:102 0 -16 *28000:B1 *4774:19 0 -17 *28001:A0 *4774:19 0 -18 *30641:A *4774:19 7.69776e-06 -19 *290:21 *4774:19 0.000197425 -20 *4586:21 *4774:19 0.00087884 -21 *4652:20 *4774:19 1.65493e-05 -*RES -1 *30644:X *4774:19 48.7072 -2 *4774:19 *27988:A2 22.1304 -*END - -*D_NET *4775 0.00141355 -*CONN -*I *28136:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30532:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28136:D 0.000269805 -2 *30532:X 0.000269805 -3 *28136:D *25307:B2 0.000148903 -4 *28136:D *5712:117 0.000225947 -5 *25642:A1 *28136:D 9.58181e-05 -6 *3945:34 *28136:D 0.00025265 -7 *3958:34 *28136:D 0.000150625 -*RES -1 *30532:X *28136:D 35.4214 -*END - -*D_NET *4776 0.00202882 -*CONN -*I *25932:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30533:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25932:A1 0.000498215 -2 *30533:X 0.000498215 -3 *1622:17 *25932:A1 0.000507686 -4 *3129:20 *25932:A1 0.00026235 -5 *3910:51 *25932:A1 0.00026235 -*RES -1 *30533:X *25932:A1 36.1179 -*END - -*D_NET *4777 0.00123151 -*CONN -*I *28376:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30534:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28376:D 0.000290143 -2 *30534:X 0.000290143 -3 *30534:A *28376:D 0.000175512 -4 *3775:30 *28376:D 0.000177234 -5 *3814:63 *28376:D 0.000298483 -*RES -1 *30534:X *28376:D 32.85 -*END - -*D_NET *4778 0.00257031 -*CONN -*I *25800:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30536:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25800:A1 0.000353607 -2 *30536:X 0.000353607 -3 *1622:13 *25800:A1 0.00068226 -4 *1872:31 *25800:A1 3.27359e-05 -5 *1877:42 *25800:A1 0.000321474 -6 *3165:297 *25800:A1 0.000228773 -7 *3570:43 *25800:A1 0.000597852 -*RES -1 *30536:X *25800:A1 38.6714 -*END - -*D_NET *4779 0.00119441 -*CONN -*I *28259:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30537:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28259:D 0.000269175 -2 *30537:X 0.000269175 -3 *27913:A1 *28259:D 0.00016646 -4 *3570:50 *28259:D 0.000137561 -5 *3830:36 *28259:D 0.000352037 -*RES -1 *30537:X *28259:D 33.6357 -*END - -*D_NET *4780 0.00192949 -*CONN -*I *25685:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30538:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25685:A0 0.000605347 -2 *30538:X 0.000605347 -3 *25685:A0 *25739:S 0 -4 *25685:A0 *30005:A 4.03318e-05 -5 *25685:A0 *30538:A 0.000486019 -6 *25685:A0 *30563:A 0 -7 *25685:A0 *5462:70 2.56694e-05 -8 *25685:A0 *5914:6 0.000115689 -9 *4226:23 *25685:A0 5.10822e-05 -*RES -1 *30538:X *25685:A0 38.1357 -*END - -*D_NET *4781 0.00492561 -*CONN -*I *28173:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30539:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28173:D 0 -2 *30539:X 0.000844121 -3 *4781:15 0.000844121 -4 *4781:15 *25684:A1 0.00088361 -5 *4781:15 *25684:S 6.42095e-05 -6 *4781:15 *30860:A 0.000170964 -7 *4781:15 *30900:A 0.000637825 -8 *4781:15 *5825:8 0.000262502 -9 *4781:15 *5847:8 5.2177e-05 -10 *25684:A0 *4781:15 0.000186662 -11 *30539:A *4781:15 0.00021529 -12 *30721:A *4781:15 0.000360116 -13 *30722:A *4781:15 0.000404009 -*RES -1 *30539:X *4781:15 43.5679 -2 *4781:15 *28173:D 9.3 -*END - -*D_NET *4782 0.000306633 -*CONN -*I *25841:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30540:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25841:A1 9.40914e-05 -2 *30540:X 9.40914e-05 -3 *25841:A1 *5839:53 7.57673e-05 -4 *25841:S *25841:A1 1.39726e-05 -5 *1878:37 *25841:A1 2.87107e-05 -*RES -1 *30540:X *25841:A1 29.1714 -*END - -*D_NET *4783 0.00148575 -*CONN -*I *28294:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30541:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28294:D 0.000398256 -2 *30541:X 0.000398256 -3 *28294:D *5669:111 1.90936e-05 -4 *3700:8 *28294:D 3.81765e-05 -5 *3701:25 *28294:D 0.000533203 -6 *3830:51 *28294:D 9.87648e-05 -*RES -1 *30541:X *28294:D 33.9929 -*END - -*D_NET *4784 0.00906226 -*CONN -*I *25689:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30542:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25689:A0 0.000537704 -2 *30542:X 0.000528935 -3 *4784:11 0.00106664 -4 *25689:A0 *5397:35 0.000726674 -5 *25689:A0 *5458:67 0.00295433 -6 *25689:A0 *5725:10 0.00014285 -7 *4784:11 *28200:D 0.000271352 -8 *4784:11 *29793:A 0.000312209 -9 *4784:11 *5397:23 5.06369e-05 -10 *4784:11 *5397:35 0.000661692 -11 *4784:11 *5458:67 0.000208223 -12 mgmt_gpio_oeb[21] *25689:A0 0.000129991 -13 mgmt_gpio_out[21] *25689:A0 0.000917851 -14 *30703:A *4784:11 5.71472e-05 -15 *30756:A *4784:11 0.000496025 -*RES -1 *30542:X *4784:11 26.0143 -2 *4784:11 *25689:A0 37.7821 -*END - -*D_NET *4785 0.0104836 -*CONN -*I *27990:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *30645:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *27990:A2 0.000912302 -2 *30645:X 0.00168501 -3 *4785:17 0.00259731 -4 *27990:A2 *25542:S 2.59355e-05 -5 *27990:A2 *27997:B1 0.000355879 -6 *27990:A2 *27997:B2 1.38106e-05 -7 *27990:A2 *28000:A2 1.57155e-05 -8 *27990:A2 *28000:B2 6.26774e-06 -9 *27990:A2 *4808:25 0.000248859 -10 *27990:A2 *4896:9 7.91765e-05 -11 *27990:A2 *6203:31 0.000104054 -12 *27990:A2 *6214:51 5.52238e-05 -13 *27990:A2 *6376:53 0.000183713 -14 *27990:A2 *6376:80 0.000675872 -15 *27990:A2 *6377:51 0.000250704 -16 *27990:A2 *6378:62 0.000207328 -17 *4785:17 *4919:27 0.000262602 -18 *4785:17 *5674:91 0.000136877 -19 *4785:17 *6376:138 0.000297001 -20 *4785:17 *6377:51 2.87555e-06 -21 *4785:17 *6377:138 7.20448e-05 -22 *4785:17 *6377:143 6.26774e-06 -23 *4785:17 *6378:129 0.000137983 -24 *27991:C1 *27990:A2 0.000316922 -25 *27999:A2 *4785:17 0.000121574 -26 *28000:B1 *27990:A2 7.88053e-05 -27 *28001:A0 *27990:A2 5.52238e-05 -28 *28006:A2 *4785:17 0.000274294 -29 *30640:A *4785:17 5.62995e-05 -30 *30641:A *4785:17 3.18676e-05 -31 *30642:A *4785:17 9.56029e-06 -32 *30644:A *4785:17 5.62995e-05 -33 *30645:A *4785:17 3.18676e-05 -34 *30824:A *27990:A2 5.43535e-05 -35 *3523:16 *27990:A2 5.70959e-05 -36 *4586:21 *4785:17 0.000469368 -37 *4608:17 *27990:A2 9.90367e-05 -38 *4652:20 *27990:A2 0.000438264 -*RES -1 *30645:X *4785:17 47.8357 -2 *4785:17 *27990:A2 45.3536 -*END - -*D_NET *4786 0.0128497 -*CONN -*I *28175:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30543:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28175:D 0 -2 *30543:X 0.000697326 -3 *4786:21 0.00177282 -4 *4786:16 0.00247015 -5 *4786:16 *30889:A 0.000168027 -6 *4786:16 *5451:23 2.29304e-05 -7 *4786:16 *5463:14 0.000991374 -8 *4786:16 *5588:85 0.000439121 -9 *4786:16 *6010:21 0.000142856 -10 *4786:16 *6040:20 2.60996e-05 -11 *4786:16 *6262:14 0.000210907 -12 *4786:21 *26858:A1 0.00020469 -13 *4786:21 *30888:A 0.00014285 -14 *4786:21 *5445:26 0.00138499 -15 *4786:21 *5446:21 0.000569101 -16 *4786:21 *5736:10 9.90367e-05 -17 *4786:21 *5770:17 0.000869054 -18 *4786:21 *5770:27 0.000327202 -19 mgmt_gpio_out[22] *4786:21 0.00052642 -20 mgmt_gpio_out[23] *4786:21 0.000573176 -21 mgmt_gpio_out[26] *4786:21 0.000526407 -22 *24833:A *4786:16 6.4974e-06 -23 *25687:A1 *4786:21 1.51282e-05 -24 *26858:S *4786:21 5.33005e-05 -25 *30543:A *4786:21 6.05161e-06 -26 *30704:A *4786:21 0.000585123 -27 *783:17 *4786:16 1.90936e-05 -*RES -1 *30543:X *4786:16 32.8411 -2 *4786:16 *4786:21 48.3795 -3 *4786:21 *28175:D 9.3 -*END - -*D_NET *4787 0.000982114 -*CONN -*I *25666:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30544:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25666:A1 0.00027664 -2 *30544:X 0.00027664 -3 *27881:D *25666:A1 0.000124506 -4 *1411:97 *25666:A1 0.00018172 -5 *3173:94 *25666:A1 0.000122608 -*RES -1 *30544:X *25666:A1 32.8321 -*END - -*D_NET *4788 0.0028712 -*CONN -*I *28156:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30545:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28156:D 0.000842948 -2 *30545:X 0.000842948 -3 *1897:19 *28156:D 0.000121426 -4 *3919:63 *28156:D 0.000119704 -5 *3971:42 *28156:D 0.000944177 -*RES -1 *30545:X *28156:D 39.225 -*END - -*D_NET *4789 0.00151442 -*CONN -*I *25931:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30547:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25931:A1 0.000483075 -2 *30547:X 0.000483075 -3 *25931:A1 *5711:67 7.70885e-05 -4 *25931:A1 *5738:172 0.000471179 -*RES -1 *30547:X *25931:A1 24.8857 -*END - -*D_NET *4790 0.00231318 -*CONN -*I *28375:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30548:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28375:D 0.000651528 -2 *30548:X 0.000651528 -3 *28375:D *25909:A0 0.000211588 -4 *28375:D *5063:5 0.000118144 -5 *28375:D *5698:36 0.000152246 -6 *28375:D *6323:10 0.000103032 -7 *25910:A1 *28375:D 0.000258246 -8 *3571:53 *28375:D 3.82627e-05 -9 *3779:12 *28375:D 0.000128608 -*RES -1 *30548:X *28375:D 39.4259 -*END - -*D_NET *4791 0.00280914 -*CONN -*I *26837:A1 I *D sky130_fd_sc_hd__o211a_1 -*I *30549:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26837:A1 0.000618766 -2 *30549:X 0.000618766 -3 *26837:A1 *24939:A 0.000166795 -4 *26837:A1 *26837:B1 2.9501e-05 -5 *26837:A1 *30549:A 6.8646e-06 -6 *26837:A1 *5606:14 0.000343215 -7 *26837:A1 *5621:75 0.000131978 -8 *26837:A2 *26837:A1 9.28259e-06 -9 *26837:C1 *26837:A1 1.13834e-05 -10 *1400:95 *26837:A1 8.99888e-06 -11 *1676:14 *26837:A1 0.000385277 -12 *3170:41 *26837:A1 0.000341633 -13 *3921:77 *26837:A1 0.000136682 -*RES -1 *30549:X *26837:A1 40.4571 -*END - -*D_NET *4792 0.00143514 -*CONN -*I *28436:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30550:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28436:D 0.000284627 -2 *30550:X 0.000284627 -3 *28436:D *28436:RESET_B 0.000112685 -4 *28436:D *5861:65 0.000142966 -5 *2778:79 *28436:D 0.000130519 -6 *2778:83 *28436:D 7.05143e-06 -7 *2848:51 *28436:D 0.000328562 -8 *3546:22 *28436:D 0.0001441 -*RES -1 *30550:X *28436:D 35.8321 -*END - -*D_NET *4793 0.000879409 -*CONN -*I *25859:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30551:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25859:A1 0.000265063 -2 *30551:X 0.000265063 -3 *25859:A1 *25822:B 0 -4 *25859:A1 *25859:A0 0.000150442 -5 *25859:A1 *28309:RESET_B 9.80173e-05 -6 *3710:15 *25859:A1 0.000100823 -*RES -1 *30551:X *25859:A1 31.5286 -*END - -*D_NET *4794 0.00114703 -*CONN -*I *28305:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30552:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28305:D 0.000244051 -2 *30552:X 0.000244051 -3 *28305:D *5267:17 9.443e-05 -4 *26258:C *28305:D 3.55552e-05 -5 *1195:6 *28305:D 0.000356333 -6 *1259:8 *28305:D 0.000172607 -*RES -1 *30552:X *28305:D 33.6357 -*END - -*D_NET *4795 0.00417597 -*CONN -*I *26845:A I *D sky130_fd_sc_hd__or2_1 -*I *30553:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26845:A 0.000889769 -2 *30553:X 0.000889769 -3 *26845:A *5713:154 4.18834e-05 -4 *26845:A *5745:104 0.000101999 -5 *26845:A *5745:112 0.000376395 -6 *26845:A *5745:124 0.000352807 -7 *474:15 *26845:A 0.000140933 -8 *3972:13 *26845:A 0.000171375 -9 *3972:24 *26845:A 0.000271345 -10 *3987:19 *26845:A 0.000154552 -11 *3987:21 *26845:A 0.000114912 -12 *4352:35 *26845:A 0.00067023 -*RES -1 *30553:X *26845:A 49.85 -*END - -*D_NET *4796 0.0275825 -*CONN -*I *25321:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30646:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 -*CAP -1 *25321:A1 0.000652015 -2 *30646:X 0.00112053 -3 *4796:30 0.00616884 -4 *4796:20 0.00663735 -5 *25321:A1 *5700:114 0.000630329 -6 *4796:20 *27095:A1 0.000225375 -7 *4796:20 *28659:SET_B 3.19055e-05 -8 *4796:20 *5448:16 3.51191e-05 -9 *4796:20 *5526:16 0 -10 *4796:20 *5687:166 0.000619703 -11 *4796:20 *6332:12 0.000299607 -12 *4796:30 *27484:S 3.48705e-05 -13 *4796:30 *5196:94 0 -14 *4796:30 *5535:14 0.00219653 -15 *4796:30 *5599:247 7.15308e-05 -16 *4796:30 *5602:21 0.00063649 -17 *4796:30 *5667:108 0.000521331 -18 *4796:30 *6332:29 5.03772e-05 -19 *25321:A2 *25321:A1 3.28977e-05 -20 *26838:A *4796:30 0.000161183 -21 *27095:S *4796:20 0.000502704 -22 *27240:B *4796:30 4.88795e-05 -23 *28439:CLK *4796:30 1.98839e-05 -24 *28794:D *4796:20 0.000328693 -25 *29820:A *25321:A1 0.000342228 -26 *30646:A *4796:20 4.29471e-05 -27 *995:25 *4796:20 0.000337273 -28 *1639:14 *25321:A1 5.71472e-05 -29 *1826:61 *4796:30 6.27601e-05 -30 *2777:58 *4796:30 0 -31 *2778:35 *4796:30 6.52495e-05 -32 *2781:51 *25321:A1 2.43626e-05 -33 *2782:53 *4796:30 0 -34 *2784:30 *4796:30 0.000934031 -35 *2813:72 *4796:30 0 -36 *2817:11 *4796:30 9.32361e-05 -37 *2889:198 *25321:A1 0.000539507 -38 *2918:10 *25321:A1 3.05946e-05 -39 *3170:19 *25321:A1 5.88126e-05 -40 *3184:43 *4796:30 0.000593579 -41 *3796:62 *25321:A1 8.23258e-05 -42 *3847:25 *4796:30 0.000857905 -43 *3860:9 *25321:A1 2.83129e-05 -44 *3873:67 *4796:30 0.00178184 -45 *3873:73 *25321:A1 0.000495201 -46 *3875:10 *4796:20 0.00011562 -47 *3875:35 *4796:20 1.34355e-05 -*RES -1 *30646:X *4796:20 43.5857 -2 *4796:20 *4796:30 47.9236 -3 *4796:30 *25321:A1 34.3057 -*END - -*D_NET *4797 0.00771773 -*CONN -*I *27994:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *30647:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *27994:A2 0.000491554 -2 *30647:X 0.00115174 -3 *4797:11 0.00164329 -4 *27994:A2 *28002:B1 1.61254e-05 -5 *27994:A2 *28002:B2 7.54996e-05 -6 *27994:A2 *4808:25 9.52759e-05 -7 *27994:A2 *5674:62 0.000213842 -8 *27994:A2 *6214:51 7.65517e-05 -9 *4797:11 *28005:A2 4.90091e-05 -10 *4797:11 *28005:B2 5.52302e-05 -11 *4797:11 *28008:A2 0.000507155 -12 *4797:11 *5674:91 0.000144773 -13 *4797:11 *6214:51 0.000282685 -14 *27995:A0 *27994:A2 2.89114e-05 -15 *27999:A2 *4797:11 0.000244849 -16 *28002:A2 *27994:A2 3.88534e-05 -17 *28002:A2 *4797:11 0.000788265 -18 *28005:B1 *4797:11 3.33238e-05 -19 *28006:A2 *4797:11 0.000670311 -20 *30643:A *4797:11 7.77751e-05 -21 *290:21 *27994:A2 0.000184158 -22 *292:21 *4797:11 6.51312e-05 -23 *4586:37 *4797:11 0.000613624 -24 *4774:19 *27994:A2 0.0001698 -*RES -1 *30647:X *4797:11 48.2107 -2 *4797:11 *27994:A2 29.0232 -*END - -*D_NET *4798 0.00179828 -*CONN -*I *26846:B1 I *D sky130_fd_sc_hd__o311a_1 -*I *30554:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26846:B1 0.000505214 -2 *30554:X 0.000505214 -3 *26846:B1 *26846:A1 1.69115e-05 -4 *26846:A2 *26846:B1 9.1469e-05 -5 *1254:116 *26846:B1 6.44542e-05 -6 *1327:156 *26846:B1 6.32633e-05 -7 *1328:66 *26846:B1 7.50505e-05 -8 *1718:12 *26846:B1 0.000476702 -*RES -1 *30554:X *26846:B1 36.6179 -*END - -*D_NET *4799 0.00135957 -*CONN -*I *28443:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30555:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28443:D 0.000432266 -2 *30555:X 0.000432266 -3 *28443:D *25400:A1 0.000192044 -4 *28443:D *26846:A3 2.286e-05 -5 *25260:B1 *28443:D 3.25078e-05 -6 *29461:A *28443:D 0.000139133 -7 *1244:23 *28443:D 6.36238e-05 -8 *1254:116 *28443:D 0 -9 *1273:232 *28443:D 9.25014e-06 -10 *2780:90 *28443:D 2.97119e-05 -11 *3639:17 *28443:D 5.90722e-06 -*RES -1 *30555:X *28443:D 35.6223 -*END - -*D_NET *4800 0.00364941 -*CONN -*I *28017:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30556:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28017:A1 0.000624457 -2 *30556:X 0.000624457 -3 *28017:A1 *5493:14 0.000175937 -4 *28017:A1 *5731:20 0.000479652 -5 *28836:D *28017:A1 8.43535e-06 -6 *29356:A *28017:A1 0.000396892 -7 *1430:29 *28017:A1 4.08637e-05 -8 *1430:36 *28017:A1 0.00035074 -9 *1457:51 *28017:A1 0.000178425 -10 *3536:7 *28017:A1 0.000125453 -11 *3536:10 *28017:A1 0.000140383 -12 *3893:52 *28017:A1 0.000503715 -*RES -1 *30556:X *28017:A1 44.7964 -*END - -*D_NET *4801 0.00646465 -*CONN -*I *28832:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30558:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28832:D 0 -2 *30558:X 0.00184244 -3 *4801:19 0.00184244 -4 *4801:19 *28832:RESET_B 9.264e-05 -5 *4801:19 *5011:12 9.54798e-06 -6 *4801:19 *5624:107 9.02916e-05 -7 *4801:19 *5691:284 0.000654762 -8 *4801:19 *5731:5 1.15281e-05 -9 *25281:B1 *4801:19 0.000266479 -10 *504:19 *4801:19 0.000523464 -11 *1362:152 *4801:19 0.000438781 -12 *1457:55 *4801:19 0.000310292 -13 *3653:14 *4801:19 0.000193922 -14 *3815:26 *4801:19 0.000188053 -*RES -1 *30558:X *4801:19 48.3 -2 *4801:19 *28832:D 9.3 -*END - -*D_NET *4802 0.0101189 -*CONN -*I *26857:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30559:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26857:A1 0.00101351 -2 *30559:X 0.00042593 -3 *4802:10 0.00143944 -4 *26857:A1 *28454:RESET_B 0.000437756 -5 *26857:A1 *4854:17 0.000271352 -6 *26857:A1 *4898:11 0.000141985 -7 *26857:A1 *5462:55 2.28598e-05 -8 *26857:A1 *5894:14 0.0011021 -9 *26857:A1 *5927:14 0.000657188 -10 *26857:A1 *6259:24 0.000530614 -11 *4802:10 *28453:D 0.000484524 -12 *4802:10 *5364:13 0.000163503 -13 *29083:A *26857:A1 0.000650928 -14 *29920:A *26857:A1 0.000503295 -15 *29956:A *26857:A1 0.0013294 -16 *29965:A *26857:A1 6.58597e-05 -17 *30010:A *4802:10 8.6229e-06 -18 *553:13 *26857:A1 0.000641786 -19 *778:15 *26857:A1 0.000184745 -20 *3609:30 *4802:10 4.35479e-05 -*RES -1 *30559:X *4802:10 25.1929 -2 *4802:10 *26857:A1 48.5321 -*END - -*D_NET *4803 0.00759327 -*CONN -*I *28450:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30560:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28450:D 0.00047699 -2 *30560:X 0.00121067 -3 *4803:18 0.00168766 -4 *28450:D *30848:A 1.98839e-05 -5 *28450:D *5172:23 7.61932e-05 -6 *28450:D *5172:41 0.000134192 -7 *28450:D *5588:63 0.000812027 -8 *28450:D *6253:13 0.000330673 -9 *4803:18 *26860:A0 6.57032e-05 -10 *4803:18 *28452:D 0.000925032 -11 *4803:18 *5449:16 5.26224e-05 -12 *4803:18 *5830:16 0.000139913 -13 *4803:18 *6252:13 0.000481756 -14 *4803:18 *6253:13 0.000578037 -15 *24829:A *4803:18 5.27254e-05 -16 *24835:A *28450:D 0.000225616 -17 *28452:CLK *28450:D 5.81083e-05 -18 *28452:CLK *4803:18 0.00015732 -19 *30712:A *4803:18 1.65558e-05 -20 *62:10 *4803:18 9.15975e-05 -*RES -1 *30560:X *4803:18 49.175 -2 *4803:18 *28450:D 28.3357 -*END - -*D_NET *4804 0.00437827 -*CONN -*I *25710:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30561:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25710:A0 0.00121167 -2 *30561:X 0.00121167 -3 *25710:A0 *25752:A0 0.000462336 -4 *25710:A0 *28219:RESET_B 0.000103253 -5 *25710:A0 *30561:A 2.24079e-05 -6 *25710:A0 *5608:62 0.000732675 -7 *25710:A0 *5659:36 0.00018232 -8 *25710:A0 *5675:132 9.60939e-05 -9 *25710:A1 *25710:A0 0.000224596 -10 *1371:19 *25710:A0 0.000131247 -*RES -1 *30561:X *25710:A0 47.4214 -*END - -*D_NET *4805 0.00251349 -*CONN -*I *28185:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30562:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28185:D 0.000631065 -2 *30562:X 0.000631065 -3 *28185:D *28219:RESET_B 0.000114587 -4 *28185:D *5468:9 0.000219111 -5 *28185:D *5592:10 0 -6 *28185:D *5700:271 7.48356e-05 -7 *25710:S *28185:D 0.000210583 -8 *30320:A *28185:D 6.57032e-05 -9 *4561:9 *28185:D 0.00056654 -*RES -1 *30562:X *28185:D 44.0464 -*END - -*D_NET *4806 0.00370617 -*CONN -*I *25683:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30563:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25683:A0 0.00123213 -2 *30563:X 0.00123213 -3 *25683:A0 *28207:RESET_B 4.85567e-05 -4 *25683:A0 *30563:A 0.000636403 -5 *25683:A0 *5893:92 1.21258e-05 -6 *25683:A0 *5903:11 0.000356022 -7 *28207:CLK *25683:A0 2.69359e-05 -8 *28207:D *25683:A0 0.000161859 -*RES -1 *30563:X *25683:A0 38.9214 -*END - -*D_NET *4807 0.00227401 -*CONN -*I *28172:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30564:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28172:D 0.000240844 -2 *30564:X 0.000240844 -3 *28172:D *5776:115 1.02504e-05 -4 *28172:D *5776:124 0.000185297 -5 mgmt_gpio_out[19] *28172:D 0.000309266 -6 *30564:A *28172:D 0.000780456 -7 *3611:79 *28172:D 6.63383e-05 -8 *4248:8 *28172:D 0.000440711 -*RES -1 *30564:X *28172:D 37.6714 -*END - -*D_NET *4808 0.00889597 -*CONN -*I *27997:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *30648:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *27997:A2 0 -2 *30648:X 0.00123041 -3 *4808:25 0.00123041 -4 *4808:25 *28002:A1 4.58919e-05 -5 *4808:25 *28002:B1 2.87773e-05 -6 *4808:25 *28002:B2 9.41642e-05 -7 *4808:25 *28005:A2 0.00122304 -8 *4808:25 *4896:9 0.000129509 -9 *4808:25 *4985:11 3.15282e-05 -10 *4808:25 *6214:51 0.00301725 -11 *4808:25 *6377:87 0.000109627 -12 *27990:A2 *4808:25 0.000248859 -13 *27994:A2 *4808:25 9.52759e-05 -14 *28003:B1 *4808:25 0.000122675 -15 *28008:B1 *4808:25 0.00104452 -16 *30647:A *4808:25 3.15282e-05 -17 *288:10 *4808:25 5.41797e-06 -18 *290:21 *4808:25 8.85276e-05 -19 *4652:20 *4808:25 0.000118573 -*RES -1 *30648:X *4808:25 49.2687 -2 *4808:25 *27997:A2 9.3 -*END - -*D_NET *4809 0.0018783 -*CONN -*I *25695:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25745:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30565:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25695:A0 0 -2 *25745:A1 0.000108245 -3 *30565:X 0.000340423 -4 *4809:5 0.000448668 -5 *25745:A1 *25695:A1 0.000177941 -6 *25745:A1 *5710:57 5.33005e-05 -7 *25745:A1 *6037:8 8.43535e-06 -8 *25745:A1 *6092:18 1.18064e-05 -9 *25745:A1 *6103:20 6.05161e-06 -10 *4809:5 *6092:18 0.000265453 -11 *25696:A1 *4809:5 0.000255136 -12 *25696:S *25745:A1 0.000202842 -*RES -1 *30565:X *4809:5 16.8179 -2 *4809:5 *25745:A1 21.8 -3 *4809:5 *25695:A0 9.3 -*END - -*D_NET *4810 0.000965104 -*CONN -*I *28212:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30566:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28212:D 0.00041546 -2 *30566:X 0.00041546 -3 *28212:D *6103:20 0 -4 *1430:46 *28212:D 6.09572e-05 -5 *3654:63 *28212:D 7.32272e-05 -*RES -1 *30566:X *28212:D 33.0643 -*END - -*D_NET *4811 0.00274213 -*CONN -*I *26852:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30567:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26852:A0 0.000630109 -2 *30567:X 0.000630109 -3 *26852:A0 *27189:A1 6.7569e-05 -4 *29115:A *26852:A0 0.000396879 -5 *2779:10 *26852:A0 0.000548219 -6 *3537:20 *26852:A0 0.000223599 -7 *3604:17 *26852:A0 8.55871e-05 -8 *3835:36 *26852:A0 0.000160057 -*RES -1 *30567:X *26852:A0 42.225 -*END - -*D_NET *4812 0.0053076 -*CONN -*I *28447:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30569:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28447:D 0 -2 *30569:X 0.00144485 -3 *4812:13 0.00144485 -4 *4812:13 *30567:A 0.00091351 -5 *4812:13 *4898:11 7.25104e-05 -6 *4812:13 *5905:48 3.06878e-06 -7 *4812:13 *6351:10 0.000127841 -8 *28447:CLK *4812:13 0.000107568 -9 *30569:A *4812:13 0.000641002 -10 *2754:41 *4812:13 0.000146102 -11 *2779:26 *4812:13 0.000389077 -12 *3861:53 *4812:13 1.721e-05 -*RES -1 *30569:X *4812:13 44.1036 -2 *4812:13 *28447:D 9.3 -*END - -*D_NET *4813 0.00469903 -*CONN -*I *28019:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30570:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28019:A1 0.000840106 -2 *30570:X 0.000840106 -3 *28019:A1 *25760:A0 9.41642e-05 -4 *28019:A1 *5052:46 0.00174633 -5 *28019:A1 *5125:19 0 -6 *28019:A1 *5493:14 0.000203054 -7 *28019:A1 *5685:205 6.54117e-05 -8 *25106:B *28019:A1 9.41642e-05 -9 *1263:122 *28019:A1 1.90936e-05 -10 *1362:152 *28019:A1 0.000478744 -11 *1430:29 *28019:A1 5.52002e-05 -12 *3666:98 *28019:A1 0.000262656 -*RES -1 *30570:X *28019:A1 41.7412 -*END - -*D_NET *4814 0.00203258 -*CONN -*I *28834:D I *D sky130_fd_sc_hd__dfstp_1 -*I *30571:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28834:D 0.000587591 -2 *30571:X 0.000587591 -3 *28834:D *5624:107 7.42485e-05 -4 *28834:D *5665:101 0.000117913 -5 *28834:D *5665:108 6.01472e-05 -6 *28833:CLK *28834:D 3.14048e-05 -7 *30570:A *28834:D 9.71197e-05 -8 *30571:A *28834:D 2.22798e-05 -9 *1263:122 *28834:D 5.33334e-05 -10 *1430:29 *28834:D 6.52495e-05 -11 *3804:14 *28834:D 0.000173967 -12 *3983:24 *28834:D 0.000161732 -*RES -1 *30571:X *28834:D 38.35 -*END - -*D_NET *4815 0.00107516 -*CONN -*I *28018:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30572:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28018:A1 0.00025469 -2 *30572:X 0.00025469 -3 *28018:A1 *28018:A0 0.000257226 -4 *28018:A1 *5698:55 0.000181381 -5 *3919:12 *28018:A1 0.000127172 -*RES -1 *30572:X *28018:A1 32.8321 -*END - -*D_NET *4816 0.00275337 -*CONN -*I *28833:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30573:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28833:D 0.00082675 -2 *30573:X 0.00082675 -3 *28833:D *5493:18 0.000106321 -4 *28833:D *5665:96 0.000431442 -5 *28833:CLK *28833:D 0.000126927 -6 *30571:A *28833:D 0.000124324 -7 *30573:A *28833:D 8.00806e-05 -8 *3804:58 *28833:D 5.93972e-05 -9 *4129:35 *28833:D 0.000171375 -*RES -1 *30573:X *28833:D 41.0643 -*END - -*D_NET *4817 0.00607589 -*CONN -*I *27069:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30574:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27069:A1 0.000131767 -2 *30574:X 0.00119414 -3 *4817:18 0.00132591 -4 *27069:A1 *27069:A0 0.000111699 -5 *27069:A1 *5912:54 0.000225616 -6 *4817:18 *26953:A1 6.15613e-05 -7 *4817:18 *26986:A1 0 -8 *4817:18 *27069:A0 5.66157e-05 -9 *4817:18 *27071:A1 5.95186e-05 -10 *4817:18 *28730:RESET_B 0.000228558 -11 *4817:18 *5364:13 0.000506721 -12 *4817:18 *5776:167 0 -13 *4817:18 *6271:17 0.00052833 -14 *4817:18 *6278:11 1.21289e-05 -15 *27069:S *27069:A1 0.00014183 -16 *29966:A *4817:18 2.07158e-05 -17 *29975:A *4817:18 8.70594e-05 -18 *30010:A *4817:18 0.00052072 -19 *30574:A *4817:18 6.61507e-05 -20 *866:20 *4817:18 0.000120267 -21 *972:21 *27069:A1 1.97695e-05 -22 *3608:89 *4817:18 0 -23 *3609:30 *4817:18 0.000298912 -24 *3939:104 *4817:18 7.91281e-05 -25 *4004:46 *4817:18 5.41794e-05 -26 *4254:17 *4817:18 0.00022459 -*RES -1 *30574:X *4817:18 49.6929 -2 *4817:18 *27069:A1 17.6393 -*END - -*D_NET *4818 0.00638018 -*CONN -*I *28639:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30575:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28639:D 0 -2 *30575:X 0.00207039 -3 *4818:9 0.00207039 -4 *4818:9 *27194:A1 4.16984e-05 -5 *4818:9 *5912:28 0.0001399 -6 *4818:9 *5912:30 0.00055182 -7 *4818:9 *5912:54 0.000824269 -8 *4818:9 *6221:16 0.000132516 -9 *28639:CLK *4818:9 0.000250442 -10 *28738:CLK *4818:9 6.91157e-05 -11 *1082:20 *4818:9 3.58106e-05 -12 *3609:94 *4818:9 0.000193833 -*RES -1 *30575:X *4818:9 47.8223 -2 *4818:9 *28639:D 9.3 -*END - -*D_NET *4819 0.00867597 -*CONN -*I *28000:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *30649:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *28000:A2 0.00175552 -2 *30649:X 0.00175552 -3 *28000:A2 *27996:A1 6.37883e-06 -4 *28000:A2 *28000:A1 1.02504e-05 -5 *28000:A2 *28003:A2 0.00105606 -6 *28000:A2 *28006:B1 0.000137791 -7 *28000:A2 *4974:11 0.00011307 -8 *28000:A2 *4985:11 0.000128575 -9 *28000:A2 *4996:8 0.000132088 -10 *28000:A2 *5458:64 0.000969561 -11 *28000:A2 *5463:14 0.000390221 -12 *28000:A2 *5674:76 0.000294705 -13 *28000:A2 *6214:51 2.7452e-05 -14 *28000:A2 *6378:121 0.000614023 -15 *27990:A2 *28000:A2 1.57155e-05 -16 *28000:B1 *28000:A2 2.23554e-05 -17 *28009:A2 *28000:A2 0.00121135 -18 *30649:A *28000:A2 3.53425e-05 -*RES -1 *30649:X *28000:A2 43.8095 -*END - -*D_NET *4820 0.00263825 -*CONN -*I *27192:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30576:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27192:A1 0.000887817 -2 *30576:X 0.000887817 -3 *27192:A1 *28748:RESET_B 0.000124404 -4 *27192:A1 *5682:44 0.000122689 -5 *30576:A *27192:A1 2.89114e-05 -6 *2793:14 *27192:A1 0.000180239 -7 *3538:76 *27192:A1 0.000271345 -8 *3967:7 *27192:A1 0.000135028 -*RES -1 *30576:X *27192:A1 39.6357 -*END - -*D_NET *4821 0.00384367 -*CONN -*I *28748:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30577:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28748:D 0.00118597 -2 *30577:X 0.00118597 -3 *28748:D *5683:83 2.22891e-05 -4 *28748:D *5863:25 0.000816628 -5 *30577:A *28748:D 0.00053536 -6 *3608:12 *28748:D 9.74484e-05 -7 *3939:104 *28748:D 0 -*RES -1 *30577:X *28748:D 43.85 -*END - -*D_NET *4822 0.00296333 -*CONN -*I *26979:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30578:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26979:A1 0.000860389 -2 *30578:X 0.000860389 -3 *26979:A1 *5544:5 0.000493359 -4 *26979:A1 *5701:58 7.40571e-05 -5 *26979:A1 *5870:8 7.13326e-05 -6 *26979:A1 *5906:15 0.000268403 -7 *26979:A1 *6221:16 2.89114e-05 -8 *28910:A *26979:A1 0.000126446 -9 *29424:A *26979:A1 5.71472e-05 -10 *30580:A *26979:A1 8.12008e-05 -11 *4034:42 *26979:A1 4.16984e-05 -*RES -1 *30578:X *26979:A1 43.1179 -*END - -*D_NET *4823 0.00342398 -*CONN -*I *28559:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30580:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28559:D 0.000855465 -2 *30580:X 0.000855465 -3 *28559:D *28559:RESET_B 0.000113845 -4 *28559:D *28987:A 0.000551905 -5 *28559:D *5702:212 0.000667651 -6 *29813:A *28559:D 0 -7 *30580:A *28559:D 0.000180777 -8 *3694:28 *28559:D 0.000136951 -9 *3991:72 *28559:D 6.19181e-05 -*RES -1 *30580:X *28559:D 47.1536 -*END - -*D_NET *4824 0.00256435 -*CONN -*I *26916:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30581:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26916:A1 0.000367219 -2 *30581:X 0.000367219 -3 *26916:A1 *5774:177 0.000467849 -4 *30581:A *26916:A1 0.000185006 -5 *3718:47 *26916:A1 6.71854e-05 -6 *3770:11 *26916:A1 0.000593395 -7 *4084:10 *26916:A1 4.78056e-05 -8 *4085:16 *26916:A1 0.000468672 -*RES -1 *30581:X *26916:A1 39.6714 -*END - -*D_NET *4825 0.00211912 -*CONN -*I *28503:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30582:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28503:D 0.00055543 -2 *30582:X 0.00055543 -3 *28503:D *26916:A0 7.27282e-05 -4 *28503:D *28503:RESET_B 4.27935e-05 -5 *28503:D *5640:285 0.000236445 -6 *28503:D *5640:289 0.000595319 -7 *4084:7 *28503:D 6.09773e-05 -*RES -1 *30582:X *28503:D 30.225 -*END - -*D_NET *4826 0.00423076 -*CONN -*I *27183:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30583:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27183:A1 0.00156037 -2 *30583:X 0.00156037 -3 *27183:A1 *27183:A0 3.57366e-05 -4 *27183:A1 *4827:14 8.86947e-05 -5 *27183:A1 *5776:64 9.60939e-05 -6 *27183:A1 *5825:8 0 -7 *27183:A1 *5847:8 0.000272665 -8 *27183:A1 *5881:26 9.78108e-05 -9 *27181:S *27183:A1 2.59355e-05 -10 *28766:CLK *27183:A1 0.000427374 -11 *2792:181 *27183:A1 6.57032e-05 -*RES -1 *30583:X *27183:A1 49.1714 -*END - -*D_NET *4827 0.00576457 -*CONN -*I *28740:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30584:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28740:D 0.000369552 -2 *30584:X 0.00115667 -3 *4827:14 0.00152622 -4 *4827:14 *5881:13 2.04228e-05 -5 *4827:14 *5881:26 0.000352053 -6 *27183:A1 *4827:14 8.86947e-05 -7 *28742:CLK *4827:14 7.66543e-05 -8 *28756:CLK *4827:14 2.90068e-05 -9 *28910:A *4827:14 0.000229256 -10 *30584:A *4827:14 6.82669e-05 -11 *1082:20 *28740:D 0.000250344 -12 *2792:181 *4827:14 9.41642e-05 -13 *3582:55 *4827:14 0.00021559 -14 *3582:72 *4827:14 5.41794e-05 -15 *3694:28 *4827:14 0.000446561 -16 *4019:14 *4827:14 0.000264314 -17 *4136:60 *4827:14 0.000522619 -*RES -1 *30584:X *4827:14 45.55 -2 *4827:14 *28740:D 18.5009 -*END - -*D_NET *4828 0.0054465 -*CONN -*I *26952:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30585:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26952:A0 0 -2 *30585:X 0.00169245 -3 *4828:11 0.00169245 -4 *4828:11 *5187:15 0.000437762 -5 *4828:11 *5776:38 2.34204e-05 -6 *30583:A *4828:11 0.000154538 -7 *4019:14 *4828:11 7.6644e-05 -8 *4136:60 *4828:11 0.00136924 -*RES -1 *30585:X *4828:11 41.3536 -2 *4828:11 *26952:A0 9.3 -*END - -*D_NET *4829 0.00557058 -*CONN -*I *28535:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30586:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28535:D 0 -2 *30586:X 0.00097262 -3 *4829:13 0.00097262 -4 *4829:13 *28535:RESET_B 4.80435e-05 -5 *4829:13 *5775:60 9.46929e-05 -6 *4829:13 *5881:33 0.000164241 -7 *28742:CLK *4829:13 5.01117e-05 -8 *28756:CLK *4829:13 1.52978e-05 -9 *30584:A *4829:13 0.000856291 -10 *30586:A *4829:13 0.00148106 -11 *3610:7 *4829:13 9.71197e-05 -12 *3837:11 *4829:13 7.48091e-05 -13 *3991:72 *4829:13 6.09476e-05 -14 *4136:8 *4829:13 0.00025665 -15 *4136:60 *4829:13 0.000426068 -*RES -1 *30586:X *4829:13 46.9071 -2 *4829:13 *28535:D 9.3 -*END - -*D_NET *4830 0.00770212 -*CONN -*I *28003:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *30650:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *28003:A2 0.00120517 -2 *30650:X 0.00120517 -3 *28003:A2 *28006:B1 1.89507e-06 -4 *28003:A2 *4919:27 2.2431e-05 -5 *28003:A2 *5019:14 0.00122583 -6 *28003:A2 *5463:14 0 -7 *28003:A2 *6214:51 0.000536337 -8 *28003:A2 *6378:121 1.38167e-05 -9 *28003:A2 *6378:129 0.000119253 -10 *28003:A2 *6378:141 0.000469827 -11 *28003:A2 *6378:154 0.000449856 -12 *28000:A2 *28003:A2 0.00105606 -13 *28003:B1 *28003:A2 0 -14 *28009:A2 *28003:A2 0.00122276 -15 *30649:A *28003:A2 0.000169103 -16 *292:21 *28003:A2 4.60232e-06 -*RES -1 *30650:X *28003:A2 44.351 -*END - -*D_NET *4831 0.00173326 -*CONN -*I *26889:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30587:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26889:A1 0.000402065 -2 *30587:X 0.000402065 -3 *26889:A1 *28764:RESET_B 1.90936e-05 -4 *26889:A1 *5586:84 5.52302e-05 -5 *26889:A1 *5875:13 5.33005e-05 -6 *26889:A1 *5892:6 0.000318313 -7 *30588:A *26889:A1 0.000253723 -8 *2759:31 *26889:A1 6.8839e-05 -9 *2771:36 *26889:A1 6.19779e-05 -10 *2776:224 *26889:A1 3.90809e-05 -11 *3811:15 *26889:A1 1.90936e-05 -12 *4222:21 *26889:A1 4.04754e-05 -*RES -1 *30587:X *26889:A1 40.8994 -*END - -*D_NET *4832 0.00413754 -*CONN -*I *28479:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *30588:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28479:D 0.000753517 -2 *30588:X 0.000753517 -3 *28479:D *28479:RESET_B 0.000128809 -4 *28479:D *5386:12 0.000111922 -5 *28479:D *5669:317 0.000272944 -6 *28479:D *5773:173 0.000329865 -7 *28479:D *5876:16 0.000431531 -8 *28479:CLK *28479:D 3.69047e-06 -9 *28913:A *28479:D 0.000167875 -10 *30144:A *28479:D 0.000170661 -11 *1288:194 *28479:D 0.000613264 -12 *3612:94 *28479:D 0.000168058 -13 *3891:113 *28479:D 0.000231887 -*RES -1 *30588:X *28479:D 48.8321 -*END - -*D_NET *4833 0.00101889 -*CONN -*I *27210:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30589:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27210:A1 0.000315284 -2 *30589:X 0.000315284 -3 *27210:A1 *27210:S 5.07259e-05 -4 *27210:A1 *5586:74 0.000161197 -5 *27210:A1 *5863:132 9.87983e-06 -6 *29064:A *27210:A1 1.14338e-05 -7 *4045:50 *27210:A1 9.4259e-05 -8 *4058:15 *27210:A1 5.33005e-05 -9 *4222:21 *27210:A1 7.52579e-06 -*RES -1 *30589:X *27210:A1 37.5939 -*END - -*D_NET *4834 0.00314942 -*CONN -*I *28764:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29064:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28764:D 0.000983298 -2 *29064:X 0.000983298 -3 *28764:D *28583:RESET_B 8.77493e-05 -4 *28764:D *28764:RESET_B 8.94203e-05 -5 *28764:D *5669:317 0 -6 *28764:D *5825:8 7.68193e-05 -7 *28764:CLK *28764:D 1.92789e-05 -8 *540:15 *28764:D 0.00011113 -9 *3731:18 *28764:D 0.000374673 -10 *3811:15 *28764:D 0.000423753 -*RES -1 *29064:X *28764:D 43.5643 -*END - -*D_NET *4835 0.00344167 -*CONN -*I *27015:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29065:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27015:A1 0.000524542 -2 *29065:X 0.000524542 -3 *27015:A1 *27015:A0 0.000181637 -4 *27015:A1 *27024:A1 0.000116371 -5 *27015:A1 *5627:237 6.17797e-05 -6 *27015:A1 *5795:206 8.93351e-05 -7 *27015:A1 *5905:79 0.000631222 -8 *25194:A2 *27015:A1 0.000117463 -9 *29066:A *27015:A1 0.000685319 -10 *2765:18 *27015:A1 0.000466823 -11 *3694:69 *27015:A1 4.26387e-05 -*RES -1 *29065:X *27015:A1 42.9214 -*END - -*D_NET *4836 0.00236696 -*CONN -*I *28591:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29066:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28591:D 0.000436234 -2 *29066:X 0.000436234 -3 *28591:D *28591:RESET_B 9.09013e-05 -4 *28591:D *5582:183 0.000227838 -5 *25194:A2 *28591:D 0.000291703 -6 *27431:B2 *28591:D 0.000116421 -7 *2773:84 *28591:D 0.000767627 -*RES -1 *29066:X *28591:D 30.6357 -*END - -*D_NET *4837 0.00157965 -*CONN -*I *27123:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29067:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27123:A1 0.000475284 -2 *29067:X 0.000475284 -3 *27123:A1 *28607:RESET_B 0.000125547 -4 *27123:A1 *5774:37 0.000136951 -5 *3915:9 *27123:A1 1.5424e-05 -6 *3915:19 *27123:A1 0.000351156 -*RES -1 *29067:X *27123:A1 24.8857 -*END - -*D_NET *4838 0.000775356 -*CONN -*I *28687:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29068:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28687:D 0.000140994 -2 *29068:X 0.000140994 -3 *28687:D *28687:RESET_B 0.000194122 -4 *28687:D *5639:200 0.000219711 -5 *28687:D *5663:222 7.95355e-05 -*RES -1 *29068:X *28687:D 22.4214 -*END - -*D_NET *4839 0.00235116 -*CONN -*I *27006:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29069:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27006:A1 0.000183649 -2 *29069:X 0.000183649 -3 *27006:A1 *27006:A0 9.41642e-05 -4 *27006:A1 *5800:78 0.000785056 -5 *27006:A1 *6086:23 4.01013e-05 -6 *2767:142 *27006:A1 0.00011307 -7 *4206:36 *27006:A1 0.000951467 -*RES -1 *29069:X *27006:A1 27.7607 -*END - -*D_NET *4840 0.000751951 -*CONN -*I *28583:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29070:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28583:D 0.000160024 -2 *29070:X 0.000160024 -3 *26959:A1 *28583:D 0.000182337 -4 *28583:CLK *28583:D 7.32272e-05 -5 *30154:A *28583:D 8.25843e-06 -6 *3339:22 *28583:D 0 -7 *4045:50 *28583:D 0.000168081 -*RES -1 *29070:X *28583:D 31.6179 -*END - -*D_NET *4841 0.00666048 -*CONN -*I *27994:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *30651:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *27994:B1 1.97597e-05 -2 *30651:X 0.00168732 -3 *4841:27 0.00170708 -4 *27994:B1 *27994:A1 1.00733e-05 -5 *27994:B1 *27994:B2 5.04841e-06 -6 *4841:27 *27988:B1 0.000186669 -7 *4841:27 *27994:B2 1.38106e-05 -8 *4841:27 *27997:B1 1.40306e-05 -9 *4841:27 *28006:B1 0.00117317 -10 *4841:27 *4896:9 4.72845e-05 -11 *4841:27 *5674:38 4.17014e-05 -12 *4841:27 *5674:48 1.37831e-05 -13 *4841:27 *5674:62 1.56e-05 -14 *4841:27 *5724:6 4.53047e-05 -15 *4841:27 *6378:49 0 -16 *4841:27 *6378:62 1.63337e-05 -17 *4841:27 *6378:90 0.000339617 -18 *27988:A2 *4841:27 0.000295175 -19 *28003:B1 *4841:27 0.00038365 -20 *30651:A *4841:27 0.000274294 -21 *30658:A *4841:27 0.000186669 -22 *30661:A *4841:27 9.25014e-06 -23 *30824:A *4841:27 2.86273e-05 -24 *290:21 *4841:27 8.85276e-05 -25 *4586:37 *4841:27 5.7705e-05 -*RES -1 *30651:X *4841:27 49.6638 -2 *4841:27 *27994:B1 9.72857 -*END - -*D_NET *4842 0.00322466 -*CONN -*I *25717:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29071:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25717:A0 0.00117933 -2 *29071:X 0.00117933 -3 *25717:A0 *28496:RESET_B 0.000336084 -4 *25717:A0 *28616:RESET_B 0.000104173 -5 *25717:A1 *25717:A0 0.000221641 -6 *28496:CLK *25717:A0 2.88188e-05 -7 *29729:A *25717:A0 2.24195e-05 -8 *2763:44 *25717:A0 7.55769e-05 -9 *2764:120 *25717:A0 7.72916e-05 -*RES -1 *29071:X *25717:A0 41.9036 -*END - -*D_NET *4843 0.000625302 -*CONN -*I *28192:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29072:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28192:D 0.000150163 -2 *29072:X 0.000150163 -3 *28192:D *5681:16 0.000128154 -4 *29072:A *28192:D 6.86693e-05 -5 *2759:149 *28192:D 0.000128154 -*RES -1 *29072:X *28192:D 30.6 -*END - -*D_NET *4844 0.00490982 -*CONN -*I *26961:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29073:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26961:A1 0.00079346 -2 *29073:X 0.00079346 -3 *26961:A1 *27152:A1 0.000598274 -4 *26961:A1 *28713:D 6.57032e-05 -5 *26961:A1 *5646:311 0.000633837 -6 *26961:A1 *5774:128 8.71921e-05 -7 *26961:A1 *5905:68 0.000174046 -8 *28713:CLK *26961:A1 5.33005e-05 -9 *28908:A *26961:A1 0.000136951 -10 *3582:134 *26961:A1 0.000936724 -11 *3796:163 *26961:A1 0.000274304 -12 *3978:21 *26961:A1 0.000362569 -*RES -1 *29073:X *26961:A1 49.2607 -*END - -*D_NET *4845 0.00184351 -*CONN -*I *28543:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29075:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28543:D 0.000307646 -2 *29075:X 0.000307646 -3 *28543:D *5668:50 0.000327294 -4 *28543:CLK *28543:D 7.20884e-05 -5 *1288:152 *28543:D 0.000281739 -6 *1361:147 *28543:D 0.000180777 -7 *2772:46 *28543:D 3.45337e-05 -8 *3991:38 *28543:D 0.000331791 -*RES -1 *29075:X *28543:D 36.7607 -*END - -*D_NET *4846 0.00237412 -*CONN -*I *27024:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29076:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27024:A0 0.000445562 -2 *29076:X 0.000445562 -3 *27024:A0 *27024:A1 2.89016e-05 -4 *27024:A0 *5774:88 0.000679754 -5 *29077:A *27024:A0 0.000177815 -6 *2774:15 *27024:A0 0.000436342 -7 *2774:29 *27024:A0 2.05612e-05 -8 *2775:109 *27024:A0 0.000139625 -*RES -1 *29076:X *27024:A0 29.8143 -*END - -*D_NET *4847 0.00239377 -*CONN -*I *28599:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29077:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28599:D 0.00077774 -2 *29077:X 0.00077774 -3 *28599:D *5627:237 0.000117543 -4 *28599:D *5682:114 4.85033e-05 -5 *28599:D *5774:51 3.61629e-06 -6 *28599:D *5795:206 0.000111257 -7 *29077:A *28599:D 8.53531e-05 -8 *1293:181 *28599:D 0.000366698 -9 *3733:31 *28599:D 5.33005e-05 -10 *3967:18 *28599:D 5.20196e-05 -*RES -1 *29077:X *28599:D 39.4616 -*END - -*D_NET *4848 0.00381368 -*CONN -*I *26988:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29078:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26988:A1 0.000683695 -2 *29078:X 0.000683695 -3 *26988:A1 *25381:B2 0.000141857 -4 *26988:A1 *25712:A1 0.00032377 -5 *26988:A1 *25712:S 0.000387616 -6 *26988:A1 *5773:55 0.000141857 -7 *25713:A1 *26988:A1 0.00076425 -8 *28459:CLK *26988:A1 0.000260152 -9 *900:15 *26988:A1 0.000373483 -10 *2770:7 *26988:A1 5.33005e-05 -*RES -1 *29078:X *26988:A1 44.4571 -*END - -*D_NET *4849 0.00221472 -*CONN -*I *28567:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29079:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28567:D 0.000797737 -2 *29079:X 0.000797737 -3 *28567:D *26988:A0 3.42301e-05 -4 *27784:A2 *28567:D 4.63685e-05 -5 *27784:C1 *28567:D 0.000425739 -6 *28567:CLK *28567:D 6.61546e-05 -7 *900:15 *28567:D 2.8078e-05 -8 *4214:20 *28567:D 1.86738e-05 -*RES -1 *29079:X *28567:D 37.8366 -*END - -*D_NET *4850 0.00055755 -*CONN -*I *26907:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29080:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26907:A1 0.000134351 -2 *29080:X 0.000134351 -3 *26907:A1 *26988:A0 0.00012076 -4 *26907:A1 *5873:31 0.000119681 -5 *26973:A *26907:A1 4.84073e-05 -*RES -1 *29080:X *26907:A1 30.1893 -*END - -*D_NET *4851 0.00177683 -*CONN -*I *28495:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29081:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28495:D 0.000515775 -2 *29081:X 0.000515775 -3 *28495:D *5873:26 0.000391353 -4 *29081:A *28495:D 0 -5 *3891:29 *28495:D 0.000353923 -*RES -1 *29081:X *28495:D 36.1 -*END - -*D_NET *4852 0.00783609 -*CONN -*I *28005:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *30652:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *28005:A2 0.00113947 -2 *30652:X 0.00113947 -3 *28005:A2 *5457:30 0.00352221 -4 *28005:A2 *6214:51 0.000256318 -5 *27999:A2 *28005:A2 3.28686e-05 -6 *28008:B1 *28005:A2 0.000430243 -7 *292:21 *28005:A2 4.34648e-05 -8 *4797:11 *28005:A2 4.90091e-05 -9 *4808:25 *28005:A2 0.00122304 -*RES -1 *30652:X *28005:A2 41.1316 -*END - -*D_NET *4853 0.00594737 -*CONN -*I *26976:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29082:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26976:A1 0.00115778 -2 *29082:X 0.00115778 -3 *26976:A1 *26985:A0 1.00733e-05 -4 *26976:A1 *4875:18 0.000262504 -5 *26976:A1 *5695:25 0.000434813 -6 *26976:A1 *5695:37 8.43535e-06 -7 *26976:A1 *5701:9 7.24613e-05 -8 *26976:A1 *6259:38 0.000475683 -9 *26976:A1 *6261:17 7.34839e-05 -10 *27067:S *26976:A1 5.33005e-05 -11 *29082:A *26976:A1 0.000686932 -12 *30160:A *26976:A1 0.000352663 -13 *889:22 *26976:A1 0.000136894 -14 *970:16 *26976:A1 0.000178847 -15 *978:14 *26976:A1 8.68595e-05 -16 *2780:297 *26976:A1 0.000697703 -17 *4252:9 *26976:A1 4.0342e-06 -18 *4402:16 *26976:A1 9.71197e-05 -*RES -1 *29082:X *26976:A1 46.6714 -*END - -*D_NET *4854 0.00909763 -*CONN -*I *28556:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29083:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28556:D 0.00135132 -2 *29083:X 0.00112334 -3 *4854:17 0.00247466 -4 *28556:D *28556:RESET_B 8.94268e-05 -5 *28556:D *28637:D 0.00014107 -6 *28556:D *5824:170 1.40306e-05 -7 *4854:17 *28637:D 0.00088625 -8 *4854:17 *5695:46 0.000155558 -9 *4854:17 *5695:48 5.53591e-05 -10 *4854:17 *5695:50 4.09312e-05 -11 *4854:17 *5771:157 0.00019361 -12 *4854:17 *5905:41 7.69776e-06 -13 *4854:17 *6259:38 9.64132e-05 -14 *4854:17 *6262:14 0 -15 *26857:A1 *4854:17 0.000271352 -16 *28533:CLK *4854:17 2.14658e-05 -17 *28637:CLK *28556:D 0.000200034 -18 *28904:A *4854:17 1.69961e-05 -19 *29114:A *4854:17 9.90431e-05 -20 *778:15 *28556:D 7.13999e-05 -21 *866:20 *4854:17 6.28948e-05 -22 *970:16 *4854:17 2.40098e-05 -23 *1018:16 *4854:17 9.97365e-05 -24 *2794:86 *28556:D 2.06112e-05 -25 *2794:86 *4854:17 0.00105855 -26 *3603:35 *28556:D 0.000282136 -27 *3603:37 *28556:D 5.30637e-06 -28 *3603:152 *28556:D 0.000224584 -29 *3835:10 *4854:17 9.84204e-06 -*RES -1 *29083:X *4854:17 49.2286 -2 *4854:17 *28556:D 33.0679 -*END - -*D_NET *4855 0.00145054 -*CONN -*I *26943:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29084:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26943:A1 0.000225865 -2 *29084:X 0.000225865 -3 *26943:A1 *5651:357 0.000221634 -4 *26943:A1 *5810:34 9.41642e-05 -5 *791:11 *26943:A1 0.000219289 -6 *1269:145 *26943:A1 1.24368e-05 -7 *1269:154 *26943:A1 0.000178277 -8 *4123:9 *26943:A1 5.33005e-05 -9 *4123:14 *26943:A1 0.000219711 -*RES -1 *29084:X *26943:A1 24.8857 -*END - -*D_NET *4856 0.00137407 -*CONN -*I *28527:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29086:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28527:D 0.000423811 -2 *29086:X 0.000423811 -3 *28527:D *5651:357 7.53153e-05 -4 *28527:D *5654:157 2.15245e-05 -5 *28527:D *5810:34 4.30452e-05 -6 *28527:D *5810:47 0.00012501 -7 *28527:CLK *28527:D 7.43578e-06 -8 *4149:34 *28527:D 0.000254118 -*RES -1 *29086:X *28527:D 35.0286 -*END - -*D_NET *4857 0.000806816 -*CONN -*I *27033:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29087:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27033:A1 0.000266179 -2 *29087:X 0.000266179 -3 *27033:A1 *25048:A1 0 -4 *27033:S *27033:A1 1.83136e-05 -5 *3694:63 *27033:A1 3.47641e-06 -6 *3839:24 *27033:A1 0.000252668 -*RES -1 *29087:X *27033:A1 32.0107 -*END - -*D_NET *4858 0.00337277 -*CONN -*I *28607:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29088:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28607:D 0.000536561 -2 *29088:X 0.000536561 -3 *28607:D *28607:RESET_B 5.49544e-05 -4 *25631:S *28607:D 4.21517e-05 -5 *27431:A1 *28607:D 8.75774e-05 -6 *28607:CLK *28607:D 2.59355e-05 -7 *940:17 *28607:D 0.000178823 -8 *1273:181 *28607:D 0.000310895 -9 *3876:62 *28607:D 0.000799825 -10 *4084:18 *28607:D 2.39664e-05 -11 *4147:31 *28607:D 0.000775521 -*RES -1 *29088:X *28607:D 42.6179 -*END - -*D_NET *4859 0.00222017 -*CONN -*I *27114:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29089:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27114:A1 0.000665594 -2 *29089:X 0.000665594 -3 *27114:A1 *5682:141 0.000888982 -*RES -1 *29089:X *27114:A1 27.35 -*END - -*D_NET *4860 0.00206201 -*CONN -*I *28679:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29090:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28679:D 0.000554948 -2 *29090:X 0.000554948 -3 *28679:D *5643:203 8.6229e-06 -4 *28679:D *5703:21 0.000245969 -5 *27788:C1 *28679:D 0.000104787 -6 *27832:B2 *28679:D 9.18765e-06 -7 *890:19 *28679:D 0.00027551 -8 *3338:8 *28679:D 0.000280446 -9 *4147:20 *28679:D 2.75938e-05 -*RES -1 *29090:X *28679:D 39.1714 -*END - -*D_NET *4861 0.00280697 -*CONN -*I *25631:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29091:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25631:A1 0.000739532 -2 *29091:X 0.000739532 -3 *25631:A1 *5682:132 0.000467849 -4 *27787:A1 *25631:A1 0.000259025 -5 *2774:244 *25631:A1 0.000343528 -6 *3811:32 *25631:A1 0.000257507 -*RES -1 *29091:X *25631:A1 39.1179 -*END - -*D_NET *4862 0.00257699 -*CONN -*I *28127:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29092:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28127:D 0.000487536 -2 *29092:X 0.000487536 -3 *28127:D *28127:RESET_B 2.29097e-05 -4 *28127:D *29052:A 0.000136199 -5 *28127:D *5774:88 8.55871e-05 -6 *2774:15 *28127:D 8.55871e-05 -7 *2774:244 *28127:D 0.000309027 -8 *3367:18 *28127:D 0.000483012 -9 *4149:34 *28127:D 0.000479601 -*RES -1 *29092:X *28127:D 40.3857 -*END - -*D_NET *4863 0.00494548 -*CONN -*I *28008:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *30653:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *28008:A2 0.00153575 -2 *30653:X 0.00153575 -3 *28008:A2 *5458:67 0.000431237 -4 *28008:A2 *6214:51 0.000231466 -5 *28006:A2 *28008:A2 7.17235e-05 -6 *28009:C1 *28008:A2 0.00010096 -7 *30638:A *28008:A2 0.000318101 -8 *30643:A *28008:A2 0.000144773 -9 *30647:A *28008:A2 5.52238e-05 -10 *30653:A *28008:A2 1.33343e-05 -11 *4797:11 *28008:A2 0.000507155 -*RES -1 *30653:X *28008:A2 49.1536 -*END - -*D_NET *4864 0.00234326 -*CONN -*I *27201:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29093:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27201:A1 0.000907325 -2 *29093:X 0.000907325 -3 *27201:A1 *5191:15 4.62025e-05 -4 *29421:A *27201:A1 0.000482404 -*RES -1 *29093:X *27201:A1 29.8143 -*END - -*D_NET *4865 0.00185441 -*CONN -*I *28756:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29094:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28756:D 0.000628273 -2 *29094:X 0.000628273 -3 *28756:D *28756:RESET_B 0.000107311 -4 *29094:A *28756:D 0.000163605 -5 *3582:72 *28756:D 0.000241363 -6 *4017:20 *28756:D 8.55871e-05 -*RES -1 *29094:X *28756:D 30.7786 -*END - -*D_NET *4866 0.00190344 -*CONN -*I *27178:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29095:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27178:A1 0.000725982 -2 *29095:X 0.000725982 -3 *27178:A1 *25484:A1 4.46231e-06 -4 *27178:A1 *5716:265 0.000241294 -5 *29489:A *27178:A1 7.31032e-05 -6 *1174:68 *27178:A1 3.92854e-05 -7 *1822:11 *27178:A1 1.32552e-05 -8 *1883:10 *27178:A1 8.00806e-05 -*RES -1 *29095:X *27178:A1 37.3857 -*END - -*D_NET *4867 0.00195642 -*CONN -*I *28735:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29097:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28735:D 0.000340706 -2 *29097:X 0.000340706 -3 *28735:D *5914:80 0.000247479 -4 *27178:S *28735:D 0.000179656 -5 *29095:A *28735:D 0.000317546 -6 *1823:12 *28735:D 5.17614e-05 -7 *3589:50 *28735:D 0.000108229 -8 *4011:5 *28735:D 4.43256e-05 -9 *4011:23 *28735:D 0.000108986 -10 *4141:21 *28735:D 0.000217025 -*RES -1 *29097:X *28735:D 39.8143 -*END - -*D_NET *4868 0.00154772 -*CONN -*I *26929:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29098:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26929:A1 0.000446916 -2 *29098:X 0.000446916 -3 *28903:A *26929:A1 0.000653884 -*RES -1 *29098:X *26929:A1 24.8857 -*END - -*D_NET *4869 0.000926298 -*CONN -*I *28514:D I *D sky130_fd_sc_hd__dfstp_2 -*I *29099:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28514:D 0.00017212 -2 *29099:X 0.00017212 -3 *28514:D *5769:187 0.000125731 -4 *26933:S *28514:D 0.000167906 -5 *29099:A *28514:D 0 -6 *1862:93 *28514:D 0.00028842 -*RES -1 *29099:X *28514:D 33.475 -*END - -*D_NET *4870 0.00134341 -*CONN -*I *27187:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29100:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27187:A1 0.000203165 -2 *29100:X 0.000203165 -3 *27187:A1 *27187:S 9.66977e-05 -4 *27187:A1 *5716:60 0.000145945 -5 *28902:A *27187:A1 0.000345257 -6 *29100:A *27187:A1 0.000135028 -7 *3699:13 *27187:A1 1.24368e-05 -8 *3699:15 *27187:A1 0.000201716 -*RES -1 *29100:X *27187:A1 24.8857 -*END - -*D_NET *4871 0.00146418 -*CONN -*I *28743:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29101:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28743:D 0.000255926 -2 *29101:X 0.000255926 -3 *28743:D *5715:32 3.2293e-05 -4 *28743:D *5729:176 0.000107648 -5 *28747:D *28743:D 0.000151009 -6 *2763:219 *28743:D 0.000100823 -7 *3953:6 *28743:D 0.000313134 -8 *4102:32 *28743:D 5.33005e-05 -9 *4350:116 *28743:D 0.000194122 -*RES -1 *29101:X *28743:D 35.4929 -*END - -*D_NET *4872 0.000190707 -*CONN -*I *27055:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29102:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27055:A1 4.76021e-05 -2 *29102:X 4.76021e-05 -3 *27055:A1 *5499:59 6.28948e-05 -4 *2780:72 *27055:A1 3.26077e-05 -*RES -1 *29102:X *27055:A1 28.6357 -*END - -*D_NET *4873 0.00318336 -*CONN -*I *28626:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29103:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28626:D 0 -2 *29103:X 0.000852971 -3 *4873:22 0.000852971 -4 *4873:22 *30871:A 7.69372e-05 -5 *4873:22 *5483:135 7.92169e-05 -6 *4873:22 *5609:89 0.000120247 -7 *25387:B2 *4873:22 8.6229e-06 -8 *28626:CLK *4873:22 0.000245186 -9 *29103:A *4873:22 0.000164837 -10 *1254:144 *4873:22 1.60865e-05 -11 *1257:120 *4873:22 0.000158552 -12 *1282:68 *4873:22 1.65852e-05 -13 *1455:19 *4873:22 0.000202827 -14 *1653:35 *4873:22 7.75022e-05 -15 *2780:72 *4873:22 2.74858e-05 -16 *3816:27 *4873:22 2.11068e-05 -17 *3873:34 *4873:22 0.000262222 -*RES -1 *29103:X *4873:22 46.4565 -2 *4873:22 *28626:D 9.3 -*END - -*D_NET *4874 0.00809871 -*CONN -*I *27997:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *30654:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *27997:B1 0.000453085 -2 *30654:X 0.00128791 -3 *4874:16 0.001741 -4 *27997:B1 *25542:S 5.33005e-05 -5 *27997:B1 *25852:A 7.32173e-05 -6 *27997:B1 *25852:B 0.000125423 -7 *27997:B1 *27997:B2 1.28809e-05 -8 *27997:B1 *4896:9 0.00185015 -9 *27997:B1 *6203:31 0.000186669 -10 *27997:B1 *6376:53 4.35567e-05 -11 *4874:16 *28006:B1 0.000276014 -12 *4874:16 *5724:6 8.77613e-05 -13 *4874:16 *6214:32 0.000302245 -14 *27987:B1 *4874:16 0.000213652 -15 *27990:A2 *27997:B1 0.000355879 -16 *28003:B1 *4874:16 0.000470154 -17 *30617:A *4874:16 0.000186662 -18 *30639:A *4874:16 0 -19 *30651:A *4874:16 0.000149846 -20 *30654:A *4874:16 3.80953e-06 -21 *285:14 *4874:16 2.59355e-05 -22 *290:21 *4874:16 3.95113e-05 -23 *4586:37 *4874:16 0.000146016 -24 *4841:27 *27997:B1 1.40306e-05 -*RES -1 *30654:X *4874:16 40.2863 -2 *4874:16 *27997:B1 27.0857 -*END - -*D_NET *4875 0.00632185 -*CONN -*I *26895:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29104:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26895:A1 0.000339287 -2 *29104:X 0.00129593 -3 *4875:18 0.00163522 -4 *26895:A1 *26850:A1 0.000114457 -5 *4875:18 *26850:A0 7.14482e-05 -6 *4875:18 *26850:A1 7.48301e-06 -7 *4875:18 *26857:A0 4.37542e-05 -8 *4875:18 *28746:RESET_B 7.91143e-05 -9 *4875:18 *6277:9 0.000181596 -10 *26850:S *4875:18 0.000123582 -11 *26895:S *26895:A1 5.52302e-05 -12 *26976:A1 *4875:18 0.000262504 -13 *28746:CLK *4875:18 5.52238e-05 -14 *28904:A *4875:18 0.000206468 -15 *29104:A *4875:18 0.00016307 -16 *29105:A *26895:A1 0.000739592 -17 *778:15 *4875:18 2.42103e-05 -18 *2756:10 *4875:18 0.000516782 -19 *3582:90 *4875:18 0.000311901 -20 *3604:110 *4875:18 9.49949e-05 -*RES -1 *29104:X *4875:18 48.2107 -2 *4875:18 *26895:A1 22.1393 -*END - -*D_NET *4876 0.00330584 -*CONN -*I *28484:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29105:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28484:D 0.000960995 -2 *29105:X 0.000960995 -3 *28484:D *29157:A 0 -4 *28484:CLK *28484:D 2.83284e-06 -5 *30161:A *28484:D 9.54798e-06 -6 *2779:26 *28484:D 0.0012437 -7 *3874:91 *28484:D 1.44954e-05 -8 *3874:102 *28484:D 0.00011327 -*RES -1 *29105:X *28484:D 41.5821 -*END - -*D_NET *4877 0.00716214 -*CONN -*I *25698:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29106:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25698:A0 0.000729063 -2 *29106:X 0.00075037 -3 *4877:10 0.00147943 -4 *25698:A0 *5743:33 0.000308001 -5 *4877:10 *29106:A 0.0011381 -6 *25837:A0 *4877:10 0.000507946 -7 *28168:RESET_B *25698:A0 2.42516e-05 -8 *28281:D *25698:A0 5.49995e-05 -9 *28291:CLK *25698:A0 5.50052e-05 -10 *28291:D *25698:A0 1.52131e-05 -11 *30400:A *25698:A0 5.49995e-05 -12 *1427:143 *4877:10 0.000118026 -13 *1748:26 *25698:A0 0.000554102 -14 *1833:43 *4877:10 0.000116372 -15 *1844:49 *25698:A0 0.000555953 -16 *1844:59 *25698:A0 2.85321e-05 -17 *3165:312 *25698:A0 0.000136727 -18 *3196:125 *4877:10 2.12647e-05 -19 *3896:17 *4877:10 4.85033e-05 -20 *3896:86 *4877:10 2.42516e-05 -21 *4546:20 *25698:A0 0.000441021 -*RES -1 *29106:X *4877:10 34.0321 -2 *4877:10 *25698:A0 27.4964 -*END - -*D_NET *4878 0.00236383 -*CONN -*I *28179:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29108:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28179:D 0.000582895 -2 *29108:X 0.000582895 -3 *28179:D *5742:38 0.000325185 -4 *25459:A1 *28179:D 0.000205572 -5 *1430:59 *28179:D 0.000126413 -6 *1769:17 *28179:D 0.000387622 -7 *4186:6 *28179:D 1.24469e-05 -8 *4195:179 *28179:D 0.000140801 -*RES -1 *29108:X *28179:D 40.0464 -*END - -*D_NET *4879 0.00282391 -*CONN -*I *25626:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29109:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25626:A1 0.000467644 -2 *29109:X 0.000467644 -3 *25626:A1 *28674:D 0.000940474 -4 *25626:A1 *5687:166 0.000146075 -5 *25626:A1 *5713:155 0.000177293 -6 *25626:A1 *6320:29 0.000243374 -7 *27109:S *25626:A1 5.52302e-05 -8 *29236:A *25626:A1 0.000257722 -9 *29881:A *25626:A1 6.8455e-05 -*RES -1 *29109:X *25626:A1 42.5464 -*END - -*D_NET *4880 0.00152753 -*CONN -*I *28122:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29110:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28122:D 0.000378267 -2 *29110:X 0.000378267 -3 *282:15 *28122:D 2.19839e-05 -4 *282:19 *28122:D 0.000271502 -5 *474:15 *28122:D 0.000159771 -6 *1717:25 *28122:D 0.000181796 -7 *4352:35 *28122:D 0.00013594 -*RES -1 *29110:X *28122:D 35.0821 -*END - -*D_NET *4881 0.00623032 -*CONN -*I *26825:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29111:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26825:A1 0 -2 *29111:X 0.00132606 -3 *4881:17 0.00132606 -4 *4881:17 *25261:A1 0.000522756 -5 *4881:17 *25606:A1 0.000140254 -6 *4881:17 *28662:RESET_B 0.000216121 -7 *4881:17 *29111:A 1.90936e-05 -8 *4881:17 *5448:16 2.06178e-05 -9 *4881:17 *5609:33 2.07098e-05 -10 *4881:17 *5636:10 0 -11 *4881:17 *5745:177 0.000219711 -12 *4881:17 *5945:18 2.45832e-05 -13 *4881:17 *6070:13 3.45597e-05 -14 *4881:17 *6142:14 4.60232e-06 -15 *4881:17 *6385:32 0.00103408 -16 *25604:A0 *4881:17 6.25524e-05 -17 *25606:A0 *4881:17 7.44888e-05 -18 *29743:A *4881:17 0.000300493 -19 *456:17 *4881:17 0 -20 *457:17 *4881:17 1.37595e-05 -21 *1240:96 *4881:17 0.000207703 -22 *1254:14 *4881:17 0.000426941 -23 *3638:122 *4881:17 0.000235164 -*RES -1 *29111:X *4881:17 45.1638 -2 *4881:17 *26825:A1 9.3 -*END - -*D_NET *4882 0.0111757 -*CONN -*I *28428:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29112:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28428:D 0.000811047 -2 *29112:X 0.000126434 -3 *4882:11 0.00181237 -4 *4882:8 0.00112775 -5 *28428:D *25260:A1 9.56794e-05 -6 *28428:D *29029:A 0.000468893 -7 *28428:D *6142:14 9.69701e-05 -8 *4882:11 *5437:9 0.000135836 -9 *4882:11 *5515:15 0.00273925 -10 pll_trim[22] *28428:D 0.000114343 -11 pll_trim[24] *28428:D 0 -12 pll_trim[25] *28428:D 0.000132666 -13 *30689:A *4882:8 0 -14 *40:30 *4882:8 0.000190336 -15 *171:9 *4882:11 0.00274808 -16 *3875:16 *4882:11 0.000576044 -*RES -1 *29112:X *4882:8 20.8536 -2 *4882:8 *4882:11 45.2857 -3 *4882:11 *28428:D 37.6393 -*END - -*D_NET *4883 0.00577393 -*CONN -*I *26985:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29113:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26985:A1 0 -2 *29113:X 0.00128093 -3 *4883:13 0.00128093 -4 *4883:13 *26857:A0 0.000620718 -5 *4883:13 *26985:A0 0.000478626 -6 *4883:13 *4884:17 0.000399841 -7 *28904:A *4883:13 0.000833704 -8 *29113:A *4883:13 0 -9 *3603:155 *4883:13 0.000116086 -10 *3603:164 *4883:13 5.48488e-05 -11 *3835:26 *4883:13 0.000708248 -*RES -1 *29113:X *4883:13 46.1929 -2 *4883:13 *26985:A1 9.3 -*END - -*D_NET *4884 0.00670367 -*CONN -*I *28564:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29114:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28564:D 0.000489533 -2 *29114:X 0.000889869 -3 *4884:17 0.0013794 -4 *28564:D *28564:RESET_B 0.000177052 -5 *4884:17 *28992:A 9.41642e-05 -6 *4884:17 *5803:10 0.000209911 -7 *4884:17 *5905:48 6.57815e-05 -8 *4884:17 *6259:38 0.000305285 -9 *28904:A *4884:17 0.00107434 -10 *2779:26 *4884:17 0.000418126 -11 *2780:297 *28564:D 0.000130755 -12 *2780:297 *4884:17 0.000859707 -13 *4402:16 *4884:17 0.000209911 -14 *4883:13 *4884:17 0.000399841 -*RES -1 *29114:X *4884:17 44.8714 -2 *4884:17 *28564:D 15.6036 -*END - -*D_NET *4885 0.00898944 -*CONN -*I *27999:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *30655:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *27999:B1 0 -2 *30655:X 0.00188774 -3 *4885:31 0.00188774 -4 *4885:31 *27993:A1 7.06444e-05 -5 *4885:31 *27999:B2 5.52238e-05 -6 *4885:31 *4896:9 3.47641e-06 -7 *4885:31 *5674:8 2.06178e-05 -8 *4885:31 *5726:20 9.6561e-06 -9 *4885:31 *6214:32 2.10406e-05 -10 *4885:31 *6214:51 0.000111744 -11 *4885:31 *6376:98 0.000160921 -12 *27993:A2 *4885:31 1.74352e-05 -13 *27994:C1 *4885:31 5.52302e-05 -14 *27999:A2 *4885:31 1.73088e-05 -15 *28000:C1 *4885:31 4.58194e-05 -16 *28003:B1 *4885:31 3.57814e-05 -17 *28008:B1 *4885:31 0.0010927 -18 *30651:A *4885:31 0 -19 *30654:A *4885:31 8.6229e-06 -20 *30655:A *4885:31 0.000438788 -21 *30659:A *4885:31 0 -22 *30660:A *4885:31 3.60457e-05 -23 *286:17 *4885:31 0.000402784 -24 *4586:37 *4885:31 0.00191551 -25 *4608:17 *4885:31 0.000694603 -*RES -1 *30655:X *4885:31 49.7646 -2 *4885:31 *27999:B1 9.3 -*END - -*D_NET *4886 0.00512021 -*CONN -*I *27189:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29115:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27189:A1 0.00091761 -2 *29115:X 0.00091761 -3 *27189:A1 *26852:A1 5.52302e-05 -4 *27189:A1 *5771:75 0.000392019 -5 *27189:A1 *5881:43 0.000988173 -6 *26852:A0 *27189:A1 6.7569e-05 -7 *26852:S *27189:A1 5.52238e-05 -8 *29115:A *27189:A1 0.000509213 -9 *30569:A *27189:A1 0.000486447 -10 *2754:41 *27189:A1 0.000137983 -11 *3604:17 *27189:A1 9.41642e-05 -12 *4029:16 *27189:A1 0.000498967 -*RES -1 *29115:X *27189:A1 44.6357 -*END - -*D_NET *4887 0.00272436 -*CONN -*I *28745:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29116:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28745:D 0.000933551 -2 *29116:X 0.000933551 -3 *28745:D *28745:RESET_B 0.000695895 -4 *28745:D *5896:17 9.01312e-06 -5 *29116:A *28745:D 0.000129607 -6 *3603:95 *28745:D 2.27416e-05 -*RES -1 *29116:X *28745:D 31.0643 -*END - -*D_NET *4888 0.00191032 -*CONN -*I *26992:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29117:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26992:A1 0.000509559 -2 *29117:X 0.000509559 -3 *26992:A1 *26992:A0 0.00028427 -4 *26992:A1 *5879:32 0.000164567 -5 *30260:A *26992:A1 0.000135028 -6 *30441:A *26992:A1 0.000161459 -7 *1361:68 *26992:A1 9.60875e-05 -8 *2757:11 *26992:A1 8.43535e-06 -9 *3600:103 *26992:A1 4.13595e-05 -*RES -1 *29117:X *26992:A1 36.9571 -*END - -*D_NET *4889 0.00205536 -*CONN -*I *28570:D I *D sky130_fd_sc_hd__dfstp_2 -*I *29119:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28570:D 0.000626308 -2 *29119:X 0.000626308 -3 *28570:D *5585:7 9.71197e-05 -4 *28570:D *5586:11 6.03032e-05 -5 *28570:D *5589:74 0.000148352 -6 *27609:C1 *28570:D 0.000350136 -7 *3998:17 *28570:D 0.000146828 -*RES -1 *29119:X *28570:D 36.6536 -*END - -*D_NET *4890 0.00207336 -*CONN -*I *26938:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29120:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26938:A1 0.000768578 -2 *29120:X 0.000768578 -3 *26938:A1 *5810:97 5.33005e-05 -4 *26938:A1 *5810:115 5.33005e-05 -5 *29120:A *26938:A1 0.0003763 -6 *29422:A *26938:A1 5.33005e-05 -*RES -1 *29120:X *26938:A1 30.6357 -*END - -*D_NET *4891 0.00208217 -*CONN -*I *28522:D I *D sky130_fd_sc_hd__dfstp_2 -*I *29121:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28522:D 0.000527453 -2 *29121:X 0.000527453 -3 *28522:D *5688:44 9.25014e-06 -4 *28522:D *5688:53 0.000334602 -5 *27113:S *28522:D 0.000127908 -6 *2784:121 *28522:D 4.48583e-05 -7 *4502:21 *28522:D 0.000510642 -*RES -1 *29121:X *28522:D 37.0286 -*END - -*D_NET *4892 0.00156142 -*CONN -*I *26967:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29122:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26967:A1 0.000411663 -2 *29122:X 0.000411663 -3 *26967:A1 *5584:178 0.000399841 -4 *26967:A1 *5771:81 7.83366e-05 -5 *26967:A1 *5803:10 0.000259921 -6 *3678:18 *26967:A1 0 -*RES -1 *29122:X *26967:A1 34.8857 -*END - -*D_NET *4893 0.00245124 -*CONN -*I *28548:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29123:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28548:D 0.000547369 -2 *29123:X 0.000547369 -3 *28548:D *28508:RESET_B 0.000390641 -4 *28548:D *5863:60 2.24804e-05 -5 *29123:A *28548:D 0.000311045 -6 *1225:181 *28548:D 0.000190829 -7 *1286:101 *28548:D 0.000134181 -8 *2760:164 *28548:D 0.000307328 -*RES -1 *29123:X *28548:D 39.3143 -*END - -*D_NET *4894 0.000945385 -*CONN -*I *26922:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29124:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26922:A1 0.000167423 -2 *29124:X 0.000167423 -3 *26922:A1 *26922:A0 9.84673e-05 -4 *26922:A1 *28508:D 0.000124019 -5 *26922:A1 *5795:163 2.44318e-05 -6 *26922:S *26922:A1 5.71472e-05 -7 *3874:91 *26922:A1 0.000306473 -*RES -1 *29124:X *26922:A1 32.6179 -*END - -*D_NET *4895 0.00339781 -*CONN -*I *28508:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29125:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28508:D 0.000939302 -2 *29125:X 0.000939302 -3 *28508:D *28508:RESET_B 2.74795e-05 -4 *28508:D *29702:A 0.00064714 -5 *28508:D *5702:29 0 -6 *28508:D *5709:272 0.000324122 -7 *26922:A1 *28508:D 0.000124019 -8 *3874:91 *28508:D 0.000190267 -9 *4029:10 *28508:D 7.2754e-05 -10 *4094:10 *28508:D 0.000133425 -*RES -1 *29125:X *28508:D 46.2607 -*END - -*D_NET *4896 0.00704575 -*CONN -*I *28002:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *30656:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *28002:B1 0.000117768 -2 *30656:X 0.0021944 -3 *4896:9 0.00231217 -4 *28002:B1 *27996:A1 0.000114854 -5 *28002:B1 *28002:A1 3.47641e-06 -6 *28002:B1 *28002:B2 1.15124e-05 -7 *4896:9 *28000:B2 6.26774e-06 -8 *27990:A2 *4896:9 7.91765e-05 -9 *27994:A2 *28002:B1 1.61254e-05 -10 *27997:B1 *4896:9 0.00185015 -11 *28000:B1 *4896:9 5.52302e-05 -12 *30651:A *4896:9 0 -13 *30658:A *4896:9 7.55769e-05 -14 *4808:25 *28002:B1 2.87773e-05 -15 *4808:25 *4896:9 0.000129509 -16 *4841:27 *4896:9 4.72845e-05 -17 *4885:31 *4896:9 3.47641e-06 -*RES -1 *30656:X *4896:9 47.3893 -2 *4896:9 *28002:B1 20.5589 -*END - -*D_NET *4897 0.00407036 -*CONN -*I *26850:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29126:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26850:A0 0.00157447 -2 *29126:X 0.00157447 -3 *26850:A0 *26850:A1 5.52302e-05 -4 *26850:A0 *26953:A1 0 -5 *26850:A0 *4898:11 2.09897e-05 -6 *26850:A0 *5709:290 1.94945e-05 -7 *26850:A0 *5926:66 5.87595e-05 -8 *26850:S *26850:A0 2.14658e-05 -9 *26986:S *26850:A0 8.15826e-05 -10 *29979:A *26850:A0 0.000164633 -11 *2770:134 *26850:A0 0.000427809 -12 *4875:18 *26850:A0 7.14482e-05 -*RES -1 *29126:X *26850:A0 42.7464 -*END - -*D_NET *4898 0.00512402 -*CONN -*I *28445:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29127:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28445:D 0 -2 *29127:X 0.00112041 -3 *4898:11 0.00112041 -4 *4898:11 *28637:D 4.77403e-05 -5 *4898:11 *30567:A 0.000382438 -6 *4898:11 *5709:290 0.000294912 -7 *4898:11 *5881:43 0.000161798 -8 *4898:11 *5927:14 0.000268403 -9 *4898:11 *6259:38 0.000163728 -10 *24835:A *4898:11 0.00101588 -11 *26850:A0 *4898:11 2.09897e-05 -12 *26857:A1 *4898:11 0.000141985 -13 *30569:A *4898:11 1.46624e-05 -14 *778:15 *4898:11 0 -15 *889:22 *4898:11 9.32015e-05 -16 *3848:95 *4898:11 0.000204945 -17 *3861:53 *4898:11 0 -18 *4812:13 *4898:11 7.25104e-05 -*RES -1 *29127:X *4898:11 47.2107 -2 *4898:11 *28445:D 9.3 -*END - -*D_NET *4899 0.00949421 -*CONN -*I *26810:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29128:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26810:A1 0.000433381 -2 *29128:X 0.00176281 -3 *4899:13 0.00219619 -4 *26810:A1 *26809:A0 5.33005e-05 -5 *26810:A1 *26809:A1 5.33005e-05 -6 *26810:A1 *5027:17 1.98839e-05 -7 *26810:A1 *5303:9 0.000523415 -8 *26810:A1 *5491:104 0.000520515 -9 *4899:13 *5026:15 0.00143471 -10 *4899:13 *5093:19 0.000132088 -11 *4899:13 *5488:34 5.07122e-05 -12 *4899:13 *5491:104 5.96516e-05 -13 *25663:A0 *26810:A1 0.000439207 -14 *26809:S *26810:A1 0.00052491 -15 *29999:A *4899:13 4.22135e-06 -16 *30032:A *4899:13 0.000782926 -17 *30087:A *4899:13 8.19176e-05 -18 *748:16 *26810:A1 0.000105488 -19 *748:16 *4899:13 0.000135028 -20 *4240:37 *4899:13 8.34418e-05 -21 *4336:11 *4899:13 9.71197e-05 -*RES -1 *29128:X *4899:13 44.55 -2 *4899:13 *26810:A1 23.425 -*END - -*D_NET *4900 0.0110434 -*CONN -*I *28416:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29130:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28416:D 0 -2 *29130:X 0.00251849 -3 *4900:26 0.00251849 -4 *4900:26 *25359:B1 0.000392204 -5 *4900:26 *25617:A0 0.000233271 -6 *4900:26 *28150:D 4.10464e-05 -7 *4900:26 *29571:A 0.000397976 -8 *4900:26 *30924:A 2.23393e-05 -9 *4900:26 *4965:16 0.000947713 -10 *4900:26 *4977:22 0.00104801 -11 *4900:26 *5078:20 4.1889e-05 -12 *4900:26 *5170:19 0.000400867 -13 *4900:26 *5203:27 2.36802e-05 -14 *4900:26 *5488:34 8.69944e-05 -15 *4900:26 *5665:131 0.000109334 -16 *4900:26 *6225:17 0.000287062 -17 *25354:B *4900:26 0.000357333 -18 *29206:A *4900:26 8.96627e-06 -19 *29389:A *4900:26 4.11218e-05 -20 *30015:A *4900:26 6.39144e-05 -21 *30087:A *4900:26 0.000207175 -22 *506:24 *4900:26 0.000363226 -23 *571:19 *4900:26 0 -24 *699:22 *4900:26 0.000503198 -25 *749:24 *4900:26 6.39144e-05 -26 *3792:51 *4900:26 0.000341826 -27 *3893:41 *4900:26 2.33863e-05 -*RES -1 *29130:X *4900:26 49.4793 -2 *4900:26 *28416:D 9.3 -*END - -*D_NET *4901 0.00237798 -*CONN -*I *25901:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29131:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25901:A1 0.000592815 -2 *29131:X 0.000592815 -3 *25901:A1 *5640:142 0.000422997 -4 *25901:A1 *5762:70 0.000219711 -5 *3868:74 *25901:A1 0.000290986 -6 *4011:52 *25901:A1 0.00022287 -7 *4011:54 *25901:A1 3.57844e-05 -*RES -1 *29131:X *25901:A1 38.6179 -*END - -*D_NET *4902 0.00124241 -*CONN -*I *28350:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29132:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28350:D 0.000343837 -2 *29132:X 0.000343837 -3 *28350:D *5640:142 0.000351356 -4 *27666:C1 *28350:D 0.000100831 -5 *4350:96 *28350:D 0.000102545 -*RES -1 *29132:X *28350:D 32.7607 -*END - -*D_NET *4903 0.00305677 -*CONN -*I *27082:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29133:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27082:A1 0.000565218 -2 *29133:X 0.000565218 -3 *27082:A1 *28650:D 5.52302e-05 -4 *27082:A1 *5448:30 1.94945e-05 -5 *27082:A1 *5661:21 2.04825e-05 -6 *25321:A2 *27082:A1 0.000488547 -7 *29134:A *27082:A1 5.33005e-05 -8 *1250:72 *27082:A1 5.58383e-05 -9 *1256:31 *27082:A1 0.000975123 -10 *2784:59 *27082:A1 2.11419e-05 -11 *3170:21 *27082:A1 2.59355e-05 -12 *3197:31 *27082:A1 5.52238e-05 -13 *3933:17 *27082:A1 0.000156018 -*RES -1 *29133:X *27082:A1 39.4989 -*END - -*D_NET *4904 0.00278331 -*CONN -*I *28650:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29134:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28650:D 0.000707293 -2 *29134:X 0.000707293 -3 *28650:D *27082:A0 0.000178847 -4 *28650:D *5635:50 5.52302e-05 -5 *27082:A1 *28650:D 5.52302e-05 -6 *29134:A *28650:D 0.000675008 -7 *2781:65 *28650:D 8.00554e-05 -8 *2860:154 *28650:D 0.000142538 -9 *3174:35 *28650:D 0.000173373 -10 *4051:43 *28650:D 8.44271e-06 -*RES -1 *29134:X *28650:D 40.7607 -*END - -*D_NET *4905 0.00114575 -*CONN -*I *27136:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29135:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27136:A1 0.000278634 -2 *29135:X 0.000278634 -3 *25358:A1 *27136:A1 0.000266172 -4 *27136:S *27136:A1 5.33005e-05 -5 *29135:A *27136:A1 5.33005e-05 -6 *29136:A *27136:A1 0.000215706 -*RES -1 *29135:X *27136:A1 24.8857 -*END - -*D_NET *4906 0.00227164 -*CONN -*I *28698:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29136:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28698:D 0.000558225 -2 *29136:X 0.000558225 -3 *28698:D *28698:SET_B 0.000170437 -4 *28698:D *5713:96 0.000550386 -5 *28698:D *5947:35 0.000434369 -*RES -1 *29136:X *28698:D 30.225 -*END - -*D_NET *4907 0.038064 -*CONN -*I *25099:A1 I *D sky130_fd_sc_hd__a221o_2 -*I *30657:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *25099:A1 0.00139815 -2 *30657:X 0.00147239 -3 *4907:40 0.00229127 -4 *4907:28 0.00461111 -5 *4907:17 0.00522197 -6 *4907:10 0.00297637 -7 *4907:10 *5426:19 9.82827e-05 -8 *4907:10 *5448:10 1.72894e-05 -9 *4907:10 *5570:23 0.000394233 -10 *4907:10 *6380:112 0.000160072 -11 *4907:10 *6381:52 2.01074e-05 -12 *4907:17 *28128:RESET_B 0.00116002 -13 *4907:17 *5714:13 0.00103298 -14 *4907:28 *27278:B 1.7276e-05 -15 *4907:28 *30871:A 2.53237e-05 -16 *4907:28 *6302:41 9.46912e-05 -17 *4907:28 *6319:25 0.000108042 -18 *4907:40 *5211:8 0.000853001 -19 *4907:40 *5700:159 0.00016793 -20 *24973:A1 *4907:10 2.3942e-05 -21 *24974:A1 *4907:10 2.88045e-05 -22 *24991:A1 *4907:17 0.000109747 -23 *25099:A2 *25099:A1 9.59404e-05 -24 *25263:C1 *25099:A1 8.43535e-06 -25 *25271:B2 *4907:28 0.00200045 -26 *25890:S *25099:A1 7.57819e-06 -27 *27226:A2 *4907:10 0.000135092 -28 *27232:A2 *4907:10 2.11419e-05 -29 *27632:B *4907:28 0.00011309 -30 *27882:B2 *25099:A1 0 -31 *28664:D *4907:10 0 -32 *29830:A *4907:28 6.48447e-05 -33 *30613:A *4907:10 0 -34 *30674:A *4907:10 8.42566e-05 -35 *1104:16 *4907:10 0.000104976 -36 *1271:104 *25099:A1 8.6229e-06 -37 *1282:68 *4907:28 0.000428652 -38 *1420:22 *25099:A1 3.89624e-05 -39 *1550:34 *4907:28 2.79665e-05 -40 *1581:11 *25099:A1 0.000892522 -41 *1798:19 *4907:10 2.09698e-05 -42 *1802:22 *4907:28 7.32272e-05 -43 *1802:38 *4907:28 0.000161937 -44 *2777:119 *4907:17 0 -45 *2780:61 *25099:A1 2.59355e-05 -46 *2780:72 *25099:A1 0.000415795 -47 *2782:53 *4907:28 0.000380109 -48 *2825:27 *4907:28 2.86824e-05 -49 *2834:40 *25099:A1 0.000133658 -50 *2849:15 *4907:28 5.52302e-05 -51 *2864:28 *25099:A1 9.42367e-05 -52 *3184:15 *4907:17 0.000832634 -53 *3184:15 *4907:28 0.00159658 -54 *3184:43 *4907:28 0 -55 *3191:35 *4907:28 0.000272044 -56 *3191:37 *4907:40 0.00189844 -57 *3635:8 *4907:10 9.52535e-05 -58 *3635:8 *4907:17 0 -59 *3635:10 *4907:10 8.78085e-05 -60 *3635:12 *4907:10 7.8657e-05 -61 *3635:31 *4907:10 0.000751351 -62 *3635:68 *4907:17 0 -63 *3635:81 *4907:17 0 -64 *3790:11 *4907:40 8.40933e-05 -65 *3790:32 *4907:40 0.000475677 -66 *3799:10 *4907:17 0.000218741 -67 *3799:25 *4907:17 0.000916504 -68 *3800:27 *4907:17 8.2395e-05 -69 *3826:26 *4907:17 0 -70 *3873:34 *4907:28 0.000671897 -71 *3873:34 *4907:40 0.000516865 -72 *3878:22 *4907:10 2.32117e-05 -73 *3987:21 *4907:40 0.000851286 -74 *3992:25 *25099:A1 6.05161e-06 -75 *4685:8 *4907:10 0 -76 *4685:8 *4907:17 0.000899205 -*RES -1 *30657:X *4907:10 44.6125 -2 *4907:10 *4907:17 47.3125 -3 *4907:17 *4907:28 47.4455 -4 *4907:28 *4907:40 44.4286 -5 *4907:40 *25099:A1 40.4429 -*END - -*D_NET *4908 0.00962838 -*CONN -*I *28006:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *30658:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *28006:B1 0.0017308 -2 *30658:X 0.0017308 -3 *28006:B1 *28006:A1 9.54798e-06 -4 *28006:B1 *4919:27 0.00242458 -5 *28006:B1 *5674:76 0.000481701 -6 *28006:B1 *6214:51 2.24813e-05 -7 *28006:B1 *6377:87 9.38421e-05 -8 *28006:B1 *6378:106 9.62737e-05 -9 *28000:A2 *28006:B1 0.000137791 -10 *28003:A2 *28006:B1 1.89507e-06 -11 *28003:B1 *28006:B1 0.00144948 -12 *30639:A *28006:B1 0 -13 *4841:27 *28006:B1 0.00117317 -14 *4874:16 *28006:B1 0.000276014 -*RES -1 *30658:X *28006:B1 42.8819 -*END - -*D_NET *4909 0.00899839 -*CONN -*I *26809:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29137:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26809:A1 0.000715395 -2 *29137:X 0.00153838 -3 *4909:14 0.00225377 -4 *26809:A1 *26835:A0 7.17999e-05 -5 *26809:A1 *29533:A 0.000157842 -6 *26809:A1 *4978:17 0.000809024 -7 *26809:A1 *5079:20 2.30116e-06 -8 *26809:A1 *5710:125 6.07409e-05 -9 *4909:14 *29137:A 0.000101859 -10 *4909:14 *5474:14 0 -11 *4909:14 *5525:10 0 -12 *4909:14 *6147:31 0.000111763 -13 *4909:14 *6169:150 0 -14 *25663:A0 *26809:A1 3.18676e-05 -15 *26810:A1 *26809:A1 5.33005e-05 -16 *29299:A *4909:14 8.89615e-05 -17 *29309:A *26809:A1 0.000443648 -18 *29324:A *4909:14 0.000526784 -19 *30000:A *4909:14 5.54183e-05 -20 *30095:A *26809:A1 9.80173e-05 -21 *748:16 *4909:14 0 -22 *749:24 *26809:A1 2.81854e-05 -23 *751:9 *26809:A1 0.00101542 -24 *751:9 *4909:14 7.12975e-05 -25 *768:29 *26809:A1 0.000700639 -26 *768:29 *4909:14 4.69993e-05 -27 *4336:11 *4909:14 1.49698e-05 -*RES -1 *29137:X *4909:14 45.5679 -2 *4909:14 *26809:A1 45.7464 -*END - -*D_NET *4910 0.0143539 -*CONN -*I *28415:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29138:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28415:D 0.000777497 -2 *29138:X 0.00258378 -3 *4910:17 0.00336128 -4 *28415:D *28418:D 0.000163347 -5 *28415:D *30923:A 2.1645e-05 -6 *28415:D *5496:40 8.6229e-06 -7 *4910:17 *29137:A 0.000271908 -8 *4910:17 *5000:14 0.00130572 -9 *4910:17 *5028:8 6.71336e-05 -10 *4910:17 *5474:14 0 -11 *4910:17 *5730:11 0.000803823 -12 *28418:CLK *28415:D 0.000161693 -13 *29138:A *4910:17 0.000183184 -14 *29175:A *4910:17 0.000332324 -15 *29465:A *28415:D 0.000746382 -16 *160:14 *4910:17 0.0010512 -17 *467:18 *28415:D 8.79856e-06 -18 *701:29 *4910:17 6.97033e-05 -19 *3653:56 *28415:D 8.6229e-06 -20 *4184:45 *4910:17 0.00042976 -21 *4240:33 *4910:17 0.00112141 -22 *4337:16 *4910:17 0.000616819 -23 *4382:17 *4910:17 0.000259264 -*RES -1 *29138:X *4910:17 49.771 -2 *4910:17 *28415:D 34.8625 -*END - -*D_NET *4911 0.00236286 -*CONN -*I *27169:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29139:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27169:A1 0.000820852 -2 *29139:X 0.000820852 -3 *27169:A1 *28993:A 0.000125196 -4 *27169:A1 *4912:10 0.000128154 -5 *27169:A1 *5833:200 3.69047e-06 -6 *29139:A *27169:A1 0.000138253 -7 *30267:A *27169:A1 0.000137485 -8 *1060:17 *27169:A1 2.34204e-05 -9 *1862:82 *27169:A1 0 -10 *3602:35 *27169:A1 5.84171e-05 -11 *3602:40 *27169:A1 0.000106542 -*RES -1 *29139:X *27169:A1 42.4036 -*END - -*D_NET *4912 0.00521833 -*CONN -*I *28727:D I *D sky130_fd_sc_hd__dfstp_2 -*I *29141:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28727:D 0 -2 *29141:X 0.00179736 -3 *4912:10 0.00179736 -4 *4912:10 *28638:RESET_B 0.00112033 -5 *27169:A1 *4912:10 0.000128154 -6 *28638:D *4912:10 9.58593e-05 -7 *30266:A *4912:10 0.000152851 -8 *1060:17 *4912:10 7.23744e-05 -9 *1862:82 *4912:10 4.79842e-05 -10 *3835:26 *4912:10 6.05161e-06 -*RES -1 *29141:X *4912:10 42.6571 -2 *4912:10 *28727:D 9.3 -*END - -*D_NET *4913 0.00155249 -*CONN -*I *27196:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29142:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27196:A1 0.00042841 -2 *29142:X 0.00042841 -3 *27196:A1 *28751:D 5.52302e-05 -4 *27196:A1 *5588:19 6.42338e-05 -5 *27196:A1 *5792:15 0.000448764 -6 *27196:A1 *6247:6 0.000127446 -*RES -1 *29142:X *27196:A1 34.7071 -*END - -*D_NET *4914 0.00145418 -*CONN -*I *28751:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29143:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28751:D 0.000320079 -2 *29143:X 0.000320079 -3 *28751:D *25544:S 0.000171577 -4 *28751:D *5397:23 0.000367981 -5 *28751:D *5792:15 0.000208394 -6 *27196:A1 *28751:D 5.52302e-05 -7 *1835:6 *28751:D 1.08359e-05 -*RES -1 *29143:X *28751:D 35.7964 -*END - -*D_NET *4915 0.00279719 -*CONN -*I *26974:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29144:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26974:A1 0.000383567 -2 *29144:X 0.000383567 -3 *26974:A1 *5748:6 0.000199081 -4 *26974:A1 *5769:144 0 -5 *1024:19 *26974:A1 0.000340788 -6 *2769:227 *26974:A1 0.000738751 -7 *2786:151 *26974:A1 4.88637e-05 -8 *4446:13 *26974:A1 0.000702569 -*RES -1 *29144:X *26974:A1 41.1 -*END - -*D_NET *4916 0.0011234 -*CONN -*I *28554:D I *D sky130_fd_sc_hd__dfstp_4 -*I *29145:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28554:D 0.000286189 -2 *29145:X 0.000286189 -3 *28554:D *5716:244 6.97972e-05 -4 *29145:A *28554:D 0.000128146 -5 *3924:18 *28554:D 0.000353079 -*RES -1 *29145:X *28554:D 32.6536 -*END - -*D_NET *4917 0.00169563 -*CONN -*I *27028:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29146:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27028:A1 0.000497225 -2 *29146:X 0.000497225 -3 *27028:A1 *5649:249 0.000269258 -4 *27028:A1 *5832:8 0.000139742 -5 *27028:A1 *5833:190 0.000292184 -*RES -1 *29146:X *27028:A1 36.1179 -*END - -*D_NET *4918 0.00175 -*CONN -*I *28602:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29147:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28602:D 0.000360732 -2 *29147:X 0.000360732 -3 *29147:A *28602:D 0.000341289 -4 *2772:77 *28602:D 0.000344232 -5 *3699:22 *28602:D 0.000343011 -*RES -1 *29147:X *28602:D 35.3857 -*END - -*D_NET *4919 0.00972713 -*CONN -*I *28009:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *30659:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *28009:B1 0 -2 *30659:X 0.00192257 -3 *4919:27 0.00192257 -4 *4919:27 *28009:B2 2.84269e-05 -5 *4919:27 *5463:14 0.000784808 -6 *4919:27 *6377:48 1.90936e-05 -7 *4919:27 *6377:138 0.000140123 -8 *4919:27 *6378:106 0.000605501 -9 *4919:27 *6378:121 0.000349317 -10 *4919:27 *6378:129 4.40265e-05 -11 *28003:A2 *4919:27 2.2431e-05 -12 *28006:B1 *4919:27 0.00242458 -13 *28009:C1 *4919:27 5.52302e-05 -14 *290:21 *4919:27 6.19639e-06 -15 *291:18 *4919:27 0.000240279 -16 *292:21 *4919:27 7.31979e-05 -17 *1880:11 *4919:27 0.000757836 -18 *4619:21 *4919:27 6.83556e-05 -19 *4785:17 *4919:27 0.000262602 -*RES -1 *30659:X *4919:27 41.1798 -2 *4919:27 *28009:B1 9.3 -*END - -*D_NET *4920 0.00265352 -*CONN -*I *26854:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29148:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26854:A1 0.000612903 -2 *29148:X 0.000612903 -3 *26854:A1 *26855:A0 2.73126e-05 -4 *26854:A1 *29148:A 4.5264e-05 -5 *26854:A1 *5052:19 0.000193515 -6 *26854:A1 *6225:79 0.00034188 -7 *1500:11 *26854:A1 0.000354069 -8 *3986:26 *26854:A1 0 -9 *3996:19 *26854:A1 0.000465678 -*RES -1 *29148:X *26854:A1 40.6179 -*END - -*D_NET *4921 0.00124268 -*CONN -*I *28448:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29149:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28448:D 0.00020263 -2 *29149:X 0.00020263 -3 *28448:D *5488:86 9.97669e-05 -4 *28448:D *5522:52 0.000237672 -5 *28448:D *5665:24 3.2554e-05 -6 *28448:D *5691:157 0.000467426 -*RES -1 *29149:X *28448:D 33.5821 -*END - -*D_NET *4922 0.00177807 -*CONN -*I *26855:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29150:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26855:A1 0.000300843 -2 *29150:X 0.000300843 -3 *26855:A1 *28395:RESET_B 0.000269385 -4 *26855:A1 *5581:46 8.80158e-05 -5 *26855:A1 *5618:126 9.56978e-05 -6 *26855:A1 *6225:79 2.75938e-05 -7 *26855:S *26855:A1 5.33005e-05 -8 *1227:44 *26855:A1 0.000322501 -9 *3568:99 *26855:A1 0.000319889 -*RES -1 *29150:X *26855:A1 35.9214 -*END - -*D_NET *4923 0.00069718 -*CONN -*I *28449:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29152:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28449:D 0.000178379 -2 *29152:X 0.000178379 -3 *28449:D *28449:RESET_B 0.000219289 -4 *28448:CLK *28449:D 8.25843e-06 -5 *29152:A *28449:D 8.55871e-05 -6 *3648:114 *28449:D 2.72887e-05 -*RES -1 *29152:X *28449:D 22.0107 -*END - -*D_NET *4924 0.0129266 -*CONN -*I *26859:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29153:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26859:A1 0.000988276 -2 *29153:X 0.00162126 -3 *4924:17 0.00260953 -4 *26859:A1 *30559:A 0.000433581 -5 *26859:A1 *5781:7 5.52238e-05 -6 *26859:A1 *5781:10 0.00019501 -7 *26859:A1 *5829:42 0.000140111 -8 *4924:17 *25739:A0 0.000498731 -9 *4924:17 *26861:A1 0.00146504 -10 *4924:17 *5179:16 0.000902393 -11 *4924:17 *5462:55 0.0017804 -12 *4924:17 *5462:70 6.53083e-05 -13 *4924:17 *5870:8 3.09981e-05 -14 *4924:17 *6286:16 8.71613e-05 -15 *27134:S *26859:A1 7.21957e-05 -16 *28454:CLK *26859:A1 6.13118e-05 -17 *30709:A *26859:A1 0.000391 -18 *30712:A *26859:A1 0.000127441 -19 *783:17 *26859:A1 0.00103166 -20 *2756:72 *26859:A1 0.000203556 -21 *2756:93 *4924:17 4.05405e-05 -22 *4056:53 *26859:A1 0.000125917 -*RES -1 *29153:X *4924:17 48.6383 -2 *4924:17 *26859:A1 32.433 -*END - -*D_NET *4925 0.0119504 -*CONN -*I *28452:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29154:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28452:D 0.000940033 -2 *29154:X 0.00101377 -3 *4925:25 0.0019538 -4 *28452:D *28177:D 0.00112546 -5 *28452:D *5449:16 5.58875e-06 -6 *28452:D *5451:23 2.4461e-05 -7 *28452:D *5680:30 4.6025e-06 -8 *28452:D *5893:81 0.00110037 -9 *28452:D *6253:13 4.72917e-05 -10 *4925:25 *28455:D 7.32272e-05 -11 *4925:25 *28455:RESET_B 0.000104716 -12 *4925:25 *28456:RESET_B 0.000101944 -13 *4925:25 *29153:A 1.66754e-05 -14 *4925:25 *29923:A 0.000159939 -15 *4925:25 *5181:22 5.33005e-05 -16 *4925:25 *5452:16 1.05524e-05 -17 *4925:25 *5588:85 0.00160048 -18 *4925:25 *5775:128 6.00873e-05 -19 *4925:25 *5893:92 5.71472e-05 -20 *4925:25 *6285:21 0.00159352 -21 *4925:25 *6286:16 7.65804e-05 -22 *24833:A *28452:D 4.00349e-05 -23 *28455:CLK *4925:25 3.83918e-05 -24 *29154:A *4925:25 9.25014e-06 -25 *62:10 *28452:D 0.000310298 -26 *554:20 *28452:D 5.48376e-05 -27 *786:13 *28452:D 0.000110361 -28 *786:13 *4925:25 0.000329879 -29 *790:22 *4925:25 8.80812e-06 -30 *4803:18 *28452:D 0.000925032 -*RES -1 *29154:X *4925:25 49.4119 -2 *4925:25 *28452:D 49.0305 -*END - -*D_NET *4926 0.00198068 -*CONN -*I *26893:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29155:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26893:A1 0.000648005 -2 *29155:X 0.000648005 -3 *26893:A1 *28482:SET_B 9.80173e-05 -4 *26893:A1 *5656:68 0 -5 *26893:A1 *5658:31 1.08895e-05 -6 *26893:A1 *5667:348 0.000225753 -7 *29156:A *26893:A1 1.90936e-05 -8 *2874:169 *26893:A1 4.88511e-05 -9 *2998:30 *26893:A1 1.90936e-05 -10 *3185:48 *26893:A1 1.90303e-05 -11 *3627:50 *26893:A1 6.13706e-05 -12 *3939:50 *26893:A1 6.58294e-06 -13 *3946:18 *26893:A1 0.000112667 -14 *3965:82 *26893:A1 6.33204e-05 -*RES -1 *29155:X *26893:A1 38.6736 -*END - -*D_NET *4927 0.00508464 -*CONN -*I *28482:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29156:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28482:D 0 -2 *29156:X 0.00171477 -3 *4927:15 0.00171477 -4 *4927:15 *5631:26 2.79437e-05 -5 *4927:15 *5658:31 0.000135028 -6 *28482:CLK *4927:15 0.000356963 -7 *1290:148 *4927:15 0.00034127 -8 *2760:33 *4927:15 0.000214094 -9 *2760:51 *4927:15 0.000150266 -10 *3040:31 *4927:15 0.000184966 -11 *3968:20 *4927:15 0.000244573 -*RES -1 *29156:X *4927:15 43.1036 -2 *4927:15 *28482:D 9.3 -*END - -*D_NET *4928 0.00722974 -*CONN -*I *27118:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29157:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27118:A1 0.000308113 -2 *29157:X 0.00147207 -3 *4928:13 0.00178018 -4 *27118:A1 *27118:S 5.33005e-05 -5 *4928:13 *5544:11 0.000656833 -6 *4928:13 *5736:10 0 -7 *4928:13 *5772:70 0.000157399 -8 *28643:D *4928:13 0.000158459 -9 *28691:D *4928:13 5.74562e-05 -10 *29459:A *27118:A1 0.000117553 -11 *30160:A *4928:13 0.00034777 -12 *1024:19 *4928:13 0.000656833 -13 *2760:179 *27118:A1 4.16984e-05 -14 *2760:179 *4928:13 1.18881e-05 -15 *3846:7 *4928:13 9.60939e-05 -16 *3924:18 *4928:13 0.000101545 -17 *3924:34 *4928:13 0.000719287 -18 *4509:13 *27118:A1 0.000267516 -19 *4699:9 *4928:13 0.000225747 -*RES -1 *29157:X *4928:13 48.0857 -2 *4928:13 *27118:A1 15.9964 -*END - -*D_NET *4929 0.00188084 -*CONN -*I *25672:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29158:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25672:A1 0.000536966 -2 *29158:X 0.000536966 -3 *25672:A1 *28161:D 0.000647979 -4 *1619:10 *25672:A1 2.34204e-05 -5 *3906:15 *25672:A1 5.71472e-05 -6 *4140:61 *25672:A1 7.83587e-05 -*RES -1 *29158:X *25672:A1 35.4571 -*END - -*D_NET *4930 0.00420196 -*CONN -*I *27988:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *30660:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *27988:B1 0.000946232 -2 *30660:X 0.000946232 -3 *27988:B1 *27987:B2 2.89016e-05 -4 *27988:B1 *27988:B2 1.38106e-05 -5 *27988:B1 *27990:B1 0.000177283 -6 *27988:B1 *30826:A 4.73669e-05 -7 *27988:B1 *5674:16 0.000184592 -8 *27988:B1 *5726:20 0.000584121 -9 *27988:B1 *6378:62 6.55942e-05 -10 *27988:C1 *27988:B1 0.000347361 -11 *30658:A *27988:B1 0.000132012 -12 *30661:A *27988:B1 0.000488456 -13 *290:21 *27988:B1 5.33334e-05 -14 *4841:27 *27988:B1 0.000186669 -*RES -1 *30660:X *27988:B1 39.3321 -*END - -*D_NET *4931 0.00379949 -*CONN -*I *28161:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29159:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28161:D 0.000746646 -2 *29159:X 0.000746646 -3 *28161:D *25672:A0 0.000348207 -4 *28161:D *25946:A1 6.74911e-05 -5 *25672:A1 *28161:D 0.000647979 -6 *25672:S *28161:D 2.44318e-05 -7 *29159:A *28161:D 0.000102679 -8 *1827:11 *28161:D 0 -9 *3164:100 *28161:D 5.33005e-05 -10 *3905:11 *28161:D 0.00030824 -11 *3905:23 *28161:D 0.000753871 -*RES -1 *29159:X *28161:D 45.7964 -*END - -*D_NET *4932 0.00194772 -*CONN -*I *25671:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29160:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25671:A1 0.000542142 -2 *29160:X 0.000542142 -3 *25671:A1 *5733:145 0.000129868 -4 *29159:A *25671:A1 0.000687823 -5 *3905:11 *25671:A1 4.57445e-05 -*RES -1 *29160:X *25671:A1 35.9393 -*END - -*D_NET *4933 0.000650717 -*CONN -*I *28160:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29161:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28160:D 0.000170769 -2 *29161:X 0.000170769 -3 *28160:D *25946:A1 0.000146066 -4 *28160:D *5710:22 5.94027e-05 -5 *29161:A *28160:D 2.89016e-05 -6 *1827:11 *28160:D 0 -7 *3584:13 *28160:D 7.48091e-05 -*RES -1 *29161:X *28160:D 31.4393 -*END - -*D_NET *4934 0.00181635 -*CONN -*I *25829:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29163:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25829:A0 0.000476773 -2 *29163:X 0.000476773 -3 *25829:A0 *25829:A1 0.000184728 -4 *25829:S *25829:A0 9.90367e-05 -5 *3806:33 *25829:A0 0.000150262 -6 *4129:34 *25829:A0 0.000351169 -7 *4139:10 *25829:A0 7.76056e-05 -*RES -1 *29163:X *25829:A0 36.7786 -*END - -*D_NET *4935 0.00199372 -*CONN -*I *28284:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29164:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28284:D 0.000488896 -2 *29164:X 0.000488896 -3 *28284:D *29015:A 0.000136951 -4 *28284:D *5041:54 8.6229e-06 -5 *28284:D *5698:106 0.00069211 -6 *28284:D *5705:44 0 -7 *25106:B *28284:D 0 -8 *28229:D *28284:D 4.43256e-05 -9 *568:21 *28284:D 5.52238e-05 -10 *3653:22 *28284:D 7.86916e-05 -*RES -1 *29164:X *28284:D 38.1893 -*END - -*D_NET *4936 0.000625703 -*CONN -*I *25830:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29165:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25830:A0 0.000142688 -2 *29165:X 0.000142688 -3 *25830:A0 *5665:204 0.000136958 -4 *1362:174 *25830:A0 0.000102545 -5 *3806:33 *25830:A0 0.000100823 -*RES -1 *29165:X *25830:A0 30.2964 -*END - -*D_NET *4937 0.00102982 -*CONN -*I *28285:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29166:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28285:D 0.000354478 -2 *29166:X 0.000354478 -3 *28285:D *5685:205 5.23057e-05 -4 *28285:D *5698:285 0.000128154 -5 *29166:A *28285:D 0.000140403 -6 *1876:8 *28285:D 0 -7 *1876:12 *28285:D 0 -*RES -1 *29166:X *28285:D 33.0643 -*END - -*D_NET *4938 0.000870061 -*CONN -*I *27205:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29167:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27205:A1 0.000136501 -2 *29167:X 0.000136501 -3 *27205:A1 *5716:67 0.000299683 -4 *27205:A1 *5879:32 0.000297375 -*RES -1 *29167:X *27205:A1 31.6714 -*END - -*D_NET *4939 0.00209332 -*CONN -*I *28759:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29168:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28759:D 0.000590892 -2 *29168:X 0.000590892 -3 *28759:D *5879:32 0.000123137 -4 *2764:43 *28759:D 0.000726341 -5 *4076:8 *28759:D 6.20558e-05 -*RES -1 *29168:X *28759:D 36.35 -*END - -*D_NET *4940 0.00173772 -*CONN -*I *25673:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29169:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25673:A1 0.000449062 -2 *29169:X 0.000449062 -3 *25673:A1 *25670:B 1.98839e-05 -4 *25673:A1 *5461:7 9.41642e-05 -5 *25673:A1 *5710:13 9.91086e-05 -6 *1833:5 *25673:A1 5.52302e-05 -7 *1833:36 *25673:A1 0.000100831 -8 *3895:5 *25673:A1 0.000178847 -9 *3895:58 *25673:A1 0.000291535 -*RES -1 *29169:X *25673:A1 34.9571 -*END - -*D_NET *4941 0.00114541 -*CONN -*I *27990:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *30661:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *27990:B1 0.000441271 -2 *30661:X 0.000441271 -3 *27990:B1 *25852:A 0 -4 *27990:B1 *5674:16 5.33005e-05 -5 *27990:B1 *6377:113 3.47641e-06 -6 *27987:A2 *27990:B1 2.88045e-05 -7 *27988:B1 *27990:B1 0.000177283 -*RES -1 *30661:X *27990:B1 33.3679 -*END - -*D_NET *4942 0.00233071 -*CONN -*I *28162:D I *D sky130_fd_sc_hd__dfstp_2 -*I *29170:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28162:D 0.000627858 -2 *29170:X 0.000627858 -3 *28162:D *25670:B 0.000170178 -4 *28162:D *25943:A0 0.000313367 -5 *28162:D *5710:13 4.58194e-05 -6 *28162:D *5733:157 0 -7 *1427:142 *28162:D 0.000128617 -8 *1833:11 *28162:D 4.87854e-05 -9 *1868:31 *28162:D 6.0038e-05 -10 *4140:61 *28162:D 0.000308184 -*RES -1 *29170:X *28162:D 48.225 -*END - -*D_NET *4943 0.00113767 -*CONN -*I *25831:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29171:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25831:A0 0.000438436 -2 *29171:X 0.000438436 -3 *25831:A0 *5074:15 0.0001098 -4 *25557:S *25831:A0 0 -5 *29443:A *25831:A0 0.000122091 -6 *1600:9 *25831:A0 2.89114e-05 -7 *3568:59 *25831:A0 0 -8 *3666:98 *25831:A0 0 -9 *4240:37 *25831:A0 0 -*RES -1 *29171:X *25831:A0 36.2071 -*END - -*D_NET *4944 0.0130971 -*CONN -*I *25921:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29172:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25921:A1 0.000801475 -2 *29172:X 0.0012221 -3 *4944:29 0.00151192 -4 *4944:18 0.00193254 -5 *4944:18 *30139:A 1.12713e-05 -6 *4944:18 *5069:27 5.49995e-05 -7 *4944:18 *5069:46 0.000201832 -8 *4944:18 *5203:27 5.79875e-05 -9 *4944:18 *5485:62 0.000180202 -10 *4944:18 *5730:11 0.000376438 -11 *4944:18 *5733:48 0 -12 *4944:18 *6313:45 9.14454e-05 -13 *4944:29 *26817:B 5.33005e-05 -14 *4944:29 *5028:9 0.00107138 -15 *4944:29 *5485:52 0.000830336 -16 *4944:29 *5487:10 8.0053e-06 -17 *4944:29 *5518:33 0.000215547 -18 *4944:29 *5519:25 0.00128265 -19 *4944:29 *5665:127 0.000129453 -20 *4944:29 *5698:143 9.80173e-05 -21 *4944:29 *5730:14 3.65793e-05 -22 *4944:29 *5730:26 4.13264e-05 -23 pll_sel[0] *25921:A1 3.92854e-05 -24 *26817:A *25921:A1 0.000232276 -25 *26817:A *4944:29 0.000782373 -26 *26819:S *4944:18 0.000115882 -27 *28423:CLK *25921:A1 1.70865e-05 -28 *29172:A *4944:18 0.000651384 -29 *30075:A *4944:18 5.66971e-05 -30 *467:18 *4944:18 1.28443e-05 -31 *469:10 *25921:A1 0 -32 *701:29 *4944:18 0.000102859 -33 *753:13 *4944:18 1.52131e-05 -34 *1825:34 *25921:A1 0.000137546 -35 *2744:14 *4944:18 1.34009e-05 -36 *2745:33 *4944:18 0.000230591 -37 *4317:16 *4944:18 0.000480903 -*RES -1 *29172:X *4944:18 46.9964 -2 *4944:18 *4944:29 40.6607 -3 *4944:29 *25921:A1 28.9071 -*END - -*D_NET *4945 0.015148 -*CONN -*I *28367:D I *D sky130_fd_sc_hd__dfstp_2 -*I *29175:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28367:D 0 -2 *29175:X 0.00189057 -3 *4945:15 0.00189057 -4 *4945:15 *29533:A 2.77005e-05 -5 *4945:15 *29571:A 9.97877e-06 -6 *4945:15 *5014:24 1.60921e-05 -7 *4945:15 *5351:19 0.00134003 -8 *4945:15 *5476:8 2.06112e-05 -9 *4945:15 *5483:44 7.02611e-05 -10 *4945:15 *5489:19 1.23383e-05 -11 *4945:15 *5490:39 5.48494e-05 -12 *4945:15 *5491:86 0.000783412 -13 *4945:15 *5738:11 0.000113073 -14 *4945:15 *6313:17 0.000853924 -15 pll_div[1] *4945:15 0 -16 *26815:A1 *4945:15 0.000288607 -17 *29175:A *4945:15 0.000990626 -18 *1616:46 *4945:15 9.48639e-05 -19 *3652:82 *4945:15 0.00014285 -20 *3652:87 *4945:15 0.000440718 -21 *3791:36 *4945:15 0.00228688 -22 *4317:16 *4945:15 0.00382 -*RES -1 *29175:X *4945:15 44.7372 -2 *4945:15 *28367:D 9.3 -*END - -*D_NET *4946 0.00818884 -*CONN -*I *25756:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29176:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25756:A0 0.00111888 -2 *29176:X 0.00128258 -3 *4946:14 0.00240146 -4 *25756:A0 *28221:D 3.82242e-05 -5 *25756:A0 *28221:RESET_B 2.81497e-05 -6 *25756:A0 *5735:42 0.000246603 -7 *4946:14 *5705:139 0.000360798 -8 *4946:14 *5710:183 0.000499694 -9 *28221:CLK *25756:A0 7.24711e-05 -10 *28223:D *4946:14 0.00067704 -11 *29176:A *4946:14 1.55885e-05 -12 *565:17 *25756:A0 0.000138606 -13 *716:16 *25756:A0 3.5003e-05 -14 *1163:17 *4946:14 0.000226513 -15 *1831:18 *4946:14 0.000819891 -16 *3651:8 *4946:14 0.000132586 -17 *3651:10 *4946:14 2.50022e-05 -18 *4282:17 *25756:A0 6.97409e-05 -*RES -1 *29176:X *4946:14 42.4429 -2 *4946:14 *25756:A0 23.3893 -*END - -*D_NET *4947 0.00810016 -*CONN -*I *28222:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29177:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28222:D 0.00116123 -2 *29177:X 0.0010808 -3 *4947:16 0.00224203 -4 *4947:16 *25908:A1 0.000305224 -5 *4947:16 *5200:10 5.01876e-06 -6 *4947:16 *5200:25 0.000773037 -7 *4947:16 *5624:94 0.000358825 -8 *25132:B2 *4947:16 2.06178e-05 -9 *27853:B2 *4947:16 2.37761e-05 -10 *27869:B1 *4947:16 0.00014186 -11 *28222:CLK *28222:D 5.4832e-05 -12 *28383:D *28222:D 0.000886578 -13 *714:23 *4947:16 6.35864e-05 -14 *1263:122 *4947:16 2.11419e-05 -15 *1457:35 *4947:16 0.000413732 -16 *1481:46 *4947:16 8.22938e-05 -17 *4010:21 *4947:16 6.57032e-05 -18 *4010:26 *4947:16 2.59355e-05 -19 *4282:17 *28222:D 9.55226e-05 -20 *4371:19 *4947:16 0.000278409 -*RES -1 *29177:X *4947:16 45.8893 -2 *4947:16 *28222:D 28.8536 -*END - -*D_NET *4948 0.00107264 -*CONN -*I *25649:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29178:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25649:A0 0.000357587 -2 *29178:X 0.000357587 -3 *3571:15 *25649:A0 0.000357463 -*RES -1 *29178:X *25649:A0 25.2964 -*END - -*D_NET *4949 0.00259276 -*CONN -*I *28142:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29179:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28142:D 0.000828119 -2 *29179:X 0.000828119 -3 *28142:D *28142:SET_B 7.32272e-05 -4 *28142:D *5442:23 0.000269631 -5 *28142:D *5733:126 0.000266099 -6 *25648:S *28142:D 6.65398e-05 -7 *29219:A *28142:D 0.000182694 -8 *1829:56 *28142:D 7.8327e-05 -*RES -1 *29179:X *28142:D 42.0286 -*END - -*D_NET *4950 0.00670776 -*CONN -*I *25661:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29180:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25661:A0 0 -2 *29180:X 0.000778681 -3 *4950:25 0.000778681 -4 *4950:25 *25763:A1 0.000177815 -5 *4950:25 *28225:D 5.71472e-05 -6 *4950:25 *4969:15 0.000598992 -7 *4950:25 *5691:284 0.000178425 -8 *25661:S *4950:25 5.52302e-05 -9 *25763:A0 *4950:25 0.00014183 -10 *25763:S *4950:25 5.52238e-05 -11 *28369:D *4950:25 7.49093e-05 -12 *29241:A *4950:25 0.000368247 -13 *460:33 *4950:25 0.000201057 -14 *568:21 *4950:25 0.000232399 -15 *569:11 *4950:25 0.000282997 -16 *571:19 *4950:25 0.000551468 -17 *1866:5 *4950:25 9.41642e-05 -18 *1866:38 *4950:25 0.000130419 -19 *3793:25 *4950:25 0.00104145 -20 *4329:18 *4950:25 0.000908624 -*RES -1 *29180:X *4950:25 41.0679 -2 *4950:25 *25661:A0 9.3 -*END - -*D_NET *4951 0.00981853 -*CONN -*I *28152:D I *D sky130_fd_sc_hd__dfstp_2 -*I *29181:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28152:D 0.000563772 -2 *29181:X 0.00115441 -3 *4951:17 0.00171818 -4 *28152:D *28151:D 6.81214e-05 -5 *4951:17 *25617:A0 0.000189411 -6 *4951:17 *28225:D 7.69776e-06 -7 *4951:17 *5002:18 1.90936e-05 -8 *4951:17 *5041:54 9.54798e-06 -9 *4951:17 *5733:72 2.61076e-05 -10 *28151:CLK *28152:D 0.000141316 -11 *466:15 *4951:17 0.00204398 -12 *506:24 *28152:D 1.32425e-05 -13 *569:11 *4951:17 2.28499e-05 -14 *702:20 *28152:D 8.44271e-06 -15 *3652:97 *28152:D 0.000139907 -16 *3652:100 *28152:D 0.00015995 -17 *3793:25 *28152:D 1.10422e-05 -18 *3804:14 *28152:D 0.000781046 -19 *4240:37 *4951:17 0 -20 *4329:18 *4951:17 0.000697414 -21 *4336:11 *4951:17 0.002043 -*RES -1 *29181:X *4951:17 41.3296 -2 *4951:17 *28152:D 26.0589 -*END - -*D_NET *4952 0.0873523 -*CONN -*I *29059:A I *D sky130_fd_sc_hd__buf_6 -*I *30662:X O *D sky130_fd_sc_hd__clkbuf_4 -*CAP -1 *29059:A 0.00810658 -2 *30662:X 0.00280452 -3 *4952:14 0.0222433 -4 *4952:13 0.0169413 -5 *29059:A *5641:96 0 -6 *29059:A *5652:45 0.000167762 -7 *29059:A *5688:320 0.000131357 -8 *29059:A *5715:117 1.91823e-05 -9 *4952:13 *28440:RESET_B 1.94945e-05 -10 *4952:13 *28799:RESET_B 8.22793e-06 -11 *4952:13 *5713:203 0 -12 *4952:13 *5718:77 0 -13 *4952:13 *5746:21 0 -14 *4952:13 *5746:23 5.89622e-05 -15 *4952:13 *5746:31 2.17272e-05 -16 *4952:13 *5945:18 9.54798e-06 -17 *4952:14 *24960:A 0.00115656 -18 *4952:14 *25265:A1 0.00128472 -19 *4952:14 *25388:A1 0.000328942 -20 *4952:14 *5483:135 0.000298366 -21 *4952:14 *5517:18 0.000166051 -22 *4952:14 *5583:58 0.000695926 -23 *4952:14 *5585:23 0.00138922 -24 *4952:14 *5585:47 0 -25 *4952:14 *5609:107 0 -26 *4952:14 *5624:136 0.000100925 -27 *4952:14 *5691:139 0.000668991 -28 *4952:14 *5749:23 0.000110352 -29 *4952:14 *5749:32 0.000261992 -30 *4952:14 *5777:32 0.000371482 -31 *4952:14 *5936:70 0.00124233 -32 *4952:14 *5936:111 0.000281225 -33 *4952:14 *5936:125 0.000369941 -34 *4952:14 *6269:12 8.07182e-05 -35 *25362:B1 *4952:14 0.000500988 -36 *25377:A1 *29059:A 0 -37 *25604:A0 *4952:13 5.38313e-05 -38 *26763:B *29059:A 2.3704e-05 -39 *26824:A *4952:14 0.000270153 -40 *27011:S *29059:A 0 -41 *27594:A1 *4952:13 0.000343943 -42 *28630:D *29059:A 0.00015949 -43 *28886:A *29059:A 3.23542e-05 -44 *29879:A *4952:13 0 -45 *30662:A *4952:13 0.000262841 -46 *1218:37 *4952:14 0.000228889 -47 *1218:46 *4952:14 0.000146589 -48 *1225:100 *4952:14 0 -49 *1240:130 *4952:13 4.19624e-06 -50 *1246:36 *4952:14 4.88912e-05 -51 *1254:116 *4952:13 0.00026714 -52 *1256:89 *4952:14 0.000159968 -53 *1260:35 *4952:14 0.000496406 -54 *1260:58 *4952:14 0.000760995 -55 *1260:73 *4952:14 0.000173055 -56 *1265:112 *4952:14 0.00014833 -57 *1269:86 *29059:A 2.10787e-05 -58 *1271:161 *4952:14 0.00173185 -59 *1276:76 *29059:A 1.39112e-05 -60 *1277:53 *4952:14 0.002718 -61 *1279:102 *4952:14 0 -62 *1281:103 *4952:14 0.00360255 -63 *1294:117 *4952:13 0.00160559 -64 *1294:243 *4952:13 0.00114446 -65 *1405:73 *29059:A 0 -66 *1426:14 *4952:14 0 -67 *1555:11 *4952:14 0.000146284 -68 *1565:20 *29059:A 0 -69 *1585:19 *4952:14 0 -70 *1653:43 *4952:14 4.53109e-06 -71 *1700:20 *4952:14 0.000147837 -72 *1704:26 *4952:14 0.00225488 -73 *2775:160 *29059:A 0.000116568 -74 *2775:173 *4952:14 0.00243309 -75 *2775:174 *4952:14 0.000426567 -76 *2784:26 *4952:13 6.37188e-06 -77 *2791:209 *29059:A 0.000708042 -78 *2853:141 *4952:14 0.00219794 -79 *2871:354 *29059:A 8.65987e-06 -80 *2874:305 *29059:A 7.27952e-05 -81 *2876:19 *4952:14 4.27653e-05 -82 *2889:65 *29059:A 0.000527096 -83 *3165:216 *4952:14 0.000272412 -84 *3173:158 *29059:A 4.98422e-05 -85 *3547:12 *4952:14 0.000123605 -86 *3553:26 *29059:A 4.75956e-06 -87 *3576:57 *29059:A 0.000209222 -88 *3638:11 *4952:13 2.03618e-05 -89 *3638:49 *4952:13 0.000131079 -90 *3738:41 *29059:A 0 -91 *3768:42 *4952:14 0.00158324 -92 *3847:25 *4952:13 0.000841461 -93 *3867:80 *4952:14 0.000423114 -94 *3998:46 *4952:14 0.000272368 -95 *4079:43 *29059:A 6.84607e-05 -*RES -1 *30662:X *4952:13 40.999 -2 *4952:13 *4952:14 47.1347 -3 *4952:14 *29059:A 37.8431 -*END - -*D_NET *4953 0.00437484 -*CONN -*I *25596:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29182:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25596:A0 0.00135707 -2 *29182:X 0.00135707 -3 *25596:A0 *28113:SET_B 0.000170976 -4 *25596:A0 *30939:A 6.7267e-05 -5 *25596:A0 *5483:130 2.59355e-05 -6 *25216:C1 *25596:A0 1.8584e-05 -7 *28113:CLK *25596:A0 0.000730585 -8 *28113:D *25596:A0 0.000123756 -9 *29767:A *25596:A0 0.000253251 -10 *1498:49 *25596:A0 1.55695e-05 -11 *3875:35 *25596:A0 0.000254775 -*RES -1 *29182:X *25596:A0 47.3321 -*END - -*D_NET *4954 0.00392988 -*CONN -*I *28100:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29183:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28100:D 0.000778301 -2 *29183:X 0.000778301 -3 *28100:D *28392:SET_B 0.000259503 -4 *28100:D *5507:23 0.000325363 -5 *28100:D *5675:244 3.06123e-05 -6 *29183:A *28100:D 0.000171594 -7 *30239:A *28100:D 1.60861e-05 -8 *2798:68 *28100:D 0.000219711 -9 *3648:11 *28100:D 0.000416514 -10 *3648:91 *28100:D 6.81083e-05 -11 *4311:12 *28100:D 0.000865791 -*RES -1 *29183:X *28100:D 46.9393 -*END - -*D_NET *4955 0.00193414 -*CONN -*I *26875:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29184:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26875:A0 0.000465337 -2 *29184:X 0.000465337 -3 *26875:A0 *26875:A1 2.59355e-05 -4 *26875:A0 *29035:A 3.18135e-05 -5 *26875:A0 *5196:78 2.07339e-05 -6 *26875:A0 *5629:132 5.52238e-05 -7 *25407:A1 *26875:A0 0.00021084 -8 *27671:A3 *26875:A0 1.5424e-05 -9 *28466:CLK *26875:A0 7.29712e-05 -10 *29186:A *26875:A0 8.77732e-05 -11 *1182:26 *26875:A0 0.000219711 -12 *1536:27 *26875:A0 7.47029e-06 -13 *2758:23 *26875:A0 4.08637e-05 -14 *2758:37 *26875:A0 9.41642e-05 -15 *3660:22 *26875:A0 0.000120543 -*RES -1 *29184:X *26875:A0 36.3321 -*END - -*D_NET *4956 0.00251373 -*CONN -*I *28466:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29186:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28466:D 0.000532083 -2 *29186:X 0.000532083 -3 *25407:A1 *28466:D 9.60939e-05 -4 *28466:CLK *28466:D 0.000162957 -5 *29186:A *28466:D 0.000136951 -6 *1252:83 *28466:D 9.41642e-05 -7 *2790:46 *28466:D 0.000765901 -8 *2883:15 *28466:D 0.000181976 -9 *3660:9 *28466:D 1.15238e-05 -*RES -1 *29186:X *28466:D 31.1893 -*END - -*D_NET *4957 0.00154648 -*CONN -*I *26965:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29187:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26965:A1 0.000242419 -2 *29187:X 0.000242419 -3 *29187:A *26965:A1 0.000315614 -4 *1439:23 *26965:A1 9.02017e-05 -5 *3673:11 *26965:A1 1.98839e-05 -6 *3946:30 *26965:A1 0.000635941 -*RES -1 *29187:X *26965:A1 25.7429 -*END - -*D_NET *4958 0.00239676 -*CONN -*I *28546:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29188:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28546:D 0.000685089 -2 *29188:X 0.000685089 -3 *28546:D *5635:72 0.00075885 -4 *28546:D *5655:174 0.00013171 -5 *3686:135 *28546:D 5.76524e-05 -6 *4115:30 *28546:D 7.83659e-05 -*RES -1 *29188:X *28546:D 37.725 -*END - -*D_NET *4959 0.00294601 -*CONN -*I *25637:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29189:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25637:A0 0.000702943 -2 *29189:X 0.000702943 -3 *25637:S *25637:A0 5.01117e-05 -4 *29393:A *25637:A0 0.000179189 -5 *1427:41 *25637:A0 0.00022459 -6 *3856:13 *25637:A0 0.00107241 -7 *3856:26 *25637:A0 1.38323e-05 -*RES -1 *29189:X *25637:A0 41.7964 -*END - -*D_NET *4960 0.00164447 -*CONN -*I *28132:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29190:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28132:D 0.000478534 -2 *29190:X 0.000478534 -3 *28132:D *6058:26 0.000250208 -4 *25556:A0 *28132:D 0.000239954 -5 *29190:A *28132:D 0.000184804 -6 *29225:A *28132:D 1.24368e-05 -*RES -1 *29190:X *28132:D 35.8143 -*END - -*D_NET *4961 0.00197127 -*CONN -*I *25925:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29191:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25925:A1 0.000480213 -2 *29191:X 0.000480213 -3 *25925:S *25925:A1 5.52238e-05 -4 *29191:A *25925:A1 0.000129147 -5 *1433:34 *25925:A1 9.77414e-05 -6 *1434:76 *25925:A1 0.000342495 -7 *3576:15 *25925:A1 4.30382e-05 -8 *4027:11 *25925:A1 0.000343203 -*RES -1 *29191:X *25925:A1 37.1536 -*END - -*D_NET *4962 0.00158414 -*CONN -*I *28370:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29192:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28370:D 0.000452609 -2 *29192:X 0.000452609 -3 *25144:B2 *28370:D 0.000321745 -4 *25924:A *28370:D 2.79421e-05 -5 *2772:91 *28370:D 9.20635e-06 -6 *2773:155 *28370:D 0.000320031 -*RES -1 *29192:X *28370:D 35.4929 -*END - -*D_NET *4963 0.00715992 -*CONN -*I *27984:A2 I *D sky130_fd_sc_hd__a21bo_1 -*I *30663:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *27984:A2 0 -2 *30663:X 0.000218176 -3 *4963:15 0.00244848 -4 *4963:8 0.00266665 -5 *4963:8 *4974:11 0 -6 *4963:8 *6258:11 0 -7 *4963:15 *27983:B2 2.20678e-05 -8 *4963:15 *28014:A2 0.000578695 -9 *4963:15 *5019:26 0.000135836 -10 *4963:15 *5463:14 0.000336195 -11 *26933:A1 *4963:8 0 -12 *27983:A2 *4963:15 0.000142053 -13 *27983:B1 *4963:15 7.61932e-05 -14 *28518:CLK *4963:15 2.60724e-05 -15 *28518:D *4963:15 0.00048265 -16 *30217:A *4963:8 0 -17 *3532:10 *4963:15 1.7606e-05 -18 *4686:14 *4963:15 9.25014e-06 -*RES -1 *30663:X *4963:8 21.7643 -2 *4963:8 *4963:15 42.0179 -3 *4963:15 *27984:A2 9.3 -*END - -*D_NET *4964 0.00927073 -*CONN -*I *25920:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29193:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25920:A1 0 -2 *29193:X 0.000331327 -3 *4964:17 0.00242061 -4 *4964:10 0.00275193 -5 *4964:10 *6225:17 0.000357187 -6 *4964:17 *25920:A0 2.84109e-05 -7 *4964:17 *5705:122 0.000147807 -8 *4964:17 *6225:17 0.000306586 -9 *25399:B2 *4964:17 1.95625e-05 -10 *30015:A *4964:10 8.08476e-06 -11 *30015:A *4964:17 0.00141009 -12 *3653:26 *4964:10 0.00011333 -13 *3801:21 *4964:17 0.00115034 -14 *3892:33 *4964:17 0.000225465 -*RES -1 *29193:X *4964:10 23.3536 -2 *4964:10 *4964:17 43.2143 -3 *4964:17 *25920:A1 9.3 -*END - -*D_NET *4965 0.0102228 -*CONN -*I *28366:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29194:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28366:D 0.00234109 -2 *29194:X 0.000434147 -3 *4965:16 0.00277524 -4 *28366:D *25761:A0 2.05612e-05 -5 *28366:D *4968:26 0.00115726 -6 *28366:D *5705:109 1.69961e-05 -7 *4965:16 *25617:A0 0.000104411 -8 *4965:16 *5170:19 2.89114e-05 -9 *28832:CLK *28366:D 0.000245196 -10 *29193:A *4965:16 2.30116e-06 -11 *29194:A *4965:16 5.33005e-05 -12 *30015:A *4965:16 0.000139989 -13 *30087:A *4965:16 1.94879e-05 -14 *570:26 *28366:D 5.33005e-05 -15 *570:26 *4965:16 0.000391713 -16 *699:22 *4965:16 1.61405e-05 -17 *3568:49 *28366:D 0.00135523 -18 *3893:41 *4965:16 9.87807e-05 -19 *4240:37 *4965:16 2.09826e-05 -20 *4900:26 *4965:16 0.000947713 -*RES -1 *29194:X *4965:16 28.9119 -2 *4965:16 *28366:D 41.4964 -*END - -*D_NET *4966 0.00240864 -*CONN -*I *27198:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29195:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27198:A1 0.000696997 -2 *29195:X 0.000696997 -3 *27198:A1 *28753:D 8.50152e-05 -4 *27198:A1 *5582:156 0.000137983 -5 *27198:A1 *5629:172 4.66203e-05 -6 *27198:S *27198:A1 8.05752e-05 -7 *27703:B2 *27198:A1 3.2687e-05 -8 *27703:C1 *27198:A1 4.58194e-05 -9 *29195:A *27198:A1 0.000245182 -10 *29197:A *27198:A1 0.000136958 -11 *2864:328 *27198:A1 2.47753e-05 -12 *3924:53 *27198:A1 0.000125731 -13 *4042:5 *27198:A1 5.33005e-05 -*RES -1 *29195:X *27198:A1 40.1893 -*END - -*D_NET *4967 0.00175513 -*CONN -*I *28753:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29197:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28753:D 0.00065205 -2 *29197:X 0.00065205 -3 *28753:D *28753:RESET_B 4.76807e-05 -4 *28753:D *5629:172 0.000197984 -5 *27198:A1 *28753:D 8.50152e-05 -6 *2864:328 *28753:D 3.14206e-05 -7 *3924:53 *28753:D 8.89289e-05 -*RES -1 *29197:X *28753:D 36.4973 -*END - -*D_NET *4968 0.00777948 -*CONN -*I *25660:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29198:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25660:A0 0.000348101 -2 *29198:X 0.00109977 -3 *4968:26 0.00144788 -4 *25660:A0 *4969:15 0.000308794 -5 *25660:A0 *5705:109 0.00115919 -6 *4968:26 *25761:A0 0.000326489 -7 *4968:26 *25761:A1 5.33005e-05 -8 *4968:26 *5705:109 8.60719e-05 -9 *25761:S *4968:26 0.000522426 -10 *28366:D *4968:26 0.00115726 -11 *29199:A *25660:A0 5.71472e-05 -12 *29231:A *4968:26 0.000181796 -13 *569:11 *4968:26 8.6229e-06 -14 *570:26 *4968:26 8.6229e-06 -15 *1866:8 *4968:26 1.36251e-05 -16 *1866:12 *4968:26 1.19191e-05 -17 *3568:49 *4968:26 5.15925e-05 -18 *3568:52 *25660:A0 5.33005e-05 -19 *3568:56 *4968:26 0.000414092 -20 *3653:14 *25660:A0 9.60939e-05 -21 *4258:16 *4968:26 0.000316236 -22 *4329:18 *4968:26 5.71472e-05 -*RES -1 *29198:X *4968:26 48.9607 -2 *4968:26 *25660:A0 25.0143 -*END - -*D_NET *4969 0.00738555 -*CONN -*I *28151:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29199:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28151:D 0.000206821 -2 *29199:X 0.0019208 -3 *4969:15 0.00212762 -4 *28151:D *5011:12 4.41353e-05 -5 *4969:15 *28226:D 7.29646e-05 -6 *4969:15 *5705:44 7.14469e-05 -7 *25660:A0 *4969:15 0.000308794 -8 *28152:D *28151:D 6.81214e-05 -9 *29199:A *4969:15 0.000402784 -10 *569:11 *4969:15 0.000282514 -11 *571:19 *4969:15 0.000392026 -12 *702:20 *28151:D 8.62834e-05 -13 *3568:52 *4969:15 0.000393936 -14 *3568:56 *4969:15 0.000314139 -15 *3653:14 *4969:15 9.41642e-05 -16 *4950:25 *4969:15 0.000598992 -*RES -1 *29199:X *4969:15 48.4786 -2 *4969:15 *28151:D 22.0679 -*END - -*D_NET *4970 0.00282843 -*CONN -*I *27003:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29200:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27003:A1 0 -2 *29200:X 0.000957201 -3 *4970:17 0.000957201 -4 *4970:17 *28580:RESET_B 3.02581e-06 -5 *4970:17 *5651:400 4.58194e-05 -6 *4970:17 *5803:14 0.000195856 -7 *4970:17 *5910:42 2.47627e-05 -8 *27003:S *4970:17 3.34366e-05 -9 *28676:CLK *4970:17 5.5474e-05 -10 *29200:A *4970:17 0.000105559 -11 *2757:21 *4970:17 9.25859e-05 -12 *3206:304 *4970:17 0.000119179 -13 *3730:7 *4970:17 5.33433e-05 -14 *3899:14 *4970:17 9.60939e-05 -15 *4081:17 *4970:17 8.88936e-05 -*RES -1 *29200:X *4970:17 42.4607 -2 *4970:17 *27003:A1 9.3 -*END - -*D_NET *4971 0.000841368 -*CONN -*I *28580:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29201:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28580:D 0.000269158 -2 *29201:X 0.000269158 -3 *28580:D *5646:294 3.63775e-05 -4 *28580:D *5777:131 6.57032e-05 -5 *29201:A *28580:D 0.000101346 -6 *3691:8 *28580:D 9.96242e-05 -*RES -1 *29201:X *28580:D 31.5286 -*END - -*D_NET *4972 0.00196645 -*CONN -*I *26913:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29202:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26913:A1 0.000242736 -2 *29202:X 0.000242736 -3 *26913:A1 *26913:S 9.41642e-05 -4 *26913:A1 *5669:278 0.000693567 -5 *26913:A1 *5820:15 0.00051654 -6 *26913:A1 *5910:42 9.80415e-05 -7 *26913:A1 *5914:29 3.30237e-05 -8 *3606:19 *26913:A1 4.56383e-05 -*RES -1 *29202:X *26913:A1 36.0643 -*END - -*D_NET *4973 0.00191639 -*CONN -*I *28500:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29203:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28500:D 0.000539091 -2 *29203:X 0.000539091 -3 *28500:D *28500:RESET_B 6.05161e-06 -4 *28500:D *5629:217 1.77672e-05 -5 *28500:D *5640:264 3.69697e-05 -6 *28500:D *5656:353 5.33005e-05 -7 *28500:D *5716:121 5.87969e-05 -8 *28500:CLK *28500:D 8.25843e-06 -9 *29203:A *28500:D 4.58194e-05 -10 *29294:A *28500:D 0.000145411 -11 *3257:13 *28500:D 0.000180764 -12 *3874:91 *28500:D 0.000285071 -*RES -1 *29203:X *28500:D 36.5464 -*END - -*D_NET *4974 0.00645536 -*CONN -*I *28013:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *27985:A2 I *D sky130_fd_sc_hd__a21boi_1 -*I *30664:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *28013:A2 0 -2 *27985:A2 0.000104565 -3 *30664:X 0.000817554 -4 *4974:12 0.000358659 -5 *4974:11 0.00107165 -6 *27985:A2 *27985:A1 0.000114121 -7 *27985:A2 *28013:A1 0.000114121 -8 *4974:11 *25544:A1 0.000185371 -9 *4974:11 *4985:11 4.58976e-05 -10 *4974:11 *4996:8 0.00244069 -11 *4974:11 *5458:64 0.000663744 -12 *4974:12 *27981:A 0 -13 *4974:12 *27985:A1 7.83366e-05 -14 *4974:12 *28013:A1 2.26327e-05 -15 *4974:12 *5019:14 0.000125634 -16 *4974:12 *5458:64 0 -17 *26933:A1 *4974:11 2.89114e-05 -18 *28000:A2 *4974:11 0.00011307 -19 *28013:B1 *4974:12 0 -20 *30217:A *4974:11 8.43558e-05 -21 *30663:A *4974:11 0 -22 *1862:93 *27985:A2 2.89016e-05 -23 *3578:56 *27985:A2 5.71472e-05 -24 *4963:8 *4974:11 0 -*RES -1 *30664:X *4974:11 49.6929 -2 *4974:11 *4974:12 5.28571 -3 *4974:12 *27985:A2 17.1929 -4 *4974:12 *28013:A2 13.8 -*END - -*D_NET *4975 0.00149501 -*CONN -*I *26886:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29204:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26886:A1 0.000223982 -2 *29204:X 0.000223982 -3 *26886:A1 *5701:116 2.14757e-05 -4 *2759:43 *26886:A1 2.61099e-05 -5 *2776:241 *26886:A1 0.000638709 -6 *2784:134 *26886:A1 0.000181796 -7 *2788:93 *26886:A1 0.000178955 -*RES -1 *29204:X *26886:A1 25.2964 -*END - -*D_NET *4976 0.00132943 -*CONN -*I *28476:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29205:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28476:D 0.000378902 -2 *29205:X 0.000378902 -3 *28476:D *5629:172 0.00024292 -4 *28476:D *5646:252 0.000240131 -5 *3599:11 *28476:D 8.85712e-05 -*RES -1 *29205:X *28476:D 34.1893 -*END - -*D_NET *4977 0.00684318 -*CONN -*I *25762:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29206:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25762:A0 9.60235e-05 -2 *29206:X 0.00108398 -3 *4977:22 0.00118 -4 *25762:A0 *5170:19 0.000570886 -5 *25762:A0 *6225:17 0.000570886 -6 *4977:22 *25617:A0 0.00019827 -7 *4977:22 *28150:D 0.000507748 -8 *4977:22 *6225:17 0.000310292 -9 *25354:B *4977:22 0 -10 *29193:A *4977:22 4.6025e-06 -11 *29206:A *4977:22 0.000746382 -12 *29389:A *4977:22 0.000130976 -13 *29401:A *4977:22 0.000134559 -14 *30000:A *4977:22 6.4443e-05 -15 *749:24 *4977:22 0.000161441 -16 *3792:51 *4977:22 4.19624e-06 -17 *3892:18 *4977:22 9.86094e-06 -18 *4276:17 *4977:22 0 -19 *4332:24 *4977:22 2.06178e-05 -20 *4900:26 *4977:22 0.00104801 -*RES -1 *29206:X *4977:22 48.7352 -2 *4977:22 *25762:A0 14.7643 -*END - -*D_NET *4978 0.00813592 -*CONN -*I *28227:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29208:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28227:D 0.000625637 -2 *29208:X 0.000819433 -3 *4978:17 0.00144507 -4 *28227:D *5303:9 0.00012575 -5 *25663:A0 *28227:D 0.000548296 -6 *26809:A1 *4978:17 0.000809024 -7 *26809:S *28227:D 0.000304338 -8 *26813:S *28227:D 0.00018286 -9 *28227:CLK *28227:D 5.52302e-05 -10 *29309:A *4978:17 0.000828713 -11 *30031:A *4978:17 9.45868e-05 -12 *30095:A *4978:17 9.60875e-05 -13 *506:24 *28227:D 0 -14 *4183:24 *4978:17 1.90936e-05 -15 *4184:14 *4978:17 2.22043e-05 -16 *4244:7 *4978:17 0.00189222 -17 *4274:16 *28227:D 3.49234e-05 -18 *4276:17 *4978:17 0.000211836 -19 *4331:27 *28227:D 0 -20 *4336:11 *4978:17 2.06178e-05 -*RES -1 *29208:X *4978:17 49.6204 -2 *4978:17 *28227:D 27.4786 -*END - -*D_NET *4979 0.00168489 -*CONN -*I *27057:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29209:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27057:A1 0.000388446 -2 *29209:X 0.000388446 -3 *27057:A1 *5663:155 0.000140901 -4 *28629:CLK *27057:A1 0.000748529 -5 *2761:67 *27057:A1 1.85689e-05 -*RES -1 *29209:X *27057:A1 27.4036 -*END - -*D_NET *4980 0.000982066 -*CONN -*I *28628:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29210:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28628:D 0.000329327 -2 *29210:X 0.000329327 -3 *28628:D *5646:232 7.29712e-05 -4 *3822:104 *28628:D 0.000177469 -5 *3924:53 *28628:D 7.29712e-05 -*RES -1 *29210:X *28628:D 32.1045 -*END - -*D_NET *4981 0.000718796 -*CONN -*I *25909:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29211:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25909:A1 0.000181491 -2 *29211:X 0.000181491 -3 *25909:A1 *25909:A0 5.52238e-05 -4 *25909:A1 *5711:47 4.05548e-05 -5 *25909:A1 *5738:59 5.52302e-05 -6 *29243:A *25909:A1 0.00010326 -7 *3568:17 *25909:A1 0.000101545 -*RES -1 *29211:X *25909:A1 31.2429 -*END - -*D_NET *4982 0.000692475 -*CONN -*I *28357:D I *D sky130_fd_sc_hd__dfstp_2 -*I *29212:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28357:D 0.000116246 -2 *29212:X 0.000116246 -3 *28357:D *5013:14 4.98872e-05 -4 *28357:D *5738:59 0.000220441 -5 *29211:A *28357:D 5.83233e-05 -6 *3801:56 *28357:D 0.000131331 -*RES -1 *29212:X *28357:D 31.6714 -*END - -*D_NET *4983 0.000860382 -*CONN -*I *26975:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29213:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26975:A1 0.000237386 -2 *29213:X 0.000237386 -3 *26975:A1 *25320:B2 6.05161e-06 -4 *26975:A1 *5659:24 7.55769e-05 -5 *25320:C1 *26975:A1 0.00022266 -6 *1638:18 *26975:A1 5.74499e-06 -7 *2768:175 *26975:A1 7.55769e-05 -*RES -1 *29213:X *26975:A1 31.225 -*END - -*D_NET *4984 0.00108551 -*CONN -*I *28555:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29214:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28555:D 0.000451939 -2 *29214:X 0.000451939 -3 *28555:D *25320:A1 3.27319e-05 -4 *26891:A1 *28555:D 1.58163e-05 -5 *28481:CLK *28555:D 0 -6 *30049:A *28555:D 1.92905e-05 -7 *2759:180 *28555:D 6.86792e-05 -8 *2770:46 *28555:D 1.94879e-05 -9 *2772:171 *28555:D 4.64488e-06 -10 *3558:251 *28555:D 2.09826e-05 -*RES -1 *29214:X *28555:D 38.3114 -*END - -*D_NET *4985 0.00813259 -*CONN -*I *28012:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27982:B I *D sky130_fd_sc_hd__nand2_1 -*I *30665:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *28012:B1 2.13449e-05 -2 *27982:B 0.000384799 -3 *30665:X 0.00164604 -4 *4985:11 0.00205218 -5 *27982:B *27982:A 0.000404707 -6 *27982:B *28014:A2 0.00014285 -7 *27982:B *6376:145 0.000143566 -8 *28012:B1 *6376:145 5.04841e-06 -9 *4985:11 *28012:A2 0.000462169 -10 *4985:11 *4996:8 8.43535e-06 -11 *4985:11 *5458:64 0.00116795 -12 *27983:B1 *27982:B 2.14757e-05 -13 *27991:A2 *27982:B 0.000186379 -14 *28000:A2 *4985:11 0.000128575 -15 *30648:A *4985:11 3.67257e-05 -16 *30650:A *4985:11 3.42509e-05 -17 *30652:A *4985:11 2.27416e-05 -18 *287:27 *27982:B 5.07253e-05 -19 *292:21 *27982:B 0.000353086 -20 *292:21 *4985:11 0.000342694 -21 *3532:10 *27982:B 7.27992e-05 -22 *4586:17 *4985:11 0.000183713 -23 *4586:21 *4985:11 0.000182908 -24 *4808:25 *4985:11 3.15282e-05 -25 *4974:11 *4985:11 4.58976e-05 -*RES -1 *30665:X *4985:11 49.2107 -2 *4985:11 *27982:B 29.6929 -3 *4985:11 *28012:B1 9.72857 -*END - -*D_NET *4986 0.000817143 -*CONN -*I *27156:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29215:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27156:A0 0.000244968 -2 *29215:X 0.000244968 -3 *25267:A2 *27156:A0 0.000178847 -4 *3804:58 *27156:A0 7.83659e-05 -5 *4129:35 *27156:A0 2.56694e-05 -6 *4139:28 *27156:A0 4.43256e-05 -*RES -1 *29215:X *27156:A0 31.225 -*END - -*D_NET *4987 0.00298459 -*CONN -*I *28716:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29216:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28716:D 0.000507208 -2 *29216:X 0.000507208 -3 *28716:D *28716:SET_B 1.10042e-05 -4 *28716:D *5665:204 0.000137983 -5 *28716:D *5698:87 0.000351604 -6 *28716:D *5711:39 9.58126e-05 -7 *28716:D *5731:57 0.000116783 -8 *28716:D *5731:61 6.29184e-05 -9 *3814:28 *28716:D 0.000679328 -10 *3983:24 *28716:D 7.33059e-05 -11 *3983:39 *28716:D 0.000303493 -12 *3983:52 *28716:D 0.000137939 -*RES -1 *29216:X *28716:D 42.9393 -*END - -*D_NET *4988 0.00331955 -*CONN -*I *25773:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29217:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25773:A1 0.00101133 -2 *29217:X 0.00101133 -3 *25773:A1 *25773:A0 9.90367e-05 -4 *25773:A1 *28236:D 0.000268521 -5 *25773:A1 *5680:358 0.000294914 -6 *25773:A1 *5691:341 0.000142317 -7 *27508:B2 *25773:A1 5.72984e-05 -8 *4075:7 *25773:A1 0.000434806 -*RES -1 *29217:X *25773:A1 43.225 -*END - -*D_NET *4989 0.00397894 -*CONN -*I *28236:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29219:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28236:D 0.00100412 -2 *29219:X 0.00100412 -3 *28236:D *25828:B 0.000142856 -4 *28236:D *28236:RESET_B 2.81497e-05 -5 *28236:D *5680:352 5.95659e-05 -6 *28236:D *5680:358 0.00015732 -7 *28236:D *5691:341 1.46717e-05 -8 *28236:D *5732:13 0.000162936 -9 *28236:D *5732:17 0.000105163 -10 *6594:DIODE *28236:D 0.000181796 -11 *25773:A1 *28236:D 0.000268521 -12 *1427:8 *28236:D 0.00031345 -13 *1476:111 *28236:D 1.38323e-05 -14 *4075:7 *28236:D 0.000522432 -*RES -1 *29219:X *28236:D 48.7607 -*END - -*D_NET *4990 0.00247188 -*CONN -*I *25648:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29220:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25648:A0 0.000460715 -2 *29220:X 0.000460715 -3 *25648:A0 *25648:A1 5.71472e-05 -4 *25648:A0 *29016:A 9.60875e-05 -5 *25648:A0 *5705:36 0.000547019 -6 *25648:A0 *5732:13 3.68799e-05 -7 *25648:S *25648:A0 0.00030926 -8 *27508:A1 *25648:A0 0.000267377 -9 *3880:11 *25648:A0 9.92409e-05 -10 *3880:17 *25648:A0 0.000137437 -*RES -1 *29220:X *25648:A0 30.6357 -*END - -*D_NET *4991 0.00171439 -*CONN -*I *28141:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29221:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28141:D 0.000342932 -2 *29221:X 0.000342932 -3 *28141:D *5698:285 0.000441534 -4 *28141:D *5733:117 0.000137234 -5 *3654:16 *28141:D 0.000200413 -6 *3654:18 *28141:D 0.000148189 -7 *3654:59 *28141:D 0.000101157 -*RES -1 *29221:X *28141:D 36.9929 -*END - -*D_NET *4992 0.00282453 -*CONN -*I *26940:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29222:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26940:A1 0.000807798 -2 *29222:X 0.000807798 -3 *26940:A1 *28729:D 9.03114e-05 -4 *26940:A1 *5795:61 0.000341276 -5 *4002:20 *26940:A1 0.000149904 -6 *4003:7 *26940:A1 9.41642e-05 -7 *4054:8 *26940:A1 0.000148189 -8 *4120:11 *26940:A1 0.000259542 -9 *4120:20 *26940:A1 0.000125547 -*RES -1 *29222:X *26940:A1 41.9929 -*END - -*D_NET *4993 0.00201294 -*CONN -*I *28524:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29223:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28524:D 0.000523979 -2 *29223:X 0.000523979 -3 *1697:50 *28524:D 8.25843e-06 -4 *2772:63 *28524:D 5.52302e-05 -5 *2791:169 *28524:D 0.00054662 -6 *3599:16 *28524:D 0.000122887 -7 *3599:22 *28524:D 5.55405e-05 -8 *3599:39 *28524:D 6.05161e-06 -9 *4002:18 *28524:D 0.00017039 -*RES -1 *29223:X *28524:D 35.85 -*END - -*D_NET *4994 0.00156179 -*CONN -*I *25772:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29224:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25772:A1 0.000455942 -2 *29224:X 0.000455942 -3 *25772:A1 *25772:A0 0.000267377 -4 *25772:A1 *5711:15 0.000382532 -*RES -1 *29224:X *25772:A1 24.8857 -*END - -*D_NET *4995 0.00160339 -*CONN -*I *28235:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29225:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28235:D 0.000446783 -2 *29225:X 0.000446783 -3 *28235:D *25547:A1 0.000321777 -4 *28235:D *5732:68 0 -5 *29225:A *28235:D 0.000388045 -*RES -1 *29225:X *28235:D 35.4929 -*END - -*D_NET *4996 0.00836878 -*CONN -*I *28012:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27981:A I *D sky130_fd_sc_hd__nand2_1 -*I *30666:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *28012:A2 0.000118591 -2 *27981:A 0.00011571 -3 *30666:X 0.00198036 -4 *4996:8 0.00221466 -5 *27981:A *5458:64 8.95905e-05 -6 *4996:8 *25544:A1 0.000183726 -7 *4996:8 *5458:64 0.000175108 -8 *28000:A2 *4996:8 0.000132088 -9 *28013:B1 *27981:A 0.000212802 -10 *28013:B1 *28012:A2 0.000190848 -11 *28013:B1 *4996:8 4.39995e-05 -12 *4974:11 *4996:8 0.00244069 -13 *4974:12 *27981:A 0 -14 *4985:11 *28012:A2 0.000462169 -15 *4985:11 *4996:8 8.43535e-06 -*RES -1 *30666:X *4996:8 42.9964 -2 *4996:8 *27981:A 16.6571 -3 *4996:8 *28012:A2 18.2375 -*END - -*D_NET *4997 0.000705897 -*CONN -*I *27171:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29226:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27171:A1 0.000212901 -2 *29226:X 0.000212901 -3 *27171:A1 *27171:A0 6.05161e-06 -4 *27171:A1 *5590:131 7.83659e-05 -5 *27171:A1 *5701:126 1.33343e-05 -6 *27171:A1 *5701:128 0.000103977 -7 *4054:8 *27171:A1 7.83659e-05 -*RES -1 *29226:X *27171:A1 30.8143 -*END - -*D_NET *4998 0.00286578 -*CONN -*I *28729:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29227:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28729:D 0.00111554 -2 *29227:X 0.00111554 -3 *28729:D *5795:61 0.000281226 -4 *26940:A1 *28729:D 9.03114e-05 -5 *3781:16 *28729:D 0.000128875 -6 *3907:30 *28729:D 0.00013059 -7 *4120:11 *28729:D 3.69047e-06 -*RES -1 *29227:X *28729:D 41.4616 -*END - -*D_NET *4999 0.00895795 -*CONN -*I *26814:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29228:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26814:A1 0.00117684 -2 *29228:X 0.000512722 -3 *4999:11 0.00168956 -4 *26814:A1 *29303:A 1.02936e-05 -5 *26814:A1 *29464:A 0.00190641 -6 *26814:A1 *5160:22 5.05056e-05 -7 *4999:11 *29303:A 0.00108851 -8 *4999:11 *29464:A 3.45371e-05 -9 *4999:11 *5000:14 0.00138877 -10 pad_flash_clk *4999:11 0.000212555 -11 *29245:A *4999:11 0.000398719 -12 *30066:A *4999:11 2.89016e-05 -13 *244:19 *4999:11 1.72079e-05 -14 *467:18 *26814:A1 0.000399835 -15 *752:15 *4999:11 4.25809e-05 -*RES -1 *29228:X *4999:11 37.6214 -2 *4999:11 *26814:A1 37.7643 -*END - -*D_NET *5000 0.0164192 -*CONN -*I *28419:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29230:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28419:D 0.000119764 -2 *29230:X 0.00232256 -3 *5000:14 0.00244233 -4 *28419:D *5519:19 0.000152372 -5 *28419:D *5519:25 3.0043e-05 -6 *5000:14 *29128:A 0.000448759 -7 *5000:14 *29137:A 9.87983e-06 -8 *5000:14 *5073:10 0.000441681 -9 *5000:14 *5487:11 0.00163548 -10 *5000:14 *5487:63 0.00016208 -11 *5000:14 *5494:46 2.57713e-05 -12 *5000:14 *5496:40 0.0022529 -13 *5000:14 *5665:131 0.000103335 -14 *5000:14 *5665:164 0.000122724 -15 *5000:14 *5980:14 2.22659e-05 -16 pll_div[0] *5000:14 0.00017142 -17 *25576:A_N *5000:14 4.10599e-05 -18 *28419:CLK *28419:D 9.74935e-05 -19 *28425:D *28419:D 0.000287299 -20 *29175:A *5000:14 0.000708652 -21 *29245:A *5000:14 4.72818e-05 -22 *244:19 *5000:14 5.58905e-06 -23 *752:15 *5000:14 0.000126629 -24 *2745:8 *5000:14 2.76572e-05 -25 *4184:45 *5000:14 1.90936e-05 -26 *4337:16 *5000:14 0.00190061 -27 *4910:17 *5000:14 0.00130572 -28 *4999:11 *5000:14 0.00138877 -*RES -1 *29230:X *5000:14 47.2499 -2 *5000:14 *28419:D 17.7643 -*END - -*D_NET *5001 0.0044091 -*CONN -*I *25761:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29231:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25761:A0 0.000489671 -2 *29231:X 0.000489671 -3 *28366:D *25761:A0 2.05612e-05 -4 *29231:A *25761:A0 0.000189335 -5 *570:26 *25761:A0 2.59355e-05 -6 *3568:56 *25761:A0 0.000833156 -7 *3892:18 *25761:A0 0.00126059 -8 *3893:41 *25761:A0 0.000459376 -9 *4258:16 *25761:A0 0.000314313 -10 *4968:26 *25761:A0 0.000326489 -*RES -1 *29231:X *25761:A0 39.7071 -*END - -*D_NET *5002 0.00778815 -*CONN -*I *28226:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29232:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28226:D 0.000689402 -2 *29232:X 0.00156375 -3 *5002:18 0.00225315 -4 *28226:D *5705:44 0.000116414 -5 *28226:D *5705:48 0.000301802 -6 *5002:18 *28225:D 2.99151e-05 -7 *5002:18 *29015:A 5.49489e-05 -8 *5002:18 *5041:55 0.000357401 -9 *5002:18 *5698:106 2.76139e-05 -10 *28226:CLK *28226:D 5.71472e-05 -11 *28229:D *5002:18 0.00109642 -12 *568:21 *5002:18 0.00019287 -13 *569:11 *5002:18 2.89114e-05 -14 *3653:26 *28226:D 0.000214133 -15 *3653:42 *28226:D 8.52803e-05 -16 *3792:51 *28226:D 7.40432e-05 -17 *4183:24 *5002:18 0.000197127 -18 *4329:18 *28226:D 0.000355753 -19 *4951:17 *5002:18 1.90936e-05 -20 *4969:15 *28226:D 7.29646e-05 -*RES -1 *29232:X *5002:18 47.3 -2 *5002:18 *28226:D 35.2821 -*END - -*D_NET *5003 0.00285675 -*CONN -*I *27111:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29233:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27111:A1 0.000844938 -2 *29233:X 0.000844938 -3 *29506:A *27111:A1 3.92854e-05 -4 *2776:241 *27111:A1 0.000978889 -5 *2784:162 *27111:A1 7.03295e-05 -6 *3913:26 *27111:A1 7.83659e-05 -*RES -1 *29233:X *27111:A1 39.4393 -*END - -*D_NET *5004 0.00149108 -*CONN -*I *28676:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29234:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28676:D 0.000226555 -2 *29234:X 0.000226555 -3 *28676:D *5651:400 3.05475e-05 -4 *28676:D *5903:35 0.000306163 -5 *1361:147 *28676:D 5.03772e-05 -6 *2763:185 *28676:D 2.42516e-05 -7 *2772:46 *28676:D 0.000181796 -8 *3861:40 *28676:D 0.000307878 -9 *3899:14 *28676:D 0.000136951 -*RES -1 *29234:X *28676:D 34.7964 -*END - -*D_NET *5005 0.00592307 -*CONN -*I *27109:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29235:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27109:A1 0.000157836 -2 *29235:X 0.00100901 -3 *5005:14 0.00116684 -4 *27109:A1 *28674:D 0.000224583 -5 *5005:14 *5526:16 0.000255479 -6 *5005:14 *6317:70 7.10939e-05 -7 *5005:14 *6319:25 9.77884e-05 -8 *28441:CLK *5005:14 5.52302e-05 -9 *29109:A *5005:14 9.90431e-05 -10 *29235:A *5005:14 0.000135028 -11 *29881:A *5005:14 0.000315165 -12 *1245:72 *5005:14 0 -13 *1278:29 *5005:14 0.000625066 -14 *2798:60 *5005:14 1.69961e-05 -15 *2798:64 *5005:14 5.87854e-05 -16 *2839:71 *5005:14 0.000522439 -17 *3638:64 *5005:14 0.00102774 -18 *3847:25 *5005:14 1.18064e-05 -19 *3894:10 *5005:14 7.31331e-05 -*RES -1 *29235:X *5005:14 48.6929 -2 *5005:14 *27109:A1 15.9786 -*END - -*D_NET *5006 0.00262632 -*CONN -*I *28674:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29236:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28674:D 0.000427426 -2 *29236:X 0.000427426 -3 *28674:D *5609:33 0.000145154 -4 *28674:D *5636:10 0.000146869 -5 *28674:D *5713:155 0.000304394 -6 *28674:D *6320:29 9.99644e-06 -7 *25626:A1 *28674:D 0.000940474 -8 *27109:A1 *28674:D 0.000224583 -*RES -1 *29236:X *28674:D 39.1179 -*END - -*D_NET *5007 0.0799497 -*CONN -*I *25508:D_N I *D sky130_fd_sc_hd__or4bb_1 -*I *6470:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *30667:X O *D sky130_fd_sc_hd__clkbuf_4 -*CAP -1 *25508:D_N 5.97534e-05 -2 *6470:DIODE 0 -3 *30667:X 0.0020612 -4 *5007:50 5.97534e-05 -5 *5007:48 0.00464263 -6 *5007:46 0.00467864 -7 *5007:44 0.0018315 -8 *5007:42 0.00342707 -9 *5007:34 0.00259284 -10 *5007:31 0.00324793 -11 *5007:16 0.00533835 -12 *5007:10 0.00511288 -13 *5007:10 *25493:A2 1.98839e-05 -14 *5007:10 *28169:D 0.000263663 -15 *5007:16 *28145:RESET_B 0.000471946 -16 *5007:16 *5291:29 9.15969e-05 -17 *5007:16 *5727:36 0.000431857 -18 *5007:16 *5727:217 0.000135051 -19 *5007:16 *5739:6 4.35131e-05 -20 *5007:16 *5739:252 2.31e-05 -21 *5007:16 *5740:15 0.000149797 -22 *5007:16 *5740:24 2.28598e-05 -23 *5007:31 *5706:38 0.00052351 -24 *5007:31 *5706:63 0.000241552 -25 *5007:31 *5706:65 0.000265306 -26 *5007:31 *5706:78 4.18834e-05 -27 *5007:31 *5727:228 0 -28 *5007:31 *5740:122 0.000379783 -29 *5007:31 *5740:130 0.000268913 -30 *5007:31 *5743:95 0.000176811 -31 *5007:34 *5712:10 0.000271602 -32 *5007:34 *5712:17 2.42516e-05 -33 *5007:42 *27908:B1 9.80405e-05 -34 *5007:42 *28297:RESET_B 0.000708679 -35 *5007:42 *5666:36 7.50601e-05 -36 *5007:42 *5693:16 6.87203e-05 -37 *5007:42 *5712:10 1.59045e-05 -38 *5007:42 *6358:12 2.97758e-05 -39 *5007:44 *25848:A1 0.000228945 -40 *5007:44 *26806:A1 0.000455547 -41 *5007:44 *28301:D 0.000315179 -42 *5007:44 *5839:53 0 -43 *5007:44 *5839:63 0 -44 *5007:44 *6358:12 0.00152011 -45 *5007:48 *26411:A1 0.00088742 -46 *5007:48 *5782:183 5.68312e-06 -47 *25491:A *5007:16 0 -48 *25491:A *5007:31 0.000309557 -49 *25493:A1 *5007:10 8.25843e-06 -50 *25493:A3 *5007:10 0.000216755 -51 *25493:B1 *5007:10 1.39726e-05 -52 *25508:B *5007:48 0 -53 *25508:C_N *25508:D_N 5.71472e-05 -54 *25509:A *5007:48 0 -55 *25509:C_N *5007:48 8.68665e-05 -56 *25636:A0 *5007:16 0.000689881 -57 *25837:A0 *5007:31 9.21585e-05 -58 *25845:A1 *5007:42 7.74135e-05 -59 *26301:B *5007:48 1.07561e-05 -60 *26333:A1 *5007:48 0 -61 *26333:B1 *5007:48 3.87217e-05 -62 *26348:B *5007:48 0.00012401 -63 *26364:B *5007:48 0.000166347 -64 *26392:B1 *5007:44 0.000866569 -65 *26392:B1 *5007:48 4.78562e-05 -66 *26392:D1 *5007:48 0.000103267 -67 *26393:D1 *5007:48 0.000475776 -68 *26451:A2 *5007:48 8.03134e-05 -69 *26455:B1 *5007:48 0 -70 *26488:A *5007:48 0 -71 *26501:B1 *5007:48 9.88232e-05 -72 *26678:A *5007:48 0 -73 *26690:B *5007:48 9.73346e-05 -74 *26695:C *5007:48 0.000154762 -75 *26749:A *5007:48 8.58946e-05 -76 *26786:D *25508:D_N 0.000276211 -77 *26796:C *5007:44 0.000101545 -78 *26803:B1 *5007:48 0.000148189 -79 *26806:C1 *5007:44 2.90068e-05 -80 *26806:C1 *5007:48 0.000594404 -81 *27884:B2 *5007:16 6.55727e-05 -82 *27908:C1 *5007:42 2.25163e-05 -83 *27938:A1 *5007:31 4.8817e-05 -84 *28069:D *5007:10 1.27301e-05 -85 *28097:CLK *5007:10 0.000332316 -86 *28145:CLK *5007:16 1.92905e-05 -87 *28717:CLK *5007:31 0.000130253 -88 *29521:A *5007:16 4.61721e-05 -89 *30245:A *5007:42 0.000295076 -90 *30289:A *5007:31 0.000254782 -91 *30518:A *5007:16 8.43535e-06 -92 *30541:A *5007:42 0.000120348 -93 *374:18 *5007:31 3.69697e-05 -94 *1778:16 *5007:10 6.05161e-06 -95 *1827:36 *5007:16 6.22596e-05 -96 *1844:59 *5007:16 4.88515e-05 -97 *1878:8 *5007:42 9.76448e-05 -98 *1878:13 *5007:42 0.000576645 -99 *1888:27 *5007:31 0.000453127 -100 *1888:39 *5007:31 0.00100154 -101 *2003:8 *5007:48 1.76616e-05 -102 *2006:75 *5007:48 0.00375199 -103 *2008:11 *5007:48 0.000353122 -104 *2008:12 *5007:48 7.77303e-05 -105 *2008:35 *5007:48 0.000666125 -106 *2009:33 *5007:48 0.000240193 -107 *2011:84 *5007:44 0.000595594 -108 *2020:28 *5007:44 0.000472646 -109 *2020:41 *5007:48 3.42162e-05 -110 *2036:25 *5007:48 1.27784e-05 -111 *2038:197 *5007:48 0.00221209 -112 *2053:21 *5007:48 7.42583e-05 -113 *2056:53 *25508:D_N 0.000124969 -114 *2061:18 *5007:48 2.11366e-05 -115 *2152:160 *5007:48 2.95466e-05 -116 *2190:139 *5007:48 3.34687e-05 -117 *2290:8 *5007:48 0.000928296 -118 *2290:10 *5007:48 0.000305314 -119 *2290:12 *5007:48 0.000284458 -120 *2302:30 *5007:44 0.000362875 -121 *2302:30 *5007:46 3.53422e-06 -122 *2302:30 *5007:48 1.94879e-05 -123 *2316:28 *5007:48 2.98523e-05 -124 *2334:8 *5007:48 0.00375181 -125 *2392:10 *5007:48 0.00275248 -126 *2436:17 *5007:48 5.95847e-05 -127 *2534:11 *5007:48 0.00188612 -128 *2595:21 *5007:48 0.000321002 -129 *2595:31 *5007:48 3.34639e-05 -130 *2595:54 *5007:48 0.000378889 -131 *2703:12 *5007:44 0.000482856 -132 *2894:106 *5007:34 0.0016309 -133 *3450:13 *5007:42 0.000129813 -134 *3479:8 *5007:34 6.43618e-05 -135 *3479:8 *5007:42 0.00157808 -136 *3561:16 *5007:34 6.64099e-05 -137 *3561:93 *5007:10 0.000419739 -138 *3561:95 *5007:10 0.000173551 -139 *3594:66 *5007:31 1.32178e-05 -140 *3637:17 *5007:34 9.18917e-05 -141 *3684:8 *5007:42 1.95544e-05 -142 *3684:21 *5007:42 9.60337e-06 -143 *3684:64 *5007:42 0.000152886 -144 *3685:20 *5007:42 0.000174123 -145 *3685:42 *5007:42 0.000235092 -146 *3697:31 *5007:42 0 -147 *3749:105 *5007:31 0.000190024 -148 *3830:36 *5007:31 4.78537e-05 -149 *3896:8 *5007:31 0.000381331 -150 *3896:17 *5007:31 9.54301e-05 -151 *3896:56 *5007:34 0.00149021 -152 *4065:91 *5007:31 0 -153 *4103:44 *5007:42 0.00016373 -154 *4103:68 *5007:42 0.000582 -155 *4103:68 *5007:44 0.000629415 -156 *4126:39 *5007:31 0.000161841 -157 *4126:84 *5007:31 0.000204051 -158 *4140:66 *5007:16 0.000379281 -159 *4142:26 *5007:42 0 -160 *4159:56 *5007:31 0.000343433 -161 *4165:5 *5007:10 5.52302e-05 -162 *4165:13 *5007:10 0.000137561 -163 *4189:67 *5007:48 1.17968e-05 -*RES -1 *30667:X *5007:10 45.3 -2 *5007:10 *5007:16 45.0982 -3 *5007:16 *5007:31 47.6205 -4 *5007:31 *5007:34 27.1786 -5 *5007:34 *5007:42 48.4821 -6 *5007:42 *5007:44 58 -7 *5007:44 *5007:46 0.535714 -8 *5007:46 *5007:48 158.134 -9 *5007:48 *5007:50 4.5 -10 *5007:50 *6470:DIODE 9.3 -11 *5007:50 *25508:D_N 12.3179 -*END - -*D_NET *5008 0.00104898 -*CONN -*I *25913:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29237:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25913:A1 0.000172491 -2 *29237:X 0.000172491 -3 *25913:A1 *25913:A0 5.75147e-05 -4 *25913:A1 *5715:95 3.63775e-05 -5 *25913:A1 *5760:69 6.05161e-06 -6 *25144:B2 *25913:A1 0.000127446 -7 *25913:S *25913:A1 0.000347187 -8 *1509:16 *25913:A1 3.69047e-06 -9 *2773:155 *25913:A1 0.000125731 -*RES -1 *29237:X *25913:A1 32.6536 -*END - -*D_NET *5009 0.00212942 -*CONN -*I *28360:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29238:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28360:D 0.000526131 -2 *29238:X 0.000526131 -3 *28360:D *5196:8 9.88136e-05 -4 *28360:D *5715:95 0.00027025 -5 *28360:D *5729:35 4.43142e-05 -6 *28360:CLK *28360:D 1.72894e-05 -7 *29238:A *28360:D 9.41642e-05 -8 *1894:14 *28360:D 0.00017309 -9 *4035:9 *28360:D 5.52238e-05 -10 *4350:87 *28360:D 0.000324013 -*RES -1 *29238:X *28360:D 39.9214 -*END - -*D_NET *5010 0.00913895 -*CONN -*I *25610:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29239:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25610:A0 0.000158198 -2 *29239:X 0.00194011 -3 *5010:18 0.00209831 -4 *25610:A0 *5698:165 2.59355e-05 -5 *25610:A0 *5698:179 6.57032e-05 -6 *5010:18 *27593:A2 1.90936e-05 -7 *5010:18 *29239:A 0.000180777 -8 *5010:18 *29268:A 0.000771373 -9 *5010:18 *30067:A 5.33005e-05 -10 *5010:18 *6346:15 0.000294242 -11 *24922:A *5010:18 0.000128741 -12 *25406:A2 *5010:18 0.000441858 -13 *30068:A *5010:18 0.000242289 -14 *450:10 *5010:18 9.58897e-05 -15 *1240:49 *5010:18 1.18141e-05 -16 *1264:50 *5010:18 0.000140943 -17 *1294:39 *5010:18 0.000122724 -18 *1328:22 *5010:18 0.000268403 -19 *2798:68 *5010:18 0.00027856 -20 *2839:73 *5010:18 0.00106507 -21 *3875:51 *5010:18 3.57844e-05 -22 *4310:10 *5010:18 0.000699828 -*RES -1 *29239:X *5010:18 48.6013 -2 *5010:18 *25610:A0 15.5679 -*END - -*D_NET *5011 0.0240733 -*CONN -*I *28108:D I *D sky130_fd_sc_hd__dfstp_2 -*I *29241:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28108:D 0 -2 *29241:X 0.00197597 -3 *5011:26 0.00285673 -4 *5011:20 0.00402968 -5 *5011:12 0.00314892 -6 *5011:12 *25399:A1 0.000517084 -7 *5011:12 *25617:A0 0.00011175 -8 *5011:12 *25754:A0 0.000134527 -9 *5011:12 *25923:A0 0.000104976 -10 *5011:12 *30920:A 0 -11 *5011:12 *5487:63 0 -12 *5011:12 *5489:41 0 -13 *5011:12 *5510:59 0 -14 *5011:12 *5691:284 6.25555e-05 -15 *5011:12 *5691:293 5.79914e-05 -16 *5011:12 *5705:122 0.00118461 -17 *5011:12 *5926:235 0.00018193 -18 *5011:20 *28115:SET_B 0 -19 *5011:20 *28117:SET_B 0 -20 *5011:20 *30952:A 0 -21 *5011:20 *5204:15 0.00173528 -22 *5011:20 *5235:22 9.25014e-06 -23 *5011:20 *5483:96 4.46572e-05 -24 *5011:20 *5491:18 0.00229822 -25 *5011:20 *5510:20 2.84349e-05 -26 *5011:20 *5519:19 0.0001813 -27 *5011:20 *5691:201 7.69776e-06 -28 *5011:26 *28108:SET_B 0 -29 *5011:26 *5520:7 7.71303e-05 -30 *5011:26 *5524:14 0.000246496 -31 pll_trim[12] *5011:26 0 -32 *25399:B1 *5011:12 3.56684e-05 -33 *25399:B2 *5011:12 1.60441e-05 -34 *25920:S *5011:12 3.2329e-05 -35 *25921:S *5011:12 1.06727e-05 -36 *28117:CLK *5011:20 6.07411e-05 -37 *28151:D *5011:12 4.41353e-05 -38 *28953:A *5011:12 0.000108458 -39 *29193:A *5011:12 0.00048159 -40 *244:20 *5011:26 0.00193806 -41 *460:33 *5011:12 5.67795e-05 -42 *699:22 *5011:12 3.19604e-05 -43 *1895:13 *5011:20 0.000113531 -44 *3652:6 *5011:12 6.38394e-05 -45 *3653:14 *5011:12 0.000204826 -46 *3793:25 *5011:12 9.14714e-06 -47 *3801:21 *5011:12 0.000659563 -48 *3893:41 *5011:12 0.000890649 -49 *3893:52 *5011:12 0.000310601 -50 *4801:19 *5011:12 9.54798e-06 -*RES -1 *29241:X *5011:12 48.0624 -2 *5011:12 *5011:20 21.3906 -3 *5011:20 *5011:26 48.1429 -4 *5011:26 *28108:D 9.3 -*END - -*D_NET *5012 0.00449622 -*CONN -*I *25908:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29242:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25908:A1 0.000787443 -2 *29242:X 0.000787443 -3 *25908:A1 *25908:A0 0.000136951 -4 *25908:A1 *25911:A1 0.000639312 -5 *25908:S *25908:A1 1.98839e-05 -6 *29986:A *25908:A1 0.000150768 -7 *1457:35 *25908:A1 1.55885e-05 -8 *3789:9 *25908:A1 0.00165361 -9 *4947:16 *25908:A1 0.000305224 -*RES -1 *29242:X *25908:A1 38.4393 -*END - -*D_NET *5013 0.00436064 -*CONN -*I *28356:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29243:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28356:D 0 -2 *29243:X 0.00108489 -3 *5013:14 0.00108489 -4 *5013:14 *25907:A1 0.000546813 -5 *5013:14 *5619:58 0.000180953 -6 *5013:14 *5738:59 0.000624765 -7 *27512:A1 *5013:14 5.15925e-05 -8 *28357:D *5013:14 4.98872e-05 -9 *1587:16 *5013:14 4.11218e-05 -10 *3067:12 *5013:14 0.000481159 -11 *3651:44 *5013:14 8.42484e-06 -12 *3788:8 *5013:14 0.000143616 -13 *3788:15 *5013:14 6.25262e-05 -14 *3801:56 *5013:14 0 -*RES -1 *29243:X *5013:14 41.0321 -2 *5013:14 *28356:D 9.3 -*END - -*D_NET *5014 0.0105946 -*CONN -*I *26820:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29244:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26820:A1 0 -2 *29244:X 0.00273414 -3 *5014:24 0.00273414 -4 *5014:24 *29270:A 0.000147122 -5 *5014:24 *5050:25 0.000663256 -6 *5014:24 *5069:46 8.6229e-06 -7 *5014:24 *5093:19 0 -8 *5014:24 *5351:19 0.000262999 -9 *5014:24 *5483:44 0.000447428 -10 *5014:24 *5485:62 0.000167649 -11 *5014:24 *5730:11 0.000430667 -12 *5014:24 *5979:21 1.3642e-05 -13 *5014:24 *6003:13 0 -14 *5014:24 *6169:150 0.000145829 -15 *5014:24 *6169:159 0.000275616 -16 *26820:S *5014:24 4.6025e-06 -17 *29175:A *5014:24 0.00125485 -18 *30066:A *5014:24 0 -19 *244:19 *5014:24 5.71472e-05 -20 *701:29 *5014:24 0.000254872 -21 *752:15 *5014:24 5.52238e-05 -22 *760:20 *5014:24 3.93027e-05 -23 *2744:14 *5014:24 7.55978e-06 -24 *3791:36 *5014:24 2.11419e-05 -25 *4307:14 *5014:24 8.79856e-06 -26 *4317:16 *5014:24 0.000778763 -27 *4337:16 *5014:24 6.51034e-05 -28 *4945:15 *5014:24 1.60921e-05 -*RES -1 *29244:X *5014:24 48.49 -2 *5014:24 *26820:A1 13.8 -*END - -*D_NET *5015 0.0198856 -*CONN -*I *28424:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29245:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28424:D 0 -2 *29245:X 0.0030388 -3 *5015:14 0.0030388 -4 *5015:14 *28424:RESET_B 0.00012222 -5 *5015:14 *5043:11 0.00567289 -6 *5015:14 *5075:31 0.000217412 -7 *5015:14 *5235:14 0.00393232 -8 *5015:14 *5495:57 5.34854e-05 -9 *5015:14 *5496:16 0.000138198 -10 *5015:14 *5730:105 1.94945e-05 -11 *5015:14 *5980:14 0.000908444 -12 *5015:14 *6003:13 4.84486e-05 -13 pad_flash_clk *5015:14 4.58495e-05 -14 pll_sel[0] *5015:14 2.75651e-06 -15 *25576:A_N *5015:14 0.000559806 -16 *28426:D *5015:14 0.000662455 -17 *29175:A *5015:14 0.00041157 -18 *244:19 *5015:14 0.00101269 -*RES -1 *29245:X *5015:14 41.3833 -2 *5015:14 *28424:D 9.3 -*END - -*D_NET *5016 0.00679562 -*CONN -*I *25755:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29246:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25755:A0 0.000109955 -2 *29246:X 0.00154685 -3 *5016:17 0.00165681 -4 *25755:A0 *28220:D 9.65161e-05 -5 *25755:A0 *28221:RESET_B 2.83849e-05 -6 *25755:A0 *5705:148 6.1112e-05 -7 *5016:17 *25758:A0 0.000265447 -8 *5016:17 *5618:142 8.97678e-05 -9 *5016:17 *5933:17 0.00014764 -10 *5016:17 *6055:13 0.000788031 -11 *25757:A0 *5016:17 8.43535e-06 -12 *27869:B1 *5016:17 7.62252e-05 -13 *29995:A *5016:17 0.000957419 -14 *30034:A *5016:17 0.000221668 -15 *1471:43 *25755:A0 9.54798e-06 -16 *3411:32 *5016:17 8.44987e-05 -17 *3460:21 *5016:17 4.15183e-05 -18 *3672:8 *25755:A0 1.32429e-05 -19 *3801:109 *5016:17 0.000592551 -*RES -1 *29246:X *5016:17 49.0679 -2 *5016:17 *25755:A0 20.8536 -*END - -*D_NET *5017 0.0073904 -*CONN -*I *28221:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29247:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28221:D 8.28546e-05 -2 *29247:X 0.00139749 -3 *5017:14 0.00148035 -4 *5017:14 *25087:A 0.00032127 -5 *5017:14 *25911:A0 0.000769089 -6 *5017:14 *28019:A0 0.000226513 -7 *5017:14 *5619:58 0.000352159 -8 *5017:14 *5624:107 1.65169e-05 -9 *25399:B1 *5017:14 8.23597e-06 -10 *25756:A0 *28221:D 3.82242e-05 -11 *28221:CLK *28221:D 9.77423e-05 -12 *564:19 *5017:14 0.00102723 -13 *716:16 *28221:D 0.000110029 -14 *1457:51 *5017:14 0.000743964 -15 *1471:64 *5017:14 2.09897e-05 -16 *3651:8 *5017:14 0.000403302 -17 *3651:37 *5017:14 0.000140159 -18 *3651:44 *5017:14 0.00015428 -*RES -1 *29247:X *5017:14 45.6421 -2 *5017:14 *28221:D 15.9786 -*END - -*D_NET *5018 0.00371997 -*CONN -*I *25049:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *30668:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25049:A1 0.000819347 -2 *30668:X 0.000819347 -3 *25049:A1 *27217:A 0.000653287 -4 *25049:A2 *25049:A1 3.046e-05 -5 *30670:A *25049:A1 8.09848e-05 -6 *23:22 *25049:A1 8.46271e-05 -7 *40:40 *25049:A1 9.7659e-05 -8 *1218:154 *25049:A1 0.000152378 -9 *1371:11 *25049:A1 2.06112e-05 -10 *1385:15 *25049:A1 4.22011e-05 -11 *4463:19 *25049:A1 0.000919073 -*RES -1 *30668:X *25049:A1 46.6 -*END - -*D_NET *5019 0.0150799 -*CONN -*I *27981:B I *D sky130_fd_sc_hd__nand2_1 -*I *27984:A1 I *D sky130_fd_sc_hd__a21bo_1 -*I *27982:A I *D sky130_fd_sc_hd__nand2_1 -*I *28014:B2 I *D sky130_fd_sc_hd__a32o_1 -*I *27985:A1 I *D sky130_fd_sc_hd__a21boi_1 -*I *30669:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 -*CAP -1 *27981:B 2.76449e-05 -2 *27984:A1 0 -3 *27982:A 6.53239e-05 -4 *28014:B2 0.000342025 -5 *27985:A1 0.000371848 -6 *30669:X 0.00142282 -7 *5019:50 0.000328 -8 *5019:29 0.000689747 -9 *5019:26 0.000410908 -10 *5019:14 0.00209289 -11 *27982:A *28014:A2 2.14658e-05 -12 *27985:A1 *5684:14 0 -13 *28014:B2 *28013:A1 0 -14 *28014:B2 *28014:A2 0 -15 *28014:B2 *5462:19 1.92905e-05 -16 *28014:B2 *6036:95 2.59355e-05 -17 *5019:14 *5457:30 0.000111785 -18 *5019:14 *5463:14 0 -19 *5019:14 *6214:51 0.00184369 -20 *5019:29 *28014:A2 0 -21 *5019:50 *25734:A0 0.000783399 -22 *5019:50 *5690:7 0.000183027 -23 *5019:50 *6374:61 0 -24 *25733:A1 *28014:B2 0.000167456 -25 *27982:B *27982:A 0.000404707 -26 *27983:A2 *27981:B 5.71472e-05 -27 *27983:A2 *5019:26 2.14658e-05 -28 *27983:B1 *27982:A 0.000446597 -29 *27985:A2 *27985:A1 0.000114121 -30 *27996:A2 *5019:50 0.00030926 -31 *28003:A2 *5019:14 0.00122583 -32 *28013:B1 *28014:B2 1.76039e-05 -33 *28013:B1 *5019:29 6.19181e-05 -34 *28014:B1 *28014:B2 0.000122242 -35 *30626:A *5019:14 0.00010096 -36 *30653:A *5019:14 0.00019105 -37 *30664:A *5019:14 0.000144773 -38 *30665:A *5019:14 0.000313076 -39 *30758:A *5019:14 0.00026875 -40 *3530:15 *5019:50 0 -41 *3532:10 *5019:50 7.2754e-05 -42 *3578:56 *27985:A1 0.000186662 -43 *4141:21 *27985:A1 1.88048e-05 -44 *4586:17 *5019:14 0.0017551 -45 *4963:15 *5019:26 0.000135836 -46 *4974:12 *27985:A1 7.83366e-05 -47 *4974:12 *5019:14 0.000125634 -*RES -1 *30669:X *5019:14 47.9425 -2 *5019:14 *27985:A1 20.8536 -3 *5019:14 *5019:26 9.96429 -4 *5019:26 *5019:29 6.14286 -5 *5019:29 *28014:B2 20.2821 -6 *5019:29 *5019:50 22.9643 -7 *5019:50 *27982:A 13.5321 -8 *5019:50 *27984:A1 9.3 -9 *5019:26 *27981:B 10.2643 -*END - -*D_NET *5020 0.00235555 -*CONN -*I *25766:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29248:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25766:A1 0.00058479 -2 *29248:X 0.00058479 -3 *25766:A1 *25768:A1 0.000289415 -4 *25766:A1 *29040:A 0.000537472 -5 *25766:A1 *5250:8 9.55047e-05 -6 *25766:A1 *5715:195 9.31011e-05 -7 *25766:A1 *5760:8 9.60875e-05 -8 *28336:CLK *25766:A1 7.43854e-05 -*RES -1 *29248:X *25766:A1 40.5107 -*END - -*D_NET *5021 0.000540676 -*CONN -*I *28230:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29249:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28230:D 0.000186746 -2 *29249:X 0.000186746 -3 *28230:D *25768:S 3.5707e-05 -4 *28230:D *5833:65 9.35307e-05 -5 *29249:A *28230:D 3.79467e-05 -*RES -1 *29249:X *28230:D 30.7071 -*END - -*D_NET *5022 0.0013734 -*CONN -*I *25957:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29250:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25957:A1 0.000384907 -2 *29250:X 0.000384907 -3 *25957:A1 *5605:17 1.21258e-05 -4 *25957:S *25957:A1 0.000466997 -5 *1587:36 *25957:A1 2.86427e-05 -6 *2865:57 *25957:A1 9.58181e-05 -*RES -1 *29250:X *25957:A1 25.3143 -*END - -*D_NET *5023 0.00216421 -*CONN -*I *28397:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29252:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28397:D 0.000443765 -2 *29252:X 0.000443765 -3 *28397:D *5618:6 0.000158853 -4 *25311:A1 *28397:D 5.33005e-05 -5 *28397:CLK *28397:D 3.05155e-05 -6 *1227:44 *28397:D 6.7158e-05 -7 *1250:187 *28397:D 2.59355e-05 -8 *1587:36 *28397:D 0.000202917 -9 *1591:11 *28397:D 0.000137561 -10 *1657:19 *28397:D 0.000143301 -11 *2865:57 *28397:D 0.000457138 -*RES -1 *29252:X *28397:D 47.6536 -*END - -*D_NET *5024 0.00135589 -*CONN -*I *26830:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29253:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26830:A0 0.000273012 -2 *29253:X 0.000273012 -3 *26830:A0 *26830:A1 3.18676e-05 -4 *26830:A0 *26833:A1 9.69119e-06 -5 *26830:A0 *28434:D 0.000356028 -6 *26830:A0 *5528:8 0 -7 *26830:A0 *5529:9 0 -8 *26830:S *26830:A0 0.000227532 -9 *26833:S *26830:A0 0.000184745 -*RES -1 *29253:X *26830:A0 34.6 -*END - -*D_NET *5025 0.00144178 -*CONN -*I *28431:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29254:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28431:D 0.000500438 -2 *29254:X 0.000500438 -3 *28431:D *5240:8 0.00029513 -4 *28431:D *5492:10 0 -5 *29254:A *28431:D 5.33334e-05 -6 *1342:14 *28431:D 9.24395e-05 -*RES -1 *29254:X *28431:D 35.6 -*END - -*D_NET *5026 0.0137975 -*CONN -*I *26813:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29255:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26813:A1 0 -2 *29255:X 0.000861522 -3 *5026:35 0.0017287 -4 *5026:15 0.00259022 -5 *5026:15 *29128:A 0.000803929 -6 *5026:15 *5093:19 0.000122494 -7 *5026:15 *5351:19 0.000313202 -8 *5026:15 *5488:34 0.000653884 -9 *5026:15 *5489:19 0.000170133 -10 *5026:15 *6003:13 0.000214443 -11 *5026:15 *6169:150 0 -12 *5026:35 *25617:A0 0.000287231 -13 *5026:35 *26813:A0 7.43578e-06 -14 *5026:35 *28150:D 0.000249675 -15 *5026:35 *5078:20 0.000889233 -16 *5026:35 *5094:20 0.000273004 -17 *5026:35 *5510:59 0.000163987 -18 *5026:35 *5705:48 2.07105e-05 -19 *5026:35 *6014:20 8.37105e-05 -20 *26813:S *5026:35 7.88444e-05 -21 *29138:A *5026:15 0.000267731 -22 *29206:A *5026:35 0.000412713 -23 *29309:A *5026:35 1.64583e-05 -24 *751:9 *5026:35 0.00090853 -25 *3892:18 *5026:35 0.000752797 -26 *4240:37 *5026:35 0.000175306 -27 *4276:17 *5026:35 2.50073e-05 -28 *4331:27 *5026:35 3.47641e-06 -29 *4336:11 *5026:35 0.000273004 -30 *4383:16 *5026:15 1.54142e-05 -31 *4899:13 *5026:15 0.00143471 -*RES -1 *29255:X *5026:15 45.55 -2 *5026:15 *5026:35 44.6923 -3 *5026:35 *26813:A1 9.3 -*END - -*D_NET *5027 0.0144152 -*CONN -*I *28418:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29256:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28418:D 0.00142829 -2 *29256:X 0.00126208 -3 *5027:17 0.00269037 -4 *28418:D *26808:B 1.8791e-05 -5 *28418:D *29057:A 0 -6 *28418:D *29533:A 0.000190858 -7 *28418:D *5342:19 3.89266e-05 -8 *28418:D *5484:44 0.000606892 -9 *28418:D *5491:97 0.000167527 -10 *28418:D *5665:131 0.000185305 -11 *28418:D *5698:256 0.000576973 -12 *28418:D *5710:112 0.000113522 -13 *5027:17 *5093:19 0.000105707 -14 *5027:17 *5491:104 0.00152558 -15 *5027:17 *6147:31 0.000170631 -16 *5027:17 *6169:150 2.26973e-05 -17 *25354:B *5027:17 6.09762e-05 -18 *26810:A1 *5027:17 1.98839e-05 -19 *28415:D *28418:D 0.000163347 -20 *29465:A *28418:D 0.00014285 -21 *30031:A *5027:17 0.000755723 -22 *30087:A *28418:D 0.000723765 -23 *244:20 *28418:D 6.37117e-05 -24 *468:20 *28418:D 4.19624e-06 -25 *505:17 *28418:D 0.000246394 -26 *701:29 *5027:17 1.90936e-05 -27 *748:16 *5027:17 0.000108229 -28 *750:19 *5027:17 0.000946515 -29 *3653:48 *28418:D 6.96099e-05 -30 *3653:56 *28418:D 0.000120722 -31 *4183:24 *5027:17 1.68854e-05 -32 *4274:16 *5027:17 0.00141012 -33 *4316:25 *5027:17 0.000100368 -34 *4382:17 *28418:D 0.00033864 -*RES -1 *29256:X *5027:17 48.0879 -2 *5027:17 *28418:D 41.7754 -*END - -*D_NET *5028 0.0119331 -*CONN -*I *25619:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29257:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25619:A0 0 -2 *29257:X 2.76615e-05 -3 *5028:9 0.00365857 -4 *5028:8 0.00368623 -5 *5028:8 *29137:A 8.00806e-05 -6 *5028:9 *29303:A 1.5424e-05 -7 *5028:9 *29464:A 1.90111e-05 -8 *5028:9 *30916:A 0.00115604 -9 *5028:9 *30963:A 0.000110097 -10 *5028:9 *5495:23 0.000744446 -11 *5028:9 *5518:33 0.000222553 -12 reset *5028:9 6.62783e-05 -13 *28415:CLK *5028:9 9.71197e-05 -14 *467:18 *5028:9 0.000905628 -15 *4184:45 *5028:8 5.41797e-06 -16 *4910:17 *5028:8 6.71336e-05 -17 *4944:29 *5028:9 0.00107138 -*RES -1 *29257:X *5028:8 19.3357 -2 *5028:8 *5028:9 50.2321 -3 *5028:9 *25619:A0 9.3 -*END - -*D_NET *5029 0.000737505 -*CONN -*I *25696:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29258:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25696:A0 0.000214615 -2 *29258:X 0.000214615 -3 *30566:A *25696:A0 0.000200413 -4 *1323:23 *25696:A0 5.71472e-05 -5 *4183:24 *25696:A0 5.07157e-05 -6 *4187:22 *25696:A0 0 -*RES -1 *29258:X *25696:A0 32.5107 -*END - -*D_NET *5030 0.000399549 -*CONN -*I *30829:A I *D sky130_fd_sc_hd__buf_12 -*I *25583:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *30829:A 0.000186126 -2 *25583:X 0.000186126 -3 *30829:A *25583:A 2.72967e-05 -*RES -1 *25583:X *30829:A 30.4929 -*END - -*D_NET *5031 0.00134468 -*CONN -*I *28178:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29259:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28178:D 0.000374532 -2 *29259:X 0.000374532 -3 *28178:D *6103:20 7.79781e-06 -4 *25557:A0 *28178:D 0.000313458 -5 *1430:43 *28178:D 5.52238e-05 -6 *1430:46 *28178:D 0 -7 *3654:63 *28178:D 7.77652e-05 -8 *4202:8 *28178:D 0.000141375 -*RES -1 *29259:X *28178:D 35.6893 -*END - -*D_NET *5032 0.00263282 -*CONN -*I *25907:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29260:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25907:A1 0.000575267 -2 *29260:X 0.000575267 -3 *25907:A1 *25109:A 0.000107807 -4 *25907:A1 *5698:55 0.000183444 -5 *25907:S *25907:A1 2.12005e-05 -6 *28357:CLK *25907:A1 8.33813e-05 -7 *3651:65 *25907:A1 0.000268396 -8 *3788:8 *25907:A1 0.000271238 -9 *5013:14 *25907:A1 0.000546813 -*RES -1 *29260:X *25907:A1 40.2964 -*END - -*D_NET *5033 0.00265609 -*CONN -*I *28355:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29261:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28355:D 0.000500683 -2 *29261:X 0.000500683 -3 *28355:D *5497:39 0.000910873 -4 *28355:D *5698:55 0.000180777 -5 *27538:B2 *28355:D 2.86824e-05 -6 *28020:A1 *28355:D 0.00033901 -7 *563:10 *28355:D 9.57003e-05 -8 *1363:49 *28355:D 9.96796e-05 -*RES -1 *29261:X *28355:D 41.3321 -*END - -*D_NET *5034 0.000994759 -*CONN -*I *27160:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29263:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27160:A0 0.000200206 -2 *29263:X 0.000200206 -3 *27160:A0 *5713:271 2.12005e-05 -4 *27614:A1 *27160:A0 5.33005e-05 -5 *29264:A *27160:A0 0.000135028 -6 *3985:19 *27160:A0 0.000384819 -*RES -1 *29263:X *27160:A0 22.8321 -*END - -*D_NET *5035 0.00240875 -*CONN -*I *28719:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29264:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28719:D 0.000451973 -2 *29264:X 0.000451973 -3 *29264:A *28719:D 0.000365989 -4 *2867:25 *28719:D 0.000619292 -5 *2894:21 *28719:D 8.64489e-05 -6 *2894:26 *28719:D 0.00021903 -7 *3985:19 *28719:D 0.00021404 -*RES -1 *29264:X *28719:D 30.7786 -*END - -*D_NET *5036 0.0106757 -*CONN -*I *25609:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29265:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25609:A0 0.000769806 -2 *29265:X 0.00119474 -3 *5036:25 0.00196454 -4 *25609:A0 *25406:B2 0.000480601 -5 *25609:A0 *25609:A1 0.000199657 -6 *25609:A0 *27549:A1 2.52824e-05 -7 *25609:A0 *5037:11 0.000177487 -8 *25609:A0 *5519:49 0.00049292 -9 *25609:A0 *5592:81 0.000421703 -10 *5036:25 *27593:A2 9.09604e-05 -11 *5036:25 *5037:11 0.0011197 -12 *5036:25 *5488:79 4.71798e-06 -13 *5036:25 *5491:30 0.00109835 -14 *5036:25 *5498:54 0.000913495 -15 *5036:25 *5527:13 8.69554e-05 -16 *5036:25 *5618:139 0.000180348 -17 *5036:25 *5685:76 0.000478501 -18 *5036:25 *6332:39 9.23367e-05 -19 pll_trim[12] *25609:A0 0 -20 *25609:S *25609:A0 9.80173e-05 -21 *27549:B1 *25609:A0 8.99513e-05 -22 *28099:CLK *25609:A0 0.000198849 -23 *1141:20 *5036:25 8.94556e-05 -24 *3649:8 *25609:A0 7.30826e-05 -25 *3649:10 *25609:A0 0.000144795 -26 *3649:12 *25609:A0 0.00018949 -*RES -1 *29265:X *5036:25 48.3309 -2 *5036:25 *25609:A0 35.7196 -*END - -*D_NET *5037 0.00816748 -*CONN -*I *28107:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29266:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28107:D 0 -2 *29266:X 9.72258e-05 -3 *5037:11 0.00151191 -4 *5037:7 0.00160913 -5 *5037:7 *5522:52 7.77751e-05 -6 *5037:11 *25406:B2 0.000900729 -7 *5037:11 *25612:A1 0.00030749 -8 *5037:11 *27549:A1 4.11173e-05 -9 *5037:11 *28382:SET_B 8.49829e-05 -10 *5037:11 *5488:79 0 -11 *5037:11 *5491:30 0.000127316 -12 *5037:11 *5501:23 0.000115322 -13 *5037:11 *5520:48 8.82127e-05 -14 *5037:11 *5737:77 4.01068e-05 -15 *5037:11 *5737:128 3.18676e-05 -16 pll_trim[12] *5037:11 0.00034205 -17 *25400:C1 *5037:11 0.000209156 -18 *25609:A0 *5037:11 0.000177487 -19 *27549:B1 *5037:11 4.45359e-05 -20 *28384:D *5037:11 0.000656626 -21 *244:20 *5037:11 5.52238e-05 -22 *3791:148 *5037:11 4.00349e-05 -23 *3820:38 *5037:11 0.000489484 -24 *5036:25 *5037:11 0.0011197 -*RES -1 *29266:X *5037:7 15.1571 -2 *5037:7 *5037:11 45.8393 -3 *5037:11 *28107:D 9.3 -*END - -*D_NET *5038 0.00236119 -*CONN -*I *27091:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29267:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27091:A0 0.000671543 -2 *29267:X 0.000671543 -3 *27091:A0 *28658:D 0.000710075 -4 *27091:A0 *5707:113 9.9974e-05 -5 *1328:115 *27091:A0 9.9974e-05 -6 *2790:77 *27091:A0 4.58194e-05 -7 *2855:12 *27091:A0 6.22596e-05 -*RES -1 *29267:X *27091:A0 38.9214 -*END - -*D_NET *5039 0.0104765 -*CONN -*I *25594:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29268:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25594:A0 0.00101341 -2 *29268:X 0.00116836 -3 *5039:11 0.00218177 -4 *25594:A0 *25598:A1 5.52238e-05 -5 *25594:A0 *29381:A 1.21637e-05 -6 *25594:A0 *5680:308 2.59355e-05 -7 *25594:A0 *5691:190 0 -8 *25594:A0 *5698:179 4.75956e-06 -9 *25594:A0 *5711:86 0.00035089 -10 *25594:A0 *6332:39 2.07506e-05 -11 *25400:B2 *25594:A0 0.000151248 -12 *25404:B1 *25594:A0 0.000129777 -13 *28098:CLK *25594:A0 0.000368613 -14 *30068:A *25594:A0 0.000613626 -15 *451:12 *25594:A0 0.00090836 -16 *1227:52 *5039:11 0.000303542 -17 *1408:103 *25594:A0 0.000112316 -18 *1430:165 *25594:A0 0.000260214 -19 *1624:35 *5039:11 0.000936504 -20 *3820:25 *5039:11 0.00105202 -21 *3820:38 *5039:11 0.000806982 -*RES -1 *29268:X *5039:11 35.8357 -2 *5039:11 *25594:A0 40.7286 -*END - -*D_NET *5040 0.00684656 -*CONN -*I *28099:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29269:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28099:D 0 -2 *29269:X 1.75463e-05 -3 *5040:13 0.00198787 -4 *5040:7 0.00200542 -5 *5040:13 *25341:B2 4.19624e-06 -6 *5040:13 *25609:A1 0 -7 *5040:13 *28099:SET_B 2.74273e-05 -8 *5040:13 *28808:RESET_B 0 -9 *5040:13 *30934:A 0 -10 *5040:13 *30941:A 0 -11 *5040:13 *5504:33 4.29471e-05 -12 *5040:13 *5523:68 0.000146376 -13 *5040:13 *5592:81 0.000101455 -14 *5040:13 *5737:164 0 -15 *5040:13 *5737:191 3.27253e-05 -16 *5040:13 *6338:23 1.44566e-05 -17 *5040:13 *6385:32 0.000130527 -18 *5040:13 *6385:44 0.000469938 -19 pll_trim[15] *5040:13 0 -20 *24922:A *5040:13 0.00020211 -21 *25405:D *5040:7 1.28239e-05 -22 *25949:S *5040:13 1.30798e-05 -23 *28392:D *5040:13 0.000322307 -24 *1141:20 *5040:13 0 -25 *1227:52 *5040:13 5.05056e-05 -26 *1227:68 *5040:13 2.95746e-06 -27 *1294:30 *5040:13 0.00034946 -28 *1327:141 *5040:13 5.79645e-05 -29 *1328:22 *5040:13 1.78394e-05 -30 *1624:43 *5040:7 5.49544e-05 -31 *1716:11 *5040:13 0 -32 *1900:40 *5040:13 3.87124e-05 -33 *3146:17 *5040:13 0.000278212 -34 *3568:136 *5040:13 9.80363e-06 -35 *3648:20 *5040:13 0 -36 *3648:22 *5040:13 0 -37 *3648:24 *5040:13 0 -38 *3648:26 *5040:13 0 -39 *3648:30 *5040:13 0 -40 *3986:26 *5040:13 0.000333161 -41 *3996:8 *5040:13 0 -42 *4260:22 *5040:13 0.000121786 -*RES -1 *29269:X *5040:7 14.3357 -2 *5040:7 *5040:13 43.875 -3 *5040:13 *28099:D 9.3 -*END - -*D_NET *5041 0.0531795 -*CONN -*I *25411:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30549:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30830:A I *D sky130_fd_sc_hd__buf_12 -*I *28436:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25411:B2 0.00023554 -2 *30549:A 0.000681154 -3 *30830:A 0 -4 *28436:Q 0 -5 *5041:55 0.00223781 -6 *5041:54 0.0036028 -7 *5041:23 0.0075316 -8 *5041:21 0.00792229 -9 *5041:8 0.00398718 -10 *5041:6 0.00301012 -11 *5041:4 0.000333004 -12 *25411:B2 *5483:151 0.000186186 -13 *25411:B2 *5606:14 1.94945e-05 -14 *25411:B2 *5606:27 5.52238e-05 -15 *25411:B2 *5869:27 8.55871e-05 -16 *25411:B2 *5947:56 1.28809e-05 -17 *30549:A *24939:A 5.29732e-05 -18 *30549:A *5606:14 0 -19 *30549:A *5621:75 8.44715e-05 -20 *5041:8 *28340:RESET_B 0.000980433 -21 *5041:8 *5609:10 0.000618409 -22 *5041:8 *5750:6 0.000162154 -23 *5041:8 *5750:15 0.000327274 -24 *5041:21 *28394:RESET_B 0.000344835 -25 *5041:21 *5154:10 0.000231645 -26 *5041:21 *5624:58 9.58126e-05 -27 *5041:23 *28220:RESET_B 0.000110795 -28 *5041:23 *28394:RESET_B 3.67419e-05 -29 *5041:23 *28832:RESET_B 0.000473446 -30 *5041:23 *5624:81 0.000834778 -31 *5041:23 *5624:94 0.000548732 -32 *5041:23 *5731:5 0.00022459 -33 *5041:23 *5735:64 0.000278284 -34 *5041:54 *28229:SET_B 2.81497e-05 -35 *5041:54 *29015:A 0.000777864 -36 *5041:54 *5698:106 3.64479e-05 -37 *5041:54 *5733:72 7.55804e-05 -38 *25097:A2 *30549:A 0.000238239 -39 *25097:B1 *30549:A 0.00034395 -40 *25404:A1 *5041:21 0.000213722 -41 *25411:B1 *25411:B2 1.28809e-05 -42 *25411:C1 *25411:B2 1.02504e-05 -43 *25906:A *5041:23 0.000464471 -44 *25939:A0 *5041:23 0.000387622 -45 *26837:A1 *30549:A 6.8646e-06 -46 *28220:CLK *5041:23 6.39787e-05 -47 *28229:D *5041:54 0.00018126 -48 *28229:D *5041:55 0.000576118 -49 *28284:D *5041:54 8.6229e-06 -50 *29181:A *5041:54 1.69961e-05 -51 *29181:A *5041:55 0.000318101 -52 *29382:A *5041:23 5.83242e-05 -53 *504:19 *5041:23 2.07491e-05 -54 *504:19 *5041:54 0.00157277 -55 *572:19 *5041:54 0.000356028 -56 *572:19 *5041:55 0.000279568 -57 *1218:38 *25411:B2 6.26879e-05 -58 *1240:41 *5041:8 0 -59 *1243:69 *5041:8 8.99084e-05 -60 *1248:56 *30549:A 0 -61 *1263:88 *5041:23 0.000252798 -62 *1273:14 *5041:8 0 -63 *1281:8 *5041:8 0.00033763 -64 *1281:12 *5041:8 0.000239551 -65 *1289:34 *30549:A 0.000687724 -66 *1289:44 *30549:A 0.000196841 -67 *1289:44 *5041:8 1.10978e-05 -68 *1289:49 *5041:8 0.00262533 -69 *1327:141 *5041:21 0.00132881 -70 *1417:7 *5041:21 0.000446175 -71 *1476:160 *5041:6 0.000199465 -72 *1476:160 *5041:8 0.00118914 -73 *1585:19 *25411:B2 1.90936e-05 -74 *2848:51 *25411:B2 0.000149797 -75 *2860:56 *5041:8 2.07158e-05 -76 *2888:59 *30549:A 0.000171948 -77 *3170:41 *30549:A 7.25338e-05 -78 *3206:27 *5041:8 0.000177867 -79 *3653:22 *5041:54 2.15339e-05 -80 *3653:26 *5041:54 7.29712e-05 -81 *3806:8 *5041:54 0.00010096 -82 *3918:16 *5041:23 0.000180777 -83 *3918:83 *5041:23 0.00135659 -84 *4129:61 *5041:8 0.000172287 -85 *4129:63 *5041:6 0.000207061 -86 *4129:63 *5041:8 0.00104987 -87 *4240:37 *5041:54 0 -88 *4282:17 *5041:23 1.6539e-05 -89 *4951:17 *5041:54 9.54798e-06 -90 *5002:18 *5041:55 0.000357401 -*RES -1 *28436:Q *5041:4 9.3 -2 *5041:4 *5041:6 7.35714 -3 *5041:6 *5041:8 66 -4 *5041:8 *5041:21 31.8214 -5 *5041:21 *5041:23 75.6964 -6 *5041:23 *5041:54 49.3393 -7 *5041:54 *5041:55 42.4286 -8 *5041:55 *30830:A 9.3 -9 *5041:6 *30549:A 38.7821 -10 *5041:4 *25411:B2 23.983 -*END - -*D_NET *5042 0.00730508 -*CONN -*I *26819:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29270:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26819:A1 0 -2 *29270:X 0.000685647 -3 *5042:5 0.000685647 -4 *5042:5 *26819:A0 5.33005e-05 -5 *5042:5 *29270:A 0.00012922 -6 *5042:5 *29279:A 0.00171291 -7 *5042:5 *5050:25 0.000603585 -8 *5042:5 *5203:27 0.000693946 -9 *5042:5 *5479:14 0.000999962 -10 *29676:A *5042:5 0.000279263 -11 *4307:14 *5042:5 0.00146161 -*RES -1 *29270:X *5042:5 41.05 -2 *5042:5 *26819:A1 9.3 -*END - -*D_NET *5043 0.0206253 -*CONN -*I *28423:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29271:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28423:D 0.000210043 -2 *29271:X 0.00286431 -3 *5043:11 0.00307435 -4 *28423:D *5493:14 0.000205093 -5 *28423:D *5493:55 7.55978e-06 -6 *5043:11 *29228:A 0.000755402 -7 *5043:11 *29257:A 0.000558436 -8 *5043:11 *29270:A 8.87097e-05 -9 *5043:11 *5075:31 0.00185847 -10 *5043:11 *5476:8 0.000113338 -11 *5043:11 *5490:11 0.000306818 -12 *5043:11 *5592:112 0.00208913 -13 *5043:11 *5979:21 0.000141132 -14 *5043:11 *6169:159 0.000403217 -15 pll_div[3] *28423:D 0 -16 *26817:A *28423:D 9.60939e-05 -17 *28423:CLK *28423:D 4.87953e-05 -18 *28426:D *28423:D 3.16952e-05 -19 *29175:A *5043:11 0.000495878 -20 *29271:A *5043:11 0.000912103 -21 *160:14 *5043:11 2.80488e-05 -22 *244:19 *5043:11 2.06112e-05 -23 *756:16 *5043:11 0.000643119 -24 *5015:14 *5043:11 0.00567289 -*RES -1 *29271:X *5043:11 48.4178 -2 *5043:11 *28423:D 21.4689 -*END - -*D_NET *5044 0.00318473 -*CONN -*I *25679:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29272:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25679:A0 0.00132243 -2 *29272:X 0.00132243 -3 *25679:A0 *25068:A2 1.92905e-05 -4 *25679:A0 *28204:RESET_B 2.20058e-05 -5 *25679:A0 *5589:135 7.57773e-05 -6 *25679:A0 *5911:53 0.000177948 -7 *25679:A1 *25679:A0 7.93335e-05 -8 *28204:CLK *25679:A0 7.68553e-05 -9 *29603:A *25679:A0 5.52238e-05 -10 *30178:A *25679:A0 3.34366e-05 -*RES -1 *29272:X *25679:A0 46.1714 -*END - -*D_NET *5045 0.00318381 -*CONN -*I *28170:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29274:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28170:D 0.000662432 -2 *29274:X 0.000662432 -3 *28170:D *5588:113 0 -4 *28170:D *5588:130 0.000172285 -5 *28170:D *5589:135 0 -6 *28170:D *5716:133 0.00061109 -7 *28170:CLK *28170:D 0.000228558 -8 *28204:D *28170:D 0.000340066 -9 *28581:D *28170:D 3.25247e-05 -10 *29274:A *28170:D 0.000274288 -11 *938:9 *28170:D 0.000200133 -*RES -1 *29274:X *28170:D 44.6893 -*END - -*D_NET *5046 0.00115907 -*CONN -*I *27046:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29275:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27046:A1 0.000332448 -2 *29275:X 0.000332448 -3 *27046:A1 *5707:137 1.8338e-05 -4 *1550:11 *27046:A1 9.25014e-06 -5 *1590:15 *27046:A1 0.000391006 -6 *2839:33 *27046:A1 7.55769e-05 -*RES -1 *29275:X *27046:A1 32.4571 -*END - -*D_NET *5047 0.00320081 -*CONN -*I *28618:D I *D sky130_fd_sc_hd__dfstp_4 -*I *29276:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28618:D 0.000934187 -2 *29276:X 0.000934187 -3 *28618:D *27055:A0 4.00679e-05 -4 *28618:D *5211:8 0.000302552 -5 *27046:S *28618:D 5.38242e-05 -6 *29276:A *28618:D 0.00056036 -7 *1590:7 *28618:D 5.33005e-05 -8 *1590:15 *28618:D 2.14973e-05 -9 *3987:21 *28618:D 0.00030083 -*RES -1 *29276:X *28618:D 41.6536 -*END - -*D_NET *5048 0.00213765 -*CONN -*I *25628:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29277:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25628:A1 0.000526204 -2 *29277:X 0.000526204 -3 *25628:A1 *5638:162 0.000209546 -4 *29277:A *25628:A1 0.000265447 -5 *4002:18 *25628:A1 0.000305951 -6 *4054:8 *25628:A1 0.000304296 -*RES -1 *29277:X *25628:A1 38.3679 -*END - -*D_NET *5049 0.000772534 -*CONN -*I *28124:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29278:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28124:D 0.000182914 -2 *29278:X 0.000182914 -3 *28124:D *5590:131 0.000122942 -4 *1826:238 *28124:D 2.79421e-05 -5 *2757:11 *28124:D 0.000132879 -6 *4080:22 *28124:D 0.000122942 -*RES -1 *29278:X *28124:D 31.8321 -*END - -*D_NET *5050 0.00876351 -*CONN -*I *25618:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29279:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25618:A0 0 -2 *29279:X 0.00166708 -3 *5050:25 0.00166708 -4 *5050:25 *26811:B 6.09762e-05 -5 *5050:25 *26819:A0 0.000180951 -6 *5050:25 *26834:B 5.25192e-06 -7 *5050:25 *29571:A 0.000508336 -8 *5050:25 *5203:27 2.98389e-05 -9 *5050:25 *5476:8 2.02794e-05 -10 *5050:25 *5477:15 4.22135e-06 -11 *5050:25 *5483:44 0.000469973 -12 *26811:A *5050:25 0.000307853 -13 *760:20 *5050:25 0.00220246 -14 *2744:6 *5050:25 9.54798e-06 -15 *2744:36 *5050:25 0.00011595 -16 *2745:33 *5050:25 2.6269e-05 -17 *4307:14 *5050:25 8.6229e-06 -18 *4317:16 *5050:25 2.02389e-05 -19 *4332:24 *5050:25 5.40964e-05 -20 *4332:25 *5050:25 0.000137639 -21 *5014:24 *5050:25 0.000663256 -22 *5042:5 *5050:25 0.000603585 -*RES -1 *29279:X *5050:25 42.9145 -2 *5050:25 *25618:A0 9.3 -*END - -*D_NET *5051 0.00326372 -*CONN -*I *25945:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29280:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25945:A0 0.000502312 -2 *29280:X 0.000502312 -3 *25945:A0 *25871:A1 0.00010096 -4 *25945:A0 *5712:67 0.000126518 -5 *25945:A0 *5712:75 0.00109457 -6 *25945:A0 *5712:92 2.59355e-05 -7 *25546:A0 *25945:A0 0.000135028 -8 *29290:A *25945:A0 0.000135028 -9 *1829:48 *25945:A0 5.03624e-05 -10 *1868:31 *25945:A0 3.57844e-05 -11 *3830:15 *25945:A0 0.000449296 -12 *3830:26 *25945:A0 6.26276e-05 -13 *3944:25 *25945:A0 4.29837e-05 -*RES -1 *29280:X *25945:A0 43.3143 -*END - -*D_NET *5052 0.037067 -*CONN -*I *30831:A I *D sky130_fd_sc_hd__buf_12 -*I *25584:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *30831:A 0.000103698 -2 *25584:X 0.00083184 -3 *5052:47 0.00306611 -4 *5052:46 0.00619149 -5 *5052:36 0.00473052 -6 *5052:19 0.00233328 -7 *30831:A *5472:8 0.000224322 -8 *30831:A *5541:8 0.000222614 -9 *5052:19 *27919:A2 0.000123888 -10 *5052:19 *5153:8 3.45453e-05 -11 *5052:19 *5354:17 2.42516e-05 -12 *5052:19 *5622:79 0.000342302 -13 *5052:19 *5622:90 0.000135028 -14 *5052:19 *5698:203 2.14757e-05 -15 *5052:36 *27505:A1 4.83481e-05 -16 *5052:36 *5153:8 5.33005e-05 -17 *5052:36 *5158:11 0.000402627 -18 *5052:36 *5320:8 6.30931e-05 -19 *5052:36 *5618:142 0.000592122 -20 *5052:36 *6055:13 5.20232e-05 -21 *5052:46 *25760:A0 4.63368e-05 -22 *5052:46 *25911:A0 8.03058e-05 -23 *5052:46 *5125:19 0.000690917 -24 *5052:46 *5624:94 0.00242962 -25 *5052:46 *5665:66 0.000239381 -26 *5052:46 *5733:90 8.88817e-05 -27 *5052:47 *29171:A 0.000706531 -28 *25180:B2 *5052:36 0.000254706 -29 *25757:A0 *5052:36 0.000510394 -30 *25939:A0 *5052:36 0.00034188 -31 *26854:A1 *5052:19 0.000193515 -32 *28019:A1 *5052:46 0.00174633 -33 *28382:D *5052:36 0.000178425 -34 *29359:A *5052:46 0.00222646 -35 *30034:A *5052:46 0.00199045 -36 *30314:A *5052:36 5.33005e-05 -37 *472:8 *5052:36 5.05056e-05 -38 *1248:14 *5052:19 0.000175519 -39 *1263:122 *5052:46 0 -40 *1362:152 *5052:46 0.000935771 -41 *1417:10 *5052:19 0.000175519 -42 *1455:11 *5052:19 3.45337e-05 -43 *1471:56 *5052:46 4.44135e-05 -44 *1500:11 *5052:19 0.00148035 -45 *3459:23 *5052:19 0.00102687 -46 *3460:21 *5052:19 2.66502e-05 -47 *3666:98 *5052:46 0.000335458 -48 *3801:109 *5052:19 0.000157867 -49 *3801:109 *5052:36 0.000682579 -50 *3801:109 *5052:46 0.000249057 -51 *4265:8 *5052:36 9.66977e-05 -52 *4372:19 *5052:36 0.000220943 -*RES -1 *25584:X *5052:19 45.8179 -2 *5052:19 *5052:36 48.9011 -3 *5052:36 *5052:46 20.9102 -4 *5052:46 *5052:47 45.7143 -5 *5052:47 *30831:A 21.6929 -*END - -*D_NET *5053 0.00298326 -*CONN -*I *25730:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29281:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25730:A1 0.000808278 -2 *29281:X 0.000808278 -3 *25730:A1 *25730:A0 5.52302e-05 -4 *25730:A1 *28023:A 0.000519483 -5 *25730:A1 *5947:100 2.07382e-05 -6 *25730:A1 *6058:26 3.97677e-05 -7 *25465:A2 *25730:A1 5.52238e-05 -8 *28084:D *25730:A1 6.65062e-05 -9 *29281:A *25730:A1 0.000239738 -10 *29282:A *25730:A1 0.000314788 -11 *721:24 *25730:A1 5.52302e-05 -*RES -1 *29281:X *25730:A1 34.7786 -*END - -*D_NET *5054 0.00136917 -*CONN -*I *28199:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29282:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28199:D 0.000381913 -2 *29282:X 0.000381913 -3 *28199:D *28199:RESET_B 0.000192527 -4 *28199:D *5732:138 6.7848e-05 -5 *1765:8 *28199:D 9.90367e-05 -6 *4184:8 *28199:D 0.000113419 -7 *4184:10 *28199:D 7.38748e-05 -8 *4184:12 *28199:D 5.86416e-05 -*RES -1 *29282:X *28199:D 35.0464 -*END - -*D_NET *5055 0.00222481 -*CONN -*I *27180:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29283:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27180:A1 0.000306206 -2 *29283:X 0.000306206 -3 *27180:A1 *29283:A 0.000270296 -4 *29286:A *27180:A1 0.000604179 -5 *1292:133 *27180:A1 0.000313083 -6 *2792:13 *27180:A1 0.000398901 -7 *2792:26 *27180:A1 2.59355e-05 -*RES -1 *29283:X *27180:A1 28.9929 -*END - -*D_NET *5056 0.00240676 -*CONN -*I *28737:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29286:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28737:D 0.000756389 -2 *29286:X 0.000756389 -3 *28737:D *5870:21 1.51553e-05 -4 *28737:D *5910:17 0.000210448 -5 *28737:CLK *28737:D 1.92789e-05 -6 *29638:A *28737:D 9.60939e-05 -7 *2794:95 *28737:D 0.000117163 -8 *4016:5 *28737:D 0.000435839 -*RES -1 *29286:X *28737:D 31.2071 -*END - -*D_NET *5057 0.00370588 -*CONN -*I *25944:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29287:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25944:A0 0.000911067 -2 *29287:X 0.000911067 -3 *28386:CLK *25944:A0 8.07951e-05 -4 *29287:A *25944:A0 0.000401398 -5 *29368:A *25944:A0 0.000606109 -6 *30525:A *25944:A0 0.000439685 -7 *1287:60 *25944:A0 0.000292715 -8 *3584:84 *25944:A0 3.57844e-05 -9 *3841:8 *25944:A0 2.72545e-05 -*RES -1 *29287:X *25944:A0 46.6893 -*END - -*D_NET *5058 0.00380647 -*CONN -*I *28386:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29288:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28386:D 0.00130138 -2 *29288:X 0.00130138 -3 *25536:A2 *28386:D 0.000198691 -4 *28146:D *28386:D 8.0786e-06 -5 *28386:CLK *28386:D 5.23142e-05 -6 *1170:6 *28386:D 9.25014e-06 -7 *1780:13 *28386:D 0.000539961 -8 *4183:8 *28386:D 0.000349819 -9 *4188:20 *28386:D 4.55911e-05 -*RES -1 *29288:X *28386:D 46.0286 -*END - -*D_NET *5059 0.00132897 -*CONN -*I *25836:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29289:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25836:A0 0.000275657 -2 *29289:X 0.000275657 -3 *25836:A0 *25945:A1 6.05161e-06 -4 *25836:S *25836:A0 0.000224583 -5 *1182:43 *25836:A0 0.000161937 -6 *3736:25 *25836:A0 0.000385089 -*RES -1 *29289:X *25836:A0 25.2964 -*END - -*D_NET *5060 0.0025543 -*CONN -*I *28290:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29290:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28290:D 0.000545846 -2 *29290:X 0.000545846 -3 *28290:D *28290:RESET_B 2.51935e-05 -4 *28290:D *5710:8 0.000171368 -5 *25835:S *28290:D 0.000113877 -6 *1877:10 *28290:D 1.81805e-05 -7 *3736:25 *28290:D 0.000144685 -8 *3831:28 *28290:D 0.000408214 -9 *4140:66 *28290:D 0.000565272 -10 *4153:5 *28290:D 1.58163e-05 -*RES -1 *29290:X *28290:D 42.1179 -*END - -*D_NET *5061 0.00117935 -*CONN -*I *27010:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29291:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27010:A1 0.000356324 -2 *29291:X 0.000356324 -3 *27010:A1 *5715:63 0.000135028 -4 *2773:150 *27010:A1 0.000278374 -5 *2773:154 *27010:A1 5.33005e-05 -*RES -1 *29291:X *27010:A1 24.8857 -*END - -*D_NET *5062 0.00232389 -*CONN -*I *28586:D I *D sky130_fd_sc_hd__dfstp_2 -*I *29292:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28586:D 0.000595386 -2 *29292:X 0.000595386 -3 *28586:D *5650:304 8.9189e-05 -4 *28586:D *5780:106 5.71472e-05 -5 *28586:D *5909:17 0.000345811 -6 *3578:85 *28586:D 0.00011729 -7 *3725:10 *28586:D 0.000523678 -*RES -1 *29292:X *28586:D 40.6893 -*END - -*D_NET *5063 0.0309067 -*CONN -*I *30832:A I *D sky130_fd_sc_hd__buf_12 -*I *25585:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *30832:A 0.000161048 -2 *25585:X 0 -3 *5063:17 0.00455038 -4 *5063:15 0.00696031 -5 *5063:5 0.00437616 -6 *5063:4 0.00180518 -7 *30832:A *5472:8 0.000516759 -8 *30832:A *5541:8 0.000515037 -9 *5063:5 *6481:DIODE 0.000181796 -10 *5063:5 *5523:41 5.33005e-05 -11 *5063:15 *25268:A1 0.000185964 -12 *5063:15 *27154:A1 0.000183726 -13 *5063:15 *5657:174 0.000273269 -14 *5063:17 *28288:D 0.00044852 -15 *5063:17 *28288:RESET_B 4.85567e-05 -16 *5063:17 *5685:205 0.000163519 -17 *5063:17 *6103:9 0.00104385 -18 *25268:A2 *5063:15 4.43256e-05 -19 *25268:B1 *5063:15 1.34631e-05 -20 *25339:A1 *5063:5 0.00114198 -21 *26853:A *5063:5 0.000304101 -22 *27154:S *5063:15 0.000431864 -23 *27507:A2 *5063:15 0.000321724 -24 *27511:A1 *5063:5 1.64621e-05 -25 *27512:A1 *5063:15 7.65804e-05 -26 *27911:A1 *5063:15 2.14658e-05 -27 *27927:A2 *5063:5 5.33005e-05 -28 *27927:B2 *5063:5 0.000347174 -29 *28288:CLK *5063:17 0.000216547 -30 *28375:D *5063:5 0.000118144 -31 *29243:A *5063:5 9.60875e-05 -32 *29385:A *5063:15 0.000102676 -33 *29385:A *5063:17 2.90075e-05 -34 *29993:A *5063:17 0.000181796 -35 *29994:A *5063:17 9.40041e-05 -36 *1587:16 *5063:15 3.61629e-06 -37 *1587:22 *5063:5 0.00231175 -38 *3067:12 *5063:5 0.00170684 -39 *3067:12 *5063:15 3.21306e-05 -40 *3191:47 *5063:5 0.000361361 -41 *3191:49 *5063:5 0.000248273 -42 *3191:54 *5063:5 0.000287578 -43 *3854:11 *5063:5 3.66245e-05 -44 *3919:46 *5063:15 4.30581e-05 -45 *3960:43 *5063:15 7.41888e-05 -46 *4131:29 *5063:17 0.000723181 -*RES -1 *25585:X *5063:4 9.3 -2 *5063:4 *5063:5 51.4643 -3 *5063:5 *5063:15 36.2321 -4 *5063:15 *5063:17 59.2679 -5 *5063:17 *30832:A 25.3357 -*END - -*D_NET *5064 0.000462965 -*CONN -*I *26994:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29293:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26994:A1 0.000106042 -2 *29293:X 0.000106042 -3 *26994:A1 *5629:217 9.41642e-05 -4 *28500:CLK *26994:A1 7.83587e-05 -5 *3582:31 *26994:A1 7.83587e-05 -*RES -1 *29293:X *26994:A1 29.5821 -*END - -*D_NET *5065 0.00217107 -*CONN -*I *28572:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29294:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28572:D 0.000442807 -2 *29294:X 0.000442807 -3 *28572:D *27609:A2 0.00021969 -4 *28572:D *5586:17 0.000427903 -5 *28572:D *5702:29 0.000301491 -6 *27707:A1 *28572:D 0.000301491 -7 *3717:5 *28572:D 3.4879e-05 -*RES -1 *29294:X *28572:D 39.1893 -*END - -*D_NET *5066 0.00089159 -*CONN -*I *25729:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29295:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25729:A1 0.000431339 -2 *29295:X 0.000431339 -3 *25729:A1 *25575:A1 0 -4 *25729:A1 *25729:A0 2.89114e-05 -5 *25729:A1 *30256:A 0 -6 *25729:A1 *5542:12 0 -7 *29297:A *25729:A1 0 -*RES -1 *29295:X *25729:A1 34.6357 -*END - -*D_NET *5067 0.00191807 -*CONN -*I *28198:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29297:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28198:D 0.000590117 -2 *29297:X 0.000590117 -3 *28198:D *5732:109 2.24763e-05 -4 *28201:CLK *28198:D 3.69697e-05 -5 *29297:A *28198:D 0.000110029 -6 *29619:A *28198:D 0.000442635 -7 *1430:50 *28198:D 0 -8 *4183:13 *28198:D 0.000125724 -*RES -1 *29297:X *28198:D 36.35 -*END - -*D_NET *5068 0.00506689 -*CONN -*I *26812:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29298:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26812:A1 0.000644029 -2 *29298:X 0.000644029 -3 *26812:A1 *26812:A0 5.33005e-05 -4 *26812:A1 *29571:A 0.000140088 -5 *26812:A1 *30094:A 0.000637202 -6 *26812:A1 *5094:20 0.000768224 -7 *26812:A1 *5488:34 0.000632874 -8 *29389:A *26812:A1 5.52238e-05 -9 *750:19 *26812:A1 0.000645217 -10 *758:26 *26812:A1 2.87584e-05 -11 *768:29 *26812:A1 0.000316135 -12 *4382:17 *26812:A1 0.000271339 -13 *4383:16 *26812:A1 0.000230475 -*RES -1 *29298:X *26812:A1 40.0821 -*END - -*D_NET *5069 0.0123566 -*CONN -*I *28417:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29299:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28417:D 0.000425822 -2 *29299:X 0.00117362 -3 *5069:46 0.00138559 -4 *5069:27 0.00213339 -5 *28417:D *26823:A0 4.10114e-05 -6 *28417:D *28417:RESET_B 6.22384e-05 -7 *28417:D *5979:21 0.000108332 -8 *5069:27 *25354:C 0.000363385 -9 *5069:27 *29128:A 0.00026712 -10 *5069:27 *29137:A 3.00174e-05 -11 *5069:27 *30139:A 6.57255e-05 -12 *5069:27 *5093:19 0.000136538 -13 *5069:27 *5351:19 0.00032032 -14 *5069:27 *5474:14 2.60633e-05 -15 *5069:27 *5477:15 0 -16 *5069:27 *6313:17 4.50599e-05 -17 *5069:27 *6313:45 0.000359526 -18 *5069:46 *28435:RESET_B 4.45982e-06 -19 *5069:46 *30139:A 6.40766e-05 -20 *5069:46 *5485:52 0.00052455 -21 *5069:46 *5519:25 0.000648167 -22 *5069:46 *5778:219 1.69961e-05 -23 *5069:46 *5979:21 0.00055376 -24 *5069:46 *6014:19 0.000206134 -25 *25354:A *5069:27 0.00026221 -26 *26815:A1 *5069:46 8.6229e-06 -27 *26820:S *5069:46 3.44599e-05 -28 *26823:S *28417:D 5.33005e-05 -29 *28417:CLK *28417:D 0.000344562 -30 *28435:CLK *28417:D 0.000181114 -31 *28435:CLK *5069:46 0.000605235 -32 *29172:A *5069:27 1.98839e-05 -33 *30032:A *5069:27 0.000224583 -34 *30140:A *5069:27 2.24763e-05 -35 *30732:A *5069:46 8.6229e-06 -36 *467:18 *5069:46 4.71798e-05 -37 *753:13 *5069:46 7.85579e-05 -38 *755:18 *5069:46 2.03618e-05 -39 *760:20 *28417:D 9.67754e-05 -40 *1671:52 *28417:D 0.000306138 -41 *2743:12 *28417:D 0.000307102 -42 *3653:52 *28417:D 0.00022197 -43 *4332:24 *5069:46 2.11419e-05 -44 *4337:16 *5069:46 0.000201329 -45 *4383:16 *5069:27 6.36238e-05 -46 *4944:18 *5069:27 5.49995e-05 -47 *4944:18 *5069:46 0.000201832 -48 *5014:24 *5069:46 8.6229e-06 -*RES -1 *29299:X *5069:27 49.2869 -2 *5069:27 *5069:46 46.2321 -3 *5069:46 *28417:D 25.5679 -*END - -*D_NET *5070 0.0018468 -*CONN -*I *25667:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29300:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25667:A1 0.000531346 -2 *29300:X 0.000531346 -3 *25667:A1 *5458:31 0.000632957 -4 *25326:B2 *25667:A1 7.55769e-05 -5 *3780:34 *25667:A1 7.55769e-05 -*RES -1 *29300:X *25667:A1 34.9214 -*END - -*D_NET *5071 0.00116238 -*CONN -*I *28157:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29301:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28157:D 0.000264063 -2 *29301:X 0.000264063 -3 *1362:97 *28157:D 0.000295242 -4 *3752:56 *28157:D 0.000298944 -5 *3921:13 *28157:D 4.00679e-05 -*RES -1 *29301:X *28157:D 33.0286 -*END - -*D_NET *5072 0.00201278 -*CONN -*I *26983:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29302:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26983:A1 0.000683626 -2 *29302:X 0.000683626 -3 *26983:A1 *29302:A 0.000181381 -4 *26983:A1 *5896:17 0.000180239 -5 *26983:A1 *5912:100 0.000212263 -6 *2770:142 *26983:A1 2.28598e-05 -7 *2770:156 *26983:A1 4.87854e-05 -*RES -1 *29302:X *26983:A1 30.6357 -*END - -*D_NET *5073 0.0140956 -*CONN -*I *26818:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29303:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26818:A1 0.00129917 -2 *29303:X 0.000686001 -3 *5073:13 0.00204891 -4 *5073:10 0.00143574 -5 *26818:A1 *29057:A 0 -6 *26818:A1 *30963:A 0 -7 *26818:A1 *5491:97 1.98839e-05 -8 *26818:A1 *5705:67 9.93846e-05 -9 *5073:10 *5474:14 0.000506016 -10 *5073:13 *5494:21 0.00166351 -11 pad_flash_csb *5073:10 0 -12 pad_flash_csb_oeb *5073:10 2.78822e-05 -13 pll_ena *26818:A1 0 -14 reset *26818:A1 0.000246706 -15 *26834:A *26818:A1 0.000262498 -16 *28418:CLK *26818:A1 9.59924e-05 -17 *30066:A *5073:10 3.4323e-05 -18 *177:11 *26818:A1 0.00023685 -19 *177:11 *5073:13 5.52238e-05 -20 *469:11 *5073:13 0 -21 *2745:23 *26818:A1 0.000221634 -22 *2745:24 *26818:A1 0.000148706 -23 *2745:33 *26818:A1 0.000180387 -24 *3653:56 *26818:A1 7.79554e-05 -25 *4296:9 *5073:13 0.00309564 -26 *4309:8 *5073:10 0.000356648 -27 *4309:9 *5073:13 0.000724759 -28 *4383:16 *26818:A1 0.000130117 -29 *5000:14 *5073:10 0.000441681 -*RES -1 *29303:X *5073:10 32.9786 -2 *5073:10 *5073:13 39.5357 -3 *5073:13 *26818:A1 37.1571 -*END - -*D_NET *5074 0.0610679 -*CONN -*I *30833:A I *D sky130_fd_sc_hd__buf_12 -*I *25559:X O *D sky130_fd_sc_hd__mux2_4 -*CAP -1 *30833:A 0.0133612 -2 *25559:X 0.00526422 -3 *5074:15 0.0186254 -4 *30833:A *25265:A1 0.00286764 -5 *30833:A *25953:A1 9.03286e-05 -6 *30833:A *26855:A0 0.000172889 -7 *30833:A *27054:B 0 -8 *30833:A *27244:A1 0.000380599 -9 *30833:A *27252:C 0.000304305 -10 *30833:A *27260:A1 0.000559992 -11 *30833:A *5430:12 0.000690727 -12 *30833:A *5515:42 0.000301427 -13 *30833:A *5522:85 0.00329232 -14 *30833:A *5618:47 8.3497e-05 -15 *30833:A *5665:24 0.000615131 -16 *30833:A *5667:260 0 -17 *30833:A *5685:66 2.10152e-05 -18 *30833:A *5705:162 0.000214459 -19 *30833:A *5707:162 0 -20 *30833:A *5707:244 0 -21 *30833:A *5711:153 0.000114413 -22 *30833:A *5711:186 0 -23 *30833:A *5926:122 6.27272e-06 -24 *30833:A *5970:43 0 -25 *5074:15 *25911:A0 0 -26 *5074:15 *5166:23 4.79453e-05 -27 *5074:15 *5279:29 0.000306274 -28 *5074:15 *5430:12 0.00166759 -29 *5074:15 *5691:276 0.000932409 -30 *5074:15 *5699:156 3.99136e-05 -31 *5074:15 *5705:162 0.00272394 -32 *5074:15 *5933:17 1.13786e-05 -33 *24984:A2 *30833:A 0 -34 *25263:A2 *30833:A 0 -35 *25281:A2_N *5074:15 0.000386125 -36 *25404:A2 *30833:A 4.90575e-05 -37 *25831:A0 *5074:15 0.0001098 -38 *27244:A2 *30833:A 0.00157997 -39 *27244:A3 *30833:A 2.28188e-05 -40 *30556:A *5074:15 0.0018514 -41 *461:19 *5074:15 0.000304006 -42 *1240:41 *30833:A 4.73953e-05 -43 *1248:14 *30833:A 3.87474e-05 -44 *1264:162 *5074:15 0.000176772 -45 *1271:49 *30833:A 0 -46 *1277:70 *30833:A 4.83272e-05 -47 *1289:71 *30833:A 0.000769174 -48 *1328:46 *30833:A 8.77926e-05 -49 *1362:118 *5074:15 2.17065e-05 -50 *1362:136 *5074:15 0.00131994 -51 *1371:19 *30833:A 2.11419e-05 -52 *1457:51 *5074:15 0.000518669 -53 *1704:26 *30833:A 0.000189165 -54 *1803:11 *30833:A 0.000188866 -55 *1826:46 *30833:A 1.09611e-05 -56 *2777:58 *30833:A 1.24397e-05 -57 *2777:105 *30833:A 6.67434e-05 -58 *2839:19 *30833:A 0.000130616 -59 *3568:59 *5074:15 0 -60 *3651:75 *5074:15 0.000201834 -61 *3666:98 *5074:15 0 -62 *3804:17 *5074:15 3.60019e-05 -63 *3878:42 *30833:A 2.90824e-05 -64 *3993:13 *30833:A 0.000154025 -65 *4685:19 *30833:A 0 -*RES -1 *25559:X *5074:15 36.4923 -2 *5074:15 *30833:A 48.2702 -*END - -*D_NET *5075 0.0127557 -*CONN -*I *28422:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29304:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28422:D 0.000977777 -2 *29304:X 0.00120175 -3 *5075:31 0.00217952 -4 *28422:D *5518:33 9.39059e-05 -5 *28422:D *5730:94 5.52238e-05 -6 *5075:31 *29137:A 8.49829e-05 -7 *5075:31 *29228:A 0.0022509 -8 *5075:31 *30923:A 0.00010096 -9 *5075:31 *5482:18 0.00031756 -10 *5075:31 *5495:23 3.5703e-05 -11 *5075:31 *5685:173 0.000529363 -12 pad_flash_io1_ieb *5075:31 9.90431e-05 -13 pll_dco_ena *28422:D 0.000532305 -14 pll_dco_ena *5075:31 4.29966e-05 -15 *28418:CLK *28422:D 0.000232399 -16 *28421:D *28422:D 0.000575099 -17 *29304:A *5075:31 5.75147e-05 -18 *244:20 *28422:D 0.000370535 -19 *244:20 *5075:31 0.000855424 -20 *4309:8 *5075:31 8.68082e-05 -21 *5015:14 *5075:31 0.000217412 -22 *5043:11 *5075:31 0.00185847 -*RES -1 *29304:X *5075:31 48.609 -2 *5075:31 *28422:D 36.3357 -*END - -*D_NET *5076 0.00569734 -*CONN -*I *25799:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29305:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25799:A1 0.000186582 -2 *29305:X 0.000785959 -3 *5076:19 0.000972542 -4 *25799:A1 *5712:17 0.000639735 -5 *5076:19 *25865:A0 9.25014e-06 -6 *5076:19 *28047:B 1.58163e-05 -7 *5076:19 *28138:RESET_B 8.6229e-06 -8 *5076:19 *28310:D 3.82242e-05 -9 *5076:19 *5623:23 0.000351953 -10 *5076:19 *5626:19 0.00059377 -11 *5076:19 *5712:17 0.000109968 -12 *5076:19 *5728:205 0.000125724 -13 *5076:19 *5741:68 0.000208432 -14 *5076:19 *5741:106 7.55804e-05 -15 *25799:S *25799:A1 5.33005e-05 -16 *30495:A *5076:19 7.48087e-05 -17 *3723:8 *5076:19 1.721e-05 -18 *3723:25 *5076:19 9.04136e-05 -19 *3739:19 *25799:A1 0.000294326 -20 *3739:19 *5076:19 9.41642e-05 -21 *3739:81 *5076:19 0.000344835 -22 *3984:5 *5076:19 4.17433e-05 -23 *3984:10 *5076:19 5.49544e-05 -24 *3984:73 *5076:19 4.18834e-05 -25 *4113:86 *5076:19 5.49489e-05 -26 *4113:98 *5076:19 0.000288579 -27 *4142:26 *5076:19 0.00012401 -*RES -1 *29305:X *5076:19 46.6571 -2 *5076:19 *25799:A1 15.5857 -*END - -*D_NET *5077 0.00526884 -*CONN -*I *28258:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29306:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28258:D 0 -2 *29306:X 0.00183561 -3 *5077:11 0.00183561 -4 *5077:11 *24871:A2 0.000184466 -5 *5077:11 *24933:C_N 0.000135028 -6 *5077:11 *5712:30 0.00014576 -7 *5077:11 *5741:43 0.000213492 -8 *5077:11 *5805:17 0.000352047 -9 *27921:A2 *5077:11 9.25014e-06 -10 *28334:CLK *5077:11 7.43578e-06 -11 *29306:A *5077:11 0.000274297 -12 *1427:149 *5077:11 0.000193118 -13 *3637:40 *5077:11 6.45628e-05 -14 *3871:32 *5077:11 1.81709e-05 -*RES -1 *29306:X *5077:11 42.3759 -2 *5077:11 *28258:D 9.3 -*END - -*D_NET *5078 0.0121643 -*CONN -*I *25919:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29308:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25919:A1 0.000369438 -2 *29308:X 0.00160815 -3 *5078:20 0.00197759 -4 *25919:A1 *28153:RESET_B 4.50675e-05 -5 *25919:A1 *5303:9 0.000202825 -6 *5078:20 *25617:A0 0.000565889 -7 *5078:20 *28150:D 0.000900041 -8 *5078:20 *28365:D 6.98512e-06 -9 *5078:20 *5079:20 0.000165244 -10 *5078:20 *5488:55 0.000227662 -11 *5078:20 *5685:163 0.000643775 -12 *5078:20 *5733:72 9.60337e-06 -13 *25178:B2 *25919:A1 0.000274932 -14 *29206:A *5078:20 0.000152217 -15 *30031:A *5078:20 0.000177278 -16 *30087:A *5078:20 2.3695e-05 -17 *570:26 *5078:20 7.54369e-06 -18 *698:11 *25919:A1 0.000510174 -19 *3652:130 *5078:20 0 -20 *3652:136 *5078:20 1.26352e-05 -21 *3882:12 *5078:20 1.12406e-05 -22 *3892:18 *5078:20 0.00279919 -23 *4276:17 *5078:20 0.000542003 -24 *4900:26 *5078:20 4.1889e-05 -25 *5026:35 *5078:20 0.000889233 -*RES -1 *29308:X *5078:20 41.5958 -2 *5078:20 *25919:A1 20.5143 -*END - -*D_NET *5079 0.0122559 -*CONN -*I *28365:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29309:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28365:D 0.00140484 -2 *29309:X 0.00113923 -3 *5079:20 0.00254406 -4 *28365:D *25617:A0 0.000253148 -5 *28365:D *5484:64 2.11419e-05 -6 *28365:D *5488:64 0.000267863 -7 *28365:D *5510:59 0.000841883 -8 *28365:D *5685:142 0.000130239 -9 *28365:D *5685:144 0.000447761 -10 *28365:D *5685:146 0.000314062 -11 *28365:D *5685:151 0.000980572 -12 *28365:D *5698:114 1.448e-05 -13 *28365:D *5705:139 1.92905e-05 -14 *28365:D *5730:142 0 -15 *5079:20 *26835:A0 3.47641e-06 -16 *5079:20 *28228:SET_B 2.51935e-05 -17 *5079:20 *5685:173 3.47641e-06 -18 *5079:20 *5685:183 2.49719e-05 -19 *5079:20 *5778:31 9.142e-05 -20 *25662:S *5079:20 0 -21 *26809:A1 *5079:20 2.30116e-06 -22 *30031:A *28365:D 0 -23 *30031:A *5079:20 8.79307e-05 -24 *30087:A *5079:20 0.000680117 -25 *506:24 *5079:20 0.000117677 -26 *749:24 *5079:20 1.90936e-05 -27 *751:9 *5079:20 0.000441731 -28 *1715:18 *28365:D 7.54055e-05 -29 *3882:12 *28365:D 8.9946e-05 -30 *3892:18 *28365:D 0.000178527 -31 *4244:7 *5079:20 0.000481243 -32 *4274:16 *5079:20 0.000295412 -33 *4276:17 *5079:20 0.00100155 -34 *4331:27 *5079:20 8.56547e-05 -35 *5078:20 *28365:D 6.98512e-06 -36 *5078:20 *5079:20 0.000165244 -*RES -1 *29309:X *5079:20 47.558 -2 *5079:20 *28365:D 42.9074 -*END - -*D_NET *5080 0.00125888 -*CONN -*I *25778:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29310:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25778:A1 0.000272071 -2 *29310:X 0.000272071 -3 *25779:S *25778:A1 0.000158192 -4 *28011:A2 *25778:A1 0.00022459 -5 *29310:A *25778:A1 0.000153054 -6 *1869:34 *25778:A1 5.80706e-06 -7 *4087:12 *25778:A1 0.00017309 -*RES -1 *29310:X *25778:A1 33.85 -*END - -*D_NET *5081 0.000567985 -*CONN -*I *28240:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29311:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28240:D 0.000208347 -2 *29311:X 0.000208347 -3 *28240:D *5719:25 8.55871e-05 -4 *28240:CLK *28240:D 6.57032e-05 -*RES -1 *29311:X *28240:D 22.0107 -*END - -*D_NET *5082 0.00149274 -*CONN -*I *26902:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29312:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26902:A1 0.000207143 -2 *29312:X 0.000207143 -3 *25257:B *26902:A1 4.35597e-05 -4 *26902:S *26902:A1 0.000220937 -5 *29312:A *26902:A1 0.000257619 -6 *3742:48 *26902:A1 7.61335e-05 -7 *3959:63 *26902:A1 0.00013921 -8 *4063:13 *26902:A1 0.000340995 -*RES -1 *29312:X *26902:A1 24.8857 -*END - -*D_NET *5083 0.00209817 -*CONN -*I *28490:D I *D sky130_fd_sc_hd__dfstp_2 -*I *29313:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28490:D 0.000557355 -2 *29313:X 0.000557355 -3 *28490:D *5656:137 0 -4 *25377:C1 *28490:D 0.000170011 -5 *26847:A *28490:D 6.84398e-05 -6 *1524:22 *28490:D 0.000480404 -7 *1559:10 *28490:D 4.25652e-05 -8 *1672:32 *28490:D 0.000143967 -9 *3742:36 *28490:D 7.80693e-05 -*RES -1 *29313:X *28490:D 37.6714 -*END - -*D_NET *5084 0.00528979 -*CONN -*I *25713:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29314:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25713:A0 0 -2 *29314:X 0.00123538 -3 *5084:20 0.00123538 -4 *5084:20 *26944:A1 0.000259656 -5 *5084:20 *26988:A0 0.000148045 -6 *5084:20 *27027:A 9.46843e-05 -7 *5084:20 *5639:165 5.41797e-06 -8 *5084:20 *5911:81 1.08601e-05 -9 *25713:A1 *5084:20 0.000235476 -10 *29314:A *5084:20 9.74665e-05 -11 *29315:A *5084:20 0.000602655 -12 *244:65 *5084:20 1.18064e-05 -13 *2769:30 *5084:20 0.000592627 -14 *2769:31 *5084:20 0.00067967 -15 *2770:97 *5084:20 8.47161e-06 -16 *2775:14 *5084:20 2.89656e-05 -17 *2775:82 *5084:20 4.32309e-05 -*RES -1 *29314:X *5084:20 47.4616 -2 *5084:20 *25713:A0 13.8 -*END - -*D_NET *5085 0.00181971 -*CONN -*I *30834:A I *D sky130_fd_sc_hd__buf_12 -*I *24848:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *30834:A 0.000295177 -2 *24848:Y 0.000295177 -3 *24848:A *30834:A 0.000101028 -4 *30046:A *30834:A 0.000177227 -5 *2769:66 *30834:A 0.000339002 -6 *2769:79 *30834:A 0.000359669 -7 *2770:46 *30834:A 0.000163437 -8 *3558:276 *30834:A 1.07719e-05 -9 *4147:106 *30834:A 7.82164e-05 -*RES -1 *24848:Y *30834:A 37.0643 -*END - -*D_NET *5086 0.00528053 -*CONN -*I *28190:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29315:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28190:D 0.0018658 -2 *29315:X 0.0018658 -3 *28190:D *27027:A 5.75194e-05 -4 *28190:D *27027:B 0.000266719 -5 *28190:D *5680:115 4.65519e-05 -6 *28190:D *5766:196 2.84026e-05 -7 *27426:A1 *28190:D 0.000144423 -8 *28458:CLK *28190:D 0.000113849 -9 *30077:A *28190:D 0.000241688 -10 *794:23 *28190:D 0 -11 *2759:149 *28190:D 4.32957e-05 -12 *2763:25 *28190:D 6.59103e-06 -13 *2769:30 *28190:D 0 -14 *2769:31 *28190:D 0.000220935 -15 *2775:14 *28190:D 1.40051e-05 -16 *2776:207 *28190:D 4.50033e-05 -17 *4125:13 *28190:D 1.01809e-05 -18 *4218:18 *28190:D 6.99005e-05 -19 *4320:16 *28190:D 0.000239863 -*RES -1 *29315:X *28190:D 48.9861 -*END - -*D_NET *5087 0.00136368 -*CONN -*I *25933:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29316:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25933:A1 0.000474614 -2 *29316:X 0.000474614 -3 *27548:A1 *25933:A1 0.000223246 -4 *27883:B2 *25933:A1 3.63775e-05 -5 *3411:20 *25933:A1 7.74135e-05 -6 *3910:43 *25933:A1 7.74135e-05 -*RES -1 *29316:X *25933:A1 34.1 -*END - -*D_NET *5088 0.00132873 -*CONN -*I *28377:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29317:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28377:D 0.00027106 -2 *29317:X 0.00027106 -3 *28377:D *5698:30 7.74068e-05 -4 *28377:D *5717:81 0.00018265 -5 *1897:15 *28377:D 0.000194691 -6 *3129:10 *28377:D 0 -7 *3779:45 *28377:D 0.000331866 -*RES -1 *29317:X *28377:D 33.7429 -*END - -*D_NET *5089 0.00154969 -*CONN -*I *26884:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29319:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26884:A1 0.000306237 -2 *29319:X 0.000306237 -3 *26884:A1 *5613:46 0.000310249 -4 *2759:69 *26884:A1 0.00059925 -5 *2772:77 *26884:A1 2.77143e-05 -*RES -1 *29319:X *26884:A1 25.725 -*END - -*D_NET *5090 0.00106042 -*CONN -*I *28474:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29320:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28474:D 0.000448601 -2 *29320:X 0.000448601 -3 *28474:D *5709:38 6.97972e-05 -4 *29320:A *28474:D 2.59355e-05 -5 *1235:165 *28474:D 6.74815e-05 -*RES -1 *29320:X *28474:D 33.475 -*END - -*D_NET *5091 0.00161252 -*CONN -*I *27001:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29321:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27001:A1 0.000264015 -2 *29321:X 0.000264015 -3 *27001:S *27001:A1 1.00733e-05 -4 *2772:77 *27001:A1 0.000227883 -5 *2772:85 *27001:A1 2.59355e-05 -6 *3220:16 *27001:A1 9.97669e-05 -7 *3591:59 *27001:A1 0.000689881 -8 *4089:12 *27001:A1 3.09531e-05 -*RES -1 *29321:X *27001:A1 36.0643 -*END - -*D_NET *5092 0.00128459 -*CONN -*I *28578:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29322:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28578:D 0.000578221 -2 *29322:X 0.000578221 -3 *28578:D *5780:110 0.000128146 -4 *28578:D *5799:10 0 -*RES -1 *29322:X *28578:D 34.7071 -*END - -*D_NET *5093 0.00918159 -*CONN -*I *26835:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29323:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26835:A1 0 -2 *29323:X 0.002203 -3 *5093:19 0.002203 -4 *5093:19 *25354:C 0.000130871 -5 *5093:19 *29128:A 0.000394704 -6 *5093:19 *5094:20 1.80032e-05 -7 *5093:19 *5351:19 0 -8 *5093:19 *5484:44 7.43907e-05 -9 *5093:19 *6003:13 4.15914e-05 -10 *5093:19 *6158:32 0 -11 *25354:A *5093:19 5.11895e-05 -12 *30032:A *5093:19 1.8038e-05 -13 *30140:A *5093:19 0.000981636 -14 *750:19 *5093:19 0.0021503 -15 *4183:24 *5093:19 0.000165683 -16 *4276:17 *5093:19 0 -17 *4382:17 *5093:19 0.000252361 -18 *4899:13 *5093:19 0.000132088 -19 *5014:24 *5093:19 0 -20 *5026:15 *5093:19 0.000122494 -21 *5027:17 *5093:19 0.000105707 -22 *5069:27 *5093:19 0.000136538 -*RES -1 *29323:X *5093:19 44.6995 -2 *5093:19 *26835:A1 9.3 -*END - -*D_NET *5094 0.00612553 -*CONN -*I *28435:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29324:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28435:D 1.42608e-05 -2 *29324:X 0.00172116 -3 *5094:20 0.00173542 -4 *5094:20 *30094:A 0 -5 *5094:20 *5160:22 0.000235794 -6 *5094:20 *5733:48 0 -7 *5094:20 *6014:19 0.00010874 -8 *26812:A1 *5094:20 0.000768224 -9 *28435:CLK *28435:D 1.21843e-05 -10 *751:9 *5094:20 0.00087589 -11 *768:29 *5094:20 4.54885e-06 -12 *4276:17 *5094:20 0.000358287 -13 *5026:35 *5094:20 0.000273004 -14 *5093:19 *5094:20 1.80032e-05 -*RES -1 *29324:X *5094:20 49.1214 -2 *5094:20 *28435:D 14.0098 -*END - -*D_NET *5095 0.00221228 -*CONN -*I *25955:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29325:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25955:A1 0.000272736 -2 *29325:X 0.000272736 -3 *25955:A1 *25955:A0 0.000108217 -4 *25955:A1 *5581:46 0.000391597 -5 *25955:A1 *6225:79 0.000393521 -6 *25955:S *25955:A1 5.33005e-05 -7 *28398:CLK *25955:A1 0.000339346 -8 *1281:30 *25955:A1 3.63775e-05 -9 *1417:10 *25955:A1 0.000173083 -10 *1701:11 *25955:A1 0.000171368 -*RES -1 *29325:X *25955:A1 37.0821 -*END - -*D_NET *5096 0.00257808 -*CONN -*I *30835:A I *D sky130_fd_sc_hd__buf_12 -*I *24847:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *30835:A 0.000603678 -2 *24847:Y 0.000603678 -3 *30835:A *5461:28 0.000727311 -4 *30835:A *5659:24 0.000214006 -5 *24847:A *30835:A 2.89114e-05 -6 *24853:A *30835:A 3.69047e-06 -7 *29072:A *30835:A 0.000320738 -8 *29628:A *30835:A 7.60719e-05 -*RES -1 *24847:Y *30835:A 41.6 -*END - -*D_NET *5097 0.00108745 -*CONN -*I *28395:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29326:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28395:D 0.000258247 -2 *29326:X 0.000258247 -3 *28395:D *25957:A0 0.000167247 -4 *28395:D *29150:A 1.21258e-05 -5 *28395:D *5691:157 0.000352022 -6 *3648:98 *28395:D 2.83284e-06 -7 *3648:107 *28395:D 3.67235e-05 -*RES -1 *29326:X *28395:D 32.6179 -*END - -*D_NET *5098 0.00161942 -*CONN -*I *26848:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29327:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26848:A1 0.000471238 -2 *29327:X 0.000471238 -3 *26848:A1 *25142:B 6.05161e-06 -4 *26848:A1 *26902:A0 9.33161e-05 -5 *26848:S *26848:A1 0.000265016 -6 *29327:A *26848:A1 4.58194e-05 -7 *1415:27 *26848:A1 0.000266737 -*RES -1 *29327:X *26848:A1 35.2964 -*END - -*D_NET *5099 0.00175522 -*CONN -*I *28444:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29328:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28444:D 0.000362946 -2 *29328:X 0.000362946 -3 *28444:D *26902:A0 0.000238938 -4 *28444:D *28444:RESET_B 2.29097e-05 -5 *28444:D *5761:194 8.55871e-05 -6 *28444:D *5761:209 4.2049e-05 -7 *28444:D *5891:33 9.41642e-05 -8 *1415:27 *28444:D 0.000328539 -9 *1524:15 *28444:D 0.000168915 -10 *4088:54 *28444:D 4.82225e-05 -*RES -1 *29328:X *28444:D 36.3321 -*END - -*D_NET *5100 0.00288955 -*CONN -*I *25865:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29330:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25865:A0 0.000702183 -2 *29330:X 0.000702183 -3 *25865:A0 *5623:23 5.49489e-05 -4 *25865:A0 *5657:125 0.000221216 -5 *25865:A0 *5694:19 0.000491314 -6 *25865:A0 *5712:17 5.33005e-05 -7 *25865:A0 *5741:106 2.2628e-05 -8 *28311:CLK *25865:A0 8.40933e-05 -9 *400:14 *25865:A0 2.87555e-06 -10 *1886:18 *25865:A0 0.000260152 -11 *3688:31 *25865:A0 3.06673e-05 -12 *3723:8 *25865:A0 0.000254739 -13 *5076:19 *25865:A0 9.25014e-06 -*RES -1 *29330:X *25865:A0 40.6 -*END - -*D_NET *5101 0.000801189 -*CONN -*I *28310:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29331:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28310:D 0.000238905 -2 *29331:X 0.000238905 -3 *28310:D *5712:17 9.58126e-05 -4 *29331:A *28310:D 9.54301e-05 -5 *3479:8 *28310:D 9.39125e-05 -6 *5076:19 *28310:D 3.82242e-05 -*RES -1 *29331:X *28310:D 31.1179 -*END - -*D_NET *5102 0.00127765 -*CONN -*I *26920:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29332:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26920:A1 0.000483366 -2 *29332:X 0.000483366 -3 *26920:A1 *26920:A0 0.000181796 -4 *26920:S *26920:A1 0.000129123 -*RES -1 *29332:X *26920:A1 24.8857 -*END - -*D_NET *5103 0.00234847 -*CONN -*I *28506:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29333:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28506:D 0.000703456 -2 *29333:X 0.000703456 -3 *28506:D *28506:SET_B 0.00012401 -4 *28506:D *5729:163 3.57163e-05 -5 *28506:D *5935:30 7.80929e-05 -6 *29333:A *28506:D 0 -7 *3578:21 *28506:D 0.000458393 -8 *4350:103 *28506:D 0.000245349 -*RES -1 *29333:X *28506:D 41.7786 -*END - -*D_NET *5104 0.00145225 -*CONN -*I *27019:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29334:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27019:A0 0.000172272 -2 *29334:X 0.000172272 -3 *27019:A0 *6504:DIODE 0.00021846 -4 *1490:17 *27019:A0 0.000220108 -5 *1607:8 *27019:A0 0.00033533 -6 *3299:14 *27019:A0 0.000333808 -*RES -1 *29334:X *27019:A0 34.1536 -*END - -*D_NET *5105 0.00268263 -*CONN -*I *28594:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29335:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28594:D 0.000748872 -2 *29335:X 0.000748872 -3 *28594:D *28594:SET_B 0.000810666 -4 *28594:CLK *28594:D 0.00015573 -5 *29334:A *28594:D 4.66374e-05 -6 *3751:30 *28594:D 0.000171857 -*RES -1 *29335:X *28594:D 33.4125 -*END - -*D_NET *5106 0.0010664 -*CONN -*I *25895:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29336:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25895:A0 0.000202107 -2 *29336:X 0.000202107 -3 *25895:A0 *25895:A1 0.000148215 -4 *25895:A0 *5688:158 0.000196635 -5 *25895:A0 *6036:52 0.000148196 -6 *25895:S *25895:A0 5.33005e-05 -7 *28347:CLK *25895:A0 9.41642e-05 -8 *3948:64 *25895:A0 2.16719e-05 -*RES -1 *29336:X *25895:A0 32.9571 -*END - -*D_NET *5107 0.00198459 -*CONN -*I *30836:A I *D sky130_fd_sc_hd__buf_12 -*I *24846:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *30836:A 0.000527163 -2 *24846:Y 0.000527163 -3 *30836:A *26932:A0 9.41642e-05 -4 *30836:A *5461:28 0.000337637 -5 *30836:A *5824:306 8.36572e-05 -6 *26968:S *30836:A 1.58163e-05 -7 *3705:62 *30836:A 6.20601e-05 -8 *4147:97 *30836:A 0.00033693 -*RES -1 *24846:Y *30836:A 37.0286 -*END - -*D_NET *5108 0.00179182 -*CONN -*I *28345:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29337:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28345:D 0.000387361 -2 *29337:X 0.000387361 -3 *28345:D *5719:32 0.000529528 -4 *28345:D *6353:12 0.000162813 -5 *25895:S *28345:D 0.000218734 -6 *28345:CLK *28345:D 5.75147e-05 -7 *3656:116 *28345:D 4.85033e-05 -*RES -1 *29337:X *28345:D 37.8143 -*END - -*D_NET *5109 0.00180277 -*CONN -*I *27037:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29338:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27037:A1 0.000248031 -2 *29338:X 0.000248031 -3 *27037:A1 *26827:B 0.000132165 -4 *27037:A1 *30786:A 9.41642e-05 -5 *27037:S *27037:A1 5.33005e-05 -6 *1227:42 *27037:A1 0.000315871 -7 *1263:50 *27037:A1 0.000316953 -8 *2859:35 *27037:A1 0.000394252 -*RES -1 *29338:X *27037:A1 35.7964 -*END - -*D_NET *5110 0.00159187 -*CONN -*I *28610:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29339:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28610:D 0.000452839 -2 *29339:X 0.000452839 -3 *28610:D *5657:38 1.90936e-05 -4 *27037:S *28610:D 0 -5 *29339:A *28610:D 1.54231e-05 -6 *1276:21 *28610:D 0.000303961 -7 *1547:36 *28610:D 0.000347716 -8 *3875:63 *28610:D 0 -*RES -1 *29339:X *28610:D 35.0821 -*END - -*D_NET *5111 0.00591689 -*CONN -*I *25883:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29341:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25883:A0 0 -2 *29341:X 0.000825254 -3 *5111:13 0.000825254 -4 *5111:13 *25883:A1 5.71472e-05 -5 *5111:13 *25928:A0 0.000180777 -6 *5111:13 *5669:43 1.39841e-05 -7 *5111:13 *5697:23 0.000176669 -8 *5111:13 *5824:5 0.000679989 -9 *5111:13 *5833:40 0.000182019 -10 *25883:S *5111:13 0.00057304 -11 *29801:A *5111:13 0.000444063 -12 *30131:A *5111:13 0.000635507 -13 *1464:105 *5111:13 0.000252721 -14 *1871:20 *5111:13 0.000539782 -15 *1889:5 *5111:13 0.000501154 -16 *3767:8 *5111:13 2.29088e-05 -17 *4087:20 *5111:13 6.62299e-06 -*RES -1 *29341:X *5111:13 45.1214 -2 *5111:13 *25883:A0 9.3 -*END - -*D_NET *5112 0.00299321 -*CONN -*I *28335:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29342:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28335:D 0.000485143 -2 *29342:X 0.000485143 -3 *28335:D *29479:A 9.80173e-05 -4 *28335:D *5250:7 0.000136958 -5 *28335:CLK *28335:D 0.000108535 -6 *29342:A *28335:D 0.000112829 -7 *29749:A *28335:D 0.000295908 -8 *1871:24 *28335:D 0.00127067 -*RES -1 *29342:X *28335:D 33.3857 -*END - -*D_NET *5113 0.00245303 -*CONN -*I *25665:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29343:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25665:A1 0.000694779 -2 *29343:X 0.000694779 -3 *25665:A1 *27100:A0 0.000341276 -4 *29343:A *25665:A1 2.59355e-05 -5 *3145:14 *25665:A1 0.000266085 -6 *3168:54 *25665:A1 9.20635e-06 -7 *3215:112 *25665:A1 9.58181e-05 -8 *3662:30 *25665:A1 0.000270236 -9 *3931:17 *25665:A1 5.49166e-05 -*RES -1 *29343:X *25665:A1 38.2964 -*END - -*D_NET *5114 0.00131172 -*CONN -*I *28155:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29344:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28155:D 0.000450711 -2 *29344:X 0.000450711 -3 *28155:D *5744:48 8.55871e-05 -4 *29344:A *28155:D 0.000154142 -5 *2882:64 *28155:D 8.55871e-05 -6 *3168:54 *28155:D 2.30641e-05 -7 *3779:59 *28155:D 6.19181e-05 -*RES -1 *29344:X *28155:D 34.4214 -*END - -*D_NET *5115 0.00247961 -*CONN -*I *25784:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29345:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25784:A0 0.000925041 -2 *29345:X 0.000925041 -3 *25784:A0 *25128:A 6.05161e-06 -4 *25784:A0 *28303:RESET_B 0.000175892 -5 *25784:A0 *5669:85 9.22103e-06 -6 *25784:A0 *5669:101 2.77258e-05 -7 *25784:A0 *5715:137 6.12335e-05 -8 *25128:B *25784:A0 0.00019785 -9 *4090:34 *25784:A0 7.5779e-05 -10 *4101:22 *25784:A0 7.5779e-05 -*RES -1 *29345:X *25784:A0 39.0286 -*END - -*D_NET *5116 0.00085606 -*CONN -*I *28245:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29346:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28245:D 0.000174042 -2 *29346:X 0.000174042 -3 *28245:D *5709:112 3.2534e-05 -4 *30446:A *28245:D 2.84026e-05 -5 *3551:10 *28245:D 0.000102545 -6 *3553:17 *28245:D 7.9399e-05 -7 *3740:31 *28245:D 0.000265094 -*RES -1 *29346:X *28245:D 32.4929 -*END - -*D_NET *5117 0.000686934 -*CONN -*I *26956:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29347:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26956:A1 0.000311057 -2 *29347:X 0.000311057 -3 *26956:A1 *28980:A 9.59532e-06 -4 *26956:A1 *5689:30 0 -5 *26956:A1 *5879:195 0 -6 *3578:56 *26956:A1 5.52238e-05 -7 *4141:9 *26956:A1 0 -8 *4141:21 *26956:A1 0 -*RES -1 *29347:X *26956:A1 32.2071 -*END - -*D_NET *5118 0.00301976 -*CONN -*I *30837:A I *D sky130_fd_sc_hd__buf_12 -*I *24845:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *30837:A 0.000746648 -2 *24845:Y 0.000746648 -3 *30837:A *28193:D 0.00038021 -4 *30837:A *5800:119 0.000103267 -5 *30837:A *5824:306 0.000103974 -6 *30837:A *6243:9 0.000339346 -7 *25719:S *30837:A 1.56e-05 -8 *29941:A *30837:A 0.000286467 -9 *1857:17 *30837:A 4.61529e-05 -10 *2769:66 *30837:A 0.000125724 -11 *4147:97 *30837:A 0.000125724 -*RES -1 *24845:Y *30837:A 49.8321 -*END - -*D_NET *5119 0.00246418 -*CONN -*I *28538:D I *D sky130_fd_sc_hd__dfstp_2 -*I *29348:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28538:D 0.000880888 -2 *29348:X 0.000880888 -3 *28538:D *6036:107 7.75022e-05 -4 *28538:CLK *28538:D 0.000505674 -5 *28903:A *28538:D 9.58181e-05 -6 *4141:21 *28538:D 2.34087e-05 -*RES -1 *29348:X *28538:D 40.2607 -*END - -*D_NET *5120 0.00145464 -*CONN -*I *27127:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29349:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27127:A1 0.000397079 -2 *29349:X 0.000397079 -3 *27127:A1 *29004:A 0.000150198 -4 *27127:A1 *29349:A 0.000324734 -5 *27127:A1 *5763:154 0.000185545 -6 *29452:A *27127:A1 0 -7 *4141:21 *27127:A1 0 -*RES -1 *29349:X *27127:A1 36.6893 -*END - -*D_NET *5121 0.00218548 -*CONN -*I *27073:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29350:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27073:A1 0.000653215 -2 *29350:X 0.000653215 -3 *27073:A1 *27073:A0 0.000175892 -4 *27073:A1 *5196:78 9.41642e-05 -5 *27073:S *27073:A1 4.43256e-05 -6 *29350:A *27073:A1 0.000213996 -7 *29352:A *27073:A1 6.35826e-05 -8 *2883:35 *27073:A1 0.000109268 -9 *2894:44 *27073:A1 0.000177821 -*RES -1 *29350:X *27073:A1 29.8143 -*END - -*D_NET *5122 0.00202208 -*CONN -*I *28642:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29352:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28642:D 0.000615924 -2 *29352:X 0.000615924 -3 *28642:D *28642:SET_B 4.27935e-05 -4 *28642:D *5505:42 2.32537e-05 -5 *28642:D *5873:164 8.69631e-05 -6 *1182:32 *28642:D 0.000154306 -7 *2780:43 *28642:D 0.00034188 -8 *3844:41 *28642:D 0.000141039 -*RES -1 *29352:X *28642:D 38.7071 -*END - -*D_NET *5123 0.00357347 -*CONN -*I *26947:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29353:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26947:A0 0.000837448 -2 *29353:X 0.000837448 -3 *26947:A0 *5704:6 0.000195562 -4 *26947:A0 *5914:64 0.000401939 -5 *26947:A0 *6280:6 0.000178809 -6 *29353:A *26947:A0 9.46929e-05 -7 *29354:A *26947:A0 5.04589e-05 -8 *2766:88 *26947:A0 7.60799e-05 -9 *2766:93 *26947:A0 0.000273449 -10 *4102:12 *26947:A0 6.53688e-05 -11 *4133:9 *26947:A0 0.000562213 -*RES -1 *29353:X *26947:A0 46.5643 -*END - -*D_NET *5124 0.000812825 -*CONN -*I *28530:D I *D sky130_fd_sc_hd__dfstp_2 -*I *29354:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28530:D 0.000251226 -2 *29354:X 0.000251226 -3 *28530:D *28530:SET_B 7.03431e-05 -4 *28530:D *5832:46 5.57914e-05 -5 *28530:D *5833:200 5.57914e-05 -6 *2766:88 *28530:D 5.52302e-05 -7 *4246:11 *28530:D 7.32173e-05 -*RES -1 *29354:X *28530:D 33.3679 -*END - -*D_NET *5125 0.0133522 -*CONN -*I *25754:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29355:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25754:A0 0.00123013 -2 *29355:X 0.00182907 -3 *5125:19 0.0030592 -4 *25754:A0 *25754:A1 9.60939e-05 -5 *25754:A0 *27527:A2 9.77423e-05 -6 *25754:A0 *5710:206 0.000482179 -7 *25754:A0 *6225:27 0.00185082 -8 *25754:A0 *6225:48 6.8445e-06 -9 *5125:19 *25617:A0 0.000105537 -10 *5125:19 *5685:205 0 -11 *5125:19 *5710:166 0.00126813 -12 *5125:19 *5710:183 1.04048e-05 -13 *25399:B1 *25754:A0 0.000192776 -14 *28019:A1 *5125:19 0 -15 *28369:CLK *5125:19 0.000162886 -16 *29359:A *5125:19 0.000698937 -17 *1263:122 *5125:19 9.77021e-06 -18 *1471:56 *5125:19 7.32505e-05 -19 *3568:49 *5125:19 0.000342476 -20 *3806:8 *5125:19 0.00015383 -21 *3806:25 *5125:19 9.25014e-06 -22 *3892:33 *25754:A0 0 -23 *3893:52 *5125:19 0 -24 *4257:15 *5125:19 0.000847482 -25 *5011:12 *25754:A0 0.000134527 -26 *5052:46 *5125:19 0.000690917 -*RES -1 *29355:X *5125:19 47.9087 -2 *5125:19 *25754:A0 40.8357 -*END - -*D_NET *5126 0.00686558 -*CONN -*I *28220:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29356:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28220:D 0.000128956 -2 *29356:X 0.00205668 -3 *5126:11 0.00218564 -4 *28220:D *28221:RESET_B 7.96919e-05 -5 *5126:11 *28836:RESET_B 8.94268e-05 -6 *5126:11 *5484:64 0.000141368 -7 *5126:11 *5624:94 0.000222814 -8 *5126:11 *5705:139 0.000816923 -9 *25755:A0 *28220:D 9.65161e-05 -10 *28836:D *5126:11 0.000352657 -11 *29176:A *5126:11 4.46186e-06 -12 *29356:A *5126:11 0.000243755 -13 *1471:43 *28220:D 2.18863e-05 -14 *3651:7 *5126:11 5.33005e-05 -15 *3651:8 *5126:11 1.55485e-05 -16 *3651:37 *5126:11 5.67819e-05 -17 *3651:44 *5126:11 0.000194065 -18 *3651:57 *5126:11 1.0945e-05 -19 *3910:14 *5126:11 9.41642e-05 -*RES -1 *29356:X *5126:11 49.675 -2 *5126:11 *28220:D 20.8536 -*END - -*D_NET *5127 0.00120178 -*CONN -*I *27145:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29357:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27145:A1 0.000148844 -2 *29357:X 0.000148844 -3 *27145:A1 *28550:RESET_B 0.000453262 -4 *27145:A1 *5715:32 0.000450825 -*RES -1 *29357:X *27145:A1 33.4929 -*END - -*D_NET *5128 0.00213351 -*CONN -*I *28706:D I *D sky130_fd_sc_hd__dfstp_2 -*I *29358:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28706:D 0.000604938 -2 *29358:X 0.000604938 -3 *28706:D *5651:410 4.58194e-05 -4 *28706:D *5833:191 0.000120975 -5 *27661:A1 *28706:D 0.000630452 -6 *3680:19 *28706:D 3.69047e-06 -7 *4135:18 *28706:D 0.000122697 -*RES -1 *29358:X *28706:D 36.475 -*END - -*D_NET *5129 0.0344054 -*CONN -*I *25031:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30670:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *25031:A1 0.000480745 -2 *30670:X 0.00129849 -3 *5129:38 0.00143685 -4 *5129:32 0.00281058 -5 *5129:30 0.0025474 -6 *5129:18 0.00199142 -7 *5129:18 *27215:B 1.90936e-05 -8 *5129:18 *5747:21 0.000229977 -9 *5129:18 *5753:28 0.000570218 -10 *5129:18 *6191:10 0.000157606 -11 *5129:18 *6191:17 0.000453768 -12 *5129:18 *6380:99 5.14352e-05 -13 *5129:30 *5667:244 0.000551812 -14 *5129:32 *28128:RESET_B 0.000266092 -15 *5129:32 *5656:28 0.00196053 -16 *5129:32 *5667:244 0.00052602 -17 *24972:B1 *5129:32 1.5942e-05 -18 *24974:C1 *5129:18 0.000236436 -19 *24985:A2 *5129:30 0.00113684 -20 *24997:B *5129:32 0.000346371 -21 *25018:A2 *5129:30 0.000216755 -22 *25018:C1 *5129:18 7.31473e-05 -23 *25031:A2 *25031:A1 3.51442e-05 -24 *27226:B1 *5129:18 9.51384e-05 -25 *27230:A *5129:18 4.22135e-06 -26 *27483:B1 *5129:18 4.28249e-05 -27 *27794:B1 *5129:38 0.000515024 -28 *27815:A2 *25031:A1 6.46107e-05 -29 *30670:A *5129:18 0.000222666 -30 *30705:A *5129:18 4.27437e-05 -31 *12:20 *5129:18 7.30957e-06 -32 *26:17 *5129:18 6.30931e-05 -33 *39:39 *5129:18 6.15316e-05 -34 *40:40 *5129:18 0.000123529 -35 *1103:24 *5129:18 6.53937e-05 -36 *1104:16 *5129:18 0.000146721 -37 *1273:265 *5129:30 0.000218679 -38 *1322:12 *5129:32 0.00370106 -39 *1322:12 *5129:38 6.62473e-05 -40 *1371:11 *5129:18 0.000158552 -41 *1624:16 *5129:18 0.000236796 -42 *1624:26 *5129:30 0.000562716 -43 *2797:19 *5129:18 8.44287e-05 -44 *2803:20 *5129:18 1.39695e-05 -45 *2805:40 *5129:18 0.000343517 -46 *2864:103 *5129:38 0.000128154 -47 *3041:8 *5129:18 0.000529853 -48 *3163:40 *5129:32 0.00110816 -49 *3164:26 *5129:38 0.000292701 -50 *3164:214 *5129:38 0.000786254 -51 *3170:191 *25031:A1 0.000140451 -52 *3206:189 *25031:A1 0.000172769 -53 *3340:19 *25031:A1 0.000124816 -54 *3340:19 *5129:38 0.000135968 -55 *3735:58 *5129:38 0.000589053 -56 *3943:20 *5129:30 0.00024076 -57 *3943:20 *5129:32 0.000809857 -58 *3943:20 *5129:38 0.00168915 -59 *3943:24 *25031:A1 0.00127142 -60 *3943:24 *5129:38 5.82596e-05 -61 *4085:73 *25031:A1 0.00043974 -62 *4085:73 *5129:38 0.00134928 -63 *4085:87 *5129:38 0.00022075 -64 *4463:19 *5129:18 6.46107e-05 -*RES -1 *30670:X *5129:18 49.1211 -2 *5129:18 *5129:30 30.7589 -3 *5129:30 *5129:32 64.7411 -4 *5129:32 *5129:38 38.6875 -5 *5129:38 *25031:A1 30.4696 -*END - -*D_NET *5130 0.00226994 -*CONN -*I *30838:A I *D sky130_fd_sc_hd__buf_12 -*I *24844:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *30838:A 0.00055414 -2 *24844:Y 0.00055414 -3 *30838:A *5461:28 0.000520807 -4 *2761:184 *30838:A 0.000451822 -5 *4069:17 *30838:A 1.04745e-05 -6 *4069:186 *30838:A 0.000145239 -7 *4147:95 *30838:A 3.33175e-05 -*RES -1 *24844:Y *30838:A 38.6357 -*END - -*D_NET *5131 0.00341661 -*CONN -*I *25760:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29359:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25760:A0 0.00060372 -2 *29359:X 0.00060372 -3 *25760:A0 *29171:A 0.000432316 -4 *25760:A0 *5733:90 2.65658e-05 -5 *25106:B *25760:A0 0.000354565 -6 *28019:A1 *25760:A0 9.41642e-05 -7 *29442:A *25760:A0 9.58126e-05 -8 *30570:A *25760:A0 0.000650941 -9 *568:21 *25760:A0 2.77173e-05 -10 *1263:122 *25760:A0 4.51726e-05 -11 *3568:59 *25760:A0 8.71066e-05 -12 *4261:17 *25760:A0 0.000348474 -13 *5052:46 *25760:A0 4.63368e-05 -*RES -1 *29359:X *25760:A0 45.9929 -*END - -*D_NET *5132 0.00287739 -*CONN -*I *28225:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29360:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28225:D 0.000762165 -2 *29360:X 0.000762165 -3 *28225:D *25106:A 0.000136958 -4 *28225:D *25759:B 0.000177821 -5 *28225:D *29015:A 9.59532e-06 -6 *28225:D *5619:76 7.48301e-06 -7 *568:21 *28225:D 0.000179434 -8 *569:11 *28225:D 8.47881e-05 -9 *627:15 *28225:D 3.7044e-05 -10 *1427:7 *28225:D 0.00018372 -11 *3653:15 *28225:D 6.26276e-05 -12 *3653:17 *28225:D 0.000203306 -13 *3653:22 *28225:D 9.76689e-05 -14 *3806:25 *28225:D 6.86036e-05 -15 *4261:17 *28225:D 9.25014e-06 -16 *4950:25 *28225:D 5.71472e-05 -17 *4951:17 *28225:D 7.69776e-06 -18 *5002:18 *28225:D 2.99151e-05 -*RES -1 *29360:X *28225:D 43.2071 -*END - -*D_NET *5133 0.00239658 -*CONN -*I *25877:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29361:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25877:A0 0.000392607 -2 *29361:X 0.000392607 -3 *25877:A0 *28717:RESET_B 2.11419e-05 -4 *25877:A0 *5253:44 2.25652e-05 -5 *25775:A1 *25877:A0 0.000384814 -6 *28066:RESET_B *25877:A0 0.00010096 -7 *1396:64 *25877:A0 9.7295e-05 -8 *1872:31 *25877:A0 2.21972e-05 -9 *3749:18 *25877:A0 0.000164592 -10 *3831:83 *25877:A0 9.38754e-05 -11 *4065:91 *25877:A0 0.000393514 -12 *4065:107 *25877:A0 5.49166e-05 -13 *4113:36 *25877:A0 0.000255497 -*RES -1 *29361:X *25877:A0 38.5643 -*END - -*D_NET *5134 0.00131185 -*CONN -*I *28330:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29363:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28330:D 0.000286634 -2 *29363:X 0.000286634 -3 *28330:D *5693:82 7.40571e-05 -4 *28330:D *5712:30 0.000170968 -5 *3196:143 *28330:D 7.47029e-06 -6 *3711:34 *28330:D 0.000110146 -7 *4065:91 *28330:D 0.000149428 -8 *4194:111 *28330:D 0.000226513 -*RES -1 *29363:X *28330:D 33.5464 -*END - -*D_NET *5135 0.00277217 -*CONN -*I *25823:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29364:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25823:A0 0.000948911 -2 *29364:X 0.000948911 -3 *25429:A3 *25823:A0 0.000680001 -4 *25650:A0 *25823:A0 2.15442e-05 -5 *25823:S *25823:A0 6.34485e-05 -6 *1738:106 *25823:A0 1.32552e-05 -7 *1829:41 *25823:A0 9.60939e-05 -*RES -1 *29364:X *25823:A0 31.3857 -*END - -*D_NET *5136 0.00513156 -*CONN -*I *28279:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29365:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28279:D 0 -2 *29365:X 0.00106483 -3 *5136:11 0.00106483 -4 *5136:11 *25824:A1 6.09762e-05 -5 *5136:11 *28279:RESET_B 0.000154354 -6 *5136:11 *5253:28 0.000430612 -7 *5136:11 *5545:59 0.000219711 -8 *5136:11 *5742:102 0.000530532 -9 *25657:A0 *5136:11 6.05161e-06 -10 *25824:A0 *5136:11 1.721e-05 -11 *28066:RESET_B *5136:11 3.2687e-05 -12 *30282:A *5136:11 9.25014e-06 -13 *1740:26 *5136:11 0.000105559 -14 *4127:14 *5136:11 0.00014876 -15 *4127:75 *5136:11 0.000131486 -16 *4546:20 *5136:11 0.000508068 -17 *4560:14 *5136:11 0.000646635 -*RES -1 *29365:X *5136:11 42.9786 -2 *5136:11 *28279:D 9.3 -*END - -*D_NET *5137 0.0025202 -*CONN -*I *25871:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29366:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25871:A1 0.000678844 -2 *29366:X 0.000678844 -3 *25871:A1 *5778:39 3.52562e-05 -4 *25546:A0 *25871:A1 1.89507e-06 -5 *25871:S *25871:A1 0.000123288 -6 *25945:A0 *25871:A1 0.00010096 -7 *1868:31 *25871:A1 0 -8 *3830:15 *25871:A1 3.23658e-05 -9 *3944:25 *25871:A1 5.33334e-05 -10 *3945:23 *25871:A1 0.000815415 -*RES -1 *29366:X *25871:A1 38.4214 -*END - -*D_NET *5138 0.00177925 -*CONN -*I *28325:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29367:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28325:D 0.000360965 -2 *29367:X 0.000360965 -3 *28325:D *28039:B 0 -4 *28325:D *28146:RESET_B 0.000126639 -5 *28325:CLK *28325:D 0.000267377 -6 *28885:A *28325:D 0.00031348 -7 *30519:A *28325:D 0 -8 *1827:11 *28325:D 0 -9 *3945:23 *28325:D 0.000349819 -*RES -1 *29367:X *28325:D 37.9571 -*END - -*D_NET *5139 0.00269072 -*CONN -*I *25653:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29368:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25653:A0 0.000421363 -2 *29368:X 0.000421363 -3 *25653:A0 *30505:A 0.000126439 -4 *25654:A0 *25653:A0 0.000226513 -5 *29287:A *25653:A0 0.000392923 -6 *29368:A *25653:A0 0.000195291 -7 *1844:66 *25653:A0 9.63838e-05 -8 *1862:36 *25653:A0 7.48549e-05 -9 *1864:70 *25653:A0 0.000735591 -*RES -1 *29368:X *25653:A0 40.9571 -*END - -*D_NET *5140 0.00384465 -*CONN -*I *28145:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29369:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28145:D 0.0012171 -2 *29369:X 0.0012171 -3 *28145:D *5304:7 0.000476287 -4 *28213:D *28145:D 0.00038907 -5 *29585:A *28145:D 4.13496e-05 -6 *30506:A *28145:D 0.000402914 -7 *1430:50 *28145:D 0.000100831 -*RES -1 *29369:X *28145:D 44.2607 -*END - -*D_NET *5141 0.00120343 -*CONN -*I *30839:A I *D sky130_fd_sc_hd__buf_12 -*I *24843:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *30839:A 0.000356256 -2 *24843:Y 0.000356256 -3 *30839:A *28589:RESET_B 5.33005e-05 -4 *30839:A *5773:109 0.000125724 -5 *28589:D *30839:A 5.33005e-05 -6 *3744:5 *30839:A 7.95716e-05 -7 *4147:95 *30839:A 0.000125724 -8 *4511:19 *30839:A 5.33005e-05 -*RES -1 *24843:Y *30839:A 33.0643 -*END - -*D_NET *5142 0.00245901 -*CONN -*I *25641:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29370:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25641:A1 0.000731603 -2 *29370:X 0.000731603 -3 *25641:A1 *25642:A0 5.33005e-05 -4 *25642:S *25641:A1 0.000238779 -5 *29370:A *25641:A1 0.000135028 -6 *29371:A *25641:A1 0.000344835 -7 *3944:25 *25641:A1 0.000129694 -8 *3960:28 *25641:A1 9.41642e-05 -*RES -1 *29370:X *25641:A1 30.6357 -*END - -*D_NET *5143 0.00205716 -*CONN -*I *28135:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29371:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28135:D 0.000564538 -2 *29371:X 0.000564538 -3 *28135:D *6036:38 0.000126587 -4 *3841:18 *28135:D 0.000676624 -5 *4153:48 *28135:D 0.000124873 -*RES -1 *29371:X *28135:D 35.9393 -*END - -*D_NET *5144 0.00256535 -*CONN -*I *25835:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29372:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25835:A0 0.000989405 -2 *29372:X 0.000989405 -3 *25835:A0 *25835:A1 1.39841e-05 -4 *25835:A0 *27860:A2 2.48548e-05 -5 *25835:S *25835:A0 2.89016e-05 -6 *27495:A1 *25835:A0 3.25386e-05 -7 *27860:A1 *25835:A0 1.60105e-05 -8 *27860:C1 *25835:A0 2.59355e-05 -9 *1476:103 *25835:A0 1.21258e-05 -10 *1899:39 *25835:A0 2.11043e-05 -11 *2789:27 *25835:A0 7.55769e-05 -12 *3454:18 *25835:A0 6.43445e-05 -13 *3739:56 *25835:A0 5.41797e-06 -14 *3749:54 *25835:A0 0.000219289 -15 *4113:51 *25835:A0 4.64547e-05 -*RES -1 *29372:X *25835:A0 39.4393 -*END - -*D_NET *5145 0.00184341 -*CONN -*I *28289:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29374:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28289:D 0.00046197 -2 *29374:X 0.00046197 -3 *28289:D *28289:RESET_B 4.87235e-05 -4 *28289:D *5458:24 9.67334e-06 -5 *3414:33 *28289:D 0.000431748 -6 *3815:59 *28289:D 0.000429326 -*RES -1 *29374:X *28289:D 36.658 -*END - -*D_NET *5146 0.00122189 -*CONN -*I *27100:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29375:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27100:A1 0.000273831 -2 *29375:X 0.000273831 -3 *27100:A1 *27100:S 9.0145e-05 -4 *27100:A1 *5873:175 0.000162863 -5 *29375:A *27100:A1 5.33005e-05 -6 *1648:17 *27100:A1 4.22349e-05 -7 *3881:30 *27100:A1 0.000149797 -8 *4022:94 *27100:A1 0.000175892 -*RES -1 *29375:X *27100:A1 24.8857 -*END - -*D_NET *5147 0.00149243 -*CONN -*I *28666:D I *D sky130_fd_sc_hd__dfstp_2 -*I *29376:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28666:D 0.000343354 -2 *29376:X 0.000343354 -3 *28666:D *27100:A0 4.50149e-05 -4 *28666:D *28666:SET_B 6.41688e-05 -5 *1239:14 *28666:D 0.000304617 -6 *1252:26 *28666:D 1.54193e-05 -7 *2882:53 *28666:D 0.000219711 -8 *2883:39 *28666:D 4.82865e-05 -9 *3881:30 *28666:D 7.55747e-05 -10 *3971:59 *28666:D 3.29284e-05 -*RES -1 *29376:X *28666:D 34.7964 -*END - -*D_NET *5148 0.00281003 -*CONN -*I *25949:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29377:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25949:A0 0.000720483 -2 *29377:X 0.000720483 -3 *25949:A0 *25951:A1 0.000197337 -4 *25949:A0 *5506:24 0.000134884 -5 *25949:A0 *5624:63 2.06178e-05 -6 *25949:A0 *5711:94 5.52302e-05 -7 *25400:A2 *25949:A0 1.90936e-05 -8 *1659:10 *25949:A0 0.000507492 -9 *2798:68 *25949:A0 0.000434412 -*RES -1 *29377:X *25949:A0 41.225 -*END - -*D_NET *5149 0.00841251 -*CONN -*I *28390:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29378:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28390:D 0.000989325 -2 *29378:X 0.000637421 -3 *5149:22 0.00162675 -4 *28390:D *25600:A1 0.000222583 -5 *28390:D *25612:A1 0.000132862 -6 *28390:D *25937:A1 1.38323e-05 -7 *28390:D *27593:A2 0.000925986 -8 *28390:D *5166:23 0.000313891 -9 *28390:D *5691:190 4.87854e-05 -10 *28390:D *5698:165 8.61109e-05 -11 *28390:D *5698:179 0.000136958 -12 *28390:D *5711:112 0.000392019 -13 *5149:22 *27593:A2 0 -14 *5149:22 *28106:D 6.65886e-05 -15 *5149:22 *5485:102 2.0449e-05 -16 *5149:22 *5520:48 0.000130765 -17 *5149:22 *5599:158 1.2012e-05 -18 *5149:22 *5680:320 1.19309e-05 -19 *25400:B2 *5149:22 1.20504e-05 -20 *25608:S *28390:D 0.00018077 -21 *27869:B2 *28390:D 0.000292604 -22 *28098:CLK *28390:D 5.33005e-05 -23 *29386:A *5149:22 2.79421e-05 -24 *450:10 *28390:D 4.19535e-05 -25 *473:29 *5149:22 0.000346305 -26 *1139:12 *28390:D 2.09897e-05 -27 *1616:23 *28390:D 4.75956e-06 -28 *1824:38 *28390:D 6.05161e-06 -29 *1824:40 *28390:D 5.52302e-05 -30 *1824:42 *28390:D 0.000345251 -31 *3145:32 *28390:D 0.000221992 -32 *3648:75 *28390:D 3.63775e-05 -33 *4010:21 *5149:22 0.000134811 -34 *4371:19 *5149:22 0.000360833 -35 *4372:35 *5149:22 3.454e-05 -36 *4617:23 *5149:22 0.000468476 -*RES -1 *29378:X *5149:22 41.2215 -2 *5149:22 *28390:D 41.6798 -*END - -*D_NET *5150 0.0011705 -*CONN -*I *25889:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29379:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25889:A0 0.000445719 -2 *29379:X 0.000445719 -3 *25889:A0 *25889:A1 5.49995e-05 -4 *25889:A0 *5583:71 6.32597e-05 -5 *25099:B1 *25889:A0 3.42899e-05 -6 *25889:S *25889:A0 1.21258e-05 -7 *27882:B2 *25889:A0 1.58163e-05 -8 *1607:8 *25889:A0 7.52065e-05 -9 *3205:43 *25889:A0 2.33614e-05 -*RES -1 *29379:X *25889:A0 33.2786 -*END - -*D_NET *5151 0.00308547 -*CONN -*I *28340:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29380:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28340:D 0.00082642 -2 *29380:X 0.00082642 -3 *28340:D *25889:A1 6.05161e-06 -4 *28340:D *5711:193 9.26121e-05 -5 *28340:D *5861:69 0.000113775 -6 *28340:CLK *28340:D 0.000396491 -7 *1237:17 *28340:D 2.18021e-05 -8 *3156:59 *28340:D 0.000801901 -*RES -1 *29380:X *28340:D 41.1893 -*END - -*D_NET *5152 0.00179452 -*CONN -*I *30840:A I *D sky130_fd_sc_hd__buf_12 -*I *24842:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *30840:A 0.00051741 -2 *24842:Y 0.00051741 -3 *30840:A *26883:B 0.000319316 -4 *30840:A *5716:141 0.000317594 -5 *27040:S *30840:A 9.3111e-05 -6 *30170:A *30840:A 1.03968e-05 -7 *3757:102 *30840:A 1.92789e-05 -*RES -1 *24842:Y *30840:A 36.725 -*END - -*D_NET *5153 0.00688255 -*CONN -*I *25592:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29381:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25592:A0 4.15212e-05 -2 *29381:X 0.00128921 -3 *5153:8 0.00133073 -4 *25592:A0 *25592:A1 5.33005e-05 -5 *25592:A0 *5491:30 1.24368e-05 -6 *25592:A0 *5675:245 0.000135028 -7 *5153:8 *25592:A1 0.000297287 -8 *5153:8 *29381:A 0.000109739 -9 *5153:8 *5488:79 0.000109702 -10 *5153:8 *5711:94 0.000550795 -11 *25592:S *25592:A0 7.25873e-06 -12 *450:10 *5153:8 0.00176452 -13 *1327:98 *5153:8 9.82592e-05 -14 *1327:101 *5153:8 0.000383131 -15 *1498:49 *25592:A0 2.11273e-05 -16 *1616:8 *5153:8 0.000317784 -17 *1616:23 *5153:8 2.93957e-05 -18 *1722:21 *5153:8 2.06112e-05 -19 *3459:23 *5153:8 0.000222866 -20 *5052:19 *5153:8 3.45453e-05 -21 *5052:36 *5153:8 5.33005e-05 -*RES -1 *29381:X *5153:8 45.675 -2 *5153:8 *25592:A0 15.1571 -*END - -*D_NET *5154 0.00534073 -*CONN -*I *28098:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29382:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28098:D 0 -2 *29382:X 0.00128754 -3 *5154:10 0.00128754 -4 *5154:10 *25951:A1 0.000344 -5 *5154:10 *28394:RESET_B 1.46231e-05 -6 *5154:10 *5506:24 0.000148107 -7 *5154:10 *5527:10 0.000514869 -8 *5154:10 *5711:86 0.000391851 -9 *5154:10 *6346:15 6.46173e-05 -10 *25941:A0 *5154:10 0.000147121 -11 *25949:S *5154:10 0.00017603 -12 *29382:A *5154:10 8.55871e-05 -13 *451:12 *5154:10 0.000299719 -14 *1263:88 *5154:10 8.6229e-06 -15 *1471:12 *5154:10 0.000161912 -16 *3791:148 *5154:10 0.000176938 -17 *5041:21 *5154:10 0.000231645 -*RES -1 *29382:X *5154:10 46.4429 -2 *5154:10 *28098:D 9.3 -*END - -*D_NET *5155 0.00190006 -*CONN -*I *27154:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29383:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27154:A0 0.000523207 -2 *29383:X 0.000523207 -3 *27154:A0 *5711:39 5.57727e-05 -4 *3815:26 *27154:A0 0.000154762 -5 *4140:24 *27154:A0 0.000643113 -*RES -1 *29383:X *27154:A0 35.8321 -*END - -*D_NET *5156 0.000773624 -*CONN -*I *28714:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29385:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28714:D 0.000123141 -2 *29385:X 0.000123141 -3 *28714:D *28714:RESET_B 0.000111551 -4 *28714:D *5705:227 0.000117553 -5 *1362:174 *28714:D 0.000148196 -6 *1430:43 *28714:D 1.84523e-06 -7 *3806:33 *28714:D 0.000148196 -*RES -1 *29385:X *28714:D 31.725 -*END - -*D_NET *5157 0.000768556 -*CONN -*I *25937:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29386:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25937:A0 0.000269657 -2 *29386:X 0.000269657 -3 *25937:A0 *27918:A1 7.28079e-05 -4 *25937:A0 *5523:41 3.28967e-05 -5 *29386:A *25937:A0 4.58194e-05 -6 *29387:A *25937:A0 7.77171e-05 -*RES -1 *29386:X *25937:A0 31.475 -*END - -*D_NET *5158 0.00468124 -*CONN -*I *28380:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29387:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28380:D 0 -2 *29387:X 0.000976492 -3 *5158:11 0.000976492 -4 *5158:11 *28224:D 7.83232e-05 -5 *5158:11 *28383:RESET_B 4.08508e-05 -6 *5158:11 *6055:13 0.00030227 -7 *5158:11 *6055:23 0.000123489 -8 *27550:A1 *5158:11 0.000141131 -9 *27918:A2 *5158:11 0.000279633 -10 *28951:A *5158:11 7.24613e-05 -11 *472:8 *5158:11 0.00124797 -12 *3460:21 *5158:11 3.95013e-05 -13 *5052:36 *5158:11 0.000402627 -*RES -1 *29387:X *5158:11 40.7107 -2 *5158:11 *28380:D 9.3 -*END - -*D_NET *5159 0.028896 -*CONN -*I *25617:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29388:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25617:A0 0.00895695 -2 *29388:X 0.00895695 -3 *25617:A0 *28150:D 0.000589476 -4 *25617:A0 *5342:19 0.000387947 -5 *25617:A0 *5491:104 5.33005e-05 -6 *25617:A0 *5510:59 0.000703246 -7 *25617:A0 *5685:142 0.000889705 -8 *25617:A0 *5685:144 0.000123548 -9 *25617:A0 *5698:109 0.000486541 -10 *25617:A0 *5705:122 0.000104394 -11 *25617:A0 *5710:166 0.000108798 -12 *25617:A0 *6014:20 8.37661e-05 -13 *26822:S *25617:A0 0.000196363 -14 *28365:D *25617:A0 0.000253148 -15 *28368:D *25617:A0 0.000486331 -16 *28798:D *25617:A0 7.69776e-06 -17 *29180:A *25617:A0 0.000253336 -18 *29193:A *25617:A0 0.000100552 -19 *29199:A *25617:A0 0.000123742 -20 *29206:A *25617:A0 0.000119326 -21 *30031:A *25617:A0 0.000199841 -22 *460:33 *25617:A0 0.000327174 -23 *466:15 *25617:A0 0.000289866 -24 *506:24 *25617:A0 0.000334311 -25 *571:19 *25617:A0 0.000143248 -26 *699:22 *25617:A0 0.000560807 -27 *748:16 *25617:A0 3.52966e-05 -28 *759:16 *25617:A0 0.000332659 -29 *1715:18 *25617:A0 5.33005e-05 -30 *3571:53 *25617:A0 1.90936e-05 -31 *3792:33 *25617:A0 0.000253148 -32 *3793:25 *25617:A0 0.000123742 -33 *3801:21 *25617:A0 0.00026589 -34 *3884:53 *25617:A0 0.000104599 -35 *3892:18 *25617:A0 0.000380108 -36 *3893:41 *25617:A0 0.000168347 -37 *3918:83 *25617:A0 5.52302e-05 -38 *4240:37 *25617:A0 3.30259e-05 -39 *4257:15 *25617:A0 0.000108798 -40 *4276:17 *25617:A0 0.000173072 -41 *4331:27 *25617:A0 0.000153516 -42 *4900:26 *25617:A0 0.000233271 -43 *4951:17 *25617:A0 0.000189411 -44 *4965:16 *25617:A0 0.000104411 -45 *4977:22 *25617:A0 0.00019827 -46 *5011:12 *25617:A0 0.00011175 -47 *5026:35 *25617:A0 0.000287231 -48 *5078:20 *25617:A0 0.000565889 -49 *5125:19 *25617:A0 0.000105537 -*RES -1 *29388:X *25617:A0 47.856 -*END - -*D_NET *5160 0.0175252 -*CONN -*I *28114:D I *D sky130_fd_sc_hd__dfstp_2 -*I *29389:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28114:D 0 -2 *29389:X 0.00290738 -3 *5160:37 0.00211977 -4 *5160:22 0.00502715 -5 *5160:22 *26814:A0 0 -6 *5160:22 *29057:A 1.89507e-06 -7 *5160:22 *5485:52 5.41326e-05 -8 *5160:22 *5495:23 0.000451392 -9 *5160:22 *5498:34 0.00225396 -10 *5160:22 *5730:11 0 -11 *5160:22 *6014:19 6.31125e-05 -12 *5160:37 *5497:13 0.000175885 -13 *5160:37 *5497:27 0.000183726 -14 *5160:37 *5498:14 0.00127022 -15 *5160:37 *5518:33 6.35819e-05 -16 *5160:37 *5592:104 0.00049638 -17 pad_flash_io1_do *5160:22 6.3834e-05 -18 pll_dco_ena *5160:22 3.90256e-05 -19 pll_sel[1] *5160:37 0.000118052 -20 *25621:A0 *5160:37 2.37838e-05 -21 *26814:A1 *5160:22 5.05056e-05 -22 *28114:CLK *5160:37 0.000188586 -23 *29175:A *5160:22 0.000485042 -24 *30732:A *5160:22 0 -25 *181:13 *5160:37 0.000459797 -26 *182:17 *5160:37 0.000605945 -27 *750:19 *5160:22 4.64941e-05 -28 *756:16 *5160:22 7.29712e-05 -29 *768:29 *5160:22 2.38292e-05 -30 *4307:14 *5160:22 4.2985e-05 -31 *5094:20 *5160:22 0.000235794 -*RES -1 *29389:X *5160:22 49.9923 -2 *5160:22 *5160:37 48.1429 -3 *5160:37 *28114:D 9.3 -*END - -*D_NET *5161 0.00132084 -*CONN -*I *25647:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29390:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25647:A0 0.000302739 -2 *29390:X 0.000302739 -3 *25647:A0 *25647:A1 0.000561379 -4 *29391:A *25647:A0 4.35306e-05 -5 *3879:9 *25647:A0 5.52302e-05 -6 *3879:18 *25647:A0 5.52238e-05 -*RES -1 *29390:X *25647:A0 25.2964 -*END - -*D_NET *5162 0.00135978 -*CONN -*I *28140:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29391:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28140:D 0.000511191 -2 *29391:X 0.000511191 -3 *28140:D *28140:RESET_B 0.000121339 -4 *28140:D *5691:341 2.53176e-05 -5 *1427:8 *28140:D 0.000151009 -6 *3879:9 *28140:D 3.9733e-05 -*RES -1 *29391:X *28140:D 37.0643 -*END - -*D_NET *5163 0.0013542 -*CONN -*I *30841:A I *D sky130_fd_sc_hd__buf_12 -*I *24841:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *30841:A 0.000393726 -2 *24841:Y 0.000393726 -3 mgmt_gpio_oeb[17] *30841:A 4.50149e-05 -4 *25679:S *30841:A 0.000144224 -5 *3538:76 *30841:A 0.000212324 -6 *3796:177 *30841:A 0.000165188 -*RES -1 *24841:Y *30841:A 36.725 -*END - -*D_NET *5164 0.00100247 -*CONN -*I *25635:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29392:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25635:A0 0.000344248 -2 *29392:X 0.000344248 -3 *25635:A0 *25635:A1 7.83659e-05 -4 *25635:A0 *5705:22 8.00806e-05 -5 *3866:11 *25635:A0 6.86693e-05 -6 *3866:20 *25635:A0 8.68595e-05 -*RES -1 *29392:X *25635:A0 32.4571 -*END - -*D_NET *5165 0.00150878 -*CONN -*I *28130:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29393:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28130:D 0.000593278 -2 *29393:X 0.000593278 -3 *28130:D *5732:68 0.000120636 -4 *28130:D *5732:82 3.14048e-05 -5 *29190:A *28130:D 9.05288e-05 -6 *3654:137 *28130:D 5.21937e-05 -7 *3856:13 *28130:D 2.74584e-05 -*RES -1 *29393:X *28130:D 36.7429 -*END - -*D_NET *5166 0.00899 -*CONN -*I *25608:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29394:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25608:A0 0 -2 *29394:X 0.001548 -3 *5166:23 0.001548 -4 *5166:23 *25937:A1 9.86146e-05 -5 *5166:23 *27593:A2 0 -6 *5166:23 *28106:D 0.000459486 -7 *5166:23 *29394:A 0.000455949 -8 *5166:23 *5520:48 0.000466295 -9 *5166:23 *5718:88 0.00156592 -10 *25398:A2 *5166:23 0.000150398 -11 *28390:D *5166:23 0.000313891 -12 *458:10 *5166:23 5.68722e-05 -13 *461:19 *5166:23 0.000181705 -14 *462:17 *5166:23 0.000631409 -15 *473:29 *5166:23 1.09611e-05 -16 *1401:37 *5166:23 9.41642e-05 -17 *1452:27 *5166:23 0.00108809 -18 *3436:13 *5166:23 0.000153964 -19 *4381:19 *5166:23 0.000118322 -20 *5074:15 *5166:23 4.79453e-05 -*RES -1 *29394:X *5166:23 48.9048 -2 *5166:23 *25608:A0 9.3 -*END - -*D_NET *5167 0.0107177 -*CONN -*I *28106:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29397:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28106:D 0.00110245 -2 *29397:X 0.00108749 -3 *5167:14 0.00218995 -4 *28106:D *29394:A 0.000182707 -5 *28106:D *5521:22 6.27272e-06 -6 *28106:D *5521:73 5.73984e-05 -7 *28106:D *5524:14 8.58349e-05 -8 *28106:D *5524:23 0.000254606 -9 *28106:D *6385:56 1.78394e-05 -10 *5167:14 *5522:52 0.000177461 -11 *5167:14 *5619:39 0.000838577 -12 pll_trim[8] *28106:D 1.55755e-05 -13 *27853:B2 *5167:14 0.000139243 -14 *28811:D *28106:D 7.48409e-06 -15 *29266:A *5167:14 0.000135028 -16 *29397:A *5167:14 0.000339346 -17 *244:20 *28106:D 0.00025489 -18 *473:29 *28106:D 0.00102968 -19 *1898:8 *5167:14 0.000515834 -20 *1898:10 *5167:14 0.000111276 -21 *1898:17 *28106:D 9.54798e-06 -22 *1898:17 *5167:14 0.000247043 -23 *3663:42 *5167:14 0.000234031 -24 *4009:12 *28106:D 4.06089e-05 -25 *4009:12 *5167:14 0.000194117 -26 *4009:31 *5167:14 0.000678218 -27 *4372:19 *5167:14 0.00023915 -28 *4372:35 *28106:D 0 -29 *5149:22 *28106:D 6.65886e-05 -30 *5166:23 *28106:D 0.000459486 -*RES -1 *29397:X *5167:14 42.8893 -2 *5167:14 *28106:D 40.3536 -*END - -*D_NET *5168 0.00440703 -*CONN -*I *25943:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29398:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25943:A0 0.00100272 -2 *29398:X 0.00100272 -3 *25943:A0 *25729:A0 5.52238e-05 -4 *25943:A0 *5936:79 0.000395863 -5 *25943:A0 *5936:87 0.000166231 -6 *25943:S *25943:A0 5.52238e-05 -7 *28162:CLK *25943:A0 5.33005e-05 -8 *28162:D *25943:A0 0.000313367 -9 *1619:10 *25943:A0 0.00014491 -10 *1833:36 *25943:A0 0.000265453 -11 *3710:39 *25943:A0 0.000103477 -12 *3815:59 *25943:A0 0.000143188 -13 *3840:10 *25943:A0 0.000346765 -14 *3895:5 *25943:A0 0.000221641 -15 *3895:29 *25943:A0 0.000136951 -*RES -1 *29398:X *25943:A0 49.6536 -*END - -*D_NET *5169 0.00215004 -*CONN -*I *28385:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29399:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28385:D 0.000694908 -2 *29399:X 0.000694908 -3 *28385:D *5732:168 0.0005027 -4 *28161:CLK *28385:D 2.56601e-05 -5 *29399:A *28385:D 0 -6 *721:24 *28385:D 3.34366e-05 -7 *3584:20 *28385:D 9.73818e-05 -8 *3584:50 *28385:D 0.000101047 -*RES -1 *29399:X *28385:D 40.4571 -*END - -*D_NET *5170 0.00797228 -*CONN -*I *25659:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29400:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25659:A0 9.3054e-05 -2 *29400:X 0.000837109 -3 *5170:19 0.000930163 -4 *25659:A0 *5710:92 0.000136388 -5 *25659:A0 *5710:103 3.10819e-05 -6 *5170:19 *6225:17 0.00225041 -7 *25762:A0 *5170:19 0.000570886 -8 *25762:S *5170:19 0.000561373 -9 *29194:A *5170:19 0.00081177 -10 *30015:A *5170:19 0.000513426 -11 *570:26 *5170:19 4.40603e-05 -12 *3792:51 *5170:19 0.000241294 -13 *3815:26 *25659:A0 0.000158847 -14 *3893:41 *5170:19 0.000362642 -15 *4900:26 *5170:19 0.000400867 -16 *4965:16 *5170:19 2.89114e-05 -*RES -1 *29400:X *5170:19 48.7464 -2 *5170:19 *25659:A0 16.05 -*END - -*D_NET *5171 0.0104809 -*CONN -*I *28150:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29401:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28150:D 0.00136652 -2 *29401:X 0.00136652 -3 *28150:D *5203:27 4.8817e-05 -4 *28150:D *5490:39 3.43988e-06 -5 *28150:D *5510:59 0.00129589 -6 *28150:D *5685:151 4.6025e-06 -7 *28150:D *5698:109 0.000121075 -8 *28150:D *6014:20 9.47364e-06 -9 *25617:A0 *28150:D 0.000589476 -10 *29206:A *28150:D 0.000238784 -11 *29401:A *28150:D 0.000606687 -12 *506:24 *28150:D 0.00192792 -13 *571:19 *28150:D 5.33005e-05 -14 *749:24 *28150:D 0.000480879 -15 *1831:38 *28150:D 0.000475503 -16 *3792:51 *28150:D 7.21957e-05 -17 *3804:14 *28150:D 1.01912e-05 -18 *3892:18 *28150:D 9.20102e-05 -19 *4240:37 *28150:D 1.90936e-05 -20 *4900:26 *28150:D 4.10464e-05 -21 *4977:22 *28150:D 0.000507748 -22 *5026:35 *28150:D 0.000249675 -23 *5078:20 *28150:D 0.000900041 -*RES -1 *29401:X *28150:D 46.3006 -*END - -*D_NET *5172 0.0093414 -*CONN -*I *25688:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25741:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29402:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25688:A0 0 -2 *25741:A1 0.000355961 -3 *29402:X 0.000494857 -4 *5172:41 0.00164107 -5 *5172:23 0.00177997 -6 *25741:A1 *25686:A1 0 -7 *25741:A1 *25741:S 1.19309e-05 -8 *25741:A1 *5588:27 0.000230482 -9 *25741:A1 *5736:10 0 -10 *25741:A1 *5792:15 1.02504e-05 -11 *25741:A1 *5893:14 0 -12 *25741:A1 *5926:49 3.07555e-05 -13 *5172:23 *28176:D 0.000140933 -14 *5172:23 *28177:D 0.000391865 -15 *5172:23 *29949:A 0.000100625 -16 *5172:23 *5175:25 8.07154e-05 -17 *5172:23 *5588:85 5.61754e-05 -18 *5172:23 *5709:290 1.80032e-05 -19 *5172:23 *6252:13 0.000741584 -20 *5172:23 *6253:13 0.000137983 -21 *5172:41 *25688:A1 6.63007e-05 -22 *5172:41 *28176:D 2.48542e-05 -23 *5172:41 *28177:RESET_B 9.09013e-05 -24 *5172:41 *5446:10 0.00014183 -25 *5172:41 *5588:27 8.76257e-05 -26 *5172:41 *5588:45 0.000534222 -27 *25689:A1 *25741:A1 0.000188586 -28 *25689:A1 *5172:41 0.000839893 -29 *25693:A1 *5172:23 9.41642e-05 -30 *26858:S *5172:23 4.00349e-05 -31 *28450:D *5172:23 7.61932e-05 -32 *28450:D *5172:41 0.000134192 -33 *60:13 *5172:23 0.000116395 -34 *552:14 *25741:A1 5.59682e-05 -35 *3848:95 *5172:23 8.37616e-05 -36 *4229:27 *5172:23 0.000543317 -*RES -1 *29402:X *5172:23 46.7435 -2 *5172:23 *5172:41 32.6607 -3 *5172:41 *25741:A1 25.7286 -4 *5172:41 *25688:A0 9.3 -*END - -*D_NET *5173 0.00793344 -*CONN -*I *25692:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25743:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29403:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25692:A0 0 -2 *25743:A1 0.00050557 -3 *29403:X 0.00121943 -4 *5173:19 0.000547295 -5 *5173:14 0.00126115 -6 *25743:A1 *25743:A0 0.000186084 -7 *25743:A1 *5462:33 0.00029952 -8 *25743:A1 *5829:19 0.00057022 -9 *25743:A1 *5893:46 0.000181796 -10 *5173:14 *25692:S 4.06087e-07 -11 *5173:14 *26858:A1 2.04825e-05 -12 *5173:14 *30888:A 0 -13 *5173:14 *5397:45 0.000183173 -14 *5173:14 *5449:16 4.19624e-06 -15 *5173:14 *5458:67 0.000881789 -16 *5173:14 *5462:33 0.000485543 -17 *5173:14 *5680:30 6.84089e-05 -18 *5173:14 *5709:290 4.14871e-05 -19 *5173:19 *25692:S 0 -20 *5173:19 *5462:33 5.33005e-05 -21 *5173:19 *5829:19 1.98839e-05 -22 mgmt_gpio_out[25] *5173:14 0.00040381 -23 *24835:A *5173:14 5.4695e-05 -24 *29373:A *5173:14 0.000104435 -25 *60:13 *5173:14 0.000707215 -26 *1180:22 *5173:14 0.000101825 -27 *2756:31 *5173:14 3.17289e-05 -*RES -1 *29403:X *5173:14 49.6929 -2 *5173:14 *5173:19 5.46429 -3 *5173:19 *25743:A1 20.55 -4 *5173:19 *25692:A0 9.3 -*END - -*D_NET *5174 0.000304849 -*CONN -*I *30842:A I *D sky130_fd_sc_hd__buf_12 -*I *24840:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *30842:A 4.60328e-05 -2 *24840:Y 4.60328e-05 -3 *30842:A *5461:32 5.80217e-05 -4 *30842:A *5588:101 0.000154762 -*RES -1 *24840:Y *30842:A 29.5464 -*END - -*D_NET *5175 0.00772164 -*CONN -*I *25690:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25742:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29404:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25690:A0 0 -2 *25742:A1 0.000585969 -3 *29404:X 0.000883717 -4 *5175:25 0.00146969 -5 *25742:A1 *25742:A0 0.0003698 -6 *25742:A1 *28176:D 0.000315165 -7 *25742:A1 *5926:63 0 -8 *5175:25 *25690:A1 5.52238e-05 -9 *5175:25 *25693:A0 4.69135e-05 -10 *5175:25 *28176:D 0.00076143 -11 *5175:25 *29949:A 0.000703595 -12 *5175:25 *6252:13 7.5197e-05 -13 *25691:A1 *25742:A1 1.63058e-05 -14 *25691:A1 *5175:25 7.22263e-05 -15 *25691:S *5175:25 8.15941e-05 -16 *25693:A1 *5175:25 0.000174621 -17 *25693:S *5175:25 4.58194e-05 -18 *29737:A *5175:25 5.52302e-05 -19 *59:21 *5175:25 0 -20 *523:10 *5175:25 0.00151598 -21 *554:20 *25742:A1 8.32677e-05 -22 *3874:102 *25742:A1 0.000190542 -23 *4229:27 *25742:A1 0 -24 *4229:27 *5175:25 0.000118164 -25 *4230:17 *25742:A1 2.04825e-05 -26 *5172:23 *5175:25 8.07154e-05 -*RES -1 *29404:X *5175:25 45.3893 -2 *5175:25 *25742:A1 31.1393 -3 *5175:25 *25690:A0 9.3 -*END - -*D_NET *5176 0.0100264 -*CONN -*I *26867:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25714:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29405:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26867:A1 0.000261614 -2 *25714:A0 4.42654e-05 -3 *29405:X 0.0014065 -4 *5176:14 0.000635254 -5 *5176:11 0.00173587 -6 *25714:A0 *5586:122 1.40415e-05 -7 *26867:A1 *25714:A1 0.000255972 -8 *26867:A1 *5800:90 0 -9 *5176:11 *26901:B 4.69022e-05 -10 mgmt_gpio_oeb[15] *26867:A1 0.0002253 -11 mgmt_gpio_out[14] *5176:14 0.000116928 -12 *25060:B2 *5176:11 2.83425e-05 -13 *26973:A *5176:11 0.000844104 -14 *26977:A1 *26867:A1 0.000162963 -15 *1382:16 *5176:11 9.55443e-05 -16 *2761:8 *5176:11 5.33005e-05 -17 *2761:11 *5176:11 0.000247793 -18 *2761:19 *5176:11 0.00133804 -19 *2769:5 *5176:11 0.000259318 -20 *2769:146 *26867:A1 0.000152888 -21 *2769:146 *5176:11 0.000397648 -22 *2769:146 *5176:14 0.000669882 -23 *3692:8 *5176:14 0 -24 *3692:29 *5176:14 0 -25 *3757:102 *26867:A1 5.52238e-05 -26 *4239:17 *5176:11 0.000978676 -*RES -1 *29405:X *5176:11 47.4071 -2 *5176:11 *5176:14 13.125 -3 *5176:14 *25714:A0 14.3357 -4 *5176:14 *26867:A1 22.9607 -*END - -*D_NET *5177 0.00198581 -*CONN -*I *29617:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29406:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *29617:A 0.000259721 -2 *29406:X 0.000259721 -3 *29617:A *5795:221 0.000421074 -4 *1304:6 *29617:A 0.000140383 -5 *1697:32 *29617:A 0.000421074 -6 *3582:146 *29617:A 0.000118473 -7 *3995:62 *29617:A 0.0001419 -8 *4069:32 *29617:A 0.000223461 -*RES -1 *29406:X *29617:A 35.9571 -*END - -*D_NET *5178 0.00128093 -*CONN -*I *27212:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29408:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27212:A1 0.000207136 -2 *29408:X 0.000207136 -3 *27212:A1 *27212:S 5.33005e-05 -4 *27212:A1 *5709:327 0.000650088 -5 *29408:A *27212:A1 5.33005e-05 -6 *4060:11 *27212:A1 0.000109971 -*RES -1 *29408:X *27212:A1 24.8857 -*END - -*D_NET *5179 0.0100332 -*CONN -*I *26861:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29409:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26861:A1 0.000482605 -2 *29409:X 0.00167048 -3 *5179:16 0.00215308 -4 *26861:A1 *28453:D 8.49987e-05 -5 *26861:A1 *30559:A 0.000259616 -6 *26861:A1 *5181:22 0.000434806 -7 *26861:A1 *5462:55 0.000266473 -8 *26861:A1 *5893:81 0.00014285 -9 *5179:16 *25739:A0 0.00112202 -10 *5179:16 *28457:D 2.30116e-06 -11 *5179:16 *29409:A 0.00012871 -12 *5179:16 *29923:A 4.82449e-05 -13 *5179:16 *30435:A 5.17614e-05 -14 *5179:16 *30857:A 0.000107586 -15 *5179:16 *30863:A 1.60005e-05 -16 *5179:16 *30895:A 4.46186e-06 -17 *5179:16 *6286:16 0.000268043 -18 *26861:S *26861:A1 2.59355e-05 -19 *29965:A *26861:A1 0.000197832 -20 *29983:A *5179:16 8.6229e-06 -21 *790:22 *5179:16 0.000183324 -22 *2756:66 *26861:A1 6.05161e-06 -23 *4924:17 *26861:A1 0.00146504 -24 *4924:17 *5179:16 0.000902393 -*RES -1 *29409:X *5179:16 46.0898 -2 *5179:16 *26861:A1 27.0857 -*END - -*D_NET *5180 0.00311231 -*CONN -*I *30435:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29410:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *30435:A 0.000669124 -2 *29410:X 0.000669124 -3 *30435:A *29411:A 0.00014008 -4 *30435:A *29982:A 0.000360894 -5 *30435:A *30894:A 3.22325e-05 -6 *30435:A *5870:8 1.01075e-05 -7 *30435:A *6011:17 0.000281709 -8 *30435:A *6242:23 5.2304e-05 -9 *30435:A *6286:16 9.60875e-05 -10 *29410:A *30435:A 0.000478632 -11 *29939:A *30435:A 0.000270257 -12 *5179:16 *30435:A 5.17614e-05 -*RES -1 *29410:X *30435:A 42.4214 -*END - -*D_NET *5181 0.005614 -*CONN -*I *26863:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29411:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26863:A1 0 -2 *29411:X 0.000712172 -3 *5181:22 0.000712172 -4 *5181:22 *28453:D 0.000141975 -5 *5181:22 *29153:A 0.000664485 -6 *5181:22 *29411:A 0.000437762 -7 *5181:22 *29923:A 0.000746384 -8 *5181:22 *5462:70 0.000490416 -9 *5181:22 *5680:30 2.22674e-05 -10 *5181:22 *5680:40 7.69776e-06 -11 *5181:22 *5893:81 1.69961e-05 -12 *5181:22 *5893:92 0.000365058 -13 *5181:22 *6222:14 0.000127031 -14 *24832:A *5181:22 0.000159342 -15 *26861:A1 *5181:22 0.000434806 -16 *29918:A *5181:22 5.71472e-05 -17 *29983:A *5181:22 0.000464989 -18 *3609:30 *5181:22 0 -19 *4925:25 *5181:22 5.33005e-05 -*RES -1 *29411:X *5181:22 45.6393 -2 *5181:22 *26863:A1 9.3 -*END - -*D_NET *5182 0.00109672 -*CONN -*I *29924:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29412:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *29924:A 0.000396922 -2 *29412:X 0.000396922 -3 *29924:A *29656:A 9.57064e-05 -4 *29412:A *29924:A 0.00020717 -5 *1188:18 *29924:A 0 -6 *1880:18 *29924:A 0 -7 *1935:193 *29924:A 0 -*RES -1 *29412:X *29924:A 35.4571 -*END - -*D_NET *5183 0.00146394 -*CONN -*I *30146:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29413:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *30146:A 0.000358816 -2 *29413:X 0.000358816 -3 *30146:A *5863:66 0.000433203 -4 *3924:38 *30146:A 0.000313106 -*RES -1 *29413:X *30146:A 35.9036 -*END - -*D_NET *5184 0.00435773 -*CONN -*I *26851:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29414:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26851:A0 0.000860416 -2 *29414:X 0.000860416 -3 *26851:A0 *5795:163 5.50052e-05 -4 *26851:A0 *5795:181 0.000182799 -5 *27126:A *26851:A0 0.000686212 -6 *29062:A *26851:A0 0.000604483 -7 *2786:22 *26851:A0 4.58194e-05 -8 *2786:136 *26851:A0 0.000114655 -9 *3538:50 *26851:A0 0.00090616 -10 *3538:59 *26851:A0 4.17702e-05 -*RES -1 *29414:X *26851:A0 39.6714 -*END - -*D_NET *5185 0.00110289 -*CONN -*I *30843:A I *D sky130_fd_sc_hd__buf_12 -*I *24839:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *30843:A 0.000396871 -2 *24839:Y 0.000396871 -3 *30843:A *30900:A 0 -4 *30843:A *5461:32 0.000198698 -5 mgmt_gpio_out[37] *30843:A 5.52238e-05 -6 *24839:A *30843:A 5.52302e-05 -*RES -1 *24839:Y *30843:A 34.9214 -*END - -*D_NET *5186 0.00208925 -*CONN -*I *25862:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29415:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25862:A1 0.000733757 -2 *29415:X 0.000733757 -3 *25862:A1 *25862:S 6.21466e-05 -4 *25862:A1 *5797:11 4.03109e-05 -5 *29415:A *25862:A1 0.00051928 -*RES -1 *29415:X *25862:A1 38.4036 -*END - -*D_NET *5187 0.00583629 -*CONN -*I *27193:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29416:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27193:A1 0 -2 *29416:X 0.00165272 -3 *5187:15 0.00165272 -4 *5187:15 *28740:RESET_B 0.000262178 -5 *5187:15 *5703:13 0 -6 *5187:15 *5925:17 0.000261234 -7 *28740:CLK *5187:15 2.59355e-05 -8 *30120:A *5187:15 0.000480517 -9 *30583:A *5187:15 0.000201724 -10 *1082:20 *5187:15 0.00058593 -11 *3991:72 *5187:15 5.08577e-05 -12 *4017:20 *5187:15 0.000171375 -13 *4019:5 *5187:15 5.33334e-05 -14 *4828:11 *5187:15 0.000437762 -*RES -1 *29416:X *5187:15 45.55 -2 *5187:15 *27193:A1 9.3 -*END - -*D_NET *5188 0.00247043 -*CONN -*I *25850:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29417:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25850:A1 0.000601324 -2 *29417:X 0.000601324 -3 *25850:A1 *28302:D 0.00016963 -4 *25850:A1 *5824:37 2.90075e-05 -5 *26715:A2 *25850:A1 0.000151349 -6 *28301:CLK *25850:A1 6.05161e-06 -7 *28302:CLK *25850:A1 0.000148189 -8 *29417:A *25850:A1 0.000144951 -9 *29431:A *25850:A1 0.000177815 -10 *30058:A *25850:A1 0.000340066 -11 *30529:A *25850:A1 0.000100726 -*RES -1 *29417:X *25850:A1 42.1714 -*END - -*D_NET *5189 0.000906093 -*CONN -*I *25769:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29419:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25769:A1 0.000167164 -2 *29419:X 0.000167164 -3 *25769:A1 *5824:23 4.27935e-05 -4 *25769:A1 *5824:27 0.000310286 -5 *29495:A *25769:A1 0.000218685 -*RES -1 *29419:X *25769:A1 22.8321 -*END - -*D_NET *5190 0.00188508 -*CONN -*I *27107:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29420:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27107:A1 0.000344914 -2 *29420:X 0.000344914 -3 *27107:A1 *28673:D 0.000104383 -4 *29420:A *27107:A1 1.77323e-05 -5 *1697:20 *27107:A1 0.000562056 -6 *2775:99 *27107:A1 0.000331624 -7 *3613:29 *27107:A1 4.33742e-05 -8 *3891:13 *27107:A1 0.000121085 -9 *4045:50 *27107:A1 1.49949e-05 -*RES -1 *29420:X *27107:A1 37.7068 -*END - -*D_NET *5191 0.00573869 -*CONN -*I *26953:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29421:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26953:A0 0 -2 *29421:X 0.00176675 -3 *5191:15 0.00176675 -4 *5191:15 *27181:A0 0.00018372 -5 *5191:15 *6221:16 6.55253e-05 -6 *27181:A1 *5191:15 9.80405e-05 -7 *27201:A1 *5191:15 4.62025e-05 -8 *27201:S *5191:15 5.52302e-05 -9 *28738:CLK *5191:15 0.000102676 -10 *28738:D *5191:15 0.00023991 -11 *29094:A *5191:15 0.000601725 -12 *29421:A *5191:15 0.000254639 -13 *29813:A *5191:15 0 -14 *972:21 *5191:15 0.000423781 -15 *2794:37 *5191:15 0.000133737 -*RES -1 *29421:X *5191:15 46.3 -2 *5191:15 *26953:A0 9.3 -*END - -*D_NET *5192 0.00334722 -*CONN -*I *26939:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29422:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26939:A1 0.000625289 -2 *29422:X 0.000625289 -3 *26939:A1 *26939:A0 5.52302e-05 -4 *26939:A1 *5593:33 2.38601e-05 -5 *26939:A1 *5640:235 0.000104868 -6 *26939:A1 *5641:180 0.00023785 -7 *26939:A1 *5863:98 0.000657408 -8 *29120:A *26939:A1 0.000461937 -9 *29747:A *26939:A1 0.000555485 -*RES -1 *29422:X *26939:A1 41.4036 -*END - -*D_NET *5193 0.00163648 -*CONN -*I *26912:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29423:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26912:A1 0.000173457 -2 *29423:X 0.000173457 -3 *26912:A1 *5820:31 0.000562289 -4 *26912:A1 *5820:46 8.51874e-05 -5 *2764:35 *26912:A1 0.000642093 -*RES -1 *29423:X *26912:A1 25.3143 -*END - -*D_NET *5194 0.00395327 -*CONN -*I *27124:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29424:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27124:A1 0.000606126 -2 *29424:X 0.000606126 -3 *27124:A1 *27124:A0 9.60875e-05 -4 *27124:A1 *27124:S 5.52238e-05 -5 *27124:A1 *5680:52 0.000154501 -6 *27124:A1 *5859:14 0 -7 *27124:A1 *5870:8 7.48356e-05 -8 *27124:A1 *5906:15 0.000268396 -9 *28910:A *27124:A1 0.000730448 -10 *29162:A *27124:A1 0.000380514 -11 *29912:A *27124:A1 0.000312222 -12 *30578:A *27124:A1 0.000167626 -13 *3609:5 *27124:A1 0.000343777 -14 *3609:9 *27124:A1 0.000157389 -*RES -1 *29424:X *27124:A1 48.7786 -*END - -*D_NET *5195 0.00315504 -*CONN -*I *27139:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29425:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27139:A1 0.00118386 -2 *29425:X 0.00118386 -3 *27139:A1 *28701:RESET_B 4.70821e-05 -4 *27139:A1 *5583:134 0.000363128 -5 *28472:CLK *27139:A1 8.00806e-05 -6 *29425:A *27139:A1 0.000218679 -7 *4082:80 *27139:A1 7.83587e-05 -*RES -1 *29425:X *27139:A1 42.3143 -*END - -*D_NET *5196 0.104336 -*CONN -*I *30844:A I *D sky130_fd_sc_hd__buf_12 -*I *25558:X O *D sky130_fd_sc_hd__mux2_8 -*CAP -1 *30844:A 0.000404368 -2 *25558:X 2.16372e-05 -3 *5196:95 0.00262726 -4 *5196:94 0.00650081 -5 *5196:78 0.00631965 -6 *5196:72 0.00299062 -7 *5196:62 0.00328409 -8 *5196:53 0.00332937 -9 *5196:47 0.00530464 -10 *5196:25 0.00577112 -11 *5196:8 0.00378084 -12 *5196:7 0.00234183 -13 *30844:A *5583:170 0.000337693 -14 *30844:A *5583:178 0.000640157 -15 *5196:7 *5729:41 5.52238e-05 -16 *5196:7 *5833:175 6.05161e-06 -17 *5196:8 *25927:A1 0.000272665 -18 *5196:8 *27895:A2 0.00014201 -19 *5196:8 *5613:22 0.000709582 -20 *5196:8 *5640:90 0.00170201 -21 *5196:8 *5640:142 0.000313458 -22 *5196:8 *5641:111 4.56587e-05 -23 *5196:8 *5729:35 0 -24 *5196:8 *5823:32 0.000229512 -25 *5196:8 *5823:34 0.000103383 -26 *5196:8 *5833:175 0.000266659 -27 *5196:25 *27895:A2 1.4477e-06 -28 *5196:25 *5457:22 3.67734e-05 -29 *5196:25 *5640:64 0.00180485 -30 *5196:47 *5457:22 0.00321098 -31 *5196:47 *5614:76 0.00156218 -32 *5196:47 *5629:104 0.000110498 -33 *5196:47 *5640:63 1.09611e-05 -34 *5196:62 *5622:22 0.000732244 -35 *5196:72 *5517:30 8.73605e-05 -36 *5196:72 *5655:113 1.81805e-05 -37 *5196:72 *5655:130 2.21972e-05 -38 *5196:94 *27277:A_N 0.000114037 -39 *5196:94 *27291:A 6.14836e-06 -40 *5196:94 *5486:20 3.01017e-05 -41 *5196:94 *5591:36 0.0011552 -42 *5196:94 *5629:20 0.00010994 -43 *5196:94 *5657:13 0.00126899 -44 *5196:95 *25526:A_N 0.000391604 -45 *5196:95 *25526:B 5.52302e-05 -46 *5196:95 *5459:20 0.00275459 -47 *5196:95 *5459:29 3.97677e-05 -48 *5196:95 *5660:9 0.00116869 -49 *25329:A2 *5196:8 5.27484e-05 -50 *25329:A2 *5196:25 6.05037e-05 -51 *25368:C1 *5196:8 2.14474e-05 -52 *25407:A1 *5196:78 0.000576511 -53 *25530:A *5196:95 0.000111137 -54 *25530:B *5196:95 0.00022152 -55 *25709:A0 *30844:A 0.000433781 -56 *25912:A *5196:8 0.000342495 -57 *25929:S *5196:8 0.000223592 -58 *26875:A0 *5196:78 2.07339e-05 -59 *27039:S *5196:94 0.000130033 -60 *27073:A1 *5196:78 9.41642e-05 -61 *27091:S *5196:94 6.39094e-05 -62 *27319:B2 *5196:78 9.60939e-05 -63 *27573:A1 *5196:47 9.49067e-05 -64 *27617:C1 *5196:72 0.000208791 -65 *27620:B *5196:95 0.000308844 -66 *27628:A1 *5196:62 0.000136958 -67 *27636:A *5196:72 7.93737e-05 -68 *27660:A *5196:95 0.000181796 -69 *27660:B *5196:95 0.000180777 -70 *27805:B1 *5196:95 0.00105613 -71 *27898:A2 *5196:53 0.000123288 -72 *27946:C1 *5196:47 0.00083767 -73 *27955:A *5196:25 0.00020356 -74 *27964:B1 *5196:47 4.50033e-05 -75 *28360:D *5196:8 9.88136e-05 -76 *28466:CLK *5196:78 7.14469e-05 -77 *28579:CLK *5196:8 6.07037e-07 -78 *29833:A *5196:94 0.000368382 -79 *29961:A *30844:A 0.000186315 -80 *29961:A *5196:95 2.28499e-05 -81 *30226:A *5196:47 6.20441e-06 -82 *1182:26 *5196:78 0.00070712 -83 *1328:115 *5196:94 4.45524e-05 -84 *1362:79 *5196:47 0.00010201 -85 *1363:98 *5196:72 0.000101545 -86 *1405:30 *5196:8 0.000395864 -87 *1405:73 *5196:8 0.0011983 -88 *1405:83 *5196:8 0.00136973 -89 *1423:37 *5196:25 4.79905e-05 -90 *1423:37 *5196:47 0.000862853 -91 *1450:16 *5196:25 0 -92 *1472:25 *5196:25 0.000384396 -93 *1483:68 *5196:25 4.29471e-05 -94 *1647:19 *5196:25 4.11173e-05 -95 *1801:7 *5196:95 8.00431e-05 -96 *1832:41 *5196:53 0 -97 *1864:17 *5196:8 0.000721208 -98 *1864:17 *5196:25 0.000217666 -99 *1864:23 *5196:25 0.000840488 -100 *1864:29 *5196:47 0.000196363 -101 *1864:36 *5196:47 0.000417684 -102 *1864:49 *5196:47 0.0010342 -103 *1864:49 *5196:53 1.71615e-05 -104 *1894:8 *5196:8 0.00037646 -105 *1894:10 *5196:8 0.000179833 -106 *1894:14 *5196:8 0.000715669 -107 *1896:32 *5196:8 0.000372575 -108 *2776:65 *5196:94 0.000620405 -109 *2781:65 *5196:78 0.000472906 -110 *2781:65 *5196:94 1.4396e-05 -111 *2813:72 *5196:94 6.57893e-06 -112 *2823:26 *5196:95 0.000306498 -113 *2832:15 *5196:95 0.000109578 -114 *2832:27 *5196:95 9.71197e-05 -115 *2843:11 *5196:94 6.53397e-05 -116 *2845:22 *5196:94 3.51064e-05 -117 *2856:196 *5196:25 0.000156182 -118 *2875:46 *5196:78 1.11958e-05 -119 *2883:35 *5196:78 9.89571e-05 -120 *2978:36 *5196:94 0 -121 *3147:27 *5196:95 0.000429512 -122 *3150:38 *5196:95 8.43535e-06 -123 *3163:40 *5196:95 0.00026353 -124 *3168:54 *5196:72 9.41642e-05 -125 *3173:17 *5196:94 0.000581826 -126 *3173:110 *5196:47 0.000127359 -127 *3173:158 *5196:47 0.000112667 -128 *3176:83 *5196:53 0.000221365 -129 *3176:110 *5196:53 2.8266e-06 -130 *3178:13 *5196:95 9.41642e-05 -131 *3178:144 *5196:72 0 -132 *3178:149 *5196:53 0.000781034 -133 *3178:149 *5196:62 0.00307513 -134 *3182:61 *5196:53 0.000676805 -135 *3182:63 *5196:53 0.000515696 -136 *3184:43 *5196:94 0.000181866 -137 *3185:304 *5196:53 4.11725e-05 -138 *3185:320 *5196:53 0.000743514 -139 *3197:45 *5196:78 8.02717e-05 -140 *3216:26 *5196:94 0.00189419 -141 *3315:11 *5196:78 0.00116028 -142 *3437:14 *5196:25 3.36333e-05 -143 *3437:14 *5196:47 0.000116943 -144 *3480:23 *5196:47 8.85664e-07 -145 *3480:35 *5196:47 0.000926795 -146 *3485:14 *5196:47 0.00107751 -147 *3487:10 *5196:25 0.000247489 -148 *3503:28 *5196:47 5.04019e-05 -149 *3546:36 *5196:72 0.000760033 -150 *3593:27 *5196:8 4.43698e-05 -151 *3662:34 *5196:47 1.48354e-05 -152 *3666:35 *5196:72 0.000320958 -153 *3670:24 *5196:95 6.57253e-05 -154 *3711:93 *5196:25 0.000282508 -155 *3715:32 *5196:47 5.99199e-06 -156 *3766:71 *5196:47 0.000614208 -157 *3775:79 *5196:8 1.31516e-05 -158 *3776:33 *5196:8 1.65036e-05 -159 *3783:121 *5196:72 2.06178e-05 -160 *3794:86 *5196:78 1.53472e-05 -161 *3794:86 *5196:94 0.00110613 -162 *3829:36 *5196:72 0.000402726 -163 *3867:60 *5196:53 0.000183885 -164 *3910:73 *5196:47 0 -165 *3923:32 *5196:47 9.83912e-06 -166 *3936:51 *5196:47 0 -167 *4012:22 *5196:8 0.000302383 -168 *4026:8 *5196:8 1.18064e-05 -169 *4026:24 *5196:8 0.000181248 -170 *4035:33 *5196:8 0.000183972 -171 *4035:33 *5196:25 0.000116882 -172 *4035:59 *5196:47 0.000111243 -173 *4035:60 *5196:53 0.00127847 -174 *4038:17 *5196:8 0.00206546 -175 *4062:27 *5196:72 0.000640671 -176 *4105:78 *5196:47 0.000712619 -177 *4796:30 *5196:94 0 -*RES -1 *25558:X *5196:7 14.3357 -2 *5196:7 *5196:8 86.4911 -3 *5196:8 *5196:25 46.283 -4 *5196:25 *5196:47 49.5721 -5 *5196:47 *5196:53 35.375 -6 *5196:53 *5196:62 48.2232 -7 *5196:62 *5196:72 36.4464 -8 *5196:72 *5196:78 38.4732 -9 *5196:78 *5196:94 44.789 -10 *5196:94 *5196:95 62.5536 -11 *5196:95 *30844:A 20.1214 -*END - -*D_NET *5197 0.00456864 -*CONN -*I *25599:A1 I *D sky130_fd_sc_hd__mux2_4 -*I *29426:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25599:A1 0 -2 *29426:X 0.000773662 -3 *5197:11 0.000773662 -4 *5197:11 *25599:A0 2.89016e-05 -5 *5197:11 *28981:A 0.000440705 -6 *5197:11 *29351:A 4.46186e-06 -7 *5197:11 *5672:8 0.00136196 -8 *5197:11 *5853:8 0.000261188 -9 *5197:11 *5878:12 0.00048339 -10 *5197:11 *6192:13 0.000440705 -*RES -1 *29426:X *5197:11 44.6036 -2 *5197:11 *25599:A1 9.3 -*END - -*D_NET *5198 0.00162521 -*CONN -*I *25843:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29427:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25843:A1 0.000192945 -2 *29427:X 0.000192945 -3 *25843:A1 *5611:47 0.00035013 -4 *25843:A1 *5849:11 0.000516547 -5 *3165:355 *25843:A1 8.11273e-05 -6 *3551:10 *25843:A1 0.00014576 -7 *3698:19 *25843:A1 0.00014576 -*RES -1 *29427:X *25843:A1 34.6 -*END - -*D_NET *5199 0.00161427 -*CONN -*I *26828:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29428:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26828:A1 0.000221022 -2 *29428:X 0.000221022 -3 *26828:A1 *29428:A 0.000136951 -4 *26828:A1 *5657:38 0.000218601 -5 *26828:S *26828:A1 5.33005e-05 -6 *27322:B2 *26828:A1 9.41642e-05 -7 *2859:34 *26828:A1 0.000669211 -*RES -1 *29428:X *26828:A1 25.2964 -*END - -*D_NET *5200 0.0103045 -*CONN -*I *25622:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29430:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25622:A0 0 -2 *29430:X 0.000962021 -3 *5200:25 0.0012305 -4 *5200:10 0.00219252 -5 *5200:10 *5699:147 0.000190804 -6 *5200:25 *25918:B 9.65182e-05 -7 *5200:25 *28223:SET_B 0.000179357 -8 *5200:25 *28224:SET_B 0.000160394 -9 *5200:25 *5488:79 7.10858e-05 -10 *5200:25 *5520:48 9.49939e-05 -11 *5200:25 *5599:158 0.00010782 -12 *5200:25 *6324:17 9.53213e-05 -13 *25398:A1 *5200:25 6.57603e-05 -14 *25400:B2 *5200:25 2.22043e-05 -15 *27869:B1 *5200:25 1.48389e-05 -16 *27918:B1_N *5200:25 6.46937e-05 -17 *29987:A *5200:10 0.000306492 -18 *460:33 *5200:25 0.000229458 -19 *471:9 *5200:25 0.000259549 -20 *471:12 *5200:10 0.000936468 -21 *471:12 *5200:25 0.000323901 -22 *714:23 *5200:10 0 -23 *1395:61 *5200:10 0.000595325 -24 *3571:89 *5200:25 6.57032e-05 -25 *3650:10 *5200:25 0.0005807 -26 *3650:85 *5200:25 0.00036431 -27 *3650:91 *5200:25 3.10885e-05 -28 *3789:14 *5200:10 1.54132e-05 -29 *3791:126 *5200:25 0.000136958 -30 *3827:21 *5200:10 0.000132207 -31 *4947:16 *5200:10 5.01876e-06 -32 *4947:16 *5200:25 0.000773037 -*RES -1 *29430:X *5200:10 33.0411 -2 *5200:10 *5200:25 43.8125 -3 *5200:25 *25622:A0 9.3 -*END - -*D_NET *5201 0.00320428 -*CONN -*I *25849:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29431:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25849:A1 0.00101355 -2 *29431:X 0.00101355 -3 *25849:A1 *5715:149 0.000218564 -4 *25849:A1 *5761:66 5.33005e-05 -5 *25849:A1 *5761:77 0 -6 *25849:A1 *5833:91 5.52302e-05 -7 *25849:A1 *5839:53 0.0005448 -8 *29431:A *25849:A1 7.48091e-05 -9 *3688:17 *25849:A1 0.000127208 -10 *4143:72 *25849:A1 0.000103267 -*RES -1 *29431:X *25849:A1 43.5821 -*END - -*D_NET *5202 0.00112066 -*CONN -*I *25861:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29432:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25861:A1 0.000347311 -2 *29432:X 0.000347311 -3 *25861:A1 *5545:30 0 -4 *25861:A1 *5729:301 3.69697e-05 -5 *1287:97 *25861:A1 0.00038907 -*RES -1 *29432:X *25861:A1 32.4571 -*END - -*D_NET *5203 0.0106582 -*CONN -*I *29279:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29433:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *29279:A 0.000406965 -2 *29433:X 0.00180767 -3 *5203:27 0.00221463 -4 *29279:A *5485:62 7.0987e-05 -5 *5203:27 *26811:B 2.06725e-05 -6 *5203:27 *26819:A0 0.000512954 -7 *5203:27 *29128:A 0 -8 *5203:27 *29571:A 0.000136602 -9 *5203:27 *5485:62 9.86486e-06 -10 *5203:27 *5730:11 0.000436649 -11 *26819:S *5203:27 0.000121885 -12 *28150:D *5203:27 4.8817e-05 -13 *29206:A *5203:27 0.000235845 -14 *29308:A *5203:27 4.15526e-05 -15 *29434:A *29279:A 0.000423839 -16 *29434:A *5203:27 6.89649e-05 -17 *29676:A *29279:A 0.000730599 -18 *30055:A *29279:A 0.000351476 -19 *30087:A *5203:27 2.01233e-05 -20 *2744:6 *5203:27 8.22793e-06 -21 *2745:33 *5203:27 0.000143458 -22 *3792:51 *5203:27 4.16148e-05 -23 *4332:24 *5203:27 0.000286489 -24 *4900:26 *5203:27 2.36802e-05 -25 *4944:18 *5203:27 5.79875e-05 -26 *5042:5 *29279:A 0.00171291 -27 *5042:5 *5203:27 0.000693946 -28 *5050:25 *5203:27 2.98389e-05 -*RES -1 *29433:X *5203:27 49.0517 -2 *5203:27 *29279:A 27.6393 -*END - -*D_NET *5204 0.0195213 -*CONN -*I *28115:D I *D sky130_fd_sc_hd__dfstp_2 -*I *29434:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28115:D 0 -2 *29434:X 0.00312674 -3 *5204:15 0.00312674 -4 *5204:15 *28115:SET_B 0 -5 *5204:15 *29571:A 2.79665e-05 -6 *5204:15 *5485:8 0.000312688 -7 *5204:15 *5485:32 0.00163127 -8 *5204:15 *5491:18 0.000244473 -9 *5204:15 *5519:19 0.00146269 -10 *5204:15 *5730:11 3.08404e-05 -11 *5204:15 *5730:84 4.06135e-05 -12 *5204:15 *5778:219 0.000182001 -13 *5204:15 *5778:245 9.90431e-05 -14 *5204:15 *5979:21 0.00141293 -15 *28115:CLK *5204:15 5.62995e-05 -16 *28117:D *5204:15 0 -17 *701:29 *5204:15 6.40766e-05 -18 *760:20 *5204:15 1.88642e-05 -19 *1471:56 *5204:15 0.00309152 -20 *4332:24 *5204:15 0.00044493 -21 *4383:16 *5204:15 0.00241231 -22 *5011:20 *5204:15 0.00173528 -*RES -1 *29434:X *5204:15 43.2557 -2 *5204:15 *28115:D 9.3 -*END - -*D_NET *5205 0.00526373 -*CONN -*I *30402:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25487:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25486:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29435:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *30402:A 3.76017e-05 -2 *25487:A1 0.000200983 -3 *25486:A0 5.20582e-05 -4 *29435:X 0.00108003 -5 *5205:10 0.000616796 -6 *5205:5 0.00148139 -7 *25486:A0 *25486:A1 4.97967e-05 -8 *25487:A1 *6377:19 0.000198831 -9 *30402:A *5934:20 0.000126409 -10 *5205:5 *6359:18 0.000208764 -11 *5205:10 *6359:18 0.000158099 -12 *5205:10 *6377:19 9.61853e-05 -13 *25486:S *25486:A0 9.58689e-05 -14 *28074:RESET_B *5205:10 4.70821e-05 -15 *29435:A *5205:5 0.000107539 -16 *29657:A *30402:A 0.000126409 -17 *1771:89 *25487:A1 5.33005e-05 -18 *1771:95 *25487:A1 9.71197e-05 -19 *1817:16 *25487:A1 7.02611e-05 -20 *3567:84 *25486:A0 2.12647e-05 -21 *3581:165 *25487:A1 0.000194553 -22 *3581:165 *5205:10 0.000135988 -23 *4180:11 *5205:5 7.4057e-06 -*RES -1 *29435:X *5205:5 22.5679 -2 *5205:5 *5205:10 10.9643 -3 *5205:10 *25486:A0 15.1571 -4 *5205:10 *25487:A1 20.1393 -5 *5205:5 *30402:A 19.9429 -*END - -*D_NET *5206 0.000703161 -*CONN -*I *29267:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29436:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *29267:A 0.000162999 -2 *29436:X 0.000162999 -3 *29267:A *5448:26 0.000120865 -4 *2839:38 *29267:A 0.000119347 -5 *2874:32 *29267:A 0.000136951 -*RES -1 *29436:X *29267:A 30.6 -*END - -*D_NET *5207 0.00287936 -*CONN -*I *30845:A I *D sky130_fd_sc_hd__buf_12 -*I *24838:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *30845:A 0.000642411 -2 *24838:Y 0.000642411 -3 *30845:A *28175:RESET_B 5.63359e-05 -4 *30845:A *30883:A 0.000303443 -5 *30845:A *5408:21 0.000114723 -6 *30845:A *5443:8 0.000202184 -7 *30845:A *5770:27 9.60875e-05 -8 mgmt_gpio_oeb[20] *30845:A 0.000144773 -9 mgmt_gpio_oeb[21] *30845:A 0.000235265 -10 mgmt_gpio_out[20] *30845:A 0.000441731 -11 *30704:A *30845:A 0 -*RES -1 *24838:Y *30845:A 42.8679 -*END - -*D_NET *5208 0.00275577 -*CONN -*I *28658:D I *D sky130_fd_sc_hd__dfstp_4 -*I *29437:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28658:D 0.000500302 -2 *29437:X 0.000500302 -3 *28658:D *27091:A1 0.00017754 -4 *28658:D *5585:70 0.000178847 -5 *28658:D *5750:152 0.000105292 -6 *27091:A0 *28658:D 0.000710075 -7 *29437:A *28658:D 0.000136682 -8 *2855:12 *28658:D 8.08521e-05 -9 *2886:26 *28658:D 0.000188722 -10 *3642:29 *28658:D 0.000166067 -11 *4051:43 *28658:D 1.10856e-05 -*RES -1 *29437:X *28658:D 40.1357 -*END - -*D_NET *5209 0.0232103 -*CONN -*I *24878:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *26792:A1 I *D sky130_fd_sc_hd__a211o_1 -*I *29438:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *24878:A1 0 -2 *26792:A1 0.00126962 -3 *29438:X 0 -4 *5209:39 0.00144149 -5 *5209:30 0.0024615 -6 *5209:6 0.00283161 -7 *5209:4 0.002582 -8 *5209:6 *26217:A 5.03694e-05 -9 *5209:6 *5722:167 0.000646465 -10 *5209:6 *5824:50 0.000482156 -11 *5209:30 *25822:B 0.000559232 -12 *5209:30 *25858:B 0.000431519 -13 *5209:30 *25860:A1 2.94203e-05 -14 *5209:30 *25861:S 0.00020504 -15 *5209:30 *5611:34 0.000447039 -16 *5209:30 *5824:50 1.99881e-05 -17 *5209:30 *5824:63 0 -18 *5209:30 *5850:46 1.90936e-05 -19 *5209:39 *24903:A 1.98839e-05 -20 *5209:39 *24933:B 0.000304394 -21 *5209:39 *25839:A0 0.000218685 -22 *5209:39 *28333:D 0.000301573 -23 *5209:39 *5719:110 9.76491e-05 -24 *5209:39 *5719:115 2.89114e-05 -25 *26258:C *5209:30 0.000475583 -26 *26376:A *5209:6 5.07157e-05 -27 *26607:A *5209:6 0 -28 *26610:B *5209:6 0.000128161 -29 *26613:A_N *5209:6 9.91086e-05 -30 *26793:B2 *5209:6 1.94879e-05 -31 *27921:A2 *5209:39 0.00173499 -32 *27921:B1 *5209:30 6.15714e-05 -33 *27921:B1 *5209:39 4.02868e-05 -34 *27924:C1 *5209:30 3.4323e-06 -35 *27924:C1 *5209:39 6.37883e-06 -36 *28293:CLK *5209:39 0.000249294 -37 *28959:A *26792:A1 0.000609026 -38 *29884:A *5209:39 0.000157144 -39 *1212:12 *5209:30 0.000150618 -40 *1396:40 *5209:30 1.18358e-05 -41 *1396:40 *5209:39 0.000106386 -42 *1427:149 *5209:39 0.000763636 -43 *1488:33 *5209:30 0.000157707 -44 *2022:56 *26792:A1 0.000178847 -45 *2061:41 *26792:A1 0.000238562 -46 *2104:128 *5209:6 0.000168939 -47 *2104:143 *5209:6 0.000173804 -48 *2159:10 *5209:6 7.92975e-05 -49 *2160:8 *5209:6 0 -50 *2190:29 *5209:6 5.79313e-05 -51 *2198:23 *5209:6 0 -52 *2201:8 *5209:6 0 -53 *2318:20 *5209:6 0.00157631 -54 *3165:343 *5209:30 0.000186488 -55 *3196:179 *5209:30 6.54117e-05 -56 *3564:11 *5209:30 4.55516e-05 -57 *3710:77 *5209:6 9.87983e-06 -58 *3871:73 *5209:39 0.000240425 -59 *4065:21 *5209:39 5.25233e-05 -60 *4118:26 *5209:30 0.000260166 -61 *4192:239 *5209:39 0.00060314 -*RES -1 *29438:X *5209:4 9.3 -2 *5209:4 *5209:6 46.2143 -3 *5209:6 *26792:A1 34.7643 -4 *5209:4 *5209:30 32.5804 -5 *5209:30 *5209:39 44.5446 -6 *5209:39 *24878:A1 9.3 -*END - -*D_NET *5210 0.0103114 -*CONN -*I *25086:B I *D sky130_fd_sc_hd__nor2_8 -*I *29439:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25086:B 0 -2 *29439:X 0.00148277 -3 *5210:25 0.00134608 -4 *5210:13 0.00282885 -5 *5210:13 *5623:37 0.00026893 -6 *5210:13 *5626:19 0.000469502 -7 *5210:25 *25086:A 0.000175892 -8 *5210:25 *27965:A 0.000297509 -9 *25218:B2 *5210:25 0.00019062 -10 *27552:B1 *5210:25 6.05161e-06 -11 *27945:A1 *5210:13 0.000175892 -12 *27966:C1 *5210:25 0.000529507 -13 *1407:5 *5210:25 3.99614e-06 -14 *1407:13 *5210:25 0.000175892 -15 *3170:83 *5210:25 0.000182751 -16 *3205:98 *5210:25 8.20015e-05 -17 *3471:10 *5210:25 0.00038571 -18 *3473:19 *5210:25 1.21258e-05 -19 *3480:35 *5210:13 0.000556691 -20 *3485:14 *5210:13 0.000556691 -21 *3503:28 *5210:25 0.000387417 -22 *3749:108 *5210:25 3.89338e-05 -23 *3776:54 *5210:25 1.90936e-05 -24 *3857:74 *5210:25 0.000138531 -*RES -1 *29439:X *5210:13 45.2464 -2 *5210:13 *5210:25 40.8214 -3 *5210:25 *25086:B 9.3 -*END - -*D_NET *5211 0.0187555 -*CONN -*I *27045:A I *D sky130_fd_sc_hd__nand2_8 -*I *29441:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27045:A 0.000392306 -2 *29441:X 5.90248e-05 -3 *5211:8 0.00306024 -4 *5211:7 0.00272696 -5 *27045:A *5602:21 0.00122599 -6 *5211:8 *27073:A0 0.000101223 -7 *5211:8 *27091:A1 0.000108353 -8 *5211:8 *28720:D 0.000147583 -9 *5211:8 *5585:70 0.000426793 -10 *5211:8 *5585:76 0.000943263 -11 *5211:8 *5585:92 8.87536e-05 -12 *5211:8 *5713:88 0.000422713 -13 *5211:8 *5713:96 0.000275333 -14 *5211:8 *5713:146 0.000612865 -15 *5211:8 *5750:196 0.000189717 -16 *25219:C1 *27045:A 0.000603801 -17 *27136:S *5211:8 0.00046777 -18 *27614:B2 *5211:8 0.000118712 -19 *28618:D *5211:8 0.000302552 -20 *29136:A *5211:8 0.000119706 -21 *1237:17 *5211:8 0.000360094 -22 *1250:72 *5211:8 0.000678314 -23 *1250:74 *5211:8 8.92415e-06 -24 *1326:56 *5211:8 0.000154531 -25 *1500:11 *27045:A 1.21258e-05 -26 *1547:26 *5211:8 5.58941e-05 -27 *2778:55 *5211:8 0.00102813 -28 *2780:43 *5211:7 9.41642e-05 -29 *3797:53 *5211:8 0.000421455 -30 *3987:21 *5211:8 0.000252124 -31 *3987:27 *5211:8 0.000237885 -32 *3987:29 *5211:8 0.000174105 -33 *3992:25 *5211:8 0.00123554 -34 *4062:12 *5211:8 0.000195714 -35 *4062:22 *5211:8 0.00059985 -36 *4907:40 *5211:8 0.000853001 -*RES -1 *29441:X *5211:7 14.7464 -2 *5211:7 *5211:8 77.5357 -3 *5211:8 *27045:A 26.2643 -*END - -*D_NET *5212 0.00245592 -*CONN -*I *29171:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29442:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *29171:A 0.000491669 -2 *29442:X 0.000491669 -3 *25760:A0 *29171:A 0.000432316 -4 *29442:A *29171:A 9.77423e-05 -5 *568:21 *29171:A 0.00018077 -6 *3666:98 *29171:A 5.52238e-05 -7 *5052:47 *29171:A 0.000706531 -*RES -1 *29442:X *29171:A 31.6 -*END - -*D_NET *5213 0.000313055 -*CONN -*I *28286:D I *D sky130_fd_sc_hd__dfstp_4 -*I *29443:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28286:D 8.09572e-05 -2 *29443:X 8.09572e-05 -3 *29443:A *28286:D 0.000151141 -*RES -1 *29443:X *28286:D 29.5464 -*END - -*D_NET *5214 0.00277841 -*CONN -*I *25615:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29444:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25615:A0 0.000518445 -2 *29444:X 0.000518445 -3 *25615:A0 *29507:A 9.60293e-05 -4 *25615:A0 *5665:285 0.000263142 -5 *25615:A0 *5675:235 1.50444e-05 -6 *25615:A0 *6071:10 7.96661e-05 -7 *1824:38 *25615:A0 9.41642e-05 -8 *2746:5 *25615:A0 0.000432304 -9 *2780:90 *25615:A0 9.60293e-05 -10 *3412:62 *25615:A0 0.000665142 -*RES -1 *29444:X *25615:A0 49.7786 -*END - -*D_NET *5215 0.0104024 -*CONN -*I *24882:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *29445:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *24882:A1 0.000114878 -2 *29445:X 0.000161301 -3 *5215:12 0.0016283 -4 *5215:7 0.00167472 -5 *24882:A1 *5844:17 0.000428915 -6 *5215:12 *27546:B1 1.10171e-05 -7 *5215:12 *5611:47 9.21418e-06 -8 *5215:12 *6356:41 0.000366635 -9 *25187:B2 *5215:12 4.98055e-06 -10 *25252:A1 *5215:12 0.000147913 -11 *27590:C1 *5215:12 3.83544e-05 -12 *28852:A *5215:12 0.000116235 -13 *29683:A *5215:12 0.000220441 -14 *1362:48 *5215:7 0.00022266 -15 *1488:24 *24882:A1 0.000426985 -16 *1677:8 *5215:12 4.06087e-07 -17 *2895:172 *5215:12 0.00068888 -18 *3700:52 *5215:12 0.000516081 -19 *3711:79 *5215:12 8.84874e-05 -20 *3984:76 *5215:12 0.0015908 -21 *4092:35 *5215:12 6.59223e-05 -22 *4100:14 *5215:12 0.000935106 -23 *4100:22 *5215:12 0.000641958 -24 *4101:22 *5215:12 0.000150611 -25 *4350:31 *5215:12 0.000151596 -*RES -1 *29445:X *5215:7 15.9786 -2 *5215:7 *5215:12 45.7143 -3 *5215:12 *24882:A1 18.0321 -*END - -*D_NET *5216 0.00966463 -*CONN -*I *24927:A I *D sky130_fd_sc_hd__nand2_8 -*I *24915:A I *D sky130_fd_sc_hd__nand2_8 -*I *29446:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *24927:A 0.000120698 -2 *24915:A 0.000298099 -3 *29446:X 0.000760913 -4 *5216:29 0.00207071 -5 *5216:12 0.00265422 -6 *24915:A *24959:B 6.17358e-05 -7 *24915:A *5587:17 0.000111431 -8 *24915:A *5700:50 3.06878e-06 -9 *24927:A *24898:B 0.000257619 -10 *5216:12 *5845:25 0.000242269 -11 *5216:29 *24896:A_N 0.000135863 -12 *5216:29 *24923:B 1.21258e-05 -13 *5216:29 *5251:15 6.8646e-06 -14 *24909:A *5216:29 0.000143967 -15 *24913:A *5216:29 0.00024928 -16 *24923:A *5216:29 7.96678e-05 -17 *24927:B *24927:A 0 -18 *28843:A *24927:A 1.98839e-05 -19 *29791:A *5216:29 0.000152613 -20 *1233:24 *5216:29 8.12305e-05 -21 *1234:10 *5216:29 5.49489e-05 -22 *1249:7 *5216:29 8.02717e-05 -23 *1249:17 *24915:A 0.000124749 -24 *1249:67 *24915:A 3.72685e-05 -25 *1395:133 *5216:12 1.21955e-05 -26 *1396:120 *5216:12 3.04051e-05 -27 *1511:18 *5216:12 0.000217665 -28 *1631:16 *5216:12 0.000178735 -29 *1631:16 *5216:29 0.000121423 -30 *1652:18 *5216:29 0.000155094 -31 *3305:8 *24915:A 0.000197378 -32 *3542:39 *24927:A 0.000298483 -33 *3868:74 *5216:12 2.06178e-05 -34 *3875:100 *5216:29 0.000194073 -35 *3888:12 *5216:12 0.000169485 -36 *3888:12 *5216:29 0.00012294 -37 *4065:53 *5216:12 0.000186643 -*RES -1 *29446:X *5216:12 24.859 -2 *5216:12 *5216:29 46.875 -3 *5216:29 *24915:A 24.3 -4 *5216:12 *24927:A 17.2286 -*END - -*D_NET *5217 0.0151815 -*CONN -*I *25095:B I *D sky130_fd_sc_hd__nor2_4 -*I *29447:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25095:B 0.00160091 -2 *29447:X 0.000194059 -3 *5217:14 0.00362162 -4 *5217:7 0.00221477 -5 *25095:B *24950:B 1.46148e-05 -6 *25095:B *25351:A 0.000259648 -7 *25095:B *5620:18 0.000586809 -8 *25095:B *5657:38 0.000221219 -9 *25095:B *5711:193 0.00011333 -10 *5217:14 *5622:22 0.000264179 -11 *5217:14 *5687:58 3.57652e-05 -12 *25097:A2 *25095:B 4.26759e-05 -13 *25330:A2 *5217:14 0 -14 *25351:B *25095:B 0.000224517 -15 *25394:A2 *5217:14 4.00349e-05 -16 *29447:A *5217:7 0.000140954 -17 *1182:42 *5217:14 2.38651e-06 -18 *1224:36 *25095:B 0.000370388 -19 *1224:38 *25095:B 0.0001238 -20 *1263:36 *5217:14 0 -21 *1263:41 *5217:14 0 -22 *1263:49 *5217:14 0 -23 *1276:5 *25095:B 4.18895e-05 -24 *1276:21 *25095:B 0.000646224 -25 *1282:136 *5217:14 5.30365e-05 -26 *1326:13 *25095:B 6.30931e-05 -27 *1416:53 *25095:B 0.000125355 -28 *1480:17 *5217:14 0 -29 *1547:29 *25095:B 2.12087e-05 -30 *1657:54 *5217:14 9.57806e-05 -31 *1657:56 *5217:14 0.00320252 -32 *1668:15 *25095:B 3.43988e-06 -33 *1705:13 *25095:B 0.000122856 -34 *1721:35 *25095:B 0.000494533 -35 *2859:34 *25095:B 0.00017754 -36 *3570:27 *25095:B 6.8445e-06 -37 *3845:62 *5217:14 0 -38 *3875:63 *5217:14 0 -39 *3875:71 *5217:14 5.55278e-05 -40 *3875:73 *5217:14 0 -*RES -1 *29447:X *5217:7 16.3893 -2 *5217:7 *5217:14 49 -3 *5217:14 *25095:B 49.1036 -*END - -*D_NET *5218 0.0024278 -*CONN -*I *30846:A I *D sky130_fd_sc_hd__buf_12 -*I *24837:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *30846:A 0.000579799 -2 *24837:Y 0.000579799 -3 *30846:A *25686:A1 0.000162118 -4 *30846:A *30883:A 0.000110238 -5 *30846:A *5443:8 0.000478463 -6 *30846:A *5444:8 0 -7 *30846:A *5770:27 0 -8 *30846:A *5792:15 0 -9 *30846:A *5879:237 0.000277839 -10 mgmt_gpio_out[21] *30846:A 4.1331e-06 -11 *56:13 *30846:A 0 -12 *3822:110 *30846:A 0.000235408 -*RES -1 *24837:Y *30846:A 41.3857 -*END - -*D_NET *5219 0.00280863 -*CONN -*I *25942:A I *D sky130_fd_sc_hd__and2_2 -*I *29448:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25942:A 0.000491603 -2 *29448:X 0.000491603 -3 *25942:A *25942:B 4.96113e-05 -4 *25942:A *26837:B1 6.45145e-05 -5 *25942:A *5661:42 5.08047e-05 -6 *25413:A *25942:A 5.33005e-05 -7 *25413:C *25942:A 8.71161e-05 -8 *25413:D *25942:A 5.33005e-05 -9 *29448:A *25942:A 0.0002685 -10 *1729:7 *25942:A 0.000333121 -11 *1899:13 *25942:A 2.59355e-05 -12 *3153:32 *25942:A 0.000306601 -13 *3170:51 *25942:A 0.000488935 -14 *3174:56 *25942:A 2.12087e-05 -15 *3875:63 *25942:A 2.24813e-05 -*RES -1 *29448:X *25942:A 41.0286 -*END - -*D_NET *5220 0.00223992 -*CONN -*I *28387:D I *D sky130_fd_sc_hd__dfstp_4 -*I *29449:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28387:D 0.000461672 -2 *29449:X 0.000461672 -3 *28387:D *28387:SET_B 0.000425253 -4 *28387:D *28995:A 0.000396898 -5 *28387:D *5705:14 5.56825e-05 -6 *28387:D *5733:172 0.000224592 -7 *1868:31 *28387:D 0 -8 *3830:15 *28387:D 1.08359e-05 -9 *3841:13 *28387:D 0.000203316 -*RES -1 *29449:X *28387:D 39.7964 -*END - -*D_NET *5221 0.0036397 -*CONN -*I *29713:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29450:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *29713:A 0.00119293 -2 *29450:X 0.00119293 -3 *29713:A *5947:23 0.000259542 -4 *29713:A *6017:11 0.000505757 -5 *24981:A1 *29713:A 0.000147154 -6 *24981:A2 *29713:A 3.61698e-05 -7 *24981:B1 *29713:A 1.65169e-05 -8 *1307:11 *29713:A 5.7511e-06 -9 *1367:18 *29713:A 0.000125724 -10 *3734:23 *29713:A 2.97829e-05 -11 *3995:41 *29713:A 0.000127439 -*RES -1 *29450:X *29713:A 42.9214 -*END - -*D_NET *5222 0.00144361 -*CONN -*I *29349:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29452:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *29349:A 0.000232383 -2 *29452:X 0.000232383 -3 *29349:A *5689:30 0.000553142 -4 *29349:A *6036:107 5.52238e-05 -5 *27127:A1 *29349:A 0.000324734 -6 *4141:21 *29349:A 4.57445e-05 -*RES -1 *29452:X *29349:A 35.1179 -*END - -*D_NET *5223 0.00038551 -*CONN -*I *28690:D I *D sky130_fd_sc_hd__dfstp_4 -*I *29453:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28690:D 0.000105451 -2 *29453:X 0.000105451 -3 *28690:D *28690:SET_B 0 -4 *28690:D *5930:11 0.000129868 -5 *29453:A *28690:D 4.47403e-05 -*RES -1 *29453:X *28690:D 29.7786 -*END - -*D_NET *5224 0.00170144 -*CONN -*I *30401:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29454:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *30401:A 0.000368176 -2 *29454:X 0.000368176 -3 *30401:A *5806:8 0.000379097 -4 *28092:RESET_B *30401:A 0.000405033 -5 *29455:A *30401:A 5.52302e-05 -6 *1827:61 *30401:A 0.000125731 -7 *3710:18 *30401:A 0 -*RES -1 *29454:X *30401:A 37.1714 -*END - -*D_NET *5225 0.00108719 -*CONN -*I *28332:D I *D sky130_fd_sc_hd__dfstp_4 -*I *29455:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28332:D 0.000187185 -2 *29455:X 0.000187185 -3 *28332:D *5778:49 0.000309329 -4 *28332:D *5806:8 9.41642e-05 -5 *3936:33 *28332:D 0.000309329 -*RES -1 *29455:X *28332:D 32.6179 -*END - -*D_NET *5226 0.000732991 -*CONN -*I *29302:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29456:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *29302:A 9.01406e-05 -2 *29456:X 9.01406e-05 -3 *29302:A *5772:22 6.42242e-05 -4 *29302:A *5896:17 0.000181381 -5 *29302:A *6247:6 0.000125724 -6 *26983:A1 *29302:A 0.000181381 -*RES -1 *29456:X *29302:A 31.0107 -*END - -*D_NET *5227 0.00156215 -*CONN -*I *28562:D I *D sky130_fd_sc_hd__dfstp_4 -*I *29457:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28562:D 0.000323435 -2 *29457:X 0.000323435 -3 *28562:D *5790:9 1.92905e-05 -4 *2760:179 *28562:D 0.000262504 -5 *4128:10 *28562:D 0.000317602 -6 *4133:12 *28562:D 0.00031588 -*RES -1 *29457:X *28562:D 34.7964 -*END - -*D_NET *5228 0.00274189 -*CONN -*I *29157:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29458:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *29157:A 0.000679951 -2 *29458:X 0.000679951 -3 *29157:A *28556:RESET_B 0.00021916 -4 *29157:A *29050:A 0.000194479 -5 *29157:A *5701:29 1.18451e-05 -6 *29157:A *5702:16 0.000126264 -7 *29157:A *5771:30 6.09476e-05 -8 *29157:A *5772:78 0.000292692 -9 *28484:CLK *29157:A 1.21955e-05 -10 *28484:D *29157:A 0 -11 *28691:D *29157:A 9.90367e-05 -12 *30161:A *29157:A 0 -13 *976:31 *29157:A 5.80706e-06 -14 *1024:19 *29157:A 9.90367e-05 -15 *2766:79 *29157:A 4.23876e-05 -16 *2770:134 *29157:A 3.15517e-05 -17 *2779:26 *29157:A 0.000137834 -18 *2794:86 *29157:A 4.18834e-05 -19 *3874:102 *29157:A 0 -20 *4403:20 *29157:A 6.8646e-06 -*RES -1 *29458:X *29157:A 42.9393 -*END - -*D_NET *5229 0.000705899 -*CONN -*I *30847:A I *D sky130_fd_sc_hd__buf_12 -*I *24836:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *30847:A 6.11991e-05 -2 *24836:Y 6.11991e-05 -3 mgmt_gpio_oeb[22] *30847:A 0.000312209 -4 *24836:A *30847:A 4.27338e-05 -5 *1835:35 *30847:A 0.000228558 -*RES -1 *24836:Y *30847:A 21.6 -*END - -*D_NET *5230 0.00197618 -*CONN -*I *28682:D I *D sky130_fd_sc_hd__dfstp_4 -*I *29459:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28682:D 0.000621475 -2 *29459:X 0.000621475 -3 *28682:D *5725:10 0.000126439 -4 *26911:A1 *28682:D 0.000128161 -5 *1060:17 *28682:D 0.000478632 -*RES -1 *29459:X *28682:D 37.2964 -*END - -*D_NET *5231 0.0221513 -*CONN -*I *26658:B2 I *D sky130_fd_sc_hd__o32a_1 -*I *24884:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *29460:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26658:B2 0.000654017 -2 *24884:A1 0.000105261 -3 *29460:X 4.2773e-05 -4 *5231:21 0.00205942 -5 *5231:12 0.00147735 -6 *5231:7 0.00282027 -7 *24884:A1 *5844:17 0.000341276 -8 *5231:7 *5808:36 4.87854e-05 -9 *5231:12 *5719:55 0.000449646 -10 *5231:12 *5808:12 7.14021e-05 -11 *5231:12 *5808:36 0.000325609 -12 *5231:12 *5849:8 0.000348923 -13 *5231:21 *5669:57 0 -14 *5231:21 *5688:205 0.000700612 -15 *5231:21 *5719:38 0 -16 *5231:21 *5758:29 7.6644e-05 -17 *5231:21 *5758:42 0.000294988 -18 *5231:21 *5758:51 0.000101545 -19 *5231:21 *5758:123 0.000290279 -20 *5231:21 *5758:132 0.000466336 -21 *5231:21 *6353:12 9.30065e-05 -22 *25884:S *5231:21 0.000389146 -23 *25886:S *5231:21 0.000486852 -24 *25887:A0 *5231:21 7.83659e-05 -25 *25896:S *5231:21 0.000527799 -26 *26658:A3 *26658:B2 3.18676e-05 -27 *26658:B1 *26658:B2 0.000209277 -28 *26675:B1 *26658:B2 1.21289e-05 -29 *28339:D *5231:21 3.79254e-05 -30 *28402:D *26658:B2 0.000183713 -31 *30108:A *26658:B2 0.000508333 -32 *1483:10 *5231:12 0 -33 *1483:10 *5231:21 0 -34 *1488:24 *24884:A1 0.000339346 -35 *1646:11 *5231:7 5.33005e-05 -36 *1646:11 *5231:21 0.000653998 -37 *1889:16 *5231:21 0.000804987 -38 *3583:24 *5231:21 0.000461489 -39 *3753:25 *5231:21 1.92582e-05 -40 *3763:14 *5231:21 0.000392504 -41 *3763:18 *5231:12 4.46846e-05 -42 *3763:18 *5231:21 0.000697219 -43 *3763:20 *5231:12 0.00346086 -44 *3947:15 *5231:21 5.935e-05 -45 *3975:31 *5231:12 0.0015878 -46 *4091:64 *5231:12 0.0002877 -47 *4350:7 *26658:B2 5.52302e-05 -*RES -1 *29460:X *5231:7 14.7464 -2 *5231:7 *5231:12 46.9286 -3 *5231:12 *24884:A1 17.2107 -4 *5231:7 *5231:21 49.9107 -5 *5231:21 *26658:B2 25.9964 -*END - -*D_NET *5232 0.00225013 -*CONN -*I *30555:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29461:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *30555:A 0.000678857 -2 *29461:X 0.000678857 -3 *30555:A *5483:135 0.000128154 -4 *30555:A *6332:29 0.000118267 -5 *1244:23 *30555:A 0.000516125 -6 *1278:18 *30555:A 0.000129868 -*RES -1 *29461:X *30555:A 37.5821 -*END - -*D_NET *5233 0.00458454 -*CONN -*I *28001:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29463:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28001:A1 0 -2 *29463:X 0.00116113 -3 *5233:17 0.00116113 -4 *5233:17 *5690:7 0.000393943 -5 *5233:17 *5853:8 0.000364238 -6 *5233:17 *6180:30 6.05161e-06 -7 *5233:17 *6364:14 1.4403e-05 -8 *28004:A0 *5233:17 0.000890779 -9 *28828:D *5233:17 0.000100823 -10 *287:27 *5233:17 0.000304836 -11 *3530:15 *5233:17 0.000187198 -*RES -1 *29463:X *5233:17 42.4607 -2 *5233:17 *28001:A1 9.3 -*END - -*D_NET *5234 0.00249671 -*CONN -*I *29257:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29464:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *29257:A 0.000307804 -2 *29464:X 0.000307804 -3 *29257:A *29270:A 0.000389077 -4 *29257:A *5477:15 0.000184626 -5 *29257:A *5519:25 0.000351156 -6 *756:16 *29257:A 0.000157399 -7 *757:12 *29257:A 5.36e-05 -8 *4298:8 *29257:A 0.000186805 -9 *5043:11 *29257:A 0.000558436 -*RES -1 *29464:X *29257:A 38.4929 -*END - -*D_NET *5235 0.0197935 -*CONN -*I *28116:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29465:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28116:D 1.13923e-05 -2 *29465:X 0.00124723 -3 *5235:22 0.0030821 -4 *5235:14 0.00431794 -5 *28116:D *5592:104 2.89016e-05 -6 *28116:D *5734:53 5.71472e-05 -7 *5235:14 *30916:A 0.000146266 -8 *5235:14 *5980:14 0.00393357 -9 *5235:22 *28117:SET_B 0 -10 *5235:22 *5484:10 7.41811e-05 -11 *5235:22 *5510:20 0.000103981 -12 pll_div[1] *5235:14 4.38058e-05 -13 *28118:D *5235:22 9.57906e-05 -14 *29175:A *5235:14 0.000480124 -15 *244:20 *5235:22 0.00211616 -16 *3650:106 *5235:22 5.53573e-05 -17 *3650:122 *5235:22 1.08359e-05 -18 *3652:38 *5235:14 1.10422e-05 -19 *4183:28 *5235:14 3.61416e-05 -20 *5011:20 *5235:22 9.25014e-06 -21 *5015:14 *5235:14 0.00393232 -*RES -1 *29465:X *5235:14 33.9712 -2 *5235:14 *5235:22 47.0536 -3 *5235:22 *28116:D 14.3357 -*END - -*D_NET *5236 0.00800656 -*CONN -*I *25349:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25285:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29466:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25349:A1 0 -2 *25285:A1 0.000467509 -3 *29466:X 0.000849013 -4 *5236:25 0.000929885 -5 *5236:20 0.00131139 -6 *25285:A1 *28064:B 2.59355e-05 -7 *25285:A1 *28413:D 0.000303314 -8 *25285:A1 *5237:29 6.21494e-05 -9 *25285:A1 *5334:28 7.37269e-05 -10 *25285:A0 *25285:A1 6.05161e-06 -11 *25348:A0 *25285:A1 5.49544e-05 -12 *25348:A0 *5236:25 0.000152091 -13 *25348:A1 *5236:20 0.000182081 -14 *25349:S *5236:25 6.94952e-05 -15 *28077:CLK *5236:20 0.000269266 -16 *28407:RESET_B *5236:20 7.50999e-05 -17 *28408:CLK_N *25285:A1 4.96113e-05 -18 *28413:CLK_N *25285:A1 0.000330436 -19 *29481:A *5236:20 0.000442757 -20 *411:25 *5236:20 4.58194e-05 -21 *1191:11 *5236:20 0.000895739 -22 *1730:114 *5236:20 0.000323351 -23 *1739:26 *5236:20 0.000175892 -24 *1744:46 *5236:20 3.08304e-05 -25 *3558:161 *25285:A1 1.52956e-05 -26 *3561:28 *5236:20 0.00077542 -27 *4170:20 *5236:20 8.94491e-05 -*RES -1 *29466:X *5236:20 47.0646 -2 *5236:20 *5236:25 5.91071 -3 *5236:25 *25285:A1 37.2643 -4 *5236:25 *25349:A1 9.3 -*END - -*D_NET *5237 0.00823114 -*CONN -*I *28408:D I *D sky130_fd_sc_hd__dfrtn_1 -*I *29467:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28408:D 0 -2 *29467:X 0.00290662 -3 *5237:29 0.00290662 -4 *5237:29 *5341:12 8.69458e-05 -5 *5237:29 *5545:59 0.000301033 -6 *5237:29 *5728:53 0.000174579 -7 *5237:29 *6169:126 2.96015e-05 -8 *25285:A1 *5237:29 6.21494e-05 -9 *25415:A *5237:29 4.65158e-06 -10 *28408:CLK_N *5237:29 9.80904e-05 -11 *29467:A *5237:29 0 -12 *746:10 *5237:29 0 -13 *1251:21 *5237:29 7.59802e-06 -14 *1323:33 *5237:29 0.000110317 -15 *1393:165 *5237:29 0.000146071 -16 *1739:26 *5237:29 0.000221822 -17 *1744:46 *5237:29 0.000102772 -18 *1752:15 *5237:29 0 -19 *3558:150 *5237:29 0.000241053 -20 *3558:161 *5237:29 0.000279805 -21 *4170:20 *5237:29 0.000551418 -22 *4195:67 *5237:29 0 -*RES -1 *29467:X *5237:29 49.3642 -2 *5237:29 *28408:D 9.3 -*END - -*D_NET *5238 0.00607462 -*CONN -*I *25036:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *24999:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29468:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25036:A1 0.000398642 -2 *24999:A1 2.04426e-05 -3 *29468:X 0.000674506 -4 *5238:13 0.00109359 -5 *25036:A1 *5350:8 9.91086e-05 -6 *5238:13 *5899:20 0.000475755 -7 *25000:A0 *5238:13 0.000140773 -8 *25036:A0 *25036:A1 5.33005e-05 -9 *25226:S *24999:A1 2.59355e-05 -10 *28092:CLK *5238:13 6.05161e-06 -11 *28263:D *25036:A1 0.000112077 -12 *29470:A *5238:13 0.000148903 -13 *399:18 *5238:13 0.00159272 -14 *1191:52 *5238:13 7.66141e-05 -15 *1323:57 *24999:A1 5.33005e-05 -16 *1323:57 *5238:13 0.000162862 -17 *1324:88 *25036:A1 5.33005e-05 -18 *1324:104 *25036:A1 8.33813e-05 -19 *3561:19 *25036:A1 5.33005e-05 -20 *3561:20 *25036:A1 9.91086e-05 -21 *4162:12 *5238:13 0.000650948 -*RES -1 *29468:X *5238:13 41.9964 -2 *5238:13 *24999:A1 9.83571 -3 *5238:13 *25036:A1 25.55 -*END - -*D_NET *5239 0.00730676 -*CONN -*I *28413:D I *D sky130_fd_sc_hd__dfrtn_1 -*I *29469:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28413:D 0.00151327 -2 *29469:X 0.000667252 -3 *5239:13 0.00218052 -4 *28413:D *6169:126 0.00039425 -5 *5239:13 *31002:A 0.000474576 -6 *5239:13 *5343:14 9.96747e-05 -7 *25036:A0 *28413:D 0.00019435 -8 *25285:A1 *28413:D 0.000303314 -9 *25286:A0 *28413:D 0.000148911 -10 *25815:A1 *5239:13 0.000104179 -11 *28407:RESET_B *28413:D 0 -12 *28413:CLK_N *28413:D 0.000302266 -13 *28413:RESET_B *28413:D 0.000107311 -14 *743:11 *28413:D 0 -15 *1324:60 *28413:D 7.63651e-05 -16 *1324:74 *28413:D 1.26641e-05 -17 *1324:104 *28413:D 0.000146895 -18 *1393:165 *28413:D 2.03614e-05 -19 *3558:58 *5239:13 6.05161e-06 -20 *3558:150 *28413:D 1.36825e-05 -21 *3558:161 *28413:D 0.000365665 -22 *4159:71 *28413:D 2.6304e-05 -23 *4171:11 *28413:D 0.000148903 -*RES -1 *29469:X *5239:13 32.6036 -2 *5239:13 *28413:D 46.1214 -*END - -*D_NET *5240 0.0135775 -*CONN -*I *24971:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30671:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *24971:A1 0.00155724 -2 *30671:X 6.74791e-05 -3 *5240:8 0.00351239 -4 *5240:7 0.00202263 -5 *24971:A1 *27805:A2 5.52302e-05 -6 *5240:8 *30967:A 0.000160477 -7 *5240:8 *5492:10 0 -8 *5240:8 *5503:8 0 -9 *5240:8 *5536:29 0.00262247 -10 *24971:B1 *24971:A1 3.57366e-05 -11 *24972:C1 *24971:A1 7.32272e-05 -12 *27805:A1 *24971:A1 0.000204884 -13 *27805:B2 *24971:A1 0.000250388 -14 *27806:C1 *24971:A1 0.000157998 -15 *28128:D *5240:8 0.000174805 -16 *28431:D *5240:8 0.00029513 -17 *30671:A *5240:7 4.01768e-05 -18 *244:65 *5240:8 0 -19 *1307:23 *5240:8 0.000159436 -20 *1342:14 *5240:8 3.6017e-05 -21 *1826:93 *5240:8 0.00124547 -22 *3634:25 *5240:8 0.000571143 -23 *3877:23 *24971:A1 0.000136958 -24 *3982:9 *5240:8 0.000198234 -*RES -1 *30671:X *5240:7 14.7464 -2 *5240:7 *5240:8 59.9286 -3 *5240:8 *24971:A1 39.8179 -*END - -*D_NET *5241 0.00351237 -*CONN -*I *30848:A I *D sky130_fd_sc_hd__buf_12 -*I *24835:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *30848:A 0.000625387 -2 *24835:Y 0.000625387 -3 *30848:A *30887:A 0.000269148 -4 *30848:A *5408:21 0.000203375 -5 *30848:A *5709:290 0.000337727 -6 *30848:A *6039:24 8.58829e-05 -7 *30848:A *6253:13 0.000137983 -8 mgmt_gpio_oeb[23] *30848:A 0.000142856 -9 mgmt_gpio_oeb[25] *30848:A 0.000184745 -10 mgmt_gpio_out[25] *30848:A 7.92915e-05 -11 *24835:A *30848:A 9.90367e-05 -12 *28450:D *30848:A 1.98839e-05 -13 *30708:A *30848:A 0.000701671 -14 *59:21 *30848:A 0 -15 *1180:22 *30848:A 0 -16 *3848:95 *30848:A 0 -*RES -1 *24835:Y *30848:A 45.1893 -*END - -*D_NET *5242 0.00654396 -*CONN -*I *25168:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25227:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29470:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25168:A1 0.000531529 -2 *25227:A1 2.82977e-05 -3 *29470:X 0.001245 -4 *5242:18 0.00180482 -5 *25168:A1 *24872:A 0.000379935 -6 *25168:A1 *5899:20 9.92498e-05 -7 *25168:A1 *6169:113 0 -8 *5242:18 *5542:41 0.000329576 -9 *5242:18 *5742:193 0.000552273 -10 *5242:18 *5742:207 8.49829e-05 -11 *5242:18 *5899:20 1.15916e-05 -12 *25036:A0 *25168:A1 0 -13 *25072:A0 *25168:A1 1.94879e-05 -14 *25072:A1 *25168:A1 2.45832e-05 -15 *25073:A0 *25168:A1 3.47874e-05 -16 *25227:S *25227:A1 4.17433e-05 -17 *25808:A1 *25227:A1 0.000140088 -18 *28092:D *5242:18 6.86693e-05 -19 *743:11 *5242:18 0.000156316 -20 *1324:104 *25227:A1 9.83442e-05 -21 *1324:113 *25168:A1 0.000139575 -22 *1730:76 *5242:18 0.000122171 -23 *4195:90 *5242:18 0.000630941 -*RES -1 *29470:X *5242:18 34.7196 -2 *5242:18 *25227:A1 15.1571 -3 *5242:18 *25168:A1 24.3 -*END - -*D_NET *5243 0.00684165 -*CONN -*I *28410:D I *D sky130_fd_sc_hd__dfrtn_1 -*I *29471:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28410:D 0.000177278 -2 *29471:X 0.00114815 -3 *5243:8 0.00132543 -4 *5243:8 *25807:A0 5.11316e-05 -5 *5243:8 *5332:20 0.000733521 -6 *5243:8 *5542:41 0.000183108 -7 *25227:A0 *5243:8 0.000479012 -8 *25806:S *28410:D 1.07719e-05 -9 *25820:A1 *5243:8 0.000343751 -10 *28410:CLK_N *28410:D 7.8195e-05 -11 *743:11 *5243:8 0.000185118 -12 *746:10 *5243:8 0.00171945 -13 *1324:88 *5243:8 0.000218163 -14 *1393:165 *5243:8 0.000139674 -15 *1873:75 *28410:D 2.64912e-05 -16 *1874:68 *5243:8 2.23987e-05 -*RES -1 *29471:X *5243:8 46.8357 -2 *5243:8 *28410:D 16.8 -*END - -*D_NET *5244 0.00545098 -*CONN -*I *25286:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29472:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25286:A1 0 -2 *29472:X 0.00132466 -3 *5244:17 0.00132466 -4 *5244:17 *28064:A 0.00048033 -5 *5244:17 *29560:A 0.000197771 -6 *5244:17 *29580:A 0.000106334 -7 *5244:17 *5547:13 0.000270251 -8 *5244:17 *5580:16 1.17968e-05 -9 wb_dat_o[4] *5244:17 0.00010326 -10 wb_dat_o[6] *5244:17 0.000131892 -11 *25000:S *5244:17 3.45371e-05 -12 *25286:A0 *5244:17 1.93007e-05 -13 *25441:A2 *5244:17 2.26973e-05 -14 *28409:D *5244:17 0.000138826 -15 *1665:63 *5244:17 0.000522133 -16 *1751:8 *5244:17 0.00069683 -17 *4159:91 *5244:17 6.57032e-05 -*RES -1 *29472:X *5244:17 46.6036 -2 *5244:17 *25286:A1 9.3 -*END - -*D_NET *5245 0.00199813 -*CONN -*I *25603:S I *D sky130_fd_sc_hd__mux2_2 -*I *29474:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25603:S 0.000514394 -2 *29474:X 0.000514394 -3 *25603:S *25484:A1 0.00026367 -4 *25603:S *25605:A0 8.00806e-05 -5 *25603:S *5935:30 0 -6 *25603:S *6374:61 0 -7 *29435:A *25603:S 0.000293341 -8 *1821:18 *25603:S 0.000195078 -9 *1883:10 *25603:S 0 -10 *4181:10 *25603:S 0.000137177 -11 *4181:22 *25603:S 0 -*RES -1 *29474:X *25603:S 39.4929 -*END - -*D_NET *5246 0.0053819 -*CONN -*I *29063:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29475:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *29063:A 0 -2 *29475:X 0.00169514 -3 *5246:10 0.00169514 -4 *5246:10 *26949:A0 0.000129814 -5 *5246:10 *5832:46 9.60875e-05 -6 *5246:10 *5833:194 0.000489717 -7 *28638:CLK *5246:10 6.05161e-06 -8 *28890:A *5246:10 3.42426e-05 -9 *29778:A *5246:10 5.45662e-05 -10 *29857:A *5246:10 5.33005e-05 -11 *1862:82 *5246:10 0.000527071 -12 *2764:46 *5246:10 0.0005295 -13 *3589:107 *5246:10 7.12595e-05 -*RES -1 *29475:X *5246:10 49.05 -2 *5246:10 *29063:A 9.3 -*END - -*D_NET *5247 0.00493049 -*CONN -*I *25073:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29476:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25073:A1 0 -2 *29476:X 0.00076755 -3 *5247:10 0.00076755 -4 *5247:10 *28064:A 0.000346489 -5 *5247:10 *5575:30 0.00071578 -6 *25000:A0 *5247:10 0.000292437 -7 *28410:RESET_B *5247:10 0.000728439 -8 *29477:A *5247:10 1.10978e-05 -9 *1323:57 *5247:10 0.000412062 -10 *1730:56 *5247:10 1.90936e-05 -11 *1730:76 *5247:10 0.000847368 -12 *4159:14 *5247:10 2.2628e-05 -*RES -1 *29476:X *5247:10 42.4786 -2 *5247:10 *25073:A1 9.3 -*END - -*D_NET *5248 0.00496254 -*CONN -*I *28412:D I *D sky130_fd_sc_hd__dfrtn_1 -*I *29477:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28412:D 0 -2 *29477:X 0.0013807 -3 *5248:11 0.0013807 -4 *5248:11 *25790:A0 0.00034584 -5 *5248:11 *5575:30 0.000537951 -6 *5248:11 *5728:27 0.000180764 -7 *5248:11 *5742:207 0.000248959 -8 *28091:D *5248:11 0.000277091 -9 *593:19 *5248:11 0.000128409 -10 *1324:113 *5248:11 0.000173797 -11 *1730:76 *5248:11 4.58194e-05 -12 *4174:17 *5248:11 0.000262505 -*RES -1 *29477:X *5248:11 42.0187 -2 *5248:11 *28412:D 9.3 -*END - -*D_NET *5249 0.000635265 -*CONN -*I *28321:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29478:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28321:D 6.60286e-05 -2 *29478:X 6.60286e-05 -3 *28321:D *29478:A 0.000360894 -4 *28321:CLK *28321:D 7.77751e-05 -5 *1884:23 *28321:D 6.45381e-05 -*RES -1 *29478:X *28321:D 22.0107 -*END - -*D_NET *5250 0.029529 -*CONN -*I *26400:B2 I *D sky130_fd_sc_hd__o32a_1 -*I *24889:A1 I *D sky130_fd_sc_hd__mux2_4 -*I *29479:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26400:B2 0.00043686 -2 *24889:A1 0.00124086 -3 *29479:X 4.40351e-05 -4 *5250:29 0.00191112 -5 *5250:27 0.00216706 -6 *5250:8 0.00292351 -7 *5250:7 0.00241949 -8 *24889:A1 *24889:A0 0.00038021 -9 *24889:A1 *24889:S 1.33343e-05 -10 *24889:A1 *27546:B1 0.000135217 -11 *26400:B2 *6352:14 0.000520725 -12 *5250:8 *25768:A1 0.00106964 -13 *5250:8 *25786:A0 0.000180183 -14 *5250:8 *28230:RESET_B 1.30694e-05 -15 *5250:8 *29040:A 0.000195805 -16 *5250:8 *5761:179 3.17163e-05 -17 *5250:8 *6356:24 0 -18 *5250:27 *25768:A1 5.41794e-05 -19 *5250:27 *28346:D 0.000195555 -20 *5250:27 *29479:A 4.90817e-05 -21 *5250:29 *29479:A 0 -22 *5250:29 *6352:14 7.47029e-06 -23 *5250:29 *6352:31 0.000147395 -24 *25252:A1 *24889:A1 0 -25 *25252:A1 *5250:8 0.000120621 -26 *25252:C1 *5250:8 0.000148196 -27 *25766:A1 *5250:8 9.55047e-05 -28 *25788:A0 *5250:8 3.75052e-05 -29 *26158:A *5250:29 0.0002253 -30 *26160:A4 *26400:B2 0.000132127 -31 *26160:A4 *5250:29 2.09897e-05 -32 *26398:A *26400:B2 0.000176234 -33 *26399:A3 *26400:B2 8.23597e-06 -34 *26399:A4 *26400:B2 5.85517e-05 -35 *26400:A2 *26400:B2 1.15281e-05 -36 *26400:A3 *26400:B2 8.68471e-05 -37 *26522:B1 *5250:29 0.000144038 -38 *26551:A2 *5250:29 2.01525e-05 -39 *26551:B1 *5250:29 0.000489257 -40 *26552:D *5250:29 0.000102302 -41 *26554:B *26400:B2 4.35945e-05 -42 *26588:A *5250:29 0.000490151 -43 *26604:C *5250:29 2.14474e-05 -44 *26616:B *5250:29 0.00069892 -45 *26657:B *5250:29 0 -46 *26675:B1 *5250:29 0.000155307 -47 *27546:C1 *24889:A1 6.76487e-05 -48 *28335:CLK *5250:7 1.33343e-05 -49 *28335:CLK *5250:27 0.000118871 -50 *28335:D *5250:7 0.000136958 -51 *28400:D *26400:B2 9.60875e-05 -52 *28957:A *5250:27 0.000391647 -53 *29749:A *5250:7 9.41642e-05 -54 *29750:A *5250:8 0 -55 *30116:A *5250:29 0.000116545 -56 *1473:56 *5250:8 0.000659545 -57 *1473:70 *5250:8 9.45668e-05 -58 *1483:48 *24889:A1 3.56665e-05 -59 *1483:48 *5250:8 0.000208379 -60 *1493:14 *5250:8 0.000103267 -61 *1507:11 *24889:A1 0.000256642 -62 *1883:78 *5250:29 0.000123295 -63 *1884:56 *5250:29 0.00114901 -64 *1930:112 *5250:29 0 -65 *2100:28 *5250:29 0.00114178 -66 *2101:8 *5250:29 0.000148903 -67 *2283:23 *5250:29 1.34809e-05 -68 *2850:121 *24889:A1 0.000163894 -69 *3413:41 *24889:A1 0.000105471 -70 *3576:115 *5250:8 0.00093329 -71 *3576:115 *5250:27 0.000509547 -72 *3656:22 *5250:27 0.00032189 -73 *3957:17 *5250:8 0 -74 *4090:18 *5250:8 0.000157967 -75 *4090:34 *5250:8 0.000978244 -76 *4101:8 *5250:8 5.94737e-05 -77 *4101:22 *24889:A1 0.000158861 -78 *4101:22 *5250:8 0.000233275 -79 *4101:24 *24889:A1 0.00183873 -80 *4113:104 *24889:A1 0.000136951 -81 *4350:8 *5250:8 0 -82 *4350:8 *5250:27 1.43349e-05 -83 *4350:8 *5250:29 0.000209223 -84 *4350:31 *24889:A1 3.75528e-05 -85 *4350:48 *24889:A1 0.000903186 -86 *4350:87 *5250:29 0.000374038 -*RES -1 *29479:X *5250:7 15.1571 -2 *5250:7 *5250:8 51.2768 -3 *5250:8 *24889:A1 47.6839 -4 *5250:7 *5250:27 17.9732 -5 *5250:27 *5250:29 49.9107 -6 *5250:29 *26400:B2 26.3982 -*END - -*D_NET *5251 0.00336745 -*CONN -*I *24923:B I *D sky130_fd_sc_hd__nand2_8 -*I *24909:B I *D sky130_fd_sc_hd__nand2_8 -*I *24929:B I *D sky130_fd_sc_hd__nand2_8 -*I *29480:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *24923:B 2.00461e-05 -2 *24909:B 0 -3 *24929:B 0.000227776 -4 *29480:X 0.000367172 -5 *5251:20 0.000334195 -6 *5251:15 0.000493637 -7 *24929:B *24929:A 5.49544e-05 -8 *24929:B *5656:173 4.22986e-05 -9 *24929:B *5700:29 0.000120604 -10 *5251:15 *24954:A 0.00018401 -11 *24909:A *5251:20 2.51981e-05 -12 *24923:A *24923:B 4.08637e-05 -13 *24923:A *5251:15 0.000233373 -14 *29791:A *24923:B 6.05161e-06 -15 *29791:A *5251:15 0.000242143 -16 *1248:120 *24929:B 5.33005e-05 -17 *1482:21 *5251:20 0.000166093 -18 *1652:18 *24929:B 0.000218173 -19 *1652:18 *5251:15 0.000212736 -20 *1652:18 *5251:20 0 -21 *1693:18 *5251:15 0.000305839 -22 *4070:63 *24929:B 0 -23 *5216:29 *24923:B 1.21258e-05 -24 *5216:29 *5251:15 6.8646e-06 -*RES -1 *29480:X *5251:15 27.2821 -2 *5251:15 *5251:20 11.25 -3 *5251:20 *24929:B 23.8714 -4 *5251:20 *24909:B 9.3 -5 *5251:15 *24923:B 9.83571 -*END - -*D_NET *5252 0.00106386 -*CONN -*I *30849:A I *D sky130_fd_sc_hd__buf_12 -*I *24834:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *30849:A 0.000301475 -2 *24834:Y 0.000301475 -3 *30849:A *5408:21 0.000315158 -4 *30849:A *5460:23 0 -5 *3848:95 *30849:A 0.000145753 -*RES -1 *24834:Y *30849:A 32.5464 -*END - -*D_NET *5253 0.0229078 -*CONN -*I *25416:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *29481:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25416:A1 0 -2 *29481:X 0.0017434 -3 *5253:44 0.00284725 -4 *5253:28 0.00442754 -5 *5253:21 0.00332369 -6 *5253:21 *25471:A1 1.21258e-05 -7 *5253:21 *28048:A 6.12192e-05 -8 *5253:21 *29025:A 7.40922e-05 -9 *5253:21 *5836:150 2.85321e-05 -10 *5253:21 *5836:162 3.01245e-06 -11 *5253:28 *25652:B 0.00115195 -12 *5253:28 *29025:A 0.00012316 -13 *5253:28 *29106:A 0.000188995 -14 *5253:28 *5699:41 0.000278042 -15 *25466:B1 *5253:21 8.49829e-05 -16 *25825:A0 *5253:28 0.000218454 -17 *25877:A0 *5253:44 2.25652e-05 -18 *28078:CLK *5253:21 0.00030427 -19 *28080:D *5253:21 0.000295976 -20 *28163:D *5253:28 9.58632e-05 -21 *28237:D *5253:28 9.58632e-05 -22 *30289:A *5253:44 7.41135e-05 -23 *30327:A *5253:28 0.000180207 -24 *30378:A *5253:28 0.00039234 -25 *30399:A *5253:28 0.000139338 -26 *359:14 *5253:21 4.27359e-05 -27 *361:20 *5253:21 2.74787e-05 -28 *402:13 *5253:44 0.000321377 -29 *411:25 *5253:21 9.80742e-05 -30 *1396:83 *5253:44 1.62305e-05 -31 *1423:66 *5253:44 0.000234255 -32 *1423:71 *5253:44 0.0003458 -33 *1427:143 *5253:28 0.000927651 -34 *1456:82 *5253:44 0.000424064 -35 *1738:106 *5253:44 0.000851901 -36 *1748:20 *5253:44 0.000238947 -37 *1877:66 *5253:44 1.75647e-05 -38 *2834:142 *5253:44 0.000282346 -39 *2865:133 *5253:44 4.87206e-05 -40 *3165:312 *5253:28 0.000585158 -41 *3165:314 *5253:28 2.87037e-05 -42 *3168:129 *5253:44 5.79562e-05 -43 *3197:138 *5253:44 6.08908e-05 -44 *3452:32 *5253:44 8.94491e-05 -45 *3713:39 *5253:44 0.000135989 -46 *3723:25 *5253:44 4.60298e-05 -47 *3724:20 *5253:44 0.000225113 -48 *3749:18 *5253:44 0.000120494 -49 *3749:105 *5253:44 2.3483e-05 -50 *3870:18 *5253:44 0.000152087 -51 *3870:34 *5253:44 2.5998e-05 -52 *3896:56 *5253:44 0.000335743 -53 *3909:40 *5253:44 3.73138e-05 -54 *3984:33 *5253:44 0.000251292 -55 *4113:36 *5253:44 0.000257335 -56 *5136:11 *5253:28 0.000430612 -*RES -1 *29481:X *5253:21 40.1929 -2 *5253:21 *5253:28 45.9286 -3 *5253:28 *5253:44 42.9008 -4 *5253:44 *25416:A1 9.3 -*END - -*D_NET *5254 0.00327124 -*CONN -*I *25000:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29482:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25000:A1 0.000612302 -2 *29482:X 0.000612302 -3 *25000:A1 *5887:14 0.000750006 -4 *29107:A *25000:A1 0.000677032 -5 *29482:A *25000:A1 8.85532e-05 -6 *1324:37 *25000:A1 1.21258e-05 -7 *4160:15 *25000:A1 0.000365217 -8 *4195:90 *25000:A1 0.000153704 -*RES -1 *29482:X *25000:A1 43.5643 -*END - -*D_NET *5255 0.00267497 -*CONN -*I *29679:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29483:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *29679:A 0.000430196 -2 *29483:X 0.000430196 -3 *29679:A *5748:6 0 -4 *29679:A *5769:144 0.000123295 -5 *29679:A *5984:11 0.000533203 -6 *28754:D *29679:A 0.000394975 -7 *29144:A *29679:A 0.000139913 -8 *30204:A *29679:A 0.000440698 -9 *4043:47 *29679:A 0.000152919 -10 *4446:13 *29679:A 2.95726e-05 -*RES -1 *29483:X *29679:A 40.8679 -*END - -*D_NET *5256 0.00346211 -*CONN -*I *25498:A2 I *D sky130_fd_sc_hd__o211a_1 -*I *29485:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25498:A2 0 -2 *29485:X 0.000917637 -3 *5256:23 0.000917637 -4 *5256:23 *25471:A1 2.71857e-05 -5 *5256:23 *28048:A 0.000117545 -6 *5256:23 *5836:150 0.00016417 -7 *5256:23 *5902:22 0 -8 *25438:A1 *5256:23 3.51368e-05 -9 *25498:B1 *5256:23 7.13226e-06 -10 *25498:C1 *5256:23 2.36503e-05 -11 *1192:25 *5256:23 0.000384243 -12 *1738:54 *5256:23 3.29506e-06 -13 *1762:16 *5256:23 2.98296e-05 -14 *1766:24 *5256:23 4.34863e-05 -15 *3561:88 *5256:23 0.000333145 -16 *3857:21 *5256:23 9.04237e-05 -17 *4192:20 *5256:23 9.9407e-05 -18 *4192:28 *5256:23 0.000161822 -19 *4192:35 *5256:23 4.79453e-05 -20 *4194:51 *5256:23 3.93244e-05 -21 *4194:65 *5256:23 1.90936e-05 -*RES -1 *29485:X *5256:23 42.0946 -2 *5256:23 *25498:A2 9.3 -*END - -*D_NET *5257 0.001033 -*CONN -*I *27462:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29486:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27462:A0 0.000281351 -2 *29486:X 0.000281351 -3 *27462:A0 *27079:A0 3.92854e-05 -4 *27462:A0 *5926:152 8.00806e-05 -5 *2784:26 *27462:A0 0.000350932 -*RES -1 *29486:X *27462:A0 32.0464 -*END - -*D_NET *5258 0.0034544 -*CONN -*I *28793:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29487:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28793:D 0.000496698 -2 *29487:X 0.000496698 -3 *28793:D *5667:230 0.000250568 -4 *28793:D *5680:257 3.89943e-05 -5 *27462:S *28793:D 0.000177815 -6 *1273:265 *28793:D 0.000955292 -7 *1799:51 *28793:D 0.000586452 -8 *2782:170 *28793:D 0.000260322 -9 *3041:15 *28793:D 4.70078e-05 -10 *3636:16 *28793:D 0.000144556 -*RES -1 *29487:X *28793:D 44.9929 -*END - -*D_NET *5259 0.000610901 -*CONN -*I *27334:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *29488:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27334:A1 0.000198216 -2 *29488:X 0.000198216 -3 *27334:A1 *5720:225 3.48013e-05 -4 *27671:B1 *27334:A1 5.33005e-05 -5 *2841:17 *27334:A1 5.96516e-05 -6 *2850:177 *27334:A1 4.22135e-06 -7 *3184:53 *27334:A1 6.24939e-05 -*RES -1 *29488:X *27334:A1 30.4036 -*END - -*D_NET *5260 0.00216636 -*CONN -*I *25484:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29489:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25484:A1 0.000670579 -2 *29489:X 0.000670579 -3 *25484:A1 *25601:S 0 -4 *25484:A1 *6374:61 0 -5 *25484:S *25484:A1 1.92789e-05 -6 *25603:S *25484:A1 0.00026367 -7 *27178:A1 *25484:A1 4.46231e-06 -8 *29489:A *25484:A1 6.57914e-05 -9 *29524:A *25484:A1 1.15281e-05 -10 *1822:11 *25484:A1 0.000434813 -11 *4181:22 *25484:A1 2.56601e-05 -*RES -1 *29489:X *25484:A1 37.8857 -*END - -*D_NET *5261 0.00190319 -*CONN -*I *28076:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29490:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28076:D 0.000489361 -2 *29490:X 0.000489361 -3 *28076:D *5836:324 0.000253476 -4 *28076:D *5935:30 0.00014493 -5 *28076:RESET_B *28076:D 0.000181969 -6 *29490:A *28076:D 0.000312222 -7 *4182:8 *28076:D 3.18676e-05 -*RES -1 *29490:X *28076:D 30.225 -*END - -*D_NET *5262 0.00124478 -*CONN -*I *25517:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *29491:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25517:B1 0.000265594 -2 *29491:X 0.000265594 -3 *25517:B1 *5458:40 0.000317594 -4 *25517:B1 *5719:17 0.000317594 -5 *25517:B1 *5798:15 7.8401e-05 -*RES -1 *29491:X *25517:B1 33.85 -*END - -*D_NET *5263 0.00130105 -*CONN -*I *30850:A I *D sky130_fd_sc_hd__buf_12 -*I *24833:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *30850:A 0.000424515 -2 *24833:Y 0.000424515 -3 *30850:A *5408:21 8.18698e-05 -4 mgmt_gpio_oeb[25] *30850:A 8.07313e-05 -5 mgmt_gpio_oeb[26] *30850:A 0.000232276 -6 *24833:A *30850:A 5.71472e-05 -*RES -1 *24833:Y *30850:A 26.9571 -*END - -*D_NET *5264 0.000844143 -*CONN -*I *25518:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *29492:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25518:B1 0.000114837 -2 *29492:X 0.000114837 -3 *25518:B1 *25579:A 5.48488e-05 -4 *25518:B1 *28316:RESET_B 0.000213209 -5 *25518:B1 *6370:9 0.000271352 -6 *3583:80 *25518:B1 7.50601e-05 -*RES -1 *29492:X *25518:B1 32.1357 -*END - -*D_NET *5265 0.00415283 -*CONN -*I *27745:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *27721:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29493:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27745:A2 0.000265318 -2 *27721:A0 0.000648996 -3 *29493:X 0 -4 *5265:4 0.000914313 -5 *27721:A0 *27746:A0 0.000150783 -6 *27721:A0 *29493:A 0.000383166 -7 *27721:A0 *5599:295 9.71197e-05 -8 *27721:A0 *5599:301 0.000392542 -9 *27721:A0 *5638:30 5.33005e-05 -10 *27721:A0 *5718:310 0.000246953 -11 *27746:A1 *27721:A0 6.97764e-05 -12 *27843:A1 *27745:A2 2.27416e-05 -13 *1228:87 *27745:A2 5.52302e-05 -14 *1242:230 *27721:A0 0.000477372 -15 *1242:230 *27745:A2 0.000120885 -16 *2998:32 *27745:A2 0.000172233 -17 *3153:124 *27745:A2 8.20967e-05 -*RES -1 *29493:X *5265:4 9.3 -2 *5265:4 *27721:A0 24.9071 -3 *5265:4 *27745:A2 24.1036 -*END - -*D_NET *5266 0.00140673 -*CONN -*I *28802:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29494:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28802:D 0.000220339 -2 *29494:X 0.000220339 -3 *28802:D *25176:B2 9.82379e-05 -4 *28802:D *29528:A 9.58126e-05 -5 *28802:D *5627:18 9.67203e-05 -6 *28802:D *5630:14 8.43535e-06 -7 *28802:D *5754:43 2.47753e-05 -8 *28801:CLK *28802:D 8.93791e-05 -9 *1228:85 *28802:D 0.00051292 -10 *3194:93 *28802:D 3.97677e-05 -*RES -1 *29494:X *28802:D 33.9929 -*END - -*D_NET *5267 0.0131721 -*CONN -*I *24874:A_N I *D sky130_fd_sc_hd__nand2b_1 -*I *29496:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *24874:A_N 0.000462678 -2 *29496:X 0.000898272 -3 *5267:17 0.00158819 -4 *5267:11 0.00202378 -5 *24874:A_N *5719:105 5.52238e-05 -6 *5267:11 *5824:50 2.05612e-05 -7 *5267:11 *6356:41 0.00027032 -8 *5267:17 *25827:A0 5.52238e-05 -9 *25826:S *24874:A_N 0.000102813 -10 *26251:A *5267:17 0 -11 *26256:A *5267:11 0.000213172 -12 *26606:A *5267:17 0.000646703 -13 *28305:D *5267:17 9.443e-05 -14 *29886:A *5267:17 2.14757e-05 -15 *29892:A *24874:A_N 0.000320031 -16 *30457:A *5267:11 0.00028115 -17 *30552:A *5267:17 0.000679593 -18 *1195:6 *5267:17 0.000165407 -19 *1259:8 *24874:A_N 0.000130992 -20 *1259:8 *5267:17 0.00128605 -21 *1287:14 *24874:A_N 0.00127835 -22 *1287:14 *5267:17 0.0002979 -23 *1875:35 *24874:A_N 0.000232395 -24 *2133:229 *5267:11 0.000295752 -25 *2133:243 *5267:11 0.000467667 -26 *3657:10 *24874:A_N 0.000116112 -27 *3710:77 *5267:11 0.00116785 -*RES -1 *29496:X *5267:11 34.6036 -2 *5267:11 *5267:17 41.3393 -3 *5267:17 *24874:A_N 30.8536 -*END - -*D_NET *5268 0.00391089 -*CONN -*I *27355:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27376:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *29497:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27355:A0 0.000631746 -2 *27376:A2 0.00017677 -3 *29497:X 7.2722e-05 -4 *5268:7 0.000881238 -5 *27355:A0 *27039:A1 0.000682246 -6 *27355:A0 *5530:58 0.000250674 -7 *27355:A0 *6225:114 0.000242138 -8 *27376:A2 *5530:58 0.000439018 -9 *27376:A2 *6225:114 0.000438473 -10 *27313:B *5268:7 9.58689e-05 -*RES -1 *29497:X *5268:7 14.7464 -2 *5268:7 *27376:A2 19.6929 -3 *5268:7 *27355:A0 23.9607 -*END - -*D_NET *5269 0.00260318 -*CONN -*I *28788:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29498:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28788:D 0.000604849 -2 *29498:X 0.000604849 -3 *28788:D *5655:29 0.00024992 -4 *28788:D *5717:60 0.000136958 -5 *28788:D *5720:215 0.000327283 -6 *28876:A *28788:D 0.000157311 -7 *3156:41 *28788:D 0.000175892 -8 *3214:21 *28788:D 2.05612e-05 -9 *3886:47 *28788:D 0.000325561 -*RES -1 *29498:X *28788:D 40.4393 -*END - -*D_NET *5270 0.00241867 -*CONN -*I *25516:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *29499:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25516:B1 0.000434479 -2 *29499:X 0.000434479 -3 *25516:B1 *25516:A1 9.41642e-05 -4 *25516:B1 *25813:A 0.000219711 -5 *25516:B1 *25854:A 5.33005e-05 -6 *25516:B1 *25854:C 0.000125443 -7 *25516:B1 *5458:40 0 -8 *25517:A2 *25516:B1 9.4387e-05 -9 *28323:D *25516:B1 0.000595319 -10 *3581:158 *25516:B1 0.000367389 -*RES -1 *29499:X *25516:B1 39.8143 -*END - -*D_NET *5271 0.0014671 -*CONN -*I *27271:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29500:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27271:A1 0.000131794 -2 *29500:X 0.000131794 -3 *27271:A1 *26839:A1 1.5424e-05 -4 *27271:A1 *27225:A2 0.000398822 -5 *27271:A1 *29500:A 9.60939e-05 -6 *27271:A0 *27271:A1 0.000225609 -7 *27271:S *27271:A1 9.90367e-05 -8 *29876:A *27271:A1 0.000270326 -9 *775:23 *27271:A1 9.82016e-05 -*RES -1 *29500:X *27271:A1 24.8857 -*END - -*D_NET *5272 0.00305303 -*CONN -*I *27418:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *27398:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29501:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27418:A2 0.000256659 -2 *27398:A0 7.866e-05 -3 *29501:X 0.000239534 -4 *5272:8 0.000574853 -5 *27398:A0 *28791:RESET_B 3.22304e-05 -6 *27398:A0 *5749:61 0.000109732 -7 *27398:A0 *6314:37 8.1377e-05 -8 *27418:A2 *27418:A1 0.000137561 -9 *27418:A2 *27419:A0 0.000135028 -10 *27418:A2 *27419:S 5.33005e-05 -11 *27418:A2 *5969:19 9.05283e-05 -12 *5272:8 *29501:A 3.43988e-06 -13 *5272:8 *5749:61 0.000136881 -14 *5272:8 *6314:37 0.000115578 -15 *27419:A1 *27418:A2 0.000682259 -16 *1250:121 *27418:A2 8.56654e-05 -17 *1271:23 *27418:A2 1.51489e-05 -18 *1289:55 *5272:8 0.00022459 -*RES -1 *29501:X *5272:8 17.925 -2 *5272:8 *27398:A0 15.7464 -3 *5272:8 *27418:A2 21.3179 -*END - -*D_NET *5273 0.0025642 -*CONN -*I *28790:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29502:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28790:D 0.000655124 -2 *29502:X 0.000655124 -3 *28790:CLK *28790:D 0.000139019 -4 *1289:55 *28790:D 0.000470382 -5 *2817:33 *28790:D 0.000309986 -6 *2817:52 *28790:D 1.04707e-05 -7 *3569:73 *28790:D 0.000324098 -*RES -1 *29502:X *28790:D 39.6179 -*END - -*D_NET *5274 0.00613688 -*CONN -*I *30851:A I *D sky130_fd_sc_hd__buf_12 -*I *24832:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *30851:A 0.00111343 -2 *24832:Y 0.000634243 -3 *5274:20 0.00174767 -4 *30851:A *5457:30 0.000111763 -5 *5274:20 *5408:21 9.90367e-05 -6 *5274:20 *6040:20 0.000262273 -7 *5274:20 *6241:9 1.40034e-05 -8 mgmt_gpio_oeb[26] *30851:A 1.55885e-05 -9 mgmt_gpio_oeb[29] *5274:20 9.71197e-05 -10 mgmt_gpio_out[28] *5274:20 0 -11 mgmt_gpio_out[29] *5274:20 4.11218e-05 -12 *24831:A *30851:A 3.98559e-05 -13 *26864:S *5274:20 3.69047e-06 -14 *29737:A *5274:20 6.9512e-05 -15 *63:17 *30851:A 0.000704614 -16 *139:26 *5274:20 0.000163196 -17 *1180:16 *30851:A 0.000836053 -18 *1835:84 *5274:20 0.00018372 -*RES -1 *24832:Y *5274:20 39.0321 -2 *5274:20 *30851:A 25.7643 -*END - -*D_NET *5275 0.00257559 -*CONN -*I *28010:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29503:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28010:A1 0.000526061 -2 *29503:X 0.000526061 -3 *28010:A1 *5895:15 0.000529528 -4 *28010:A1 *5930:11 0.000434806 -5 *25605:A1 *28010:A1 0.000530229 -6 *28010:S *28010:A1 2.89016e-05 -*RES -1 *29503:X *28010:A1 39.5821 -*END - -*D_NET *5276 0.00171149 -*CONN -*I *27377:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29504:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27377:A0 0.000191505 -2 *29504:X 0.000191505 -3 *27377:A0 *27377:S 9.71197e-05 -4 *27377:A0 *29504:A 0.000265628 -5 *27377:A0 *6314:37 3.2687e-05 -6 *28773:CLK *27377:A0 0.000160365 -7 *2776:48 *27377:A0 0.00077268 -*RES -1 *29504:X *27377:A0 26.1179 -*END - -*D_NET *5277 0.00268874 -*CONN -*I *27769:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27746:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29505:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27769:A0 6.00175e-05 -2 *27746:A0 0.000203402 -3 *29505:X 0.000366671 -4 *5277:8 0.00063009 -5 *27746:A0 *29493:A 0.000345251 -6 *27769:A0 *5718:310 5.43318e-05 -7 *5277:8 *29505:A 2.84109e-05 -8 *5277:8 *5718:310 4.13805e-05 -9 *27721:A0 *27746:A0 0.000150783 -10 *27746:A1 *27746:A0 0.000469496 -11 *1273:130 *5277:8 1.05936e-05 -12 *2790:92 *5277:8 1.76821e-05 -13 *3153:140 *5277:8 0.000183298 -14 *3183:40 *27746:A0 2.04825e-05 -15 *3183:40 *27769:A0 4.11754e-05 -16 *3183:40 *5277:8 6.56734e-05 -*RES -1 *29505:X *5277:8 19.3089 -2 *5277:8 *27746:A0 19.6661 -3 *5277:8 *27769:A0 15.2911 -*END - -*D_NET *5278 0.00327489 -*CONN -*I *27695:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *27671:B2 I *D sky130_fd_sc_hd__a32o_1 -*I *29508:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27695:A2 0.000201074 -2 *27671:B2 0.000306895 -3 *29508:X 0.000197338 -4 *5278:5 0.000705306 -5 *27671:B2 *5754:27 0.000135028 -6 *27695:A2 *27695:A1 5.33005e-05 -7 *27695:A2 *5700:111 1.81709e-05 -8 *5278:5 *5754:27 0.000259549 -9 *27334:B1 *27671:B2 5.9396e-05 -10 *1639:14 *27695:A2 0.000415956 -11 *2917:26 *27671:B2 0.000239282 -12 *3316:17 *27695:A2 1.58163e-05 -13 *3782:66 *27671:B2 1.50563e-05 -14 *3782:75 *27695:A2 0.000191403 -15 *3796:62 *27671:B2 0.000275341 -16 *3873:73 *27695:A2 0.00018598 -*RES -1 *29508:X *5278:5 11.8893 -2 *5278:5 *27671:B2 24.8 -3 *5278:5 *27695:A2 24.425 -*END - -*D_NET *5279 0.00634954 -*CONN -*I *27943:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29509:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27943:A0 0 -2 *29509:X 0.001324 -3 *5279:29 0.001324 -4 *5279:29 *27893:A1 4.04359e-05 -5 *5279:29 *27894:A1 9.26392e-05 -6 *5279:29 *5430:12 6.56686e-05 -7 *5279:29 *5599:125 0.000500524 -8 *5279:29 *5691:160 9.02021e-05 -9 *5279:29 *6332:39 0.000170256 -10 *25400:B2 *5279:29 1.95404e-05 -11 *25409:A2 *5279:29 7.97186e-05 -12 *27968:B1 *5279:29 0.000343325 -13 *28812:CLK *5279:29 0.000269782 -14 *29378:A *5279:29 1.58163e-05 -15 *461:19 *5279:29 0.00134866 -16 *462:17 *5279:29 6.58294e-06 -17 *1294:64 *5279:29 0.000309736 -18 *4263:9 *5279:29 4.23907e-05 -19 *5074:15 *5279:29 0.000306274 -*RES -1 *29509:X *5279:29 44.2316 -2 *5279:29 *27943:A0 9.3 -*END - -*D_NET *5280 0.00439718 -*CONN -*I *27795:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27819:A2 I *D sky130_fd_sc_hd__o21a_1 -*I *29510:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27795:A0 0.000196494 -2 *27819:A2 0.000407711 -3 *29510:X 0.000414345 -4 *5280:7 0.00101855 -5 *27795:A0 *5757:132 1.77923e-05 -6 *27795:A0 *5757:134 7.83587e-05 -7 *27819:A2 *27819:A1 5.33005e-05 -8 *27819:A2 *5459:39 0.000280526 -9 *27819:A2 *5757:132 1.60149e-05 -10 *5280:7 *5755:7 5.33005e-05 -11 *27795:A1 *27795:A0 1.98839e-05 -12 *27795:S *27795:A0 1.21258e-05 -13 *27820:B1 *27819:A2 7.32173e-05 -14 *1291:46 *5280:7 0.000482299 -15 *2844:164 *5280:7 0.000640151 -16 *2871:175 *27795:A0 9.41642e-05 -17 *2892:126 *27819:A2 5.91011e-05 -18 *2998:32 *27795:A0 0.000123594 -19 *2998:32 *27819:A2 6.6403e-05 -20 *3952:27 *27819:A2 0.000289848 -*RES -1 *29510:X *5280:7 23.7821 -2 *5280:7 *27819:A2 22.925 -3 *5280:7 *27795:A0 17.2107 -*END - -*D_NET *5281 0.00258282 -*CONN -*I *28805:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29511:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28805:D 0.000663904 -2 *29511:X 0.000663904 -3 *28805:D *27460:C1 0.000153026 -4 *28805:D *28805:RESET_B 0.00017096 -5 *28805:D *5459:39 8.85532e-05 -6 *28805:D *5755:22 0.00014734 -7 *2871:175 *28805:D 0.000387803 -8 *3153:124 *28805:D 0.000307327 -*RES -1 *29511:X *28805:D 40.1357 -*END - -*D_NET *5282 0.000692121 -*CONN -*I *27820:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *29512:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27820:A1 0.000183419 -2 *29512:X 0.000183419 -3 *27820:A2 *27820:A1 1.00733e-05 -4 *27820:B1 *27820:A1 1.00733e-05 -5 *27820:B2 *27820:A1 5.33005e-05 -6 *2787:112 *27820:A1 8.54568e-05 -7 *2894:181 *27820:A1 0.00016638 -*RES -1 *29512:X *27820:A1 31.1179 -*END - -*D_NET *5283 0.00100409 -*CONN -*I *25490:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25489:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29513:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25490:A1 0 -2 *25489:A0 0.000155451 -3 *29513:X 5.58026e-05 -4 *5283:6 0.000211254 -5 *25489:A0 *25489:A1 0.000139907 -6 *25489:A0 *6376:41 6.67033e-05 -7 *25489:A0 *6378:41 2.4958e-05 -8 *5283:6 *6376:41 5.48488e-05 -9 *5283:6 *6378:41 7.80929e-05 -10 *28071:D *25489:A0 6.05161e-06 -11 *28073:RESET_B *25489:A0 4.46186e-06 -12 *1771:63 *25489:A0 0.00015134 -13 *1771:68 *25489:A0 5.52238e-05 -*RES -1 *29513:X *5283:6 15.7464 -2 *5283:6 *25489:A0 18.1214 -3 *5283:6 *25490:A1 13.8 -*END - -*D_NET *5284 0.00436667 -*CONN -*I *25487:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25488:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29514:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25487:A0 0.000104729 -2 *25488:A1 0.000542279 -3 *29514:X 0.000348642 -4 *5284:8 0.000995651 -5 *25487:A0 *25597:A0 0.000140011 -6 *25487:A0 *5878:12 0.000260993 -7 *25488:A1 *5836:324 6.13757e-06 -8 *5284:8 *25597:A0 0.000164529 -9 *5284:8 *5729:145 0.000185643 -10 *5284:8 *5878:12 0.000642303 -11 *28073:RESET_B *25488:A1 0.000697363 -12 *28074:D *5284:8 0.000126409 -13 *1771:76 *25488:A1 1.84523e-06 -14 *1771:89 *25487:A0 5.52302e-05 -15 *1817:16 *25487:A0 2.89114e-05 -16 *3581:165 *5284:8 6.59958e-05 -*RES -1 *29514:X *5284:8 23.8893 -2 *5284:8 *25488:A1 21.3179 -3 *5284:8 *25487:A0 17.8 -*END - -*D_NET *5285 0.00237453 -*CONN -*I *30852:A I *D sky130_fd_sc_hd__buf_12 -*I *24831:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *30852:A 0.00062303 -2 *24831:Y 0.00062303 -3 *30852:A *5408:21 0.00083797 -4 *30852:A *5457:30 0.000117928 -5 *30852:A *5460:35 7.40571e-05 -6 mgmt_gpio_out[27] *30852:A 3.49239e-05 -7 *62:10 *30852:A 0 -8 *1180:16 *30852:A 6.35864e-05 -*RES -1 *24831:Y *30852:A 37.475 -*END - -*D_NET *5286 0.00521041 -*CONN -*I *27440:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29515:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27440:A0 0.0011254 -2 *29515:X 0.0011254 -3 *27440:A0 *5536:11 0.00158532 -4 *27440:A0 *5751:167 6.24939e-05 -5 *28779:D *27440:A0 1.90431e-05 -6 *1624:26 *27440:A0 0.000174832 -7 *2787:17 *27440:A0 0.000314061 -8 *2811:15 *27440:A0 0.000166915 -9 *3572:72 *27440:A0 0.000597248 -10 *3850:24 *27440:A0 2.06112e-05 -11 *3851:31 *27440:A0 1.90936e-05 -*RES -1 *29515:X *27440:A0 44.025 -*END - -*D_NET *5287 0.00121794 -*CONN -*I *28792:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29516:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28792:D 0.000341804 -2 *29516:X 0.000341804 -3 *27213:A2 *28792:D 4.55511e-05 -4 *1799:51 *28792:D 9.77956e-05 -5 *3041:15 *28792:D 0.000390987 -*RES -1 *29516:X *28792:D 32.7607 -*END - -*D_NET *5288 0.00169043 -*CONN -*I *27770:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29517:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27770:A0 0.000515606 -2 *29517:X 0.000515606 -3 *27770:A0 *27794:A2 2.12005e-05 -4 *2758:64 *27770:A0 0.000638017 -*RES -1 *29517:X *27770:A0 25.2964 -*END - -*D_NET *5289 0.000701824 -*CONN -*I *27989:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29519:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27989:A1 0.000263541 -2 *29519:X 0.000263541 -3 *27989:A1 *5544:11 0.000117594 -4 *27989:A0 *27989:A1 5.71472e-05 -*RES -1 *29519:X *27989:A1 23.2429 -*END - -*D_NET *5290 0.00260899 -*CONN -*I *25488:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25489:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29520:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25488:A0 0.0003404 -2 *25489:A1 9.71133e-05 -3 *29520:X 0.000134436 -4 *5290:10 0.00057195 -5 *5290:10 *6378:41 0.000103327 -6 *25489:A0 *25489:A1 0.000139907 -7 *28071:D *5290:10 2.11336e-05 -8 *28072:D *25488:A0 9.71197e-05 -9 *28073:RESET_B *25488:A0 0.000694747 -10 *28073:RESET_B *5290:10 0.000312509 -11 *29520:A *5290:10 5.49995e-05 -12 *1771:68 *25488:A0 1.98839e-05 -13 *1771:68 *25489:A1 2.14658e-05 -*RES -1 *29520:X *5290:10 22.9071 -2 *5290:10 *25489:A1 11.0679 -3 *5290:10 *25488:A0 16.4071 -*END - -*D_NET *5291 0.0202071 -*CONN -*I *25461:A2 I *D sky130_fd_sc_hd__a31o_1 -*I *25536:B1 I *D sky130_fd_sc_hd__a41o_1 -*I *29521:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25461:A2 0.0014211 -2 *25536:B1 0.000345702 -3 *29521:X 3.39029e-05 -4 *5291:29 0.00305307 -5 *5291:5 0.00201158 -6 *25461:A2 *25675:A0 3.05874e-05 -7 *25461:A2 *5614:100 0.00133347 -8 *25461:A2 *5614:114 0.000157738 -9 *25461:A2 *5740:162 0.000226074 -10 *5291:29 *28168:D 0.000278025 -11 *5291:29 *5542:12 0.00135943 -12 *5291:29 *5542:21 0.000104683 -13 *5291:29 *5727:36 0.000218564 -14 *5291:29 *5728:137 0.000923763 -15 *5291:29 *5728:151 0.000236296 -16 *5291:29 *5902:22 0.000135968 -17 *25461:A1 *25461:A2 4.58194e-05 -18 *25467:A1 *25461:A2 0.0013458 -19 *25467:A1 *5291:29 0.00139773 -20 *25467:A2 *25461:A2 9.83388e-05 -21 *25536:A3 *25536:B1 0.000136676 -22 *25774:A1 *25461:A2 2.72228e-05 -23 *28082:RESET_B *25536:B1 0.00011994 -24 *29521:A *5291:5 2.59355e-05 -25 *29521:A *5291:29 0.000741757 -26 *29585:A *5291:29 1.46717e-05 -27 *29625:A *5291:29 9.80173e-05 -28 *437:23 *25461:A2 0.000770854 -29 *1194:37 *5291:29 4.04359e-05 -30 *1323:24 *25536:B1 0.000261416 -31 *1738:15 *25536:B1 0.000135028 -32 *1763:22 *25461:A2 7.25076e-05 -33 *1827:36 *25461:A2 0.000372581 -34 *1888:39 *25461:A2 2.06178e-05 -35 *3711:34 *25461:A2 7.69776e-06 -36 *3857:21 *25461:A2 6.4992e-05 -37 *3896:8 *25461:A2 0.000135028 -38 *4185:30 *25461:A2 0.000468247 -39 *4188:20 *25536:B1 0.000215102 -40 *4192:64 *25536:B1 6.06101e-05 -41 *4195:196 *25536:B1 0.000532622 -42 *4196:18 *5291:29 0.00103589 -43 *5007:16 *5291:29 9.15969e-05 -*RES -1 *29521:X *5291:5 9.83571 -2 *5291:5 *25536:B1 29.1929 -3 *5291:5 *5291:29 46.1463 -4 *5291:29 *25461:A2 45.3775 -*END - -*D_NET *5292 0.00298371 -*CONN -*I *28166:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29522:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28166:D 0.000595272 -2 *29522:X 0.000595272 -3 *28166:D *25471:A1 0.000126958 -4 *28166:D *28169:D 0.000546708 -5 *28166:D *5739:37 0 -6 *28169:RESET_B *28166:D 0 -7 *1738:26 *28166:D 0.000101978 -8 *1738:40 *28166:D 0.000711201 -9 *4187:18 *28166:D 0.000306317 -*RES -1 *29522:X *28166:D 42.3679 -*END - -*D_NET *5293 0.00586689 -*CONN -*I *25485:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25486:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29523:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25485:A0 0.000129916 -2 *25486:A1 0.000379123 -3 *29523:X 0.00159468 -4 *5293:11 0.00210372 -5 *25485:A0 *5780:125 7.44918e-05 -6 *25485:A0 *5934:20 0.000334836 -7 *5293:11 *28075:D 0.000212071 -8 *5293:11 *6374:61 6.53413e-05 -9 *25486:A0 *25486:A1 4.97967e-05 -10 *28076:CLK *25485:A0 0.000122923 -11 *28076:CLK *25486:A1 0.000139344 -12 *28076:CLK *5293:11 4.17702e-05 -13 *29523:A *5293:11 0.000404168 -14 *3567:84 *25486:A1 5.35922e-05 -15 *4180:11 *5293:11 0.000161126 -*RES -1 *29523:X *5293:11 39.0857 -2 *5293:11 *25486:A1 13.9429 -3 *5293:11 *25485:A0 22.675 -*END - -*D_NET *5294 0.00290236 -*CONN -*I *28075:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29524:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28075:D 0.000996852 -2 *29524:X 0.000996852 -3 *28075:D *25601:S 0.000169095 -4 *28075:D *5778:142 0.000286318 -5 *28075:RESET_B *28075:D 7.05769e-05 -6 *28076:CLK *28075:D 3.11088e-05 -7 *29435:A *28075:D 1.69976e-05 -8 *4180:11 *28075:D 0.00012249 -9 *5293:11 *28075:D 0.000212071 -*RES -1 *29524:X *28075:D 44.6536 -*END - -*D_NET *5295 0.0015726 -*CONN -*I *27419:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29525:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27419:A0 0.000220792 -2 *29525:X 0.000220792 -3 *27419:A0 *27419:S 6.42095e-05 -4 *27419:A0 *29525:A 9.71197e-05 -5 *27419:A0 *5969:19 0.000675122 -6 *27418:A2 *27419:A0 0.000135028 -7 *1271:23 *27419:A0 6.5374e-05 -8 *3573:30 *27419:A0 9.41642e-05 -*RES -1 *29525:X *27419:A0 25.2964 -*END - -*D_NET *5296 0.00455006 -*CONN -*I *30853:A I *D sky130_fd_sc_hd__buf_12 -*I *24830:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *30853:A 0 -2 *24830:Y 0.0015591 -3 *5296:20 0.0015591 -4 *5296:20 *28697:RESET_B 1.03859e-05 -5 *5296:20 *29982:A 5.25192e-06 -6 *5296:20 *5449:28 4.43299e-05 -7 *5296:20 *5463:14 4.73953e-05 -8 *5296:20 *5588:85 2.48858e-05 -9 *5296:20 *6010:21 0.000107222 -10 mgmt_gpio_oeb[29] *5296:20 9.54798e-06 -11 mgmt_gpio_out[28] *5296:20 0 -12 *24831:A *5296:20 0.00104974 -13 *29955:A *5296:20 2.14879e-05 -14 *137:17 *5296:20 4.38058e-05 -15 *2769:209 *5296:20 5.75141e-05 -16 *3926:18 *5296:20 1.02969e-05 -*RES -1 *24830:Y *5296:20 43.3694 -2 *5296:20 *30853:A 9.3 -*END - -*D_NET *5297 0.00302075 -*CONN -*I *27995:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29526:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27995:A1 0.000861219 -2 *29526:X 0.000861219 -3 *27995:A1 *27998:A1 9.90431e-05 -4 *27995:A1 *28822:RESET_B 0.000105696 -5 *27995:A1 *29527:A 0 -6 *27995:A1 *6180:30 3.34366e-05 -7 *27995:A1 *6360:11 0.000580791 -8 *27989:S *27995:A1 0.000102207 -9 *27995:A0 *27995:A1 5.71472e-05 -10 *28823:D *27995:A1 0 -11 *28824:D *27995:A1 0.00023424 -12 *3516:38 *27995:A1 8.57535e-05 -*RES -1 *29526:X *27995:A1 42.6179 -*END - -*D_NET *5298 0.00356768 -*CONN -*I *27992:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30257:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29527:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27992:A1 0.000174239 -2 *30257:A 0.000690986 -3 *29527:X 0 -4 *5298:4 0.000865226 -5 *27992:A1 *29527:A 0.00044852 -6 *30257:A *25593:A1 5.52302e-05 -7 *30257:A *29527:A 9.40156e-05 -8 *30257:A *6376:41 0 -9 *30257:A *6378:41 0.000698927 -10 *25591:A1 *30257:A 9.74552e-05 -11 *27992:A0 *30257:A 5.19842e-06 -12 *28822:CLK *30257:A 0.000187019 -13 *28823:CLK *30257:A 0.00017309 -14 *28823:D *27992:A1 7.77751e-05 -*RES -1 *29527:X *5298:4 9.3 -2 *5298:4 *30257:A 33.6393 -3 *5298:4 *27992:A1 14.2643 -*END - -*D_NET *5299 0.00192904 -*CONN -*I *27696:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29528:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27696:A0 0.000470437 -2 *29528:X 0.000470437 -3 *27696:A0 *27720:A2 8.6229e-06 -4 *27696:A0 *5627:18 9.3231e-05 -5 *27696:A0 *6339:8 0.00014297 -6 *28860:A *27696:A0 0.00042807 -7 *3194:70 *27696:A0 0.000125724 -8 *3194:93 *27696:A0 0.000180431 -9 *4030:48 *27696:A0 9.11365e-06 -*RES -1 *29528:X *27696:A0 36.2071 -*END - -*D_NET *5300 0.00104832 -*CONN -*I *28004:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29530:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28004:A1 0.000362919 -2 *29530:X 0.000362919 -3 *28004:A1 *29530:A 0.000138243 -4 *28004:A1 *6364:14 0.000123288 -5 *28004:A0 *28004:A1 6.09476e-05 -*RES -1 *29530:X *28004:A1 32.6536 -*END - -*D_NET *5301 0.0291521 -*CONN -*I *26553:A1 I *D sky130_fd_sc_hd__o22a_1 -*I *24887:A1 I *D sky130_fd_sc_hd__mux2_4 -*I *29531:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26553:A1 0.000698089 -2 *24887:A1 0 -3 *29531:X 0 -4 *5301:40 0.00206932 -5 *5301:38 0.00178401 -6 *5301:27 0.00130931 -7 *5301:13 0.00246149 -8 *5301:4 0.00156495 -9 *26553:A1 *5697:11 4.00908e-05 -10 *5301:13 *25884:A0 0.000282859 -11 *5301:13 *5833:57 0.000193892 -12 *5301:27 *24887:S 4.9536e-05 -13 *5301:38 *25885:A0 0.000364967 -14 *5301:38 *28336:RESET_B 0.000263512 -15 *5301:38 *28337:D 4.97121e-06 -16 *5301:38 *28371:D 0 -17 *5301:38 *5709:94 0.000175892 -18 *5301:40 *28371:D 8.07483e-05 -19 *5301:40 *5669:39 5.68977e-06 -20 *5301:40 *5833:16 0.000757063 -21 *5301:40 *5833:20 0.00112346 -22 *25091:B2 *5301:27 0.00142739 -23 *25115:A1 *5301:38 0.000136687 -24 *25156:B2 *5301:13 0.00155117 -25 *25199:B1 *5301:40 0.000746152 -26 *26553:B2 *26553:A1 1.19813e-05 -27 *27949:B2 *5301:27 7.63096e-05 -28 *28243:CLK *26553:A1 9.67387e-05 -29 *29670:A *5301:40 7.62712e-05 -30 *30302:A *5301:27 0.000316953 -31 *1210:19 *5301:27 7.83585e-05 -32 *1263:216 *5301:27 0.000380383 -33 *1284:55 *5301:27 8.30386e-05 -34 *1464:38 *5301:40 1.18064e-05 -35 *1464:41 *5301:40 4.29471e-05 -36 *1464:69 *5301:40 0.000641795 -37 *1488:24 *5301:27 0.000287118 -38 *1871:12 *5301:40 0.000282881 -39 *1873:8 *5301:40 0.00026179 -40 *1873:12 *5301:40 0.00350381 -41 *1873:32 *5301:13 0.000272059 -42 *3133:11 *5301:27 0.000486107 -43 *3156:175 *5301:27 1.19011e-05 -44 *3541:23 *5301:13 0.00022266 -45 *3541:68 *5301:40 0 -46 *3689:57 *5301:27 9.05964e-05 -47 *3698:78 *5301:27 2.06178e-05 -48 *3754:8 *5301:27 0.00180467 -49 *3754:44 *5301:38 0.000215439 -50 *3767:8 *5301:13 0.000171599 -51 *3767:8 *5301:38 0.000262423 -52 *3767:8 *5301:40 6.057e-07 -53 *3949:28 *5301:27 9.41642e-05 -54 *4087:22 *5301:13 0.00225579 -*RES -1 *29531:X *5301:4 9.3 -2 *5301:4 *5301:13 46.2679 -3 *5301:13 *5301:27 48.5268 -4 *5301:27 *24887:A1 9.3 -5 *5301:4 *5301:38 16.7946 -6 *5301:38 *5301:40 53.0982 -7 *5301:40 *26553:A1 22.05 -*END - -*D_NET *5302 0.000589283 -*CONN -*I *28007:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29532:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28007:A1 0.000123411 -2 *29532:X 0.000123411 -3 *28007:A1 *5930:11 9.41642e-05 -4 *1821:18 *28007:A1 0.00012501 -5 *3532:10 *28007:A1 0.000123288 -*RES -1 *29532:X *28007:A1 30.1893 -*END - -*D_NET *5303 0.0102419 -*CONN -*I *27506:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29533:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27506:A0 0 -2 *29533:X 6.09574e-05 -3 *5303:9 0.00337958 -4 *5303:8 0.00344054 -5 *5303:9 *28153:RESET_B 8.94203e-05 -6 *5303:9 *5342:19 6.87146e-05 -7 *25178:B2 *5303:9 0.00102817 -8 *25663:A0 *5303:9 6.26865e-05 -9 *25919:A1 *5303:9 0.000202825 -10 *26809:S *5303:9 1.74352e-05 -11 *26810:A1 *5303:9 0.000523415 -12 *28153:D *5303:9 0.00112404 -13 *28227:CLK *5303:9 2.89114e-05 -14 *28227:D *5303:9 0.00012575 -15 *698:11 *5303:9 1.49615e-05 -16 *749:24 *5303:8 7.44888e-05 -*RES -1 *29533:X *5303:8 19.3357 -2 *5303:8 *5303:9 44.4821 -3 *5303:9 *27506:A0 9.3 -*END - -*D_NET *5304 0.010623 -*CONN -*I *25459:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25495:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25538:A1 I *D sky130_fd_sc_hd__o32ai_1 -*I *29534:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25459:A0 0.000764829 -2 *25495:B2 0.000473184 -3 *25538:A1 0 -4 *29534:X 0.000404573 -5 *5304:13 0.00115468 -6 *5304:7 0.0018509 -7 *25459:A0 *28169:D 5.7499e-05 -8 *25459:A0 *5836:162 8.17274e-05 -9 *25459:A0 *5836:168 0.000345251 -10 *25495:B2 *5542:12 0.000753562 -11 *25495:B2 *5739:37 0.000178847 -12 *5304:13 *28169:D 5.33005e-05 -13 *5304:13 *5739:37 6.54177e-05 -14 *25481:B *5304:7 0.000438739 -15 *25538:A3 *5304:13 2.89016e-05 -16 *25538:B1 *5304:13 0.000178847 -17 *25538:B2 *5304:13 2.59355e-05 -18 *28068:D *25495:B2 0.000310915 -19 *28145:D *5304:7 0.000476287 -20 *28146:CLK *5304:13 0 -21 *28146:D *25495:B2 0 -22 *28169:RESET_B *25459:A0 7.79332e-05 -23 *28169:RESET_B *5304:13 0.000186641 -24 *29369:A *5304:7 0.000135729 -25 *29625:A *25459:A0 0.000676187 -26 *30506:A *5304:7 7.32272e-05 -27 *30525:A *25495:B2 0.000337637 -28 *1738:50 *25459:A0 0.000306411 -29 *1778:16 *5304:13 7.4826e-05 -30 *1778:25 *5304:13 9.90367e-05 -31 *1780:13 *25495:B2 0.000161868 -32 *3561:88 *25459:A0 5.29459e-05 -33 *3561:93 *25459:A0 0.000360351 -34 *3561:93 *5304:13 0.000218741 -35 *4163:93 *25459:A0 2.47753e-05 -36 *4183:8 *25495:B2 0.00016834 -37 *4188:20 *25495:B2 2.49484e-05 -*RES -1 *29534:X *5304:7 23.3714 -2 *5304:7 *5304:13 16.1964 -3 *5304:13 *25538:A1 9.3 -4 *5304:13 *25495:B2 32.9071 -5 *5304:7 *25459:A0 30.3179 -*END - -*D_NET *5305 0.00368882 -*CONN -*I *28168:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29535:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28168:D 0.000639757 -2 *29535:X 0.000639757 -3 *28168:D *5902:22 0.000114602 -4 *25538:A3 *28168:D 6.9019e-05 -5 *29585:A *28168:D 0.000564271 -6 *30305:A *28168:D 0.000165243 -7 *1762:16 *28168:D 0.000205951 -8 *4160:38 *28168:D 6.07149e-05 -9 *4196:18 *28168:D 0.00068566 -10 *4546:20 *28168:D 0.000100697 -11 *4759:8 *28168:D 0.000165123 -12 *5291:29 *28168:D 0.000278025 -*RES -1 *29535:X *28168:D 47.225 -*END - -*D_NET *5306 0.00139293 -*CONN -*I *30022:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29536:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *30022:A 0.000380326 -2 *29536:X 0.000380326 -3 *30022:A *29381:A 0.00012501 -4 *30022:A *5711:86 0.000123288 -5 *25941:A0 *30022:A 0.000260574 -6 *1471:12 *30022:A 7.18396e-05 -7 *3820:38 *30022:A 5.15644e-05 -*RES -1 *29536:X *30022:A 34.35 -*END - -*D_NET *5307 0.00161868 -*CONN -*I *30854:A I *D sky130_fd_sc_hd__buf_12 -*I *24829:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *30854:A 0.000186587 -2 *24829:Y 0.000186587 -3 *30854:A *5408:42 6.05161e-06 -4 mgmt_gpio_oeb[29] *30854:A 0.00014183 -5 mgmt_gpio_out[30] *30854:A 1.7776e-05 -6 *24829:A *30854:A 0.000424526 -7 *30713:A *30854:A 0.000655319 -*RES -1 *24829:Y *30854:A 27.0821 -*END - -*D_NET *5308 0.00274279 -*CONN -*I *27998:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29537:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27998:A1 0.000682468 -2 *29537:X 0.000682468 -3 *27998:A1 *25593:A1 4.57445e-05 -4 *27998:A1 *25595:A1 0.000267807 -5 *27998:A1 *29527:A 0.000201855 -6 *27995:A0 *27998:A1 7.41433e-05 -7 *27995:A1 *27998:A1 9.90431e-05 -8 *27998:A0 *27998:A1 0.000442628 -9 *28825:D *27998:A1 0.000180777 -10 *3516:36 *27998:A1 6.58597e-05 -*RES -1 *29537:X *27998:A1 43.5821 -*END - -*D_NET *5309 0.00399245 -*CONN -*I *27844:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29538:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27844:A0 0.000578514 -2 *29538:X 0.000578514 -3 *27844:A0 *5678:247 0.00030824 -4 *27844:A0 *5678:267 1.69961e-05 -5 *27844:A0 *5694:133 0 -6 *27844:A0 *6311:43 0.000291733 -7 *27844:A0 *6317:49 9.67087e-05 -8 *27844:A0 *6319:42 2.06112e-05 -9 *27844:A0 *6320:17 0.000734585 -10 *27844:A0 *6338:41 0.00119796 -11 *26843:A1 *27844:A0 2.95726e-05 -12 *27262:B *27844:A0 4.35586e-05 -13 *28767:D *27844:A0 2.89016e-05 -14 *30454:A *27844:A0 1.32552e-05 -15 *2831:17 *27844:A0 5.33005e-05 -*RES -1 *29538:X *27844:A0 45.4929 -*END - -*D_NET *5310 0.00578413 -*CONN -*I *27594:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29539:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27594:A0 0.00022823 -2 *29539:X 0.000718497 -3 *5310:18 0.000946727 -4 *27594:A0 *5535:14 0.00022266 -5 *27594:A0 *5535:70 9.71197e-05 -6 *5310:18 *25037:S 2.11419e-05 -7 *5310:18 *25563:A1 0.000125623 -8 *5310:18 *25952:A1 0.000132721 -9 *5310:18 *26840:A1 2.04825e-05 -10 *5310:18 *29538:A 0.000192505 -11 *5310:18 *29539:A 6.09658e-05 -12 *5310:18 *5746:132 7.21982e-05 -13 *5310:18 *6042:20 0.000473753 -14 *5310:18 *6100:17 0.000323264 -15 *5310:18 *6319:10 5.52238e-05 -16 *5310:18 *6319:70 6.86693e-05 -17 *5310:18 *6345:45 2.95726e-05 -18 *27594:S *5310:18 5.09297e-05 -19 *1294:243 *27594:A0 0.000308128 -20 *1717:14 *5310:18 0.000297839 -21 *1826:61 *5310:18 0.000605205 -22 *3569:78 *27594:A0 0.000732675 -*RES -1 *29539:X *5310:18 46.6929 -2 *5310:18 *27594:A0 17.2286 -*END - -*D_NET *5311 0.000304169 -*CONN -*I *28015:A1 I *D sky130_fd_sc_hd__o31a_1 -*I *29541:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28015:A1 1.70021e-05 -2 *29541:X 1.70021e-05 -3 *28015:A1 *5366:28 0.000144773 -4 *1862:11 *28015:A1 2.44318e-05 -5 *3535:13 *28015:A1 0.00010096 -*RES -1 *29541:X *28015:A1 19.9571 -*END - -*D_NET *5312 0.0038732 -*CONN -*I *28831:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29542:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28831:D 0 -2 *29542:X 0.000936001 -3 *5312:13 0.000936001 -4 *5312:13 *25855:A 0.000181803 -5 *5312:13 *25856:A 0.000397828 -6 *5312:13 *25857:A2 9.23451e-05 -7 *5312:13 *28831:RESET_B 2.51837e-05 -8 *5312:13 *5644:251 0.000123295 -9 *5312:13 *5780:125 3.75236e-05 -10 *5312:13 *5935:30 2.79421e-05 -11 *27656:C1 *5312:13 0.000820281 -12 *1883:9 *5312:13 2.44318e-05 -13 *1884:23 *5312:13 0.000209603 -14 *4141:30 *5312:13 6.09572e-05 -*RES -1 *29542:X *5312:13 41.1571 -2 *5312:13 *28831:D 9.3 -*END - -*D_NET *5313 0.00876164 -*CONN -*I *27550:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29543:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27550:A0 0 -2 *29543:X 7.46245e-05 -3 *5313:11 0.00162657 -4 *5313:7 0.00170119 -5 *5313:11 *25756:A1 7.18192e-05 -6 *5313:11 *25758:A0 0.000267897 -7 *5313:11 *6323:10 4.48173e-05 -8 *25132:B2 *5313:11 1.88266e-05 -9 *27550:A1 *5313:11 0.000868135 -10 *28951:A *5313:11 0.00018077 -11 *759:16 *5313:11 0.000503065 -12 *1671:24 *5313:11 0 -13 *1865:8 *5313:11 0.000178743 -14 *1865:26 *5313:11 0.000244629 -15 *1865:30 *5313:11 0.000161966 -16 *1893:14 *5313:11 0.000231629 -17 *3650:28 *5313:11 1.09239e-05 -18 *3671:75 *5313:11 0.00111 -19 *3672:21 *5313:11 6.28435e-05 -20 *3779:26 *5313:11 0.000107242 -21 *3779:41 *5313:11 7.1525e-05 -22 *3792:75 *5313:11 0.000266909 -23 *3884:53 *5313:11 0.000636584 -24 *3884:88 *5313:11 0.000210696 -25 *3919:46 *5313:7 5.52302e-05 -26 *3973:83 *5313:11 4.50033e-05 -27 *4140:47 *5313:7 9.99644e-06 -*RES -1 *29543:X *5313:7 14.7464 -2 *5313:7 *5313:11 49.5 -3 *5313:11 *27550:A0 9.3 -*END - -*D_NET *5314 0.00214879 -*CONN -*I *27273:B2 I *D sky130_fd_sc_hd__a2bb2o_1 -*I *29544:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27273:B2 0.000358554 -2 *29544:X 0.000358554 -3 *27273:B2 *28647:RESET_B 6.30315e-05 -4 *27273:B2 *5751:107 0 -5 *27273:B2 *6385:122 0.000136958 -6 *27273:B2 *6386:29 2.30116e-06 -7 *27239:A *27273:B2 0.00014469 -8 *27273:B1 *27273:B2 0.000181803 -9 *18:20 *27273:B2 0.0002932 -10 *1117:13 *27273:B2 0.000178847 -11 *1240:134 *27273:B2 0.000183634 -12 *1264:92 *27273:B2 8.12055e-05 -13 *2816:12 *27273:B2 2.61076e-05 -14 *2838:31 *27273:B2 0.000139907 -*RES -1 *29544:X *27273:B2 37.6714 -*END - -*D_NET *5315 0.00375866 -*CONN -*I *25434:A I *D sky130_fd_sc_hd__nand2_1 -*I *29545:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25434:A 0.00129121 -2 *29545:X 0.00129121 -3 *24870:B1 *25434:A 5.52302e-05 -4 *25434:B *25434:A 6.78048e-05 -5 *28148:D *25434:A 0.000236178 -6 *28165:D *25434:A 0.000189564 -7 *28167:RESET_B *25434:A 5.71472e-05 -8 *29173:A *25434:A 4.24333e-05 -9 *3558:54 *25434:A 8.0786e-06 -10 *3558:58 *25434:A 1.21258e-05 -11 *4192:14 *25434:A 0.000383166 -12 *4192:218 *25434:A 0.000124521 -*RES -1 *29545:X *25434:A 44.5821 -*END - -*D_NET *5316 0.00450628 -*CONN -*I *25479:B2 I *D sky130_fd_sc_hd__o22a_1 -*I *29546:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25479:B2 0 -2 *29546:X 0.00119842 -3 *5316:23 0.00119842 -4 *5316:23 *25469:A1 0.000430986 -5 *5316:23 *29563:A 0.000101011 -6 *5316:23 *5341:12 7.6997e-05 -7 *5316:23 *6114:39 3.30237e-05 -8 *5316:23 *6169:144 0 -9 *25479:A1 *5316:23 5.33005e-05 -10 *25479:A2 *5316:23 2.47753e-05 -11 *28081:RESET_B *5316:23 0.000265219 -12 *28096:CLK *5316:23 2.30913e-05 -13 *29547:A *5316:23 0.000589407 -14 *29550:A *5316:23 4.61453e-05 -15 *385:39 *5316:23 0.000259542 -16 *411:25 *5316:23 7.6644e-05 -17 *1769:17 *5316:23 5.49489e-05 -18 *1770:67 *5316:23 7.49387e-06 -19 *3558:88 *5316:23 6.68671e-05 -*RES -1 *29546:X *5316:23 48.2643 -2 *5316:23 *25479:B2 9.3 -*END - -*D_NET *5317 0.00581463 -*CONN -*I *28079:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29547:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28079:D 0.000161949 -2 *29547:X 0.00100147 -3 *5317:19 0.00116342 -4 *5317:19 *5348:17 0.000114651 -5 *5317:19 *6169:126 3.51075e-05 -6 *5317:19 *6169:144 0.00160484 -7 *29467:A *28079:D 9.75934e-05 -8 *29550:A *5317:19 0.000131593 -9 *429:31 *5317:19 0 -10 *3558:71 *28079:D 0 -11 *3558:71 *5317:19 0.000774283 -12 *3558:76 *5317:19 0.000706046 -13 *3558:88 *5317:19 0 -14 *3558:112 *5317:19 2.36643e-05 -*RES -1 *29547:X *5317:19 45.6393 -2 *5317:19 *28079:D 21.1571 -*END - -*D_NET *5318 0.000536311 -*CONN -*I *30855:A I *D sky130_fd_sc_hd__buf_12 -*I *24855:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *30855:A 0.000116101 -2 *24855:Y 0.000116101 -3 *30855:A *5753:119 0.00015732 -4 *28180:D *30855:A 0.000146788 -*RES -1 *24855:Y *30855:A 21.6 -*END - -*D_NET *5319 0.00147705 -*CONN -*I *29148:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29548:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *29148:A 0.00044895 -2 *29548:X 0.00044895 -3 *29148:A *28394:RESET_B 0.000527005 -4 *26854:A1 *29148:A 4.5264e-05 -5 *3986:26 *29148:A 0 -6 *3996:19 *29148:A 6.87975e-06 -*RES -1 *29548:X *29148:A 34.2964 -*END - -*D_NET *5320 0.00822415 -*CONN -*I *27572:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29549:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27572:A0 9.51516e-05 -2 *29549:X 0.00138091 -3 *5320:8 0.00147606 -4 *27572:A0 *27572:S 5.33005e-05 -5 *27572:A0 *5599:171 6.05161e-06 -6 *5320:8 *25918:B 0.000436383 -7 *5320:8 *27505:A1 1.3409e-05 -8 *5320:8 *29549:A 0.00134257 -9 *5320:8 *5665:48 9.47441e-05 -10 *5320:8 *5665:66 0.000278239 -11 *5320:8 *6324:17 1.53472e-05 -12 *25753:A *5320:8 0.000472312 -13 *471:12 *5320:8 9.18765e-06 -14 *1327:116 *27572:A0 6.58992e-05 -15 *3662:8 *5320:8 0.000120708 -16 *3662:19 *5320:8 0.00140911 -17 *3792:75 *5320:8 0.000891683 -18 *5052:36 *5320:8 6.30931e-05 -*RES -1 *29549:X *5320:8 49.5321 -2 *5320:8 *27572:A0 15.5679 -*END - -*D_NET *5321 0.00441119 -*CONN -*I *25469:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29550:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25469:A1 0.00110909 -2 *29550:X 0.00110909 -3 *25469:A1 *5836:168 5.33005e-05 -4 *25469:A1 *5836:176 0.000126518 -5 *25470:D_N *25469:A1 0.000110967 -6 *25498:A1 *25469:A1 0.000175892 -7 *28086:CLK *25469:A1 4.35084e-05 -8 *28169:CLK *25469:A1 2.42516e-05 -9 *29547:A *25469:A1 0.000172647 -10 *29550:A *25469:A1 0.00017542 -11 *399:17 *25469:A1 0.000110072 -12 *1323:24 *25469:A1 2.17146e-05 -13 *3558:88 *25469:A1 0.0001826 -14 *3558:112 *25469:A1 0.000104732 -15 *4163:84 *25469:A1 0.000139215 -16 *4192:58 *25469:A1 0.000211109 -17 *4194:65 *25469:A1 0.000110072 -18 *5316:23 *25469:A1 0.000430986 -*RES -1 *29550:X *25469:A1 49.7071 -*END - -*D_NET *5322 0.00144949 -*CONN -*I *25796:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29552:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25796:A0 0.000266934 -2 *29552:X 0.000266934 -3 *25796:A0 *29552:A 2.84109e-05 -4 *1358:89 *25796:A0 0.000135028 -5 *1871:43 *25796:A0 0.000283105 -6 *2349:30 *25796:A0 0.00046908 -*RES -1 *29552:X *25796:A0 25.2964 -*END - -*D_NET *5323 0.00108294 -*CONN -*I *25795:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29553:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25795:A0 0.000432115 -2 *29553:X 0.000432115 -3 *25795:A0 *29553:A 2.85321e-05 -4 *25795:A1 *25795:A0 0.000190178 -*RES -1 *29553:X *25795:A0 25.2964 -*END - -*D_NET *5324 0.00234065 -*CONN -*I *27256:A1 I *D sky130_fd_sc_hd__a21oi_1 -*I *29554:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27256:A1 0.000468611 -2 *29554:X 0.000468611 -3 *27256:A2 *27256:A1 0.000319351 -4 *2824:14 *27256:A1 0.000319584 -5 *2827:16 *27256:A1 0.000464236 -6 *3636:14 *27256:A1 1.85844e-05 -7 *3636:16 *27256:A1 6.49159e-05 -8 *4685:23 *27256:A1 0.000216755 -*RES -1 *29554:X *27256:A1 38.4036 -*END - -*D_NET *5325 0.00134477 -*CONN -*I *25812:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29555:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25812:A0 0.000222888 -2 *29555:X 0.000222888 -3 *25812:A1 *25812:A0 0.000269422 -4 *25812:S *25812:A0 0.000294825 -5 *1323:109 *25812:A0 0.00033475 -*RES -1 *29555:X *25812:A0 25.2964 -*END - -*D_NET *5326 0.00530307 -*CONN -*I *25814:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29556:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25814:A0 0 -2 *29556:X 0.000810675 -3 *5326:11 0.000810675 -4 *5326:11 *29563:A 0.000102533 -5 *5326:11 *29570:A 0.00109439 -6 *25428:B *5326:11 4.58194e-05 -7 *25477:A *5326:11 9.60939e-05 -8 *25814:A1 *5326:11 0.000596344 -9 *28078:CLK *5326:11 0.000147121 -10 *413:8 *5326:11 0.00144451 -11 *1202:43 *5326:11 0.000154912 -*RES -1 *29556:X *5326:11 44.4786 -2 *5326:11 *25814:A0 9.3 -*END - -*D_NET *5327 0.00190038 -*CONN -*I *24812:A I *D sky130_fd_sc_hd__inv_2 -*I *29557:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *24812:A 0.000281642 -2 *29557:X 0.000281642 -3 *24812:A *25512:B 0.000154762 -4 *24812:A *29557:A 3.14163e-05 -5 *24812:A *6376:39 7.39637e-05 -6 *24812:A *6378:28 3.55407e-05 -7 *24812:A *6378:39 0.000313458 -8 *1880:18 *24812:A 0.000727957 -*RES -1 *29557:X *24812:A 37.7786 -*END - -*D_NET *5328 0.00120815 -*CONN -*I *28315:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29558:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28315:D 0.000382879 -2 *29558:X 0.000382879 -3 *28315:D *5729:92 0 -4 *28315:D *5779:175 0 -5 *28315:D *5779:181 0 -6 *28315:D *6369:10 0.000369549 -7 *25535:A1 *28315:D 1.76135e-05 -8 *3583:133 *28315:D 5.52238e-05 -*RES -1 *29558:X *28315:D 36.2429 -*END - -*D_NET *5329 0.00369487 -*CONN -*I *30856:A I *D sky130_fd_sc_hd__buf_12 -*I *24828:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *30856:A 0.00072365 -2 *24828:Y 0.00072365 -3 *30856:A *29982:A 0.000227935 -4 *30856:A *30857:A 6.2212e-05 -5 *30856:A *30895:A 6.79944e-05 -6 *30856:A *5364:13 0.000404843 -7 *30856:A *5397:53 0.000110018 -8 *30856:A *5453:13 4.5894e-05 -9 *30856:A *5898:17 9.90367e-05 -10 *30856:A *6010:21 0.00100544 -11 mgmt_gpio_out[31] *30856:A 0.000147259 -12 *24829:A *30856:A 7.69372e-05 -*RES -1 *24828:Y *30856:A 41.643 -*END - -*D_NET *5330 0.0016057 -*CONN -*I *25422:A I *D sky130_fd_sc_hd__xor2_1 -*I *29559:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25422:A 0.000341565 -2 *29559:X 0.000341565 -3 *25422:A *6114:39 0.000304394 -4 *25422:A *6158:28 0.000307014 -5 *407:10 *25422:A 0.000311165 -*RES -1 *29559:X *25422:A 34.6714 -*END - -*D_NET *5331 0.0066957 -*CONN -*I *25819:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29560:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25819:A0 0.000209327 -2 *29560:X 0.000790861 -3 *5331:10 0.00100019 -4 *5331:10 *29578:A 0.000120036 -5 *5331:10 *5546:6 0.000267893 -6 *5331:10 *6158:24 0.00196982 -7 *5331:10 *6158:28 1.65025e-05 -8 *25515:A1 *5331:10 3.69047e-06 -9 *25819:S *25819:A0 5.33005e-05 -10 *359:14 *5331:10 1.65169e-05 -11 *385:39 *5331:10 0.000209236 -12 *1393:165 *25819:A0 0.000267777 -13 *1665:63 *25819:A0 5.75147e-05 -14 *1751:8 *5331:10 0.00171304 -*RES -1 *29560:X *5331:10 47.9071 -2 *5331:10 *25819:A0 13.5321 -*END - -*D_NET *5332 0.00802447 -*CONN -*I *25820:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29561:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25820:A0 0 -2 *29561:X 0.0024908 -3 *5332:20 0.0024908 -4 *5332:20 *29561:A 4.85049e-06 -5 *5332:20 *29580:A 0.000182845 -6 *5332:20 *5333:14 1.51809e-05 -7 *5332:20 *5343:14 0.000651994 -8 *5332:20 *5545:59 0 -9 *5332:20 *5549:34 8.367e-05 -10 *5332:20 *6147:14 0 -11 *25433:D *5332:20 0.000691359 -12 *25441:A2 *5332:20 0.000179531 -13 *746:10 *5332:20 7.88753e-05 -14 *1752:15 *5332:20 0.000242062 -15 *1874:68 *5332:20 3.34366e-05 -16 *3558:71 *5332:20 1.90936e-05 -17 *4159:80 *5332:20 0.000126439 -18 *5243:8 *5332:20 0.000733521 -*RES -1 *29561:X *5332:20 46.5748 -2 *5332:20 *25820:A0 13.8 -*END - -*D_NET *5333 0.00736638 -*CONN -*I *25817:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29563:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25817:A0 0.000372007 -2 *29563:X 0.000983693 -3 *5333:14 0.0013557 -4 *5333:14 *29563:A 0.00189442 -5 *5333:14 *5348:17 0.00108633 -6 *5333:14 *5558:17 0.000168082 -7 *5333:14 *5574:8 0.0002334 -8 *25433:D *5333:14 0.000165754 -9 *28077:CLK *25817:A0 2.21751e-05 -10 *28272:D *5333:14 0.000150625 -11 *385:39 *5333:14 1.21258e-05 -12 *413:8 *5333:14 0.000326809 -13 *1472:11 *5333:14 0.00011266 -14 *4166:7 *25817:A0 0.000467426 -15 *5332:20 *5333:14 1.51809e-05 -*RES -1 *29563:X *5333:14 49.6504 -2 *5333:14 *25817:A0 20.4964 -*END - -*D_NET *5334 0.006286 -*CONN -*I *25807:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29564:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25807:A0 0.000473198 -2 *29564:X 0.00131415 -3 *5334:28 0.00178735 -4 *25807:A0 *5542:41 0.000263948 -5 *5334:28 *5549:34 0.000344811 -6 *25285:A0 *5334:28 0 -7 *25285:A1 *5334:28 7.37269e-05 -8 *25415:A *5334:28 4.01462e-06 -9 *25415:B *5334:28 4.08824e-05 -10 *25441:A1 *5334:28 6.28948e-05 -11 *25792:A1 *25807:A0 5.33005e-05 -12 *25807:S *25807:A0 0.0001179 -13 *28266:D *25807:A0 0.000181374 -14 *28409:D *5334:28 9.11672e-05 -15 *28413:CLK_N *25807:A0 0 -16 *28413:CLK_N *5334:28 0 -17 *29467:A *5334:28 2.06112e-05 -18 *746:10 *25807:A0 9.08056e-05 -19 *746:10 *5334:28 0.000974226 -20 *1251:21 *5334:28 6.70814e-05 -21 *1323:80 *5334:28 7.54868e-05 -22 *1324:74 *5334:28 4.08061e-05 -23 *1324:81 *5334:28 8.92267e-05 -24 *1393:165 *5334:28 5.7836e-06 -25 *1603:102 *25807:A0 6.2127e-05 -26 *5243:8 *25807:A0 5.11316e-05 -*RES -1 *29564:X *5334:28 47.8395 -2 *5334:28 *25807:A0 24.0946 -*END - -*D_NET *5335 0.000993761 -*CONN -*I *25791:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29565:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25791:A0 0.000321786 -2 *29565:X 0.000321786 -3 *25791:A0 *29565:A 4.87953e-05 -4 *25791:A0 *5555:8 8.00806e-05 -5 *26238:B *25791:A0 0.000142955 -6 *1545:96 *25791:A0 7.83587e-05 -*RES -1 *29565:X *25791:A0 32.4571 -*END - -*D_NET *5336 0.000621074 -*CONN -*I *25483:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29566:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25483:B2 0.00018592 -2 *29566:X 0.00018592 -3 *25483:B2 *25483:A1 5.7661e-06 -4 *25483:C1 *25483:B2 0.000216755 -5 *1770:67 *25483:B2 2.67132e-05 -*RES -1 *29566:X *25483:B2 21.7473 -*END - -*D_NET *5337 0.00169856 -*CONN -*I *27978:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29567:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27978:A0 0.000375166 -2 *29567:X 0.000375166 -3 *26699:D1 *27978:A0 0.000451363 -4 *27978:A1 *27978:A0 0.000113005 -5 *2144:46 *27978:A0 0.00030998 -6 *2212:14 *27978:A0 7.38844e-05 -*RES -1 *29567:X *27978:A0 36.0821 -*END - -*D_NET *5338 0.00186063 -*CONN -*I *25449:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29568:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25449:A1 0.000479484 -2 *29568:X 0.000479484 -3 *25449:A1 *5797:11 0.000262092 -4 *440:29 *25449:A1 0 -5 *1427:161 *25449:A1 0.000639569 -*RES -1 *29568:X *25449:A1 35.7071 -*END - -*D_NET *5339 0.00144939 -*CONN -*I *25811:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29569:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25811:A0 0.000410551 -2 *29569:X 0.000410551 -3 *25811:A0 *29569:A 0.000110315 -4 *25811:S *25811:A0 0.000517973 -*RES -1 *29569:X *25811:A0 26.1536 -*END - -*D_NET *5340 0.00287447 -*CONN -*I *30857:A I *D sky130_fd_sc_hd__buf_12 -*I *24827:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *30857:A 0.000894493 -2 *24827:Y 0.000894493 -3 *30857:A *29923:A 1.15058e-05 -4 *30857:A *30895:A 2.83849e-05 -5 *30857:A *5453:32 4.66203e-05 -6 *30857:A *5859:14 0 -7 mgmt_gpio_out[31] *30857:A 0.000340787 -8 *24828:A *30857:A 5.20298e-05 -9 *29424:A *30857:A 0.000102184 -10 *29708:A *30857:A 0.000334175 -11 *30856:A *30857:A 6.2212e-05 -12 *3965:134 *30857:A 0 -13 *5179:16 *30857:A 0.000107586 -*RES -1 *24827:Y *30857:A 43.8143 -*END - -*D_NET *5341 0.00790133 -*CONN -*I *25815:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29570:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25815:A0 0.000178786 -2 *29570:X 0.00178124 -3 *5341:12 0.00196003 -4 *25815:A0 *5348:17 0.000434226 -5 *5341:12 *6169:126 1.71524e-05 -6 *5341:12 *6169:144 8.15637e-05 -7 *24865:C *5341:12 0.000112286 -8 *25438:B1 *5341:12 2.72782e-05 -9 *25466:B1 *5341:12 9.89574e-05 -10 *25815:S *25815:A0 9.41642e-05 -11 *25816:A1 *25815:A0 1.9926e-05 -12 *25816:S *25815:A0 8.43535e-06 -13 *25816:S *5341:12 6.30931e-05 -14 *28273:D *25815:A0 0.000393413 -15 *29650:A *5341:12 0 -16 *411:25 *5341:12 7.98302e-05 -17 *1191:11 *5341:12 0.00117637 -18 *1603:76 *25815:A0 0.000139372 -19 *1730:114 *5341:12 0 -20 *1745:38 *5341:12 0 -21 *1772:29 *5341:12 4.26825e-05 -22 *4160:57 *5341:12 0.000521268 -23 *4163:76 *5341:12 9.31709e-05 -24 *4163:84 *5341:12 0.000236416 -25 *4164:115 *5341:12 0.000121254 -26 *4170:20 *5341:12 5.64694e-05 -27 *5237:29 *5341:12 8.69458e-05 -28 *5316:23 *5341:12 7.6997e-05 -*RES -1 *29570:X *5341:12 48.8893 -2 *5341:12 *25815:A0 20.2286 -*END - -*D_NET *5342 0.0146845 -*CONN -*I *27528:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29571:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27528:A0 0 -2 *29571:X 0.00269716 -3 *5342:19 0.00269716 -4 *5342:19 *25621:A1 9.23805e-05 -5 *5342:19 *27506:S 0.000233093 -6 *5342:19 *28435:RESET_B 2.06112e-05 -7 *5342:19 *5484:44 0.000784362 -8 *5342:19 *5510:59 0 -9 *5342:19 *5733:72 9.46311e-05 -10 *25178:B2 *5342:19 0.000437762 -11 *25617:A0 *5342:19 0.000387947 -12 *25919:S *5342:19 5.33005e-05 -13 *28368:D *5342:19 0.00456663 -14 *28418:D *5342:19 3.89266e-05 -15 *28795:D *5342:19 8.5479e-05 -16 *30087:A *5342:19 0.000993153 -17 *505:17 *5342:19 0.00106972 -18 *1895:36 *5342:19 6.8194e-05 -19 *1895:45 *5342:19 2.24646e-05 -20 *3791:13 *5342:19 0.000127577 -21 *3791:126 *5342:19 3.80542e-05 -22 *4332:24 *5342:19 0.000107179 -23 *5303:9 *5342:19 6.87146e-05 -*RES -1 *29571:X *5342:19 46.6473 -2 *5342:19 *27528:A0 9.3 -*END - -*D_NET *5343 0.00681155 -*CONN -*I *25821:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29572:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25821:A0 0.000398278 -2 *29572:X 0.000812946 -3 *5343:14 0.00121122 -4 *5343:14 *29561:A 3.04311e-05 -5 *5343:14 *29580:A 0.000165152 -6 *5343:14 *5348:17 0.000267445 -7 *5343:14 *5549:34 0.000132341 -8 *5343:14 *5580:16 2.68558e-05 -9 *25348:A0 *5343:14 0.000412176 -10 *25441:A1 *25821:A0 0.000135028 -11 *25815:A1 *5343:14 0.000311356 -12 *25821:A1 *25821:A0 0.000175892 -13 *28077:RESET_B *5343:14 0.000539413 -14 *29467:A *5343:14 0.000113603 -15 *429:31 *5343:14 0.000678135 -16 *746:10 *5343:14 0.000211403 -17 *1874:75 *25821:A0 1.38323e-05 -18 *1874:80 *25821:A0 2.59355e-05 -19 *4159:80 *25821:A0 5.36e-05 -20 *4159:91 *25821:A0 0.000344835 -21 *5239:13 *5343:14 9.96747e-05 -22 *5332:20 *5343:14 0.000651994 -*RES -1 *29572:X *5343:14 45.9429 -2 *5343:14 *25821:A0 16.4071 -*END - -*D_NET *5344 0.0017572 -*CONN -*I *29150:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29574:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *29150:A 0.000329964 -2 *29574:X 0.000329964 -3 *29150:A *25957:A0 4.22135e-06 -4 *29150:A *5618:126 0.00022181 -5 *29150:A *5691:157 6.05161e-06 -6 *28395:D *29150:A 1.21258e-05 -7 *29326:A *29150:A 9.41642e-05 -8 *1407:89 *29150:A 0.000140856 -9 *1600:41 *29150:A 0.000154556 -10 *1721:14 *29150:A 0.000167872 -11 *3844:25 *29150:A 0.000295613 -*RES -1 *29574:X *29150:A 36.9571 -*END - -*D_NET *5345 0.00458485 -*CONN -*I *25806:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29575:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25806:A0 0 -2 *29575:X 0.000732745 -3 *5345:11 0.000732745 -4 *5345:11 *28064:A 3.90801e-05 -5 *5345:11 *5580:16 0.00133677 -6 *25806:A1 *5345:11 5.71472e-05 -7 *25806:S *5345:11 0.000181943 -8 *25815:A1 *5345:11 4.06782e-05 -9 *413:8 *5345:11 0.00146375 -*RES -1 *29575:X *5345:11 40.7821 -2 *5345:11 *25806:A0 9.3 -*END - -*D_NET *5346 0.00130054 -*CONN -*I *25810:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29576:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25810:A0 0.000380261 -2 *29576:X 0.000380261 -3 *25810:A0 *29576:A 0.000398669 -4 *25810:A0 *29624:A 1.39726e-05 -5 *25810:S *25810:A0 0.000127378 -*RES -1 *29576:X *25810:A0 25.2964 -*END - -*D_NET *5347 0.00291788 -*CONN -*I *27869:A1 I *D sky130_fd_sc_hd__o22a_1 -*I *29577:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27869:A1 0.000835424 -2 *29577:X 0.000835424 -3 *27869:A1 *27869:A2 5.25862e-06 -4 *27869:A1 *28119:D 0.000130295 -5 *27869:A1 *5926:221 5.19522e-06 -6 *27869:B2 *27869:A1 2.03618e-05 -7 *1675:10 *27869:A1 0.00107645 -8 *3650:91 *27869:A1 9.47364e-06 -*RES -1 *29577:X *27869:A1 39.9393 -*END - -*D_NET *5348 0.00619624 -*CONN -*I *25816:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29578:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25816:A0 0 -2 *29578:X 0.00114696 -3 *5348:17 0.00114696 -4 *5348:17 *25483:A1 0.000161013 -5 *5348:17 *29563:A 3.48566e-05 -6 *5348:17 *29570:A 4.038e-06 -7 *5348:17 *5558:17 0.000541907 -8 *25815:A0 *5348:17 0.000434226 -9 *25815:S *5348:17 4.58194e-05 -10 *25816:A1 *5348:17 3.45371e-05 -11 *25816:S *5348:17 1.24368e-05 -12 *28077:RESET_B *5348:17 0.000456398 -13 *29550:A *5348:17 0.000177821 -14 *385:18 *5348:17 0.000118052 -15 *389:8 *5348:17 9.49939e-05 -16 *429:31 *5348:17 4.55258e-05 -17 *1749:21 *5348:17 2.26973e-05 -18 *4167:14 *5348:17 0.000249584 -19 *5317:19 *5348:17 0.000114651 -20 *5333:14 *5348:17 0.00108633 -21 *5343:14 *5348:17 0.000267445 -*RES -1 *29578:X *5348:17 49.7107 -2 *5348:17 *25816:A0 9.3 -*END - -*D_NET *5349 0.00102413 -*CONN -*I *25793:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29579:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25793:A0 0.000332772 -2 *29579:X 0.000332772 -3 *25793:A0 *30984:A 8.00806e-05 -4 *1393:124 *25793:A0 0.000200151 -5 *1871:63 *25793:A0 7.83587e-05 -*RES -1 *29579:X *25793:A0 32.4571 -*END - -*D_NET *5350 0.00687527 -*CONN -*I *25805:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29580:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25805:A0 1.21804e-05 -2 *29580:X 0.00135762 -3 *5350:8 0.0013698 -4 *5350:8 *5899:20 0.000579684 -5 *25036:A1 *5350:8 9.91086e-05 -6 *25348:A1 *5350:8 0.000243147 -7 *25805:S *25805:A0 5.49489e-05 -8 *28414:D *5350:8 0.000126963 -9 *29476:A *5350:8 7.79781e-06 -10 *29481:A *5350:8 0.000644869 -11 *30491:A *5350:8 0.000126439 -12 *1191:42 *5350:8 6.99087e-05 -13 *1191:52 *5350:8 0.00052915 -14 *1191:65 *5350:8 0.000403941 -15 *1191:107 *5350:8 0.000195198 -16 *1324:23 *5350:8 0.00024329 -17 *1324:60 *5350:8 0.000216982 -18 *3561:19 *25805:A0 5.49489e-05 -19 *3561:20 *5350:8 3.13225e-05 -20 *3561:24 *5350:8 0.000159465 -21 *3561:28 *5350:8 2.48477e-05 -22 *3561:49 *5350:8 6.81786e-05 -23 *4162:12 *5350:8 0.000142412 -24 *4170:20 *5350:8 0.000113061 -*RES -1 *29580:X *5350:8 47.6214 -2 *5350:8 *25805:A0 14.3357 -*END - -*D_NET *5351 0.0139833 -*CONN -*I *25559:A1 I *D sky130_fd_sc_hd__mux2_4 -*I *30672:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25559:A1 0.00128792 -2 *30672:X 0.00273147 -3 *5351:19 0.00401939 -4 *25559:A1 *6014:20 0.000538974 -5 *5351:19 *30139:A 0.000227715 -6 *5351:19 *5474:14 0 -7 *5351:19 *5489:19 0.000313823 -8 *5351:19 *5733:48 4.98422e-05 -9 *5351:19 *6014:19 0.00030357 -10 *5351:19 *6169:150 3.02545e-05 -11 *25354:A *5351:19 0.000821882 -12 *25559:A0 *25559:A1 4.22908e-05 -13 *25559:S *25559:A1 7.95495e-05 -14 *28368:D *5351:19 2.1479e-05 -15 *29175:A *5351:19 0.000135237 -16 *29389:A *25559:A1 0 -17 *30672:A *5351:19 3.46801e-05 -18 *571:19 *25559:A1 0.000155002 -19 *759:16 *25559:A1 0.000700409 -20 *768:29 *25559:A1 7.80041e-05 -21 *4240:33 *5351:19 1.19556e-05 -22 *4240:37 *25559:A1 0.000142856 -23 *4316:25 *25559:A1 2.04825e-05 -24 *4945:15 *5351:19 0.00134003 -25 *5014:24 *5351:19 0.000262999 -26 *5026:15 *5351:19 0.000313202 -27 *5069:27 *5351:19 0.00032032 -28 *5093:19 *5351:19 0 -*RES -1 *30672:X *5351:19 47.6743 -2 *5351:19 *25559:A1 41.7018 -*END - -*D_NET *5352 0.0198895 -*CONN -*I *25401:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30673:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25401:B2 0.000235878 -2 *30673:X 0.00381568 -3 *5352:22 0.00405156 -4 *25401:B2 *25401:A1 1.05731e-05 -5 *25401:B2 *27095:A0 0.00022266 -6 *5352:22 *25018:A1 0.00132516 -7 *5352:22 *25564:A0 9.66091e-05 -8 *5352:22 *27217:A 2.36553e-05 -9 *5352:22 *5512:50 1.10997e-05 -10 *5352:22 *5539:17 0.00131826 -11 *5352:22 *5548:16 2.06112e-05 -12 *5352:22 *5581:8 9.50292e-05 -13 *5352:22 *5718:11 0.000692414 -14 *5352:22 *5747:37 2.44726e-05 -15 *5352:22 *5926:171 1.05334e-05 -16 *5352:22 *6385:32 0.000276039 -17 *5352:22 *6386:29 0.00125018 -18 *25006:B2 *5352:22 0.000100831 -19 *26844:S *5352:22 7.44888e-05 -20 *27098:S *5352:22 3.67817e-05 -21 *27230:A *5352:22 0.000327438 -22 *27272:C *5352:22 0.000335394 -23 *29761:A *5352:22 0.000244037 -24 *770:24 *5352:22 0.00182953 -25 *1103:24 *5352:22 2.63902e-05 -26 *1177:11 *5352:22 0.000311125 -27 *1240:130 *5352:22 0.000554407 -28 *1245:92 *5352:22 9.5649e-06 -29 *1290:89 *5352:22 0.000357094 -30 *1368:17 *5352:22 9.16729e-05 -31 *1717:14 *25401:B2 1.21258e-05 -32 *1717:14 *5352:22 0.000141174 -33 *1798:19 *5352:22 5.42303e-05 -34 *2751:22 *5352:22 0.00033188 -35 *2797:19 *5352:22 0.000588365 -36 *2802:32 *5352:22 0.000896629 -37 *2803:20 *5352:22 1.33873e-05 -38 *3904:12 *5352:22 2.49459e-05 -39 *3904:21 *5352:22 4.75521e-05 -*RES -1 *30673:X *5352:22 49.1797 -2 *5352:22 *25401:B2 17.1214 -*END - -*D_NET *5353 0.00235923 -*CONN -*I *30858:A I *D sky130_fd_sc_hd__buf_12 -*I *24826:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *30858:A 0.00073277 -2 *24826:Y 0.00073277 -3 *30858:A *29982:A 5.59298e-05 -4 *30858:A *30894:A 0.00030485 -5 *30858:A *5408:42 1.21289e-05 -6 *30858:A *5870:8 1.763e-05 -7 mgmt_gpio_out[30] *30858:A 0.000142856 -8 mgmt_gpio_out[32] *30858:A 0.000360299 -9 *68:11 *30858:A 0 -*RES -1 *24826:Y *30858:A 39.6 -*END - -*D_NET *5354 0.00540438 -*CONN -*I *27919:A1 I *D sky130_fd_sc_hd__o22a_1 -*I *29581:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27919:A1 0 -2 *29581:X 0.000934033 -3 *5354:17 0.000934033 -4 *5354:17 *25150:B 1.94879e-05 -5 *5354:17 *27919:A2 8.90371e-05 -6 *5354:17 *5618:12 0.000153159 -7 *29377:A *5354:17 5.33005e-05 -8 *30017:A *5354:17 8.359e-05 -9 *1227:44 *5354:17 0.000223349 -10 *1624:43 *5354:17 0.000258673 -11 *2798:68 *5354:17 0.000989607 -12 *2839:73 *5354:17 0.00119619 -13 *3459:23 *5354:17 0 -14 *3460:21 *5354:17 6.05161e-06 -15 *3568:99 *5354:17 8.90816e-06 -16 *3648:91 *5354:17 0.000119909 -17 *3986:26 *5354:17 0.000310797 -18 *5052:19 *5354:17 2.42516e-05 -*RES -1 *29581:X *5354:17 45.0857 -2 *5354:17 *27919:A1 9.3 -*END - -*D_NET *5355 0.00902981 -*CONN -*I *29106:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29582:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *29106:A 0.000737868 -2 *29582:X 0.000872677 -3 *5355:10 0.00161055 -4 *29106:A *25652:B 0.000226279 -5 *5355:10 *28179:RESET_B 0.000365881 -6 *5355:10 *5727:170 0.000224583 -7 *5355:10 *5739:181 0.000121626 -8 *25554:A0 *5355:10 0 -9 *28163:D *29106:A 9.85597e-05 -10 *28885:A *5355:10 3.29594e-05 -11 *29582:A *5355:10 0 -12 *30327:A *29106:A 0.000266245 -13 *30378:A *29106:A 0.000394037 -14 *30399:A *29106:A 0.000141036 -15 *30431:A *29106:A 6.57032e-05 -16 *1827:36 *5355:10 0 -17 *1830:33 *5355:10 5.65064e-05 -18 *1864:59 *5355:10 0.00022459 -19 *3196:125 *29106:A 0.000263634 -20 *3896:17 *29106:A 7.44413e-05 -21 *4126:39 *29106:A 0.000136676 -22 *4127:32 *29106:A 0.00114966 -23 *4546:20 *5355:10 0.00011708 -24 *4646:13 *5355:10 0.000522123 -25 *4877:10 *29106:A 0.0011381 -26 *5253:28 *29106:A 0.000188995 -*RES -1 *29582:X *5355:10 37.9071 -2 *5355:10 *29106:A 34.0679 -*END - -*D_NET *5356 0.00808727 -*CONN -*I *27975:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29583:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27975:A0 0.000631456 -2 *29583:X 0.000835539 -3 *5356:11 0.00146699 -4 *27975:A0 *6169:113 1.08359e-05 -5 *5356:11 *24872:A 0.000171504 -6 *5356:11 *25794:A0 0.000150625 -7 *5356:11 *25809:A0 0.000227014 -8 *5356:11 *25818:A0 1.38323e-05 -9 *5356:11 *28063:B 0.000912929 -10 *5356:11 *30979:A 6.81083e-05 -11 *5356:11 *5560:33 0.000729183 -12 *5356:11 *6169:92 1.67953e-05 -13 *5356:11 *6169:107 0.000254447 -14 *25036:A0 *27975:A0 2.84109e-05 -15 *25073:A0 *27975:A0 9.24435e-05 -16 *25168:A0 *27975:A0 0 -17 *25809:A1 *5356:11 2.41224e-05 -18 *25818:S *5356:11 5.33005e-05 -19 *27975:A1 *27975:A0 2.84026e-05 -20 *27975:S *27975:A0 0.000939605 -21 *28849:A *5356:11 0.000101545 -22 *28855:A *5356:11 0.00057758 -23 *1427:168 *27975:A0 2.01732e-05 -24 *1755:10 *5356:11 0.000310249 -25 *1871:94 *27975:A0 6.04621e-05 -26 *1873:38 *5356:11 4.06782e-05 -27 *3510:137 *5356:11 9.41642e-05 -28 *3548:17 *5356:11 0.000226867 -*RES -1 *29583:X *5356:11 46.3536 -2 *5356:11 *27975:A0 32.4429 -*END - -*D_NET *5357 0.00749621 -*CONN -*I *25537:B1 I *D sky130_fd_sc_hd__a31o_1 -*I *25465:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *29585:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25537:B1 0 -2 *25465:A1 0.000636998 -3 *29585:X 0.00108706 -4 *5357:16 0.00172406 -5 *25465:A1 *5727:73 9.41642e-05 -6 *25465:A1 *5947:100 1.21258e-05 -7 *5357:16 *25546:S 0.000850374 -8 *5357:16 *5727:36 0.00035525 -9 *5357:16 *5739:37 0.000232518 -10 *25465:A2 *25465:A1 0.000225616 -11 *25538:A3 *5357:16 8.56812e-05 -12 *28083:D *25465:A1 0.00043988 -13 *28083:RESET_B *25465:A1 0.000588774 -14 *28096:RESET_B *25465:A1 0.000240016 -15 *28096:RESET_B *5357:16 5.51406e-05 -16 *29535:A *5357:16 5.71472e-05 -17 *4163:17 *25465:A1 0.000137829 -18 *4187:10 *5357:16 0.000193833 -19 *4187:48 *25465:A1 2.75667e-05 -20 *4194:65 *25465:A1 0.000328887 -21 *4194:65 *5357:16 0.000123288 -*RES -1 *29585:X *5357:16 41.3 -2 *5357:16 *25465:A1 32.3536 -3 *5357:16 *25537:B1 13.8 -*END - -*D_NET *5358 0.0032829 -*CONN -*I *28169:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29586:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28169:D 0.000535426 -2 *29586:X 0.000535426 -3 *28169:D *25471:A1 0.000495487 -4 *28169:D *5739:37 2.89016e-05 -5 *25459:A0 *28169:D 5.7499e-05 -6 *28166:D *28169:D 0.000546708 -7 *28169:RESET_B *28169:D 8.55871e-05 -8 *1738:50 *28169:D 0.000173804 -9 *1778:16 *28169:D 0.000173797 -10 *3561:88 *28169:D 6.24939e-05 -11 *3561:93 *28169:D 0.000270803 -12 *5007:10 *28169:D 0.000263663 -13 *5304:13 *28169:D 5.33005e-05 -*RES -1 *29586:X *28169:D 44.6536 -*END - -*D_NET *5359 0.00247812 -*CONN -*I *30005:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29587:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *30005:A 0.000591405 -2 *29587:X 0.000591405 -3 *30005:A *25738:A0 6.74911e-05 -4 *30005:A *30563:A 0.000220448 -5 *25685:A0 *30005:A 4.03318e-05 -6 *30006:A *30005:A 0 -7 *30351:A *30005:A 0 -8 *30539:A *30005:A 0.00049014 -9 *30564:A *30005:A 0.000227532 -10 *4226:23 *30005:A 0.000225616 -11 *4248:8 *30005:A 2.37485e-05 -*RES -1 *29587:X *30005:A 41.9214 -*END - -*D_NET *5360 0.00295738 -*CONN -*I *30505:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29588:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *30505:A 0.000650628 -2 *29588:X 0.000650628 -3 *30505:A *5739:170 9.60875e-05 -4 *25653:A0 *30505:A 0.000126439 -5 *29369:A *30505:A 0.000482601 -6 *30506:A *30505:A 0.000227539 -7 *1778:16 *30505:A 0.00056227 -8 *1862:36 *30505:A 0.000128154 -9 *4747:10 *30505:A 3.30352e-05 -*RES -1 *29588:X *30505:A 40.5821 -*END - -*D_NET *5361 0.00158066 -*CONN -*I *29744:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29589:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *29744:A 0.000636974 -2 *29589:X 0.000636974 -3 *29744:A *6048:8 0.000168726 -4 *3783:150 *29744:A 0.000137983 -*RES -1 *29589:X *29744:A 29.8143 -*END - -*D_NET *5362 0.00113972 -*CONN -*I *27979:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29590:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27979:A0 0.000383129 -2 *29590:X 0.000383129 -3 *27979:A0 *29590:A 5.49489e-05 -4 *3510:65 *27979:A0 6.57032e-05 -5 *3510:90 *27979:A0 0.000252808 -*RES -1 *29590:X *27979:A0 25.2964 -*END - -*D_NET *5363 0.00180157 -*CONN -*I *25439:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29591:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25439:A1 0.000376717 -2 *29591:X 0.000376717 -3 *25439:A0 *25439:A1 0.000155712 -4 *25439:S *25439:A1 1.56e-05 -5 *28148:CLK *25439:A1 0.000304476 -6 *30276:A *25439:A1 2.05938e-05 -7 *1202:17 *25439:A1 3.80953e-06 -8 *4161:10 *25439:A1 0.000547949 -*RES -1 *29591:X *25439:A1 36.8679 -*END - -*D_NET *5364 0.0121128 -*CONN -*I *30859:A I *D sky130_fd_sc_hd__buf_12 -*I *24825:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *30859:A 0.00148101 -2 *24825:Y 0.00189684 -3 *5364:13 0.00337784 -4 *30859:A *5453:32 0.000263524 -5 *30859:A *5458:67 0.00022572 -6 *30859:A *5460:40 4.64978e-05 -7 *30859:A *5870:7 0.000241294 -8 *30859:A *6039:24 1.80032e-05 -9 *5364:13 *26862:A1 0 -10 *5364:13 *30891:A 0 -11 *5364:13 *5452:16 0 -12 *5364:13 *5702:202 5.65833e-05 -13 *5364:13 *5905:18 0 -14 *5364:13 *5905:37 0 -15 *5364:13 *6010:21 0.00017089 -16 mgmt_gpio_oeb[31] *30859:A 0.000872929 -17 mgmt_gpio_oeb[33] *30859:A 0.000439589 -18 mgmt_gpio_out[28] *5364:13 0 -19 *24829:A *5364:13 0.000989833 -20 *26862:S *5364:13 9.13987e-05 -21 *29737:A *5364:13 0.000114356 -22 *29955:A *5364:13 0 -23 *29964:A *5364:13 6.25005e-05 -24 *30010:A *5364:13 0.000149831 -25 *30718:A *30859:A 4.33174e-05 -26 *30856:A *5364:13 0.000404843 -27 *66:13 *30859:A 0.000103253 -28 *2794:69 *5364:13 0.000139907 -29 *3608:89 *5364:13 0 -30 *3609:30 *5364:13 0 -31 *3930:22 *5364:13 0.000252633 -32 *4802:10 *5364:13 0.000163503 -33 *4817:18 *5364:13 0.000506721 -*RES -1 *24825:Y *5364:13 49.0108 -2 *5364:13 *30859:A 38.0411 -*END - -*D_NET *5365 0.00177383 -*CONN -*I *25465:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *29592:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25465:B1 0.000566367 -2 *29592:X 0.000566367 -3 *25465:B1 *5727:73 2.80742e-05 -4 *25465:B1 *5947:100 2.59355e-05 -5 *25418:B *25465:B1 0.000298007 -6 *25494:B1 *25465:B1 1.21258e-05 -7 *29592:A *25465:B1 0.000149797 -8 *410:17 *25465:B1 8.25843e-06 -9 *1733:41 *25465:B1 0.000118899 -*RES -1 *29592:X *25465:B1 36.4571 -*END - -*D_NET *5366 0.00805681 -*CONN -*I *24815:A I *D sky130_fd_sc_hd__inv_2 -*I *25857:B1 I *D sky130_fd_sc_hd__a2111o_1 -*I *29593:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *24815:A 0 -2 *25857:B1 0.000366733 -3 *29593:X 0.000313134 -4 *5366:28 0.00143741 -5 *5366:8 0.00211727 -6 *5366:8 *29593:A 7.02611e-05 -7 *5366:8 *6378:11 2.97829e-05 -8 *5366:28 *25587:A_N 3.98225e-05 -9 *5366:28 *25587:B 5.49589e-06 -10 *5366:28 *27969:B1 0.000358289 -11 *5366:28 *28015:A2 0.000742529 -12 *5366:28 *29541:A 0.000151071 -13 *5366:28 *5908:8 0 -14 *5366:28 *5961:10 0.000125731 -15 *25857:C1 *25857:B1 0.000522439 -16 *28015:A1 *5366:28 0.000144773 -17 *29185:A *5366:28 0.000309266 -18 *29542:A *5366:28 0.000113073 -19 *1174:15 *5366:28 0.000149489 -20 *1174:37 *5366:8 0.000268514 -21 *1174:37 *5366:28 0.000313465 -22 *1862:11 *5366:28 0.000107655 -23 *3535:13 *5366:28 6.87574e-05 -24 *3567:33 *5366:8 0.000142307 -25 *3567:33 *5366:28 0.000159546 -26 *4350:103 *5366:28 0 -*RES -1 *29593:X *5366:8 19.8536 -2 *5366:8 *25857:B1 18.8536 -3 *5366:8 *5366:28 42.4821 -4 *5366:28 *24815:A 9.3 -*END - -*D_NET *5367 0.00144068 -*CONN -*I *30350:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29594:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *30350:A 0.00028765 -2 *29594:X 0.00028765 -3 *30350:A *5701:61 0.000210233 -4 *28206:D *30350:A 0.000128161 -5 *30006:A *30350:A 0.000128161 -6 *4593:11 *30350:A 0.000398822 -*RES -1 *29594:X *30350:A 35.5286 -*END - -*D_NET *5368 0.000220972 -*CONN -*I *30367:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29596:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *30367:A 8.82535e-05 -2 *29596:X 8.82535e-05 -3 *30367:A *28014:A2 4.16383e-05 -4 *30367:A *6036:95 2.8266e-06 -5 *27986:C *30367:A 0 -*RES -1 *29596:X *30367:A 29.2429 -*END - -*D_NET *5369 0.00112798 -*CONN -*I *29673:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29597:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *29673:A 0.000388446 -2 *29597:X 0.000388446 -3 *29673:A *25734:A1 0 -4 *29673:A *25852:A 3.47641e-06 -5 *29673:A *27991:B2 8.42576e-05 -6 *27991:C1 *29673:A 5.48198e-05 -7 *29597:A *29673:A 0.000154027 -8 *290:21 *29673:A 0 -9 *291:18 *29673:A 5.4507e-05 -*RES -1 *29597:X *29673:A 34.2607 -*END - -*D_NET *5370 0.00156164 -*CONN -*I *29808:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29598:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *29808:A 0.000428094 -2 *29598:X 0.000428094 -3 *29808:A *30882:A 0.000519496 -4 *1490:82 *29808:A 0.00012501 -5 *3572:95 *29808:A 6.09476e-05 -*RES -1 *29598:X *29808:A 34.2964 -*END - -*D_NET *5371 0.000186505 -*CONN -*I *30565:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29599:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *30565:A 9.32525e-05 -2 *29599:X 9.32525e-05 -3 *30566:A *30565:A 0 -4 *4187:22 *30565:A 0 -*RES -1 *29599:X *30565:A 29.2429 -*END - -*D_NET *5372 0.001491 -*CONN -*I *27254:B2 I *D sky130_fd_sc_hd__o32a_1 -*I *29600:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27254:B2 0.000221355 -2 *29600:X 0.000221355 -3 *27254:B2 *27595:A 0.000137983 -4 *27254:B2 *6303:22 2.77258e-05 -5 *27254:B2 *6308:18 8.17274e-05 -6 *27254:A2 *27254:B2 9.60337e-06 -7 *27254:B1 *27254:B2 8.02119e-05 -8 *1218:136 *27254:B2 1.57414e-05 -9 *1282:77 *27254:B2 0.000592363 -10 *2825:12 *27254:B2 2.8266e-06 -11 *2825:27 *27254:B2 9.63321e-06 -12 *3147:15 *27254:B2 9.04785e-05 -*RES -1 *29600:X *27254:B2 34.2071 -*END - -*D_NET *5373 0.00414054 -*CONN -*I *27894:A1 I *D sky130_fd_sc_hd__o22a_1 -*I *29601:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27894:A1 0.000804053 -2 *29601:X 0.000804053 -3 *27894:A1 *27894:A2 0.000105303 -4 *27894:A1 *29509:A 0.000894046 -5 *27894:A1 *29601:A 2.27416e-05 -6 *27894:A1 *5599:125 3.21796e-05 -7 *27894:A1 *5691:160 9.8928e-05 -8 *27894:A1 *5691:176 0.000100771 -9 *27894:A1 *6225:61 0.00055879 -10 *25409:A2 *27894:A1 7.80039e-05 -11 *1401:37 *27894:A1 5.1588e-05 -12 *1401:49 *27894:A1 0.000357815 -13 *3844:25 *27894:A1 0.000139632 -14 *5279:29 *27894:A1 9.26392e-05 -*RES -1 *29601:X *27894:A1 48.7964 -*END - -*D_NET *5374 0.00118651 -*CONN -*I *29318:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29602:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *29318:A 0.00035037 -2 *29602:X 0.00035037 -3 *29318:A *5683:96 7.06378e-05 -4 *29329:A *29318:A 0.000125318 -5 *4136:8 *29318:A 0.000289813 -*RES -1 *29602:X *29318:A 33.4393 -*END - -*D_NET *5375 0.00182051 -*CONN -*I *30860:A I *D sky130_fd_sc_hd__buf_12 -*I *24824:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *30860:A 0.00068559 -2 *24824:Y 0.00068559 -3 *30860:A *30861:A 4.70981e-05 -4 *30860:A *5463:14 0 -5 *30860:A *5825:8 0 -6 mgmt_gpio_oeb[35] *30860:A 0.000231265 -7 *30722:A *30860:A 0 -8 *4781:15 *30860:A 0.000170964 -*RES -1 *24824:Y *30860:A 39.8321 -*END - -*D_NET *5376 0.000529955 -*CONN -*I *30361:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29603:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *30361:A 0.000162022 -2 *29603:X 0.000162022 -3 *28204:CLK *30361:A 0 -4 *29603:A *30361:A 7.77652e-05 -5 *3783:150 *30361:A 0.000128146 -*RES -1 *29603:X *30361:A 30.6 -*END - -*D_NET *5377 0.00794801 -*CONN -*I *25808:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29604:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25808:A0 0.000980037 -2 *29604:X 0.000936912 -3 *5377:15 0.00191695 -4 *25808:A0 *25446:A1 0.000125304 -5 *25808:A0 *5728:27 0.000281707 -6 *25808:A0 *5836:135 0.000453292 -7 *5377:15 *24877:A1 0.000269174 -8 *5377:15 *31002:A 0.000318118 -9 *5377:15 *5887:14 0 -10 *25430:A_N *5377:15 0.000339346 -11 *25430:B *25808:A0 5.41794e-05 -12 *25448:B2 *25808:A0 0.000137515 -13 *25808:A1 *25808:A0 5.52238e-05 -14 *25808:S *25808:A0 3.4879e-05 -15 *28408:RESET_B *5377:15 3.71613e-05 -16 *29107:A *5377:15 0.000267452 -17 *373:12 *25808:A0 0.000333079 -18 *418:8 *25808:A0 0.000815848 -19 *4154:6 *25808:A0 0.000113219 -20 *4159:33 *25808:A0 0.000474926 -21 *4161:28 *5377:15 3.69047e-06 -*RES -1 *29604:X *5377:15 38.4429 -2 *5377:15 *25808:A0 44.55 -*END - -*D_NET *5378 0.00087284 -*CONN -*I *25797:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29605:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25797:A0 0.000271734 -2 *29605:X 0.000271734 -3 *25797:S *25797:A0 8.25843e-06 -4 *1323:109 *25797:A0 5.33005e-05 -5 *2179:27 *25797:A0 0.000267814 -*RES -1 *29605:X *25797:A0 32.4214 -*END - -*D_NET *5379 0.00195891 -*CONN -*I *30453:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29607:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *30453:A 0.000497385 -2 *29607:X 0.000497385 -3 *30453:A *29607:A 7.32272e-05 -4 *30453:A *5720:159 0.000100625 -5 *30453:A *6311:43 0.00011333 -6 *30453:A *6350:35 0.00020916 -7 *27261:B *30453:A 1.74352e-05 -8 *1624:33 *30453:A 8.81471e-06 -9 *2840:53 *30453:A 4.75955e-05 -10 *3572:55 *30453:A 0.000393949 -*RES -1 *29607:X *30453:A 37.2964 -*END - -*D_NET *5380 0.00364818 -*CONN -*I *24817:A I *D sky130_fd_sc_hd__inv_2 -*I *29608:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *24817:A 0.000805298 -2 *29608:X 0.000805298 -3 *24817:A *27439:A1 0.000309273 -4 *24817:A *5751:116 0.00014627 -5 *24817:A *5751:122 0.000368411 -6 *24817:A *5751:128 5.7248e-05 -7 *24817:A *5751:167 0.000289951 -8 *27261:B *24817:A 4.70078e-05 -9 *27267:A *24817:A 2.5358e-05 -10 *27440:S *24817:A 1.1594e-05 -11 *27462:S *24817:A 0.000221826 -12 *30523:A *24817:A 3.14048e-05 -13 *1278:41 *24817:A 7.40571e-05 -14 *2816:12 *24817:A 0.000445961 -15 *2827:16 *24817:A 9.22103e-06 -*RES -1 *29608:X *24817:A 44.4214 -*END - -*D_NET *5381 0.00114867 -*CONN -*I *29733:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29609:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *29733:A 0.000297958 -2 *29609:X 0.000297958 -3 *29733:A *25708:A0 3.92854e-05 -4 *29733:A *29935:A 0 -5 *29609:A *29733:A 4.00276e-06 -6 *4183:22 *29733:A 0.000117896 -7 *4183:24 *29733:A 0.000391576 -*RES -1 *29609:X *29733:A 34.6357 -*END - -*D_NET *5382 0.00184884 -*CONN -*I *25425:A I *D sky130_fd_sc_hd__xor2_1 -*I *29610:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25425:A 0.000441115 -2 *29610:X 0.000441115 -3 *25425:A *6036:13 2.94004e-05 -4 *25421:A *25425:A 0.000107712 -5 *25569:S *25425:A 0.000196555 -6 *29610:A *25425:A 0.000262504 -7 *1733:41 *25425:A 0.000370441 -*RES -1 *29610:X *25425:A 37.5643 -*END - -*D_NET *5383 0.00117108 -*CONN -*I *25452:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29611:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25452:A1 0.000308845 -2 *29611:X 0.000308845 -3 *25452:A1 *25457:A1 0 -4 *25451:B1 *25452:A1 1.83819e-05 -5 *25452:A0 *25452:A1 0.000122923 -6 *1771:29 *25452:A1 5.19522e-05 -7 *4155:35 *25452:A1 3.80953e-06 -8 *4157:15 *25452:A1 4.884e-05 -9 *4195:105 *25452:A1 0.000115933 -10 *4195:123 *25452:A1 0.000191554 -*RES -1 *29611:X *25452:A1 33.4393 -*END - -*D_NET *5384 0.000758391 -*CONN -*I *29775:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29612:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *29775:A 0.000251445 -2 *29612:X 0.000251445 -3 *27357:A2 *29775:A 9.59332e-05 -4 *29776:A *29775:A 2.83425e-05 -5 *2880:137 *29775:A 6.66813e-05 -6 *3538:24 *29775:A 6.45442e-05 -*RES -1 *29612:X *29775:A 31.8321 -*END - -*D_NET *5385 0.000943856 -*CONN -*I *30319:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29613:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *30319:A 0.000190831 -2 *29613:X 0.000190831 -3 *30319:A *5592:10 0.000120645 -4 *30319:A *5625:15 0.000313241 -5 *30319:A *5659:36 6.39262e-05 -6 *29613:A *30319:A 6.4382e-05 -*RES -1 *29613:X *30319:A 33.4571 -*END - -*D_NET *5386 0.132958 -*CONN -*I *30861:A I *D sky130_fd_sc_hd__buf_12 -*I *25546:X O *D sky130_fd_sc_hd__mux2_8 -*CAP -1 *30861:A 6.9309e-05 -2 *25546:X 5.1457e-06 -3 *5386:12 0.0184357 -4 *5386:11 0.0365202 -5 *5386:8 0.018159 -6 *30861:A *5463:14 1.47728e-05 -7 *5386:11 *25495:A1 0 -8 *5386:11 *25642:A0 8.83488e-05 -9 *5386:11 *28466:SET_B 1.98779e-05 -10 *5386:11 *29035:A 0 -11 *5386:11 *5458:31 4.24297e-05 -12 *5386:11 *5485:138 0.000116734 -13 *5386:11 *5581:64 8.80632e-05 -14 *5386:11 *5631:20 0.000345528 -15 *5386:11 *5657:88 2.50735e-05 -16 *5386:11 *5657:197 0.000135757 -17 *5386:11 *5666:262 4.23326e-05 -18 *5386:11 *5691:93 0.00182863 -19 *5386:11 *5713:84 2.01997e-05 -20 *5386:11 *5714:29 0.000134788 -21 *5386:11 *5754:18 0.000281139 -22 *5386:11 *5873:175 0.000960411 -23 *5386:11 *5892:145 0 -24 *5386:11 *5909:76 4.70487e-05 -25 *5386:11 *5936:70 3.2127e-05 -26 *5386:12 *24970:B1 0 -27 *5386:12 *5589:123 0.000175359 -28 *5386:12 *5589:134 0.00100406 -29 *5386:12 *5601:128 0 -30 *5386:12 *5602:53 0.00313944 -31 *5386:12 *5602:100 0.00970522 -32 *5386:12 *5628:66 0 -33 *5386:12 *5639:147 0 -34 *5386:12 *5656:275 0 -35 *5386:12 *5756:95 0.00015158 -36 *5386:12 *5756:107 0.000171149 -37 *5386:12 *5829:126 0.000462174 -38 *5386:12 *5847:74 0 -39 *5386:12 *5872:25 0 -40 *5386:12 *5914:6 0.000666983 -41 *24981:B1 *5386:12 0.000116155 -42 *24994:C1 *5386:12 0.000168046 -43 *25061:A2 *5386:12 0.00153914 -44 *25184:A2 *5386:11 2.8491e-05 -45 *25184:B2 *5386:11 0.000301873 -46 *25302:A2 *5386:11 0.000769595 -47 *25326:B2 *5386:11 4.95966e-05 -48 *25834:A *5386:11 0.00129481 -49 *27333:A2 *5386:11 0.00130681 -50 *27333:C1 *5386:11 0.00011673 -51 *27354:B1 *5386:11 2.48858e-05 -52 *27447:B1 *5386:11 0.00209565 -53 *27460:A2 *5386:11 0.00235705 -54 *27509:A1 *5386:11 0.000357601 -55 *27671:A1 *5386:11 0.00118004 -56 *27836:A1 *5386:12 0.000101738 -57 *27884:B1 *5386:11 0 -58 *28146:D *5386:8 2.26973e-05 -59 *28479:D *5386:12 0.000111922 -60 *28787:D *5386:11 0.000111354 -61 *28913:A *5386:12 0.000122988 -62 *29371:A *5386:11 5.73837e-05 -63 *30144:A *5386:12 0.000107625 -64 *30722:A *30861:A 0 -65 *30860:A *30861:A 4.70981e-05 -66 *74:11 *5386:11 0 -67 *244:65 *5386:12 0.00308642 -68 *1235:35 *5386:11 8.47936e-06 -69 *1245:51 *5386:11 0.00267716 -70 *1248:81 *5386:11 2.04745e-05 -71 *1252:83 *5386:11 0.00047129 -72 *1252:110 *5386:11 0.00017184 -73 *1253:98 *5386:11 7.76075e-06 -74 *1257:46 *5386:11 0 -75 *1268:95 *5386:12 0.000262154 -76 *1268:96 *5386:12 0.000849266 -77 *1269:267 *5386:12 0.000206042 -78 *1270:88 *5386:12 0.00433573 -79 *1270:94 *5386:12 0.00110505 -80 *1272:158 *5386:12 0.000169178 -81 *1272:168 *5386:12 0.000344045 -82 *1275:119 *5386:12 0.000114275 -83 *1275:164 *5386:12 0.000137276 -84 *1290:201 *5386:11 0.000127359 -85 *1291:31 *5386:11 0.000102651 -86 *1294:193 *5386:11 4.45507e-05 -87 *1294:193 *5386:12 0 -88 *1320:15 *5386:12 0.000121503 -89 *1334:19 *5386:12 0.000122609 -90 *1334:22 *5386:12 0.00066695 -91 *1350:25 *5386:12 0.000101738 -92 *1367:43 *5386:12 0 -93 *1371:51 *5386:12 8.34046e-05 -94 *1411:97 *5386:11 2.24813e-05 -95 *1430:50 *5386:8 2.11419e-05 -96 *1441:115 *5386:11 6.10665e-06 -97 *1455:93 *5386:11 1.30261e-07 -98 *1535:55 *5386:11 3.88378e-06 -99 *1700:65 *5386:11 0 -100 *2763:124 *5386:12 0.000136501 -101 *2771:22 *5386:12 0 -102 *2773:16 *5386:12 0.000376506 -103 *2776:153 *5386:12 0.00104646 -104 *2867:99 *5386:11 8.92414e-05 -105 *2871:160 *5386:11 0.000171876 -106 *2883:53 *5386:11 0.000155756 -107 *2883:58 *5386:11 0.000242685 -108 *2894:21 *5386:11 1.9145e-05 -109 *2894:44 *5386:11 0.000329747 -110 *2895:61 *5386:11 0.000419833 -111 *2895:79 *5386:11 0.000474182 -112 *2895:227 *5386:11 0.00015474 -113 *3184:53 *5386:11 0.000349934 -114 *3197:152 *5386:11 3.97272e-05 -115 *3362:11 *5386:11 0 -116 *3389:28 *5386:11 3.81355e-05 -117 *3682:21 *5386:12 0.00013713 -118 *3684:21 *5386:11 3.33004e-05 -119 *3733:51 *5386:12 0.00025521 -120 *3736:25 *5386:11 0.000228322 -121 *3748:34 *5386:12 0.000442039 -122 *3752:54 *5386:11 8.94556e-05 -123 *3780:34 *5386:11 4.70487e-05 -124 *3797:65 *5386:11 0.00038487 -125 *3812:24 *5386:12 0.000125162 -126 *3890:47 *5386:12 0 -127 *3891:113 *5386:12 0.00039572 -128 *3944:45 *5386:11 0.00296694 -129 *3945:34 *5386:11 4.22593e-05 -130 *3971:42 *5386:11 0.00132123 -131 *3995:58 *5386:12 0 -132 *4098:13 *5386:12 0.000156995 -133 *4098:39 *5386:12 0.000366511 -134 *4098:42 *5386:12 0.000318272 -135 *4130:77 *5386:11 4.33998e-05 -136 *4153:62 *5386:11 0.000786133 -137 *4226:23 *5386:12 0.00010508 -*RES -1 *25546:X *5386:8 17.4868 -2 *5386:8 *5386:11 49.904 -3 *5386:11 *5386:12 60.1318 -4 *5386:12 *30861:A 21.2834 -*END - -*D_NET *5387 0.00133884 -*CONN -*I *29258:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29614:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *29258:A 0.000236463 -2 *29614:X 0.000236463 -3 *29614:A *29258:A 0.000270326 -4 *1323:17 *29258:A 0.00023367 -5 *1323:23 *29258:A 0.000361914 -*RES -1 *29614:X *29258:A 26.1179 -*END - -*D_NET *5388 0.000777052 -*CONN -*I *27968:A1 I *D sky130_fd_sc_hd__o22a_1 -*I *29615:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27968:A1 0.000138058 -2 *29615:X 0.000138058 -3 *27968:A1 *5691:176 7.72843e-05 -4 *28808:D *27968:A1 3.34295e-05 -5 *28812:D *27968:A1 7.49166e-06 -6 *28950:A *27968:A1 0.000193549 -7 *1141:20 *27968:A1 7.5779e-05 -8 *3568:136 *27968:A1 0.000113402 -*RES -1 *29615:X *27968:A1 31.6714 -*END - -*D_NET *5389 0.00201505 -*CONN -*I *27976:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29616:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27976:A0 0.000721313 -2 *29616:X 0.000721313 -3 *27976:A0 *29616:A 0.000353079 -4 *27976:A0 *30982:A 0.000113073 -5 *27976:A0 *5541:8 2.79092e-05 -6 *1358:86 *27976:A0 7.83659e-05 -*RES -1 *29616:X *27976:A0 36.975 -*END - -*D_NET *5390 0.000990212 -*CONN -*I *30256:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29619:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *30256:A 0.000300687 -2 *29619:X 0.000300687 -3 *30256:A *25575:A1 0 -4 *30256:A *5542:12 0.000317602 -5 *25729:A1 *30256:A 0 -6 *25732:A1 *30256:A 6.05161e-06 -7 *30259:A *30256:A 6.5185e-05 -*RES -1 *29619:X *30256:A 34.2607 -*END - -*D_NET *5391 0.0026789 -*CONN -*I *27232:A1 I *D sky130_fd_sc_hd__o21a_1 -*I *29620:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27232:A1 0.000892312 -2 *29620:X 0.000892312 -3 *27232:A1 *24974:B2 0.000178087 -4 *27232:A1 *5539:33 5.14192e-06 -5 *27232:A1 *5585:115 8.25843e-06 -6 *24974:A1 *27232:A1 0.000217597 -7 *24974:A2 *27232:A1 7.56452e-05 -8 *24974:C1 *27232:A1 1.38323e-05 -9 *2805:40 *27232:A1 0.000265453 -10 *2810:15 *27232:A1 0.000130265 -*RES -1 *29620:X *27232:A1 30.7964 -*END - -*D_NET *5392 0.000333313 -*CONN -*I *30483:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29621:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *30483:A 0.000142509 -2 *29621:X 0.000142509 -3 *27166:A0 *30483:A 4.82947e-05 -*RES -1 *29621:X *30483:A 20.7786 -*END - -*D_NET *5393 0.00109819 -*CONN -*I *27484:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29622:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27484:A0 0.000383207 -2 *29622:X 0.000383207 -3 *27484:A0 *29622:A 8.2785e-05 -4 *27484:A0 *5448:21 3.52028e-05 -5 *27484:A0 *6320:17 0.000132879 -6 *27484:A0 *6320:29 3.61629e-06 -7 *27484:A0 *6332:55 7.72916e-05 -*RES -1 *29622:X *27484:A0 32.8679 -*END - -*D_NET *5394 0.0017425 -*CONN -*I *29695:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29623:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *29695:A 0.000422152 -2 *29623:X 0.000422152 -3 *29695:A *5528:45 0.000146254 -4 *29695:A *5529:35 0.000144732 -5 *29695:A *5755:78 8.95645e-05 -6 *29695:A *5999:5 0.000257619 -7 *29623:A *29695:A 0.000178503 -8 *29930:A *29695:A 1.58163e-05 -9 *3864:39 *29695:A 6.57032e-05 -*RES -1 *29623:X *29695:A 36.3679 -*END - -*D_NET *5395 0.00821862 -*CONN -*I *25792:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29624:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25792:A0 0.000565445 -2 *29624:X 0.00110816 -3 *5395:7 0.00167361 -4 *25792:A0 *5564:8 0.00123703 -5 *25792:A0 *5564:32 0.000474327 -6 *5395:7 *29624:A 0.00123565 -7 *5395:7 *5742:282 0.000111451 -8 *1871:81 *25792:A0 0.00171703 -9 *1874:59 *25792:A0 9.59141e-05 -*RES -1 *29624:X *5395:7 28.7107 -2 *5395:7 *25792:A0 36.7286 -*END - -*D_NET *5396 0.00380725 -*CONN -*I *25471:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29625:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25471:A1 0.000910534 -2 *29625:X 0.000910534 -3 *25471:A1 *28048:A 5.58875e-06 -4 *25471:A1 *5836:150 1.84884e-05 -5 *25471:A1 *5836:162 2.85321e-05 -6 *25471:S *25471:A1 0.000731156 -7 *28166:D *25471:A1 0.000126958 -8 *28168:CLK *25471:A1 5.58551e-05 -9 *28169:D *25471:A1 0.000495487 -10 *418:8 *25471:A1 3.55056e-05 -11 *1192:25 *25471:A1 0.000155613 -12 *1738:40 *25471:A1 5.95472e-05 -13 *1738:50 *25471:A1 0 -14 *4160:57 *25471:A1 7.83659e-05 -15 *4194:51 *25471:A1 2.02469e-05 -16 *4194:65 *25471:A1 0.000135526 -17 *5253:21 *25471:A1 1.21258e-05 -18 *5256:23 *25471:A1 2.71857e-05 -*RES -1 *29625:X *25471:A1 48.9214 -*END - -*D_NET *5397 0.0297566 -*CONN -*I *30862:A I *D sky130_fd_sc_hd__buf_12 -*I *25545:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *30862:A 0.00197678 -2 *25545:X 0.00102374 -3 *5397:53 0.00222402 -4 *5397:45 0.00226358 -5 *5397:35 0.00411377 -6 *5397:23 0.00312118 -7 *30862:A *5449:28 0.000113549 -8 *30862:A *5453:32 9.71197e-05 -9 *30862:A *5847:7 5.52302e-05 -10 *5397:23 *25544:S 9.74001e-05 -11 *5397:23 *25731:A1 0 -12 *5397:23 *29904:A 0.000121515 -13 *5397:23 *30424:A 0.000359716 -14 *5397:23 *5457:30 0 -15 *5397:23 *5792:15 2.95641e-05 -16 *5397:23 *6036:107 1.98839e-05 -17 *5397:23 *6192:22 0.000225609 -18 *5397:23 *6269:12 0.000141621 -19 *5397:35 *25731:A1 0.000137983 -20 *5397:35 *5458:67 0.000107752 -21 *5397:35 *5460:35 0.000576112 -22 *5397:35 *5725:10 0.00014285 -23 *5397:45 *29982:A 0.000440705 -24 *5397:45 *30887:A 2.89114e-05 -25 *5397:45 *5451:23 0.000405733 -26 *5397:45 *5453:13 2.95641e-05 -27 *5397:45 *5453:32 7.32173e-05 -28 *5397:45 *5458:67 0.00245293 -29 *5397:45 *6040:20 1.39841e-05 -30 *5397:53 *29735:A 0.000360894 -31 *5397:53 *5453:32 1.38323e-05 -32 *5397:53 *6039:24 0.000663744 -33 mgmt_gpio_oeb[21] *5397:35 0.000525381 -34 mgmt_gpio_oeb[24] *5397:35 0.000122159 -35 mgmt_gpio_oeb[27] *5397:45 0.000262572 -36 mgmt_gpio_oeb[32] *30862:A 9.05499e-05 -37 mgmt_gpio_oeb[35] *30862:A 0.000150482 -38 mgmt_gpio_oeb[36] *30862:A 6.81335e-05 -39 mgmt_gpio_out[21] *5397:35 0.00069732 -40 mgmt_gpio_out[24] *5397:45 0.000137313 -41 mgmt_gpio_out[25] *5397:45 0.000312998 -42 mgmt_gpio_out[33] *30862:A 0.000105811 -43 *24829:A *5397:53 0.000127066 -44 *25689:A0 *5397:35 0.000726674 -45 *25689:A1 *5397:35 0.000573176 -46 *25689:S *5397:35 2.59355e-05 -47 *28751:D *5397:23 0.000367981 -48 *29708:A *30862:A 1.21258e-05 -49 *29708:A *5397:53 0.000183393 -50 *29905:A *5397:23 4.92942e-05 -51 *30715:A *30862:A 0.00062226 -52 *30856:A *5397:53 0.000110018 -53 *66:13 *5397:53 0.000183739 -54 *68:11 *30862:A 0.000135849 -55 *137:17 *5397:45 0.000385429 -56 *139:26 *5397:45 0.000264154 -57 *247:17 *5397:23 0.000160198 -58 *784:8 *5397:53 1.49682e-05 -59 *1835:6 *5397:23 0.00053661 -60 *1835:16 *5397:23 6.24392e-05 -61 *3848:95 *5397:35 0.000292226 -62 *3848:95 *5397:45 0.000122313 -63 *4784:11 *5397:23 5.06369e-05 -64 *4784:11 *5397:35 0.000661692 -65 *5173:14 *5397:45 0.000183173 -*RES -1 *25545:X *5397:23 45.2933 -2 *5397:23 *5397:35 43.7589 -3 *5397:35 *5397:45 46.5089 -4 *5397:45 *5397:53 18.6786 -5 *5397:53 *30862:A 43.9429 -*END - -*D_NET *5398 0.00145024 -*CONN -*I *30442:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29626:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *30442:A 0.000462204 -2 *29626:X 0.000462204 -3 *27813:B2 *30442:A 0.000349941 -4 *4020:20 *30442:A 0.000175892 -*RES -1 *29626:X *30442:A 26.1179 -*END - -*D_NET *5399 0.00464313 -*CONN -*I *25525:A I *D sky130_fd_sc_hd__or2_1 -*I *29627:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25525:A 0 -2 *29627:X 0.00115453 -3 *5399:17 0.00115453 -4 *5399:17 *5718:70 5.52238e-05 -5 *5399:17 *5718:233 0.000336835 -6 *5399:17 *6100:17 0.000128176 -7 *5399:17 *6320:71 0.000338612 -8 *5399:17 *6387:10 9.90431e-05 -9 *5399:17 *6387:96 0.000272044 -10 *28189:D *5399:17 5.74499e-06 -11 *371:15 *5399:17 1.32552e-05 -12 *1796:25 *5399:17 0.000743169 -13 *3041:15 *5399:17 3.61629e-06 -14 *3575:113 *5399:17 0.000338352 -*RES -1 *29627:X *5399:17 41.3536 -2 *5399:17 *25525:A 9.3 -*END - -*D_NET *5400 0.00433121 -*CONN -*I *29071:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29628:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *29071:A 0.00108718 -2 *29628:X 0.00108718 -3 *29071:A *5680:147 0.000178847 -4 *27798:B2 *29071:A 0.0002007 -5 *28460:D *29071:A 0.000190331 -6 *29628:A *29071:A 0.000260574 -7 *793:13 *29071:A 0.000554221 -8 *1490:166 *29071:A 0.000310165 -9 *2767:20 *29071:A 0.000158654 -10 *2773:45 *29071:A 0.000303362 -*RES -1 *29628:X *29071:A 46.7071 -*END - -*D_NET *5401 0.00115771 -*CONN -*I *29868:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29630:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *29868:A 0.000251078 -2 *29630:X 0.000251078 -3 *29868:A *5641:211 0.000180355 -4 *3215:309 *29868:A 0.000314465 -5 *3965:22 *29868:A 0.000160732 -*RES -1 *29630:X *29868:A 33.4393 -*END - -*D_NET *5402 0.000995569 -*CONN -*I *25446:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29631:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25446:A1 0.000229942 -2 *29631:X 0.000229942 -3 *25446:A1 *5728:27 0.000139907 -4 *25446:A1 *5836:135 6.48068e-05 -5 *25808:A0 *25446:A1 0.000125304 -6 *28091:D *25446:A1 1.39726e-05 -7 *29118:A *25446:A1 6.05161e-06 -8 *1742:65 *25446:A1 0.000185643 -*RES -1 *29631:X *25446:A1 33.0643 -*END - -*D_NET *5403 0.00054787 -*CONN -*I *30206:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29632:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *30206:A 5.06536e-05 -2 *29632:X 5.06536e-05 -3 *30206:A *5590:79 0.000223282 -4 *1269:98 *30206:A 0.000223282 -*RES -1 *29632:X *30206:A 20.7786 -*END - -*D_NET *5404 0.000928321 -*CONN -*I *27235:S I *D sky130_fd_sc_hd__mux2_1 -*I *29633:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27235:S 0.00018863 -2 *29633:X 0.00018863 -3 *27235:S *27235:A0 1.55885e-05 -4 *27235:S *5530:44 0.00019652 -5 *27235:S *5720:159 1.68854e-05 -6 *27235:S *6311:19 9.9407e-05 -7 *3575:100 *27235:S 0.00022266 -*RES -1 *29633:X *27235:S 32.1357 -*END - -*D_NET *5405 0.00213824 -*CONN -*I *25457:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29634:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25457:A1 0.000389016 -2 *29634:X 0.000389016 -3 *25448:A1 *25457:A1 0.000125724 -4 *25451:B1 *25457:A1 9.44215e-05 -5 *25452:A1 *25457:A1 0 -6 *25452:S *25457:A1 0.000143918 -7 *25457:S *25457:A1 5.52238e-05 -8 *373:12 *25457:A1 1.78353e-05 -9 *1742:74 *25457:A1 8.73074e-05 -10 *1760:13 *25457:A1 0.000114577 -11 *4158:46 *25457:A1 0.000481575 -12 *4195:105 *25457:A1 0.000239631 -*RES -1 *29634:X *25457:A1 39.0464 -*END - -*D_NET *5406 0.00248904 -*CONN -*I *25443:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29635:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25443:A1 0.000649971 -2 *29635:X 0.000649971 -3 *25443:A1 *5542:41 0 -4 *25443:A1 *5742:170 0.000101545 -5 *28093:D *25443:A1 7.83659e-05 -6 *29118:A *25443:A1 0.000320038 -7 *1731:19 *25443:A1 2.07402e-05 -8 *1742:12 *25443:A1 0.000146474 -9 *1742:37 *25443:A1 0.000216304 -10 *1742:44 *25443:A1 0.000186476 -11 *1746:17 *25443:A1 0.00011915 -*RES -1 *29635:X *25443:A1 41.35 -*END - -*D_NET *5407 0.000957039 -*CONN -*I *30487:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29636:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *30487:A 0.000360624 -2 *29636:X 0.000360624 -3 *1317:30 *30487:A 5.93525e-05 -4 *3982:46 *30487:A 0 -5 *4059:11 *30487:A 0.000148028 -6 *4059:16 *30487:A 2.84109e-05 -*RES -1 *29636:X *30487:A 32.6536 -*END - -*D_NET *5408 0.0334201 -*CONN -*I *30863:A I *D sky130_fd_sc_hd__buf_12 -*I *25544:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *30863:A 0.00224602 -2 *25544:X 0.000852163 -3 *5408:42 0.00376983 -4 *5408:21 0.00312725 -5 *5408:19 0.00245561 -6 *30863:A *25739:A0 3.9004e-05 -7 *30863:A *25739:S 0.000167988 -8 *30863:A *29409:A 0.00019443 -9 *30863:A *5898:17 0.000162577 -10 *30863:A *6286:16 0.000188586 -11 *5408:19 *25687:A0 6.7825e-06 -12 *5408:19 *28208:D 4.46186e-06 -13 *5408:19 *5460:23 1.69961e-05 -14 *5408:21 *5460:23 0.00317147 -15 *5408:21 *5460:35 0.00234595 -16 *5408:42 *29409:A 0 -17 *5408:42 *29982:A 0.000110735 -18 *5408:42 *5457:30 0.00104561 -19 *5408:42 *5859:11 0.000636336 -20 *5408:42 *5870:8 0 -21 *5408:42 *6010:21 6.24939e-05 -22 *5408:42 *6039:24 6.37188e-06 -23 *5408:42 *6285:21 0 -24 mgmt_gpio_oeb[20] *5408:21 0.000188586 -25 mgmt_gpio_oeb[22] *5408:21 0.000793131 -26 mgmt_gpio_oeb[23] *5408:21 0.00113481 -27 mgmt_gpio_oeb[25] *5408:21 0.00083695 -28 mgmt_gpio_oeb[26] *5408:21 0.00100337 -29 mgmt_gpio_oeb[28] *5408:21 0.000919703 -30 mgmt_gpio_oeb[29] *5408:21 0.000705204 -31 mgmt_gpio_oeb[33] *5408:42 7.84892e-05 -32 mgmt_gpio_out[20] *5408:21 0.000699613 -33 mgmt_gpio_out[30] *5408:21 5.52302e-05 -34 mgmt_gpio_out[30] *5408:42 0.000333243 -35 mgmt_gpio_out[31] *5408:42 1.94879e-05 -36 mgmt_gpio_out[32] *5408:42 0.000400867 -37 *25685:A1 *30863:A 5.91336e-05 -38 *29085:A *30863:A 9.41642e-05 -39 *29410:A *5408:42 6.68446e-05 -40 *29681:A *5408:19 7.80815e-05 -41 *29794:A *5408:19 0.000123145 -42 *30626:A *5408:19 0.000411219 -43 *30626:A *5408:21 2.14658e-05 -44 *30703:A *5408:19 0 -45 *30713:A *5408:42 9.03136e-05 -46 *30718:A *5408:42 1.94879e-05 -47 *30755:A *5408:19 0 -48 *30845:A *5408:21 0.000114723 -49 *30848:A *5408:21 0.000203375 -50 *30849:A *5408:21 0.000315158 -51 *30850:A *5408:21 8.18698e-05 -52 *30852:A *5408:21 0.00083797 -53 *30854:A *5408:42 6.05161e-06 -54 *30858:A *5408:42 1.21289e-05 -55 *68:11 *5408:42 0.000149151 -56 *139:26 *5408:42 7.11294e-05 -57 *1180:16 *5408:21 0.00128519 -58 *1835:35 *5408:21 1.21289e-05 -59 *1835:90 *30863:A 0.000417811 -60 *3991:72 *5408:42 0 -61 *4586:17 *5408:19 0.00105513 -62 *5179:16 *30863:A 1.60005e-05 -63 *5274:20 *5408:21 9.90367e-05 -*RES -1 *25544:X *5408:19 43.4339 -2 *5408:19 *5408:21 79.8036 -3 *5408:21 *5408:42 48.6846 -4 *5408:42 *30863:A 39.3536 -*END - -*D_NET *5409 0.00284543 -*CONN -*I *27241:B1 I *D sky130_fd_sc_hd__o32a_1 -*I *29637:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27241:B1 0.00035961 -2 *29637:X 0.00035961 -3 *27241:B1 *6303:31 0.000389077 -4 *27241:B1 *6303:39 0.000269428 -5 *27237:B *27241:B1 6.87574e-05 -6 *27241:A3 *27241:B1 0.000181803 -7 *28780:CLK *27241:B1 0.000307349 -8 *1277:76 *27241:B1 0.000132341 -9 *1826:46 *27241:B1 3.29544e-05 -10 *2787:37 *27241:B1 9.84337e-05 -11 *2815:11 *27241:B1 0.000646068 -*RES -1 *29637:X *27241:B1 39.7429 -*END - -*D_NET *5410 0.00158037 -*CONN -*I *29283:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29638:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *29283:A 0.000204502 -2 *29638:X 0.000204502 -3 *29283:A *5701:91 5.94639e-05 -4 *27180:A1 *29283:A 0.000270296 -5 *1292:133 *29283:A 0.000173551 -6 *2792:13 *29283:A 0.000608593 -7 *3691:8 *29283:A 5.94639e-05 -*RES -1 *29638:X *29283:A 35.1179 -*END - -*D_NET *5411 0.00179878 -*CONN -*I *29971:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29639:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *29971:A 0.000668747 -2 *29639:X 0.000668747 -3 *29971:A *5863:60 0.000403668 -4 *2756:10 *29971:A 0 -5 *4030:18 *29971:A 5.76141e-05 -*RES -1 *29639:X *29971:A 36.7607 -*END - -*D_NET *5412 0.00724276 -*CONN -*I *25515:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *29641:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25515:B2 0.000450592 -2 *29641:X 0.00164651 -3 *5412:27 0.0020971 -4 *25515:B2 *29578:A 0.000313568 -5 *5412:27 *24866:A 6.25005e-05 -6 *5412:27 *5728:137 0.000768797 -7 *5412:27 *6169:144 6.24123e-05 -8 *25466:A2 *5412:27 6.09762e-05 -9 *25515:A1 *25515:B2 0.000107374 -10 *25515:A2 *25515:B2 5.33005e-05 -11 *25515:B1 *25515:B2 1.37223e-05 -12 *28078:RESET_B *5412:27 4.38149e-05 -13 *430:9 *25515:B2 0.000315235 -14 *430:9 *5412:27 0.000300687 -15 *623:22 *5412:27 0.000119221 -16 *1192:25 *5412:27 1.24368e-05 -17 *1323:24 *5412:27 6.25005e-05 -18 *1738:92 *5412:27 9.37304e-05 -19 *1739:22 *25515:B2 0.000181107 -20 *1745:38 *5412:27 9.87962e-06 -21 *1766:24 *5412:27 0.000442606 -22 *4167:14 *5412:27 2.46988e-05 -*RES -1 *29641:X *5412:27 47.0178 -2 *5412:27 *25515:B2 23.7643 -*END - -*D_NET *5413 0.0036836 -*CONN -*I *27260:A1 I *D sky130_fd_sc_hd__a31o_1 -*I *29642:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27260:A1 0.000689388 -2 *29642:X 0.000689388 -3 *27260:A1 *27252:B 0.000108092 -4 *27260:A1 *27252:C 0 -5 *27260:A1 *5485:131 0.000362456 -6 *27260:A1 *5522:85 0.000380222 -7 *27260:A1 *5694:171 0.000130247 -8 *27260:B1 *27260:A1 5.6671e-05 -9 *28779:D *27260:A1 7.715e-05 -10 *30833:A *27260:A1 0.000559992 -11 *1271:49 *27260:A1 0 -12 *1803:11 *27260:A1 0.000141956 -13 *2777:105 *27260:A1 6.38759e-05 -14 *2823:8 *27260:A1 2.28378e-05 -15 *2824:9 *27260:A1 2.14658e-05 -16 *2824:14 *27260:A1 6.86792e-05 -17 *4685:23 *27260:A1 0.000311183 -*RES -1 *29642:X *27260:A1 47.6889 -*END - -*D_NET *5414 0.000464828 -*CONN -*I *29379:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29643:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *29379:A 6.48437e-05 -2 *29643:X 6.48437e-05 -3 *29379:A *5591:42 0.00011324 -4 *25374:A1 *29379:A 0.0002219 -*RES -1 *29643:X *29379:A 20.7786 -*END - -*D_NET *5415 0.00121383 -*CONN -*I *29295:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29644:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *29295:A 0.00031998 -2 *29644:X 0.00031998 -3 *29295:A *25575:A1 0.000315172 -4 *29644:A *29295:A 9.23851e-05 -5 *4187:22 *29295:A 0.000166314 -*RES -1 *29644:X *29295:A 34.2607 -*END - -*D_NET *5416 0.00213678 -*CONN -*I *29816:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29645:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *29816:A 0.000403454 -2 *29645:X 0.000403454 -3 *29816:A *25950:A0 0.000144941 -4 *29816:A *5526:16 5.07157e-05 -5 *29816:A *5713:146 0.000139907 -6 *29816:A *5745:124 0.000183713 -7 *29816:A *5970:51 0.000143833 -8 *29816:A *6317:73 0.000112958 -9 *29689:A *29816:A 0.000175467 -10 *1244:122 *29816:A 0.000111242 -11 *1539:14 *29816:A 0.000267099 -*RES -1 *29645:X *29816:A 39.975 -*END - -*D_NET *5417 0.0050022 -*CONN -*I *27244:A1 I *D sky130_fd_sc_hd__a31o_1 -*I *29646:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27244:A1 0.000716214 -2 *29646:X 0.000716214 -3 *27244:A1 *5522:61 5.33005e-05 -4 *27244:A1 *5522:85 0.00118839 -5 *27244:A1 *5757:43 8.732e-05 -6 *27244:A1 *6302:19 9.60337e-06 -7 *27244:B1 *27244:A1 2.47446e-05 -8 *30833:A *27244:A1 0.000380599 -9 *1179:10 *27244:A1 2.06178e-05 -10 *1277:70 *27244:A1 0.000119631 -11 *1289:55 *27244:A1 1.39841e-05 -12 *2839:19 *27244:A1 0.00165254 -13 *3569:73 *27244:A1 1.90431e-05 -*RES -1 *29646:X *27244:A1 39.9224 -*END - -*D_NET *5418 0.00112507 -*CONN -*I *29935:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29647:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *29935:A 0.000337339 -2 *29647:X 0.000337339 -3 *29935:A *25708:A0 0 -4 *29935:A *6058:9 0.000274294 -5 *29733:A *29935:A 0 -6 *4187:22 *29935:A 0.000176096 -*RES -1 *29647:X *29935:A 34.2607 -*END - -*D_NET *5419 0.0016961 -*CONN -*I *30864:A I *D sky130_fd_sc_hd__buf_12 -*I *24813:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *30864:A 0.000342207 -2 *24813:Y 0.000342207 -3 *30864:A *5687:225 0.000342302 -4 *30864:A *5936:6 0.00013975 -5 *30864:A *5947:14 0.000304789 -6 mgmt_gpio_oeb[3] *30864:A 4.50149e-05 -7 *1371:25 *30864:A 0.000174238 -8 *1844:183 *30864:A 5.58875e-06 -*RES -1 *24813:Y *30864:A 36.725 -*END - -*D_NET *5420 0.0020264 -*CONN -*I *25513:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25512:A I *D sky130_fd_sc_hd__nand2_1 -*I *29648:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25513:B2 0 -2 *25512:A 0.000310604 -3 *29648:X 0.000243196 -4 *5420:6 0.0005538 -5 *25512:A *25513:A1 1.02969e-05 -6 *25512:A *25579:A 4.23876e-05 -7 *25512:A *27970:B 0.000312209 -8 *25512:A *6378:28 1.98839e-05 -9 *5420:6 *28813:RESET_B 0.000100831 -10 *5420:6 *5545:8 0 -11 *25586:B *25512:A 0.000266473 -12 *27971:A2 *25512:A 5.52302e-05 -13 *27971:A3 *25512:A 0 -14 *27971:A3 *5420:6 0 -15 *1172:15 *25512:A 0.000111491 -16 *1816:8 *25512:A 0 -17 *1816:8 *5420:6 0 -18 *3583:126 *5420:6 0 -*RES -1 *29648:X *5420:6 18.175 -2 *5420:6 *25512:A 21.2286 -3 *5420:6 *25513:B2 13.8 -*END - -*D_NET *5421 0.00528737 -*CONN -*I *27942:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29649:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27942:A0 0 -2 *29649:X 0.000826906 -3 *5421:10 0.000826906 -4 *5421:10 *25937:A1 5.25192e-06 -5 *5421:10 *27968:A2 0.000129025 -6 *5421:10 *28811:RESET_B 0.000236806 -7 *5421:10 *29649:A 0.000816776 -8 *5421:10 *30955:A 0.000137639 -9 *5421:10 *5599:128 3.17262e-05 -10 *5421:10 *5675:245 0.00110871 -11 *5421:10 *5737:22 0.00017656 -12 pll_trim[9] *5421:10 7.37101e-05 -13 *28809:D *5421:10 7.40643e-05 -14 *462:17 *5421:10 0.000766641 -15 *723:24 *5421:10 9.54798e-06 -16 *1140:10 *5421:10 4.6025e-06 -17 *1715:18 *5421:10 6.25005e-05 -*RES -1 *29649:X *5421:10 43.4964 -2 *5421:10 *27942:A0 9.3 -*END - -*D_NET *5422 0.00105065 -*CONN -*I *25480:A I *D sky130_fd_sc_hd__nor2_1 -*I *29650:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25480:A 0.000205973 -2 *29650:X 0.000205973 -3 *25480:B *25480:A 0.000139491 -4 *28166:RESET_B *25480:A 0.000104446 -5 *411:25 *25480:A 9.71117e-05 -6 *4160:57 *25480:A 0.000227117 -7 *4165:92 *25480:A 4.16233e-05 -8 *4194:65 *25480:A 2.89114e-05 -*RES -1 *29650:X *25480:A 32.4929 -*END - -*D_NET *5423 0.0138129 -*CONN -*I *30865:A I *D sky130_fd_sc_hd__buf_12 -*I *24854:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *30865:A 0.00203955 -2 *24854:Y 0.00170808 -3 *5423:8 0.00374763 -4 *30865:A *5628:66 8.94556e-05 -5 *30865:A *5642:57 0.000360819 -6 *30865:A *5660:35 0 -7 *30865:A *5755:113 0.000159992 -8 *5423:8 *5663:27 1.90936e-05 -9 *26935:A1 *30865:A 8.00708e-05 -10 *26935:S *30865:A 2.59355e-05 -11 *27843:A1 *5423:8 0.00121774 -12 *1228:87 *5423:8 0.000215661 -13 *1270:114 *30865:A 0.000171368 -14 *1339:34 *30865:A 0.000173076 -15 *1341:15 *5423:8 0.00290394 -16 *1345:13 *5423:8 5.52238e-05 -17 *2776:117 *30865:A 1.01912e-05 -18 *2855:298 *5423:8 2.04825e-05 -19 *3179:66 *30865:A 8.17613e-06 -20 *3631:10 *30865:A 0.000463861 -21 *3734:48 *30865:A 6.05161e-06 -22 *3799:36 *5423:8 0.000216755 -23 *3864:23 *30865:A 4.2985e-06 -24 *4111:11 *30865:A 7.43578e-06 -25 *4111:38 *30865:A 8.85532e-05 -26 *4124:116 *30865:A 1.94945e-05 -*RES -1 *24854:Y *5423:8 49.5232 -2 *5423:8 *30865:A 44.0235 -*END - -*D_NET *5424 0.0255604 -*CONN -*I *30866:A I *D sky130_fd_sc_hd__buf_12 -*I *24853:Y O *D sky130_fd_sc_hd__clkinv_2 -*CAP -1 *30866:A 0.000396323 -2 *24853:Y 0.000310981 -3 *5424:8 0.0026248 -4 *5424:7 0.00253945 -5 *5424:8 *5586:162 0.000287061 -6 *5424:8 *5970:8 0.00110092 -7 *4069:187 *5424:8 0.010162 -8 *4108:78 *5424:8 0.00440068 -9 *4185:64 *30866:A 0.000808484 -10 *4186:58 *5424:8 0.000379735 -11 *4215:34 *5424:8 0.00255 -*RES -1 *24853:Y *5424:7 17.2107 -2 *5424:7 *5424:8 128.232 -3 *5424:8 *30866:A 21.7286 -*END - -*D_NET *5425 0.00115782 -*CONN -*I *30867:A I *D sky130_fd_sc_hd__buf_12 -*I *24852:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *30867:A 0.000406136 -2 *24852:Y 0.000406136 -3 *30867:A *5461:20 0.000128146 -4 *30867:A *5679:92 5.56679e-05 -5 *24852:A *30867:A 3.18676e-05 -6 *25020:C1 *30867:A 0.000129868 -*RES -1 *24852:Y *30867:A 33.475 -*END - -*D_NET *5426 0.00649192 -*CONN -*I *25306:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *30674:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25306:B2 0.000454141 -2 *30674:X 0.000896336 -3 *5426:19 0.00135048 -4 *25306:B2 *29875:A 9.32311e-05 -5 *25306:B2 *5523:96 0.00041082 -6 *5426:19 *25522:B 5.00087e-06 -7 *5426:19 *27098:A1 6.72397e-05 -8 *5426:19 *28129:RESET_B 7.6644e-05 -9 *5426:19 *30969:A 5.33005e-05 -10 *5426:19 *5659:48 0.000472322 -11 *5426:19 *6178:17 0.000175892 -12 *5426:19 *6381:52 0.000109997 -13 *25306:B1 *25306:B2 0.000130623 -14 *27226:B2 *25306:B2 2.89114e-05 -15 *28129:CLK *5426:19 5.33005e-05 -16 *30591:A *5426:19 0.000472322 -17 *26:17 *5426:19 0.000251472 -18 *244:57 *5426:19 0.00114332 -19 *981:15 *25306:B2 2.22977e-05 -20 *1798:19 *5426:19 9.22677e-05 -21 *4463:19 *25306:B2 3.37207e-05 -22 *4907:10 *5426:19 9.82827e-05 -*RES -1 *30674:X *5426:19 47.2448 -2 *5426:19 *25306:B2 22.3357 -*END - -*D_NET *5427 0.000908382 -*CONN -*I *30868:A I *D sky130_fd_sc_hd__buf_12 -*I *24851:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *30868:A 0.000285424 -2 *24851:Y 0.000285424 -3 *30868:A *5936:138 4.11927e-05 -4 mgmt_gpio_oeb[7] *30868:A 4.70981e-05 -5 *25034:A *30868:A 0.000249243 -*RES -1 *24851:Y *30868:A 33.475 -*END - -*D_NET *5428 0.00166958 -*CONN -*I *30869:A I *D sky130_fd_sc_hd__buf_12 -*I *24850:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *30869:A 0.000494572 -2 *24850:Y 0.000494572 -3 *30869:A *26962:A0 4.58194e-05 -4 *30869:A *5659:24 0.000417911 -5 *30869:A *5936:138 0 -6 mgmt_gpio_oeb[8] *30869:A 0 -7 *24850:A *30869:A 0.000204273 -8 *2768:175 *30869:A 1.24368e-05 -*RES -1 *24850:Y *30869:A 38.0643 -*END - -*D_NET *5429 0.000926973 -*CONN -*I *30870:A I *D sky130_fd_sc_hd__buf_12 -*I *24849:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *30870:A 0.000295432 -2 *24849:Y 0.000295432 -3 *30870:A *25014:B1 6.74911e-05 -4 *30870:A *5625:8 0 -5 mgmt_gpio_oeb[9] *30870:A 4.70981e-05 -6 *25320:A2 *30870:A 0.00022152 -*RES -1 *24849:Y *30870:A 33.475 -*END - -*D_NET *5430 0.058038 -*CONN -*I *30871:A I *D sky130_fd_sc_hd__buf_12 -*I *25557:X O *D sky130_fd_sc_hd__mux2_4 -*CAP -1 *30871:A 0.0115975 -2 *25557:X 0.00894711 -3 *5430:12 0.0205446 -4 *30871:A *24820:A 0.000195494 -5 *30871:A *24926:B 0.000239381 -6 *30871:A *25231:A 0.000162047 -7 *30871:A *27054:B 0.000329761 -8 *30871:A *5499:59 5.52387e-05 -9 *30871:A *5535:14 0.000225477 -10 *30871:A *5585:92 0.000422952 -11 *30871:A *5599:72 9.74569e-05 -12 *30871:A *5599:91 0.00173492 -13 *30871:A *5599:247 7.08649e-05 -14 *30871:A *5609:89 8.3168e-05 -15 *30871:A *5667:260 0.000446182 -16 *30871:A *5707:244 2.36291e-06 -17 *30871:A *5711:153 0 -18 *30871:A *5711:186 7.96024e-05 -19 *30871:A *5749:54 0.000253151 -20 *30871:A *5926:122 1.48924e-05 -21 *30871:A *5970:27 0.000248939 -22 *30871:A *6317:85 0.00041929 -23 *5430:12 *25109:A 0 -24 *5430:12 *26855:A0 8.01841e-05 -25 *5430:12 *27919:A2 0.000144008 -26 *5430:12 *29430:A 0.000104663 -27 *5430:12 *5599:91 8.6051e-05 -28 *5430:12 *5599:115 0 -29 *5430:12 *5622:79 0.000419367 -30 *5430:12 *5680:343 0 -31 *5430:12 *5691:276 0.00170182 -32 *5430:12 *5699:156 7.2249e-05 -33 *5430:12 *5711:153 0.00161355 -34 *5430:12 *5738:59 0 -35 *5430:12 *5738:172 0 -36 *5430:12 *5933:17 2.48858e-05 -37 *5430:12 *6014:20 0 -38 *24984:A2 *30871:A 4.28571e-05 -39 *25263:A2 *30871:A 0.000153032 -40 *25271:B2 *30871:A 0.000209903 -41 *25404:A2 *5430:12 8.85689e-05 -42 *27254:A2 *30871:A 0.000153085 -43 *27928:A2 *5430:12 0 -44 *29443:A *5430:12 3.91575e-05 -45 *30833:A *5430:12 0.000690727 -46 *461:19 *5430:12 0.000200994 -47 *1228:73 *30871:A 3.04967e-05 -48 *1235:54 *5430:12 0.000153815 -49 *1257:120 *30871:A 0.000519587 -50 *1264:162 *5430:12 3.63654e-05 -51 *1273:23 *30871:A 0.000804121 -52 *1277:70 *30871:A 0 -53 *1282:68 *30871:A 0.000641593 -54 *1289:71 *30871:A 0 -55 *1362:118 *5430:12 2.01997e-05 -56 *1362:136 *5430:12 0.000699023 -57 *1371:19 *30871:A 4.50033e-05 -58 *1441:21 *30871:A 3.72425e-05 -59 *1484:23 *30871:A 8.07185e-06 -60 *1536:13 *30871:A 9.45697e-05 -61 *1721:14 *5430:12 2.07339e-05 -62 *1826:79 *30871:A 0.00025709 -63 *2776:48 *30871:A 1.73146e-05 -64 *2815:31 *30871:A 0.00053339 -65 *2823:26 *30871:A 0 -66 *3568:59 *5430:12 0 -67 *3788:49 *5430:12 0.000288438 -68 *3873:34 *30871:A 0 -69 *3973:83 *5430:12 0 -70 *4873:22 *30871:A 7.69372e-05 -71 *4907:28 *30871:A 2.53237e-05 -72 *5074:15 *5430:12 0.00166759 -73 *5279:29 *5430:12 6.56686e-05 -*RES -1 *25557:X *5430:12 48.5899 -2 *5430:12 *30871:A 47.6958 -*END - -*D_NET *5431 0.0012125 -*CONN -*I *30872:A I *D sky130_fd_sc_hd__buf_12 -*I *25550:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30872:A 0.000235987 -2 *25550:X 0.000235987 -3 *30872:A *5659:24 0.000347354 -4 *2768:190 *30872:A 4.58194e-05 -5 *3679:89 *30872:A 0.000347354 -*RES -1 *25550:X *30872:A 34.1536 -*END - -*D_NET *5432 0.0190944 -*CONN -*I *29940:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30873:A I *D sky130_fd_sc_hd__buf_12 -*I *28193:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29940:A 0 -2 *30873:A 0.000972915 -3 *28193:Q 0 -4 *5432:36 0.00335863 -5 *5432:11 0.00193091 -6 *5432:5 0.00431662 -7 *30873:A *27043:A1 0.000222878 -8 *5432:11 *5586:144 5.86621e-06 -9 *5432:11 *5637:19 0.000342425 -10 *5432:36 *26955:A 1.58163e-05 -11 *5432:36 *27433:B1 0.000599685 -12 *5432:36 *5433:29 0.000218679 -13 *5432:36 *5586:144 0 -14 *5432:36 *5911:94 0.000122889 -15 *5432:36 *5911:115 5.52955e-05 -16 mgmt_gpio_oeb[13] *5432:36 2.28544e-05 -17 *25717:S *5432:11 0.000304663 -18 *25719:S *5432:11 0.000176234 -19 *27785:B2 *5432:36 2.58748e-05 -20 *28192:CLK *30873:A 0.000338359 -21 *28516:D *5432:36 8.61228e-05 -22 *29072:A *30873:A 0.000303368 -23 *1853:106 *5432:11 4.38243e-05 -24 *1857:17 *5432:36 0.000129863 -25 *2761:213 *30873:A 9.97744e-05 -26 *2767:7 *5432:36 5.33005e-05 -27 *2772:160 *30873:A 0.00208967 -28 *2993:27 *5432:36 0.000390329 -29 *3617:12 *30873:A 0.000169446 -30 *3617:14 *30873:A 0.000840958 -31 *3617:16 *30873:A 0.000257564 -32 *3617:18 *30873:A 0.000492085 -33 *3692:8 *5432:11 0.000588148 -34 *3692:8 *5432:36 0.000149762 -35 *3720:19 *5432:36 0.000216755 -36 *4357:21 *5432:36 0.000152823 -*RES -1 *28193:Q *5432:5 13.8 -2 *5432:5 *5432:11 25.5714 -3 *5432:11 *30873:A 46.9786 -4 *5432:5 *5432:36 47.9643 -5 *5432:36 *29940:A 9.3 -*END - -*D_NET *5433 0.00825331 -*CONN -*I *29901:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30874:A I *D sky130_fd_sc_hd__buf_12 -*I *28194:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29901:A 0.00057781 -2 *30874:A 0 -3 *28194:Q 0.000357996 -4 *5433:29 0.00137561 -5 *5433:7 0.00115579 -6 *29901:A *5586:138 9.41642e-05 -7 *29901:A *5681:14 2.45034e-05 -8 *29901:A *5681:16 2.31837e-05 -9 *29901:A *6206:11 2.59355e-05 -10 *5433:7 *28194:RESET_B 5.33005e-05 -11 *5433:29 *28462:RESET_B 8.15939e-05 -12 *5433:29 *5659:22 0.000418926 -13 *5433:29 *5702:160 4.56494e-05 -14 *5433:29 *5766:136 0.000147941 -15 *5433:29 *6206:11 0.000192501 -16 *30695:A *5433:29 0.000135127 -17 *1857:17 *5433:29 0.000103974 -18 *2761:188 *5433:29 0.000401681 -19 *2768:220 *5433:7 2.26818e-05 -20 *2768:220 *5433:29 0.00015115 -21 *2769:66 *29901:A 0.0011824 -22 *2772:152 *29901:A 0.000111777 -23 *2776:201 *5433:29 2.12863e-05 -24 *4147:97 *29901:A 0.00128959 -25 *4238:16 *29901:A 4.00679e-05 -26 *5432:36 *5433:29 0.000218679 -*RES -1 *28194:Q *5433:7 13.4429 -2 *5433:7 *30874:A 9.3 -3 *5433:7 *5433:29 34.4821 -4 *5433:29 *29901:A 33.7286 -*END - -*D_NET *5434 0.0232112 -*CONN -*I *30875:A I *D sky130_fd_sc_hd__buf_12 -*I *25562:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *30875:A 0.00328784 -2 *25562:X 0 -3 *5434:5 0.0048328 -4 *5434:4 0.00154496 -5 *30875:A *5627:216 0.000129661 -6 *30875:A *5630:230 2.16353e-05 -7 *30875:A *5645:220 9.56234e-05 -8 *30875:A *5681:16 9.30833e-05 -9 *30875:A *5683:134 0.00033099 -10 *30875:A *5800:108 0.000136951 -11 *30875:A *5824:286 0.000360935 -12 *30875:A *5892:25 4.63122e-05 -13 *30875:A *6005:32 0.000325628 -14 *5434:5 *5824:266 0.00434365 -15 *5434:5 *6351:15 0.000257619 -16 *25562:A0 *5434:5 0.000311932 -17 *26925:A1 *30875:A 0.000680124 -18 *27429:B1 *30875:A 0.000192142 -19 *27434:A1 *30875:A 0.000229761 -20 *27786:A1 *30875:A 2.03164e-05 -21 *29903:A *30875:A 0 -22 *794:23 *30875:A 3.15493e-05 -23 *1260:135 *30875:A 0.000160057 -24 *1260:161 *30875:A 0.000112725 -25 *1275:164 *30875:A 0.000249979 -26 *2759:149 *30875:A 9.47909e-05 -27 *2772:152 *30875:A 0.000136845 -28 *2882:303 *30875:A 0.00167549 -29 *2892:253 *5434:5 0.00315139 -30 *2895:283 *5434:5 0.000196973 -31 *3185:182 *30875:A 0.00012753 -32 *3720:19 *30875:A 3.19213e-05 -*RES -1 *25562:X *5434:4 9.3 -2 *5434:4 *5434:5 46.5357 -3 *5434:5 *30875:A 37.6185 -*END - -*D_NET *5435 0.0148569 -*CONN -*I *30876:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *25561:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30876:A 0.00118244 -2 *25561:X 0.00242697 -3 *5435:16 0.00360941 -4 *30876:A *25712:A1 6.42095e-05 -5 *30876:A *26866:S 5.33005e-05 -6 *30876:A *28495:RESET_B 4.90054e-05 -7 *30876:A *28557:RESET_B 0.000426978 -8 *30876:A *5607:115 0.000357123 -9 *30876:A *5716:145 5.18616e-05 -10 *30876:A *5773:46 0.000346474 -11 *30876:A *5800:90 0.000290925 -12 *5435:16 *25060:B1 8.18996e-05 -13 *5435:16 *5627:216 1.94879e-05 -14 *5435:16 *5637:43 2.76074e-05 -15 *5435:16 *5645:220 0.000132862 -16 *5435:16 *5645:235 0.0017611 -17 *5435:16 *5652:200 0.000676611 -18 *5435:16 *5696:77 0 -19 *5435:16 *5911:165 0.000307694 -20 *25059:B1 *5435:16 2.42516e-05 -21 *25561:A1 *5435:16 0.000219711 -22 *25712:A0 *30876:A 0.000218409 -23 *26866:A1 *30876:A 0.000751191 -24 *27790:A1 *30876:A 6.05161e-06 -25 *28495:CLK *30876:A 0.000373807 -26 *28495:CLK *5435:16 4.65158e-06 -27 *28914:A *30876:A 0.000222244 -28 *1266:222 *5435:16 2.52313e-05 -29 *1269:137 *5435:16 0.000269188 -30 *1826:188 *5435:16 0.000514188 -31 *3378:8 *5435:16 2.11419e-05 -32 *3613:7 *30876:A 0.000224084 -33 *3916:20 *5435:16 2.09826e-05 -34 *4071:11 *30876:A 9.58181e-05 -*RES -1 *25561:X *5435:16 45.755 -2 *5435:16 *30876:A 39.9607 -*END - -*D_NET *5436 0.000989221 -*CONN -*I *30877:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *25560:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30877:A 0.000218847 -2 *25560:X 0.000218847 -3 mgmt_gpio_out[15] *30877:A 0.000235753 -4 *6415:DIODE *30877:A 9.41642e-05 -5 *27031:S *30877:A 0.000127446 -6 *244:172 *30877:A 9.41642e-05 -*RES -1 *25560:X *30877:A 32.6536 -*END - -*D_NET *5437 0.0256797 -*CONN -*I *25260:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30675:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 -*CAP -1 *25260:A1 0.00114813 -2 *30675:X 0.000128137 -3 *5437:9 0.00446213 -4 *5437:8 0.00344214 -5 *25260:A1 *25590:B 0 -6 *25260:A1 *28429:SET_B 2.13529e-05 -7 *25260:A1 *5505:41 5.15925e-05 -8 *25260:A1 *5516:20 0.000100969 -9 *25260:A1 *5745:30 3.26244e-05 -10 *25260:A1 *5757:8 2.06112e-05 -11 *25260:A1 *6141:21 0.00013389 -12 *5437:9 *5448:11 0.00819888 -13 *5437:9 *6381:35 0.00157883 -14 *5437:9 *6381:52 0.000610437 -15 pll_trim[24] *25260:A1 0.000208148 -16 pll_trim[25] *25260:A1 0 -17 *25260:B1 *25260:A1 3.10819e-05 -18 *28428:D *25260:A1 9.56794e-05 -19 *28769:D *5437:9 0.000705512 -20 *30675:A *5437:8 0 -21 *30676:A *5437:8 0 -22 *20:10 *5437:9 0.000308538 -23 *171:9 *5437:9 0.000545411 -24 *1178:18 *5437:9 0.00268851 -25 *1900:40 *25260:A1 0.000238076 -26 *2780:90 *25260:A1 0.000331461 -27 *3569:92 *25260:A1 3.52096e-05 -28 *3639:30 *25260:A1 0.000405052 -29 *3875:16 *5437:9 2.14757e-05 -30 *4882:11 *5437:9 0.000135836 -*RES -1 *30675:X *5437:8 20.2464 -2 *5437:8 *5437:9 102.804 -3 *5437:9 *25260:A1 43.6214 -*END - -*D_NET *5438 0.00472284 -*CONN -*I *29272:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30878:A I *D sky130_fd_sc_hd__buf_12 -*I *28170:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29272:A 0.000624997 -2 *30878:A 0 -3 *28170:Q 0.000418026 -4 *5438:9 0.00104302 -5 *29272:A *26883:B 0.000978876 -6 *29272:A *5669:317 0.000338351 -7 *29272:A *5669:338 2.41865e-05 -8 *29272:A *5825:8 0.000191243 -9 *30151:A *29272:A 0.000482601 -10 *3538:92 *5438:9 5.57914e-05 -11 *3783:13 *29272:A 0.000412685 -12 *3796:177 *5438:9 0.000153054 -*RES -1 *28170:Q *5438:9 25.7107 -2 *5438:9 *30878:A 9.3 -3 *5438:9 *29272:A 37.0857 -*END - -*D_NET *5439 0.00206016 -*CONN -*I *30879:A I *D sky130_fd_sc_hd__buf_12 -*I *29953:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28171:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30879:A 0 -2 *29953:A 0.000381974 -3 *28171:Q 0.000525747 -4 *5439:10 0.000907722 -5 *29953:A *25681:A0 2.11336e-05 -6 *5439:10 *28171:RESET_B 9.22489e-05 -7 *5439:10 *5461:32 6.23278e-05 -8 *5439:10 *5588:105 5.89167e-05 -9 *5439:10 *5776:79 1.00887e-05 -*RES -1 *28171:Q *5439:10 25.4071 -2 *5439:10 *29953:A 15.175 -3 *5439:10 *30879:A 9.3 -*END - -*D_NET *5440 0.00704442 -*CONN -*I *30880:A I *D sky130_fd_sc_hd__buf_12 -*I *30563:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28172:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30880:A 0.000252999 -2 *30563:A 0.000600168 -3 *28172:Q 0.000846211 -4 *5440:9 0.00169938 -5 *30563:A *5893:92 0.000911901 -6 *30563:A *5893:101 0.000310314 -7 *30563:A *5903:11 0.000134559 -8 *30880:A *5893:101 8.15939e-05 -9 *30880:A *5893:113 0.000353079 -10 *5440:9 *28172:RESET_B 7.00171e-06 -11 *25683:A0 *30563:A 0.000636403 -12 *25685:A0 *30563:A 0 -13 *28206:D *30880:A 0.000176234 -14 *28207:D *30880:A 7.80714e-06 -15 *29594:A *30563:A 0.000353073 -16 *29594:A *5440:9 0.000374629 -17 *30005:A *30563:A 0.000220448 -18 *30351:A *30563:A 0 -19 *30701:A *30563:A 2.14757e-05 -20 *4593:11 *30880:A 5.71472e-05 -*RES -1 *28172:Q *5440:9 20.2464 -2 *5440:9 *30563:A 36.1571 -3 *5440:9 *30880:A 24.7821 -*END - -*D_NET *5441 0.00627474 -*CONN -*I *30881:A I *D sky130_fd_sc_hd__buf_12 -*I *30538:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28173:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30881:A 0 -2 *30538:A 0.000650468 -3 *28173:Q 0.000702164 -4 *5441:10 0.00135263 -5 *30538:A *5588:98 0.000148911 -6 *30538:A *5703:7 0.000168752 -7 *30538:A *5893:101 0.000437756 -8 *5441:10 *28173:RESET_B 0.000327767 -9 *5441:10 *5461:32 0.000135289 -10 *5441:10 *5776:124 0.000240342 -11 *25685:A0 *30538:A 0.000486019 -12 *29594:A *30538:A 0.000108525 -13 *30564:A *30538:A 0.000263524 -14 *30701:A *30538:A 0.000692817 -15 *3611:79 *30538:A 0.000148911 -16 *4226:23 *30538:A 0.000265453 -17 *4226:23 *5441:10 0.000145407 -*RES -1 *28173:Q *5441:10 30.9786 -2 *5441:10 *30538:A 37.7643 -3 *5441:10 *30881:A 9.3 -*END - -*D_NET *5442 0.0578709 -*CONN -*I *30882:A I *D sky130_fd_sc_hd__buf_12 -*I *25556:X O *D sky130_fd_sc_hd__mux2_4 -*CAP -1 *30882:A 0.000910598 -2 *25556:X 0.000507668 -3 *5442:74 0.00279843 -4 *5442:63 0.00338084 -5 *5442:51 0.00425924 -6 *5442:27 0.00502138 -7 *5442:26 0.00245924 -8 *5442:23 0.00479759 -9 *5442:10 0.00510117 -10 *30882:A *27806:A2 1.46576e-05 -11 *5442:10 *25547:A1 0 -12 *5442:23 *28142:SET_B 0.00018372 -13 *5442:23 *5493:18 2.04825e-05 -14 *5442:27 *5619:31 9.41642e-05 -15 *5442:51 *27277:A_N 6.04769e-05 -16 *5442:51 *27277:B 5.36881e-05 -17 *5442:51 *27327:A 5.71472e-05 -18 *5442:51 *5750:32 0.000113708 -19 *5442:51 *5750:63 2.34145e-05 -20 *5442:63 *27277:B 0.00024051 -21 *5442:63 *27299:A 5.33005e-05 -22 *5442:63 *27599:A 0.000808497 -23 *5442:63 *5662:15 1.4966e-05 -24 *5442:63 *6304:24 3.5298e-05 -25 *5442:63 *6305:39 0.000309273 -26 *5442:63 *6306:57 0.000552109 -27 *5442:74 *25702:A0 7.6644e-05 -28 *5442:74 *27806:A2 0.00126824 -29 *5442:74 *5662:15 2.28598e-05 -30 *5442:74 *5663:5 0.002591 -31 *25262:A1 *5442:27 1.59373e-05 -32 *25262:B2 *5442:27 0.000860574 -33 *25263:A1 *5442:51 0.000455849 -34 *25773:S *5442:10 0 -35 *27089:A1 *30882:A 0.000126612 -36 *27536:B2 *5442:23 1.39841e-05 -37 *27536:C1 *5442:23 0.000226231 -38 *27537:C *5442:23 0 -39 *27606:C *5442:74 5.52238e-05 -40 *27642:A *5442:63 0.00022266 -41 *27759:A1 *5442:51 4.34472e-05 -42 *27806:A1 *30882:A 0.000342302 -43 *27858:B2 *5442:23 0 -44 *27891:C *5442:27 0.000345251 -45 *27902:A1 *5442:27 1.58163e-05 -46 *27902:A2 *5442:27 7.46207e-05 -47 *27902:B1 *5442:27 1.46576e-05 -48 *27902:B2 *5442:27 9.41301e-05 -49 *27912:A1 *5442:23 0.000112924 -50 *27912:A2 *5442:23 1.46576e-05 -51 *27912:B2 *5442:23 3.04785e-05 -52 *28142:D *5442:23 0.000269631 -53 *28341:CLK *5442:27 5.33005e-05 -54 *28341:CLK *5442:51 0.000134174 -55 *29598:A *30882:A 0.000137437 -56 *29808:A *30882:A 0.000519496 -57 *30352:A *5442:27 0.000178847 -58 *1218:62 *5442:27 7.15905e-06 -59 *1245:68 *5442:51 2.04745e-05 -60 *1252:52 *5442:51 0.000196536 -61 *1252:232 *5442:51 2.52508e-06 -62 *1277:53 *5442:51 9.21418e-06 -63 *1362:118 *5442:23 4.73891e-05 -64 *1455:28 *5442:27 0.0009401 -65 *1481:78 *5442:27 4.22431e-05 -66 *1550:34 *5442:51 1.14338e-05 -67 *1581:11 *5442:27 0.000462492 -68 *1592:20 *5442:51 0.00015329 -69 *1802:38 *5442:63 7.43767e-05 -70 *1802:51 *5442:63 0.000699935 -71 *2827:44 *5442:63 9.90431e-05 -72 *2827:44 *5442:74 1.21289e-05 -73 *2834:20 *5442:63 5.38273e-05 -74 *2834:20 *5442:74 0.00014285 -75 *2834:27 *5442:63 0.000356588 -76 *2842:11 *5442:51 0.000175892 -77 *2847:7 *5442:63 0.000516118 -78 *2847:19 *5442:63 2.44318e-05 -79 *2864:12 *5442:63 5.41609e-05 -80 *2864:28 *5442:51 0.000298794 -81 *2865:57 *5442:27 0.000272164 -82 *2872:41 *5442:23 0 -83 *2892:17 *5442:27 0.00722321 -84 *2892:17 *5442:51 6.42095e-05 -85 *2892:65 *5442:23 1.90936e-05 -86 *3103:17 *5442:23 6.90381e-06 -87 *3129:10 *5442:26 0.000549608 -88 *3172:15 *5442:63 0.000135028 -89 *3173:35 *5442:51 0.000567917 -90 *3183:98 *5442:27 7.60142e-06 -91 *3183:103 *5442:27 7.78019e-05 -92 *3194:7 *5442:63 6.86792e-05 -93 *3216:26 *5442:51 1.76039e-05 -94 *3216:26 *5442:63 0.000779182 -95 *3216:46 *5442:51 0.000379429 -96 *3352:10 *30882:A 2.93376e-05 -97 *3444:13 *5442:27 4.66711e-05 -98 *3454:11 *5442:23 0.000183726 -99 *3571:15 *5442:10 0.000219401 -100 *3572:34 *5442:51 5.51661e-05 -101 *3573:16 *5442:51 0.000186997 -102 *3573:16 *5442:63 3.82242e-05 -103 *3642:99 *5442:51 0.000155088 -104 *3792:80 *5442:26 0.000547893 -105 *3794:85 *5442:51 0.000118845 -106 *3796:60 *5442:51 4.02462e-05 -107 *3816:43 *5442:27 0.000263108 -108 *3869:5 *5442:23 5.67612e-05 -109 *3869:15 *5442:23 0.000465775 -110 *3869:28 *5442:23 2.42662e-05 -111 *3872:28 *5442:51 2.59024e-05 -112 *3872:39 *5442:51 0 -113 *3882:34 *5442:23 1.95631e-05 -114 *3882:47 *5442:23 2.66549e-05 -115 *3884:112 *5442:27 0.00042237 -116 *3981:21 *5442:74 7.83659e-05 -117 *4062:12 *5442:51 0.000108504 -*RES -1 *25556:X *5442:10 26.425 -2 *5442:10 *5442:23 47.6304 -3 *5442:23 *5442:26 11.7589 -4 *5442:26 *5442:27 71.1786 -5 *5442:27 *5442:51 46.9113 -6 *5442:51 *5442:63 39.3393 -7 *5442:63 *5442:74 49.2143 -8 *5442:74 *30882:A 24.7821 -*END - -*D_NET *5443 0.00948283 -*CONN -*I *30883:A I *D sky130_fd_sc_hd__buf_12 -*I *29904:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28174:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30883:A 0.000183817 -2 *29904:A 0.0018995 -3 *28174:Q 0.000263543 -4 *5443:8 0.00234686 -5 *29904:A *25544:A1 0.000536047 -6 *29904:A *5457:30 0 -7 *29904:A *5463:14 9.9413e-05 -8 *29904:A *6269:11 0.000185054 -9 *29904:A *6280:6 6.74751e-05 -10 *5443:8 *25686:A0 0.000397918 -11 *5443:8 *28175:RESET_B 0 -12 *5443:8 *5588:19 0.000399848 -13 mgmt_gpio_out[21] *30883:A 0 -14 *30666:A *29904:A 3.48282e-05 -15 *30845:A *30883:A 0.000303443 -16 *30845:A *5443:8 0.000202184 -17 *30846:A *30883:A 0.000110238 -18 *30846:A *5443:8 0.000478463 -19 *1835:35 *29904:A 0.00163153 -20 *3822:110 *5443:8 0.000221163 -21 *5397:23 *29904:A 0.000121515 -*RES -1 *28174:Q *5443:8 23.9696 -2 *5443:8 *29904:A 26.3073 -3 *5443:8 *30883:A 18.0232 -*END - -*D_NET *5444 0.00974147 -*CONN -*I *30884:A I *D sky130_fd_sc_hd__buf_12 -*I *30542:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28175:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30884:A 0.000429308 -2 *30542:A 0 -3 *28175:Q 0.000211843 -4 *5444:15 0.00215745 -5 *5444:8 0.0027986 -6 *30884:A *5458:67 0.000563681 -7 *5444:8 *5770:27 4.97111e-05 -8 *5444:15 *5458:67 0.00320748 -9 *5444:15 *6280:6 3.69697e-05 -10 mgmt_gpio_out[21] *5444:8 2.34204e-05 -11 mgmt_gpio_out[22] *30884:A 3.92757e-05 -12 *30666:A *5444:15 7.83659e-05 -13 *30756:A *5444:15 5.33005e-05 -14 *30846:A *5444:8 0 -15 *56:13 *5444:8 9.2064e-05 -*RES -1 *28175:Q *5444:8 22.3714 -2 *5444:8 *5444:15 41.0893 -3 *5444:15 *30542:A 9.3 -4 *5444:8 *30884:A 24.8 -*END - -*D_NET *5445 0.0122194 -*CONN -*I *29949:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30885:A I *D sky130_fd_sc_hd__buf_12 -*I *28176:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29949:A 0.000495854 -2 *30885:A 7.0125e-05 -3 *28176:Q 0.000129155 -4 *5445:26 0.00235383 -5 *5445:5 0.00205725 -6 *29949:A *6252:13 0.000656431 -7 *5445:5 *28176:D 2.28499e-05 -8 *5445:5 *5588:45 0.000139907 -9 *5445:26 *5449:16 4.56899e-05 -10 *5445:26 *5588:45 0.000643053 -11 *5445:26 *5588:63 0.000149489 -12 mgmt_gpio_out[23] *5445:26 4.46186e-06 -13 *25689:A1 *30885:A 7.50601e-05 -14 *29959:A *29949:A 0.000654909 -15 *30707:A *30885:A 7.50601e-05 -16 *523:10 *29949:A 1.54142e-05 -17 *783:17 *29949:A 0.000399841 -18 *1835:84 *29949:A 0.0019009 -19 *4230:17 *29949:A 0.000140933 -20 *4786:21 *5445:26 0.00138499 -21 *5172:23 *29949:A 0.000100625 -22 *5175:25 *29949:A 0.000703595 -*RES -1 *28176:Q *5445:5 11.0679 -2 *5445:5 *30885:A 20.2464 -3 *5445:5 *5445:26 44.3393 -4 *5445:26 *29949:A 34.4786 -*END - -*D_NET *5446 0.0122899 -*CONN -*I *29735:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30886:A I *D sky130_fd_sc_hd__buf_12 -*I *28177:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29735:A 0.00010899 -2 *30886:A 0 -3 *28177:Q 0.000409941 -4 *5446:21 0.00119632 -5 *5446:10 0.00149727 -6 *29735:A *5449:28 0.000164943 -7 *29735:A *6039:24 8.57535e-05 -8 *5446:10 *25690:A1 2.88045e-05 -9 *5446:10 *5770:17 0 -10 *5446:21 *26858:A1 0.000438781 -11 *5446:21 *30888:A 7.77652e-05 -12 *5446:21 *30891:A 7.77545e-05 -13 *5446:21 *5449:28 0.000171068 -14 *5446:21 *5770:17 0.00035 -15 *5446:21 *6010:21 0.000365223 -16 *5446:21 *6039:24 5.71472e-05 -17 mgmt_gpio_oeb[30] *29735:A 1.00733e-05 -18 mgmt_gpio_oeb[30] *5446:21 0.000145165 -19 mgmt_gpio_out[23] *5446:10 1.65558e-05 -20 *25691:A1 *5446:10 0 -21 *29708:A *29735:A 0.000230482 -22 *30543:A *5446:21 0.000747401 -23 *784:8 *5446:21 0.00424256 -24 *1180:25 *5446:21 0.00079608 -25 *1835:40 *5446:10 0 -26 *4786:21 *5446:21 0.000569101 -27 *5172:41 *5446:10 0.00014183 -28 *5397:53 *29735:A 0.000360894 -*RES -1 *28177:Q *5446:10 24.0321 -2 *5446:10 *30886:A 9.3 -3 *5446:10 *5446:21 49.9821 -4 *5446:21 *29735:A 14.7821 -*END - -*D_NET *5447 0.0119883 -*CONN -*I *30887:A I *D sky130_fd_sc_hd__buf_12 -*I *30559:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28450:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30887:A 0.000436984 -2 *30559:A 0.00146857 -3 *28450:Q 0.000157201 -4 *5447:7 0.00206276 -5 *30559:A *25739:A0 0.0013049 -6 *30559:A *28453:D 0.00048939 -7 *30559:A *5683:29 6.30898e-05 -8 *30559:A *5695:252 0.000573099 -9 *30559:A *5709:290 6.26673e-05 -10 *30559:A *5829:42 0.00145333 -11 *30559:A *5897:13 9.39059e-05 -12 *30887:A *5709:290 1.71705e-05 -13 *30887:A *6039:24 8.40344e-05 -14 *5447:7 *5588:63 0.000225616 -15 mgmt_gpio_out[24] *30887:A 5.52302e-05 -16 mgmt_gpio_out[25] *30887:A 0 -17 *26858:S *30559:A 9.39059e-05 -18 *26858:S *30887:A 0.000392411 -19 *26859:A1 *30559:A 0.000433581 -20 *26861:A1 *30559:A 0.000259616 -21 *30848:A *30887:A 0.000269148 -22 *59:21 *30559:A 1.83281e-05 -23 *60:13 *30887:A 0 -24 *61:14 *30559:A 0.00111788 -25 *2756:72 *30559:A 0.000826531 -26 *5397:45 *30887:A 2.89114e-05 -*RES -1 *28450:Q *5447:7 15.9786 -2 *5447:7 *30559:A 34.7104 -3 *5447:7 *30887:A 23.0143 -*END - -*D_NET *5448 0.0527865 -*CONN -*I *25208:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *6469:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *30676:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *25208:B2 6.56139e-05 -2 *6469:DIODE 0.000125341 -3 *30676:X 0.00102061 -4 *5448:40 0.000945535 -5 *5448:30 0.00210698 -6 *5448:26 0.00274028 -7 *5448:21 0.00307876 -8 *5448:16 0.00290966 -9 *5448:11 0.00280689 -10 *5448:10 0.00260873 -11 *5448:10 *6381:52 7.83659e-05 -12 *5448:11 *5757:176 7.75358e-05 -13 *5448:16 *28659:SET_B 0.000101709 -14 *5448:16 *29111:A 0.000263759 -15 *5448:16 *6332:12 4.01615e-05 -16 *5448:21 *27050:A1 0.000446553 -17 *5448:21 *28622:RESET_B 0.000175088 -18 *5448:21 *29622:A 1.21317e-05 -19 *5448:21 *5687:166 0 -20 *5448:21 *5707:137 0.000684162 -21 *5448:21 *5750:47 0.000181796 -22 *5448:21 *6332:12 3.21246e-05 -23 *5448:21 *6332:55 1.99554e-05 -24 *5448:21 *6350:26 3.66402e-05 -25 *5448:26 *28736:D 0.000150611 -26 *5448:26 *5657:29 0.000205462 -27 *5448:26 *5707:137 6.27173e-05 -28 *5448:40 *28470:D 0.000186388 -29 *5448:40 *5600:90 0.000648255 -30 *5448:40 *5638:62 9.34707e-05 -31 *27046:S *5448:21 0.000189357 -32 *27082:A1 *5448:30 1.94945e-05 -33 *27095:S *5448:16 0.00010969 -34 *27226:A2 *5448:10 3.69047e-06 -35 *27333:B1 *5448:30 0.000142528 -36 *27333:C1 *5448:30 6.25005e-05 -37 *27484:A0 *5448:21 3.52028e-05 -38 *28622:CLK *5448:21 0.000403099 -39 *29267:A *5448:26 0.000120865 -40 *30674:A *5448:10 0 -41 *30675:A *5448:10 2.89114e-05 -42 *30676:A *5448:10 0.000447578 -43 *30679:A *5448:16 0 -44 *20:10 *5448:11 0.00205591 -45 *348:16 *5448:16 0.00045186 -46 *1240:130 *5448:16 0.000140865 -47 *1290:23 *25208:B2 1.25852e-05 -48 *1290:42 *5448:21 0.00159927 -49 *1290:48 *5448:16 0 -50 *1290:48 *5448:21 0 -51 *1294:243 *5448:16 6.80058e-05 -52 *1528:9 *6469:DIODE 2.47753e-05 -53 *1528:9 *25208:B2 1.94107e-05 -54 *1547:12 *5448:26 0.000145154 -55 *1717:25 *5448:21 4.52563e-05 -56 *2758:37 *5448:30 0.00130707 -57 *2777:26 *5448:21 0.000740012 -58 *2782:27 *5448:16 0.000250483 -59 *2784:30 *5448:21 6.81486e-05 -60 *2784:54 *5448:21 0.000201859 -61 *2784:57 *5448:26 0.000115645 -62 *2784:59 *5448:26 0.00193425 -63 *2784:59 *5448:30 0.00092978 -64 *2784:65 *5448:30 0.000841492 -65 *2784:65 *5448:40 0.000218619 -66 *2790:77 *5448:26 0.000151242 -67 *2839:33 *5448:26 0.000547421 -68 *2839:38 *5448:26 0.00146463 -69 *2839:38 *5448:30 0.00123401 -70 *2865:31 *5448:21 0.000183713 -71 *3205:158 *5448:30 3.72009e-05 -72 *3205:169 *5448:30 7.444e-06 -73 *3314:41 *5448:26 2.06178e-05 -74 *3638:64 *5448:21 0.000192269 -75 *3667:28 *5448:40 2.06178e-05 -76 *3822:57 *5448:40 4.00679e-05 -77 *3847:17 *5448:11 0 -78 *3848:61 *25208:B2 3.10313e-06 -79 *3875:10 *5448:16 0.000757797 -80 *3875:16 *5448:11 0.0038169 -81 *3875:35 *5448:16 4.00349e-05 -82 *3992:42 *5448:40 7.29646e-05 -83 *4015:31 *5448:30 2.2628e-05 -84 *4015:31 *5448:40 0.00126328 -85 *4352:11 *5448:11 0 -86 *4796:20 *5448:16 3.51191e-05 -87 *4881:17 *5448:16 2.06178e-05 -88 *4907:10 *5448:10 1.72894e-05 -89 *5437:9 *5448:11 0.00819888 -*RES -1 *30676:X *5448:10 31.5679 -2 *5448:10 *5448:11 77.75 -3 *5448:11 *5448:16 30.6161 -4 *5448:16 *5448:21 49.0446 -5 *5448:21 *5448:26 43.8661 -6 *5448:26 *5448:30 38.3036 -7 *5448:30 *5448:40 32.8661 -8 *5448:40 *6469:DIODE 10.6571 -9 *5448:40 *25208:B2 10.6973 -*END - -*D_NET *5449 0.0149534 -*CONN -*I *30888:A I *D sky130_fd_sc_hd__buf_12 -*I *29707:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28451:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30888:A 6.31076e-05 -2 *29707:A 0 -3 *28451:Q 0.000833779 -4 *5449:28 0.00321964 -5 *5449:16 0.00411653 -6 *5449:16 *5680:30 1.91371e-05 -7 *5449:16 *6262:14 0.000248717 -8 *5449:28 *26858:A1 0.00103673 -9 *5449:28 *30891:A 0.000164325 -10 *5449:28 *5457:30 0 -11 *5449:28 *6010:21 0.000100368 -12 mgmt_gpio_oeb[30] *5449:28 0.000360894 -13 mgmt_gpio_oeb[32] *5449:28 0.000267377 -14 mgmt_gpio_out[25] *30888:A 1.89507e-06 -15 *24829:A *5449:28 0.000176783 -16 *28452:D *5449:16 5.58875e-06 -17 *29373:A *5449:16 1.74352e-05 -18 *29708:A *5449:28 0.000615943 -19 *29735:A *5449:28 0.000164943 -20 *29737:A *5449:28 0.00240394 -21 *30709:A *5449:16 0.000309266 -22 *30862:A *5449:28 0.000113549 -23 *68:11 *5449:28 3.47641e-06 -24 *137:17 *5449:28 5.36241e-05 -25 *1180:22 *5449:28 0 -26 *2756:31 *5449:16 0.000117831 -27 *4230:17 *5449:16 0 -28 *4786:21 *30888:A 0.00014285 -29 *4803:18 *5449:16 5.26224e-05 -30 *5173:14 *30888:A 0 -31 *5173:14 *5449:16 4.19624e-06 -32 *5296:20 *5449:28 4.43299e-05 -33 *5445:26 *5449:16 4.56899e-05 -34 *5446:21 *30888:A 7.77652e-05 -35 *5446:21 *5449:28 0.000171068 -*RES -1 *28451:Q *5449:16 27.1125 -2 *5449:16 *5449:28 48.624 -3 *5449:28 *29707:A 13.8 -4 *5449:16 *30888:A 15.8625 -*END - -*D_NET *5450 0.0118596 -*CONN -*I *29153:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30889:A I *D sky130_fd_sc_hd__buf_12 -*I *28452:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29153:A 0.00168732 -2 *30889:A 0.000318123 -3 *28452:Q 0.000122044 -4 *5450:7 0.00212749 -5 *29153:A *25739:A0 0.000632624 -6 *29153:A *26862:A0 0.00126625 -7 *29153:A *28457:D 0 -8 *29153:A *5680:30 0.00228825 -9 *29153:A *5680:40 0.000205478 -10 *29153:A *5683:29 0.000339573 -11 *29153:A *5893:81 2.03618e-05 -12 *29153:A *6286:16 0.000296164 -13 *5450:7 *6253:13 0.000186662 -14 *24833:A *30889:A 0 -15 *29085:A *29153:A 0.000891547 -16 *29154:A *29153:A 0.000118057 -17 *29939:A *29153:A 3.50527e-05 -18 *29983:A *29153:A 0.000409481 -19 *61:14 *29153:A 0 -20 *522:10 *30889:A 9.54798e-06 -21 *785:21 *29153:A 2.51133e-05 -22 *1835:84 *30889:A 2.51133e-05 -23 *3887:97 *29153:A 6.19639e-06 -24 *4786:16 *30889:A 0.000168027 -25 *4925:25 *29153:A 1.66754e-05 -26 *5181:22 *29153:A 0.000664485 -*RES -1 *28452:Q *5450:7 15.5679 -2 *5450:7 *30889:A 18.7107 -3 *5450:7 *29153:A 39.0159 -*END - -*D_NET *5451 0.01264 -*CONN -*I *29982:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30890:A I *D sky130_fd_sc_hd__buf_12 -*I *28453:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29982:A 0.00182954 -2 *30890:A 0 -3 *28453:Q 0.00111632 -4 *5451:23 0.00294586 -5 *29982:A *30894:A 0.000128846 -6 *29982:A *5457:30 0 -7 *29982:A *5870:8 0.000487846 -8 *29982:A *6010:21 0.000134774 -9 *29982:A *6039:24 0.00105762 -10 *29982:A *6242:23 0.000358971 -11 *5451:23 *26860:A0 0 -12 *5451:23 *28453:D 0.000281441 -13 *5451:23 *28454:RESET_B 9.03204e-05 -14 *5451:23 *5830:16 0.000332844 -15 *5451:23 *6040:20 0.000161837 -16 mgmt_gpio_out[28] *29982:A 6.53397e-05 -17 *24829:A *29982:A 0.00140278 -18 *24829:A *5451:23 0 -19 *28452:D *5451:23 2.4461e-05 -20 *30435:A *29982:A 0.000360894 -21 *30856:A *29982:A 0.000227935 -22 *30858:A *29982:A 5.59298e-05 -23 *62:10 *5451:23 0 -24 *137:17 *29982:A 2.07809e-05 -25 *137:17 *5451:23 0.000172536 -26 *139:26 *29982:A 0.0002939 -27 *523:10 *5451:23 7.29646e-05 -28 *554:20 *5451:23 1.15058e-05 -29 *783:17 *5451:23 1.94261e-05 -30 *4786:16 *5451:23 2.29304e-05 -31 *5296:20 *29982:A 5.25192e-06 -32 *5397:45 *29982:A 0.000440705 -33 *5397:45 *5451:23 0.000405733 -34 *5408:42 *29982:A 0.000110735 -*RES -1 *28453:Q *5451:23 39.9786 -2 *5451:23 *30890:A 9.3 -3 *5451:23 *29982:A 44.3813 -*END - -*D_NET *5452 0.0121071 -*CONN -*I *30891:A I *D sky130_fd_sc_hd__buf_12 -*I *29409:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28454:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30891:A 0.000266251 -2 *29409:A 0.0014693 -3 *28454:Q 0.00101164 -4 *5452:16 0.00274719 -5 *29409:A *5463:14 0.00325216 -6 *29409:A *5588:85 0.000344434 -7 *29409:A *6285:21 4.28249e-05 -8 *5452:16 *26862:A0 5.92291e-05 -9 *5452:16 *26864:A0 0.000238798 -10 *5452:16 *5880:24 2.37696e-05 -11 *26862:S *5452:16 0 -12 *30863:A *29409:A 0.00019443 -13 *785:21 *5452:16 0.000306311 -14 *1835:84 *29409:A 0.00161779 -15 *3991:72 *29409:A 0.000151611 -16 *4925:25 *5452:16 1.05524e-05 -17 *5179:16 *29409:A 0.00012871 -18 *5364:13 *30891:A 0 -19 *5364:13 *5452:16 0 -20 *5408:42 *29409:A 0 -21 *5446:21 *30891:A 7.77545e-05 -22 *5449:28 *30891:A 0.000164325 -*RES -1 *28454:Q *5452:16 27.1304 -2 *5452:16 *29409:A 33.6492 -3 *5452:16 *30891:A 18.9518 -*END - -*D_NET *5453 0.00966192 -*CONN -*I *29938:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30892:A I *D sky130_fd_sc_hd__buf_12 -*I *28455:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29938:A 0.000411941 -2 *30892:A 2.37855e-05 -3 *28455:Q 0.000812552 -4 *5453:32 0.00117292 -5 *5453:13 0.00159732 -6 *29938:A *26864:A1 0.00199079 -7 *29938:A *6241:9 0.00144804 -8 *5453:13 *6040:20 0 -9 *5453:13 *6242:23 0.000136951 -10 *5453:32 *5458:67 5.91336e-05 -11 *5453:32 *5859:14 0 -12 *5453:32 *6039:24 0.000493352 -13 mgmt_gpio_oeb[30] *5453:13 7.4542e-05 -14 mgmt_gpio_oeb[31] *5453:32 9.25014e-06 -15 *24829:A *5453:32 1.80032e-05 -16 *26864:S *5453:13 0.000220153 -17 *28457:CLK *5453:13 2.03618e-05 -18 *29708:A *5453:32 0.000137475 -19 *30720:A *29938:A 5.52302e-05 -20 *30856:A *5453:13 4.5894e-05 -21 *30857:A *5453:32 4.66203e-05 -22 *30859:A *5453:32 0.000263524 -23 *30862:A *5453:32 9.71197e-05 -24 *66:13 *5453:13 0 -25 *66:20 *29938:A 0.000117621 -26 *139:26 *5453:13 0.000271352 -27 *784:8 *5453:32 9.25014e-06 -28 *1835:84 *5453:13 1.21317e-05 -29 *5397:45 *5453:13 2.95641e-05 -30 *5397:45 *5453:32 7.32173e-05 -31 *5397:53 *5453:32 1.38323e-05 -*RES -1 *28455:Q *5453:13 30.9071 -2 *5453:13 *30892:A 9.72857 -3 *5453:13 *5453:32 31.375 -4 *5453:32 *29938:A 30.3714 -*END - -*D_NET *5454 0.007199 -*CONN -*I *30893:A I *D sky130_fd_sc_hd__buf_12 -*I *30092:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28180:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30893:A 0.000190678 -2 *30092:A 0.000875348 -3 *28180:Q 0.000545803 -4 *5454:8 0.00161183 -5 *30092:A *28214:RESET_B 0.000131212 -6 *30092:A *5678:111 3.37161e-05 -7 *30092:A *5678:113 0.000508511 -8 *30893:A *5659:32 0.00063224 -9 *30893:A *5926:120 0.00062299 -10 *5454:8 *5659:32 0.000268521 -11 *5454:8 *5926:120 0.000270236 -12 *27034:S *30092:A 9.71197e-05 -13 *1371:19 *5454:8 1.36635e-05 -14 *3773:11 *30092:A 0.000508712 -15 *3773:19 *30092:A 0.000840219 -16 *4232:13 *30893:A 2.59355e-05 -17 *4334:15 *30092:A 2.22618e-05 -*RES -1 *28180:Q *5454:8 22.3179 -2 *5454:8 *30092:A 32.5679 -3 *5454:8 *30893:A 22.3536 -*END - -*D_NET *5455 0.00534828 -*CONN -*I *30894:A I *D sky130_fd_sc_hd__buf_12 -*I *29411:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28456:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30894:A 0.000526572 -2 *29411:A 0.000289387 -3 *28456:Q 0.000454833 -4 *5455:7 0.00127079 -5 *29411:A *29923:A 0.000442628 -6 *29411:A *5859:14 3.44075e-05 -7 *29411:A *6011:17 8.67981e-05 -8 *30894:A *5859:14 0.000134285 -9 *30894:A *5870:8 4.04691e-06 -10 *5455:7 *6242:23 0.00023213 -11 mgmt_gpio_oeb[32] *30894:A 0.000423278 -12 mgmt_gpio_out[32] *30894:A 0 -13 *29708:A *5455:7 4.27437e-05 -14 *29982:A *30894:A 0.000128846 -15 *30435:A *29411:A 0.00014008 -16 *30435:A *30894:A 3.22325e-05 -17 *30858:A *30894:A 0.00030485 -18 *790:22 *29411:A 9.12599e-05 -19 *3965:134 *5455:7 0.000271345 -20 *5181:22 *29411:A 0.000437762 -*RES -1 *28456:Q *5455:7 20.0857 -2 *5455:7 *29411:A 22.4071 -3 *5455:7 *30894:A 25.2107 -*END - -*D_NET *5456 0.00549024 -*CONN -*I *30895:A I *D sky130_fd_sc_hd__buf_12 -*I *29923:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28457:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30895:A 0.000397947 -2 *29923:A 0.000463241 -3 *28457:Q 4.16506e-05 -4 *5456:7 0.000902838 -5 *29923:A *5462:70 0.000207997 -6 *29923:A *5893:92 0.0015998 -7 *30895:A *26864:A1 9.71197e-05 -8 *30895:A *28456:RESET_B 0 -9 *30895:A *5775:128 0 -10 *30895:A *6241:9 5.03772e-05 -11 *24828:A *30895:A 1.26938e-05 -12 *29411:A *29923:A 0.000442628 -13 *30856:A *30895:A 6.79944e-05 -14 *30857:A *29923:A 1.15058e-05 -15 *30857:A *30895:A 2.83849e-05 -16 *790:22 *30895:A 0.000178125 -17 *790:22 *5456:7 2.89114e-05 -18 *4925:25 *29923:A 0.000159939 -19 *5179:16 *29923:A 4.82449e-05 -20 *5179:16 *30895:A 4.46186e-06 -21 *5181:22 *29923:A 0.000746384 -*RES -1 *28457:Q *5456:7 14.3357 -2 *5456:7 *29923:A 32.4607 -3 *5456:7 *30895:A 21.7286 -*END - -*D_NET *5457 0.131896 -*CONN -*I *30896:A I *D sky130_fd_sc_hd__buf_12 -*I *25547:X O *D sky130_fd_sc_hd__mux2_8 -*CAP -1 *30896:A 0 -2 *25547:X 0.0074777 -3 *5457:30 0.0139361 -4 *5457:22 0.03488 -5 *5457:21 0.0284217 -6 *5457:21 *27489:B1 6.63472e-05 -7 *5457:21 *5629:71 9.34324e-05 -8 *5457:21 *5657:49 0.000274152 -9 *5457:21 *5657:51 1.76737e-05 -10 *5457:21 *5661:64 0.000499987 -11 *5457:21 *5738:100 3.85026e-05 -12 *5457:21 *5738:115 3.7458e-05 -13 *5457:21 *5892:163 0.000282717 -14 *5457:21 *5902:22 0 -15 *5457:21 *5947:74 0.000154976 -16 *5457:21 *6036:27 0 -17 *5457:22 *25593:S 0.000286879 -18 *5457:22 *5458:39 0.00196901 -19 *5457:22 *5458:40 0.00155428 -20 *5457:22 *5458:46 0.000623915 -21 *5457:22 *5458:64 0.000143644 -22 *5457:22 *5613:22 0.00146764 -23 *5457:22 *5629:89 0.00314042 -24 *5457:22 *5629:108 0.000259573 -25 *5457:22 *5640:63 2.59781e-05 -26 *5457:22 *5641:96 0.0010369 -27 *5457:22 *5641:102 0.00233251 -28 *5457:22 *5719:17 0.000550106 -29 *5457:22 *5729:242 0 -30 *5457:22 *5799:10 0.000128905 -31 *5457:22 *5799:12 0.00102088 -32 *5457:22 *5823:34 0.000297104 -33 *5457:22 *5823:51 0.00224124 -34 *5457:22 *5833:11 0.000138531 -35 *5457:22 *5833:175 0.00011927 -36 *5457:22 *5909:44 0 -37 *5457:22 *5934:20 0.000136691 -38 *5457:22 *6359:18 0.000139482 -39 *5457:22 *6377:8 0.000101444 -40 *5457:22 *6377:19 0.00058103 -41 *5457:22 *6377:21 0.000212251 -42 *5457:30 *5460:23 0.000136807 -43 *5457:30 *6010:21 0 -44 *5457:30 *6039:24 0.000100333 -45 *5457:30 *6214:51 0.000267259 -46 *24821:A *5457:30 0.00085451 -47 *25104:B2 *5457:22 0.00014259 -48 *27568:A2 *5457:22 3.37927e-05 -49 *27573:A1 *5457:22 0 -50 *27859:B1 *5457:21 0.000108696 -51 *27920:A1 *5457:22 0.000160588 -52 *27923:B2 *5457:22 0.000126716 -53 *27960:B1 *5457:22 0.000275209 -54 *28005:A2 *5457:30 0.00352221 -55 *28008:B1 *5457:30 7.59343e-05 -56 *28586:CLK *5457:22 0.000126864 -57 *29657:A *5457:22 0.000188553 -58 *29904:A *5457:30 0 -59 *29982:A *5457:30 0 -60 *30181:A *5457:22 0.00016252 -61 *30720:A *5457:30 9.59532e-06 -62 *30851:A *5457:30 0.000111763 -63 *30852:A *5457:30 0.000117928 -64 *137:17 *5457:30 0 -65 *1180:22 *5457:30 0.000814283 -66 *1362:25 *5457:22 0.000176806 -67 *1362:79 *5457:22 0.000789342 -68 *1410:19 *5457:22 0.00010115 -69 *1430:50 *5457:21 2.06178e-05 -70 *1435:33 *5457:22 0.00133721 -71 *1475:60 *5457:22 0.000163256 -72 *1619:25 *5457:21 0.000403732 -73 *1677:26 *5457:22 0 -74 *1835:35 *5457:30 0 -75 *1864:17 *5457:22 6.17877e-05 -76 *1864:29 *5457:22 0.00010283 -77 *1864:36 *5457:22 0.00014833 -78 *1864:49 *5457:22 1.94731e-05 -79 *2852:212 *5457:21 0.000178887 -80 *2852:212 *5457:22 0.000190215 -81 *2856:196 *5457:22 0.000699442 -82 *2875:69 *5457:21 4.65519e-05 -83 *2889:254 *5457:21 0.000275759 -84 *3168:83 *5457:21 0 -85 *3176:110 *5457:21 4.76069e-05 -86 *3185:304 *5457:21 2.46739e-05 -87 *3192:67 *5457:21 4.27941e-05 -88 *3214:78 *5457:21 1.70283e-05 -89 *3659:14 *5457:22 0.00304105 -90 *3736:34 *5457:21 8.92786e-05 -91 *3766:54 *5457:22 0.000168959 -92 *3766:71 *5457:22 0.000937399 -93 *3780:34 *5457:22 0.000423457 -94 *3780:53 *5457:22 0.000570689 -95 *3805:59 *5457:21 0.000293419 -96 *3828:61 *5457:22 0.00104089 -97 *3892:75 *5457:21 0.000404754 -98 *3923:51 *5457:22 0 -99 *4013:26 *5457:22 0.000137113 -100 *4025:21 *5457:22 0.000143668 -101 *4025:31 *5457:22 0.000165754 -102 *4025:32 *5457:22 0.000197779 -103 *4025:38 *5457:22 0.0001326 -104 *4026:24 *5457:22 0.000271204 -105 *4035:33 *5457:22 9.93296e-05 -106 *4036:25 *5457:22 0.000339401 -107 *4038:8 *5457:22 0.000168975 -108 *4183:13 *5457:21 0 -109 *4586:17 *5457:30 0.000293036 -110 *4652:20 *5457:30 0.000275741 -111 *4774:19 *5457:30 0.00142387 -112 *5019:14 *5457:30 0.000111785 -113 *5196:25 *5457:22 3.67734e-05 -114 *5196:47 *5457:22 0.00321098 -115 *5397:23 *5457:30 0 -116 *5408:42 *5457:30 0.00104561 -117 *5449:28 *5457:30 0 -*RES -1 *25547:X *5457:21 44.3971 -2 *5457:21 *5457:22 62.4755 -3 *5457:22 *5457:30 41.083 -4 *5457:30 *30896:A 9.3 -*END - -*D_NET *5458 0.126286 -*CONN -*I *30897:A I *D sky130_fd_sc_hd__buf_12 -*I *25548:X O *D sky130_fd_sc_hd__mux2_8 -*CAP -1 *30897:A 0.000131243 -2 *25548:X 0.00143123 -3 *5458:67 0.00368528 -4 *5458:66 0.00355404 -5 *5458:64 0.00176922 -6 *5458:46 0.00314925 -7 *5458:40 0.00932624 -8 *5458:39 0.00879583 -9 *5458:31 0.00240057 -10 *5458:24 0.00364087 -11 *5458:14 0.00352115 -12 *5458:14 *28039:B 6.45381e-05 -13 *5458:14 *5705:16 9.46737e-05 -14 *5458:14 *6036:13 0.000228764 -15 *5458:24 *25307:B2 0.000191403 -16 *5458:24 *28289:RESET_B 0.000593081 -17 *5458:24 *5493:25 0.000720631 -18 *5458:31 *28989:A 5.33005e-05 -19 *5458:31 *5666:180 9.60939e-05 -20 *5458:31 *5698:9 0.000210104 -21 *5458:31 *5698:26 0.000426141 -22 *5458:31 *5699:140 0.000260574 -23 *5458:31 *5909:82 0.00252497 -24 *5458:40 *25593:S 1.81709e-05 -25 *5458:40 *25915:A1 8.00806e-05 -26 *5458:40 *25928:A1 1.41029e-05 -27 *5458:40 *27920:B1 2.61337e-05 -28 *5458:40 *28362:SET_B 5.83233e-05 -29 *5458:40 *28364:RESET_B 0.000216304 -30 *5458:40 *28374:RESET_B 0.00012452 -31 *5458:40 *5629:108 9.06233e-05 -32 *5458:40 *5641:102 0.000370852 -33 *5458:40 *5719:6 8.14438e-05 -34 *5458:40 *5719:8 0.000138826 -35 *5458:40 *5719:10 5.84171e-05 -36 *5458:40 *5719:12 0.000311421 -37 *5458:40 *5719:17 0.000898324 -38 *5458:40 *5799:12 0.000512936 -39 *5458:40 *5823:51 0.000123605 -40 *5458:40 *5833:11 0.000242828 -41 *5458:40 *6377:8 0.000385717 -42 *5458:40 *6377:19 0.000981377 -43 *5458:46 *25591:S 0.000198698 -44 *5458:46 *25593:S 0.00168484 -45 *5458:46 *25599:S 0.000173812 -46 *5458:46 *5780:125 0 -47 *5458:46 *5934:29 5.41794e-05 -48 *5458:46 *6377:19 0.000804854 -49 *5458:46 *6377:21 0.000911532 -50 *5458:64 *5463:14 0.00100705 -51 *5458:64 *6377:21 0 -52 *5458:64 *6377:48 0 -53 *5458:64 *6378:121 0.000163992 -54 *5458:67 *29793:A 0.000312209 -55 *5458:67 *5460:40 0.00467253 -56 *5458:67 *5870:7 6.87574e-05 -57 mgmt_gpio_oeb[24] *5458:67 0.000393943 -58 mgmt_gpio_oeb[27] *5458:67 0.000481581 -59 mgmt_gpio_oeb[31] *5458:67 0.0002126 -60 mgmt_gpio_out[24] *5458:67 0.000744458 -61 mgmt_gpio_out[25] *5458:67 3.5298e-05 -62 mgmt_gpio_out[33] *30897:A 0.000218019 -63 *25329:A2 *5458:40 1.05524e-05 -64 *25516:B1 *5458:40 0 -65 *25517:B1 *5458:40 0.000317594 -66 *25548:A0 *5458:14 2.22716e-05 -67 *25667:A1 *5458:31 0.000632957 -68 *25667:S *5458:31 2.18985e-05 -69 *25689:A0 *5458:67 0.00295433 -70 *25689:S *5458:67 5.33005e-05 -71 *25733:S *5458:64 0.000114949 -72 *27489:A2 *5458:24 0.000347187 -73 *27509:A2 *5458:31 0.000229619 -74 *27861:B1 *5458:24 0.000232495 -75 *27895:A1 *5458:40 0.000950545 -76 *27895:B2 *5458:40 0.000194949 -77 *27981:A *5458:64 8.95905e-05 -78 *28000:A2 *5458:64 0.000969561 -79 *28004:A0 *5458:64 0 -80 *28008:A2 *5458:67 0.000431237 -81 *28289:CLK *5458:24 0.000119886 -82 *28289:D *5458:24 9.67334e-06 -83 *28885:A *5458:14 8.68489e-05 -84 *29449:A *5458:24 4.58194e-05 -85 *29662:A *5458:40 0.000242144 -86 *29687:A *5458:40 0.000267814 -87 *29818:A *5458:40 9.27779e-05 -88 *29844:A *5458:40 0.000115072 -89 *30102:A *5458:40 0.000168224 -90 *30181:A *5458:40 0.000698927 -91 *30647:A *5458:64 2.10319e-05 -92 *30647:A *5458:67 6.05161e-06 -93 *30653:A *5458:67 5.33433e-05 -94 *30663:A *5458:67 7.77751e-05 -95 *30703:A *5458:67 5.52302e-05 -96 *30718:A *5458:67 0.000744439 -97 *30756:A *5458:67 0.00154763 -98 *30859:A *5458:67 0.00022572 -99 *30884:A *5458:67 0.000563681 -100 *291:18 *5458:64 6.27485e-05 -101 *522:10 *5458:67 0.00289194 -102 *1180:22 *5458:67 0.000121926 -103 *1362:25 *5458:40 0.0021801 -104 *1362:28 *5458:40 6.10032e-05 -105 *1362:79 *5458:40 0.000363535 -106 *1471:95 *5458:24 0.000193125 -107 *1475:36 *5458:40 0.000596153 -108 *1820:10 *5458:46 0 -109 *1820:10 *5458:64 0.0010611 -110 *1864:36 *5458:40 0.00240222 -111 *1896:23 *5458:40 0.00052478 -112 *1899:39 *5458:14 0.000178847 -113 *2852:212 *5458:39 2.8152e-05 -114 *2853:90 *5458:31 4.58194e-05 -115 *2856:196 *5458:40 2.04825e-05 -116 *2859:52 *5458:31 9.22103e-06 -117 *2866:168 *5458:31 0.00128512 -118 *2867:51 *5458:31 9.51744e-05 -119 *2877:58 *5458:39 1.28958e-05 -120 *2895:79 *5458:31 5.31355e-05 -121 *3173:94 *5458:31 0.000260064 -122 *3581:158 *5458:40 0 -123 *3584:8 *5458:14 9.25014e-06 -124 *3584:117 *5458:14 0.000181297 -125 *3766:25 *5458:40 0.000624231 -126 *3766:54 *5458:40 0 -127 *3780:34 *5458:31 0.000409983 -128 *3780:34 *5458:39 0.00191887 -129 *3780:53 *5458:40 0.00075111 -130 *3828:61 *5458:40 0.00139146 -131 *3840:27 *5458:24 0.000115903 -132 *3848:95 *5458:67 6.58597e-05 -133 *4012:11 *5458:40 1.08524e-05 -134 *4013:17 *5458:40 0.000329565 -135 *4013:26 *5458:40 0.000139075 -136 *4025:21 *5458:40 0.00169452 -137 *4025:31 *5458:40 0.00192634 -138 *4025:32 *5458:40 0.00437683 -139 *4025:38 *5458:40 0.0060237 -140 *4026:37 *5458:40 0.00045682 -141 *4036:17 *5458:40 0.00021885 -142 *4036:25 *5458:40 0.00134995 -143 *4038:8 *5458:40 0.00106913 -144 *4152:5 *5458:24 0.000257619 -145 *4152:50 *5458:24 0.000715986 -146 *4186:20 *5458:39 8.69554e-05 -147 *4784:11 *5458:67 0.000208223 -148 *4974:11 *5458:64 0.000663744 -149 *4974:12 *5458:64 0 -150 *4985:11 *5458:64 0.00116795 -151 *4996:8 *5458:64 0.000175108 -152 *5173:14 *5458:67 0.000881789 -153 *5386:11 *5458:31 4.24297e-05 -154 *5397:35 *5458:67 0.000107752 -155 *5397:45 *5458:67 0.00245293 -156 *5444:15 *5458:67 0.00320748 -157 *5453:32 *5458:67 5.91336e-05 -158 *5457:22 *5458:39 0.00196901 -159 *5457:22 *5458:40 0.00155428 -160 *5457:22 *5458:46 0.000623915 -161 *5457:22 *5458:64 0.000143644 -*RES -1 *25548:X *5458:14 37.8536 -2 *5458:14 *5458:24 44.7679 -3 *5458:24 *5458:31 49.3597 -4 *5458:31 *5458:39 14.5869 -5 *5458:39 *5458:40 267.42 -6 *5458:40 *5458:46 38.9018 -7 *5458:46 *5458:64 47.8472 -8 *5458:64 *5458:66 4.5 -9 *5458:66 *5458:67 139.768 -10 *5458:67 *30897:A 21.1571 -*END - -*D_NET *5459 0.0465248 -*CONN -*I *25118:B2 I *D sky130_fd_sc_hd__a221o_2 -*I *30677:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *25118:B2 0.000765767 -2 *30677:X 0.00067073 -3 *5459:51 0.00215898 -4 *5459:39 0.00265306 -5 *5459:32 0.00203712 -6 *5459:29 0.00238602 -7 *5459:20 0.00247551 -8 *5459:12 0.002674 -9 *5459:10 0.00247797 -10 *25118:B2 *25118:A1 3.34295e-05 -11 *5459:10 *27224:B 7.1785e-05 -12 *5459:10 *5492:10 0 -13 *5459:12 *25045:B1 0.000288358 -14 *5459:12 *28726:RESET_B 0.000100831 -15 *5459:12 *5492:10 0 -16 *5459:12 *5602:41 0.000269961 -17 *5459:12 *5602:52 0.00125613 -18 *5459:12 *5694:189 0.000103974 -19 *5459:12 *5713:208 0.000173797 -20 *5459:20 *5660:9 0.00220985 -21 *5459:29 *25526:A_N 9.41642e-05 -22 *5459:29 *5485:133 9.91086e-05 -23 *5459:29 *5633:13 0.00174165 -24 *5459:32 *27599:A 4.34528e-05 -25 *5459:51 *5583:134 4.82865e-05 -26 *5459:51 *5757:127 7.05143e-06 -27 *5459:51 *5757:134 1.60861e-05 -28 *24984:A2 *5459:12 4.99283e-06 -29 *25118:B1 *25118:B2 0.00020215 -30 *25530:A *5459:29 0.000177815 -31 *25633:A1 *5459:10 7.89362e-05 -32 *27819:A2 *5459:39 0.000280526 -33 *28129:D *5459:10 0.000117928 -34 *28805:D *5459:39 8.85532e-05 -35 *30635:A *5459:10 0 -36 *30678:A *5459:10 5.42629e-05 -37 *30705:A *5459:10 0.000137983 -38 *30738:A *5459:10 6.37318e-05 -39 *40:46 *5459:10 3.55552e-05 -40 *1290:148 *5459:51 0.000215327 -41 *1367:16 *5459:12 0.0028108 -42 *1367:18 *5459:12 0.00010451 -43 *1826:26 *5459:32 0.000221559 -44 *1826:117 *5459:51 0.00014854 -45 *2768:25 *25118:B2 1.149e-05 -46 *2781:51 *5459:39 0.000208371 -47 *2787:128 *25118:B2 0.00105046 -48 *2790:29 *5459:39 0.000116155 -49 *2805:13 *5459:10 4.28365e-05 -50 *2832:38 *5459:20 7.88202e-05 -51 *2832:47 *5459:20 4.46186e-06 -52 *2833:6 *5459:32 3.51442e-05 -53 *2833:16 *5459:32 0.000368457 -54 *2861:23 *5459:39 0.000533421 -55 *2892:115 *5459:32 3.89747e-05 -56 *2892:126 *5459:51 0.00226595 -57 *2998:32 *5459:29 0.000100823 -58 *2998:32 *5459:32 0.000372012 -59 *2998:32 *5459:39 0.00265043 -60 *3168:25 *5459:32 0.000544633 -61 *3168:25 *5459:39 7.47029e-06 -62 *3174:188 *25118:B2 2.14474e-05 -63 *3178:13 *5459:32 7.8006e-05 -64 *3185:48 *25118:B2 0.000145239 -65 *3194:19 *5459:32 4.53834e-05 -66 *3194:59 *5459:32 9.9662e-05 -67 *3195:25 *5459:32 5.51649e-05 -68 *3212:11 *5459:20 9.77956e-05 -69 *3212:11 *5459:29 0.000181831 -70 *3574:8 *5459:51 0.000202404 -71 *3627:50 *25118:B2 0.00026695 -72 *3889:79 *5459:12 2.49484e-05 -73 *3943:20 *5459:20 3.34687e-05 -74 *3952:16 *25118:B2 3.54398e-05 -75 *3952:18 *25118:B2 0.000985551 -76 *3952:18 *5459:51 0.00201323 -77 *3952:27 *5459:39 0.00078577 -78 *3991:11 *5459:51 0.000216304 -79 *3995:14 *5459:12 0.00041172 -80 *5196:95 *5459:20 0.00275459 -81 *5196:95 *5459:29 3.97677e-05 -*RES -1 *30677:X *5459:10 27.2018 -2 *5459:10 *5459:12 54.3125 -3 *5459:12 *5459:20 42.5714 -4 *5459:20 *5459:29 36.8571 -5 *5459:29 *5459:32 20.8036 -6 *5459:32 *5459:39 45.8036 -7 *5459:39 *5459:51 45.75 -8 *5459:51 *25118:B2 48.9786 -*END - -*D_NET *5460 0.0307596 -*CONN -*I *30898:A I *D sky130_fd_sc_hd__buf_12 -*I *29793:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28200:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *30898:A 0.000245418 -2 *29793:A 5.69052e-05 -3 *28200:Q 0.000374513 -4 *5460:40 0.00347839 -5 *5460:37 0.00323297 -6 *5460:35 0.00206368 -7 *5460:23 0.00448632 -8 *5460:8 0.00285406 -9 *30898:A *5925:9 0.000241087 -10 *5460:8 *28208:D 0.000319059 -11 *5460:23 *28208:D 0.000114045 -12 *5460:35 *5770:17 0.000190689 -13 mgmt_gpio_oeb[33] *30898:A 0.000399092 -14 mgmt_gpio_oeb[33] *5460:40 7.92229e-06 -15 mgmt_gpio_out[27] *5460:35 7.55747e-05 -16 mgmt_gpio_out[34] *30898:A 0.000274288 -17 *28175:CLK *5460:8 0 -18 *30849:A *5460:23 0 -19 *30852:A *5460:35 7.40571e-05 -20 *30859:A *5460:40 4.64978e-05 -21 *1180:22 *5460:35 0.000111084 -22 *1835:16 *5460:8 9.41642e-05 -23 *1835:35 *5460:23 0.000121573 -24 *1835:40 *5460:23 7.90803e-05 -25 *3602:24 *5460:8 4.43256e-05 -26 *4586:17 *5460:23 0.000230482 -27 *4784:11 *29793:A 0.000312209 -28 *5397:35 *5460:35 0.000576112 -29 *5408:19 *5460:23 1.69961e-05 -30 *5408:21 *5460:23 0.00317147 -31 *5408:21 *5460:35 0.00234595 -32 *5457:30 *5460:23 0.000136807 -33 *5458:67 *29793:A 0.000312209 -34 *5458:67 *5460:40 0.00467253 -*RES -1 *28200:Q *5460:8 19.9429 -2 *5460:8 *29793:A 16.8 -3 *5460:8 *5460:23 45.7679 -4 *5460:23 *5460:35 49.3571 -5 *5460:35 *5460:37 4.5 -6 *5460:37 *5460:40 47.1607 -7 *5460:40 *30898:A 17.2286 -*END - -*D_NET *5461 0.130156 -*CONN -*I *30899:A I *D sky130_fd_sc_hd__buf_12 -*I *25549:X O *D sky130_fd_sc_hd__mux2_8 -*CAP -1 *30899:A 3.75977e-05 -2 *25549:X 3.82418e-05 -3 *5461:32 0.00353613 -4 *5461:30 0.00354752 -5 *5461:28 0.00223276 -6 *5461:26 0.00353758 -7 *5461:20 0.00408175 -8 *5461:18 0.00376499 -9 *5461:7 0.0225702 -10 *5461:5 0.0215714 -11 *5461:7 *25670:B 2.42516e-05 -12 *5461:7 *25673:A0 1.65169e-05 -13 *5461:7 *26827:B 0.00363291 -14 *5461:7 *28160:RESET_B 2.59355e-05 -15 *5461:7 *5530:58 0.000190973 -16 *5461:7 *5606:33 0.000718715 -17 *5461:7 *5606:44 2.22618e-05 -18 *5461:7 *5698:310 9.30313e-05 -19 *5461:7 *5713:104 0.000175892 -20 *5461:7 *5733:157 9.92409e-05 -21 *5461:7 *5909:85 0.000189554 -22 *5461:7 *6150:18 7.80821e-05 -23 *5461:18 *5468:29 0.000766254 -24 *5461:18 *5468:31 9.75741e-06 -25 *5461:18 *5529:31 7.5779e-05 -26 *5461:18 *5659:32 1.52681e-05 -27 *5461:18 *5675:97 1.5381e-05 -28 *5461:18 *5926:120 0.00184629 -29 *5461:20 *26971:A0 0.000380677 -30 *5461:20 *28560:RESET_B 0.000128154 -31 *5461:20 *28568:RESET_B 9.27326e-05 -32 *5461:20 *28601:RESET_B 0.000164716 -33 *5461:20 *30904:A 0.000390699 -34 *5461:20 *30907:A 0.000342481 -35 *5461:20 *5468:31 0.000279347 -36 *5461:20 *5659:24 0.000335946 -37 *5461:20 *5659:28 0.00149466 -38 *5461:20 *5659:32 5.7123e-05 -39 *5461:20 *5675:79 0.000509849 -40 *5461:20 *5679:98 0.00065399 -41 *5461:20 *5679:107 0.000116121 -42 *5461:26 *26989:A1 7.5779e-05 -43 *5461:26 *28568:RESET_B 0.000380925 -44 *5461:26 *5659:24 0.000369873 -45 *5461:26 *5676:179 0.00019384 -46 *5461:26 *5676:184 0.000457962 -47 *5461:26 *5680:196 1.90936e-05 -48 *5461:28 *26905:A0 0.00017309 -49 *5461:28 *28192:RESET_B 0.000389854 -50 *5461:28 *5586:122 0.000499276 -51 *5461:28 *5586:138 0.00015234 -52 *5461:28 *5659:15 0.000274953 -53 *5461:28 *5659:18 0.000473004 -54 *5461:28 *5659:22 0.00255427 -55 *5461:28 *5659:24 0.000141771 -56 *5461:28 *5670:13 0.000373421 -57 *5461:28 *5716:145 1.28259e-05 -58 *5461:28 *5766:55 0.000479577 -59 *5461:28 *5800:108 0.000771179 -60 *5461:28 *5824:306 0.000636519 -61 *5461:32 *25678:A1 0.000386582 -62 *5461:32 *25736:S 0.000636112 -63 *5461:32 *26873:A0 0 -64 *5461:32 *28170:RESET_B 3.65015e-05 -65 *5461:32 *28173:RESET_B 0 -66 *5461:32 *30900:A 0 -67 *5461:32 *5586:84 0.000170782 -68 *5461:32 *5586:98 0.000756626 -69 *5461:32 *5588:101 0 -70 *5461:32 *5588:105 0 -71 *5461:32 *5659:15 0.000590534 -72 *5461:32 *5670:13 1.27625e-05 -73 *5461:32 *5716:141 0.00293447 -74 *5461:32 *5716:174 7.6644e-05 -75 *5461:32 *5776:79 0.000256442 -76 *5461:32 *5776:109 0 -77 *5461:32 *5776:115 0 -78 *5461:32 *5776:124 0 -79 *5461:32 *5893:113 0.000568355 -80 mgmt_gpio_oeb[16] *5461:32 0 -81 mgmt_gpio_oeb[37] *5461:32 0.000485158 -82 mgmt_gpio_out[35] *30899:A 9.90367e-05 -83 *6427:DIODE *5461:32 0.000175526 -84 *6564:DIODE *5461:7 5.20359e-06 -85 *24849:A *5461:26 0.00130164 -86 *24853:A *5461:28 0.000244721 -87 *25020:C1 *5461:20 0.000430199 -88 *25033:B *5461:20 0.00115664 -89 *25033:D *5461:20 0.000148196 -90 *25228:B1 *5461:7 1.38323e-05 -91 *25673:A1 *5461:7 9.41642e-05 -92 *25681:A1 *5461:32 0.000100831 -93 *25683:A1 *5461:32 0 -94 *25717:A1 *5461:28 9.97562e-05 -95 *25729:S *5461:5 0 -96 *25729:S *5461:7 0.00050701 -97 *25736:A1 *5461:32 0.000359967 -98 *26891:A1 *5461:26 0.000203777 -99 *26905:S *5461:28 0 -100 *26989:S *5461:26 2.11419e-05 -101 *27031:S *5461:32 0 -102 *27485:A1 *5461:7 0.00118405 -103 *27485:B2 *5461:7 0.000140877 -104 *27489:A1 *5461:7 0.000135028 -105 *27490:B1 *5461:7 0.00034127 -106 *28560:D *5461:20 0 -107 *29072:A *5461:28 9.97648e-05 -108 *29594:A *5461:32 0.000390575 -109 *29696:A *5461:20 0.00050089 -110 *29715:A *5461:18 0.000128161 -111 *29729:A *5461:28 2.59093e-05 -112 *30150:A *5461:18 0.000318703 -113 *30170:A *5461:32 0 -114 *30405:A *5461:20 0.000146554 -115 *30461:A *5461:7 0.00050768 -116 *30564:A *5461:32 3.80761e-05 -117 *30697:A *5461:32 2.47093e-05 -118 *30723:A *5461:32 0 -119 *30835:A *5461:28 0.000727311 -120 *30836:A *5461:28 0.000337637 -121 *30838:A *5461:28 0.000520807 -122 *30842:A *5461:32 5.80217e-05 -123 *30843:A *5461:32 0.000198698 -124 *30867:A *5461:20 0.000128146 -125 *66:20 *5461:32 0 -126 *527:16 *5461:18 1.90936e-05 -127 *529:11 *5461:18 0.000482607 -128 *798:11 *5461:32 7.83659e-05 -129 *1256:54 *5461:7 0.00246939 -130 *1256:61 *5461:7 2.13481e-06 -131 *1343:19 *5461:20 0.00220063 -132 *1547:26 *5461:7 0.000216755 -133 *1547:51 *5461:7 0.000584645 -134 *1833:5 *5461:7 0.000216294 -135 *1835:99 *5461:32 0 -136 *2761:184 *5461:28 0.00189956 -137 *2761:213 *5461:26 0.000955232 -138 *2768:141 *5461:20 0 -139 *2768:146 *5461:20 0.00135095 -140 *2768:190 *5461:26 0.000844997 -141 *2769:79 *5461:26 4.98055e-06 -142 *2769:98 *5461:20 0 -143 *2770:46 *5461:26 0.000753005 -144 *2781:39 *5461:7 0.00534 -145 *2853:61 *5461:7 0.00196089 -146 *2859:35 *5461:7 3.16198e-05 -147 *2889:198 *5461:7 0.00171781 -148 *3584:13 *5461:7 0.00025664 -149 *3584:18 *5461:7 0.000210732 -150 *3690:17 *5461:26 4.02462e-05 -151 *3695:8 *5461:20 0.000793408 -152 *3695:26 *5461:20 0.000233272 -153 *3736:51 *5461:7 0.00200852 -154 *3813:11 *5461:26 9.91086e-05 -155 *4147:95 *5461:28 1.05977e-05 -156 *4147:97 *5461:28 0.000656248 -157 *4147:106 *5461:26 0.000160476 -158 *4147:106 *5461:28 9.25014e-06 -159 *4226:23 *5461:32 8.46991e-05 -160 *4412:11 *5461:32 0 -161 *4604:6 *5461:32 0.000150625 -162 *5439:10 *5461:32 6.23278e-05 -163 *5441:10 *5461:32 0.000135289 -*RES -1 *25549:X *5461:5 10.0321 -2 *5461:5 *5461:7 271.607 -3 *5461:7 *5461:18 44.3839 -4 *5461:18 *5461:20 106.982 -5 *5461:20 *5461:26 48.4018 -6 *5461:26 *5461:28 86.4911 -7 *5461:28 *5461:30 0.535714 -8 *5461:30 *5461:32 100.759 -9 *5461:32 *30899:A 14.7464 -*END - -*D_NET *5462 0.0440956 -*CONN -*I *30900:A I *D sky130_fd_sc_hd__buf_12 -*I *25543:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *30900:A 0.000872305 -2 *25543:X 0.00150215 -3 *5462:70 0.00247003 -4 *5462:55 0.00346726 -5 *5462:33 0.00441296 -6 *5462:21 0.00466577 -7 *5462:19 0.00362449 -8 *30900:A *5588:93 3.0285e-05 -9 *5462:19 *5763:163 8.60298e-05 -10 *5462:19 *6036:71 0.000266479 -11 *5462:19 *6036:95 0.000145238 -12 *5462:21 *26929:A0 0.000387515 -13 *5462:21 *28209:RESET_B 1.56e-05 -14 *5462:21 *5689:33 0.00126364 -15 *5462:21 *5716:244 5.81579e-05 -16 *5462:21 *5792:23 0.000482601 -17 *5462:33 *25692:S 0.000218253 -18 *5462:33 *25743:A0 0.000335171 -19 *5462:33 *25743:S 9.60875e-05 -20 *5462:33 *28209:D 0.00117348 -21 *5462:33 *28209:RESET_B 2.07382e-05 -22 *5462:33 *28453:D 0.000323805 -23 *5462:33 *29074:A 7.51077e-05 -24 *5462:33 *5683:29 0.000565232 -25 *5462:33 *5829:42 8.43535e-06 -26 *5462:33 *5879:237 1.11474e-05 -27 *5462:33 *5893:14 0.000616982 -28 *5462:33 *5893:46 0.000225616 -29 *5462:33 *5897:13 7.7019e-05 -30 *5462:33 *5926:63 3.98559e-05 -31 *5462:55 *25739:A0 3.36755e-05 -32 *5462:55 *26864:A0 0.000182266 -33 *5462:55 *28454:RESET_B 0.000153398 -34 *5462:55 *5683:32 9.45051e-05 -35 *5462:55 *5894:14 9.16074e-05 -36 *5462:55 *6011:17 0.000407836 -37 *5462:55 *6223:17 0.000102425 -38 *5462:70 *25739:S 3.22339e-05 -39 *5462:70 *5870:8 9.20464e-06 -40 *5462:70 *5893:92 0.00084491 -41 *5462:70 *5914:6 0 -42 *5462:70 *6228:10 0.000268776 -43 mgmt_gpio_out[19] *30900:A 0 -44 mgmt_gpio_out[36] *30900:A 0.000142856 -45 *24829:A *5462:55 0 -46 *25543:A0 *5462:19 6.87574e-05 -47 *25685:A0 *5462:70 2.56694e-05 -48 *25685:A1 *5462:70 5.10282e-05 -49 *25743:A1 *5462:33 0.00029952 -50 *26857:A1 *5462:55 2.28598e-05 -51 *26861:A1 *5462:55 0.000266473 -52 *26933:S *5462:21 9.60875e-05 -53 *27196:S *5462:21 0.00129738 -54 *27986:A *5462:19 0.000225616 -55 *27986:D_N *5462:19 0.000238416 -56 *28000:B1 *5462:19 0.000520522 -57 *28014:A1 *5462:19 3.48705e-05 -58 *28014:A3 *5462:19 0.000140481 -59 *28014:B1 *5462:19 1.4024e-05 -60 *28014:B2 *5462:19 1.92905e-05 -61 *28453:CLK *5462:33 2.14658e-05 -62 *28538:CLK *5462:19 0.000240374 -63 *28538:CLK *5462:21 0.000558839 -64 *28903:A *5462:21 0.000606617 -65 *29348:A *5462:21 0.000142157 -66 *29410:A *5462:55 0.00164137 -67 *29410:A *5462:70 0 -68 *29920:A *5462:55 0.000139907 -69 *29923:A *5462:70 0.000207997 -70 *29965:A *5462:55 0.000749074 -71 *29983:A *5462:70 0.000186669 -72 *30721:A *5462:70 0.000397918 -73 *30722:A *30900:A 9.71197e-05 -74 *30722:A *5462:70 1.52978e-05 -75 *30843:A *30900:A 0 -76 *66:20 *30900:A 0.000170532 -77 *787:14 *5462:55 0.000365554 -78 *1835:99 *5462:70 4.11218e-05 -79 *2756:72 *5462:55 0.000424015 -80 *2756:93 *5462:55 0.000625114 -81 *2764:52 *5462:21 0.000392019 -82 *3535:7 *5462:19 5.52238e-05 -83 *3602:89 *5462:21 1.98839e-05 -84 *3604:124 *5462:33 0.00015732 -85 *3887:97 *5462:55 2.2548e-05 -86 *4056:53 *5462:55 8.25228e-05 -87 *4781:15 *30900:A 0.000637825 -88 *4924:17 *5462:55 0.0017804 -89 *4924:17 *5462:70 6.53083e-05 -90 *5173:14 *5462:33 0.000485543 -91 *5173:19 *5462:33 5.33005e-05 -92 *5181:22 *5462:70 0.000490416 -93 *5461:32 *30900:A 0 -*RES -1 *25543:X *5462:19 36.9786 -2 *5462:19 *5462:21 50.2321 -3 *5462:21 *5462:33 49.3036 -4 *5462:33 *5462:55 47.2038 -5 *5462:55 *5462:70 47.0618 -6 *5462:70 *30900:A 33.2643 -*END - -*D_NET *5463 0.0450185 -*CONN -*I *30901:A I *D sky130_fd_sc_hd__buf_12 -*I *25542:X O *D sky130_fd_sc_hd__mux2_4 -*CAP -1 *30901:A 0 -2 *25542:X 0.000132696 -3 *5463:14 0.0125442 -4 *5463:8 0.0126769 -5 *5463:14 *25544:A1 0.000142338 -6 *5463:14 *5588:85 0.000259931 -7 *5463:14 *5759:11 0 -8 *5463:14 *6010:21 0.00142931 -9 *5463:14 *6039:24 0.00287287 -10 *5463:14 *6214:51 0 -11 *5463:14 *6258:11 1.47728e-05 -12 *5463:14 *6262:14 5.53343e-05 -13 *5463:14 *6269:11 0.000578554 -14 *5463:14 *6377:29 0 -15 *5463:14 *6378:121 3.67652e-05 -16 *25691:A1 *5463:14 7.30877e-05 -17 *27989:A0 *5463:8 6.79416e-05 -18 *28000:A2 *5463:14 0.000390221 -19 *28003:A2 *5463:14 0 -20 *28518:D *5463:14 0.000103666 -21 *29409:A *5463:14 0.00325216 -22 *29737:A *5463:14 0.000178513 -23 *29904:A *5463:14 9.9413e-05 -24 *30722:A *5463:14 1.53815e-05 -25 *30860:A *5463:14 0 -26 *30861:A *5463:14 1.47728e-05 -27 *66:13 *5463:14 0.00190475 -28 *291:18 *5463:14 0.00148001 -29 *554:20 *5463:14 0 -30 *790:22 *5463:14 0.00012864 -31 *1180:22 *5463:14 0 -32 *1835:35 *5463:14 0.000412464 -33 *1835:40 *5463:14 0.000814234 -34 *1835:54 *5463:14 0.000739433 -35 *1835:84 *5463:14 0.00143333 -36 *1835:99 *5463:14 0 -37 *4652:20 *5463:8 0 -38 *4786:16 *5463:14 0.000991374 -39 *4919:27 *5463:14 0.000784808 -40 *4963:15 *5463:14 0.000336195 -41 *5019:14 *5463:14 0 -42 *5296:20 *5463:14 4.73953e-05 -43 *5458:64 *5463:14 0.00100705 -*RES -1 *25542:X *5463:8 19.3082 -2 *5463:8 *5463:14 42.697 -3 *5463:14 *30901:A 9.3 -*END - -*D_NET *5464 0.012891 -*CONN -*I *30902:A I *D sky130_fd_sc_hd__buf_12 -*I *29960:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28181:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30902:A 0.000576486 -2 *29960:A 0.0017428 -3 *28181:Q 0 -4 *5464:24 0.00157721 -5 *5464:21 0.00125786 -6 *5464:4 0.00199994 -7 *29960:A *24980:B2 0.000301438 -8 *29960:A *5753:138 8.05417e-05 -9 *29960:A *5981:19 5.58927e-05 -10 *29960:A *5981:26 0.000468401 -11 *5464:21 *5981:19 0 -12 *5464:24 *5625:8 0 -13 *5464:24 *5836:8 0.000708514 -14 *5464:24 *5970:8 0.00351646 -15 mgmt_gpio_out[3] *30902:A 5.33005e-05 -16 *28181:CLK *29960:A 1.92789e-05 -17 *4069:187 *5464:24 0.000532874 -*RES -1 *28181:Q *5464:4 9.3 -2 *5464:4 *29960:A 34.6393 -3 *5464:4 *5464:21 7.5 -4 *5464:21 *5464:24 47.7321 -5 *5464:24 *30902:A 17.6393 -*END - -*D_NET *5465 0.00972057 -*CONN -*I *30903:A I *D sky130_fd_sc_hd__buf_12 -*I *29944:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28182:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30903:A 0 -2 *29944:A 0.00121692 -3 *28182:Q 8.53691e-05 -4 *5465:37 0.00108924 -5 *5465:10 0.00239153 -6 *29944:A *25704:A0 8.57075e-05 -7 *5465:10 *5687:225 1.92905e-05 -8 *5465:37 *25704:A0 0.000112969 -9 *5465:37 *5659:32 0.000290175 -10 *5465:37 *5926:117 0.0010858 -11 *25704:A1 *5465:37 7.83659e-05 -12 *27017:A1 *5465:37 0.000373281 -13 *28584:CLK *29944:A 4.58194e-05 -14 *28584:D *29944:A 0.000388957 -15 *28584:D *5465:37 0.000137983 -16 *1326:68 *5465:10 6.51946e-05 -17 *1347:31 *5465:10 0.00012401 -18 *1864:170 *5465:37 0.00212995 -*RES -1 *28182:Q *5465:10 20.4786 -2 *5465:10 *29944:A 25.3357 -3 *5465:10 *5465:37 43.625 -4 *5465:37 *30903:A 9.3 -*END - -*D_NET *5466 0.00412177 -*CONN -*I *30904:A I *D sky130_fd_sc_hd__buf_12 -*I *29929:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28183:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30904:A 0.000214595 -2 *29929:A 0.000439999 -3 *28183:Q 0.00055652 -4 *5466:5 0.00121111 -5 *30904:A *5468:31 0.000728665 -6 *3574:43 *29929:A 0.000426985 -7 *4124:116 *29929:A 0.000153193 -8 *5461:20 *30904:A 0.000390699 -*RES -1 *28183:Q *5466:5 15.175 -2 *5466:5 *29929:A 16.8536 -3 *5466:5 *30904:A 27.5321 -*END - -*D_NET *5467 0.0815932 -*CONN -*I *30905:A I *D sky130_fd_sc_hd__buf_12 -*I *25553:X O *D sky130_fd_sc_hd__mux2_4 -*CAP -1 *30905:A 0.000132591 -2 *25553:X 0.000878982 -3 *5467:18 0.00646324 -4 *5467:14 0.0246583 -5 *5467:8 0.0192066 -6 *5467:8 *25553:S 3.34295e-05 -7 *5467:8 *25582:B 0 -8 *5467:8 *28033:B 5.68977e-06 -9 *5467:8 *28034:A 4.15161e-05 -10 *5467:8 *5728:101 5.41797e-06 -11 *5467:8 *5739:38 0.000181885 -12 *5467:8 *5739:50 1.90936e-05 -13 *5467:8 *6114:39 2.07776e-05 -14 *5467:14 *25643:A0 7.48751e-05 -15 *5467:14 *5598:49 0 -16 *5467:14 *5643:39 0 -17 *5467:14 *5666:124 2.64034e-05 -18 *5467:14 *5687:114 4.37155e-05 -19 *5467:14 *5694:80 0 -20 *5467:14 *5720:237 0.00103262 -21 *5467:14 *5728:72 3.14569e-05 -22 *5467:14 *5742:43 0.00256342 -23 *5467:14 *5742:51 0.000155181 -24 *5467:14 *5744:30 0.00123353 -25 *5467:14 *5754:102 4.02778e-05 -26 *5467:18 *27811:B1 0.00286537 -27 *5467:18 *5468:31 0 -28 *5467:18 *5628:66 3.50637e-05 -29 *5467:18 *5639:45 2.83526e-05 -30 *5467:18 *5660:52 0.000256189 -31 *5467:18 *5755:192 0 -32 wb_ack_o *5467:8 1.70474e-05 -33 wb_ack_o *5467:14 0 -34 *25020:B2 *5467:18 8.66228e-05 -35 *25020:C1 *5467:18 0.000177227 -36 *25307:C1 *5467:14 6.7281e-05 -37 *25422:B *5467:8 0.000336534 -38 *25424:B *5467:8 0.000170654 -39 *25433:D *5467:8 4.58835e-05 -40 *25473:A1 *5467:8 0.000175526 -41 *25478:A *5467:8 0.000374754 -42 *25493:A1 *5467:8 0 -43 *27523:B2 *5467:14 0.000413844 -44 *27524:A2 *5467:14 0 -45 *27629:A2 *5467:14 5.00194e-05 -46 *27810:A1 *5467:18 3.66878e-05 -47 *27810:B1 *5467:18 3.79901e-06 -48 *27811:A2 *5467:18 0.000811284 -49 *27880:B2 *5467:14 0 -50 *27905:C1 *5467:14 0.000482902 -51 *28079:RESET_B *5467:8 3.44781e-05 -52 *28932:A *5467:18 1.90936e-05 -53 *29930:A *5467:18 0.000354081 -54 *30754:A *5467:8 0.000112587 -55 *361:20 *5467:14 1.35019e-05 -56 *407:10 *5467:8 0 -57 *430:9 *5467:8 0.000349877 -58 *1242:206 *5467:14 0.00169067 -59 *1246:90 *5467:18 1.52888e-05 -60 *1251:29 *5467:14 0 -61 *1252:110 *5467:14 9.4907e-06 -62 *1273:96 *5467:14 0.000476325 -63 *1282:119 *5467:14 9.82958e-06 -64 *1287:51 *5467:14 7.25697e-05 -65 *1290:186 *5467:18 0.000845888 -66 *1290:201 *5467:18 1.24349e-05 -67 *1294:193 *5467:18 0.000182405 -68 *1304:39 *5467:18 0.000211274 -69 *1326:72 *5467:18 0.000387198 -70 *1328:175 *5467:18 9.93664e-05 -71 *1368:23 *5467:18 0.000243284 -72 *1419:43 *5467:14 0.000127359 -73 *1476:157 *5467:14 0 -74 *1484:23 *5467:14 0 -75 *1585:19 *5467:14 2.97009e-05 -76 *1659:31 *5467:14 0 -77 *1770:42 *5467:8 2.14378e-05 -78 *1810:19 *5467:14 2.61244e-05 -79 *1887:22 *5467:14 0.000216478 -80 *1887:31 *5467:14 0 -81 *2768:141 *5467:18 0.00012618 -82 *2845:188 *5467:18 2.2308e-05 -83 *2845:392 *5467:18 0.000230979 -84 *2852:28 *5467:14 0 -85 *2852:199 *5467:14 0.000213111 -86 *2853:90 *5467:14 2.97067e-05 -87 *2853:164 *5467:14 0.00139456 -88 *2875:196 *5467:14 0.000821525 -89 *2880:211 *5467:14 0 -90 *2891:104 *5467:14 2.35126e-05 -91 *2894:87 *5467:14 7.22377e-05 -92 *2895:256 *5467:18 0.00130611 -93 *2978:36 *5467:14 0.00157811 -94 *3018:22 *5467:18 1.13469e-05 -95 *3040:31 *5467:18 0.000223132 -96 *3089:13 *5467:14 5.1204e-06 -97 *3153:80 *5467:14 5.14011e-05 -98 *3153:140 *5467:14 0.000728467 -99 *3170:172 *5467:18 0.000751868 -100 *3176:24 *5467:18 0.000101307 -101 *3193:59 *5467:18 4.8817e-05 -102 *3215:104 *5467:14 0.000761081 -103 *3216:141 *5467:14 2.52313e-05 -104 *3221:15 *5467:14 5.96243e-05 -105 *3503:27 *5467:14 4.98422e-05 -106 *3561:88 *5467:14 5.00194e-05 -107 *3574:53 *5467:18 0.00244862 -108 *3666:35 *5467:14 0.000682734 -109 *3666:119 *5467:18 2.33614e-05 -110 *3736:62 *5467:14 4.15781e-05 -111 *3752:54 *5467:14 2.42377e-05 -112 *3762:61 *5467:14 4.8817e-05 -113 *3779:59 *5467:14 2.18027e-05 -114 *3783:121 *5467:14 0.000257947 -115 *3830:35 *5467:14 2.68532e-05 -116 *3830:70 *5467:14 0 -117 *3960:86 *5467:14 0.000348881 -118 *3995:41 *5467:18 9.32314e-05 -119 *4152:50 *5467:14 0 -120 *4163:76 *5467:14 4.47201e-05 -*RES -1 *25553:X *5467:8 39.9511 -2 *5467:8 *5467:14 49.2751 -3 *5467:14 *5467:18 49.0033 -4 *5467:18 *30905:A 15.5679 -*END - -*D_NET *5468 0.0278722 -*CONN -*I *30906:A I *D sky130_fd_sc_hd__buf_12 -*I *30561:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28185:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *30906:A 0 -2 *30561:A 0.000710214 -3 *28185:Q 0.000699911 -4 *5468:31 0.00201238 -5 *5468:29 0.00319055 -6 *5468:25 0.00198209 -7 *5468:9 0.00221404 -8 *30561:A *25752:A0 7.3237e-05 -9 *30561:A *5608:62 0.000374469 -10 *30561:A *5667:277 0.000266479 -11 *30561:A *5675:123 4.29366e-05 -12 *30561:A *5675:132 4.58194e-05 -13 *30561:A *5694:217 0.000248486 -14 *5468:25 *28214:D 0.000160741 -15 *5468:25 *5675:119 0.000101863 -16 *5468:25 *5675:123 2.1527e-05 -17 *5468:25 *5694:217 0.000330349 -18 *5468:25 *5926:120 0 -19 *5468:25 *5926:122 0.00108438 -20 *5468:29 *28656:RESET_B 8.68665e-05 -21 *5468:29 *5926:120 0.000376007 -22 *5468:31 *28183:D 0.000292701 -23 *5468:31 *28217:D 0.000313985 -24 *5468:31 *5675:79 0.000958184 -25 *25020:C1 *5468:31 0.00114908 -26 *25710:A0 *30561:A 2.24079e-05 -27 *28180:D *5468:25 9.10431e-06 -28 *28181:CLK *5468:25 0.000773015 -29 *28185:D *5468:9 0.000219111 -30 *28219:D *30561:A 0.000126439 -31 *28560:D *5468:31 0.00015234 -32 *28584:D *5468:29 0.000693926 -33 *29598:A *5468:25 9.74684e-05 -34 *29696:A *5468:31 0.00025768 -35 *29715:A *5468:29 0.000125731 -36 *30150:A *5468:29 0.000318703 -37 *30320:A *5468:9 0.000175892 -38 *30405:A *5468:31 0.000241665 -39 *30904:A *5468:31 0.000728665 -40 *1326:68 *5468:29 6.57569e-05 -41 *1326:72 *5468:29 9.75741e-06 -42 *1326:72 *5468:31 0.00130524 -43 *1347:31 *5468:29 0 -44 *2768:141 *5468:31 0.00148759 -45 *2776:7 *30561:A 9.71197e-05 -46 *3633:111 *5468:25 2.21972e-05 -47 *3633:113 *5468:25 0.00038501 -48 *3695:8 *5468:31 0.00147686 -49 *3695:26 *5468:31 8.21706e-05 -50 *3734:8 *5468:29 0.00020112 -51 *3734:48 *5468:29 0.000768859 -52 *4334:15 *5468:29 0.000236686 -53 *5461:18 *5468:29 0.000766254 -54 *5461:18 *5468:31 9.75741e-06 -55 *5461:20 *5468:31 0.000279347 -56 *5467:18 *5468:31 0 -*RES -1 *28185:Q *5468:9 22.5679 -2 *5468:9 *30561:A 29.4071 -3 *5468:9 *5468:25 25.9732 -4 *5468:25 *5468:29 38.3036 -5 *5468:29 *5468:31 76.1696 -6 *5468:31 *30906:A 13.8 -*END - -*D_NET *5469 0.0012982 -*CONN -*I *30907:A I *D sky130_fd_sc_hd__buf_12 -*I *25552:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30907:A 0.000269096 -2 *25552:X 0.000269096 -3 *30907:A *28568:RESET_B 0.000100831 -4 *2769:98 *30907:A 9.40388e-05 -5 *4134:110 *30907:A 0.00022266 -6 *5461:20 *30907:A 0.000342481 -*RES -1 *25552:X *30907:A 34.1536 -*END - -*D_NET *5470 0.00550873 -*CONN -*I *25063:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *30678:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25063:B2 0 -2 *30678:X 0.000764294 -3 *5470:10 0.000764294 -4 *5470:10 *25522:A 6.09889e-05 -5 *5470:10 *27217:A 2.89114e-05 -6 *5470:10 *27224:A 2.24079e-05 -7 *5470:10 *5534:49 0.00103617 -8 *24974:A2 *5470:10 7.43854e-05 -9 *25049:B1 *5470:10 0.000130623 -10 *25063:A2 *5470:10 0.000166169 -11 *28665:D *5470:10 0.000347174 -12 *30624:A *5470:10 0.00101355 -13 *30678:A *5470:10 0.000268409 -14 *19:25 *5470:10 0.000759806 -15 *2799:18 *5470:10 5.33005e-05 -16 *2805:40 *5470:10 0 -17 *2810:15 *5470:10 1.82549e-05 -*RES -1 *30678:X *5470:10 43.2643 -2 *5470:10 *25063:B2 9.3 -*END - -*D_NET *5471 0.000947149 -*CONN -*I *30908:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *25551:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30908:A 0.000205267 -2 *25551:X 0.000205267 -3 *30908:A *28481:RESET_B 0.000137983 -4 *30908:A *5659:24 0.000154762 -5 mgmt_gpio_out[9] *30908:A 0.000217969 -6 *2768:175 *30908:A 2.58997e-05 -*RES -1 *25551:X *30908:A 32.9571 -*END - -*D_NET *5472 0.0143768 -*CONN -*I *30909:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *25569:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *30909:A 0 -2 *25569:X 0.000595248 -3 *5472:8 0.00332791 -4 *5472:7 0.00392316 -5 *5472:7 *5727:92 0.00015732 -6 *5472:7 *6036:13 0.000144389 -7 *5472:8 *5541:8 0.00372432 -8 *30672:A *5472:8 0 -9 *30683:A *5472:8 0 -10 *30734:A *5472:8 0.000139739 -11 *30739:A *5472:8 0 -12 *30740:A *5472:8 8.74324e-05 -13 *30741:A *5472:8 8.74324e-05 -14 *30753:A *5472:8 8.74324e-05 -15 *30831:A *5472:8 0.000224322 -16 *30832:A *5472:8 0.000516759 -17 *3571:22 *5472:8 0.00136131 -*RES -1 *25569:X *5472:7 22.9607 -2 *5472:7 *5472:8 96.6607 -3 *5472:8 *30909:A 13.8 -*END - -*D_NET *5473 0.000892855 -*CONN -*I *30910:A I *D sky130_fd_sc_hd__buf_12 -*I *25570:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *30910:A 0.000189204 -2 *25570:Y 0.000189204 -3 *30910:A *5493:68 0 -4 pad_flash_clk_oeb *30910:A 0 -5 *754:11 *30910:A 4.4873e-05 -6 *4255:9 *30910:A 0.000274245 -7 *4256:11 *30910:A 0.000195331 -*RES -1 *25570:Y *30910:A 33.0821 -*END - -*D_NET *5474 0.0169961 -*CONN -*I *30911:A I *D sky130_fd_sc_hd__buf_12 -*I *25567:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *30911:A 8.97349e-05 -2 *25567:X 0.000125497 -3 *5474:14 0.00252835 -4 *5474:10 0.00564208 -5 *5474:9 0.00332896 -6 *30911:A *5493:68 0.000235375 -7 *5474:9 *5727:45 5.30455e-05 -8 *5474:9 *6125:21 1.24368e-05 -9 *5474:9 *6125:27 0.000351162 -10 *5474:10 *6125:21 0.000266099 -11 *5474:10 *6147:31 0.00137942 -12 *5474:14 *29137:A 0 -13 *5474:14 *29244:A 0.000282623 -14 *5474:14 *5479:14 0.000182614 -15 *5474:14 *5979:21 2.58103e-05 -16 *5474:14 *6147:31 0.000679005 -17 *25570:A *30911:A 0.000443187 -18 *28083:RESET_B *5474:10 0.000361831 -19 *28096:RESET_B *5474:10 2.00751e-05 -20 *29299:A *5474:14 8.53783e-05 -21 *30066:A *5474:14 0.000151985 -22 *30140:A *5474:14 0.00012501 -23 *756:16 *5474:14 2.10835e-05 -24 *1323:24 *5474:10 0 -25 *4192:79 *5474:9 7.32272e-05 -26 *4909:14 *5474:14 0 -27 *4910:17 *5474:14 0 -28 *5069:27 *5474:14 2.60633e-05 -29 *5073:10 *5474:14 0.000506016 -30 *5351:19 *5474:14 0 -*RES -1 *25567:X *5474:9 17.6393 -2 *5474:9 *5474:10 59.8839 -3 *5474:10 *5474:14 45.7411 -4 *5474:14 *30911:A 18.0321 -*END - -*D_NET *5475 0.000444434 -*CONN -*I *30912:A I *D sky130_fd_sc_hd__buf_12 -*I *25568:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *30912:A 0.000112301 -2 *25568:Y 0.000112301 -3 *30912:A *25570:B 7.05143e-06 -4 *30912:A *29228:A 0.000105863 -5 *30912:A *5733:13 5.52302e-05 -6 *160:14 *30912:A 5.16867e-05 -*RES -1 *25568:Y *30912:A 29.7786 -*END - -*D_NET *5476 0.0116478 -*CONN -*I *30913:A I *D sky130_fd_sc_hd__buf_12 -*I *25575:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *30913:A 0 -2 *25575:X 0.00010266 -3 *5476:8 0.00381739 -4 *5476:7 0.00392005 -5 *5476:7 *25575:A0 4.05411e-05 -6 *5476:8 *5477:15 0.000411169 -7 *5476:8 *6147:31 0.000262952 -8 *5476:8 *6169:159 0 -9 pad_flash_io0_do *5476:8 0 -10 *29208:A *5476:8 0 -11 *30031:A *5476:8 2.21972e-05 -12 *160:14 *5476:8 0 -13 *244:19 *5476:8 7.69776e-06 -14 *760:20 *5476:8 1.61405e-05 -15 *1323:24 *5476:8 0 -16 *4184:14 *5476:8 0.00280134 -17 *4298:8 *5476:8 0 -18 *4307:14 *5476:8 9.14152e-05 -19 *4945:15 *5476:8 2.06112e-05 -20 *5043:11 *5476:8 0.000113338 -21 *5050:25 *5476:8 2.02794e-05 -*RES -1 *25575:X *5476:7 15.1571 -2 *5476:7 *5476:8 84.5179 -3 *5476:8 *30913:A 13.8 -*END - -*D_NET *5477 0.00492656 -*CONN -*I *30914:A I *D sky130_fd_sc_hd__buf_12 -*I *25572:A I *D sky130_fd_sc_hd__inv_2 -*I *25571:Y O *D sky130_fd_sc_hd__nand2b_1 -*CAP -1 *30914:A 0 -2 *25572:A 0.000321594 -3 *25571:Y 0.000969298 -4 *5477:15 0.00129089 -5 *25572:A *5493:68 0.000354725 -6 pad_flash_io0_ieb *25572:A 0 -7 *25354:A *5477:15 2.09826e-05 -8 *25570:A *25572:A 0.000227526 -9 *29257:A *5477:15 0.000184626 -10 *30055:A *25572:A 0.000216937 -11 *30055:A *5477:15 0 -12 *30140:A *5477:15 4.87854e-05 -13 *755:42 *25572:A 8.6229e-06 -14 *4184:14 *5477:15 2.13965e-05 -15 *4184:38 *5477:15 9.60875e-05 -16 *4255:9 *5477:15 0.000117543 -17 *4256:11 *5477:15 0.000222666 -18 *4298:8 *5477:15 0.000202206 -19 *4307:14 *5477:15 0.000207282 -20 *4383:16 *5477:15 0 -21 *5050:25 *5477:15 4.22135e-06 -22 *5069:27 *5477:15 0 -23 *5476:8 *5477:15 0.000411169 -*RES -1 *25571:Y *5477:15 37.1929 -2 *5477:15 *25572:A 26.6214 -3 *5477:15 *30914:A 9.3 -*END - -*D_NET *5478 0.00131091 -*CONN -*I *30915:A I *D sky130_fd_sc_hd__buf_12 -*I *25572:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *30915:A 0.000399705 -2 *25572:Y 0.000399705 -3 *30915:A *5498:34 0.00048227 -4 *30732:A *30915:A 0 -5 *755:42 *30915:A 2.92352e-05 -*RES -1 *25572:Y *30915:A 34.4036 -*END - -*D_NET *5479 0.00924311 -*CONN -*I *30916:A I *D sky130_fd_sc_hd__buf_12 -*I *28837:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *30916:A 0.000825537 -2 *28837:X 0.00110944 -3 *5479:14 0.00193498 -4 *30916:A *29303:A 0.00121717 -5 *30916:A *5498:34 0 -6 *5479:14 *29137:A 9.71943e-05 -7 *5479:14 *29270:A 0.000241839 -8 *5479:14 *6158:35 2.38501e-05 -9 *5479:14 *6158:41 0.000118439 -10 pad_flash_clk *5479:14 0.000139277 -11 pad_flash_io0_ieb *30916:A 4.6025e-06 -12 pad_flash_io0_oeb *30916:A 8.09762e-05 -13 *25570:A *30916:A 7.55308e-06 -14 *755:42 *30916:A 0.000408303 -15 *4183:28 *30916:A 0 -16 *4240:33 *5479:14 2.07158e-05 -17 *4255:9 *30916:A 0.000328179 -18 *4256:11 *30916:A 0.00020016 -19 *5028:9 *30916:A 0.00115604 -20 *5042:5 *5479:14 0.000999962 -21 *5235:14 *30916:A 0.000146266 -22 *5474:14 *5479:14 0.000182614 -*RES -1 *28837:X *5479:14 38.9429 -2 *5479:14 *30916:A 41.9429 -*END - -*D_NET *5480 0.00136877 -*CONN -*I *30917:A I *D sky130_fd_sc_hd__buf_12 -*I *25574:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *30917:A 0.000418286 -2 *25574:Y 0.000418286 -3 *30917:A *29464:A 0.000443828 -4 *30917:A *5495:23 1.53943e-05 -5 *752:8 *30917:A 7.29712e-05 -*RES -1 *25574:Y *30917:A 33.7786 -*END - -*D_NET *5481 0.026007 -*CONN -*I *25265:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30679:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 -*CAP -1 *25265:A1 0.00526686 -2 *30679:X 0.00137446 -3 *5481:6 0.00664132 -4 *25265:A1 *5583:71 0.000126311 -5 *25265:A1 *5609:89 0.000173688 -6 *25265:A1 *5691:139 0.000357099 -7 *5481:6 *25216:B2 0.000135789 -8 *5481:6 *5687:153 0.00029193 -9 *5481:6 *5707:177 0.00148314 -10 *5481:6 *5944:17 0.000192505 -11 *25265:A2 *25265:A1 0.000209624 -12 *25411:A1 *25265:A1 5.58533e-05 -13 *27056:S *5481:6 5.64168e-05 -14 *28807:D *5481:6 0.000347651 -15 *30833:A *25265:A1 0.00286764 -16 *1254:14 *5481:6 8.92067e-05 -17 *1254:40 *5481:6 2.15258e-05 -18 *1290:53 *5481:6 0 -19 *1536:13 *5481:6 0.000862394 -20 *2777:11 *5481:6 0.000191403 -21 *2778:55 *5481:6 0.000274299 -22 *2780:61 *25265:A1 0.00257073 -23 *2875:63 *25265:A1 0.000167762 -24 *3153:17 *25265:A1 6.17679e-05 -25 *3165:216 *25265:A1 0.000700773 -26 *3170:21 *25265:A1 4.10843e-05 -27 *3170:41 *25265:A1 0.00013833 -28 *3872:9 *5481:6 2.26973e-05 -29 *4952:14 *25265:A1 0.00128472 -*RES -1 *30679:X *5481:6 49.8982 -2 *5481:6 *25265:A1 47.8027 -*END - -*D_NET *5482 0.00466035 -*CONN -*I *30918:A I *D sky130_fd_sc_hd__buf_12 -*I *25574:A I *D sky130_fd_sc_hd__inv_2 -*I *25573:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *30918:A 0.00022174 -2 *25574:A 0 -3 *25573:X 0.00110887 -4 *5482:18 0.00133061 -5 *30918:A *29464:A 1.38323e-05 -6 *30918:A *5485:52 9.90431e-05 -7 *30918:A *5778:219 9.90431e-05 -8 *5482:18 *6436:DIODE 0.000172309 -9 *5482:18 *6169:181 0.000268396 -10 *5482:18 *6169:189 0.000141316 -11 pad_flash_io1_ieb *5482:18 0.00010554 -12 *26814:S *30918:A 5.33005e-05 -13 *26816:S *5482:18 0.000218036 -14 *244:20 *5482:18 0.000172219 -15 *467:18 *30918:A 0.000181796 -16 *755:18 *30918:A 9.90431e-05 -17 *2744:14 *30918:A 3.67624e-05 -18 *2744:14 *5482:18 2.09413e-05 -19 *5075:31 *5482:18 0.00031756 -*RES -1 *25573:X *5482:18 36.1393 -2 *5482:18 *25574:A 9.3 -3 *5482:18 *30918:A 23.2643 -*END - -*D_NET *5483 0.0684695 -*CONN -*I *25209:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30139:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30919:A I *D sky130_fd_sc_hd__buf_12 -*I *28425:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25209:A1 2.6143e-05 -2 *30139:A 0.00140843 -3 *30919:A 2.75184e-05 -4 *28425:Q 0 -5 *5483:151 0.00171644 -6 *5483:150 0.00174925 -7 *5483:145 0.00154147 -8 *5483:135 0.00318345 -9 *5483:130 0.0026076 -10 *5483:120 0.00399767 -11 *5483:96 0.00439584 -12 *5483:44 0.00348591 -13 *5483:21 0.00351866 -14 *5483:18 0.00229716 -15 *5483:4 0.00218834 -16 *30139:A *5489:19 0.00026819 -17 *30139:A *6014:19 0.000128034 -18 *30139:A *6313:45 0.000564449 -19 *30919:A *5490:39 7.89362e-05 -20 *30919:A *5698:114 7.74068e-05 -21 *5483:18 *25299:A1 7.45527e-05 -22 *5483:18 *25922:A0 0.000201832 -23 *5483:18 *28368:RESET_B 1.02504e-05 -24 *5483:18 *5484:10 0.000128302 -25 *5483:18 *5485:32 1.90936e-05 -26 *5483:18 *5510:34 0.000138319 -27 *5483:18 *5738:31 4.77254e-05 -28 *5483:18 *5738:37 2.10658e-05 -29 *5483:21 *25359:B1 0.000176141 -30 *5483:44 *29571:A 0.000197365 -31 *5483:44 *5484:44 8.25843e-06 -32 *5483:44 *5490:39 8.60486e-05 -33 *5483:44 *5491:86 1.02821e-05 -34 *5483:44 *5491:97 0.000161898 -35 *5483:44 *5698:114 9.46146e-05 -36 *5483:44 *5778:11 0.000360894 -37 *5483:96 *25922:A0 6.12434e-05 -38 *5483:96 *28115:SET_B 0.000513132 -39 *5483:96 *5519:7 0.0004394 -40 *5483:96 *5519:49 0.000104089 -41 *5483:96 *5734:39 3.17576e-05 -42 *5483:96 *5734:125 5.33005e-05 -43 *5483:120 *25612:A1 4.32818e-05 -44 *5483:120 *30934:A 9.42797e-05 -45 *5483:120 *5509:5 2.0887e-05 -46 *5483:120 *5509:10 9.60875e-05 -47 *5483:120 *5518:62 0.000371969 -48 *5483:120 *5519:49 2.90691e-05 -49 *5483:120 *5521:22 0 -50 *5483:120 *5522:8 0.000177815 -51 *5483:120 *5680:308 0.000585238 -52 *5483:120 *5680:320 0.000630685 -53 *5483:120 *5691:201 0.00069379 -54 *5483:120 *5737:207 2.70249e-05 -55 *5483:120 *6385:44 0.000508526 -56 *5483:130 *25613:A0 0.000114587 -57 *5483:130 *28113:SET_B 0.000166636 -58 *5483:130 *5511:23 0.00048087 -59 *5483:130 *5680:308 0.000252238 -60 *5483:135 *30773:A 0.00015304 -61 *5483:135 *5618:61 0.000590707 -62 *5483:135 *5618:85 6.5185e-05 -63 *5483:135 *5869:32 0 -64 *5483:145 *5629:20 0.000224509 -65 *5483:145 *5691:109 0.000190622 -66 *5483:150 *5657:38 0.000221206 -67 *5483:151 *5691:108 0.000648967 -68 *5483:151 *5873:175 0.000198904 -69 *25097:A1 *5483:151 4.11173e-05 -70 *25099:B1 *5483:145 0.000104974 -71 *25209:B1 *25209:A1 5.92721e-05 -72 *25260:B2 *5483:135 0.000366844 -73 *25264:A2 *5483:151 0.000347593 -74 *25265:C1 *5483:151 2.22043e-05 -75 *25266:B *5483:151 2.07441e-05 -76 *25299:B1 *5483:18 7.17565e-05 -77 *25387:B1 *5483:135 0.000200159 -78 *25388:B1 *5483:135 0.000142039 -79 *25389:C1 *5483:145 0.000335732 -80 *25411:B2 *5483:151 0.000186186 -81 *25411:C1 *5483:151 1.85502e-05 -82 *25596:A0 *5483:130 2.59355e-05 -83 *25614:S *5483:130 0.000353086 -84 *25620:A0 *5483:96 0.000402405 -85 *25624:A0 *5483:96 0.000777016 -86 *25624:A0 *5483:120 9.71197e-05 -87 *25893:S *5483:145 0.000337929 -88 *26824:A *5483:135 0.00108067 -89 *26834:A *5483:44 0.000913378 -90 *26835:S *5483:44 0.000127258 -91 *27619:A2 *5483:145 0.000718982 -92 *27635:B2 *5483:151 0.000684264 -93 *28110:CLK *5483:120 0.0001863 -94 *28110:D *5483:120 2.57487e-05 -95 *28117:CLK *5483:96 3.47641e-06 -96 *28117:D *5483:96 0.000282763 -97 *28343:D *5483:145 0.00011294 -98 *28344:D *5483:145 9.65182e-05 -99 *28435:CLK *30139:A 6.05161e-06 -100 *29172:A *30139:A 5.74499e-06 -101 *29767:A *5483:135 0.00073567 -102 *30075:A *30139:A 9.31523e-05 -103 *30555:A *5483:135 0.000128154 -104 *753:13 *5483:44 0.000428669 -105 *1218:38 *5483:151 6.12097e-05 -106 *1278:18 *5483:135 0.000130662 -107 *1418:15 *5483:145 2.18348e-05 -108 *1418:15 *5483:151 4.97821e-05 -109 *1498:13 *5483:96 0.000342851 -110 *1498:34 *5483:96 0.00020854 -111 *1498:34 *5483:120 9.7496e-05 -112 *1593:12 *5483:145 9.58181e-05 -113 *1616:34 *5483:18 4.1611e-05 -114 *1616:46 *5483:44 0.00120613 -115 *1653:17 *5483:135 0.000145467 -116 *1653:35 *5483:135 0.000828361 -117 *1653:35 *5483:145 0.0018517 -118 *1670:20 *5483:151 2.06178e-05 -119 *1671:37 *5483:18 0.00030892 -120 *1671:52 *5483:21 0.00178867 -121 *1700:44 *5483:151 0.00179754 -122 *1704:26 *5483:135 5.7836e-06 -123 *1704:26 *5483:145 0.000260371 -124 *1815:49 *5483:120 9.15842e-06 -125 *1890:47 *5483:145 1.1942e-05 -126 *2745:13 *5483:44 0.000234901 -127 *2745:75 *5483:44 2.14658e-05 -128 *2778:66 *5483:135 0.000606375 -129 *2848:44 *5483:151 0.000116395 -130 *2859:34 *5483:150 0.000219289 -131 *3104:13 *5483:120 1.95625e-05 -132 *3212:44 *5483:145 1.33846e-05 -133 *3412:41 *5483:130 6.05161e-06 -134 *3547:25 *5483:151 6.52967e-05 -135 *3699:58 *5483:151 0.000144391 -136 *3791:36 *5483:44 2.01401e-05 -137 *3816:43 *5483:145 4.63297e-05 -138 *3816:51 *5483:145 4.22135e-06 -139 *3816:51 *5483:151 6.82091e-06 -140 *3816:55 *5483:151 0.000288251 -141 *3834:33 *5483:151 0.00100067 -142 *3841:67 *5483:151 0.000341954 -143 *3844:20 *5483:135 5.2177e-05 -144 *3875:35 *5483:130 0.000145802 -145 *3972:38 *5483:145 0 -146 *4263:9 *5483:120 1.58163e-05 -147 *4310:10 *5483:120 9.10327e-05 -148 *4317:16 *30139:A 0.000853149 -149 *4317:16 *5483:44 2.18813e-05 -150 *4382:17 *30139:A 0.000744029 -151 *4873:22 *5483:135 7.92169e-05 -152 *4944:18 *30139:A 1.12713e-05 -153 *4945:15 *5483:44 7.02611e-05 -154 *4952:14 *5483:135 0.000298366 -155 *5011:20 *5483:96 4.46572e-05 -156 *5014:24 *5483:44 0.000447428 -157 *5050:25 *5483:44 0.000469973 -158 *5069:27 *30139:A 6.57255e-05 -159 *5069:46 *30139:A 6.40766e-05 -160 *5351:19 *30139:A 0.000227715 -*RES -1 *28425:Q *5483:4 9.3 -2 *5483:4 *5483:18 35.7857 -3 *5483:18 *5483:21 23.9286 -4 *5483:21 *30919:A 14.8357 -5 *5483:21 *5483:44 47.5973 -6 *5483:44 *30139:A 45.1654 -7 *5483:4 *5483:96 47.8929 -8 *5483:96 *5483:120 44.7937 -9 *5483:120 *5483:130 30.1071 -10 *5483:130 *5483:135 47.8393 -11 *5483:135 *5483:145 46.9643 -12 *5483:145 *5483:150 11.1786 -13 *5483:150 *5483:151 48.0893 -14 *5483:151 *25209:A1 14.5321 -*END - -*D_NET *5484 0.0338161 -*CONN -*I *25121:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30094:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30920:A I *D sky130_fd_sc_hd__buf_12 -*I *28426:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *25121:A1 0.00108617 -2 *30094:A 0.000364937 -3 *30920:A 0.000392534 -4 *28426:Q 0.000793073 -5 *5484:64 0.00269539 -6 *5484:44 0.00298751 -7 *5484:15 0.00317713 -8 *5484:10 0.00256431 -9 *25121:A1 *25930:B 6.57032e-05 -10 *30094:A *5488:34 0.000639125 -11 *30094:A *5532:15 8.47076e-05 -12 *30094:A *5733:48 7.62954e-05 -13 *30920:A *5485:32 5.50052e-05 -14 *30920:A *5487:63 5.66971e-05 -15 *30920:A *5489:41 8.6229e-06 -16 *30920:A *5698:156 0.000235266 -17 *30920:A *5926:235 0 -18 *5484:10 *25299:A1 0 -19 *5484:10 *5485:32 0 -20 *5484:15 *25399:A1 0.000315165 -21 *5484:44 *25354:C 5.52238e-05 -22 *5484:44 *29533:A 0.000433686 -23 *5484:44 *29571:A 5.42698e-05 -24 *5484:44 *5488:34 7.21957e-05 -25 *5484:44 *5489:19 0.000192438 -26 *5484:44 *5489:41 1.04707e-05 -27 *5484:44 *5491:97 0.000483673 -28 *5484:44 *5710:112 0.000641435 -29 *5484:44 *5778:11 0.000176783 -30 *5484:44 *5778:16 6.82827e-05 -31 *5484:44 *6313:17 9.87672e-05 -32 *5484:64 *28368:RESET_B 0 -33 *5484:64 *5624:94 0.000178535 -34 *5484:64 *5705:139 0.000370489 -35 pll90_sel[0] *5484:10 0.000658727 -36 pll_sel[0] *30920:A 0.000120662 -37 *25121:B2 *25121:A1 0.000140933 -38 *25399:A2 *5484:64 2.16719e-05 -39 *25921:S *30920:A 1.89507e-06 -40 *25923:S *5484:64 0.00033968 -41 *26812:A1 *30094:A 0.000637202 -42 *26815:A1 *5484:44 2.89114e-05 -43 *26834:A *5484:44 7.34831e-05 -44 *26835:S *5484:44 0.00022681 -45 *28365:D *5484:64 2.11419e-05 -46 *28368:CLK *5484:15 7.02611e-05 -47 *28368:CLK *5484:64 0 -48 *28368:D *5484:15 7.48301e-06 -49 *28418:D *5484:44 0.000606892 -50 *29176:A *5484:64 0.000111921 -51 *29389:A *30094:A 8.6229e-06 -52 *30138:A *25121:A1 9.0145e-05 -53 *244:20 *5484:10 7.61109e-05 -54 *505:17 *5484:44 0.000454312 -55 *750:19 *5484:44 0.000438738 -56 *753:13 *5484:44 1.02821e-05 -57 *755:18 *5484:44 1.19191e-05 -58 *758:26 *5484:44 5.37332e-06 -59 *768:29 *30094:A 6.12005e-06 -60 *768:29 *5484:44 2.84026e-05 -61 *1363:6 *5484:64 0.000160421 -62 *1395:61 *25121:A1 0.00210231 -63 *1407:69 *25121:A1 0.000894996 -64 *1895:36 *5484:10 0.000158795 -65 *1895:36 *5484:64 0.000217884 -66 *1895:45 *5484:64 0.000218726 -67 *2744:51 *5484:44 2.04825e-05 -68 *2745:75 *5484:15 0.000738573 -69 *3651:57 *5484:64 0 -70 *3788:8 *5484:64 0 -71 *3791:13 *5484:44 0.000203898 -72 *3791:36 *5484:44 0.0001399 -73 *3793:38 *5484:64 0.00159127 -74 *3884:24 *5484:15 9.40156e-05 -75 *3884:53 *5484:64 2.69486e-05 -76 *4130:37 *25121:A1 0.00338486 -77 *4274:16 *5484:44 2.06112e-05 -78 *5011:12 *30920:A 0 -79 *5093:19 *5484:44 7.43907e-05 -80 *5094:20 *30094:A 0 -81 *5126:11 *5484:64 0.000141368 -82 *5235:22 *5484:10 7.41811e-05 -83 *5342:19 *5484:44 0.000784362 -84 *5483:18 *5484:10 0.000128302 -85 *5483:44 *5484:44 8.25843e-06 -*RES -1 *28426:Q *5484:10 28.8625 -2 *5484:10 *5484:15 16.1071 -3 *5484:15 *30920:A 21.1393 -4 *5484:15 *5484:44 48.4525 -5 *5484:44 *30094:A 23.3 -6 *5484:10 *5484:64 42.625 -7 *5484:64 *25121:A1 46.8 -*END - -*D_NET *5485 0.106007 -*CONN -*I *25066:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *6471:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *30921:A I *D sky130_fd_sc_hd__buf_12 -*I *29675:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *6540:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *28427:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25066:B2 0.000148069 -2 *6471:DIODE 7.03212e-05 -3 *30921:A 0 -4 *29675:A 0 -5 *6540:DIODE 3.76299e-05 -6 *28427:Q 0.000577086 -7 *5485:147 0.00142496 -8 *5485:145 0.00270235 -9 *5485:139 0.00324126 -10 *5485:138 0.00178276 -11 *5485:133 0.00195561 -12 *5485:131 0.00368774 -13 *5485:127 0.00286239 -14 *5485:121 0.00286514 -15 *5485:104 0.00377388 -16 *5485:102 0.00347836 -17 *5485:62 0.00139651 -18 *5485:52 0.00216455 -19 *5485:32 0.00228687 -20 *5485:12 0.00192515 -21 *5485:8 0.00249769 -22 *25066:B2 *5650:329 4.8365e-05 -23 *5485:8 *5734:35 0 -24 *5485:12 *5510:34 1.66741e-05 -25 *5485:12 *5738:31 7.39609e-05 -26 *5485:32 *25299:A1 1.84585e-05 -27 *5485:32 *25616:B 7.65745e-05 -28 *5485:32 *28420:RESET_B 0.000122739 -29 *5485:32 *29571:A 0.000429471 -30 *5485:32 *5487:10 0.000112067 -31 *5485:32 *5487:63 0.000275063 -32 *5485:32 *5493:14 4.36918e-05 -33 *5485:32 *5510:34 1.37948e-05 -34 *5485:32 *5519:19 0.000258737 -35 *5485:32 *5665:127 0.000113628 -36 *5485:32 *5730:84 0.00035115 -37 *5485:32 *5738:31 6.69142e-05 -38 *5485:52 *5519:25 0.000423619 -39 *5485:52 *5698:256 0.000139087 -40 *5485:52 *5778:219 0.000857604 -41 *5485:52 *6014:19 0.000134271 -42 *5485:62 *29270:A 9.41642e-05 -43 *5485:62 *5980:14 0.000821469 -44 *5485:62 *6003:13 0 -45 *5485:102 *27527:A2 2.26824e-05 -46 *5485:102 *27571:A2 0.000112777 -47 *5485:102 *27593:A2 0.000739169 -48 *5485:102 *29533:A 2.06178e-05 -49 *5485:102 *29577:A 0.000584793 -50 *5485:102 *5520:48 0 -51 *5485:102 *5680:343 0 -52 *5485:102 *5698:165 0.000128569 -53 *5485:102 *5926:221 9.07601e-05 -54 *5485:102 *5926:235 0.000396922 -55 *5485:102 *6333:7 5.33005e-05 -56 *5485:104 *25215:B2 0.000251114 -57 *5485:104 *25341:B2 0.000182881 -58 *5485:104 *28809:RESET_B 0.000113835 -59 *5485:104 *29268:A 2.28499e-05 -60 *5485:104 *30016:A 0.000183726 -61 *5485:104 *5501:9 0.000181796 -62 *5485:104 *5501:23 9.41642e-05 -63 *5485:104 *5507:23 2.89114e-05 -64 *5485:121 *25952:A1 3.33848e-05 -65 *5485:121 *28438:D 7.32173e-05 -66 *5485:121 *28438:RESET_B 3.47641e-06 -67 *5485:121 *5516:20 6.54117e-05 -68 *5485:121 *6042:20 8.23597e-06 -69 *5485:121 *6183:14 0.000685913 -70 *5485:121 *6325:12 6.55105e-05 -71 *5485:127 *5535:70 3.11255e-05 -72 *5485:127 *5751:116 0 -73 *5485:127 *6385:32 0.00270283 -74 *5485:131 *27439:A1 0.000337421 -75 *5485:131 *27439:A2 2.32154e-05 -76 *5485:131 *5751:116 0 -77 *5485:133 *27818:A2 0.000102056 -78 *5485:133 *5598:17 0.000197984 -79 *5485:139 *27818:C1 0.00105786 -80 *5485:139 *28482:SET_B 0.000148573 -81 *5485:139 *5720:252 1.04707e-05 -82 *5485:139 *5755:237 0.000320764 -83 *5485:139 *5755:243 0.000489019 -84 *5485:139 *5755:252 0.000367505 -85 *5485:139 *5755:263 0.000191685 -86 *5485:145 *28623:D 0.000328773 -87 *5485:145 *5601:37 0.000121503 -88 *5485:145 *5627:162 5.10822e-05 -89 *5485:145 *5635:101 0.00148167 -90 *5485:145 *5635:104 0.000415813 -91 *5485:145 *5649:99 0.000882189 -92 *5485:145 *5649:116 0.000333486 -93 *5485:145 *5926:90 5.41794e-05 -94 *5485:145 *5926:103 7.80167e-05 -95 *5485:147 *5601:67 0.000238769 -96 *5485:147 *5635:104 3.47289e-05 -97 *5485:147 *5650:327 1.19011e-05 -98 *5485:147 *5650:329 0.00137634 -99 *5485:147 *5658:44 0.00013457 -100 pll_trim[12] *5485:104 0.000123861 -101 pll_trim[1] *5485:8 5.66564e-05 -102 *24854:A *5485:139 0.000288755 -103 *25026:C1 *5485:145 0.000258076 -104 *25046:B1 *5485:127 0.00272763 -105 *25066:C1 *25066:B2 5.33005e-05 -106 *25215:A2 *5485:104 1.46576e-05 -107 *25341:A2 *5485:104 9.41642e-05 -108 *25341:B1 *5485:104 4.78698e-05 -109 *25359:A2 *5485:32 8.50833e-05 -110 *25600:A0 *5485:104 0.000161801 -111 *25921:S *5485:32 0.000561929 -112 *26817:A *5485:32 0.00040183 -113 *27260:A1 *5485:131 0.000362456 -114 *27315:A *5485:147 1.90936e-05 -115 *27440:A1 *5485:131 0.000494927 -116 *27447:B1 *5485:138 0.000118028 -117 *27594:A1 *5485:121 0.00344295 -118 *27618:B *5485:133 0.000236266 -119 *27618:C *5485:133 0.000209777 -120 *27620:B *5485:133 0.000166555 -121 *28113:D *5485:104 1.58163e-05 -122 *28117:D *5485:8 0 -123 *28425:D *5485:52 0.000776494 -124 *28438:CLK *5485:121 0.00050218 -125 *28640:D *5485:139 0.000125717 -126 *28779:D *5485:131 9.21554e-05 -127 *28799:CLK *5485:121 0.000108103 -128 *28809:CLK *5485:102 0.00011921 -129 *28809:D *5485:102 5.49489e-05 -130 *28809:D *5485:104 3.56613e-05 -131 *29175:A *5485:32 5.30116e-05 -132 *29271:A *6540:DIODE 0.000228508 -133 *29279:A *5485:62 7.0987e-05 -134 *29434:A *5485:62 0.000693947 -135 *29516:A *5485:131 0.000299309 -136 *29676:A *5485:62 0.00111784 -137 *29879:A *5485:121 0.000123238 -138 *29879:A *5485:127 0.000162047 -139 *30004:A *5485:104 0.000265447 -140 *30055:A *5485:62 0.00033097 -141 *30394:A *5485:139 9.74588e-05 -142 *30918:A *5485:52 9.90431e-05 -143 *30920:A *5485:32 5.50052e-05 -144 *471:9 *5485:102 0.000180764 -145 *701:15 *5485:32 4.04683e-05 -146 *757:12 *6540:DIODE 0.000185401 -147 *1176:13 *5485:131 0 -148 *1185:175 *5485:147 0.000107321 -149 *1245:72 *5485:121 5.09385e-05 -150 *1245:116 *5485:127 4.49626e-05 -151 *1254:14 *5485:121 6.35819e-05 -152 *1264:56 *5485:121 0.000886143 -153 *1264:83 *5485:121 0.000837254 -154 *1264:83 *5485:127 4.04207e-05 -155 *1294:39 *5485:104 2.12087e-05 -156 *1294:170 *5485:133 7.97198e-05 -157 *1294:243 *5485:127 7.46146e-05 -158 *1616:23 *5485:104 0.000304357 -159 *1659:10 *5485:104 0.000478756 -160 *1671:37 *5485:8 0 -161 *1671:37 *5485:102 2.88045e-05 -162 *1675:10 *5485:12 0.000561915 -163 *1675:10 *5485:102 0.000257182 -164 *1799:51 *5485:131 0.000319325 -165 *1801:20 *5485:133 0.000618157 -166 *1801:38 *5485:133 6.53397e-05 -167 *1801:79 *5485:133 9.8045e-05 -168 *1802:38 *5485:131 0.000195346 -169 *1802:38 *5485:133 3.15439e-05 -170 *1815:34 *5485:104 0.00170602 -171 *1825:45 *5485:8 0.000117549 -172 *1825:55 *5485:8 7.1073e-05 -173 *1895:19 *5485:32 0.000267136 -174 *1900:40 *5485:104 0.00034492 -175 *2758:74 *5485:139 0.00107999 -176 *2767:66 *6471:DIODE 9.71197e-05 -177 *2767:66 *25066:B2 0.000137167 -178 *2777:94 *5485:139 0.000417429 -179 *2777:94 *5485:145 4.85914e-05 -180 *2782:27 *5485:121 2.90184e-05 -181 *2786:89 *5485:145 2.46142e-05 -182 *2786:112 *5485:139 6.16276e-05 -183 *2800:16 *5485:127 2.65207e-05 -184 *2800:16 *5485:131 0.00016978 -185 *2800:18 *5485:127 9.81561e-05 -186 *2823:8 *5485:131 0.000291954 -187 *2827:77 *5485:133 0.00032611 -188 *2845:347 *5485:145 0.000266099 -189 *2880:93 *5485:147 0.0014304 -190 *2885:27 *5485:133 0.000196262 -191 *2998:8 *25066:B2 3.39964e-05 -192 *2998:8 *5485:147 0.000255146 -193 *2998:14 *5485:147 0.000388146 -194 *2998:16 *5485:145 0.000181117 -195 *2998:16 *5485:147 1.27529e-05 -196 *2998:30 *5485:139 0.000434441 -197 *2998:30 *5485:145 0.000131117 -198 *2998:32 *5485:131 0.00205424 -199 *2998:32 *5485:133 0.0012445 -200 *3145:32 *5485:102 0.000108511 -201 *3146:17 *5485:104 1.39726e-05 -202 *3153:124 *5485:133 0.000220866 -203 *3153:124 *5485:139 0.00131446 -204 *3172:20 *5485:133 0.000187904 -205 *3195:8 *5485:133 0.00045307 -206 *3204:18 *5485:133 0.00116131 -207 *3571:60 *5485:102 6.86792e-05 -208 *3571:89 *5485:102 0.000388648 -209 *3638:7 *5485:121 0.000435839 -210 *3638:11 *5485:121 0.000218679 -211 *3791:126 *5485:102 0.000200004 -212 *3791:126 *5485:104 0.000162271 -213 *3791:148 *5485:104 0.000188618 -214 *3852:9 *5485:147 0.000248906 -215 *3852:51 *5485:147 0.00185062 -216 *3872:9 *5485:121 0.00013182 -217 *4138:62 *5485:145 9.99747e-05 -218 *4138:62 *5485:147 0.00100185 -219 *4240:33 *6540:DIODE 2.2351e-05 -220 *4332:25 *5485:32 0.00153046 -221 *4372:35 *5485:102 6.77303e-05 -222 *4618:21 *5485:102 5.93711e-05 -223 *4944:18 *5485:62 0.000180202 -224 *4944:29 *5485:52 0.000830336 -225 *5014:24 *5485:62 0.000167649 -226 *5069:46 *5485:52 0.00052455 -227 *5149:22 *5485:102 2.0449e-05 -228 *5160:22 *5485:52 5.41326e-05 -229 *5203:27 *5485:62 9.86486e-06 -230 *5204:15 *5485:8 0.000312688 -231 *5204:15 *5485:32 0.00163127 -232 *5386:11 *5485:138 0.000116734 -233 *5459:29 *5485:133 9.91086e-05 -234 *5483:18 *5485:32 1.90936e-05 -235 *5484:10 *5485:32 0 -*RES -1 *28427:Q *5485:8 29.05 -2 *5485:8 *5485:12 11 -3 *5485:12 *5485:32 46.5484 -4 *5485:32 *5485:52 40.6429 -5 *5485:52 *5485:62 36.5714 -6 *5485:62 *6540:DIODE 11.4786 -7 *5485:62 *29675:A 9.3 -8 *5485:12 *30921:A 13.8 -9 *5485:8 *5485:102 48.1355 -10 *5485:102 *5485:104 48.1786 -11 *5485:104 *5485:121 46.1916 -12 *5485:121 *5485:127 11.0342 -13 *5485:127 *5485:131 44.9821 -14 *5485:131 *5485:133 54.7679 -15 *5485:133 *5485:138 7.01113 -16 *5485:138 *5485:139 53.5 -17 *5485:139 *5485:145 44.3214 -18 *5485:145 *5485:147 47.0625 -19 *5485:147 *6471:DIODE 14.7464 -20 *5485:147 *25066:B2 17.108 -*END - -*D_NET *5486 0.0280235 -*CONN -*I *25358:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *29428:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30922:A I *D sky130_fd_sc_hd__buf_12 -*I *28430:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *25358:B2 0.000666244 -2 *29428:A 3.76187e-05 -3 *30922:A 3.15823e-05 -4 *28430:Q 2.25053e-05 -5 *5486:31 0.000962558 -6 *5486:22 0.0027592 -7 *5486:20 0.0048528 -8 *5486:7 0.00240638 -9 *25358:B2 *26828:A0 0.000641931 -10 *25358:B2 *5583:71 1.48505e-05 -11 *25358:B2 *5606:27 5.49489e-05 -12 *29428:A *5657:38 0.000136951 -13 *5486:7 *5585:70 5.33005e-05 -14 *5486:7 *5750:152 1.58163e-05 -15 *5486:20 *28612:RESET_B 0.00015463 -16 *5486:20 *5629:20 0.000193838 -17 *5486:20 *5655:29 4.65158e-06 -18 *5486:20 *5687:129 0 -19 *5486:20 *5700:132 5.1588e-05 -20 *5486:20 *5750:63 3.6375e-05 -21 *5486:22 *24819:A 0 -22 *5486:22 *25216:B2 0 -23 *5486:22 *28627:SET_B 4.40802e-05 -24 *5486:22 *5512:29 4.19624e-06 -25 *5486:22 *5678:267 0.000907345 -26 *5486:22 *5707:222 0.000434367 -27 *5486:22 *5746:21 0 -28 *5486:22 *5750:63 2.09655e-05 -29 *5486:22 *6067:17 1.41029e-05 -30 *5486:31 *5655:113 0.000189853 -31 *25266:B *25358:B2 8.76591e-05 -32 *25266:C *25358:B2 0.000126311 -33 *25411:C1 *25358:B2 2.84026e-05 -34 *26828:A1 *29428:A 0.000136951 -35 *26828:S *25358:B2 0.000314556 -36 *27136:S *5486:20 6.97969e-05 -37 *27182:S *5486:20 0.000155848 -38 *27322:B2 *25358:B2 8.19176e-05 -39 *27322:B2 *5486:31 8.6229e-06 -40 *27767:B *5486:20 0.000246628 -41 *28874:A *5486:20 0 -42 *29112:A *30922:A 0.000183726 -43 *29765:A *5486:22 0.000532853 -44 *29896:A *5486:20 0.000299666 -45 *30109:A *25358:B2 0.000109274 -46 *30679:A *5486:22 0 -47 *30682:A *5486:22 8.6229e-06 -48 *30794:A *5486:20 0.000282527 -49 *1178:10 *5486:22 0.000233654 -50 *1245:68 *5486:22 0 -51 *1245:72 *5486:22 1.83092e-05 -52 *1245:76 *5486:22 1.37495e-05 -53 *1328:83 *5486:22 0.00105918 -54 *1536:13 *5486:22 0.000122099 -55 *1890:6 *5486:20 4.00349e-05 -56 *1890:6 *5486:31 0.000172681 -57 *2848:33 *25358:B2 2.3087e-05 -58 *2848:44 *25358:B2 5.41797e-06 -59 *2855:15 *5486:20 0.00105743 -60 *2864:28 *5486:20 0.000409594 -61 *3156:41 *5486:20 0 -62 *3160:26 *5486:20 0.000447286 -63 *3185:264 *5486:20 0 -64 *3195:34 *5486:20 0.000237654 -65 *3206:84 *5486:20 6.90381e-06 -66 *3216:46 *5486:20 2.06112e-05 -67 *3560:18 *5486:20 0.00015608 -68 *3572:36 *5486:22 0.000565638 -69 *3641:61 *25358:B2 0.00029863 -70 *3794:85 *5486:20 4.57371e-05 -71 *3820:69 *5486:20 0 -72 *3868:32 *5486:20 0.00114818 -73 *3872:17 *5486:22 0.00088274 -74 *3872:28 *5486:20 8.6229e-06 -75 *3872:28 *5486:22 0.00276643 -76 *4062:27 *25358:B2 7.32947e-05 -77 *4062:27 *5486:20 4.1879e-05 -78 *4062:27 *5486:31 0.000566809 -79 *4529:15 *30922:A 0.000185643 -80 *5196:94 *5486:20 3.01017e-05 -*RES -1 *28430:Q *5486:7 14.3357 -2 *5486:7 *5486:20 34.0851 -3 *5486:20 *5486:22 69.7946 -4 *5486:22 *30922:A 15.5679 -5 *5486:7 *5486:31 7.71429 -6 *5486:31 *29428:A 15.1571 -7 *5486:31 *25358:B2 27.6944 -*END - -*D_NET *5487 0.0271067 -*CONN -*I *25299:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30923:A I *D sky130_fd_sc_hd__buf_12 -*I *29128:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28416:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *25299:B2 0 -2 *30923:A 0.000134111 -3 *29128:A 0.00259249 -4 *28416:Q 0.000364051 -5 *5487:63 0.00136205 -6 *5487:11 0.00316366 -7 *5487:10 0.00216316 -8 *29128:A *5489:19 0.000223486 -9 *29128:A *5532:15 0.000833705 -10 *29128:A *5980:14 0.000462807 -11 *29128:A *6147:31 8.74121e-05 -12 *30923:A *5496:40 7.14469e-05 -13 *5487:10 *28418:RESET_B 0 -14 *5487:10 *5494:11 1.90936e-05 -15 *5487:10 *5665:127 5.4709e-05 -16 *5487:11 *5980:14 0.00163906 -17 *5487:63 *5494:46 7.27668e-05 -18 *5487:63 *5497:39 0.000380118 -19 *5487:63 *5665:164 2.10406e-05 -20 *5487:63 *5738:11 0.000226166 -21 *5487:63 *5778:245 0.00136729 -22 *5487:63 *5980:14 0.00173694 -23 pll_div[0] *5487:10 9.20407e-05 -24 *25354:A *29128:A 1.88295e-05 -25 *25921:S *5487:63 0.00030892 -26 *26815:A1 *29128:A 0.000160526 -27 *26817:A *5487:63 0.000154217 -28 *28415:D *30923:A 2.1645e-05 -29 *28419:CLK *5487:10 0 -30 *28953:A *5487:63 0.000207316 -31 *29138:A *29128:A 5.52302e-05 -32 *29175:A *5487:11 0.000273348 -33 *29175:A *5487:63 0.000153373 -34 *30032:A *29128:A 0.000251762 -35 *30920:A *5487:63 5.66971e-05 -36 *160:14 *29128:A 0.000685008 -37 *244:20 *30923:A 9.60939e-05 -38 *701:15 *5487:10 0.000120207 -39 *701:15 *5487:63 0.00090616 -40 *1264:41 *5487:63 2.09897e-05 -41 *1616:46 *5487:63 0.000274961 -42 *1825:34 *5487:63 9.76258e-05 -43 *3652:6 *5487:63 5.96181e-05 -44 *3652:68 *5487:63 4.19624e-06 -45 *3652:79 *5487:63 4.32177e-05 -46 *3791:10 *5487:63 9.60939e-05 -47 *4240:33 *29128:A 3.35036e-05 -48 *4332:24 *29128:A 1.30471e-05 -49 *4382:17 *29128:A 0.00148594 -50 *4383:16 *29128:A 0.000232399 -51 *4944:29 *5487:10 8.0053e-06 -52 *5000:14 *29128:A 0.000448759 -53 *5000:14 *5487:11 0.00163548 -54 *5000:14 *5487:63 0.00016208 -55 *5011:12 *5487:63 0 -56 *5026:15 *29128:A 0.000803929 -57 *5069:27 *29128:A 0.00026712 -58 *5075:31 *30923:A 0.00010096 -59 *5093:19 *29128:A 0.000394704 -60 *5203:27 *29128:A 0 -61 *5485:32 *5487:10 0.000112067 -62 *5485:32 *5487:63 0.000275063 -*RES -1 *28416:Q *5487:10 23.4957 -2 *5487:10 *5487:11 2.6414 -3 *5487:11 *29128:A 42.7624 -4 *5487:11 *30923:A 19.9511 -5 *5487:10 *5487:63 44.5259 -6 *5487:63 *25299:B2 9.3 -*END - -*D_NET *5488 0.0485692 -*CONN -*I *25396:A1 I *D sky130_fd_sc_hd__a2111o_1 -*I *29298:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30924:A I *D sky130_fd_sc_hd__buf_12 -*I *28417:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25396:A1 0.00109227 -2 *29298:A 0 -3 *30924:A 0.000146586 -4 *28417:Q 0 -5 *5488:86 0.00227094 -6 *5488:79 0.00370359 -7 *5488:64 0.00473776 -8 *5488:55 0.00369138 -9 *5488:34 0.00134754 -10 *5488:11 0.00198724 -11 *5488:4 0.00197166 -12 *25396:A1 *5622:48 0.000132436 -13 *25396:A1 *5624:18 0.00020859 -14 *25396:A1 *5629:37 0.000255168 -15 *25396:A1 *5666:216 0.00150992 -16 *30924:A *29057:A 5.84007e-05 -17 *30924:A *5665:131 8.98988e-05 -18 *5488:11 *28420:RESET_B 5.58875e-06 -19 *5488:11 *29571:A 0.00132296 -20 *5488:34 *26808:B 2.22594e-05 -21 *5488:34 *29057:A 6.1516e-05 -22 *5488:34 *29533:A 1.53262e-05 -23 *5488:34 *29571:A 0.000140088 -24 *5488:34 *5489:19 0.00105463 -25 *5488:34 *5532:15 8.6229e-06 -26 *5488:34 *5665:131 5.58875e-06 -27 *5488:34 *5710:112 0.00093502 -28 *5488:34 *5710:125 5.05056e-05 -29 *5488:34 *5778:16 3.47641e-06 -30 *5488:55 *25359:B1 0.000387762 -31 *5488:55 *26823:A0 0.000737497 -32 *5488:55 *5491:86 6.40356e-05 -33 *5488:55 *5493:14 0.000382757 -34 *5488:55 *5497:39 7.36771e-05 -35 *5488:64 *25399:A1 0.000136958 -36 *5488:64 *5705:139 3.45981e-05 -37 *5488:79 *28223:SET_B 5.44702e-05 -38 *5488:79 *28224:SET_B 0.000161912 -39 *5488:79 *5491:30 1.96812e-05 -40 *5488:79 *5624:41 8.03068e-05 -41 *5488:79 *5665:24 2.34372e-06 -42 *5488:79 *5685:66 1.4867e-05 -43 *5488:79 *5699:156 0.000592925 -44 *5488:79 *6054:21 0.000132869 -45 *5488:79 *6225:61 0.000408902 -46 *5488:79 *6324:17 2.63501e-05 -47 *5488:86 *5624:36 0.000177794 -48 *5488:86 *5624:41 0.000587308 -49 *5488:86 *5665:24 0 -50 *5488:86 *5711:67 2.21858e-05 -51 pll_div[1] *5488:11 0 -52 *25269:B2 *25396:A1 3.28686e-05 -53 *25295:A1 *25396:A1 3.28686e-05 -54 *25310:A2 *5488:86 0.000384157 -55 *25396:A2 *25396:A1 9.25014e-06 -56 *25920:S *5488:55 4.87953e-05 -57 *25939:A0 *5488:79 0.000176621 -58 *25940:A0 *5488:79 0.000355619 -59 *26812:A1 *5488:34 0.000632874 -60 *26817:A *5488:55 1.0078e-05 -61 *26822:S *5488:64 3.28967e-05 -62 *26853:A *5488:86 1.46717e-05 -63 *28154:D *5488:55 0.000570961 -64 *28365:D *5488:64 0.000267863 -65 *28382:CLK *5488:79 4.22135e-06 -66 *28448:D *5488:86 9.97669e-05 -67 *28951:A *5488:64 4.3825e-05 -68 *28953:A *5488:55 7.36771e-05 -69 *29389:A *5488:34 5.33005e-05 -70 *30032:A *5488:34 0.00120131 -71 *30094:A *5488:34 0.000639125 -72 *471:12 *5488:79 0.000869332 -73 *758:26 *5488:34 0.00030926 -74 *768:29 *5488:34 0.000316135 -75 *1218:62 *25396:A1 0.00018424 -76 *1239:25 *5488:86 0.000350667 -77 *1257:99 *5488:79 0.00049588 -78 *1263:122 *5488:79 0.000187964 -79 *1281:40 *25396:A1 0.000240224 -80 *1327:98 *5488:79 9.01588e-05 -81 *1327:98 *5488:86 0.00018085 -82 *1407:89 *5488:86 7.47871e-05 -83 *1421:26 *25396:A1 8.78131e-05 -84 *1449:115 *25396:A1 1.90936e-05 -85 *1453:17 *5488:79 0.000670324 -86 *1471:37 *5488:79 0.000550725 -87 *1471:56 *5488:11 0.00131905 -88 *1600:41 *5488:86 0.00014153 -89 *1616:8 *5488:79 0.000290792 -90 *1657:40 *25396:A1 1.09026e-05 -91 *1659:31 *25396:A1 4.51161e-05 -92 *1671:52 *5488:34 3.72472e-05 -93 *1715:18 *5488:64 1.82241e-05 -94 *2744:36 *5488:34 1.80032e-05 -95 *2856:48 *25396:A1 4.26759e-05 -96 *3173:35 *5488:86 0.00050829 -97 *3209:52 *25396:A1 2.06178e-05 -98 *3557:6 *25396:A1 0.000214584 -99 *3557:15 *25396:A1 0.000154992 -100 *3650:10 *5488:79 0.000489512 -101 *3652:130 *5488:55 0 -102 *3653:48 *5488:34 0.000230624 -103 *3661:31 *5488:79 0.000429522 -104 *3791:36 *5488:34 2.62425e-05 -105 *3792:75 *5488:64 0.00114636 -106 *3805:47 *5488:79 0.000189108 -107 *3820:38 *5488:79 0 -108 *3880:50 *5488:86 6.30931e-05 -109 *3918:83 *5488:64 0.00034481 -110 *4088:65 *5488:86 0.000479425 -111 *4317:16 *5488:11 0.000118378 -112 *4336:11 *5488:34 9.60875e-05 -113 *4382:17 *5488:34 0.000111763 -114 *4383:16 *5488:34 0.000482601 -115 *4899:13 *5488:34 5.07122e-05 -116 *4900:26 *30924:A 2.23393e-05 -117 *4900:26 *5488:34 8.69944e-05 -118 *5026:15 *5488:34 0.000653884 -119 *5036:25 *5488:79 4.71798e-06 -120 *5037:11 *5488:79 0 -121 *5078:20 *5488:55 0.000227662 -122 *5153:8 *5488:79 0.000109702 -123 *5200:25 *5488:79 7.10858e-05 -124 *5484:44 *5488:34 7.21957e-05 -*RES -1 *28417:Q *5488:4 9.3 -2 *5488:4 *5488:11 15.2516 -3 *5488:11 *30924:A 16.8089 -4 *5488:11 *5488:34 44.7299 -5 *5488:34 *29298:A 9.3 -6 *5488:4 *5488:55 47.8393 -7 *5488:55 *5488:64 42.3036 -8 *5488:64 *5488:79 40.1958 -9 *5488:79 *5488:86 35.5804 -10 *5488:86 *25396:A1 41.8804 -*END - -*D_NET *5489 0.0448323 -*CONN -*I *25307:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30925:A I *D sky130_fd_sc_hd__buf_12 -*I *29255:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28418:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25307:B2 0.000684389 -2 *30925:A 2.37855e-05 -3 *29255:A 0 -4 *28418:Q 0.000522632 -5 *5489:49 0.00218969 -6 *5489:45 0.00255735 -7 *5489:41 0.00203878 -8 *5489:28 0.00113813 -9 *5489:19 0.0032401 -10 *5489:6 0.00389033 -11 *25307:B2 *25642:A0 1.90936e-05 -12 *25307:B2 *28136:RESET_B 0.000148182 -13 *25307:B2 *5909:62 0.000327406 -14 *5489:6 *5778:245 0.000706945 -15 *5489:19 *29533:A 0.00214109 -16 *5489:19 *5532:15 0.00130814 -17 *5489:19 *5710:112 3.11358e-05 -18 *5489:19 *5778:11 0.000314369 -19 *5489:19 *5778:16 0.00011802 -20 *5489:19 *6014:19 4.65519e-05 -21 *5489:19 *6313:17 0.000197208 -22 *5489:28 *5691:298 1.10866e-05 -23 *5489:41 *25399:A1 0.000122591 -24 *5489:41 *28366:RESET_B 0.000115218 -25 *5489:41 *5495:57 0.000137983 -26 *5489:41 *5510:59 8.85578e-05 -27 *5489:41 *5685:218 0.000530587 -28 *5489:41 *5730:121 9.34691e-05 -29 *5489:41 *5730:130 6.02454e-05 -30 *5489:45 *5685:218 0.000253497 -31 pll_div[1] *5489:6 0.000911571 -32 pll_div[1] *5489:28 0.000305809 -33 pll_div[1] *5489:41 8.07313e-05 -34 pll_sel[0] *5489:41 5.15925e-05 -35 *25307:A2 *25307:B2 6.26774e-06 -36 *25307:B1 *25307:B2 1.28809e-05 -37 *25354:A *5489:19 0.000534754 -38 *25642:A1 *25307:B2 0.000145398 -39 *26815:A1 *5489:19 0.000487668 -40 *27500:C1 *5489:49 0.000315173 -41 *27507:A2 *5489:45 0.000372958 -42 *27887:C1 *5489:45 3.32442e-05 -43 *28021:A1 *5489:45 0.000860278 -44 *28136:D *25307:B2 0.000148903 -45 *29128:A *5489:19 0.000223486 -46 *29172:A *5489:19 1.90936e-05 -47 *30007:A *5489:45 3.42387e-05 -48 *30032:A *5489:19 0.000266781 -49 *30034:A *5489:45 0.000108511 -50 *30075:A *5489:19 0.00123717 -51 *30139:A *5489:19 0.00026819 -52 *30920:A *5489:41 8.6229e-06 -53 *468:20 *5489:6 7.14469e-05 -54 *1471:95 *25307:B2 0.00027869 -55 *1471:95 *5489:49 1.00037e-05 -56 *2745:8 *5489:6 1.01913e-05 -57 *2745:75 *5489:6 1.90936e-05 -58 *2745:75 *5489:28 0.000458864 -59 *2745:75 *5489:41 0.0013258 -60 *2859:95 *25307:B2 0.00069794 -61 *2871:108 *25307:B2 0.000317536 -62 *3158:66 *5489:45 0.000150161 -63 *3158:66 *5489:49 0.00288134 -64 *3404:20 *5489:49 0.00010074 -65 *3883:8 *5489:41 1.01912e-05 -66 *3883:31 *5489:49 0.000130975 -67 *3884:24 *5489:28 1.21258e-05 -68 *3884:24 *5489:41 0.000344623 -69 *3892:33 *5489:41 0.000515582 -70 *3892:37 *5489:41 1.21637e-05 -71 *3892:37 *5489:45 0.00235791 -72 *3892:47 *5489:45 7.47029e-06 -73 *3892:47 *5489:49 0.000182682 -74 *3918:16 *5489:45 0 -75 *3918:41 *5489:45 0 -76 *3958:34 *25307:B2 0.000126764 -77 *3958:34 *5489:49 0.00311761 -78 *4183:24 *5489:19 0 -79 *4383:16 *5489:19 0.000257269 -80 *4945:15 *5489:19 1.23383e-05 -81 *5011:12 *5489:41 0 -82 *5026:15 *5489:19 0.000170133 -83 *5351:19 *5489:19 0.000313823 -84 *5458:24 *25307:B2 0.000191403 -85 *5484:44 *5489:19 0.000192438 -86 *5484:44 *5489:41 1.04707e-05 -87 *5488:34 *5489:19 0.00105463 -*RES -1 *28418:Q *5489:6 26.5232 -2 *5489:6 *5489:19 45.4512 -3 *5489:19 *29255:A 9.3 -4 *5489:6 *5489:28 9.70536 -5 *5489:28 *30925:A 9.72857 -6 *5489:28 *5489:41 33.1964 -7 *5489:41 *5489:45 32.2321 -8 *5489:45 *5489:49 46.9554 -9 *5489:49 *25307:B2 33.0277 -*END - -*D_NET *5490 0.0303683 -*CONN -*I *25267:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30926:A I *D sky130_fd_sc_hd__buf_12 -*I *29228:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28419:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *25267:B2 0.000852727 -2 *30926:A 1.0656e-05 -3 *29228:A 0.00315544 -4 *28419:Q 5.46186e-05 -5 *5490:39 0.00256317 -6 *5490:11 0.00421739 -7 *5490:7 0.00281636 -8 *25267:B2 *28019:A0 0.000244716 -9 *25267:B2 *5698:55 0.000147125 -10 *25267:B2 *5731:14 6.98916e-05 -11 *25267:B2 *5731:48 0.000195771 -12 *25267:B2 *5731:57 0.000345219 -13 *29228:A *25570:B 0.000133749 -14 *29228:A *29244:A 0.000781395 -15 *29228:A *5495:23 0.000627833 -16 *29228:A *5498:34 0.000181303 -17 *29228:A *6003:13 7.17136e-05 -18 *30926:A *5685:173 2.06112e-05 -19 *5490:7 *5519:19 0.000246047 -20 *5490:11 *28420:RESET_B 1.01912e-05 -21 *5490:11 *28422:RESET_B 0.000114847 -22 *5490:11 *5495:23 0.000414858 -23 *5490:11 *5496:16 0.00127314 -24 *5490:11 *5518:33 0.00032682 -25 *5490:11 *5592:112 0.00153966 -26 *5490:11 *5698:114 5.59382e-05 -27 *5490:11 *5730:94 0 -28 *5490:39 *25663:A1 0.000107843 -29 *5490:39 *28019:A0 1.23184e-06 -30 *5490:39 *28420:RESET_B 0.000101251 -31 *5490:39 *5685:151 0.000279577 -32 *5490:39 *5698:114 0.000339858 -33 *5490:39 *5731:8 0.000164763 -34 *5490:39 *5731:14 8.52777e-05 -35 pad_flash_io0_ieb *29228:A 0.000162047 -36 pad_flash_io1_oeb *30926:A 5.7836e-06 -37 pll_div[3] *5490:11 0 -38 *25267:A2 *25267:B2 0.000335799 -39 *25619:S *5490:11 1.53943e-05 -40 *25663:S *5490:39 0.00036034 -41 *27911:A1 *25267:B2 0.000195555 -42 *28150:D *5490:39 3.43988e-06 -43 *28425:D *5490:7 0.00020569 -44 *29175:A *29228:A 0.000144559 -45 *29175:A *5490:11 0.000167749 -46 *29304:A *29228:A 3.30145e-05 -47 *30912:A *29228:A 0.000105863 -48 *30919:A *5490:39 7.89362e-05 -49 *244:19 *29228:A 0.000408506 -50 *504:19 *5490:39 0.000119173 -51 *698:11 *5490:39 0 -52 *1163:17 *5490:39 0.000364463 -53 *1323:17 *25267:B2 0.000463032 -54 *1831:18 *5490:39 0 -55 *1831:20 *5490:39 0 -56 *1831:26 *5490:39 0.000415879 -57 *2789:8 *25267:B2 0.000304843 -58 *2789:17 *25267:B2 0.000182393 -59 *2789:25 *25267:B2 1.17921e-05 -60 *3804:14 *5490:39 0 -61 *3804:58 *25267:B2 8.974e-05 -62 *3908:35 *25267:B2 4.87953e-05 -63 *3983:24 *5490:39 0.000255879 -64 *4131:29 *25267:B2 0.000520522 -65 *4140:24 *25267:B2 6.09682e-05 -66 *4256:11 *29228:A 0.000258387 -67 *4317:16 *5490:39 4.47431e-05 -68 *4945:15 *5490:39 5.48494e-05 -69 *5043:11 *29228:A 0.000755402 -70 *5043:11 *5490:11 0.000306818 -71 *5075:31 *29228:A 0.0022509 -72 *5483:44 *5490:39 8.60486e-05 -*RES -1 *28419:Q *5490:7 16.1839 -2 *5490:7 *5490:11 13.6554 -3 *5490:11 *29228:A 41.081 -4 *5490:11 *30926:A 17.4868 -5 *5490:7 *5490:39 35.6161 -6 *5490:39 *25267:B2 39.0232 -*END - -*D_NET *5491 0.0646898 -*CONN -*I *30927:A I *D sky130_fd_sc_hd__buf_12 -*I *30074:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *6473:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25211:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *6474:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6472:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *28420:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *30927:A 2.23854e-05 -2 *30074:A 0 -3 *6473:DIODE 8.24899e-05 -4 *25211:B2 0 -5 *6474:DIODE 0.000197791 -6 *6472:DIODE 0 -7 *28420:Q 1.1646e-05 -8 *5491:104 0.00116623 -9 *5491:97 0.00222036 -10 *5491:86 0.00161195 -11 *5491:69 0.000440749 -12 *5491:59 0.00166388 -13 *5491:44 0.00420872 -14 *5491:42 0.00344389 -15 *5491:30 0.00195096 -16 *5491:18 0.0049058 -17 *5491:6 0.00407553 -18 *5491:18 *25406:B2 3.37161e-05 -19 *5491:18 *25612:A1 7.3897e-05 -20 *5491:18 *25918:B 9.18636e-05 -21 *5491:18 *29571:A 0.00219207 -22 *5491:18 *5519:19 6.58324e-05 -23 *5491:18 *5520:48 9.03409e-05 -24 *5491:18 *5524:40 0.000295198 -25 *5491:18 *6334:39 0.00211032 -26 *5491:30 *25406:B2 0.000303698 -27 *5491:30 *25612:A1 0.00038713 -28 *5491:30 *5501:9 0.000534519 -29 *5491:30 *5520:48 0.000357232 -30 *5491:30 *5675:245 3.5097e-05 -31 *5491:30 *5685:66 0.000756613 -32 *5491:30 *5685:76 2.04825e-05 -33 *5491:30 *5711:94 2.99314e-05 -34 *5491:42 *29509:A 9.11972e-05 -35 *5491:42 *5618:139 0.000303972 -36 *5491:42 *6225:61 0.000172397 -37 *5491:44 *5498:54 0.000113898 -38 *5491:44 *5666:202 6.7848e-05 -39 *5491:86 *5738:11 0.000829141 -40 *5491:97 *26815:A0 0.00022459 -41 *5491:97 *29057:A 0.000136958 -42 *5491:97 *29533:A 6.16075e-05 -43 *5491:97 *5710:103 0.000395866 -44 *5491:97 *5738:11 0.000962484 -45 *5491:104 *5498:34 9.60939e-05 -46 pll_trim[12] *5491:30 0.000515695 -47 *25211:A2 *6474:DIODE 3.97677e-05 -48 *25211:B1 *6474:DIODE 0.000235445 -49 *25211:B1 *5491:69 1.98972e-05 -50 *25211:C1 *6474:DIODE 0.000316237 -51 *25211:C1 *5491:69 8.34819e-06 -52 *25213:C1 *5491:59 0.000307163 -53 *25305:B2 *5491:44 0.000190939 -54 *25305:C1 *5491:59 0.000109274 -55 *25359:A2 *5491:18 0.000147918 -56 *25400:C1 *5491:30 9.21418e-06 -57 *25409:A2 *5491:42 0.000112458 -58 *25409:A3 *5491:42 0.000121573 -59 *25592:A0 *5491:30 1.24368e-05 -60 *25617:A0 *5491:104 5.33005e-05 -61 *26810:A1 *5491:104 0.000520515 -62 *26815:A1 *5491:97 1.21289e-05 -63 *26817:A *5491:6 2.18021e-05 -64 *26817:A *5491:86 0.00012971 -65 *26818:A1 *5491:97 1.98839e-05 -66 *26834:A *5491:97 3.83601e-05 -67 *27875:A1 *5491:44 0.000760852 -68 *27902:A2 *5491:44 0.00011377 -69 *28418:D *5491:97 0.000167527 -70 *701:29 *5491:104 9.76261e-05 -71 *748:16 *6473:DIODE 6.72779e-05 -72 *748:16 *5491:104 0.000269018 -73 *755:18 *5491:97 0.00035074 -74 *758:26 *5491:97 4.25822e-05 -75 *1239:14 *5491:59 0.000581754 -76 *1251:29 *5491:59 2.23117e-05 -77 *1264:41 *5491:18 8.79701e-05 -78 *1273:96 *5491:59 0.000187964 -79 *1395:8 *5491:59 0.000113749 -80 *1395:18 *5491:59 0.000262785 -81 *1401:49 *5491:42 6.6772e-05 -82 *1401:49 *5491:44 0.000510874 -83 *1407:36 *5491:44 0.00275228 -84 *1407:58 *5491:44 0.00201816 -85 *1427:71 *5491:44 0.00170347 -86 *1427:94 *5491:44 0.000254694 -87 *1442:14 *5491:44 7.1171e-05 -88 *1470:64 *5491:44 0.000482449 -89 *1470:64 *5491:59 6.60633e-06 -90 *1471:56 *5491:18 0.00029259 -91 *1498:49 *5491:18 0.000181107 -92 *1498:49 *5491:30 8.74903e-05 -93 *1591:11 *5491:44 0.00025478 -94 *1616:8 *5491:30 0.000473592 -95 *1616:23 *5491:30 0.000357184 -96 *1644:36 *5491:59 0.000284346 -97 *2745:13 *5491:97 0.000563663 -98 *2745:23 *5491:97 0.000304387 -99 *2745:75 *5491:97 9.71197e-05 -100 *2889:217 *5491:44 0.000114833 -101 *3104:13 *5491:18 1.68039e-05 -102 *3178:62 *5491:44 7.69776e-06 -103 *3459:23 *5491:42 0.000305889 -104 *3652:79 *5491:86 0 -105 *3652:130 *5491:86 0 -106 *3699:40 *5491:59 0.001017 -107 *3788:49 *5491:42 8.65622e-05 -108 *3790:57 *5491:44 0.000891711 -109 *3868:41 *5491:44 0.000190083 -110 *3868:56 *5491:44 0.000374538 -111 *3999:17 *5491:42 0.000138708 -112 *3999:17 *5491:44 0 -113 *4274:16 *5491:104 0.000388398 -114 *4316:25 *5491:104 0.000613919 -115 *4332:24 *5491:97 0 -116 *4899:13 *5491:104 5.96516e-05 -117 *4945:15 *5491:86 0.000783412 -118 *5011:20 *5491:18 0.00229822 -119 *5027:17 *5491:104 0.00152558 -120 *5036:25 *5491:30 0.00109835 -121 *5037:11 *5491:30 0.000127316 -122 *5204:15 *5491:18 0.000244473 -123 *5483:44 *5491:86 1.02821e-05 -124 *5483:44 *5491:97 0.000161898 -125 *5484:44 *5491:97 0.000483673 -126 *5488:55 *5491:86 6.40356e-05 -127 *5488:79 *5491:30 1.96812e-05 -*RES -1 *28420:Q *5491:6 14.0768 -2 *5491:6 *5491:18 47.4129 -3 *5491:18 *5491:30 47.0357 -4 *5491:30 *5491:42 23.2946 -5 *5491:42 *5491:44 83.3036 -6 *5491:44 *5491:59 33.2623 -7 *5491:59 *6472:DIODE 9.3 -8 *5491:59 *5491:69 3.95089 -9 *5491:69 *6474:DIODE 13.8491 -10 *5491:69 *25211:B2 9.3 -11 *5491:6 *5491:86 17.5625 -12 *5491:86 *5491:97 37.875 -13 *5491:97 *5491:104 29.4464 -14 *5491:104 *6473:DIODE 11.0679 -15 *5491:104 *30074:A 9.3 -16 *5491:86 *30927:A 9.72857 -*END - -*D_NET *5492 0.0288632 -*CONN -*I *25030:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30680:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 -*CAP -1 *25030:A1 0.000353051 -2 *30680:X 8.32847e-05 -3 *5492:22 0.00134029 -4 *5492:12 0.00257136 -5 *5492:10 0.00373216 -6 *5492:7 0.00223132 -7 *25030:A1 *5662:36 2.41159e-05 -8 *5492:10 *27224:B 5.47746e-05 -9 *5492:10 *28724:D 0.000290271 -10 *5492:10 *5747:21 6.27272e-06 -11 *5492:12 *5662:33 0.000480295 -12 *5492:22 *5628:45 1.90303e-05 -13 *5492:22 *5642:57 0.000541461 -14 *5492:22 *5873:91 0.000863759 -15 *24984:C1 *5492:12 0.000123288 -16 *25019:A1 *5492:22 0.00062976 -17 *25030:A2 *25030:A1 0.00011953 -18 *28129:D *5492:10 0.000100623 -19 *28431:CLK *5492:10 0.000128146 -20 *28431:D *5492:10 0 -21 *30300:A *5492:10 0.000219764 -22 *30681:A *5492:10 0 -23 *30738:A *5492:10 0 -24 *1290:186 *25030:A1 5.33005e-05 -25 *1290:201 *25030:A1 0.000134635 -26 *1290:201 *5492:22 0.00027188 -27 *1292:234 *25030:A1 3.47783e-05 -28 *1342:14 *5492:10 0.000680474 -29 *1342:14 *5492:12 0.000157541 -30 *1367:16 *5492:12 0.00056236 -31 *1367:18 *5492:12 0.00330505 -32 *1367:22 *25030:A1 2.47852e-05 -33 *3574:43 *25030:A1 2.12087e-05 -34 *3889:66 *5492:12 0.00450749 -35 *3889:79 *5492:10 0.000473206 -36 *3889:79 *5492:12 0.000474095 -37 *3890:24 *5492:22 0.000154703 -38 *3890:47 *25030:A1 0.000177963 -39 *3982:9 *5492:10 5.31834e-05 -40 *3995:41 *25030:A1 0.000392701 -41 *3995:41 *5492:22 0.00251413 -42 *3995:44 *25030:A1 2.02794e-05 -43 *4007:6 *25030:A1 0.000448386 -44 *4007:6 *5492:22 0.000244395 -45 *4007:17 *25030:A1 0.000244121 -46 *5240:8 *5492:10 0 -47 *5459:10 *5492:10 0 -48 *5459:12 *5492:10 0 -*RES -1 *30680:X *5492:7 15.1571 -2 *5492:7 *5492:10 45.0268 -3 *5492:10 *5492:12 64.1339 -4 *5492:12 *5492:22 44.7946 -5 *5492:22 *25030:A1 26.3804 -*END - -*D_NET *5493 0.0482719 -*CONN -*I *30928:A I *D sky130_fd_sc_hd__buf_12 -*I *30011:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25151:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28421:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *30928:A 0 -2 *30011:A 0 -3 *25151:B2 0 -4 *28421:Q 0 -5 *5493:68 0.00132669 -6 *5493:55 0.00262363 -7 *5493:40 0.00102351 -8 *5493:31 0.00152633 -9 *5493:25 0.00199226 -10 *5493:18 0.00297386 -11 *5493:14 0.00402666 -12 *5493:4 0.00383918 -13 *5493:14 *25616:B 9.92555e-05 -14 *5493:14 *25661:A1 5.20437e-05 -15 *5493:14 *28151:RESET_B 2.92155e-05 -16 *5493:14 *28369:RESET_B 0.000103417 -17 *5493:14 *5519:19 7.69776e-06 -18 *5493:14 *5710:166 2.06178e-05 -19 *5493:14 *5730:102 9.90367e-05 -20 *5493:14 *5730:142 0.000112282 -21 *5493:18 *28018:A0 0.000304592 -22 *5493:25 *27860:A2 0.000104976 -23 *5493:31 *28136:RESET_B 4.58194e-05 -24 *5493:31 *5666:138 8.46523e-05 -25 *5493:31 *5740:55 6.05161e-06 -26 *5493:40 *5661:117 0.00169609 -27 *5493:55 *5494:11 2.44318e-05 -28 *5493:55 *5495:23 0.00187938 -29 *5493:55 *5495:57 0 -30 *5493:55 *5496:16 0.000272234 -31 *5493:55 *5498:34 0.0024783 -32 *5493:55 *5518:33 0.000777518 -33 *5493:55 *5592:104 1.04048e-05 -34 *5493:68 *25570:B 2.39942e-05 -35 *5493:68 *5494:11 0.000723062 -36 *5493:68 *5494:21 5.33005e-05 -37 *5493:68 *5497:27 0.00225761 -38 pad_flash_clk *5493:68 0.000152333 -39 pad_flash_io0_do *5493:68 5.33005e-05 -40 pad_flash_io1_oeb *5493:55 0 -41 pll_div[3] *5493:14 0 -42 pll_div[3] *5493:55 0 -43 pll_ena *5493:55 5.52238e-05 -44 pll_ena *5493:68 0.000752267 -45 *25151:B1 *5493:40 0.0017449 -46 *25307:C1 *5493:40 1.90936e-05 -47 *25570:A *5493:68 0.000453572 -48 *25572:A *5493:68 0.000354725 -49 *26817:A *5493:14 2.37838e-05 -50 *27487:A1 *5493:31 7.79305e-05 -51 *27495:A1 *5493:25 2.09897e-05 -52 *27500:C1 *5493:25 4.00679e-05 -53 *27507:B1 *5493:18 0.000139014 -54 *27507:B2 *5493:18 0.000386703 -55 *27521:B2 *5493:40 6.81704e-05 -56 *27532:B2 *5493:18 0.00142335 -57 *27532:C1 *5493:18 0.000134893 -58 *27532:C1 *5493:25 1.16258e-05 -59 *27865:C *5493:25 0.000264846 -60 *28017:A1 *5493:14 0.000175937 -61 *28018:S *5493:18 0 -62 *28019:A1 *5493:14 0.000203054 -63 *28423:D *5493:14 0.000205093 -64 *28423:D *5493:55 7.55978e-06 -65 *28424:CLK *5493:55 0 -66 *28832:CLK *5493:14 0 -67 *28833:D *5493:18 0.000106321 -68 *29175:A *5493:55 0.000202643 -69 *30571:A *5493:18 4.17745e-05 -70 *30733:A *5493:55 8.6229e-06 -71 *30910:A *5493:68 0 -72 *30911:A *5493:68 0.000235375 -73 *244:20 *5493:14 5.03772e-05 -74 *699:22 *5493:14 0.000107686 -75 *1263:122 *5493:14 0.000134954 -76 *1421:53 *5493:25 1.90936e-05 -77 *1430:29 *5493:14 2.49987e-05 -78 *1430:29 *5493:18 6.02783e-05 -79 *1449:135 *5493:18 1.50181e-05 -80 *1471:95 *5493:40 0.000311217 -81 *1600:17 *5493:18 0.000168822 -82 *1887:22 *5493:40 0.000214523 -83 *2865:77 *5493:18 2.59795e-05 -84 *2865:126 *5493:40 4.61476e-05 -85 *2871:105 *5493:31 9.58126e-05 -86 *2872:90 *5493:25 8.47076e-05 -87 *2874:74 *5493:25 0.00116766 -88 *2882:114 *5493:40 6.6386e-05 -89 *2892:40 *5493:18 0.000246384 -90 *2892:65 *5493:18 0.000458956 -91 *2892:65 *5493:25 0.000728421 -92 *3197:138 *5493:40 0.000119576 -93 *3212:51 *5493:18 0.00018503 -94 *3216:141 *5493:31 0.00104941 -95 *3536:10 *5493:14 5.48961e-05 -96 *3536:10 *5493:18 0 -97 *3652:68 *5493:55 0 -98 *3652:87 *5493:14 0 -99 *3652:97 *5493:14 0 -100 *3652:136 *5493:14 0 -101 *3713:45 *5493:40 0.000121291 -102 *3724:33 *5493:31 5.41797e-06 -103 *3805:47 *5493:14 0 -104 *3840:27 *5493:25 7.48301e-06 -105 *3866:35 *5493:25 0.000591441 -106 *3882:12 *5493:14 6.44735e-05 -107 *3882:47 *5493:18 0.000187235 -108 *3892:18 *5493:14 6.73707e-06 -109 *3892:33 *5493:14 3.14502e-05 -110 *3893:52 *5493:14 9.73205e-05 -111 *3919:12 *5493:18 0 -112 *3919:23 *5493:18 0 -113 *3958:34 *5493:31 0.00106307 -114 *3983:24 *5493:14 9.17133e-05 -115 *4129:35 *5493:18 0.0013849 -116 *4152:50 *5493:25 5.41794e-05 -117 *5442:23 *5493:18 2.04825e-05 -118 *5458:24 *5493:25 0.000720631 -119 *5485:32 *5493:14 4.36918e-05 -120 *5488:55 *5493:14 0.000382757 -*RES -1 *28421:Q *5493:4 9.3 -2 *5493:4 *5493:14 48.1161 -3 *5493:14 *5493:18 45.5 -4 *5493:18 *5493:25 41.4018 -5 *5493:25 *5493:31 25.6786 -6 *5493:31 *5493:40 43.9464 -7 *5493:40 *25151:B2 9.3 -8 *5493:4 *5493:55 26.0348 -9 *5493:55 *5493:68 47.5179 -10 *5493:68 *30011:A 13.8 -11 *5493:55 *30928:A 9.3 -*END - -*D_NET *5494 0.0362456 -*CONN -*I *25406:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30929:A I *D sky130_fd_sc_hd__buf_12 -*I *29137:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28415:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *25406:B2 0.000748028 -2 *30929:A 0 -3 *29137:A 0.00127543 -4 *28415:Q 0 -5 *5494:49 0.00410512 -6 *5494:46 0.0043816 -7 *5494:21 0.00174205 -8 *5494:11 0.0027695 -9 *5494:4 0.00332738 -10 *25406:B2 *25612:A1 1.98839e-05 -11 *25406:B2 *30934:A 0.000269747 -12 *25406:B2 *5500:41 4.60232e-06 -13 *25406:B2 *5501:23 0.000375472 -14 *25406:B2 *5519:49 5.41797e-06 -15 *25406:B2 *5675:245 9.85599e-06 -16 *25406:B2 *6334:39 0.000219028 -17 *29137:A *25570:B 0.000205161 -18 *29137:A *30054:A 0 -19 *29137:A *6313:45 0.00030959 -20 *5494:11 *5497:13 7.77652e-05 -21 *5494:11 *5497:27 8.37664e-05 -22 *5494:46 *25616:B 0.00128127 -23 *5494:46 *25621:A1 4.5539e-05 -24 *5494:46 *5665:164 0.000115139 -25 *5494:46 *5778:245 0.00257876 -26 *5494:49 *28109:SET_B 4.85631e-05 -27 *5494:49 *30944:A 2.59355e-05 -28 *5494:49 *30957:A 6.57914e-05 -29 *5494:49 *5510:34 4.58194e-05 -30 *5494:49 *5778:245 0.000566158 -31 *5494:49 *5778:261 0.000279671 -32 pad_flash_csb_oeb *29137:A 0.000452382 -33 pad_flash_io0_oeb *5494:21 0 -34 pll90_sel[0] *5494:46 2.11362e-05 -35 pll_dco_ena *5494:11 0 -36 pll_div[0] *5494:11 0.000137775 -37 pll_div[0] *5494:46 6.90348e-06 -38 pll_ena *5494:11 1.02821e-05 -39 pll_trim[10] *25406:B2 0.000294986 -40 pll_trim[8] *5494:49 1.21258e-05 -41 *25568:A *29137:A 1.49882e-05 -42 *25609:A0 *25406:B2 0.000480601 -43 *28426:D *5494:46 0.000266479 -44 *29175:A *5494:46 0.000466896 -45 *30140:A *29137:A 9.7659e-05 -46 *30732:A *5494:21 0.000263663 -47 *30733:A *5494:11 0.000450512 -48 *160:14 *29137:A 0 -49 *473:13 *5494:49 0.00149286 -50 *1264:41 *5494:46 0.000334052 -51 *1498:49 *25406:B2 0.000476606 -52 *2745:8 *5494:46 8.71613e-05 -53 *3649:37 *5494:49 0.000235871 -54 *3649:42 *5494:49 5.33433e-05 -55 *3649:79 *25406:B2 9.56446e-06 -56 *4184:45 *29137:A 4.47433e-05 -57 *4240:33 *29137:A 4.11173e-05 -58 *4309:8 *29137:A 0.000108617 -59 *4309:9 *5494:21 0.000907233 -60 *4909:14 *29137:A 0.000101859 -61 *4910:17 *29137:A 0.000271908 -62 *5000:14 *29137:A 9.87983e-06 -63 *5000:14 *5494:46 2.57713e-05 -64 *5028:8 *29137:A 8.00806e-05 -65 *5037:11 *25406:B2 0.000900729 -66 *5069:27 *29137:A 3.00174e-05 -67 *5073:13 *5494:21 0.00166351 -68 *5075:31 *29137:A 8.49829e-05 -69 *5474:14 *29137:A 0 -70 *5479:14 *29137:A 9.71943e-05 -71 *5487:10 *5494:11 1.90936e-05 -72 *5487:63 *5494:46 7.27668e-05 -73 *5491:18 *25406:B2 3.37161e-05 -74 *5491:30 *25406:B2 0.000303698 -75 *5493:55 *5494:11 2.44318e-05 -76 *5493:68 *5494:11 0.000723062 -77 *5493:68 *5494:21 5.33005e-05 -*RES -1 *28415:Q *5494:4 9.3 -2 *5494:4 *5494:11 42.3214 -3 *5494:11 *5494:21 33.2321 -4 *5494:21 *29137:A 42.9071 -5 *5494:11 *30929:A 9.3 -6 *5494:4 *5494:46 24.4761 -7 *5494:46 *5494:49 48.5714 -8 *5494:49 *25406:B2 45.5545 -*END - -*D_NET *5495 0.0236622 -*CONN -*I *25399:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30930:A I *D sky130_fd_sc_hd__buf_12 -*I *29303:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28422:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *25399:A1 0.000517531 -2 *30930:A 0 -3 *29303:A 0.00074871 -4 *28422:Q 0 -5 *5495:57 0.00145902 -6 *5495:23 0.00300456 -7 *5495:4 0.00319734 -8 *25399:A1 *5705:139 1.15281e-05 -9 *29303:A *29464:A 0.000591691 -10 *5495:23 *29464:A 3.98462e-05 -11 *5495:23 *5496:16 0.000704191 -12 *5495:23 *5498:34 0.000638329 -13 *5495:57 *5730:105 0.000254655 -14 *5495:57 *5730:115 0.000254108 -15 pad_flash_io0_oeb *5495:23 0.000141975 -16 pad_flash_io1_do *5495:23 6.53083e-05 -17 pad_flash_io1_ieb *5495:23 0 -18 pll_div[1] *5495:23 4.21517e-05 -19 pll_sel[0] *25399:A1 5.33005e-05 -20 pll_sel[0] *5495:57 0 -21 pll_sel[1] *5495:23 0.000689268 -22 pll_sel[1] *5495:57 0.000122091 -23 *25399:B1 *25399:A1 3.61717e-05 -24 *25399:B2 *25399:A1 5.40985e-05 -25 *25920:S *25399:A1 0.000128354 -26 *26814:A1 *29303:A 1.02936e-05 -27 *28368:D *25399:A1 0.000109296 -28 *28424:CLK *5495:57 5.58941e-05 -29 *28953:A *25399:A1 0.000147389 -30 *29228:A *5495:23 0.000627833 -31 *30066:A *29303:A 9.34543e-05 -32 *30916:A *29303:A 0.00121717 -33 *30917:A *5495:23 1.53943e-05 -34 *181:13 *5495:57 8.6229e-06 -35 *467:18 *5495:23 0.000354098 -36 *752:8 *5495:23 3.07101e-05 -37 *1471:56 *5495:57 0.000549843 -38 *2745:75 *25399:A1 1.54142e-05 -39 *3652:16 *5495:57 7.6644e-05 -40 *3652:68 *5495:57 0.00018408 -41 *3652:79 *5495:57 0.000756913 -42 *3652:130 *5495:57 0.000189273 -43 *3884:24 *25399:A1 0.000226136 -44 *3884:24 *5495:57 7.02611e-05 -45 *4256:11 *5495:23 0.000256242 -46 *4999:11 *29303:A 0.00108851 -47 *5011:12 *25399:A1 0.000517084 -48 *5015:14 *5495:57 5.34854e-05 -49 *5028:9 *29303:A 1.5424e-05 -50 *5028:9 *5495:23 0.000744446 -51 *5075:31 *5495:23 3.5703e-05 -52 *5160:22 *5495:23 0.000451392 -53 *5484:15 *25399:A1 0.000315165 -54 *5488:64 *25399:A1 0.000136958 -55 *5489:41 *25399:A1 0.000122591 -56 *5489:41 *5495:57 0.000137983 -57 *5490:11 *5495:23 0.000414858 -58 *5493:55 *5495:23 0.00187938 -59 *5493:55 *5495:57 0 -*RES -1 *28422:Q *5495:4 9.3 -2 *5495:4 *5495:23 46.3007 -3 *5495:23 *29303:A 32.3714 -4 *5495:4 *5495:57 31.3393 -5 *5495:57 *30930:A 9.3 -6 *5495:57 *25399:A1 33.8714 -*END - -*D_NET *5496 0.0275994 -*CONN -*I *25299:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30931:A I *D sky130_fd_sc_hd__buf_12 -*I *29270:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28423:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *25299:A1 0.00144868 -2 *30931:A 0 -3 *29270:A 0.000660052 -4 *28423:Q 0.000271322 -5 *5496:40 0.00242688 -6 *5496:16 0.0029892 -7 *5496:10 0.00294238 -8 *25299:A1 *5510:34 3.21588e-05 -9 *25299:A1 *5738:11 9.56029e-06 -10 *25299:A1 *5738:31 9.54798e-06 -11 *25299:A1 *5778:245 0 -12 *25299:A1 *5926:235 4.74489e-06 -13 *25299:A1 *5980:14 0 -14 *5496:10 *5497:13 2.06178e-05 -15 *5496:10 *5497:39 0.000366193 -16 *5496:16 *5518:33 0.00146337 -17 *5496:16 *5592:112 0.000321942 -18 *5496:16 *5685:173 4.1879e-05 -19 *5496:16 *5980:14 0 -20 *5496:40 *26816:A0 4.39823e-05 -21 *5496:40 *5592:113 0.00084908 -22 *5496:40 *5980:14 0.0024976 -23 pad_flash_clk *29270:A 0.000141935 -24 pad_flash_io1_oeb *5496:40 9.22103e-06 -25 pll90_sel[0] *25299:A1 0 -26 pll90_sel[1] *25299:A1 0.000730695 -27 pll_div[2] *5496:40 0.00030926 -28 *25299:B1 *25299:A1 8.6229e-06 -29 *25359:A2 *25299:A1 4.16148e-05 -30 *25621:A0 *5496:10 0.000139028 -31 *26817:A *25299:A1 5.22189e-05 -32 *28415:D *5496:40 8.6229e-06 -33 *28421:D *5496:40 0.000747296 -34 *28426:D *5496:16 5.25871e-05 -35 *29175:A *5496:16 0.00013784 -36 *29175:A *5496:40 0.000183205 -37 *29257:A *29270:A 0.000389077 -38 *29271:A *29270:A 0.00027218 -39 *29465:A *5496:40 0.000525375 -40 *29676:A *29270:A 6.78021e-05 -41 *30923:A *5496:40 7.14469e-05 -42 *244:19 *5496:40 2.72228e-05 -43 *470:14 *5496:10 0.000293147 -44 *757:12 *29270:A 0.000823789 -45 *1616:34 *25299:A1 4.00349e-05 -46 *1825:34 *5496:10 0.000374992 -47 *3652:10 *5496:10 1.75989e-05 -48 *4184:45 *5496:40 0.000243518 -49 *4255:9 *5496:40 5.71472e-05 -50 *5000:14 *5496:40 0.0022529 -51 *5014:24 *29270:A 0.000147122 -52 *5015:14 *5496:16 0.000138198 -53 *5042:5 *29270:A 0.00012922 -54 *5043:11 *29270:A 8.87097e-05 -55 *5479:14 *29270:A 0.000241839 -56 *5483:18 *25299:A1 7.45527e-05 -57 *5484:10 *25299:A1 0 -58 *5485:32 *25299:A1 1.84585e-05 -59 *5485:62 *29270:A 9.41642e-05 -60 *5490:11 *5496:16 0.00127314 -61 *5493:55 *5496:16 0.000272234 -62 *5495:23 *5496:16 0.000704191 -*RES -1 *28423:Q *5496:10 25.96 -2 *5496:10 *5496:16 13.2217 -3 *5496:16 *5496:40 48.9168 -4 *5496:40 *29270:A 40.6393 -5 *5496:16 *30931:A 9.3 -6 *5496:10 *25299:A1 34.3641 -*END - -*D_NET *5497 0.027406 -*CONN -*I *25268:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *29244:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30932:A I *D sky130_fd_sc_hd__buf_12 -*I *28424:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *25268:A1 2.93721e-05 -2 *29244:A 0.000265806 -3 *30932:A 0 -4 *28424:Q 0 -5 *5497:39 0.00214781 -6 *5497:27 0.0026459 -7 *5497:13 0.00429427 -8 *5497:5 0.00403262 -9 *5497:13 *5518:19 8.56752e-05 -10 *5497:27 *29057:A 0.00010096 -11 *5497:39 *25621:A1 0.000233183 -12 *5497:39 *25907:A0 0.00035497 -13 *5497:39 *25919:A0 0.000766812 -14 *5497:39 *28426:SET_B 0.00017177 -15 *5497:39 *5619:76 9.16737e-05 -16 *5497:39 *5710:190 0.000333803 -17 pll90_sel[0] *5497:13 0 -18 pll90_sel[0] *5497:39 0 -19 pll_ena *5497:27 0.00153015 -20 pll_sel[2] *5497:13 0.000100979 -21 *25268:A2 *25268:A1 0.00012088 -22 *25268:A2 *5497:39 6.25662e-05 -23 *25268:B1 *25268:A1 3.54964e-05 -24 *25399:B1 *5497:39 2.64852e-05 -25 *27538:B2 *5497:39 1.98813e-05 -26 *28355:D *5497:39 0.000910873 -27 *28953:A *5497:39 0.000475581 -28 *29228:A *29244:A 0.000781395 -29 *29261:A *5497:39 0.000497739 -30 *29304:A *29244:A 8.12389e-05 -31 *30066:A *29244:A 0.000284338 -32 *30733:A *5497:27 7.17235e-05 -33 *181:13 *5497:13 0.000506698 -34 *182:17 *5497:13 0.000921633 -35 *244:19 *29244:A 0.000245382 -36 *470:14 *5497:13 5.68312e-06 -37 *563:10 *5497:39 9.79902e-05 -38 *716:16 *5497:39 0.000119347 -39 *752:15 *29244:A 1.93106e-05 -40 *1362:152 *5497:39 1.01912e-05 -41 *1395:62 *5497:39 1.0945e-05 -42 *3568:20 *5497:39 0.000322597 -43 *3568:24 *5497:39 0.000189982 -44 *3651:65 *5497:39 1.01075e-05 -45 *3652:6 *5497:39 4.60236e-05 -46 *3652:10 *5497:39 5.60766e-05 -47 *3791:10 *5497:39 8.60466e-05 -48 *3802:11 *5497:39 1.94879e-05 -49 *3884:24 *5497:39 7.80307e-05 -50 *3909:19 *5497:39 0 -51 *3910:14 *5497:39 1.85396e-05 -52 *5063:15 *25268:A1 0.000185964 -53 *5160:37 *5497:13 0.000175885 -54 *5160:37 *5497:27 0.000183726 -55 *5474:14 *29244:A 0.000282623 -56 *5487:63 *5497:39 0.000380118 -57 *5488:55 *5497:39 7.36771e-05 -58 *5493:68 *5497:27 0.00225761 -59 *5494:11 *5497:13 7.77652e-05 -60 *5494:11 *5497:27 8.37664e-05 -61 *5496:10 *5497:13 2.06178e-05 -62 *5496:10 *5497:39 0.000366193 -*RES -1 *28424:Q *5497:5 13.8 -2 *5497:5 *5497:13 34.9286 -3 *5497:13 *30932:A 9.3 -4 *5497:13 *5497:27 48.2143 -5 *5497:27 *29244:A 25.1036 -6 *5497:5 *5497:39 55.6786 -7 *5497:39 *25268:A1 15.5679 -*END - -*D_NET *5498 0.0468676 -*CONN -*I *25393:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *29388:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30933:A I *D sky130_fd_sc_hd__buf_12 -*I *28114:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *25393:A1 0 -2 *29388:A 0 -3 *30933:A 3.99586e-05 -4 *28114:Q 4.16687e-05 -5 *5498:54 0.00971161 -6 *5498:34 0.0029536 -7 *5498:14 0.00420061 -8 *5498:7 0.0109603 -9 *5498:14 *5518:19 0.000238526 -10 *5498:34 *5733:36 9.24861e-05 -11 *5498:34 *5733:48 0.000394379 -12 *5498:54 *29265:A 0.00198677 -13 *5498:54 *30958:A 0.000301881 -14 *5498:54 *5521:22 0.00046908 -15 *5498:54 *5666:216 0.000162875 -16 *5498:54 *5685:76 0.000151271 -17 *5498:54 *5738:31 0.00104212 -18 pad_flash_io0_oeb *5498:34 0.000492904 -19 pll_div[2] *30933:A 0 -20 pll_div[3] *30933:A 0 -21 pll_trim[0] *5498:14 0 -22 pll_trim[1] *5498:14 5.10822e-05 -23 pll_trim[1] *5498:54 2.05413e-05 -24 pll_trim[2] *5498:14 0.00144739 -25 *25354:A *5498:34 1.53411e-05 -26 *25354:B *5498:34 0 -27 *25393:A2 *5498:54 0.000142725 -28 *27968:B1 *5498:54 0 -29 *28114:CLK *5498:7 2.89016e-05 -30 *28368:D *5498:34 5.7836e-06 -31 *29175:A *5498:34 0.000536623 -32 *29228:A *5498:34 0.000181303 -33 *29389:A *5498:34 2.35649e-05 -34 *30732:A *5498:34 0 -35 *30915:A *5498:34 0.00048227 -36 *30916:A *5498:34 0 -37 *181:13 *5498:14 0.000838995 -38 *182:17 *5498:14 0.000175885 -39 *467:18 *5498:34 0 -40 *701:29 *5498:34 0 -41 *748:16 *5498:34 9.60939e-05 -42 *750:19 *5498:34 0.000234638 -43 *1239:14 *5498:54 3.77584e-05 -44 *1252:21 *5498:54 0.000135968 -45 *1273:68 *5498:54 0.000324954 -46 *1395:29 *5498:54 0.000220879 -47 *1395:35 *5498:54 0.000125685 -48 *1420:22 *5498:54 0.000244191 -49 *1421:26 *5498:54 1.4526e-05 -50 *1722:21 *5498:54 0.000398864 -51 *3103:17 *5498:54 0 -52 *4183:28 *5498:34 0 -53 *4316:25 *5498:34 6.02109e-05 -54 *4317:16 *5498:34 1.90936e-05 -55 *5036:25 *5498:54 0.000913495 -56 *5160:22 *5498:34 0.00225396 -57 *5160:37 *5498:14 0.00127022 -58 *5491:44 *5498:54 0.000113898 -59 *5491:104 *5498:34 9.60939e-05 -60 *5493:55 *5498:34 0.0024783 -61 *5495:23 *5498:34 0.000638329 -*RES -1 *28114:Q *5498:7 14.3357 -2 *5498:7 *5498:14 41.1339 -3 *5498:14 *30933:A 14.3804 -4 *5498:14 *5498:34 41.059 -5 *5498:34 *29388:A 9.3 -6 *5498:7 *5498:54 43.5906 -7 *5498:54 *25393:A1 9.3 -*END - -*D_NET *5499 0.0241565 -*CONN -*I *25274:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29239:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30934:A I *D sky130_fd_sc_hd__buf_12 -*I *28108:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *25274:B2 0.000150938 -2 *29239:A 3.97536e-05 -3 *30934:A 0.00112278 -4 *28108:Q 0 -5 *5499:59 0.00219845 -6 *5499:34 0.00317606 -7 *5499:31 0.00196127 -8 *5499:4 0.00199525 -9 *25274:B2 *5608:19 7.65648e-05 -10 *30934:A *25609:A1 8.43894e-06 -11 *30934:A *25612:A1 1.94945e-05 -12 *30934:A *29649:A 0.000308007 -13 *30934:A *5500:41 4.65158e-06 -14 *30934:A *5504:33 0.000143375 -15 *30934:A *5518:62 0.00148496 -16 *30934:A *5519:49 0.000333411 -17 *30934:A *5675:245 0.00013656 -18 *30934:A *5737:154 0.000333297 -19 *30934:A *6334:39 0.000147142 -20 *30934:A *6385:44 0 -21 *5499:31 *30939:A 0.000748204 -22 *5499:31 *5504:33 0.000212777 -23 *5499:31 *5680:308 0.000139405 -24 *5499:31 *5737:203 0 -25 *5499:34 *30942:A 0 -26 *5499:34 *30943:A 4.30076e-05 -27 *5499:34 *5508:20 0.00010326 -28 *5499:34 *5508:44 0.00110586 -29 *5499:34 *6071:10 0 -30 *5499:59 *24911:A 5.20232e-05 -31 *5499:59 *5508:44 0.000205837 -32 *5499:59 *5609:89 0.000814016 -33 *5499:59 *5622:90 0.000387425 -34 *5499:59 *6317:85 0.00073071 -35 pll_trim[15] *5499:31 3.88213e-05 -36 *25270:A2 *5499:59 4.22135e-06 -37 *25289:B2 *5499:59 1.52978e-05 -38 *25310:A2 *5499:59 0.000384855 -39 *25406:B2 *30934:A 0.000269747 -40 *25584:A *5499:59 0.000312736 -41 *25955:S *5499:59 0.0002128 -42 *27055:A1 *5499:59 6.28948e-05 -43 *29325:A *5499:59 2.62628e-05 -44 *29518:A *5499:31 3.34672e-05 -45 *30004:A *5499:34 9.8285e-06 -46 *30871:A *5499:59 5.52387e-05 -47 *1240:11 *5499:59 0.000109428 -48 *1240:41 *5499:59 6.10028e-05 -49 *1248:14 *5499:34 0.0001839 -50 *1248:14 *5499:59 0.000337933 -51 *1254:144 *5499:59 0.00011387 -52 *1273:23 *5499:59 8.12643e-05 -53 *1282:37 *5499:59 0.000492123 -54 *1282:68 *5499:59 0.000645815 -55 *1323:5 *25274:B2 0.000515968 -56 *1535:13 *5499:34 0.000299831 -57 *1535:14 *5499:59 3.77381e-05 -58 *1900:17 *5499:59 4.26879e-05 -59 *1901:41 *5499:59 0.000238646 -60 *2780:72 *5499:59 3.32613e-05 -61 *2798:68 *29239:A 0.000182694 -62 *3146:17 *5499:34 4.33438e-05 -63 *3412:62 *30934:A 0.000299099 -64 *3412:62 *5499:34 0 -65 *3790:32 *25274:B2 0.000385699 -66 *3853:19 *5499:59 0.000132699 -67 *3875:35 *5499:34 3.25078e-05 -68 *3988:8 *5499:59 0 -69 *3988:33 *5499:59 0 -70 *4310:10 *5499:31 2.8266e-06 -71 *5010:18 *29239:A 0.000180777 -72 *5040:13 *30934:A 0 -73 *5483:120 *30934:A 9.42797e-05 -*RES -1 *28108:Q *5499:4 9.3 -2 *5499:4 *30934:A 39.4738 -3 *5499:4 *5499:31 28.6429 -4 *5499:31 *5499:34 23.125 -5 *5499:34 *29239:A 15.5679 -6 *5499:34 *5499:59 45.3549 -7 *5499:59 *25274:B2 14.9116 -*END - -*D_NET *5500 0.0378377 -*CONN -*I *30137:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25213:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *6475:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *30935:A I *D sky130_fd_sc_hd__buf_12 -*I *28109:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *30137:A 0 -2 *25213:A1 0.000705213 -3 *6475:DIODE 9.43398e-05 -4 *30935:A 0.00107174 -5 *28109:Q 3.5568e-05 -6 *5500:65 0.00173471 -7 *5500:57 0.00319028 -8 *5500:55 0.00262023 -9 *5500:44 0.000703013 -10 *5500:41 0.00167643 -11 *5500:7 0.00263451 -12 *6475:DIODE *25936:B 0.000175892 -13 *30935:A *5502:23 0.000484257 -14 *30935:A *5523:11 0.000254395 -15 *30935:A *5737:128 0.000134627 -16 *5500:7 *6334:39 0.000139913 -17 *5500:41 *25612:A1 4.09488e-06 -18 *5500:41 *28110:RESET_B 3.12555e-05 -19 *5500:41 *29649:A 0.000699694 -20 *5500:41 *30958:A 8.50218e-05 -21 *5500:41 *5518:62 0.000210274 -22 *5500:41 *5519:49 0.000201705 -23 *5500:41 *5599:125 4.30584e-06 -24 *5500:41 *5711:112 7.40571e-05 -25 *5500:41 *5737:128 1.61405e-05 -26 *5500:41 *6334:39 0.000270212 -27 *5500:41 *6346:15 1.90936e-05 -28 *5500:44 *25936:B 1.51489e-05 -29 *5500:57 *5581:64 0.00247774 -30 *5500:57 *5661:64 4.15526e-05 -31 pll_trim[10] *30935:A 0 -32 pll_trim[11] *30935:A 0.00039849 -33 pll_trim[7] *30935:A 0.000446597 -34 *25180:B1 *5500:41 3.47641e-06 -35 *25180:B2 *5500:44 0.000178425 -36 *25180:B2 *5500:55 8.6229e-06 -37 *25213:B1 *25213:A1 2.41198e-05 -38 *25213:B2 *25213:A1 0.000483813 -39 *25302:B1 *5500:65 1.90936e-05 -40 *25302:B2 *5500:57 1.90936e-05 -41 *25397:B2 *5500:57 3.1412e-05 -42 *25406:B2 *5500:41 4.60232e-06 -43 *25410:B2 *5500:57 0.000180279 -44 *27850:A1 *5500:57 6.7466e-05 -45 *27916:C *5500:57 8.88736e-05 -46 *27968:B2 *5500:41 0.000642605 -47 *28099:CLK *30935:A 0 -48 *28389:CLK *5500:57 0.000884938 -49 *28666:CLK *5500:57 0.000131324 -50 *30314:A *5500:41 8.29761e-05 -51 *30934:A *5500:41 4.65158e-06 -52 *459:10 *5500:41 0.00127961 -53 *459:10 *5500:55 1.74932e-05 -54 *1294:64 *5500:41 0.000111375 -55 *1294:77 *5500:55 0.000637631 -56 *1294:81 *5500:55 0.000130527 -57 *1294:81 *5500:57 0.0021981 -58 *1294:88 *5500:57 2.35985e-05 -59 *1294:95 *5500:65 0.000105797 -60 *1360:27 *5500:57 6.56216e-05 -61 *1360:27 *5500:65 0 -62 *1395:53 *5500:55 6.58704e-05 -63 *1400:40 *5500:65 0.00187641 -64 *1411:68 *5500:65 0.000340193 -65 *1440:85 *5500:65 0.000194493 -66 *1470:27 *5500:57 0.000259057 -67 *1498:34 *5500:41 4.15592e-05 -68 *1612:8 *5500:57 0.00215878 -69 *1620:10 *5500:65 0.0019909 -70 *1624:55 *5500:41 0.000392803 -71 *1713:26 *5500:57 0.000113898 -72 *2883:53 *5500:65 0.000136958 -73 *3205:72 *5500:57 0.00010283 -74 *3214:48 *5500:57 2.11419e-05 -75 *3459:8 *5500:55 0.000953311 -76 *3459:8 *5500:57 0.00032546 -77 *3506:19 *5500:65 0.000116155 -78 *3649:37 *5500:7 7.3237e-05 -79 *4380:8 *5500:41 0.000598625 -*RES -1 *28109:Q *5500:7 15.1571 -2 *5500:7 *30935:A 37.8 -3 *5500:7 *5500:41 49.4053 -4 *5500:41 *5500:44 2.60714 -5 *5500:44 *6475:DIODE 11.0679 -6 *5500:44 *5500:55 18.3661 -7 *5500:55 *5500:57 65.5446 -8 *5500:57 *5500:65 46.5536 -9 *5500:65 *25213:A1 17.6571 -10 *5500:44 *30137:A 9.3 -*END - -*D_NET *5501 0.00891982 -*CONN -*I *30936:A I *D sky130_fd_sc_hd__buf_12 -*I *25132:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *30020:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28110:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30936:A 0 -2 *25132:A1 5.09556e-05 -3 *30020:A 0.00013956 -4 *28110:Q 0.000409647 -5 *5501:23 0.00129617 -6 *5501:9 0.0015153 -7 *5501:9 *25215:B2 1.38323e-05 -8 *5501:9 *25592:A1 0.000318229 -9 *5501:9 *5691:190 0 -10 *5501:9 *5711:94 0.000190589 -11 *5501:23 *29601:A 0.000338326 -12 *5501:23 *5599:125 9.67517e-05 -13 *5501:23 *5685:122 0.000518242 -14 *5501:23 *6337:17 0.000691023 -15 pll_trim[12] *5501:9 0.000160004 -16 *25132:A2 *25132:A1 5.58666e-05 -17 *25132:A2 *5501:23 0.000132807 -18 *25132:B1 *25132:A1 2.95693e-05 -19 *25132:B1 *30020:A 3.466e-06 -20 *25406:B2 *5501:23 0.000375472 -21 *25941:S *5501:23 5.53563e-05 -22 *28384:D *5501:23 0.000465281 -23 *30021:A *30020:A 0.000119636 -24 *30023:A *5501:23 5.33005e-05 -25 *450:10 *5501:9 2.07446e-05 -26 *1263:88 *30020:A 9.41642e-05 -27 *1294:64 *5501:23 0.000216755 -28 *1898:49 *30020:A 9.41642e-05 -29 *3482:38 *30020:A 0.000118118 -30 *3649:79 *5501:23 0.000185747 -31 *3791:148 *5501:9 4.08637e-05 -32 *3791:148 *5501:23 9.58126e-05 -33 *4380:8 *5501:23 9.82693e-05 -34 *5037:11 *5501:23 0.000115322 -35 *5485:104 *5501:9 0.000181796 -36 *5485:104 *5501:23 9.41642e-05 -37 *5491:30 *5501:9 0.000534519 -*RES -1 *28110:Q *5501:9 29.3 -2 *5501:9 *5501:23 44.7857 -3 *5501:23 *30020:A 21.425 -4 *5501:23 *25132:A1 10.6393 -5 *5501:9 *30936:A 9.3 -*END - -*D_NET *5502 0.0292374 -*CONN -*I *29507:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25046:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *30937:A I *D sky130_fd_sc_hd__buf_12 -*I *28111:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *29507:A 0.00103247 -2 *25046:B2 0.00116648 -3 *30937:A 0 -4 *28111:Q 0.000127771 -5 *5502:41 0.00375604 -6 *5502:23 0.00220196 -7 *5502:10 0.0049909 -8 *5502:5 0.00135963 -9 *25046:B2 *25046:A1 0.000449355 -10 *25046:B2 *28769:RESET_B 0.000392148 -11 *25046:B2 *5521:67 1.02937e-05 -12 *25046:B2 *5753:28 2.14658e-05 -13 *25046:B2 *6009:23 9.54798e-06 -14 *25046:B2 *6179:19 0.00113261 -15 *29507:A *5516:20 0.000224519 -16 *29507:A *5517:18 0.000393763 -17 *29507:A *5675:235 6.09762e-05 -18 *29507:A *6142:14 0 -19 *5502:10 *30945:A 6.39808e-05 -20 *5502:10 *5511:23 0.000313465 -21 *5502:10 *5523:68 4.53834e-05 -22 *5502:23 *30940:A 0.000534222 -23 *5502:23 *30941:A 0.000159419 -24 *5502:23 *30943:A 0 -25 *5502:23 *5511:13 0.000100215 -26 *5502:41 *28442:D 6.57032e-05 -27 *5502:41 *28442:RESET_B 0.000426449 -28 *5502:41 *29029:A 0.000954682 -29 *5502:41 *30947:A 0.000817458 -30 *5502:41 *5513:32 0.000567271 -31 *5502:41 *5515:8 0 -32 *5502:41 *5521:67 0.000390685 -33 *5502:41 *5523:93 0.0010604 -34 *5502:41 *5526:14 0.000280509 -35 *5502:41 *5537:14 0.00136555 -36 *5502:41 *5746:8 0.000144773 -37 *5502:41 *6179:19 4.82884e-05 -38 pll_trim[11] *5502:23 0.00018649 -39 pll_trim[13] *5502:23 0.000410803 -40 pll_trim[16] *5502:23 0.000305506 -41 pll_trim[19] *5502:23 0.000271345 -42 pll_trim[20] *5502:23 9.90431e-05 -43 pll_trim[22] *5502:10 1.93327e-05 -44 *25615:A0 *29507:A 9.60293e-05 -45 *28429:CLK *5502:10 0 -46 *28769:CLK *25046:B2 0.00105056 -47 *28769:D *25046:B2 0.000122091 -48 *30646:A *5502:41 8.53773e-05 -49 *30685:A *5502:41 2.44318e-05 -50 *30935:A *5502:23 0.000484257 -51 *40:30 *5502:41 4.87953e-05 -52 *244:28 *29507:A 0.000375713 -53 *244:28 *5502:5 8.08852e-05 -54 *1178:18 *25046:B2 2.358e-05 -55 *2780:90 *29507:A 0.000803864 -56 *3638:100 *5502:41 3.73468e-05 -57 *3638:105 *5502:41 4.35367e-05 -58 *3639:50 *5502:10 0 -*RES -1 *28111:Q *5502:5 10.8625 -2 *5502:5 *5502:10 12.7404 -3 *5502:10 *5502:23 46.6522 -4 *5502:23 *30937:A 9.3 -5 *5502:10 *5502:41 40.2931 -6 *5502:41 *25046:B2 44.5857 -7 *5502:5 *29507:A 39.4429 -*END - -*D_NET *5503 0.0167252 -*CONN -*I *24980:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30681:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *24980:B2 0.000972307 -2 *30681:X 3.97671e-05 -3 *5503:8 0.00315697 -4 *5503:7 0.00222443 -5 *24980:B2 *24980:A2 1.98839e-05 -6 *24980:B2 *5536:31 0.000934348 -7 *5503:8 *28431:RESET_B 9.5527e-05 -8 *5503:8 *28434:D 0 -9 *5503:8 *28621:D 0.000104784 -10 *5503:8 *28621:RESET_B 3.06406e-05 -11 *5503:8 *30959:A 0 -12 *5503:8 *5528:8 0 -13 *5503:8 *5583:178 0.00112411 -14 *5503:8 *5752:82 0.000253088 -15 *5503:8 *5752:84 2.37761e-05 -16 *24980:A1 *24980:B2 0.000475893 -17 *24980:B1 *24980:B2 2.40787e-05 -18 *25632:A1 *5503:8 0.000102545 -19 *29916:A *5503:8 0 -20 *29960:A *24980:B2 0.000301438 -21 *30681:A *5503:7 1.72894e-05 -22 *244:65 *5503:8 0.00525483 -23 *1307:23 *24980:B2 0.000838012 -24 *1490:82 *5503:8 0 -25 *2776:109 *5503:8 0.000257947 -26 *3572:81 *5503:8 0 -27 *3633:27 *24980:B2 2.13481e-06 -28 *4098:42 *24980:B2 0.000471415 -29 *5240:8 *5503:8 0 -*RES -1 *30681:X *5503:7 14.3357 -2 *5503:7 *5503:8 69.0357 -3 *5503:8 *24980:B2 47.3179 -*END - -*D_NET *5504 0.0579716 -*CONN -*I *25008:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *29766:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30938:A I *D sky130_fd_sc_hd__buf_12 -*I *28112:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *25008:B2 2.99504e-05 -2 *29766:A 0 -3 *30938:A 0.000298512 -4 *28112:Q 8.80349e-06 -5 *5504:86 0.00211676 -6 *5504:67 0.00870103 -7 *5504:66 0.00791255 -8 *5504:56 0.00318039 -9 *5504:49 0.00349874 -10 *5504:33 0.00218443 -11 *5504:7 0.0035114 -12 *30938:A *25609:A1 0.000507959 -13 *30938:A *30940:A 0.000356695 -14 *5504:7 *29038:A 5.52238e-05 -15 *5504:33 *28111:D 5.52302e-05 -16 *5504:33 *29038:A 0.00122757 -17 *5504:33 *5737:154 0.000100625 -18 *5504:33 *6142:14 5.66157e-05 -19 *5504:49 *25614:A0 0.000136001 -20 *5504:49 *28393:D 3.80847e-05 -21 *5504:49 *5512:29 1.47001e-05 -22 *5504:49 *5518:62 2.7883e-05 -23 *5504:49 *5592:78 0.000260203 -24 *5504:49 *5609:33 0.000162512 -25 *5504:49 *5675:223 0.000528957 -26 *5504:49 *5675:235 0.00134557 -27 *5504:49 *5945:18 0.00046984 -28 *5504:49 *6142:14 0.000360104 -29 *5504:56 *28393:D 0.000105979 -30 *5504:56 *28659:SET_B 0.000162885 -31 *5504:56 *5526:16 0 -32 *5504:56 *5592:64 0.000682261 -33 *5504:56 *5592:78 0.00127372 -34 *5504:66 *5592:55 0.0003743 -35 *5504:67 *5646:17 0.000274991 -36 *5504:67 *5651:13 2.13481e-06 -37 *5504:67 *5657:29 5.01819e-05 -38 *5504:67 *5700:256 0.00167508 -39 *5504:86 *5645:39 0.000469202 -40 *5504:86 *5660:23 3.7529e-05 -41 *5504:86 *5660:35 0 -42 pll_trim[14] *30938:A 0.00014285 -43 pll_trim[15] *5504:33 0.000397901 -44 pll_trim[22] *5504:33 2.19778e-05 -45 pll_trim[22] *5504:49 5.03587e-05 -46 *25008:A1 *25008:B2 9.41642e-05 -47 *27317:B *5504:67 8.13784e-05 -48 *27322:A1 *5504:67 0.000175892 -49 *27325:C1 *5504:66 9.25014e-06 -50 *27596:A *5504:67 2.23592e-05 -51 *27596:B *5504:67 0.000137983 -52 *27613:A *5504:67 6.13757e-06 -53 *27624:B *5504:67 0.000175892 -54 *28099:CLK *30938:A 0.000750337 -55 *28112:CLK *5504:33 5.62995e-05 -56 *28794:CLK *5504:56 0 -57 *30934:A *5504:33 0.000143375 -58 *456:17 *5504:49 1.10568e-05 -59 *1244:28 *5504:56 0.000427574 -60 *1244:122 *5504:56 0.00140527 -61 *1244:128 *5504:56 0.00107969 -62 *1244:128 *5504:66 5.2512e-05 -63 *1294:147 *5504:66 0.00021836 -64 *1385:16 *5504:86 7.83659e-05 -65 *1539:14 *5504:56 0.000517682 -66 *1539:14 *5504:66 6.20566e-05 -67 *1539:21 *5504:66 0.00253306 -68 *1547:12 *5504:67 0.00224718 -69 *1691:10 *5504:66 0.00144052 -70 *1803:62 *5504:67 2.92224e-05 -71 *2781:39 *5504:86 0.000152147 -72 *2823:86 *5504:67 0.000216755 -73 *2834:31 *5504:66 0.00021291 -74 *2882:22 *5504:67 5.56564e-05 -75 *3148:9 *5504:67 0.000110608 -76 *3148:18 *5504:67 0.000330493 -77 *3176:7 *5504:67 1.98839e-05 -78 *3179:46 *5504:86 1.01057e-05 -79 *3179:66 *5504:86 8.23235e-05 -80 *3343:10 *5504:86 0.000784002 -81 *3347:12 *5504:86 7.99196e-05 -82 *3648:30 *5504:33 1.91807e-05 -83 *3735:46 *25008:B2 9.41642e-05 -84 *3875:35 *5504:49 0.000268072 -85 *3877:26 *5504:86 0.000467365 -86 *3877:41 *5504:86 7.46668e-05 -87 *3942:35 *5504:86 7.6644e-05 -88 *3992:28 *5504:66 1.721e-05 -89 *4352:35 *5504:56 2.04825e-05 -90 *5040:13 *5504:33 4.29471e-05 -91 *5499:31 *5504:33 0.000212777 -*RES -1 *28112:Q *5504:7 14.3357 -2 *5504:7 *5504:33 49.3214 -3 *5504:33 *30938:A 25.5857 -4 *5504:7 *5504:49 18.3817 -5 *5504:49 *29766:A 13.8 -6 *5504:49 *5504:56 50.0625 -7 *5504:56 *5504:66 41.4911 -8 *5504:66 *5504:67 80.2143 -9 *5504:67 *5504:86 48.4477 -10 *5504:86 *25008:B2 14.7464 -*END - -*D_NET *5505 0.0655001 -*CONN -*I *6476:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *24990:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *29444:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30939:A I *D sky130_fd_sc_hd__buf_12 -*I *28113:Q O *D sky130_fd_sc_hd__dfstp_4 -*CAP -1 *6476:DIODE 0.000284372 -2 *24990:B2 3.85214e-05 -3 *29444:A 0 -4 *30939:A 0.00126644 -5 *28113:Q 0 -6 *5505:69 0.00274263 -7 *5505:67 0.00578669 -8 *5505:64 0.00384668 -9 *5505:57 0.00285651 -10 *5505:42 0.00766296 -11 *5505:41 0.00569771 -12 *5505:28 0.000747425 -13 *5505:4 0.00160233 -14 *30939:A *28113:SET_B 0.000170976 -15 *30939:A *29038:A 0.00105393 -16 *30939:A *5749:6 5.86416e-05 -17 *30939:A *5749:23 9.64237e-05 -18 *30939:A *6071:10 0 -19 *5505:28 *5516:20 0.000320238 -20 *5505:28 *5518:62 8.56893e-05 -21 *5505:28 *6142:14 6.32026e-05 -22 *5505:41 *25613:A0 0.000521149 -23 *5505:41 *5707:200 9.60939e-05 -24 *5505:42 *25358:A2 5.05056e-05 -25 *5505:42 *25590:B 6.5409e-05 -26 *5505:42 *26825:A0 0.00021228 -27 *5505:42 *5602:13 1.30764e-05 -28 *5505:42 *5606:33 0.000115446 -29 *5505:42 *5635:34 1.06841e-05 -30 *5505:42 *5873:164 8.53839e-05 -31 *5505:42 *6320:29 8.07951e-05 -32 *5505:57 *5627:57 0.0014366 -33 *5505:67 *5627:41 9.46403e-05 -34 *5505:67 *5627:162 0.000148042 -35 pll_trim[15] *30939:A 0.000141729 -36 pll_trim[22] *30939:A 1.24368e-05 -37 pll_trim[22] *5505:28 7.14668e-05 -38 *24990:A1 *6476:DIODE 3.79491e-05 -39 *24990:A1 *24990:B2 1.05731e-05 -40 *24990:A2 *6476:DIODE 3.3254e-05 -41 *24990:B1 *6476:DIODE 5.92757e-05 -42 *24990:C1 *6476:DIODE 0.000179194 -43 *24990:C1 *5505:69 4.58194e-05 -44 *25216:C1 *30939:A 0.00018691 -45 *25260:A1 *5505:41 5.15925e-05 -46 *25596:A0 *30939:A 6.7267e-05 -47 *25614:S *30939:A 0 -48 *26824:A *5505:28 2.35087e-05 -49 *27636:C *5505:42 2.21972e-05 -50 *28113:D *30939:A 1.54874e-05 -51 *28626:CLK *5505:42 0.000168444 -52 *28642:D *5505:42 2.32537e-05 -53 *28712:CLK *5505:67 0.000314311 -54 *28712:CLK *5505:69 0.00239035 -55 *28940:A *5505:42 9.65161e-05 -56 *29817:A *5505:42 0.000316894 -57 *282:21 *5505:57 0.000107076 -58 *474:15 *5505:42 7.72916e-05 -59 *762:14 *5505:41 0.000303368 -60 *1245:51 *5505:42 0.000142162 -61 *1262:172 *5505:64 0.000924908 -62 *1265:36 *5505:42 0.00315348 -63 *1277:53 *5505:42 0.00238182 -64 *1580:12 *5505:42 0.0059563 -65 *1592:13 *5505:42 0.00165161 -66 *1592:19 *5505:42 0.00155936 -67 *1670:20 *5505:42 7.69776e-06 -68 *1691:25 *5505:57 5.96516e-05 -69 *1815:34 *5505:42 0.000147926 -70 *1900:40 *5505:41 5.97299e-05 -71 *2781:87 *5505:42 0.000409945 -72 *2850:179 *5505:64 0.000268433 -73 *2870:21 *5505:57 0.000415906 -74 *2878:138 *5505:42 0.00130341 -75 *2878:147 *5505:42 0.000168922 -76 *2894:210 *5505:64 0.000266847 -77 *2895:34 *5505:42 4.37327e-05 -78 *2895:43 *5505:42 2.73697e-05 -79 *3412:41 *5505:41 2.42516e-05 -80 *3413:57 *5505:42 0.0001326 -81 *3413:62 *5505:42 0.000573504 -82 *3574:75 *5505:64 0.000499226 -83 *3574:81 *5505:57 5.21189e-05 -84 *3574:81 *5505:64 9.41642e-05 -85 *3574:86 *5505:57 5.37949e-05 -86 *3639:30 *5505:41 5.33072e-05 -87 *3639:74 *5505:42 0 -88 *3639:83 *5505:42 0 -89 *3829:8 *5505:42 0.00160707 -90 *3829:29 *5505:42 1.13072e-05 -91 *3844:41 *5505:42 0.000310531 -92 *3946:45 *5505:57 0.000323179 -93 *5499:31 *30939:A 0.000748204 -*RES -1 *28113:Q *5505:4 9.3 -2 *5505:4 *30939:A 44.6393 -3 *5505:4 *5505:28 15.5536 -4 *5505:28 *29444:A 9.3 -5 *5505:28 *5505:41 21.4643 -6 *5505:41 *5505:42 156.464 -7 *5505:42 *5505:57 46.7857 -8 *5505:57 *5505:64 23.2679 -9 *5505:64 *5505:67 36.0804 -10 *5505:67 *5505:69 36.8839 -11 *5505:69 *24990:B2 10.1616 -12 *5505:69 *6476:DIODE 14.4071 -*END - -*D_NET *5506 0.0111552 -*CONN -*I *29381:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25406:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30940:A I *D sky130_fd_sc_hd__buf_12 -*I *28098:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *29381:A 0.000569312 -2 *25406:A1 0.000182196 -3 *30940:A 0.000790806 -4 *28098:Q 0.000164246 -5 *5506:24 0.00150961 -6 *5506:7 0.00171315 -7 *25406:A1 *5711:94 2.3628e-05 -8 *29381:A *5711:86 0.000621862 -9 *29381:A *6332:39 0.000204086 -10 *30940:A *25609:A1 4.54886e-05 -11 *30940:A *28108:SET_B 2.84499e-05 -12 *30940:A *5527:10 0.000159993 -13 *30940:A *5705:169 9.38228e-05 -14 *5506:7 *5675:245 0.00018283 -15 *5506:24 *25951:A1 0.000239722 -16 *5506:24 *5705:169 0.0002327 -17 *5506:24 *5711:94 0.000252113 -18 pll_trim[13] *30940:A 9.90367e-05 -19 pll_trim[14] *30940:A 9.76928e-05 -20 pll_trim[15] *30940:A 0 -21 pll_trim[16] *30940:A 5.71472e-05 -22 *25400:B2 *29381:A 1.98863e-05 -23 *25406:A2 *25406:A1 0.000136896 -24 *25406:B1 *25406:A1 0.000128701 -25 *25592:S *30940:A 0.000167636 -26 *25594:A0 *29381:A 1.21637e-05 -27 *25949:A0 *5506:24 0.000134884 -28 *30022:A *29381:A 0.00012501 -29 *30068:A *29381:A 0.000146644 -30 *30938:A *30940:A 0.000356695 -31 *450:10 *29381:A 0.00071581 -32 *723:24 *25406:A1 5.52238e-05 -33 *723:24 *29381:A 0.000123589 -34 *723:24 *5506:24 5.33005e-05 -35 *1294:39 *5506:24 0.000110987 -36 *1327:98 *29381:A 0.000142121 -37 *1498:49 *5506:7 0.000438306 -38 *1659:10 *5506:24 0.00013743 -39 *3791:148 *5506:24 8.25157e-05 -40 *3996:8 *5506:24 7.48409e-06 -41 *5153:8 *29381:A 0.000109739 -42 *5154:10 *5506:24 0.000148107 -43 *5502:23 *30940:A 0.000534222 -*RES -1 *28098:Q *5506:7 19.0589 -2 *5506:7 *30940:A 32.1929 -3 *5506:7 *5506:24 21.6071 -4 *5506:24 *25406:A1 13.2821 -5 *5506:24 *29381:A 35.4786 -*END - -*D_NET *5507 0.00831098 -*CONN -*I *29268:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25341:A1 I *D sky130_fd_sc_hd__a22o_4 -*I *30941:A I *D sky130_fd_sc_hd__buf_12 -*I *28099:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *29268:A 0.000626531 -2 *25341:A1 2.23854e-05 -3 *30941:A 0.00029108 -4 *28099:Q 0 -5 *5507:23 0.00146905 -6 *5507:4 0.00111121 -7 *29268:A *24922:B 0.000169236 -8 *29268:A *25215:B2 8.46829e-05 -9 *29268:A *28392:SET_B 0.000176283 -10 *29268:A *5620:46 0.000760692 -11 *29268:A *5624:132 0.000344092 -12 *30941:A *5523:68 7.41389e-06 -13 *30941:A *5592:81 3.33238e-05 -14 *5507:23 *25215:B2 5.52302e-05 -15 *5507:23 *28102:SET_B 0 -16 *5507:23 *28392:SET_B 0.000162627 -17 *5507:23 *5521:22 0 -18 *5507:23 *5523:68 4.76655e-05 -19 *5507:23 *5592:81 0.000164314 -20 *5507:23 *5737:203 8.87535e-05 -21 *5507:23 *5737:207 0.000370239 -22 pll_trim[17] *30941:A 3.34366e-05 -23 pll_trim[18] *30941:A 0 -24 *25341:A2 *29268:A 7.37829e-05 -25 *25341:B1 *25341:A1 0 -26 *28100:D *5507:23 0.000325363 -27 *1718:12 *29268:A 9.41642e-05 -28 *1824:38 *5507:23 2.11419e-05 -29 *3648:30 *5507:23 0 -30 *3820:25 *29268:A 0.000473265 -31 *3875:51 *29268:A 5.21676e-05 -32 *4310:10 *29268:A 8.15434e-05 -33 *4311:12 *5507:23 0.000188749 -34 *5010:18 *29268:A 0.000771373 -35 *5040:13 *30941:A 0 -36 *5485:104 *29268:A 2.28499e-05 -37 *5485:104 *5507:23 2.89114e-05 -38 *5502:23 *30941:A 0.000159419 -*RES -1 *28099:Q *5507:4 9.3 -2 *5507:4 *30941:A 23.9696 -3 *5507:4 *5507:23 27.3125 -4 *5507:23 *25341:A1 9.72857 -5 *5507:23 *29268:A 38.8893 -*END - -*D_NET *5508 0.0134527 -*CONN -*I *25270:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *29182:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30942:A I *D sky130_fd_sc_hd__buf_12 -*I *28100:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *25270:A1 0.000840537 -2 *29182:A 0.000574124 -3 *30942:A 0.000544025 -4 *28100:Q 2.90406e-05 -5 *5508:44 0.00158838 -6 *5508:20 0.00148525 -7 *5508:5 0.000736351 -8 *25270:A1 *25953:A1 0.000210093 -9 *25270:A1 *5605:10 0.000441003 -10 *25270:A1 *5605:17 0.000502981 -11 *25270:A1 *5698:203 0.000722311 -12 *29182:A *25613:A0 5.60545e-05 -13 *29182:A *5926:194 3.69047e-06 -14 *29182:A *6071:10 4.26825e-05 -15 *29182:A *6385:32 0.000248565 -16 *30942:A *30943:A 0.000617515 -17 *30942:A *5509:10 0.000374687 -18 *30942:A *5511:13 0 -19 *30942:A *5521:22 0 -20 *30942:A *5592:81 2.89016e-05 -21 *30942:A *5737:203 0 -22 *5508:44 *30067:A 0 -23 *5508:44 *5622:93 7.95355e-05 -24 pll_trim[18] *30942:A 5.71472e-05 -25 *25260:B2 *29182:A 7.55601e-05 -26 *25270:B1 *25270:A1 9.41642e-05 -27 *25270:B2 *25270:A1 1.56e-05 -28 *25404:A1 *5508:44 0.000219711 -29 *25405:C *25270:A1 0.000124649 -30 *25405:C *5508:44 5.52238e-05 -31 *25613:S *29182:A 1.58163e-05 -32 *27594:A1 *29182:A 0 -33 *29326:A *25270:A1 6.56976e-05 -34 *29518:A *30942:A 0.000121261 -35 *30004:A *30942:A 1.6806e-05 -36 *30004:A *5508:20 0.00016022 -37 *30004:A *5508:44 0.000192465 -38 *1240:76 *5508:44 6.90348e-06 -39 *1264:56 *29182:A 6.9914e-05 -40 *1273:23 *25270:A1 0.000241068 -41 *1294:19 *5508:44 3.84478e-05 -42 *1504:16 *25270:A1 0.00020503 -43 *1535:14 *5508:44 0.00108129 -44 *1824:38 *5508:5 1.59935e-05 -45 *1824:38 *5508:20 3.90718e-05 -46 *5499:34 *30942:A 0 -47 *5499:34 *5508:20 0.00010326 -48 *5499:34 *5508:44 0.00110586 -49 *5499:59 *5508:44 0.000205837 -*RES -1 *28100:Q *5508:5 9.63036 -2 *5508:5 *30942:A 31.7107 -3 *5508:5 *5508:20 7.34821 -4 *5508:20 *29182:A 27.1077 -5 *5508:20 *5508:44 31.4911 -6 *5508:44 *25270:A1 36.3536 -*END - -*D_NET *5509 0.00631841 -*CONN -*I *30943:A I *D sky130_fd_sc_hd__buf_12 -*I *30067:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25215:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28101:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *30943:A 0.00049528 -2 *30067:A 0.000448697 -3 *25215:A1 0 -4 *28101:Q 2.38676e-05 -5 *5509:10 0.000837267 -6 *5509:5 0.000907718 -7 *30067:A *25215:B2 8.85664e-07 -8 *30067:A *5605:10 0.000326191 -9 *30067:A *5622:106 0.000348656 -10 *30943:A *28111:D 7.8327e-05 -11 *30943:A *5511:13 0 -12 *5509:10 *25215:B2 1.19402e-05 -13 *5509:10 *25596:A1 0 -14 pll_trim[18] *30943:A 0 -15 pll_trim[19] *30943:A 3.34295e-05 -16 pll_trim[20] *30943:A 0 -17 *25105:B1 *30067:A 0.00013976 -18 *28112:CLK *30943:A 0 -19 *29518:A *5509:10 0.000441317 -20 *30004:A *5509:10 7.87026e-07 -21 *30942:A *30943:A 0.000617515 -22 *30942:A *5509:10 0.000374687 -23 *1240:76 *30067:A 4.15526e-05 -24 *1240:96 *30067:A 1.11775e-05 -25 *1240:96 *5509:10 0.000131168 -26 *1253:112 *30067:A 9.42798e-05 -27 *1264:51 *30067:A 0.000403232 -28 *1264:56 *30067:A 2.11419e-05 -29 *1294:19 *30067:A 0.000262948 -30 *2798:68 *30067:A 5.33005e-05 -31 *5010:18 *30067:A 5.33005e-05 -32 *5483:120 *5509:5 2.0887e-05 -33 *5483:120 *5509:10 9.60875e-05 -34 *5499:34 *30943:A 4.30076e-05 -35 *5502:23 *30943:A 0 -36 *5508:44 *30067:A 0 -*RES -1 *28101:Q *5509:5 9.63036 -2 *5509:5 *5509:10 14.0714 -3 *5509:10 *25215:A1 13.8 -4 *5509:10 *30067:A 26.9071 -5 *5509:5 *30943:A 29.05 -*END - -*D_NET *5510 0.0402851 -*CONN -*I *25326:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *29433:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30944:A I *D sky130_fd_sc_hd__buf_12 -*I *28115:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *25326:A1 0 -2 *29433:A 0.000435218 -3 *30944:A 2.04425e-05 -4 *28115:Q 0.00107618 -5 *5510:116 0.00113773 -6 *5510:98 0.00256378 -7 *5510:87 0.00312831 -8 *5510:59 0.00269549 -9 *5510:34 0.00482145 -10 *5510:20 0.00195554 -11 *30944:A *5778:245 5.33005e-05 -12 *5510:20 *28426:SET_B 7.6644e-05 -13 *5510:20 *28427:RESET_B 5.60007e-05 -14 *5510:20 *5738:31 0 -15 *5510:20 *5778:261 0.000170964 -16 *5510:34 *25922:A0 1.59885e-05 -17 *5510:34 *28426:SET_B 0.000147835 -18 *5510:34 *5734:12 0.000113126 -19 *5510:34 *5734:125 7.35637e-05 -20 *5510:34 *5738:31 8.79856e-06 -21 *5510:34 *5778:245 8.36572e-05 -22 *5510:59 *25659:A1 3.14078e-05 -23 *5510:59 *5685:142 5.58004e-06 -24 *5510:59 *5710:103 9.90431e-05 -25 *5510:87 *27505:A2 3.4323e-06 -26 *5510:87 *28221:RESET_B 0 -27 *5510:87 *5734:8 0.000131533 -28 *5510:87 *5734:12 0.000156101 -29 *5510:87 *5735:29 0 -30 *5510:87 *6225:27 0.00018077 -31 *5510:98 *27496:B 0.000164508 -32 *5510:98 *27526:C1 0.00019145 -33 *5510:98 *5718:121 0.00016743 -34 *5510:98 *5718:126 0.000682522 -35 pll90_sel[1] *5510:20 0.000262948 -36 pll90_sel[1] *5510:34 2.90352e-05 -37 pll_trim[1] *5510:20 0.000359997 -38 *25109:B *5510:87 8.68639e-05 -39 *25178:A2 *5510:87 5.59013e-05 -40 *25280:A1 *5510:116 4.96113e-05 -41 *25280:B2 *5510:116 1.72394e-05 -42 *25280:C1 *5510:116 2.35581e-05 -43 *25299:A1 *5510:34 3.21588e-05 -44 *25326:A2 *5510:116 0 -45 *25326:B1 *5510:116 1.87126e-05 -46 *25617:A0 *5510:59 0.000703246 -47 *26817:A *5510:34 0.000215065 -48 *27504:A2 *5510:98 0 -49 *27508:A2 *5510:98 0.000608201 -50 *27539:C1 *5510:98 0.000653971 -51 *27540:A *5510:116 0.00051557 -52 *27896:B *5510:116 0.000510366 -53 *28117:CLK *5510:20 2.06178e-05 -54 *28150:CLK *5510:59 5.33005e-05 -55 *28150:D *5510:59 0.00129589 -56 *28226:CLK *29433:A 5.52238e-05 -57 *28365:D *5510:59 0.000841883 -58 *28368:D *5510:59 0 -59 *28795:D *5510:34 0.000101549 -60 *29243:A *5510:87 0.00104104 -61 *29308:A *29433:A 0.000188468 -62 *30000:A *29433:A 0.00018372 -63 *30031:A *5510:59 1.27792e-05 -64 *244:20 *5510:20 0.00055313 -65 *506:24 *29433:A 2.25243e-05 -66 *563:10 *5510:87 0.000178847 -67 *1362:97 *5510:116 0.0011382 -68 *1363:23 *5510:34 0.000221217 -69 *1421:53 *5510:116 6.05161e-06 -70 *1452:114 *5510:116 0.000263108 -71 *1498:13 *5510:34 0 -72 *1617:17 *5510:34 2.06112e-05 -73 *1617:17 *5510:87 0.00010283 -74 *2860:92 *5510:98 4.37641e-05 -75 *3050:10 *5510:98 3.8184e-05 -76 *3062:10 *5510:34 0.000209565 -77 *3062:10 *5510:87 0.000280338 -78 *3129:18 *5510:116 0 -79 *3568:17 *5510:87 0.000138346 -80 *3568:17 *5510:98 9.27418e-05 -81 *3650:102 *5510:20 5.52302e-05 -82 *3650:122 *5510:20 3.34366e-05 -83 *3650:129 *5510:20 0.000135528 -84 *3653:26 *29433:A 1.89507e-06 -85 *3752:56 *5510:116 0.000705409 -86 *3762:74 *5510:98 0.00101534 -87 *3779:45 *5510:116 0.000428686 -88 *3792:10 *5510:34 0 -89 *3792:33 *5510:59 0.000346137 -90 *3792:51 *29433:A 5.37879e-05 -91 *3801:56 *5510:87 0.0011796 -92 *3801:56 *5510:98 0 -93 *3806:39 *5510:98 4.78056e-05 -94 *3806:62 *5510:98 0.00010326 -95 *3815:25 *5510:59 0.000186662 -96 *3840:46 *5510:116 0.000762777 -97 *3869:34 *5510:98 9.02017e-05 -98 *3883:31 *5510:59 6.63171e-06 -99 *3884:53 *5510:59 0.000470443 -100 *3892:18 *5510:59 0.000281744 -101 *3892:33 *5510:59 0.000344515 -102 *3908:85 *5510:98 4.7312e-05 -103 *3918:83 *5510:87 0.000393101 -104 *3919:51 *5510:116 0.000430401 -105 *4131:36 *5510:98 0.000197111 -106 *4282:17 *5510:87 3.72534e-05 -107 *4331:27 *29433:A 4.00658e-06 -108 *4331:27 *5510:59 0.000607108 -109 *5011:12 *5510:59 0 -110 *5011:20 *5510:20 2.84349e-05 -111 *5026:35 *5510:59 0.000163987 -112 *5235:22 *5510:20 0.000103981 -113 *5342:19 *5510:59 0 -114 *5483:18 *5510:34 0.000138319 -115 *5485:12 *5510:34 1.66741e-05 -116 *5485:32 *5510:34 1.37948e-05 -117 *5489:41 *5510:59 8.85578e-05 -118 *5494:49 *30944:A 2.59355e-05 -119 *5494:49 *5510:34 4.58194e-05 -*RES -1 *28115:Q *5510:20 48.6036 -2 *5510:20 *30944:A 9.83571 -3 *5510:20 *5510:34 23.9911 -4 *5510:34 *5510:59 48.8418 -5 *5510:59 *29433:A 21.0232 -6 *5510:34 *5510:87 49.8125 -7 *5510:87 *5510:98 46 -8 *5510:98 *5510:116 46.8482 -9 *5510:116 *25326:A1 9.3 -*END - -*D_NET *5511 0.0101355 -*CONN -*I *25105:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *30016:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30945:A I *D sky130_fd_sc_hd__buf_12 -*I *28102:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *25105:A1 0.000574327 -2 *30016:A 4.14491e-05 -3 *30945:A 0.000133922 -4 *28102:Q 0.000947441 -5 *5511:23 0.00125195 -6 *5511:13 0.00171753 -7 *25105:A1 *24968:A 0.000148911 -8 *25105:A1 *25400:A1 0.000212373 -9 *25105:A1 *5665:285 0 -10 *25105:A1 *6071:10 0 -11 *5511:13 *5521:22 9.25014e-06 -12 *5511:13 *5523:68 0.000839597 -13 *5511:23 *28112:SET_B 6.21582e-05 -14 *5511:23 *28113:SET_B 4.2037e-05 -15 *5511:23 *29030:A 0 -16 *5511:23 *5665:285 0 -17 *5511:23 *5749:6 0.000517098 -18 *5511:23 *5749:23 0.000614956 -19 pll_trim[18] *5511:13 2.84398e-05 -20 pll_trim[20] *30945:A 0.000183726 -21 pll_trim[21] *30945:A 0.000185643 -22 pll_trim[22] *30945:A 2.72204e-05 -23 *24947:A *25105:A1 0 -24 *24968:B *25105:A1 0.000490161 -25 *25600:A0 *30016:A 9.76689e-05 -26 *28111:CLK *5511:13 1.66856e-05 -27 *30942:A *5511:13 0 -28 *30943:A *5511:13 0 -29 *1240:41 *25105:A1 0.00018372 -30 *1243:69 *25105:A1 2.24646e-05 -31 *1243:86 *25105:A1 0.000447622 -32 *1328:22 *25105:A1 9.41642e-05 -33 *1824:8 *25105:A1 0 -34 *3639:50 *5511:23 0 -35 *3875:35 *25105:A1 5.16632e-05 -36 *3875:35 *5511:23 5.10772e-05 -37 *5483:130 *5511:23 0.00048087 -38 *5485:104 *30016:A 0.000183726 -39 *5502:10 *30945:A 6.39808e-05 -40 *5502:10 *5511:23 0.000313465 -41 *5502:23 *5511:13 0.000100215 -*RES -1 *28102:Q *5511:13 36.8982 -2 *5511:13 *30945:A 17.5143 -3 *5511:13 *5511:23 17.7321 -4 *5511:23 *30016:A 15.5679 -5 *5511:23 *25105:A1 28.8 -*END - -*D_NET *5512 0.026488 -*CONN -*I *25044:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *29551:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30946:A I *D sky130_fd_sc_hd__buf_12 -*I *28103:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *25044:A1 0.00214786 -2 *29551:A 0 -3 *30946:A 0.000573423 -4 *28103:Q 0 -5 *5512:50 0.00331846 -6 *5512:29 0.00177023 -7 *5512:4 0.00117305 -8 *25044:A1 *27216:B 0.000735239 -9 *25044:A1 *27272:D_N 1.94879e-05 -10 *25044:A1 *27483:A2 9.09191e-05 -11 *25044:A1 *28647:D 4.54704e-05 -12 *25044:A1 *5534:25 2.74743e-05 -13 *25044:A1 *5675:223 0.000803051 -14 *25044:A1 *5680:276 0.00200058 -15 *25044:A1 *5751:83 8.77487e-05 -16 *25044:A1 *6314:75 0.000852911 -17 *25044:A1 *6380:89 0.000238005 -18 *25044:A1 *6381:101 0.000275028 -19 *25044:A1 *6382:36 0.000322191 -20 *25044:A1 *6382:48 0.000235707 -21 *25044:A1 *6386:29 1.76649e-05 -22 *25044:A1 *6386:35 0.000372281 -23 *30946:A *26825:A0 4.60232e-06 -24 *30946:A *28103:D 9.0145e-05 -25 *30946:A *30947:A 0 -26 *30946:A *5517:10 0 -27 *30946:A *5745:53 0.000100673 -28 *30946:A *5745:61 1.02504e-05 -29 *5512:29 *25216:B2 1.24637e-05 -30 *5512:29 *27095:A0 0.000402784 -31 *5512:29 *28103:D 9.0145e-05 -32 *5512:29 *5609:33 0.00173015 -33 *5512:29 *5944:17 0.000400867 -34 *5512:50 *27095:A0 0.000969395 -35 *5512:50 *28437:RESET_B 0.000188745 -36 *5512:50 *28783:RESET_B 0.00012915 -37 *5512:50 *5513:53 7.65804e-05 -38 *5512:50 *5680:278 6.75805e-05 -39 *5512:50 *5746:97 5.71242e-05 -40 *5512:50 *5944:17 0.000307343 -41 *5512:50 *5998:11 0.00012098 -42 *5512:50 *6105:17 0.00061302 -43 *5512:50 *6178:35 0.000164891 -44 *5512:50 *6314:19 0.000103195 -45 pll_trim[21] *30946:A 5.71472e-05 -46 pll_trim[23] *30946:A 2.89016e-05 -47 pll_trim[25] *30946:A 8.0286e-05 -48 *25534:A2_N *25044:A1 3.34366e-05 -49 *26844:S *5512:50 0 -50 *27231:B1_N *25044:A1 0.000173062 -51 *28104:CLK *30946:A 0.000168303 -52 *28785:D *5512:50 0.000190692 -53 *282:15 *30946:A 5.06325e-05 -54 *456:17 *5512:29 0.00175223 -55 *770:24 *25044:A1 4.73953e-05 -56 *770:24 *5512:50 0.000218632 -57 *775:23 *5512:50 0.000572143 -58 *1254:40 *25044:A1 0.00127783 -59 *1277:98 *25044:A1 2.93523e-05 -60 *1290:89 *25044:A1 5.59379e-05 -61 *1717:14 *5512:50 5.52238e-05 -62 *1799:19 *25044:A1 0 -63 *2782:170 *25044:A1 3.41332e-05 -64 *2784:26 *25044:A1 0.000223605 -65 *2809:24 *25044:A1 5.14314e-05 -66 *3638:122 *30946:A 0.00016298 -67 *3850:8 *25044:A1 5.53507e-05 -68 *3850:17 *25044:A1 9.18765e-06 -69 *4352:19 *5512:50 3.51111e-05 -70 *4742:17 *30946:A 0.000348093 -71 *5352:22 *5512:50 1.10997e-05 -72 *5486:22 *5512:29 4.19624e-06 -73 *5504:49 *5512:29 1.47001e-05 -*RES -1 *28103:Q *5512:4 9.3 -2 *5512:4 *30946:A 30.1393 -3 *5512:4 *5512:29 24.7484 -4 *5512:29 *29551:A 9.3 -5 *5512:29 *5512:50 48.5618 -6 *5512:50 *25044:A1 37.5717 -*END - -*D_NET *5513 0.0281916 -*CONN -*I *25006:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30498:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30947:A I *D sky130_fd_sc_hd__buf_12 -*I *28104:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *25006:A1 0.000405187 -2 *30498:A 1.69531e-05 -3 *30947:A 0.00113883 -4 *28104:Q 0 -5 *5513:53 0.00340124 -6 *5513:32 0.00483155 -7 *5513:4 0.00295737 -8 *25006:A1 *27078:A1 0.000475857 -9 *25006:A1 *27215:B 0.000137561 -10 *25006:A1 *5538:28 0.00011676 -11 *30498:A *5521:67 5.33005e-05 -12 *30947:A *25590:B 0.000227179 -13 *30947:A *29029:A 0.000265453 -14 *30947:A *29838:A 4.08555e-06 -15 *30947:A *5517:10 0.000712818 -16 *30947:A *5517:15 0.000336084 -17 *30947:A *5523:93 0.00079453 -18 *30947:A *5745:30 0 -19 *30947:A *6141:21 0 -20 *5513:32 *25216:B2 1.90936e-05 -21 *5513:32 *29029:A 0.000548617 -22 *5513:32 *5521:41 0.000473329 -23 *5513:32 *5523:93 0.00248797 -24 *5513:32 *5526:14 0.000426523 -25 *5513:32 *5537:14 7.69776e-06 -26 *5513:32 *6178:35 8.6229e-06 -27 *5513:53 *25018:A1 0.00225545 -28 *5513:53 *25523:A_N 2.1599e-05 -29 *5513:53 *25523:D 2.06112e-05 -30 *5513:53 *25524:A 0 -31 *5513:53 *27217:A 0.000239262 -32 *5513:53 *29738:A 0.000115139 -33 *5513:53 *5609:45 4.82145e-05 -34 *5513:53 *5718:14 0 -35 *5513:53 *6178:35 0.00110252 -36 *5513:53 *6385:32 1.8115e-05 -37 pll_trim[22] *30947:A 0.000141036 -38 pll_trim[25] *30947:A 9.5734e-05 -39 *25006:B2 *25006:A1 5.73359e-05 -40 *25216:C1 *30947:A 0.000187595 -41 *25524:B *5513:53 1.90936e-05 -42 *27275:B *5513:53 0.000106556 -43 *28104:CLK *30947:A 0 -44 *28769:CLK *30498:A 5.33005e-05 -45 *28785:D *5513:53 2.3131e-05 -46 *29804:A *25006:A1 9.71197e-05 -47 *30679:A *5513:32 6.2589e-06 -48 *30689:A *5513:32 6.09762e-05 -49 *30689:A *5513:53 0.000130238 -50 *30946:A *30947:A 0 -51 *39:26 *5513:32 3.39834e-06 -52 *40:30 *5513:32 0.000325098 -53 *761:17 *30947:A 1.90936e-05 -54 *995:25 *5513:32 0.000194001 -55 *1117:13 *5513:53 0.000514893 -56 *1245:116 *5513:53 0 -57 *1264:83 *5513:53 0.000496299 -58 *1264:92 *5513:53 0.00011194 -59 *2797:38 *5513:53 0.000254453 -60 *2806:9 *5513:53 0 -61 *2809:24 *25006:A1 0.000157878 -62 *4740:33 *5513:32 7.30957e-06 -63 *5502:41 *30947:A 0.000817458 -64 *5502:41 *5513:32 0.000567271 -65 *5512:50 *5513:53 7.65804e-05 -*RES -1 *28104:Q *5513:4 9.3 -2 *5513:4 *30947:A 47.2671 -3 *5513:4 *5513:32 27.2229 -4 *5513:32 *30498:A 14.3357 -5 *5513:32 *5513:53 49.1132 -6 *5513:53 *25006:A1 23.0143 -*END - -*D_NET *5514 0.00224726 -*CONN -*I *25216:B2 I *D sky130_fd_sc_hd__a221o_2 -*I *30682:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25216:B2 0.000849641 -2 *30682:X 0.000849641 -3 *25216:B2 *26839:A0 3.18501e-05 -4 *25216:B2 *29111:A 0 -5 *25216:B2 *5858:23 3.69047e-06 -6 *25216:C1 *25216:B2 5.90008e-05 -7 *30679:A *25216:B2 9.60337e-06 -8 *348:16 *25216:B2 0.000137639 -9 *995:25 *25216:B2 5.69339e-05 -10 *1254:40 *25216:B2 8.19176e-05 -11 *5481:6 *25216:B2 0.000135789 -12 *5486:22 *25216:B2 0 -13 *5512:29 *25216:B2 1.24637e-05 -14 *5513:32 *25216:B2 1.90936e-05 -*RES -1 *30682:X *25216:B2 41.9437 -*END - -*D_NET *5515 0.0276492 -*CONN -*I *30948:A I *D sky130_fd_sc_hd__buf_12 -*I *30286:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *24985:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28105:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *30948:A 0 -2 *30286:A 0 -3 *24985:A1 0.00202342 -4 *28105:Q 0.000396159 -5 *5515:42 0.00305714 -6 *5515:32 0.00300739 -7 *5515:18 0.00216536 -8 *5515:15 0.00130835 -9 *5515:8 0.00151282 -10 *24985:A1 *27252:B 0.000785255 -11 *24985:A1 *5522:85 3.92255e-05 -12 *24985:A1 *5707:251 1.53743e-05 -13 *24985:A1 *5707:260 7.77751e-05 -14 *24985:A1 *6307:23 5.38242e-05 -15 *5515:8 *5521:41 0.000272371 -16 *5515:8 *5526:14 0 -17 *5515:8 *5526:16 0 -18 *5515:15 *5757:176 0.00161483 -19 *5515:32 *27216:A 0.000194064 -20 *5515:32 *5746:152 2.57189e-05 -21 *5515:32 *5746:188 0.000105952 -22 *5515:32 *5998:11 0.000239822 -23 *5515:32 *6008:26 0.000140933 -24 *5515:32 *6178:35 4.03077e-05 -25 *5515:32 *6314:51 3.45215e-05 -26 *5515:32 *6320:17 6.25005e-05 -27 *5515:42 *5718:240 0.00022412 -28 *5515:42 *5746:188 0 -29 *5515:42 *5969:19 0.000196 -30 *5515:42 *6386:140 1.07753e-05 -31 *24985:A2 *24985:A1 0.000100831 -32 *24985:B1 *24985:A1 4.28365e-05 -33 *25525:B *5515:32 4.56535e-05 -34 *28785:D *5515:32 7.29712e-05 -35 *28786:CLK *5515:32 4.25135e-05 -36 *29706:A *5515:32 0.000138652 -37 *29796:A *5515:32 0.000131982 -38 *30646:A *5515:8 0 -39 *30646:A *5515:15 0 -40 *30694:A *5515:15 0.000265215 -41 *30833:A *5515:42 0.000301427 -42 *11:17 *5515:18 0 -43 *12:20 *5515:18 8.08391e-05 -44 *244:28 *5515:8 0.000148105 -45 *1178:33 *5515:32 9.85424e-06 -46 *1178:33 *5515:42 0.000314394 -47 *1271:29 *5515:42 0.000143457 -48 *1289:71 *24985:A1 0.00094204 -49 *1800:14 *5515:32 0.0012533 -50 *1803:21 *5515:42 0.000190992 -51 *1805:11 *5515:42 0.000824813 -52 *1826:46 *5515:42 4.98422e-05 -53 *2777:105 *5515:42 0.0012766 -54 *2797:38 *5515:32 0.000534033 -55 *2824:9 *24985:A1 4.35597e-05 -56 *3875:16 *5515:15 0.000352081 -57 *4882:11 *5515:15 0.00273925 -58 *5502:41 *5515:8 0 -*RES -1 *28105:Q *5515:8 21.0679 -2 *5515:8 *5515:15 47.0893 -3 *5515:15 *5515:18 7.96429 -4 *5515:18 *5515:32 41.7054 -5 *5515:32 *5515:42 28.0545 -6 *5515:42 *24985:A1 44.8179 -7 *5515:18 *30286:A 9.3 -8 *5515:8 *30948:A 13.8 -*END - -*D_NET *5516 0.0168446 -*CONN -*I *29111:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30949:A I *D sky130_fd_sc_hd__buf_12 -*I *25388:A1 I *D sky130_fd_sc_hd__a211o_1 -*I *28428:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *29111:A 0.000967944 -2 *30949:A 0 -3 *25388:A1 0.000825775 -4 *28428:Q 0 -5 *5516:45 0.00171052 -6 *5516:20 0.00206724 -7 *5516:4 0.00198404 -8 *25388:A1 *5517:18 0.000856889 -9 *25388:A1 *5522:56 3.66327e-05 -10 *25388:A1 *5618:85 8.78754e-05 -11 *25388:A1 *5749:23 0.000376665 -12 *25388:A1 *5749:32 0.0010763 -13 *29111:A *25261:A1 0.000184745 -14 *29111:A *29029:A 0.000284366 -15 *29111:A *5707:178 0.000184745 -16 *5516:20 *28429:SET_B 5.41794e-05 -17 *5516:20 *5517:18 4.43436e-05 -18 *5516:20 *5521:22 3.28686e-05 -19 *5516:20 *5745:27 8.86006e-05 -20 *5516:20 *5745:30 0.000139653 -21 *5516:20 *5749:23 0.000336476 -22 *5516:20 *6142:14 1.92646e-05 -23 *5516:45 *29838:A 0.000887118 -24 *5516:45 *5517:10 6.54117e-05 -25 *5516:45 *5521:22 0.000368638 -26 *5516:45 *5521:41 0.000370455 -27 *5516:45 *5523:93 2.72228e-05 -28 *5516:45 *5526:16 2.72602e-05 -29 *5516:45 *5592:78 8.94258e-05 -30 pll_trim[24] *29111:A 0.000131439 -31 pll_trim[24] *5516:45 0.000401764 -32 *25216:B2 *29111:A 0 -33 *25260:A1 *5516:20 0.000100969 -34 *25388:A2 *25388:A1 0.000132257 -35 *25388:B1 *25388:A1 5.52238e-05 -36 *25388:C1 *25388:A1 0.000139625 -37 *26824:A *5516:20 0 -38 *28104:CLK *5516:45 2.86824e-05 -39 *29507:A *5516:20 0.000224519 -40 *30679:A *29111:A 0 -41 *348:16 *29111:A 0.000448153 -42 *348:16 *5516:45 6.47405e-05 -43 *762:14 *5516:20 0.000118618 -44 *1254:14 *25388:A1 0.000132821 -45 *1254:14 *5516:20 0.000170199 -46 *1254:116 *25388:A1 1.17396e-05 -47 *1289:54 *25388:A1 3.34295e-05 -48 *1290:53 *29111:A 0 -49 *2780:94 *29111:A 0.000235154 -50 *2780:94 *5516:45 0.000214591 -51 *2782:27 *29111:A 8.6229e-06 -52 *3638:105 *29111:A 0 -53 *3638:117 *29111:A 0 -54 *4881:17 *29111:A 1.90936e-05 -55 *4952:14 *25388:A1 0.000328942 -56 *5448:16 *29111:A 0.000263759 -57 *5485:121 *5516:20 6.54117e-05 -58 *5505:28 *5516:20 0.000320238 -*RES -1 *28428:Q *5516:4 9.3 -2 *5516:4 *5516:20 37.5714 -3 *5516:20 *25388:A1 40.7732 -4 *5516:4 *5516:45 26.5137 -5 *5516:45 *30949:A 9.3 -6 *5516:45 *29111:A 38.6929 -*END - -*D_NET *5517 0.0388939 -*CONN -*I *29838:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30950:A I *D sky130_fd_sc_hd__buf_12 -*I *25336:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28429:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *29838:A 0.00156577 -2 *30950:A 2.66909e-05 -3 *25336:B2 0 -4 *28429:Q 5.34094e-05 -5 *5517:30 0.00152562 -6 *5517:20 0.00339459 -7 *5517:18 0.0035964 -8 *5517:15 0.00228589 -9 *5517:10 0.000914441 -10 *5517:5 0.00194847 -11 *29838:A *28437:RESET_B 4.87171e-05 -12 *29838:A *5523:93 0.00158494 -13 *29838:A *5592:78 0.000150283 -14 *29838:A *6141:21 0.000410975 -15 *29838:A *6178:35 9.71197e-05 -16 *30950:A *25590:B 5.33005e-05 -17 *5517:10 *26825:A0 0.000346682 -18 *5517:10 *5521:22 1.0562e-05 -19 *5517:15 *25590:B 1.38992e-05 -20 *5517:18 *24926:B 4.12124e-05 -21 *5517:18 *5675:235 6.25524e-05 -22 *5517:20 *28344:SET_B 3.55877e-05 -23 *5517:20 *5583:71 0.00125761 -24 *5517:30 *27073:A0 0.000205256 -25 *5517:30 *28642:SET_B 1.12323e-05 -26 *5517:30 *29035:A 8.74121e-05 -27 *5517:30 *5583:58 0.000308192 -28 *5517:30 *5583:71 5.65801e-06 -29 *5517:30 *5600:86 0.000108576 -30 *5517:30 *5754:6 0.000202884 -31 pll_trim[24] *29838:A 4.88637e-05 -32 pll_trim[24] *5517:5 0.000120519 -33 *25099:A2 *5517:20 0.000123453 -34 *25266:D *5517:20 0.00027335 -35 *25289:B1 *5517:20 0.000335704 -36 *25387:C1 *5517:18 0.000472291 -37 *25388:A1 *5517:18 0.000856889 -38 *25411:A2 *5517:20 0.000212882 -39 *27073:S *5517:30 2.87767e-05 -40 *27331:B2 *5517:30 9.22222e-06 -41 *27619:B1 *5517:20 6.35819e-05 -42 *27619:B1 *5517:30 5.58875e-06 -43 *27619:B2 *5517:30 7.49761e-05 -44 *27628:A1 *5517:30 1.01241e-05 -45 *28104:CLK *29838:A 1.94879e-05 -46 *28104:CLK *5517:10 1.65271e-05 -47 *29507:A *5517:18 0.000393763 -48 *30946:A *5517:10 0 -49 *30947:A *29838:A 4.08555e-06 -50 *30947:A *5517:10 0.000712818 -51 *30947:A *5517:15 0.000336084 -52 *39:26 *29838:A 5.27484e-05 -53 *775:23 *29838:A 9.71197e-05 -54 *995:25 *29838:A 0.00165596 -55 *1246:36 *5517:30 0.000198263 -56 *1254:14 *5517:18 0.000666558 -57 *1254:116 *5517:18 1.94945e-05 -58 *1271:104 *5517:20 7.85721e-05 -59 *1273:96 *5517:30 0.000229605 -60 *1273:232 *5517:18 2.09826e-05 -61 *1277:107 *5517:30 4.15592e-05 -62 *1277:131 *5517:30 0.000111734 -63 *1289:54 *5517:18 0.000355352 -64 *1491:22 *5517:30 0.000653475 -65 *1491:39 *5517:30 0.000198178 -66 *2780:61 *5517:30 7.55983e-05 -67 *2780:72 *5517:18 0.000858558 -68 *2780:72 *5517:20 0.00109325 -69 *2780:90 *5517:18 0.000690455 -70 *2780:94 *29838:A 9.71197e-05 -71 *2780:94 *5517:5 0.000224583 -72 *2780:100 *29838:A 2.79405e-05 -73 *2780:129 *5517:30 4.11764e-05 -74 *2854:16 *5517:30 0.000243397 -75 *2871:24 *5517:20 0.000171659 -76 *2894:44 *5517:30 4.00349e-05 -77 *2895:61 *5517:30 0.000131027 -78 *3164:56 *5517:20 2.04825e-05 -79 *3182:55 *5517:30 7.69776e-06 -80 *3205:43 *5517:20 9.22222e-06 -81 *3638:122 *5517:10 4.76179e-05 -82 *3666:35 *5517:30 0.000482106 -83 *3667:41 *5517:30 0.000317868 -84 *3783:121 *5517:30 4.06203e-05 -85 *3829:36 *5517:30 8.14097e-05 -86 *3842:44 *5517:30 0.000748802 -87 *3844:13 *5517:18 3.06186e-05 -88 *3844:40 *5517:18 0.000548431 -89 *3847:14 *29838:A 0.000563243 -90 *3972:38 *5517:18 9.45051e-05 -91 *3972:38 *5517:20 0.000353947 -92 *3972:44 *5517:20 0.00158248 -93 *3972:44 *5517:30 2.2303e-05 -94 *4352:19 *29838:A 2.30169e-05 -95 *4740:33 *29838:A 0.00012191 -96 *4952:14 *5517:18 0.000166051 -97 *5196:72 *5517:30 8.73605e-05 -98 *5516:20 *5517:18 4.43436e-05 -99 *5516:45 *29838:A 0.000887118 -100 *5516:45 *5517:10 6.54117e-05 -*RES -1 *28429:Q *5517:5 11.4786 -2 *5517:5 *5517:10 18.5357 -3 *5517:10 *5517:15 11.9286 -4 *5517:15 *5517:18 48.9286 -5 *5517:18 *5517:20 49.7589 -6 *5517:20 *5517:30 45.4375 -7 *5517:30 *25336:B2 9.3 -8 *5517:10 *30950:A 9.83571 -9 *5517:5 *29838:A 34.8656 -*END - -*D_NET *5518 0.0440725 -*CONN -*I *25261:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30951:A I *D sky130_fd_sc_hd__buf_12 -*I *29464:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28116:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *25261:B2 0.000670158 -2 *30951:A 0 -3 *29464:A 0.00098351 -4 *28116:Q 0 -5 *5518:62 0.00519505 -6 *5518:33 0.00266697 -7 *5518:19 0.00346481 -8 *5518:4 0.00630624 -9 *25261:B2 *25952:A0 6.26774e-06 -10 *25261:B2 *5609:33 3.97826e-05 -11 *25261:B2 *5707:200 7.70134e-06 -12 *25261:B2 *6385:32 0.00159095 -13 *29464:A *30963:A 1.54142e-05 -14 *5518:19 *5520:19 0.000305582 -15 *5518:19 *5592:104 0.000212587 -16 *5518:19 *5734:83 0.000116039 -17 *5518:19 *5738:31 0.000122845 -18 *5518:33 *26817:B 5.52302e-05 -19 *5518:33 *5730:94 0 -20 *5518:62 *29030:A 0 -21 *5518:62 *5519:49 0.00113421 -22 *5518:62 *5520:19 0.000193833 -23 *5518:62 *5520:48 0.000581088 -24 *5518:62 *5524:40 0.000281387 -25 *5518:62 *5592:104 0.00020562 -26 *5518:62 *5691:190 9.83894e-05 -27 *5518:62 *5738:31 0.000194215 -28 *5518:62 *6142:14 0.0001455 -29 pad_flash_io0_oeb *29464:A 0.000268396 -30 pll_div[2] *5518:33 0 -31 pll_sel[1] *5518:33 3.80808e-05 -32 pll_trim[22] *5518:62 4.19624e-06 -33 pll_trim[2] *5518:19 0.000604733 -34 pll_trim[3] *5518:19 0.000263251 -35 pll_trim[4] *5518:19 0.00104819 -36 pll_trim[5] *5518:62 0 -37 reset *29464:A 0.000228558 -38 *25261:C1 *25261:B2 9.54798e-06 -39 *25359:A2 *5518:62 0.000363552 -40 *25621:A0 *5518:33 0.000135848 -41 *26814:A1 *29464:A 0.00190641 -42 *26814:S *29464:A 0.000183015 -43 *26817:A *5518:33 0.000523458 -44 *26824:A *5518:62 0.000132608 -45 *27571:B1 *5518:62 1.08601e-05 -46 *28415:CLK *29464:A 1.39841e-05 -47 *28415:CLK *5518:33 2.89016e-05 -48 *28422:D *5518:33 9.39059e-05 -49 *28426:D *5518:33 0.00112212 -50 *29303:A *29464:A 0.000591691 -51 *29743:A *25261:B2 1.90936e-05 -52 *29767:A *5518:62 0 -53 *30068:A *5518:62 9.67517e-05 -54 *30917:A *29464:A 0.000443828 -55 *30918:A *29464:A 1.38323e-05 -56 *30934:A *5518:62 0.00148496 -57 *182:17 *5518:19 0.00018077 -58 *467:18 *29464:A 0.000169514 -59 *755:18 *29464:A 0.000578042 -60 *1240:96 *25261:B2 0.00160966 -61 *1264:41 *5518:62 0 -62 *3412:62 *5518:62 0.00312852 -63 *3650:91 *5518:62 0 -64 *3650:96 *5518:62 0 -65 *3875:35 *5518:62 0 -66 *4944:29 *5518:33 0.000215547 -67 *4999:11 *29464:A 3.45371e-05 -68 *5028:9 *29464:A 1.90111e-05 -69 *5028:9 *5518:33 0.000222553 -70 *5160:37 *5518:33 6.35819e-05 -71 *5483:120 *5518:62 0.000371969 -72 *5490:11 *5518:33 0.00032682 -73 *5493:55 *5518:33 0.000777518 -74 *5495:23 *29464:A 3.98462e-05 -75 *5496:16 *5518:33 0.00146337 -76 *5497:13 *5518:19 8.56752e-05 -77 *5498:14 *5518:19 0.000238526 -78 *5500:41 *5518:62 0.000210274 -79 *5504:49 *5518:62 2.7883e-05 -80 *5505:28 *5518:62 8.56893e-05 -*RES -1 *28116:Q *5518:4 9.3 -2 *5518:4 *5518:19 42.2589 -3 *5518:19 *5518:33 42.2803 -4 *5518:33 *29464:A 39.8357 -5 *5518:19 *30951:A 9.3 -6 *5518:4 *5518:62 46.8099 -7 *5518:62 *25261:B2 25.2391 -*END - -*D_NET *5519 0.0344616 -*CONN -*I *25215:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30952:A I *D sky130_fd_sc_hd__buf_12 -*I *30065:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28117:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *25215:B2 0.00178841 -2 *30952:A 0.000213836 -3 *30065:A 0 -4 *28117:Q 0 -5 *5519:49 0.00367453 -6 *5519:25 0.000983858 -7 *5519:19 0.00268019 -8 *5519:7 0.00231091 -9 *5519:4 0.00228687 -10 *5519:25 *5733:13 2.61099e-05 -11 *5519:25 *5778:219 0.000332204 -12 *5519:25 *6014:19 1.5424e-05 -13 *5519:49 *5680:308 0.000216334 -14 *5519:49 *5691:201 0.000175551 -15 pll_div[0] *5519:25 3.32631e-05 -16 pll_div[3] *5519:19 5.60463e-06 -17 pll_trim[12] *25215:B2 0.000339346 -18 pll_trim[3] *30952:A 8.14075e-05 -19 pll_trim[3] *5519:19 1.21621e-05 -20 *25341:A2 *25215:B2 2.44318e-05 -21 *25341:B1 *25215:B2 0.000403183 -22 *25406:B2 *5519:49 5.41797e-06 -23 *25609:A0 *5519:49 0.00049292 -24 *28419:CLK *5519:19 1.33343e-05 -25 *28419:CLK *5519:25 4.13595e-05 -26 *28419:D *5519:19 0.000152372 -27 *28419:D *5519:25 3.0043e-05 -28 *28425:D *5519:19 0.000238257 -29 *28425:D *5519:25 1.3228e-05 -30 *29175:A *5519:19 0.000211771 -31 *29257:A *5519:25 0.000351156 -32 *29268:A *25215:B2 8.46829e-05 -33 *30067:A *25215:B2 8.85664e-07 -34 *30732:A *5519:25 0.000268396 -35 *30934:A *5519:49 0.000333411 -36 *756:16 *5519:25 0.00130411 -37 *757:12 *5519:25 0.000355805 -38 *1264:41 *5519:19 0.00329522 -39 *1264:41 *5519:49 0.000119848 -40 *1264:56 *25215:B2 1.05524e-05 -41 *1294:39 *25215:B2 0.000396418 -42 *1498:34 *5519:49 0.000286048 -43 *1504:16 *25215:B2 1.02504e-05 -44 *1616:34 *30952:A 3.27359e-05 -45 *1815:34 *25215:B2 2.59093e-05 -46 *1825:7 *5519:19 0.000269428 -47 *3649:8 *5519:49 0.000261157 -48 *3649:79 *5519:49 0.000250738 -49 *3650:91 *5519:49 2.87968e-05 -50 *3791:148 *25215:B2 0.000175892 -51 *4307:17 *5519:19 0.000374527 -52 *4307:17 *5519:25 0.00260596 -53 *4944:29 *5519:25 0.00128265 -54 *5011:20 *30952:A 0 -55 *5011:20 *5519:19 0.0001813 -56 *5069:46 *5519:25 0.000648167 -57 *5204:15 *5519:19 0.00146269 -58 *5483:96 *5519:7 0.0004394 -59 *5483:96 *5519:49 0.000104089 -60 *5483:120 *5519:49 2.90691e-05 -61 *5485:32 *5519:19 0.000258737 -62 *5485:52 *5519:25 0.000423619 -63 *5485:104 *25215:B2 0.000251114 -64 *5490:7 *5519:19 0.000246047 -65 *5491:18 *5519:19 6.58324e-05 -66 *5493:14 *5519:19 7.69776e-06 -67 *5500:41 *5519:49 0.000201705 -68 *5501:9 *25215:B2 1.38323e-05 -69 *5507:23 *25215:B2 5.52302e-05 -70 *5509:10 *25215:B2 1.19402e-05 -71 *5518:62 *5519:49 0.00113421 -*RES -1 *28117:Q *5519:4 9.3 -2 *5519:4 *5519:7 9.75893 -3 *5519:7 *5519:19 28.0615 -4 *5519:19 *5519:25 42.4643 -5 *5519:25 *30065:A 9.3 -6 *5519:7 *30952:A 17.8714 -7 *5519:4 *5519:49 29.6451 -8 *5519:49 *25215:B2 41.4652 -*END - -*D_NET *5520 0.039495 -*CONN -*I *25105:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *30953:A I *D sky130_fd_sc_hd__buf_12 -*I *30054:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28118:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *25105:B2 0.000443271 -2 *30953:A 0 -3 *30054:A 9.36102e-05 -4 *28118:Q 1.4037e-05 -5 *5520:48 0.00316576 -6 *5520:25 0.00151135 -7 *5520:24 0.00155681 -8 *5520:19 0.0010932 -9 *5520:7 0.00369066 -10 *25105:B2 *25400:A1 0.00142943 -11 *25105:B2 *6338:23 2.12005e-05 -12 *30054:A *25570:B 2.84494e-05 -13 *5520:19 *30956:A 0.000259664 -14 *5520:48 *25400:A1 0.000156265 -15 *5520:48 *25918:B 0.000388041 -16 *5520:48 *27593:A2 0 -17 *5520:48 *27869:A2 7.6644e-05 -18 *5520:48 *29615:A 5.33005e-05 -19 *5520:48 *5522:32 0.000262555 -20 *5520:48 *5599:171 0.000232895 -21 *5520:48 *5680:343 7.87395e-05 -22 *5520:48 *5691:176 6.35515e-05 -23 *5520:48 *5711:86 3.78761e-05 -24 *5520:48 *5926:221 3.07527e-05 -25 *5520:48 *6338:23 0.000967889 -26 *5520:48 *6346:15 0.000100949 -27 pll90_sel[1] *5520:24 0 -28 pll90_sel[2] *5520:24 0 -29 pll_trim[2] *5520:19 0.00122448 -30 pll_trim[3] *5520:19 0 -31 pll_trim[3] *5520:48 0 -32 pll_trim[4] *5520:19 0.000713876 -33 pll_trim[5] *5520:19 0 -34 pll_trim[7] *5520:19 0.000276211 -35 *25105:B1 *25105:B2 0.000341276 -36 *25400:A2 *5520:48 0.000200933 -37 *25400:B2 *5520:48 1.28809e-05 -38 *25400:C1 *5520:48 0.000486984 -39 *29137:A *30054:A 0 -40 *160:14 *30054:A 4.94455e-05 -41 *244:20 *5520:7 7.71303e-05 -42 *462:17 *5520:48 3.84095e-05 -43 *469:11 *5520:25 0.00659738 -44 *471:12 *5520:48 0.000503827 -45 *473:29 *5520:48 1.88917e-05 -46 *714:23 *5520:48 0.000109285 -47 *1139:12 *5520:48 0.000184929 -48 *1264:50 *5520:48 0.0022631 -49 *1328:22 *25105:B2 0.000301322 -50 *1715:18 *5520:48 0.000260769 -51 *3568:123 *25105:B2 5.49489e-05 -52 *3568:136 *25105:B2 0.000351127 -53 *3650:91 *5520:48 3.96255e-06 -54 *4298:9 *5520:25 0.00707932 -55 *4309:9 *5520:25 0.000193329 -56 *4371:19 *5520:48 8.76591e-06 -57 *5011:26 *5520:7 7.71303e-05 -58 *5037:11 *5520:48 8.82127e-05 -59 *5149:22 *5520:48 0.000130765 -60 *5166:23 *5520:48 0.000466295 -61 *5200:25 *5520:48 9.49939e-05 -62 *5485:102 *5520:48 0 -63 *5491:18 *5520:48 9.03409e-05 -64 *5491:30 *5520:48 0.000357232 -65 *5518:19 *5520:19 0.000305582 -66 *5518:62 *5520:19 0.000193833 -67 *5518:62 *5520:48 0.000581088 -*RES -1 *28118:Q *5520:7 14.5411 -2 *5520:7 *5520:19 30.4286 -3 *5520:19 *5520:24 10.9464 -4 *5520:24 *5520:25 72 -5 *5520:25 *30054:A 20.2464 -6 *5520:19 *30953:A 9.3 -7 *5520:7 *5520:48 49.6585 -8 *5520:48 *25105:B2 23.8179 -*END - -*D_NET *5521 0.049893 -*CONN -*I *29430:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30954:A I *D sky130_fd_sc_hd__buf_12 -*I *25049:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *28119:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *29430:A 0.00261466 -2 *30954:A 0.000151799 -3 *25049:B2 0 -4 *28119:Q 0 -5 *5521:73 0.00317609 -6 *5521:67 0.00164871 -7 *5521:41 0.00386999 -8 *5521:22 0.00687182 -9 *5521:5 0.00506017 -10 *29430:A *29394:A 0.00132086 -11 *29430:A *5523:41 0.000142102 -12 *29430:A *5680:320 3.24986e-05 -13 *29430:A *5680:343 7.05329e-05 -14 *29430:A *5738:172 8.78763e-05 -15 *30954:A *5524:40 0.000474979 -16 *5521:22 *28428:SET_B 0.000162295 -17 *5521:22 *5524:14 0.000759153 -18 *5521:22 *5737:138 0.000106682 -19 *5521:22 *6385:44 0 -20 *5521:22 *6385:56 0 -21 *5521:41 *28437:D 3.47641e-06 -22 *5521:41 *28644:RESET_B 2.51837e-05 -23 *5521:41 *5592:78 0.000663413 -24 *5521:41 *5707:222 0.000563302 -25 *5521:41 *5757:176 0.000149264 -26 *5521:41 *6009:23 4.06087e-07 -27 *5521:41 *6178:35 5.33005e-05 -28 *5521:67 *25524:A 0.00159 -29 *5521:67 *5537:14 0.00090573 -30 *5521:67 *5747:21 0.00302624 -31 *5521:67 *5753:28 0.000834435 -32 *5521:67 *6178:35 0.0001399 -33 *5521:67 *6179:19 0.000136284 -34 *5521:73 *5680:320 7.94957e-05 -35 *5521:73 *6385:56 4.29471e-05 -36 pll_trim[5] *30954:A 0.000136727 -37 pll_trim[6] *5521:73 0.000391029 -38 *25046:B2 *5521:67 1.02937e-05 -39 *25359:A2 *29430:A 0.000315281 -40 *25385:A1 *29430:A 4.95471e-05 -41 *27853:A2 *29430:A 0.00032599 -42 *27928:A2 *29430:A 3.2849e-05 -43 *28104:CLK *5521:22 1.94945e-05 -44 *28105:D *5521:41 7.32272e-05 -45 *28106:D *5521:22 6.27272e-06 -46 *28106:D *5521:73 5.73984e-05 -47 *28111:CLK *5521:22 0.000102207 -48 *28428:CLK *5521:22 2.89016e-05 -49 *28648:D *5521:67 0.000335572 -50 *28769:CLK *5521:67 0.000106684 -51 *30498:A *5521:67 5.33005e-05 -52 *30646:A *5521:41 0.000268396 -53 *30670:A *5521:67 0.000353086 -54 *30942:A *5521:22 0 -55 *11:17 *5521:67 6.04502e-05 -56 *15:18 *5521:67 8.01685e-05 -57 *22:18 *5521:67 0.000381694 -58 *40:30 *5521:67 0.00130228 -59 *244:28 *5521:22 0.000834411 -60 *244:28 *5521:41 0.000409466 -61 *244:50 *5521:41 3.19872e-05 -62 *472:8 *29430:A 1.31516e-05 -63 *473:29 *29430:A 0.00171299 -64 *473:29 *5521:73 0.000233496 -65 *761:17 *5521:41 1.94879e-05 -66 *775:23 *5521:41 5.74499e-06 -67 *981:15 *5521:67 0.000137983 -68 *995:25 *5521:41 0.000824942 -69 *1264:41 *29430:A 0 -70 *1395:61 *29430:A 0.000221634 -71 *1407:69 *29430:A 0.000221634 -72 *1616:34 *30954:A 0.000642737 -73 *1675:10 *30954:A 1.38323e-05 -74 *1713:26 *29430:A 0.0003419 -75 *2800:55 *5521:67 0.000486273 -76 *3103:17 *5521:22 0.000346741 -77 *3145:32 *29430:A 0.000312076 -78 *3178:69 *29430:A 0 -79 *3661:31 *29430:A 0.000370052 -80 *3780:23 *29430:A 0 -81 *3847:14 *5521:41 0.000136782 -82 *4260:22 *5521:22 0.000180938 -83 *4352:19 *5521:67 9.59532e-06 -84 *4372:35 *5521:22 9.15186e-06 -85 *4372:35 *5521:73 4.48418e-05 -86 *4381:19 *29430:A 0.000456782 -87 *4740:33 *5521:67 0.000118055 -88 *5430:12 *29430:A 0.000104663 -89 *5483:120 *5521:22 0 -90 *5498:54 *5521:22 0.00046908 -91 *5502:41 *5521:67 0.000390685 -92 *5507:23 *5521:22 0 -93 *5511:13 *5521:22 9.25014e-06 -94 *5513:32 *5521:41 0.000473329 -95 *5515:8 *5521:41 0.000272371 -96 *5516:20 *5521:22 3.28686e-05 -97 *5516:45 *5521:22 0.000368638 -98 *5516:45 *5521:41 0.000370455 -99 *5517:10 *5521:22 1.0562e-05 -*RES -1 *28119:Q *5521:5 13.8 -2 *5521:5 *5521:22 48.3256 -3 *5521:22 *5521:41 49.4643 -4 *5521:41 *5521:67 48.2158 -5 *5521:67 *25049:B2 9.3 -6 *5521:5 *5521:73 9.83929 -7 *5521:73 *30954:A 20.0857 -8 *5521:73 *29430:A 42.9382 -*END - -*D_NET *5522 0.0414969 -*CONN -*I *29751:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *6478:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25022:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *6479:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *30955:A I *D sky130_fd_sc_hd__buf_12 -*I *28120:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *29751:A 0 -2 *6478:DIODE 0 -3 *25022:B2 6.53692e-05 -4 *6479:DIODE 0 -5 *30955:A 3.49775e-05 -6 *28120:Q 0.00020991 -7 *5522:85 0.00264488 -8 *5522:61 0.00608432 -9 *5522:56 0.00467417 -10 *5522:52 0.00310788 -11 *5522:38 0.00200724 -12 *5522:32 0.00175763 -13 *5522:8 0.00193381 -14 *25022:B2 *5585:105 2.28499e-05 -15 *30955:A *29649:A 0.000137639 -16 *5522:32 *25623:A0 1.87955e-05 -17 *5522:32 *5523:41 0.000152354 -18 *5522:32 *5619:39 6.57032e-05 -19 *5522:32 *5680:343 4.83837e-05 -20 *5522:32 *5705:162 9.23367e-05 -21 *5522:32 *5933:17 7.35478e-05 -22 *5522:32 *6054:21 0.000692189 -23 *5522:38 *5619:39 8.80625e-05 -24 *5522:38 *6054:21 7.48087e-05 -25 *5522:52 *28448:RESET_B 8.88204e-05 -26 *5522:52 *5619:31 0.000198718 -27 *5522:52 *5619:39 4.99681e-05 -28 *5522:52 *5691:157 0.00052441 -29 *5522:52 *6054:21 0.000263731 -30 *5522:52 *6225:61 0.000135028 -31 *5522:56 *25953:A0 0.000684633 -32 *5522:56 *25953:A1 3.97677e-05 -33 *5522:56 *5618:85 1.00073e-05 -34 *5522:61 *25124:A1 6.2589e-06 -35 *5522:61 *27047:A1 9.71197e-05 -36 *5522:61 *5581:25 1.90936e-05 -37 *5522:61 *6225:102 0.000558008 -38 *5522:85 *24820:A 0 -39 *5522:85 *27252:B 2.14757e-05 -40 *5522:85 *27252:C 4.54471e-06 -41 *5522:85 *29642:A 4.54885e-06 -42 *5522:85 *5707:260 3.69047e-06 -43 *5522:85 *6309:39 0.000130885 -44 *5522:85 *6310:35 1.90936e-05 -45 *24969:A2 *25022:B2 7.83659e-05 -46 *24969:A2 *5522:85 1.02504e-05 -47 *24985:A1 *5522:85 3.92255e-05 -48 *25009:A2 *25022:B2 8.00806e-05 -49 *25009:A2 *5522:85 6.81083e-05 -50 *25022:B1 *25022:B2 0.000140933 -51 *25388:A1 *5522:56 3.66327e-05 -52 *25388:A2 *5522:56 1.55885e-05 -53 *25388:B1 *5522:56 5.33005e-05 -54 *25388:C1 *5522:56 0.00037032 -55 *25388:C1 *5522:61 0.000122323 -56 *25624:A0 *5522:8 0.000141551 -57 *25624:A0 *5522:32 0.000777177 -58 *27244:A1 *5522:61 5.33005e-05 -59 *27244:A1 *5522:85 0.00118839 -60 *27244:B1 *5522:61 0.000656397 -61 *27260:A1 *5522:85 0.000380222 -62 *28448:D *5522:52 0.000237672 -63 *29177:A *5522:32 8.65028e-05 -64 *29266:A *5522:52 0.000641147 -65 *29397:A *5522:52 0.00034188 -66 *29985:A *5522:52 0.000238526 -67 *29985:A *5522:56 3.03145e-05 -68 *30833:A *5522:85 0.00329232 -69 *1250:79 *5522:61 0.000281587 -70 *1264:22 *5522:32 0.00113473 -71 *1264:41 *5522:32 0.000117089 -72 *1264:162 *5522:32 1.81243e-05 -73 *1277:70 *5522:85 0.000316752 -74 *1289:55 *5522:61 0.000293006 -75 *1289:71 *5522:85 9.90712e-06 -76 *1826:79 *5522:85 0 -77 *2777:105 *25022:B2 0.000181796 -78 *2824:9 *5522:85 0.000188044 -79 *2839:19 *5522:61 0.000536263 -80 *2839:33 *5522:61 9.71197e-05 -81 *3796:23 *5522:85 2.1527e-05 -82 *4371:19 *5522:32 0.000333967 -83 *4372:35 *5522:8 0.000140028 -84 *4372:35 *5522:32 0.000828218 -85 *4618:21 *5522:32 0.000238325 -86 *5037:7 *5522:52 7.77751e-05 -87 *5167:14 *5522:52 0.000177461 -88 *5421:10 *30955:A 0.000137639 -89 *5483:120 *5522:8 0.000177815 -90 *5520:48 *5522:32 0.000262555 -*RES -1 *28120:Q *5522:8 17.5143 -2 *5522:8 *30955:A 15.1571 -3 *5522:8 *5522:32 37.0683 -4 *5522:32 *6479:DIODE 9.3 -5 *5522:32 *5522:38 1.76786 -6 *5522:38 *5522:52 38.8482 -7 *5522:52 *5522:56 19.0357 -8 *5522:56 *5522:61 48.2321 -9 *5522:61 *5522:85 48.0029 -10 *5522:85 *25022:B2 16.6036 -11 *5522:85 *6478:DIODE 13.8 -12 *5522:38 *29751:A 9.3 -*END - -*D_NET *5523 0.0594793 -*CONN -*I *24969:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *6480:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *30128:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *6481:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *30956:A I *D sky130_fd_sc_hd__buf_12 -*I *28121:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *24969:A1 0.000272614 -2 *6480:DIODE 0 -3 *30128:A 0 -4 *6481:DIODE 3.95848e-05 -5 *30956:A 0.00044262 -6 *28121:Q 0 -7 *5523:96 0.00199613 -8 *5523:93 0.0059545 -9 *5523:68 0.00662806 -10 *5523:41 0.00121678 -11 *5523:36 0.00265635 -12 *5523:11 0.00274697 -13 *5523:4 0.00322227 -14 *24969:A1 *5667:244 7.97314e-05 -15 *5523:11 *5592:81 1.58163e-05 -16 *5523:11 *5737:10 2.59355e-05 -17 *5523:36 *25623:A0 0.000661029 -18 *5523:36 *25937:A1 5.01876e-06 -19 *5523:36 *28119:D 8.90474e-05 -20 *5523:36 *28120:SET_B 8.65632e-05 -21 *5523:36 *5680:343 0.000366065 -22 *5523:36 *5706:219 0.000331679 -23 *5523:36 *5734:83 0.00010922 -24 *5523:41 *25940:A1 0.000373953 -25 *5523:41 *5599:158 9.50292e-05 -26 *5523:41 *5680:343 0 -27 *5523:41 *5691:252 0.00023056 -28 *5523:41 *5706:219 1.32771e-05 -29 *5523:41 *5738:172 2.72083e-05 -30 *5523:41 *6054:21 2.71356e-05 -31 *5523:41 *6225:48 0.000216108 -32 *5523:68 *25609:A1 0.00134267 -33 *5523:68 *29029:A 6.86693e-05 -34 *5523:68 *5592:81 0.000482549 -35 *5523:68 *5737:10 1.98839e-05 -36 *5523:93 *29030:A 0 -37 *5523:93 *29875:A 0.00326666 -38 *5523:93 *5526:14 0.000265948 -39 *5523:93 *5537:14 0.00153652 -40 *5523:93 *5592:78 0.000377081 -41 *5523:93 *6009:23 0.000113629 -42 *5523:93 *6179:19 0.000536047 -43 *5523:93 *6317:9 0.00021724 -44 *5523:93 *6317:120 0.00131594 -45 *5523:96 *24973:B2 5.59239e-05 -46 *5523:96 *27215:B 4.04359e-05 -47 *5523:96 *27228:C 0.000231038 -48 *5523:96 *28704:RESET_B 0.000124518 -49 *5523:96 *5675:213 0.000402498 -50 pll_trim[18] *5523:68 0.00044366 -51 pll_trim[22] *5523:68 2.8266e-06 -52 pll_trim[4] *30956:A 0.000511261 -53 pll_trim[5] *30956:A 0 -54 pll_trim[5] *5523:36 0 -55 pll_trim[6] *30956:A 2.37761e-05 -56 pll_trim[6] *5523:36 0.00046854 -57 pll_trim[7] *30956:A 0.000762817 -58 pll_trim[7] *5523:11 0.000212382 -59 pll_trim[8] *5523:11 0 -60 pll_trim[9] *5523:11 0.00012116 -61 *24969:A2 *24969:A1 1.02504e-05 -62 *24969:B1 *24969:A1 4.30382e-05 -63 *24972:B1 *24969:A1 1.91414e-05 -64 *24985:A2 *5523:96 0.000124992 -65 *25018:A2 *5523:96 0.000808103 -66 *25306:A2 *5523:96 4.34627e-05 -67 *25306:B2 *5523:96 0.00041082 -68 *25609:S *5523:68 0.000244472 -69 *25624:A0 *5523:36 0.000255953 -70 *25937:A0 *5523:41 3.28967e-05 -71 *25938:S *5523:36 9.90819e-06 -72 *27143:A1 *5523:96 8.00806e-05 -73 *27143:S *5523:96 0.000234883 -74 *27230:B *5523:96 7.69776e-06 -75 *28429:CLK *5523:68 5.7836e-06 -76 *28704:D *5523:96 0.000100474 -77 *28769:D *5523:93 8.51561e-05 -78 *29177:A *5523:41 0.000765247 -79 *29387:A *5523:41 0.000135312 -80 *29430:A *5523:41 0.000142102 -81 *29838:A *5523:93 0.00158494 -82 *30670:A *5523:96 4.46701e-05 -83 *30686:A *5523:93 9.27892e-05 -84 *30738:A *5523:93 0.0011103 -85 *30935:A *5523:11 0.000254395 -86 *30941:A *5523:68 7.41389e-06 -87 *30947:A *5523:93 0.00079453 -88 *15:18 *5523:93 0.000121319 -89 *23:22 *5523:96 0 -90 *40:30 *5523:93 3.66916e-05 -91 *40:40 *5523:93 0 -92 *244:50 *5523:93 0.0013053 -93 *459:7 *5523:68 5.52302e-05 -94 *460:11 *5523:36 0 -95 *472:8 *5523:36 0 -96 *472:8 *5523:41 0 -97 *995:25 *5523:93 0.00122276 -98 *1240:137 *5523:96 7.6545e-05 -99 *1264:116 *5523:96 0.0004534 -100 *1407:69 *5523:41 0.000163753 -101 *1671:24 *5523:41 3.54915e-05 -102 *2782:170 *5523:96 9.49984e-05 -103 *2800:55 *5523:96 0.00067883 -104 *2809:24 *5523:96 2.44823e-05 -105 *2838:31 *5523:96 7.81165e-05 -106 *3191:54 *6481:DIODE 0.000181796 -107 *3191:54 *5523:41 4.08637e-05 -108 *3826:26 *24969:A1 3.23658e-05 -109 *3943:17 *24969:A1 7.02611e-05 -110 *4010:26 *5523:41 0.00111368 -111 *4372:35 *5523:36 1.24156e-05 -112 *4618:21 *5523:41 5.75311e-05 -113 *5040:13 *5523:68 0.000146376 -114 *5063:5 *6481:DIODE 0.000181796 -115 *5063:5 *5523:41 5.33005e-05 -116 *5502:10 *5523:68 4.53834e-05 -117 *5502:41 *5523:93 0.0010604 -118 *5507:23 *5523:68 4.76655e-05 -119 *5511:13 *5523:68 0.000839597 -120 *5513:32 *5523:93 0.00248797 -121 *5516:45 *5523:93 2.72228e-05 -122 *5520:19 *30956:A 0.000259664 -123 *5522:32 *5523:41 0.000152354 -*RES -1 *28121:Q *5523:4 9.3 -2 *5523:4 *5523:11 21.1607 -3 *5523:11 *30956:A 31.4964 -4 *5523:11 *5523:36 34.1071 -5 *5523:36 *5523:41 35.8214 -6 *5523:41 *6481:DIODE 11.0679 -7 *5523:41 *30128:A 9.3 -8 *5523:4 *5523:68 49.4732 -9 *5523:68 *5523:93 41.6084 -10 *5523:93 *5523:96 45.4554 -11 *5523:96 *6480:DIODE 9.3 -12 *5523:96 *24969:A1 22.3357 -*END - -*D_NET *5524 0.0213969 -*CONN -*I *29394:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25359:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30957:A I *D sky130_fd_sc_hd__buf_12 -*I *28106:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *29394:A 0.00142933 -2 *25359:A1 0 -3 *30957:A 0.000129008 -4 *28106:Q 0.000358661 -5 *5524:40 0.00129229 -6 *5524:23 0.00313154 -7 *5524:14 0.000897592 -8 *29394:A *5599:158 0.000171767 -9 *29394:A *5718:88 3.77014e-05 -10 *30957:A *5778:261 1.21289e-05 -11 *30957:A *6385:56 0.000437756 -12 *5524:40 *25359:B1 3.48083e-05 -13 *5524:40 *28119:D 4.00349e-05 -14 *5524:40 *29577:A 8.5439e-05 -15 pll_trim[10] *5524:14 1.90936e-05 -16 pll_trim[8] *30957:A 0.000139907 -17 pll_trim[8] *5524:14 0.000155025 -18 pll_trim[9] *5524:23 0 -19 *25359:A2 *5524:40 0.00176024 -20 *25400:B2 *29394:A 0.000167762 -21 *27928:B2 *29394:A 8.39781e-05 -22 *28106:D *29394:A 0.000182707 -23 *28106:D *5524:14 8.58349e-05 -24 *28106:D *5524:23 0.000254606 -25 *28109:D *5524:23 0 -26 *28809:CLK *29394:A 2.84398e-05 -27 *28811:D *29394:A 0.000286084 -28 *29430:A *29394:A 0.00132086 -29 *30954:A *5524:40 0.000474979 -30 *244:20 *5524:14 0.00024842 -31 *458:10 *29394:A 0.000266863 -32 *459:10 *5524:14 2.06178e-05 -33 *462:17 *29394:A 0 -34 *462:17 *5524:23 0 -35 *473:13 *30957:A 0.000480549 -36 *473:29 *29394:A 4.88232e-05 -37 *1140:10 *29394:A 0.000417245 -38 *1264:41 *5524:40 0 -39 *1401:37 *29394:A 5.33005e-05 -40 *1457:35 *29394:A 4.79453e-05 -41 *1616:34 *5524:40 0.000107374 -42 *1624:55 *29394:A 2.59355e-05 -43 *1671:37 *5524:40 0.000842717 -44 *1675:10 *5524:40 0.00192257 -45 *1713:26 *29394:A 0.000117089 -46 *3103:17 *5524:14 0.000424911 -47 *3571:101 *29394:A 8.1024e-05 -48 *3571:110 *29394:A 3.15961e-05 -49 *3649:42 *5524:14 9.15621e-06 -50 *3649:42 *5524:23 3.47641e-06 -51 *4381:19 *29394:A 0.00112579 -52 *5011:26 *5524:14 0.000246496 -53 *5166:23 *29394:A 0.000455949 -54 *5491:18 *5524:40 0.000295198 -55 *5494:49 *30957:A 6.57914e-05 -56 *5518:62 *5524:40 0.000281387 -57 *5521:22 *5524:14 0.000759153 -*RES -1 *28106:Q *5524:14 26.8826 -2 *5524:14 *30957:A 19.675 -3 *5524:14 *5524:23 7.41071 -4 *5524:23 *5524:40 44.4429 -5 *5524:40 *25359:A1 9.3 -6 *5524:23 *29394:A 48.835 -*END - -*D_NET *5525 0.00941594 -*CONN -*I *25557:A1 I *D sky130_fd_sc_hd__mux2_4 -*I *30683:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25557:A1 0.00151187 -2 *30683:X 0.0012266 -3 *5525:10 0.00239444 -4 *5525:7 0.00210917 -5 *5525:10 *6169:150 0.00189205 -6 *25557:A0 *25557:A1 0.000227539 -7 *30683:A *5525:7 5.33334e-05 -8 *748:16 *5525:7 9.33978e-07 -9 *4909:14 *5525:10 0 -*RES -1 *30683:X *5525:7 27.8893 -2 *5525:7 *5525:10 28.3036 -3 *5525:10 *25557:A1 34.4786 -*END - -*D_NET *5526 0.014516 -*CONN -*I *25164:A1 I *D sky130_fd_sc_hd__a221o_2 -*I *30684:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25164:A1 0.000462263 -2 *30684:X 0.00162958 -3 *5526:16 0.00295245 -4 *5526:14 0.00411977 -5 *25164:A1 *6463:DIODE 2.28598e-05 -6 *25164:A1 *28398:RESET_B 1.24368e-05 -7 *25164:A1 *28618:SET_B 2.29097e-05 -8 *25164:A1 *5745:146 0.000332805 -9 *25164:A1 *5745:159 1.39711e-05 -10 *5526:14 *5757:176 4.15526e-05 -11 *5526:16 *25604:A1 0.000228791 -12 *5526:16 *28393:D 8.65986e-05 -13 *5526:16 *28659:SET_B 0 -14 *5526:16 *5581:39 3.5707e-05 -15 *5526:16 *5592:78 0 -16 *5526:16 *5713:146 0.000150925 -17 *5526:16 *5945:18 4.15526e-05 -18 *25164:A2 *25164:A1 2.60135e-05 -19 *25261:A2 *5526:16 1.21384e-05 -20 *25606:S *5526:16 2.87715e-05 -21 *27109:S *5526:16 1.90936e-05 -22 *28618:CLK *25164:A1 9.71197e-05 -23 *28794:CLK *5526:16 8.78892e-05 -24 *29689:A *5526:16 0.000495762 -25 *29816:A *5526:16 5.07157e-05 -26 *30694:A *5526:14 4.19624e-06 -27 *244:50 *5526:14 0.000386845 -28 *348:16 *5526:14 0 -29 *348:16 *5526:16 6.45002e-05 -30 *761:17 *5526:16 0 -31 *1240:96 *5526:16 6.47034e-05 -32 *1244:28 *5526:16 0 -33 *1244:122 *5526:16 0 -34 *1485:23 *25164:A1 1.6068e-05 -35 *1539:14 *5526:16 0 -36 *1580:11 *5526:16 0.000136986 -37 *3569:86 *5526:16 7.25293e-05 -38 *3847:14 *5526:14 4.15526e-05 -39 *3894:10 *5526:16 0 -40 *3894:30 *5526:16 0.00135835 -41 *3987:8 *5526:16 3.45936e-05 -42 *3987:19 *5526:16 0.000108291 -43 *4796:20 *5526:16 0 -44 *5005:14 *5526:16 0.000255479 -45 *5502:41 *5526:14 0.000280509 -46 *5504:56 *5526:16 0 -47 *5513:32 *5526:14 0.000426523 -48 *5515:8 *5526:14 0 -49 *5515:8 *5526:16 0 -50 *5516:45 *5526:16 2.72602e-05 -51 *5523:93 *5526:14 0.000265948 -*RES -1 *30684:X *5526:14 27.7627 -2 *5526:14 *5526:16 49.5804 -3 *5526:16 *25164:A1 22.6036 -*END - -*D_NET *5527 0.0171075 -*CONN -*I *25341:B2 I *D sky130_fd_sc_hd__a22o_4 -*I *29265:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30958:A I *D sky130_fd_sc_hd__buf_12 -*I *28107:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *25341:B2 0.000539335 -2 *29265:A 0.00175354 -3 *30958:A 0.000717447 -4 *28107:Q 0.00053117 -5 *5527:13 0.00285088 -6 *5527:10 0.0014504 -7 *25341:B2 *5698:179 0.000168476 -8 *25341:B2 *5705:169 4.65519e-05 -9 *29265:A *5705:162 2.43094e-05 -10 *5527:10 *28108:SET_B 0.000200406 -11 *5527:13 *27593:A2 6.37247e-05 -12 pll_trim[9] *30958:A 5.33005e-05 -13 *25341:B1 *25341:B2 0.000184522 -14 *25359:A2 *29265:A 0 -15 *25359:A2 *30958:A 8.89061e-05 -16 *25406:A2 *25341:B2 0.000800526 -17 *25406:A2 *5527:13 0.000342068 -18 *25406:C1 *30958:A 0.000207556 -19 *25592:S *5527:10 4.8667e-05 -20 *27968:B1 *29265:A 0.000151534 -21 *30940:A *5527:10 0.000159993 -22 *244:20 *5527:10 3.47984e-05 -23 *451:12 *5527:10 0.00077345 -24 *459:10 *30958:A 8.66018e-05 -25 *1294:39 *5527:13 0.000253693 -26 *1294:64 *29265:A 0 -27 *1457:15 *29265:A 0.000220937 -28 *1457:35 *29265:A 9.87983e-06 -29 *1616:34 *30958:A 0.000384526 -30 *1675:10 *30958:A 0.000163048 -31 *3145:32 *30958:A 0.000611786 -32 *3412:62 *30958:A 4.11919e-05 -33 *3459:23 *29265:A 0.000219289 -34 *3460:21 *29265:A 1.47728e-05 -35 *3648:20 *25341:B2 4.19624e-06 -36 *3791:148 *25341:B2 8.68261e-05 -37 *3791:148 *5527:13 0.000637478 -38 *3999:17 *29265:A 1.90936e-05 -39 *5036:25 *5527:13 8.69554e-05 -40 *5040:13 *25341:B2 4.19624e-06 -41 *5154:10 *5527:10 0.000514869 -42 *5485:104 *25341:B2 0.000182881 -43 *5498:54 *29265:A 0.00198677 -44 *5498:54 *30958:A 0.000301881 -45 *5500:41 *30958:A 8.50218e-05 -*RES -1 *28107:Q *5527:10 31.4779 -2 *5527:10 *5527:13 4.8623 -3 *5527:13 *30958:A 27.335 -4 *5527:13 *29265:A 27.4667 -5 *5527:10 *25341:B2 20.5988 -*END - -*D_NET *5528 0.0673724 -*CONN -*I *25381:B2 I *D sky130_fd_sc_hd__a221o_2 -*I *29253:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30959:A I *D sky130_fd_sc_hd__buf_12 -*I *28431:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25381:B2 0.00112146 -2 *29253:A 0 -3 *30959:A 0.00139957 -4 *28431:Q 0 -5 *5528:55 0.00240849 -6 *5528:53 0.00252133 -7 *5528:47 0.00284236 -8 *5528:45 0.00288169 -9 *5528:33 0.00237796 -10 *5528:27 0.00300581 -11 *5528:26 0.00193373 -12 *5528:8 0.00173374 -13 *5528:4 0.000366417 -14 *25381:B2 *25381:A2 2.8266e-06 -15 *25381:B2 *28458:RESET_B 8.44271e-06 -16 *25381:B2 *5680:115 1.94945e-05 -17 *25381:B2 *5773:53 1.24437e-06 -18 *25381:B2 *5773:55 6.67283e-05 -19 *25381:B2 *5910:80 0.000552525 -20 *30959:A *5570:11 0 -21 *5528:8 *28434:D 0.000128161 -22 *5528:8 *30967:A 5.05484e-05 -23 *5528:26 *30967:A 1.07719e-05 -24 *5528:27 *26831:A0 0 -25 *5528:27 *26833:A1 0 -26 *5528:27 *5529:31 0.000801411 -27 *5528:27 *5608:70 0.00139878 -28 *5528:27 *5608:71 0.000534464 -29 *5528:27 *5667:277 0.000939585 -30 *5528:27 *5675:132 6.8646e-06 -31 *5528:27 *5694:217 0 -32 *5528:33 *5529:31 0.00296492 -33 *5528:33 *5529:33 9.30603e-06 -34 *5528:33 *5608:71 0.000439564 -35 *5528:33 *5678:97 0.000649854 -36 *5528:33 *5678:106 0.000369471 -37 *5528:33 *5678:111 0.000879365 -38 *5528:45 *5529:31 1.08691e-05 -39 *5528:45 *5529:35 0.00316767 -40 *5528:45 *5608:71 0.00165972 -41 *5528:45 *5608:78 0.00138328 -42 *5528:47 *5529:35 0.000306547 -43 *5528:47 *5529:52 0.000679496 -44 *5528:47 *5608:78 0.00121661 -45 *5528:53 *26891:A0 0.000313044 -46 *5528:53 *28545:RESET_B 0.00029513 -47 *5528:53 *5601:97 0.000708772 -48 *5528:55 *26955:A 0.00208692 -49 *5528:55 *28616:RESET_B 0.000216304 -50 *5528:55 *5601:97 0.000142026 -51 *5528:55 *5676:125 0.000338676 -52 *5528:55 *5680:134 0.000409896 -53 *5528:55 *5910:80 0.00183943 -54 pwr_ctrl_out[0] *30959:A 0.000144773 -55 pwr_ctrl_out[1] *30959:A 0.000202842 -56 *24989:A2 *5528:55 1.94945e-05 -57 *25013:A2 *5528:47 1.0562e-05 -58 *25014:C1 *5528:47 0.000157631 -59 *25032:C *5528:45 0.000344925 -60 *25381:B1 *25381:B2 2.84269e-05 -61 *26830:A0 *5528:8 0 -62 *26972:A1 *5528:53 1.721e-05 -63 *26972:A1 *5528:55 4.53834e-05 -64 *26988:A1 *25381:B2 0.000141857 -65 *27016:A1 *5528:33 7.83659e-05 -66 *28481:D *5528:53 0.00015234 -67 *28555:CLK *5528:53 1.40849e-05 -68 *28557:CLK *25381:B2 0.000122935 -69 *28561:D *5528:47 0.000337637 -70 *28609:D *5528:55 0.000101178 -71 *28657:D *5528:27 0.000317602 -72 *29450:A *5528:33 0.00012026 -73 *29695:A *5528:45 0.000146254 -74 *29790:A *5528:27 0 -75 *30043:A *5528:53 3.48235e-05 -76 *30049:A *5528:53 0.000509486 -77 *30051:A *5528:55 0.000311752 -78 *30056:A *5528:53 0.000125739 -79 *30309:A *5528:27 7.09565e-05 -80 *30395:A *5528:47 0.00019384 -81 *791:11 *25381:B2 1.69159e-05 -82 *826:27 *25381:B2 2.84269e-05 -83 *1261:119 *5528:47 0.00161073 -84 *1275:164 *25381:B2 4.28365e-05 -85 *1275:178 *25381:B2 0.000354632 -86 *1490:147 *5528:47 0.000412623 -87 *1490:147 *5528:53 5.56646e-05 -88 *1490:166 *25381:B2 1.50181e-05 -89 *1490:166 *5528:55 0.000445564 -90 *1853:94 *25381:B2 0.000385717 -91 *2748:9 *5528:27 0.000341518 -92 *2748:12 *5528:27 0.000763884 -93 *2748:20 *5528:8 1.31235e-05 -94 *2748:20 *5528:27 0.00060421 -95 *2759:180 *5528:53 0.000572729 -96 *2763:25 *25381:B2 5.4869e-05 -97 *2770:18 *25381:B2 0.00141832 -98 *2770:18 *5528:55 3.25573e-05 -99 *2770:27 *5528:55 4.41974e-05 -100 *2770:53 *5528:47 2.04825e-05 -101 *2772:152 *25381:B2 0.000516528 -102 *2772:183 *5528:47 0.00142932 -103 *2772:189 *5528:47 1.43349e-05 -104 *2773:60 *25381:B2 0 -105 *2775:44 *5528:53 0.000432659 -106 *2775:50 *5528:47 0.000127052 -107 *3558:224 *5528:47 4.2105e-05 -108 *3558:224 *5528:53 0.000912185 -109 *3558:251 *5528:53 0.000329816 -110 *3572:81 *5528:27 0 -111 *3572:95 *5528:27 0.000326724 -112 *3618:74 *5528:55 2.95485e-05 -113 *3618:84 *5528:55 1.12578e-05 -114 *3708:29 *5528:47 0.00110488 -115 *3786:57 *5528:53 0.00124726 -116 *3786:57 *5528:55 0.000261643 -117 *3812:14 *5528:47 0.000774315 -118 *4124:58 *5528:55 0.000810201 -119 *4150:22 *5528:47 0.000272824 -120 *4151:32 *5528:53 0.000118095 -121 *4466:10 *25381:B2 8.14342e-05 -122 *4743:10 *25381:B2 0.000344538 -123 *5503:8 *30959:A 0 -124 *5503:8 *5528:8 0 -*RES -1 *28431:Q *5528:4 9.3 -2 *5528:4 *5528:8 10.9464 -3 *5528:8 *30959:A 39.9786 -4 *5528:8 *29253:A 13.8 -5 *5528:4 *5528:26 5.03571 -6 *5528:26 *5528:27 59.0804 -7 *5528:27 *5528:33 39.5357 -8 *5528:33 *5528:45 49.8929 -9 *5528:45 *5528:47 62.5625 -10 *5528:47 *5528:53 46.0804 -11 *5528:53 *5528:55 49.9107 -12 *5528:55 *25381:B2 48.2911 -*END - -*D_NET *5529 0.0469176 -*CONN -*I *25319:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *29915:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30960:A I *D sky130_fd_sc_hd__buf_12 -*I *28432:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25319:B2 0.000368697 -2 *29915:A 3.16941e-05 -3 *30960:A 0 -4 *28432:Q 0 -5 *5529:52 0.00148421 -6 *5529:46 0.00141313 -7 *5529:35 0.00165384 -8 *5529:33 0.00138527 -9 *5529:31 0.0020559 -10 *5529:30 0.00202686 -11 *5529:22 0.000368254 -12 *5529:9 0.00182422 -13 *5529:5 0.00216078 -14 *25319:B2 *5602:100 0.000108996 -15 *29915:A *26831:A0 1.92905e-05 -16 *5529:9 *26833:A1 0 -17 *5529:9 *28433:D 4.57538e-05 -18 *5529:9 *5530:6 0.000104236 -19 *5529:9 *5530:20 2.61337e-05 -20 *5529:9 *5530:70 0.00132021 -21 *5529:9 *5694:217 0.000222885 -22 *5529:9 *5926:122 0.00020042 -23 *5529:22 *26831:A0 8.7506e-05 -24 *5529:22 *5694:217 0.000572925 -25 *5529:22 *5926:122 0.000583175 -26 *5529:31 *5608:70 0.0013915 -27 *5529:31 *5675:97 0.00156082 -28 *5529:31 *5675:132 0.00111143 -29 *5529:31 *5678:106 0.000754276 -30 *5529:31 *5753:85 6.11203e-06 -31 *5529:31 *5753:87 1.12578e-05 -32 pwr_ctrl_out[1] *5529:9 0.000144773 -33 *25020:B1 *5529:46 0.000135028 -34 *25319:A2 *25319:B2 5.33005e-05 -35 *26830:A0 *5529:9 0 -36 *27017:S *5529:31 0.000150618 -37 *27089:A1 *5529:31 0.00064469 -38 *28561:D *5529:52 0.000340066 -39 *28568:CLK *5529:52 0.000260574 -40 *29695:A *5529:35 0.000144732 -41 *1261:119 *5529:35 8.32242e-05 -42 *1261:119 *5529:46 4.25652e-05 -43 *1270:114 *5529:31 0.000320259 -44 *1270:114 *5529:35 1.50087e-05 -45 *1274:170 *25319:B2 0.00109122 -46 *1274:172 *25319:B2 0.000137556 -47 *1339:34 *5529:35 0.00199143 -48 *1339:36 *5529:35 3.0791e-05 -49 *1339:36 *5529:46 0.000731801 -50 *1371:14 *5529:9 0 -51 *2748:9 *5529:31 0.000128161 -52 *2772:183 *5529:52 0.0013683 -53 *2772:189 *5529:35 0.00227069 -54 *3558:223 *5529:46 5.52238e-05 -55 *3558:224 *5529:52 0.00299841 -56 *3634:70 *5529:31 0.000113877 -57 *3634:82 *5529:31 0.000315262 -58 *3690:46 *25319:B2 2.59355e-05 -59 *3786:60 *25319:B2 0.00121341 -60 *4072:50 *5529:46 6.57032e-05 -61 *4124:104 *5529:46 0.000731801 -62 *4150:22 *5529:46 0.000168046 -63 *4150:22 *5529:52 0.000229389 -64 *5461:18 *5529:31 7.5779e-05 -65 *5528:27 *5529:31 0.000801411 -66 *5528:33 *5529:31 0.00296492 -67 *5528:33 *5529:33 9.30603e-06 -68 *5528:45 *5529:31 1.08691e-05 -69 *5528:45 *5529:35 0.00316767 -70 *5528:47 *5529:35 0.000306547 -71 *5528:47 *5529:52 0.000679496 -*RES -1 *28432:Q *5529:5 13.8 -2 *5529:5 *5529:9 47.4107 -3 *5529:9 *30960:A 9.3 -4 *5529:5 *5529:22 15.4643 -5 *5529:22 *29915:A 9.83571 -6 *5529:22 *5529:30 4.5 -7 *5529:30 *5529:31 77.8393 -8 *5529:31 *5529:33 0.535714 -9 *5529:33 *5529:35 56.2857 -10 *5529:35 *5529:46 29.9464 -11 *5529:46 *5529:52 49.9643 -12 *5529:52 *25319:B2 29.9429 -*END - -*D_NET *5530 0.037107 -*CONN -*I *30961:A I *D sky130_fd_sc_hd__buf_12 -*I *25228:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *29849:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28433:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *30961:A 0 -2 *25228:B2 0 -3 *29849:A 0.000183176 -4 *28433:Q 0 -5 *5530:70 0.00117112 -6 *5530:58 0.00119361 -7 *5530:44 0.00351842 -8 *5530:32 0.00497828 -9 *5530:20 0.00404693 -10 *5530:6 0.00165269 -11 *5530:4 0.00124717 -12 *29849:A *26832:A0 0.00044366 -13 *5530:6 *26833:A1 0.000105591 -14 *5530:20 *26832:A0 0.000484518 -15 *5530:20 *26832:A1 0.000440705 -16 *5530:20 *26833:A1 2.26327e-05 -17 *5530:32 *6498:DIODE 2.05612e-05 -18 *5530:32 *5675:281 0.000279362 -19 *5530:44 *27135:B 2.06112e-05 -20 *5530:44 *27235:A0 4.57473e-05 -21 *5530:44 *5635:15 0.00103682 -22 *5530:44 *5717:23 4.57872e-05 -23 *5530:44 *5720:159 2.69467e-05 -24 *5530:44 *6302:41 0 -25 *5530:44 *6303:10 2.36138e-05 -26 *5530:44 *6311:43 7.29646e-05 -27 *5530:58 *27291:A 6.43445e-05 -28 *5530:58 *28761:D 0.000123288 -29 *5530:58 *5606:33 0 -30 *5530:58 *5606:44 6.35941e-05 -31 *5530:58 *5694:94 0.000160456 -32 *5530:58 *5694:110 0.000286222 -33 *5530:58 *6225:110 2.22043e-05 -34 *5530:58 *6225:114 0.00132156 -35 *5530:70 *28433:D 0.000181803 -36 *5530:70 *30962:A 0.000613315 -37 *5530:70 *30966:A 0.00017379 -38 pwr_ctrl_out[2] *5530:70 9.60939e-05 -39 pwr_ctrl_out[3] *5530:70 0.000416199 -40 *25228:A2 *5530:58 5.41794e-05 -41 *25228:B1 *5530:58 0.000172688 -42 *27061:S *5530:32 4.18895e-05 -43 *27062:A1 *5530:32 1.38323e-05 -44 *27235:S *5530:44 0.00019652 -45 *27256:B1 *5530:32 0.000353689 -46 *27327:B *5530:44 0.000425867 -47 *27355:A0 *5530:58 0.000250674 -48 *27376:A2 *5530:58 0.000439018 -49 *28625:CLK *5530:32 4.87854e-05 -50 *28625:D *5530:20 0.000560311 -51 *28625:D *5530:32 0.000282035 -52 *28704:CLK *5530:32 0.000516721 -53 *28704:D *5530:32 7.3237e-05 -54 *28773:D *5530:44 0.000335705 -55 *28778:D *5530:44 5.16338e-05 -56 *28781:D *5530:32 0.00077939 -57 *29820:A *5530:58 0.000110238 -58 *29850:A *5530:20 6.09919e-05 -59 *1371:14 *5530:70 0 -60 *1547:12 *5530:58 9.67203e-05 -61 *2778:35 *5530:32 0.000183726 -62 *2778:203 *5530:32 8.46829e-05 -63 *2787:78 *5530:58 8.9249e-05 -64 *2814:13 *5530:44 0.000722147 -65 *2824:28 *5530:32 0.000451537 -66 *2825:12 *5530:44 0 -67 *2825:27 *5530:44 0 -68 *2830:11 *5530:32 2.13481e-06 -69 *2842:18 *5530:58 0.000223279 -70 *2842:28 *5530:58 0.000140885 -71 *2844:18 *5530:58 2.04825e-05 -72 *2850:164 *5530:58 0.00026656 -73 *2864:12 *5530:44 3.40014e-05 -74 *2864:28 *5530:44 0 -75 *2865:9 *5530:44 0.000590838 -76 *3173:17 *5530:44 0.000273792 -77 *3194:59 *5530:44 0.000162076 -78 *3573:16 *5530:44 0.000765734 -79 *3573:18 *5530:44 0.000911707 -80 *3573:25 *5530:44 0.000105889 -81 *3575:76 *5530:44 5.12476e-05 -82 *3575:78 *5530:44 0 -83 *3575:100 *5530:32 9.21804e-05 -84 *3575:100 *5530:44 7.03295e-05 -85 *3575:108 *5530:32 3.82242e-05 -86 *3636:13 *5530:32 0.000555481 -87 *3825:14 *5530:32 0.000181374 -88 *5461:7 *5530:58 0.000190973 -89 *5529:9 *5530:6 0.000104236 -90 *5529:9 *5530:20 2.61337e-05 -91 *5529:9 *5530:70 0.00132021 -*RES -1 *28433:Q *5530:4 9.3 -2 *5530:4 *5530:6 7.05357 -3 *5530:6 *29849:A 18.0321 -4 *5530:6 *5530:20 29.5 -5 *5530:20 *5530:32 49.7143 -6 *5530:32 *5530:44 49.8078 -7 *5530:44 *5530:58 41.6332 -8 *5530:58 *25228:B2 9.3 -9 *5530:4 *5530:70 45.8393 -10 *5530:70 *30961:A 9.3 -*END - -*D_NET *5531 0.0611791 -*CONN -*I *29797:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30962:A I *D sky130_fd_sc_hd__buf_12 -*I *25192:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28434:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29797:A 0.00013078 -2 *30962:A 0.000776443 -3 *25192:B2 0.000816805 -4 *28434:Q 0 -5 *5531:18 0.00625732 -6 *5531:17 0.00544051 -7 *5531:15 0.00281858 -8 *5531:5 0.00363327 -9 *5531:4 0.000169022 -10 *30962:A *30966:A 0.000322453 -11 *5531:15 *27461:A2 0.000551755 -12 *5531:15 *28434:RESET_B 4.29007e-05 -13 *5531:15 *6330:17 0.00019868 -14 *5531:18 *27044:A0 0.000420535 -15 *5531:18 *5535:45 0.000661996 -16 *5531:18 *5675:281 4.64346e-05 -17 pwr_ctrl_out[3] *30962:A 1.76039e-05 -18 *25007:A1 *5531:18 9.91086e-05 -19 *25192:A2 *25192:B2 1.46576e-05 -20 *27445:A1 *5531:18 0.000104789 -21 *27446:A2 *5531:18 0.000199133 -22 *27641:A_N *5531:18 0.000232012 -23 *28431:CLK *5531:15 0.000733491 -24 *28434:CLK *5531:15 9.93105e-05 -25 *30522:A *5531:18 0.000297574 -26 *1328:179 *5531:18 0.000293931 -27 *1328:183 *5531:18 0.00262681 -28 *1339:10 *5531:18 0.00229495 -29 *1371:14 *30962:A 0.00207906 -30 *1490:102 *25192:B2 3.8274e-05 -31 *1490:128 *25192:B2 0.00259568 -32 *2764:166 *5531:18 0.00030501 -33 *2827:65 *5531:18 0.000453552 -34 *2844:179 *5531:18 0.0019953 -35 *2861:39 *5531:18 0.00224076 -36 *2866:241 *5531:18 0.000554469 -37 *2888:194 *25192:B2 0.00195582 -38 *2888:198 *25192:B2 0.000420474 -39 *2895:256 *5531:18 0.000280861 -40 *3040:41 *5531:18 0.000672901 -41 *3185:164 *5531:18 0.000113898 -42 *3387:14 *5531:18 3.39276e-05 -43 *3572:72 *5531:15 0.000363585 -44 *3572:81 *5531:15 0.000587169 -45 *3635:7 *5531:15 0.00124951 -46 *3825:14 *5531:18 1.77672e-05 -47 *3825:37 *5531:18 4.54553e-05 -48 *3825:39 *5531:18 0.000369393 -49 *3850:24 *5531:18 0.0148921 -50 *5530:70 *30962:A 0.000613315 -*RES -1 *28434:Q *5531:4 9.3 -2 *5531:4 *5531:5 0.732143 -3 *5531:5 *5531:15 49.2857 -4 *5531:15 *5531:17 4.5 -5 *5531:17 *5531:18 195.018 -6 *5531:18 *25192:B2 41.6214 -7 *5531:5 *30962:A 45.0679 -8 *5531:4 *29797:A 11.4786 -*END - -*D_NET *5532 0.0173027 -*CONN -*I *25359:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *30963:A I *D sky130_fd_sc_hd__buf_12 -*I *25354:X O *D sky130_fd_sc_hd__or3_4 -*CAP -1 *25359:B1 0.00121259 -2 *30963:A 0.000518001 -3 *25354:X 0.000976982 -4 *5532:15 0.00270758 -5 *25359:B1 *26819:A0 8.55079e-05 -6 *25359:B1 *26823:A0 0.000217947 -7 *25359:B1 *28417:RESET_B 9.90298e-05 -8 *25359:B1 *29571:A 0.000312559 -9 *25359:B1 *5665:127 4.21517e-05 -10 *5532:15 *26819:A0 0.000202371 -11 *5532:15 *26834:B 6.75416e-05 -12 *5532:15 *29533:A 9.45051e-05 -13 *5532:15 *29571:A 0.000283885 -14 *5532:15 *5710:112 2.83129e-05 -15 *5532:15 *5733:48 8.65561e-05 -16 pad_flash_io1_oeb *30963:A 0.000142841 -17 pll90_sel[0] *25359:B1 0.000297534 -18 reset *30963:A 5.52302e-05 -19 *26815:A1 *5532:15 4.60232e-06 -20 *26818:A1 *30963:A 0 -21 *28435:CLK *30963:A 0.000136248 -22 *28953:A *25359:B1 0.000646246 -23 *29128:A *5532:15 0.000833705 -24 *29464:A *30963:A 1.54142e-05 -25 *30094:A *5532:15 8.47076e-05 -26 *467:18 *30963:A 0.000317082 -27 *755:18 *30963:A 0.000640342 -28 *1471:56 *30963:A 4.09646e-05 -29 *1671:37 *25359:B1 3.60469e-05 -30 *1671:52 *25359:B1 0.00268711 -31 *3791:36 *5532:15 0.000135795 -32 *4317:16 *25359:B1 0.00112048 -33 *4317:16 *5532:15 0.000513286 -34 *4382:17 *5532:15 0.000241806 -35 *4900:26 *25359:B1 0.000392204 -36 *5028:9 *30963:A 0.000110097 -37 *5483:21 *25359:B1 0.000176141 -38 *5488:34 *5532:15 8.6229e-06 -39 *5488:55 *25359:B1 0.000387762 -40 *5489:19 *5532:15 0.00130814 -41 *5524:40 *25359:B1 3.48083e-05 -*RES -1 *25354:X *5532:15 31.962 -2 *5532:15 *30963:A 29.2189 -3 *5532:15 *25359:B1 49.7997 -*END - -*D_NET *5533 0.00140274 -*CONN -*I *30964:A I *D sky130_fd_sc_hd__buf_12 -*I *25581:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *30964:A 0.000490032 -2 *25581:X 0.000490032 -3 *30964:A *6136:16 0 -4 *30964:A *6158:28 0 -5 *30964:A *6236:8 4.98872e-05 -6 *25422:B *30964:A 0.000116481 -7 *30754:A *30964:A 7.83659e-05 -8 *1735:8 *30964:A 0.000177941 -*RES -1 *25581:X *30964:A 37.0286 -*END - -*D_NET *5534 0.0255537 -*CONN -*I *25531:B I *D sky130_fd_sc_hd__nor2_1 -*I *30965:A I *D sky130_fd_sc_hd__buf_12 -*I *25523:A_N I *D sky130_fd_sc_hd__and4b_1 -*I *27216:B I *D sky130_fd_sc_hd__nand2_2 -*I *25499:X O *D sky130_fd_sc_hd__mux2_4 -*CAP -1 *25531:B 0 -2 *30965:A 0 -3 *25523:A_N 0.000698312 -4 *27216:B 0.000597494 -5 *25499:X 6.02985e-05 -6 *5534:49 0.00349032 -7 *5534:25 0.0046115 -8 *5534:14 0.00147703 -9 *5534:5 0.000516962 -10 *25523:A_N *27217:A 7.12002e-05 -11 *25523:A_N *5926:152 3.34476e-05 -12 *27216:B *29544:A 4.34069e-05 -13 *27216:B *5680:276 0.00157042 -14 *27216:B *6348:6 8.19176e-05 -15 *5534:5 *6178:17 9.02916e-05 -16 *5534:5 *6178:35 0.000229456 -17 *5534:5 *6314:75 0.000401764 -18 *5534:14 *25531:A 5.33005e-05 -19 *5534:14 *5570:23 8.61908e-05 -20 *5534:14 *5751:10 0 -21 *5534:14 *5751:12 0 -22 *5534:14 *5997:10 4.22135e-05 -23 *5534:14 *6178:17 0.000311183 -24 *5534:14 *6314:75 3.08382e-05 -25 *5534:25 *5680:276 0.00119927 -26 *5534:25 *6382:36 0.000177221 -27 *5534:49 *5539:33 0.000717559 -28 *5534:49 *5570:23 3.11255e-05 -29 *5534:49 *5609:65 4.78585e-05 -30 *5534:49 *5659:48 0.00023508 -31 *5534:49 *6382:36 0.000174846 -32 *5534:49 *6382:48 0.000428783 -33 *25044:A1 *27216:B 0.000735239 -34 *25044:A1 *5534:25 2.74743e-05 -35 *25049:B1 *25523:A_N 0.000261935 -36 *27098:S *5534:49 0 -37 *27232:A2 *5534:49 0.00153515 -38 *27270:A2 *5534:14 8.19176e-05 -39 *28768:CLK *5534:14 0.000186662 -40 *30591:A *5534:14 6.74229e-05 -41 *30591:A *5534:49 0.000154712 -42 *30678:A *5534:49 4.58976e-05 -43 *23:22 *5534:49 0.000762517 -44 *39:26 *27216:B 3.37219e-05 -45 *39:26 *5534:14 5.67946e-05 -46 *39:39 *27216:B 9.34324e-05 -47 *39:39 *5534:25 0.00144585 -48 *39:39 *5534:49 0.000592862 -49 *244:64 *5534:49 0.00019929 -50 *1104:16 *5534:49 2.74743e-05 -51 *1264:92 *25523:A_N 4.79414e-05 -52 *1368:23 *25523:A_N 0 -53 *1800:14 *27216:B 0.000314487 -54 *2837:8 *5534:14 4.22135e-06 -55 *3878:22 *5534:49 0.000311681 -56 *5470:10 *5534:49 0.00103617 -57 *5513:53 *25523:A_N 2.1599e-05 -*RES -1 *25499:X *5534:5 13.1214 -2 *5534:5 *5534:14 17.6868 -3 *5534:14 *27216:B 21.5019 -4 *5534:14 *5534:25 2.38917 -5 *5534:25 *25523:A_N 26.4289 -6 *5534:25 *5534:49 43.9363 -7 *5534:49 *30965:A 9.3 -8 *5534:5 *25531:B 9.3 -*END - -*D_NET *5535 0.0819499 -*CONN -*I *30966:A I *D sky130_fd_sc_hd__buf_12 -*I *25056:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25037:X O *D sky130_fd_sc_hd__mux2_8 -*CAP -1 *30966:A 9.13976e-05 -2 *25056:B2 0 -3 *25037:X 0 -4 *5535:71 0.0072345 -5 *5535:70 0.00767272 -6 *5535:54 0.000943816 -7 *5535:51 0.00113897 -8 *5535:46 0.00298612 -9 *5535:45 0.0032552 -10 *5535:37 0.0025325 -11 *5535:25 0.00426532 -12 *5535:14 0.004588 -13 *5535:4 0.00292057 -14 *5535:14 *27075:A0 0.000168224 -15 *5535:14 *5599:39 0.000142128 -16 *5535:14 *5599:72 0.000143307 -17 *5535:14 *5599:247 0.000854415 -18 *5535:14 *5749:47 8.83919e-05 -19 *5535:14 *5749:54 0.000254128 -20 *5535:14 *5757:31 5.46636e-05 -21 *5535:14 *6319:19 0.000335976 -22 *5535:25 *5635:15 0.000333185 -23 *5535:25 *5641:13 0.000126856 -24 *5535:25 *5717:23 0.000862685 -25 *5535:25 *5717:142 0.000230688 -26 *5535:25 *6306:74 1.74806e-05 -27 *5535:37 *5687:217 0.000102327 -28 *5535:46 *5644:37 0.00209682 -29 *5535:46 *5644:45 0.000452458 -30 *5535:46 *5649:55 0.00137006 -31 *5535:46 *5649:82 0.000116155 -32 *5535:54 *5582:42 8.30045e-05 -33 *5535:54 *5604:117 0.00254602 -34 *5535:70 *25037:S 6.15221e-05 -35 *5535:70 *25563:A1 6.09762e-05 -36 *5535:70 *5757:31 4.23876e-05 -37 *5535:70 *6042:20 2.3942e-05 -38 *5535:71 *28187:RESET_B 9.50011e-06 -39 *5535:71 *5720:31 1.69961e-05 -40 *24987:A1 *5535:54 0.000605418 -41 *25007:A1 *5535:37 2.16858e-05 -42 *25007:A2 *5535:37 5.51805e-05 -43 *25007:B1 *5535:37 0.00139108 -44 *25046:B1 *5535:70 9.08195e-05 -45 *25219:A2 *5535:14 0.00105238 -46 *27115:A1 *5535:71 0.000118987 -47 *27115:S *5535:71 2.59355e-05 -48 *27442:C1 *5535:46 1.90936e-05 -49 *27446:A2 *5535:45 0.000663718 -50 *27594:A0 *5535:14 0.00022266 -51 *27594:A0 *5535:70 9.71197e-05 -52 *27600:A *5535:25 5.33005e-05 -53 *27600:B *5535:25 0.00014622 -54 *27842:B2 *5535:46 6.19181e-05 -55 *28187:D *5535:71 0.0011256 -56 *28680:D *5535:71 5.52302e-05 -57 *29739:A *5535:71 1.21289e-05 -58 *29796:A *5535:14 3.00656e-05 -59 *29879:A *5535:70 0.000109523 -60 *29879:A *5535:71 0.000323159 -61 *30470:A *5535:71 0.000137213 -62 *30673:A *5535:71 0.000161824 -63 *30871:A *5535:14 0.000225477 -64 *30962:A *30966:A 0.000322453 -65 *18:20 *5535:71 0.00133072 -66 *977:15 *5535:70 0.000133283 -67 *1117:13 *5535:71 5.5418e-05 -68 *1264:92 *5535:71 0.000152095 -69 *1273:246 *5535:14 0 -70 *1294:243 *5535:14 0.000224583 -71 *1294:243 *5535:70 0.000514199 -72 *1331:15 *5535:37 8.36075e-05 -73 *1539:11 *5535:14 8.59117e-05 -74 *1826:61 *5535:14 0.000105689 -75 *2751:20 *5535:70 8.6051e-05 -76 *2777:49 *5535:14 0.000158707 -77 *2777:58 *5535:14 0 -78 *2778:35 *5535:14 6.30931e-05 -79 *2782:27 *5535:70 5.91765e-05 -80 *2782:183 *5535:71 0.000931692 -81 *2787:112 *5535:37 0.00112463 -82 *2791:73 *5535:54 4.21584e-05 -83 *2797:38 *5535:71 0.000125453 -84 *2815:46 *5535:25 0.000226229 -85 *2815:55 *5535:25 0.000228781 -86 *2839:19 *5535:14 0.000155862 -87 *2855:285 *5535:37 0.00018631 -88 *2864:28 *5535:25 0 -89 *2866:34 *5535:46 0.000559309 -90 *2866:36 *5535:46 0.0035446 -91 *2871:160 *5535:37 0.0012832 -92 *2871:231 *5535:54 0.00099329 -93 *2885:27 *5535:25 0 -94 *2886:11 *5535:25 8.95604e-05 -95 *2895:256 *5535:46 0.000172528 -96 *3006:10 *5535:45 2.1481e-05 -97 *3029:20 *5535:51 0.000191774 -98 *3039:13 *5535:51 0.000510213 -99 *3174:225 *5535:46 0.000514926 -100 *3184:43 *5535:25 0.000134453 -101 *3185:22 *5535:46 0.00069871 -102 *3185:24 *5535:46 3.95413e-05 -103 *3185:164 *5535:46 0.000296729 -104 *3192:20 *5535:25 0.000280928 -105 *3192:31 *5535:25 0.000301023 -106 *3194:62 *5535:25 0.00134208 -107 *3215:179 *5535:46 0.000448037 -108 *3721:44 *5535:46 0.00173815 -109 *3735:71 *5535:46 3.12915e-05 -110 *3787:56 *5535:46 0.000290279 -111 *3847:25 *5535:14 0.000187418 -112 *3873:67 *5535:25 4.18662e-06 -113 *3916:20 *5535:46 0.00266313 -114 *3969:20 *5535:54 0.00112431 -115 *4796:30 *5535:14 0.00219653 -116 *5485:127 *5535:70 3.11255e-05 -117 *5530:70 *30966:A 0.00017379 -118 *5531:18 *5535:45 0.000661996 -*RES -1 *25037:X *5535:4 9.3 -2 *5535:4 *5535:14 48.7463 -3 *5535:14 *5535:25 47.6122 -4 *5535:25 *5535:37 46.6786 -5 *5535:37 *5535:45 24.7143 -6 *5535:45 *5535:46 103.036 -7 *5535:46 *5535:51 14.0536 -8 *5535:51 *5535:54 41.0536 -9 *5535:54 *25056:B2 9.3 -10 *5535:4 *5535:70 20.2541 -11 *5535:70 *5535:71 88.0179 -12 *5535:71 *30966:A 22.3714 -*END - -*D_NET *5536 0.0428701 -*CONN -*I *25027:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30967:A I *D sky130_fd_sc_hd__buf_12 -*I *25004:X O *D sky130_fd_sc_hd__mux2_4 -*CAP -1 *25027:B2 0.00073831 -2 *30967:A 0.00129918 -3 *25004:X 0.0030779 -4 *5536:31 0.00214942 -5 *5536:29 0.00233031 -6 *5536:11 0.00529628 -7 *25027:B2 *5628:66 0.000124184 -8 *5536:11 *5581:8 9.59532e-06 -9 *5536:11 *5602:21 0 -10 *5536:11 *5675:187 0.00182419 -11 *5536:11 *5678:247 3.76833e-05 -12 *5536:31 *24980:A2 0.000115715 -13 *24980:A1 *5536:31 0.00036457 -14 *24980:B2 *5536:31 0.000934348 -15 *24981:B1 *25027:B2 9.98998e-06 -16 *24981:B1 *5536:31 0.000327002 -17 *25019:C1 *25027:B2 0.00132629 -18 *25019:C1 *5536:31 0.000321858 -19 *25027:A1 *25027:B2 4.08637e-05 -20 *25029:C1 *25027:B2 0.000238968 -21 *25045:A2 *5536:11 0.000248705 -22 *25521:B1 *5536:11 0.000113407 -23 *27262:B *5536:11 0.000268252 -24 *27440:A0 *5536:11 0.00158532 -25 *27806:C1 *5536:31 0.000504628 -26 *28960:A *5536:11 1.21859e-05 -27 *29846:A *5536:31 0.000231256 -28 *1244:53 *5536:11 0.00622023 -29 *1307:11 *5536:31 0.00161614 -30 *1307:23 *5536:29 0 -31 *1307:23 *5536:31 7.48564e-05 -32 *1328:198 *25027:B2 6.96405e-05 -33 *1332:10 *25027:B2 0.000272064 -34 *1342:14 *30967:A 8.0294e-05 -35 *1342:14 *5536:29 0.00132036 -36 *1342:14 *5536:31 4.97121e-06 -37 *1342:26 *5536:31 0.00166377 -38 *1624:26 *5536:11 5.16445e-05 -39 *2781:38 *5536:31 1.05524e-05 -40 *2798:60 *5536:11 0.00138597 -41 *2811:15 *5536:11 0.000155478 -42 *2840:59 *5536:11 0.000893664 -43 *3734:23 *5536:31 0.000217413 -44 *3995:9 *5536:29 0.000173083 -45 *4046:26 *25027:B2 0.00205037 -46 *4098:39 *25027:B2 9.60875e-05 -47 *4098:42 *5536:31 0.000138847 -48 *5240:8 *30967:A 0.000160477 -49 *5240:8 *5536:29 0.00262247 -50 *5528:8 *30967:A 5.05484e-05 -51 *5528:26 *30967:A 1.07719e-05 -*RES -1 *25004:X *5536:11 33.5555 -2 *5536:11 *30967:A 30.8625 -3 *5536:11 *5536:29 34.8125 -4 *5536:29 *5536:31 50.9732 -5 *5536:31 *25027:B2 43.2018 -*END - -*D_NET *5537 0.0113607 -*CONN -*I *25046:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *30685:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25046:A1 0.000179159 -2 *30685:X 0.00224214 -3 *5537:14 0.0024213 -4 *25046:A1 *27225:A2 0.000140933 -5 *25046:A1 *5753:28 2.58937e-05 -6 *5537:14 *25524:A 7.49015e-05 -7 *5537:14 *27225:A2 3.10819e-05 -8 *5537:14 *29875:A 5.83586e-05 -9 *25046:B1 *25046:A1 2.89016e-05 -10 *25046:B2 *25046:A1 0.000449355 -11 *28769:D *5537:14 0 -12 *15:18 *5537:14 0.000167466 -13 *40:30 *5537:14 0.00136696 -14 *40:40 *25046:A1 0.000350143 -15 *1368:17 *25046:A1 0 -16 *4740:33 *5537:14 8.6229e-06 -17 *5502:41 *5537:14 0.00136555 -18 *5513:32 *5537:14 7.69776e-06 -19 *5521:67 *5537:14 0.00090573 -20 *5523:93 *5537:14 0.00153652 -*RES -1 *30685:X *5537:14 47.049 -2 *5537:14 *25046:A1 19.9964 -*END - -*D_NET *5538 0.0185206 -*CONN -*I *30968:A I *D sky130_fd_sc_hd__buf_12 -*I *25564:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *30968:A 0 -2 *25564:X 0.00194012 -3 *5538:35 0.00343589 -4 *5538:28 0.00373626 -5 *5538:21 0.00224049 -6 *5538:21 *25564:A0 9.71197e-05 -7 *5538:21 *25564:A1 3.4792e-05 -8 *5538:21 *25564:S 0.000265453 -9 *5538:21 *26840:A1 0.00027338 -10 *5538:21 *5746:152 0.000144603 -11 *5538:21 *5926:171 0.000116457 -12 *5538:21 *5998:11 0.000177895 -13 *5538:21 *6063:27 4.78014e-06 -14 *5538:21 *6345:45 3.68799e-05 -15 *5538:21 *6386:17 4.27338e-05 -16 *5538:28 *27215:B 0.000141408 -17 *25006:A1 *5538:28 0.00011676 -18 *25006:A2 *5538:28 5.59239e-05 -19 *25006:B2 *5538:28 0.000225193 -20 *25006:B2 *5538:35 0.00153405 -21 *25018:C1 *5538:28 9.67517e-05 -22 *27116:A1 *5538:35 0.000247007 -23 *27273:B1 *5538:21 0.000715857 -24 *29761:A *5538:21 0.000266479 -25 *29804:A *5538:28 9.71197e-05 -26 *1624:16 *5538:28 1.76649e-05 -27 *2798:25 *5538:21 9.60939e-05 -28 *2798:34 *5538:21 4.38128e-05 -29 *2809:24 *5538:21 0.000707358 -30 *2809:24 *5538:28 0.000693966 -31 *2816:12 *5538:21 5.33005e-05 -32 *2839:117 *5538:21 0.00040765 -33 *3412:25 *5538:21 0.000457303 -*RES -1 *25564:X *5538:21 48.1661 -2 *5538:21 *5538:28 18.2679 -3 *5538:28 *5538:35 43 -4 *5538:35 *30968:A 9.3 -*END - -*D_NET *5539 0.022411 -*CONN -*I *30969:A I *D sky130_fd_sc_hd__buf_12 -*I *25563:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *30969:A 0.00261624 -2 *25563:X 0.00103745 -3 *5539:33 0.0049457 -4 *5539:17 0.00336691 -5 *30969:A *28129:RESET_B 2.96143e-05 -6 *30969:A *5659:48 0.000193057 -7 *30969:A *5752:35 0.000392019 -8 *30969:A *6380:112 6.24939e-05 -9 *5539:17 *29760:A 0.00081832 -10 *5539:17 *5548:16 2.06178e-05 -11 *5539:17 *5675:213 0.000348872 -12 *5539:17 *5675:223 1.12713e-05 -13 *5539:17 *5718:11 1.89507e-06 -14 *5539:17 *5747:37 0.000235019 -15 *5539:17 *6063:27 0.000155086 -16 *5539:17 *6064:19 3.47641e-06 -17 *5539:33 *24974:B2 1.29898e-05 -18 *5539:33 *27079:A0 0.000206875 -19 *5539:33 *27217:B 6.25562e-05 -20 *5539:33 *28647:D 4.63902e-05 -21 *5539:33 *28647:RESET_B 0.000719165 -22 *5539:33 *5609:65 0.000556566 -23 *5539:33 *5751:83 1.34009e-05 -24 *5539:33 *6314:75 1.61405e-05 -25 *5539:33 *6382:26 7.95917e-06 -26 *24974:C1 *5539:33 3.79557e-05 -27 *27078:S *5539:33 0 -28 *27229:A *5539:33 8.6229e-06 -29 *27232:A1 *5539:33 5.14192e-06 -30 *28129:CLK *30969:A 0.000194942 -31 *28129:D *30969:A 0.000305438 -32 *28771:D *5539:33 1.69961e-05 -33 *29761:A *5539:17 6.89506e-05 -34 *30674:A *30969:A 2.95726e-05 -35 *39:39 *5539:33 0.000720617 -36 *1103:24 *5539:33 7.29646e-05 -37 *1104:16 *5539:33 0.000542571 -38 *1177:11 *5539:17 0.000692113 -39 *1218:154 *5539:33 6.09762e-05 -40 *1240:130 *5539:17 2.18087e-05 -41 *1240:134 *5539:17 0.00158085 -42 *1240:134 *5539:33 2.57552e-06 -43 *1290:89 *5539:17 5.50861e-05 -44 *3635:33 *30969:A 2.45832e-05 -45 *5352:22 *5539:17 0.00131826 -46 *5426:19 *30969:A 5.33005e-05 -47 *5534:49 *5539:33 0.000717559 -*RES -1 *25563:X *5539:17 44.4712 -2 *5539:17 *5539:33 49.529 -3 *5539:33 *30969:A 47.4696 -*END - -*D_NET *5540 0.00397613 -*CONN -*I *30970:A I *D sky130_fd_sc_hd__buf_12 -*I *25582:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *30970:A 0.000463133 -2 *25582:X 0.000463133 -3 *30970:A *5541:8 0.00152493 -4 *30970:A *6136:16 0.00152493 -*RES -1 *25582:X *30970:A 48.2071 -*END - -*D_NET *5541 0.063277 -*CONN -*I *30971:A I *D sky130_fd_sc_hd__buf_12 -*I *25576:X O *D sky130_fd_sc_hd__and2b_4 -*CAP -1 *30971:A 7.54338e-05 -2 *25576:X 3.7908e-05 -3 *5541:8 0.0122202 -4 *5541:7 0.0121827 -5 *5541:7 *6003:13 0.00010096 -6 *5541:8 *5545:75 0.00163384 -7 *5541:8 *5566:8 0.00111895 -8 *5541:8 *5567:12 0.00216148 -9 *5541:8 *5567:14 0.00100053 -10 *5541:8 *5568:10 0.00102125 -11 *5541:8 *6114:24 0.000655661 -12 *5541:8 *6136:16 0.000614473 -13 *5541:8 *6147:14 0.00237255 -14 irq[0] *5541:8 0 -15 irq[1] *5541:8 0 -16 irq[2] *5541:8 0 -17 wb_dat_o[12] *5541:8 4.5534e-05 -18 wb_dat_o[1] *5541:8 2.11419e-05 -19 wb_dat_o[2] *5541:8 4.32258e-05 -20 wb_dat_o[3] *5541:8 2.11419e-05 -21 wb_dat_o[5] *5541:8 2.11419e-05 -22 wb_dat_o[8] *5541:8 4.32258e-05 -23 wb_dat_o[9] *5541:8 2.11419e-05 -24 *25423:A1 *5541:8 0.000192444 -25 *25423:A2 *5541:8 0.000149414 -26 *25423:B1 *5541:8 3.36355e-06 -27 *25428:A *5541:8 0.000199338 -28 *25479:B1 *5541:8 0.000664258 -29 *25576:A_N *5541:8 6.92148e-06 -30 *25583:B *5541:8 0.000230201 -31 *25817:A1 *5541:8 6.27457e-05 -32 *27976:A0 *5541:8 2.79092e-05 -33 *27979:A1 *5541:8 0.00140363 -34 *28250:D *5541:8 0 -35 *28256:D *5541:8 0.000150257 -36 *28264:D *5541:8 0 -37 *28270:D *5541:8 0.000294073 -38 *28276:CLK *5541:8 0 -39 *28276:D *5541:8 0.000336512 -40 *28278:D *5541:8 0.000337204 -41 *28413:RESET_B *5541:8 0.000120556 -42 *28817:D *5541:8 0.000291844 -43 *28820:D *5541:8 0.000151333 -44 *30734:A *5541:8 2.00751e-05 -45 *30737:A *5541:8 8.03349e-06 -46 *30831:A *5541:8 0.000222614 -47 *30832:A *5541:8 0.000515037 -48 *30970:A *5541:8 0.00152493 -49 *1323:80 *5541:8 0 -50 *1358:86 *5541:8 0 -51 *1545:62 *5541:8 0.00113196 -52 *1739:10 *5541:8 0.00221357 -53 *1871:55 *5541:8 0.000159952 -54 *1874:82 *5541:8 2.59795e-05 -55 *1874:84 *5541:8 5.01876e-06 -56 *2043:22 *5541:8 0.00246639 -57 *2043:33 *5541:8 0.00110945 -58 *2154:51 *5541:8 0.00191561 -59 *2240:146 *5541:8 1.27529e-05 -60 *2242:8 *5541:8 0.00374497 -61 *2479:8 *5541:8 0.00149609 -62 *3510:60 *5541:8 0.00216308 -63 *3571:22 *5541:8 0 -64 *3577:38 *5541:8 0 -65 *3577:40 *5541:8 0 -66 *3577:42 *5541:8 0 -67 *3577:44 *5541:8 0 -68 *3577:46 *5541:8 0 -69 *3577:48 *5541:8 0 -70 *3577:50 *5541:8 0 -71 *3577:52 *5541:8 0 -72 *3577:54 *5541:8 0 -73 *3577:114 *5541:8 0 -74 *4161:49 *5541:8 0.000721728 -75 *4163:28 *5541:8 6.09476e-05 -76 *5472:8 *5541:8 0.00372432 -*RES -1 *25576:X *5541:7 14.7464 -2 *5541:7 *5541:8 388.393 -3 *5541:8 *30971:A 14.7464 -*END - -*D_NET *5542 0.0705029 -*CONN -*I *30972:A I *D sky130_fd_sc_hd__buf_12 -*I *25577:X O *D sky130_fd_sc_hd__and2b_4 -*CAP -1 *30972:A 0.000434169 -2 *25577:X 0.000358313 -3 *5542:44 0.00478024 -4 *5542:43 0.00434607 -5 *5542:41 0.00332369 -6 *5542:21 0.00455804 -7 *5542:12 0.00341552 -8 *5542:10 0.00253948 -9 *5542:10 *25575:A1 0 -10 *5542:10 *25577:B 5.66971e-05 -11 *5542:12 *25575:A1 0 -12 *5542:12 *5727:36 0.000640252 -13 *5542:12 *5727:45 2.15363e-05 -14 *5542:12 *5902:22 0.000409535 -15 *5542:41 *5728:36 2.31796e-05 -16 *5542:41 *6169:113 0 -17 *5542:44 *25790:A0 0.000217795 -18 *5542:44 *30994:A 0.000180163 -19 *5542:44 *5554:35 0.0031872 -20 *5542:44 *5560:33 0.000307109 -21 *5542:44 *5573:31 1.81805e-05 -22 *5542:44 *6158:22 0 -23 *5542:44 *6158:24 0.0148252 -24 *25227:A0 *5542:41 0.000255043 -25 *25435:S *5542:41 0.000100823 -26 *25443:A1 *5542:41 0 -27 *25495:B2 *5542:12 0.000753562 -28 *25539:A2_N *5542:12 0.000465117 -29 *25540:A1 *5542:12 6.14977e-05 -30 *25540:A1 *5542:21 9.61291e-05 -31 *25729:A1 *5542:12 0 -32 *25792:A1 *5542:41 0.000542996 -33 *25807:A0 *5542:41 0.000263948 -34 *25807:S *5542:41 0.000121573 -35 *26194:C *5542:44 0.000439641 -36 *26253:B *5542:44 0.000367382 -37 *26336:B *5542:44 0.000146474 -38 *26337:B *5542:44 7.83659e-05 -39 *26529:B *5542:44 0.000316731 -40 *26706:A1 *5542:44 0.000136501 -41 *27975:A1 *5542:41 0 -42 *28068:RESET_B *5542:12 0.000635673 -43 *28146:CLK *5542:12 0 -44 *28146:D *5542:12 0 -45 *28257:D *5542:44 0.000246394 -46 *28388:D *5542:12 0.000568272 -47 *28412:RESET_B *5542:41 0.000261426 -48 *28816:D *5542:41 9.9784e-05 -49 *28880:A *5542:44 1.50181e-05 -50 *29118:A *5542:41 0.000133003 -51 *29521:A *5542:12 4.87767e-05 -52 *29585:A *5542:12 0 -53 *30256:A *5542:12 0.000317602 -54 *30259:A *5542:12 7.08001e-05 -55 *1173:11 *5542:21 0.000132723 -56 *1194:37 *5542:21 0.000799142 -57 *1202:17 *5542:21 9.41642e-05 -58 *1545:95 *5542:44 0.000182723 -59 *1730:56 *5542:41 4.37712e-06 -60 *1742:15 *5542:21 9.60875e-05 -61 *1742:55 *5542:41 0.000284686 -62 *1746:17 *5542:41 2.26327e-05 -63 *1771:8 *5542:21 4.32957e-05 -64 *1771:29 *5542:21 0.00151499 -65 *1771:29 *5542:41 0.00126162 -66 *1795:26 *5542:12 3.88495e-05 -67 *1795:26 *5542:21 0.00041845 -68 *2038:30 *5542:44 0.000184489 -69 *2047:103 *30972:A 0.000174238 -70 *2131:72 *5542:44 0.0019586 -71 *2147:65 *5542:44 0.00338402 -72 *2149:10 *5542:44 9.58557e-05 -73 *2155:42 *5542:44 1.41029e-05 -74 *2179:42 *5542:44 0.00144962 -75 *2204:30 *5542:44 0.000602715 -76 *2204:38 *5542:44 0.00056054 -77 *2204:46 *5542:44 0.000144902 -78 *2220:54 *5542:44 0.00182085 -79 *2220:69 *5542:44 1.09232e-05 -80 *2277:8 *5542:44 0.000241857 -81 *3605:47 *5542:12 0 -82 *3935:16 *5542:21 5.29944e-05 -83 *4183:8 *5542:12 6.57616e-05 -84 *4183:13 *5542:10 0 -85 *4183:13 *5542:12 0.000728614 -86 *4189:41 *5542:21 0.001879 -87 *4560:14 *5542:21 7.83587e-05 -88 *5242:18 *5542:41 0.000329576 -89 *5243:8 *5542:41 0.000183108 -90 *5291:29 *5542:12 0.00135943 -91 *5291:29 *5542:21 0.000104683 -*RES -1 *25577:X *5542:10 20.3268 -2 *5542:10 *5542:12 61.3839 -3 *5542:12 *5542:21 46.7321 -4 *5542:21 *5542:41 46.8418 -5 *5542:41 *5542:43 3.41 -6 *5542:43 *5542:44 198.509 -7 *5542:44 *30972:A 20.4964 -*END - -*D_NET *5543 0.000255084 -*CONN -*I *30973:A I *D sky130_fd_sc_hd__buf_12 -*I *28838:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *30973:A 6.26081e-05 -2 *28838:X 6.26081e-05 -3 *2242:8 *30973:A 0.000129868 -*RES -1 *28838:X *30973:A 29.2429 -*END - -*D_NET *5544 0.0587968 -*CONN -*I *30974:A I *D sky130_fd_sc_hd__buf_12 -*I *28839:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *30974:A 0.00063136 -2 *28839:X 0.00122257 -3 *5544:15 0.00615159 -4 *5544:13 0.00554261 -5 *5544:11 0.0119309 -6 *5544:10 0.0119908 -7 *5544:5 0.00130485 -8 *5544:5 *28839:A 2.89114e-05 -9 *5544:5 *5701:58 0.00082132 -10 *5544:5 *6218:16 0.000487473 -11 *5544:5 *6223:17 5.72784e-05 -12 *5544:5 *6224:18 0.000182054 -13 *5544:5 *6260:10 0.000947092 -14 *5544:5 *6267:17 0.000469772 -15 *5544:10 *5926:66 0 -16 *5544:10 *6259:24 9.34751e-05 -17 *5544:11 *25603:A0 7.45483e-05 -18 *5544:11 *27121:A1 5.74499e-06 -19 *5544:11 *28645:D 0.000542526 -20 *5544:11 *28993:A 0.000517406 -21 *5544:11 *29004:A 1.58163e-05 -22 *5544:11 *29519:A 3.67257e-05 -23 *5544:11 *5695:16 4.84847e-05 -24 *5544:11 *5695:240 2.24195e-05 -25 *5544:11 *5828:17 5.33005e-05 -26 *5544:11 *5832:46 0.000120118 -27 *5544:11 *5833:200 0.00053165 -28 *5544:11 *6267:17 0.000659775 -29 *5544:15 *26205:A 0.000469772 -30 *5544:15 *5722:29 0.000569371 -31 *5544:15 *5722:42 0.000137561 -32 *26205:B *5544:15 6.81335e-05 -33 *26324:A1 *5544:15 7.02611e-05 -34 *26324:A2 *5544:15 9.58126e-05 -35 *26325:B1 *5544:15 0.000226417 -36 *26467:B *5544:15 0.00015732 -37 *26489:A *5544:15 0.000117553 -38 *26489:D *5544:15 2.59355e-05 -39 *26490:D *5544:15 0.00039293 -40 *26556:B *5544:15 0.00019243 -41 *26571:A *5544:15 2.77289e-05 -42 *26571:B *5544:15 0.000262498 -43 *26749:A *5544:15 0.000107997 -44 *26979:A1 *5544:5 0.000493359 -45 *27989:A0 *5544:11 3.48705e-05 -46 *27989:A1 *5544:11 0.000117594 -47 *27989:S *5544:11 0.000170955 -48 *28542:CLK *5544:11 0.000597493 -49 *28691:D *5544:11 9.27866e-05 -50 *29061:A *5544:11 8.15385e-05 -51 *29914:A *5544:5 0.000318107 -52 *29919:A *5544:5 0.000212153 -53 *29956:A *5544:5 0.000266678 -54 *29964:A *5544:5 5.33005e-05 -55 *30009:A *5544:5 0.000226507 -56 *30249:A *5544:11 0.00129739 -57 *30578:A *5544:5 0.000358971 -58 *30600:A *5544:15 0.000128321 -59 *30750:A *30974:A 0.000140263 -60 *1018:16 *5544:11 0.00055741 -61 *1024:19 *5544:11 0.000830868 -62 *1026:19 *5544:11 0.000472715 -63 *1959:19 *5544:15 3.45453e-05 -64 *2111:26 *30974:A 0 -65 *2217:26 *5544:15 0.00016968 -66 *2240:90 *5544:15 8.43535e-06 -67 *2250:94 *5544:15 0.000542297 -68 *2500:26 *5544:15 0.00038907 -69 *2511:25 *5544:15 5.74499e-06 -70 *2687:10 *5544:15 7.30191e-05 -71 *3516:20 *5544:11 1.98839e-05 -72 *3516:28 *5544:11 0.000601217 -73 *3516:30 *5544:11 0.000431857 -74 *3516:34 *5544:11 0.000137983 -75 *3516:36 *5544:11 0.000366627 -76 *3516:38 *5544:11 0.000146523 -77 *3930:23 *5544:5 0.00061302 -78 *4246:11 *5544:11 4.55132e-05 -79 *4254:17 *5544:5 0.000690986 -80 *4928:13 *5544:11 0.000656833 -*RES -1 *28839:X *5544:5 49.2643 -2 *5544:5 *5544:10 10.3393 -3 *5544:10 *5544:11 154.759 -4 *5544:11 *5544:13 0.428571 -5 *5544:13 *5544:15 90.6875 -6 *5544:15 *30974:A 30.2643 -*END - -*D_NET *5545 0.074434 -*CONN -*I *27971:A1 I *D sky130_fd_sc_hd__a31o_1 -*I *30975:A I *D sky130_fd_sc_hd__buf_12 -*I *28813:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27971:A1 0.00012411 -2 *30975:A 0 -3 *28813:Q 0 -4 *5545:75 0.00107838 -5 *5545:59 0.00402169 -6 *5545:50 0.00494474 -7 *5545:30 0.00503114 -8 *5545:28 0.00450618 -9 *5545:22 0.00382029 -10 *5545:21 0.00346249 -11 *5545:11 0.0035153 -12 *5545:8 0.00248818 -13 *5545:4 0.000215666 -14 *5545:11 *25512:B 0.000224072 -15 *5545:11 *25994:B1 9.41642e-05 -16 *5545:11 *5672:27 8.1579e-05 -17 *5545:11 *6036:59 9.60939e-05 -18 *5545:28 *26413:B1 0.00026367 -19 *5545:30 *25803:A1 0.000167458 -20 *5545:30 *25859:A0 0 -21 *5545:30 *25860:A0 0 -22 *5545:30 *25861:A0 0 -23 *5545:30 *28294:RESET_B 0 -24 *5545:30 *28299:RESET_B 0 -25 *5545:30 *5666:75 0.000269016 -26 *5545:30 *5693:20 2.18733e-05 -27 *5545:30 *5693:25 0.000123496 -28 *5545:30 *5699:17 0.000137053 -29 *5545:30 *5729:301 0 -30 *5545:30 *5741:171 0 -31 *5545:30 *5741:177 0.000147599 -32 *5545:30 *5889:28 0.00055615 -33 *5545:30 *6358:27 1.37292e-05 -34 *5545:50 *28148:RESET_B 0.000139163 -35 *5545:50 *5699:26 0.000841684 -36 *5545:50 *5699:41 0.000395885 -37 *5545:50 *5740:175 4.02462e-05 -38 *5545:50 *5740:184 4.78056e-05 -39 *5545:50 *5889:28 9.34059e-06 -40 *5545:75 *6114:24 0.00155392 -41 *5545:75 *6136:8 0.000434534 -42 *5545:75 *6147:14 0.000110498 -43 wb_dat_o[2] *5545:59 0 -44 wb_dat_o[3] *5545:59 1.49746e-05 -45 *24868:A *5545:50 4.28249e-05 -46 *25430:B *5545:50 0.0004063 -47 *25474:B *5545:59 2.30169e-05 -48 *25476:A1 *5545:59 0.000257885 -49 *25477:A *5545:75 1.58163e-05 -50 *25479:B1 *5545:75 0.000314195 -51 *25657:A0 *5545:59 1.02821e-05 -52 *25802:A1 *5545:30 0.000102545 -53 *25803:S *5545:30 5.28291e-05 -54 *25816:S *5545:59 0.00061108 -55 *25847:A1 *5545:28 3.94228e-05 -56 *25847:A1 *5545:30 0.000726787 -57 *25861:A1 *5545:30 0 -58 *26067:A *5545:21 6.53083e-05 -59 *26135:C1 *5545:21 0.000122887 -60 *26348:A *5545:21 0.000101545 -61 *26441:A *5545:28 0.000573055 -62 *26441:C *5545:28 0.000882231 -63 *26441:D_N *5545:28 0.000410721 -64 *26451:A1 *5545:21 0.000193991 -65 *26501:A1 *5545:22 0.000145753 -66 *26503:A2 *5545:22 4.48695e-05 -67 *26515:B1 *5545:22 0.000220448 -68 *26515:C1 *5545:22 0.00143003 -69 *26607:C *5545:28 0.000184166 -70 *26632:C *5545:22 0.000125724 -71 *26670:B1 *5545:22 5.84547e-05 -72 *26670:D1 *5545:22 0.000449532 -73 *26782:B *5545:11 0.000686926 -74 *26782:C *5545:11 2.59355e-05 -75 *26783:C *5545:11 0.000347174 -76 *27969:A1 *27971:A1 9.90431e-05 -77 *27971:A2 *27971:A1 1.56e-05 -78 *27971:A3 *27971:A1 0.000266479 -79 *27971:A3 *5545:8 0.000148196 -80 *28066:RESET_B *5545:59 0.000127053 -81 *28078:RESET_B *5545:75 0.000437957 -82 *28295:D *5545:30 0.000546645 -83 *28298:D *5545:30 6.32633e-05 -84 *28299:D *5545:30 0 -85 *28718:CLK *5545:30 8.57058e-05 -86 *28813:D *27971:A1 1.98839e-05 -87 *29485:A *5545:59 1.25672e-06 -88 *29882:A *5545:30 0 -89 *29891:A *5545:28 0.000358121 -90 *29891:A *5545:30 5.60772e-05 -91 *29980:A *5545:30 6.42242e-05 -92 *30024:A *5545:30 5.20882e-05 -93 *30282:A *5545:59 0.000385095 -94 *30307:A *5545:59 1.88009e-05 -95 *740:19 *5545:50 0.000300573 -96 *1173:82 *5545:50 7.1598e-05 -97 *1192:25 *5545:59 0.000180259 -98 *1287:21 *5545:50 9.72703e-05 -99 *1324:37 *5545:50 0.00019478 -100 *1448:60 *5545:30 1.97381e-05 -101 *1545:48 *5545:59 0.000220616 -102 *1730:41 *5545:50 0.000656387 -103 *1730:114 *5545:59 7.06302e-05 -104 *1740:26 *5545:59 0.000843456 -105 *1744:112 *5545:50 0.000194065 -106 *1752:15 *5545:59 0 -107 *1795:26 *5545:59 0.000191487 -108 *1827:54 *5545:50 0.000350872 -109 *1862:11 *27971:A1 0.000160355 -110 *1872:58 *5545:30 0.000315189 -111 *1874:27 *5545:21 0.000220642 -112 *1875:36 *5545:50 9.99931e-06 -113 *1877:66 *5545:30 0.000137418 -114 *1877:66 *5545:50 0.00128307 -115 *1930:187 *5545:11 2.58821e-05 -116 *1935:193 *5545:11 0.000388045 -117 *1936:7 *5545:11 5.14625e-05 -118 *1936:11 *5545:11 2.59355e-05 -119 *1938:75 *5545:22 4.00025e-05 -120 *1953:82 *5545:22 0.000607287 -121 *1953:90 *5545:22 0.000451272 -122 *1953:122 *5545:22 0.000157115 -123 *1966:58 *5545:21 1.17968e-05 -124 *1966:95 *5545:21 0.000313374 -125 *1977:15 *5545:21 0.000244886 -126 *2003:13 *5545:21 0.000259549 -127 *2005:11 *5545:22 5.31101e-05 -128 *2005:69 *5545:22 0.000243627 -129 *2006:26 *5545:22 0.000128791 -130 *2006:28 *5545:22 0.000180183 -131 *2006:34 *5545:22 4.31193e-05 -132 *2006:36 *5545:28 0 -133 *2007:10 *5545:22 0.00348231 -134 *2007:56 *5545:22 0.000463984 -135 *2008:35 *5545:21 0.000121573 -136 *2008:46 *5545:21 0.000143881 -137 *2009:75 *5545:22 0.000722031 -138 *2009:75 *5545:28 5.12944e-05 -139 *2019:12 *5545:21 0 -140 *2019:21 *5545:21 0 -141 *2024:40 *5545:21 0.000111036 -142 *2032:263 *5545:11 5.33005e-05 -143 *2032:263 *5545:21 0.000242372 -144 *2036:17 *5545:21 4.7331e-05 -145 *2038:132 *5545:21 0.000392114 -146 *2053:34 *5545:21 2.29088e-05 -147 *2053:34 *5545:22 0.00141854 -148 *2075:15 *5545:22 0.000281458 -149 *2083:6 *5545:21 0.000215965 -150 *2342:43 *5545:11 9.41642e-05 -151 *2354:10 *5545:28 2.21972e-05 -152 *2356:8 *5545:28 8.00806e-05 -153 *2410:7 *5545:11 0.000642691 -154 *2445:8 *5545:22 0.000317609 -155 *2654:10 *5545:28 0 -156 *2654:10 *5545:30 0 -157 *2676:15 *5545:22 0.000180914 -158 *2676:15 *5545:28 0.00149994 -159 *3558:71 *5545:59 3.34148e-05 -160 *3570:86 *5545:50 0.000150259 -161 *3571:22 *5545:75 0.0004161 -162 *3637:111 *5545:30 8.04144e-05 -163 *3658:10 *5545:30 0 -164 *3700:8 *5545:30 0 -165 *3830:36 *5545:30 0 -166 *3830:51 *5545:30 0 -167 *3871:17 *5545:50 0.000159939 -168 *4154:27 *5545:59 0.000856149 -169 *4160:98 *5545:50 0.000495795 -170 *4161:41 *5545:59 0 -171 *4166:17 *5545:75 4.00679e-05 -172 *4189:41 *5545:59 0 -173 *4194:32 *5545:59 0.000163741 -174 *4195:36 *5545:59 5.44547e-05 -175 *4548:15 *5545:59 0 -176 *4759:8 *5545:59 6.81247e-05 -177 *5136:11 *5545:59 0.000219711 -178 *5237:29 *5545:59 0.000301033 -179 *5332:20 *5545:59 0 -180 *5420:6 *5545:8 0 -181 *5541:8 *5545:75 0.00163384 -*RES -1 *28813:Q *5545:4 9.3 -2 *5545:4 *5545:8 10.9464 -3 *5545:8 *5545:11 45.2857 -4 *5545:11 *5545:21 36.3571 -5 *5545:21 *5545:22 81.3304 -6 *5545:22 *5545:28 44.7321 -7 *5545:28 *5545:30 65.6786 -8 *5545:30 *5545:50 44.6731 -9 *5545:50 *5545:59 32.0169 -10 *5545:59 *5545:75 49.4911 -11 *5545:75 *30975:A 9.3 -12 *5545:4 *27971:A1 13.55 -*END - -*D_NET *5546 0.0044102 -*CONN -*I *30976:A I *D sky130_fd_sc_hd__buf_12 -*I *29556:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28271:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *30976:A 0.000298961 -2 *29556:A 0.000312536 -3 *28271:Q 0.000153602 -4 *5546:6 0.000765099 -5 *29556:A *29578:A 0.000257241 -6 *29556:A *6114:39 0.000399439 -7 *5546:6 *29578:A 0.000516328 -8 *25428:B *29556:A 7.02611e-05 -9 *25477:A *29556:A 0.000139913 -10 *25515:A1 *29556:A 0.000203348 -11 *25515:A1 *5546:6 0.000194373 -12 *385:39 *5546:6 3.39367e-05 -13 *1745:11 *30976:A 0.000278206 -14 *4192:128 *29556:A 0.000519064 -15 *5331:10 *5546:6 0.000267893 -*RES -1 *28271:Q *5546:6 20.6036 -2 *5546:6 *29556:A 24.6929 -3 *5546:6 *30976:A 19.2643 -*END - -*D_NET *5547 0.00832303 -*CONN -*I *30977:A I *D sky130_fd_sc_hd__buf_12 -*I *29564:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28265:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *30977:A 0.000430353 -2 *29564:A 0 -3 *28265:Q 4.70928e-05 -4 *5547:13 0.00113948 -5 *5547:7 0.00161693 -6 *30977:A *30978:A 0.000117903 -7 *30977:A *6158:24 0.000126439 -8 *5547:13 *29580:A 0.000220037 -9 *5547:13 *30978:A 0.000514344 -10 *5547:13 *31005:A 7.60306e-05 -11 *5547:13 *31006:A 0.000150618 -12 *5547:13 *6158:24 0.0026621 -13 wb_dat_o[7] *5547:13 1.03245e-05 -14 *25441:A2 *5547:13 1.94879e-05 -15 *28265:D *5547:7 2.59355e-05 -16 *1393:165 *5547:13 0.000225402 -17 *1665:56 *5547:13 0.000207327 -18 *1665:63 *5547:13 0 -19 *1751:8 *5547:13 0.000462964 -20 *5244:17 *5547:13 0.000270251 -*RES -1 *28265:Q *5547:7 14.3357 -2 *5547:7 *5547:13 41.5357 -3 *5547:13 *29564:A 9.3 -4 *5547:7 *30977:A 21.3179 -*END - -*D_NET *5548 0.0210269 -*CONN -*I *25018:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30686:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25018:A1 0.00267199 -2 *30686:X 0.00130885 -3 *5548:16 0.00398085 -4 *25018:A1 *27215:B 0.000602506 -5 *25018:A1 *27217:A 0.000300203 -6 *25018:A1 *27217:B 4.32309e-05 -7 *25018:A1 *28705:RESET_B 1.78465e-05 -8 *25018:A1 *29738:A 5.7534e-05 -9 *25018:A1 *5718:70 1.48639e-05 -10 *25018:A1 *5720:42 0.000191644 -11 *25018:A1 *5747:37 4.23748e-05 -12 *25018:A1 *5926:171 0.00168272 -13 *25018:A1 *6191:10 0 -14 *25018:A1 *6191:17 0 -15 *25018:A1 *6386:29 0.00139426 -16 *5548:16 *25563:A1 9.38105e-05 -17 *5548:16 *28783:D 9.67754e-05 -18 *5548:16 *29760:A 0.000425189 -19 *5548:16 *5747:37 2.18863e-05 -20 *5548:16 *5926:171 5.05056e-05 -21 *5548:16 *6315:7 0.000182804 -22 *29761:A *25018:A1 0.000411766 -23 *770:24 *25018:A1 0.00179871 -24 *1218:154 *25018:A1 0.000775604 -25 *1240:130 *5548:16 1.27485e-05 -26 *1273:265 *25018:A1 8.08022e-05 -27 *1278:58 *25018:A1 0 -28 *1717:14 *5548:16 9.50088e-05 -29 *2751:22 *5548:16 3.74118e-05 -30 *3020:15 *25018:A1 2.05938e-05 -31 *3412:25 *5548:16 0.000551696 -32 *3412:41 *5548:16 0.000308007 -33 *4574:37 *25018:A1 0.000132862 -34 *5352:22 *25018:A1 0.00132516 -35 *5352:22 *5548:16 2.06112e-05 -36 *5513:53 *25018:A1 0.00225545 -37 *5539:17 *5548:16 2.06178e-05 -*RES -1 *30686:X *5548:16 47.3439 -2 *5548:16 *25018:A1 36.3438 -*END - -*D_NET *5549 0.0126433 -*CONN -*I *29604:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30978:A I *D sky130_fd_sc_hd__buf_12 -*I *28266:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *29604:A 3.89768e-05 -2 *30978:A 0.00085353 -3 *28266:Q 0 -4 *5549:34 0.00143447 -5 *5549:4 0.00224902 -6 *29604:A *31002:A 9.99853e-05 -7 *30978:A *6158:24 0.000954653 -8 *5549:34 *31007:A 0.000198691 -9 *5549:34 *5580:16 0.00161143 -10 wb_dat_o[10] *30978:A 2.30116e-06 -11 *25227:A0 *5549:34 0.000261214 -12 *25441:A2 *5549:34 0.000635601 -13 *25808:A1 *5549:34 0.000137983 -14 *25820:A1 *5549:34 8.26543e-05 -15 *25821:A1 *5549:34 2.31796e-05 -16 *28266:D *5549:34 3.30337e-05 -17 *29467:A *5549:34 2.11419e-05 -18 *30977:A *30978:A 0.000117903 -19 *1145:11 *30978:A 0.000736661 -20 *1251:21 *5549:34 2.04745e-05 -21 *1324:81 *5549:34 2.05803e-05 -22 *1324:88 *5549:34 0.000620293 -23 *1665:49 *30978:A 0.000110029 -24 *1665:56 *30978:A 0.000764263 -25 *1744:46 *5549:34 0.000216478 -26 *1874:68 *5549:34 0.000198278 -27 *4159:80 *5549:34 0.000125316 -28 *5332:20 *5549:34 8.367e-05 -29 *5334:28 *5549:34 0.000344811 -30 *5343:14 *5549:34 0.000132341 -31 *5547:13 *30978:A 0.000514344 -*RES -1 *28266:Q *5549:4 9.3 -2 *5549:4 *30978:A 45.3 -3 *5549:4 *5549:34 46.6168 -4 *5549:34 *29604:A 14.7464 -*END - -*D_NET *5550 0.00433397 -*CONN -*I *30979:A I *D sky130_fd_sc_hd__buf_12 -*I *25809:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28267:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *30979:A 0.00126091 -2 *25809:A0 9.22113e-05 -3 *28267:Q 0.000212346 -4 *5550:7 0.00156547 -5 *25809:A0 *6169:107 0.000223592 -6 *30979:A *28063:B 0.000195381 -7 *30979:A *5568:9 0.00021159 -8 *30979:A *6169:107 0.00021332 -9 *28818:CLK *30979:A 2.19994e-05 -10 *28818:D *30979:A 6.05592e-06 -11 *1198:7 *5550:7 1.76714e-05 -12 *3539:7 *25809:A0 1.83053e-05 -13 *5356:11 *25809:A0 0.000227014 -14 *5356:11 *30979:A 6.81083e-05 -*RES -1 *28267:Q *5550:7 15.9786 -2 *5550:7 *25809:A0 17.1929 -3 *5550:7 *30979:A 33.0857 -*END - -*D_NET *5551 0.00381896 -*CONN -*I *29576:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30980:A I *D sky130_fd_sc_hd__buf_12 -*I *28268:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *29576:A 0.000748588 -2 *30980:A 0.000418975 -3 *28268:Q 0 -4 *5551:4 0.00116756 -5 *29576:A *27973:A0 1.20377e-05 -6 *29576:A *29624:A 0.000138217 -7 *30980:A *6158:24 4.00025e-05 -8 *25810:A0 *29576:A 0.000398669 -9 *25810:S *29576:A 0.000269378 -10 *28268:D *29576:A 0.000413665 -11 *28269:D *29576:A 9.25014e-06 -12 *1323:96 *30980:A 0.000102815 -13 *1545:96 *29576:A 2.14378e-05 -14 *1665:49 *30980:A 7.83659e-05 -*RES -1 *28268:Q *5551:4 9.3 -2 *5551:4 *30980:A 25.7107 -3 *5551:4 *29576:A 32.4786 -*END - -*D_NET *5552 0.00455958 -*CONN -*I *30981:A I *D sky130_fd_sc_hd__buf_12 -*I *29569:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28269:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *30981:A 0.000564535 -2 *29569:A 0.000425494 -3 *28269:Q 4.80295e-05 -4 *5552:6 0.00103806 -5 *29569:A *28063:A 0.000391604 -6 *29569:A *5565:8 0.000241856 -7 *30981:A *5553:8 0.000126439 -8 *30981:A *6158:24 0.000220422 -9 *5552:6 *6158:24 7.99046e-05 -10 *25811:A0 *29569:A 0.000110315 -11 *25811:S *29569:A 0.000139171 -12 *28815:D *29569:A 0.000475683 -13 *1323:96 *30981:A 0.000544505 -14 *1323:96 *5552:6 0.000153558 -*RES -1 *28269:Q *5552:6 15.7464 -2 *5552:6 *29569:A 24.7643 -3 *5552:6 *30981:A 26.3714 -*END - -*D_NET *5553 0.00259404 -*CONN -*I *30982:A I *D sky130_fd_sc_hd__buf_12 -*I *29555:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28270:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *30982:A 0.000470035 -2 *29555:A 0 -3 *28270:Q 0.000138856 -4 *5553:8 0.000608892 -5 *30982:A *29616:A 0.000186662 -6 *30982:A *5556:13 0 -7 *30982:A *6158:24 0.000417177 -8 *5553:8 *5566:11 2.89114e-05 -9 *5553:8 *6158:24 0.000320031 -10 wb_dat_o[15] *30982:A 5.92419e-05 -11 *27976:A0 *30982:A 0.000113073 -12 *30981:A *5553:8 0.000126439 -13 *1323:96 *30982:A 2.26327e-05 -14 *1323:96 *5553:8 0.00010209 -*RES -1 *28270:Q *5553:8 18.4071 -2 *5553:8 *29555:A 13.8 -3 *5553:8 *30982:A 24.9607 -*END - -*D_NET *5554 0.0144431 -*CONN -*I *30983:A I *D sky130_fd_sc_hd__buf_12 -*I *25790:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28250:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *30983:A 0.000423125 -2 *25790:A0 0.00112186 -3 *28250:Q 0.000179893 -4 *5554:35 0.00144624 -5 *5554:7 0.00232487 -6 *5554:35 *28063:A 0.000745571 -7 *5554:35 *5560:33 0.000122062 -8 *25790:A1 *25790:A0 5.33005e-05 -9 *25792:A1 *25790:A0 0.00024674 -10 *28090:CLK *25790:A0 0.00038907 -11 *28251:D *5554:35 0.000148196 -12 *28265:D *25790:A0 3.34295e-05 -13 *28412:CLK_N *25790:A0 9.31209e-05 -14 *28412:RESET_B *25790:A0 8.24204e-05 -15 *28880:A *5554:35 9.24395e-05 -16 *593:19 *25790:A0 0.000462895 -17 *1169:10 *25790:A0 5.07108e-05 -18 *1323:109 *5554:35 9.3616e-05 -19 *1545:95 *25790:A0 0.000587734 -20 *1730:76 *25790:A0 9.41642e-05 -21 *1871:55 *30983:A 0.00026287 -22 *1871:70 *5554:35 0.000611695 -23 *1871:81 *25790:A0 0.000624147 -24 *1871:81 *5554:35 0.000380933 -25 *4174:17 *25790:A0 2.12087e-05 -26 *5248:11 *25790:A0 0.00034584 -27 *5542:44 *25790:A0 0.000217795 -28 *5542:44 *5554:35 0.0031872 -*RES -1 *28250:Q *5554:7 15.5679 -2 *5554:7 *25790:A0 45.0321 -3 *5554:7 *5554:35 45.3036 -4 *5554:35 *30983:A 16.4071 -*END - -*D_NET *5555 0.00700512 -*CONN -*I *30984:A I *D sky130_fd_sc_hd__buf_12 -*I *29565:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28251:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *30984:A 0.00092382 -2 *29565:A 7.94952e-05 -3 *28251:Q 0.000216297 -4 *5555:8 0.00121961 -5 *30984:A *29605:A 0.000178847 -6 *30984:A *5560:33 0.000268321 -7 *25791:A0 *29565:A 4.87953e-05 -8 *25791:A0 *5555:8 8.00806e-05 -9 *25791:A1 *5555:8 0.000125731 -10 *25793:A0 *30984:A 8.00806e-05 -11 *25793:A1 *30984:A 0.000127039 -12 *26539:D_N *30984:A 0.000729706 -13 *28257:D *30984:A 3.50931e-05 -14 *28880:A *30984:A 6.1684e-05 -15 *1323:109 *30984:A 0.00115565 -16 *1358:85 *5555:8 0.000139907 -17 *1393:124 *30984:A 0.000601781 -18 *1545:96 *30984:A 0.000388402 -19 *1545:96 *5555:8 5.91439e-05 -20 *1871:63 *30984:A 3.65015e-05 -21 *1871:70 *30984:A 0.000121212 -22 *1871:70 *5555:8 0.000120655 -23 *1871:81 *5555:8 5.10822e-05 -24 *2149:10 *30984:A 7.60306e-05 -25 *2179:27 *30984:A 1.5942e-05 -26 *3510:108 *30984:A 6.42095e-05 -*RES -1 *28251:Q *5555:8 19.2286 -2 *5555:8 *29565:A 15.1571 -3 *5555:8 *30984:A 44.8357 -*END - -*D_NET *5556 0.0121356 -*CONN -*I *29624:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30985:A I *D sky130_fd_sc_hd__buf_12 -*I *28252:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *29624:A 0.000690417 -2 *30985:A 0 -3 *28252:Q 0.000820126 -4 *5556:13 0.00172361 -5 *5556:8 0.00323416 -6 *29624:A *5742:282 7.32272e-05 -7 *5556:8 *5566:8 0.00025899 -8 *5556:13 *29616:A 0.000218879 -9 *5556:13 *30986:A 0 -10 *5556:13 *5566:8 0.000146882 -11 *5556:13 *6158:24 0 -12 wb_dat_o[13] *29624:A 4.00658e-06 -13 wb_dat_o[13] *5556:8 0 -14 wb_dat_o[14] *5556:13 0 -15 wb_dat_o[15] *5556:13 0 -16 wb_dat_o[16] *5556:13 0 -17 wb_dat_o[17] *5556:13 0 -18 wb_dat_o[18] *5556:13 0 -19 *25810:A0 *29624:A 1.39726e-05 -20 *25810:S *29624:A 0.000517973 -21 *28269:D *29624:A 0.00032093 -22 *29576:A *29624:A 0.000138217 -23 *30982:A *5556:13 0 -24 *1323:96 *29624:A 2.30116e-06 -25 *1323:96 *5556:8 0 -26 *1323:96 *5556:13 0 -27 *1358:86 *5556:13 0.00259454 -28 *3577:33 *5556:8 0.000141743 -29 *5395:7 *29624:A 0.00123565 -*RES -1 *28252:Q *5556:8 27.8268 -2 *5556:8 *5556:13 46.0268 -3 *5556:13 *30985:A 9.3 -4 *5556:8 *29624:A 32.0054 -*END - -*D_NET *5557 0.0057248 -*CONN -*I *30986:A I *D sky130_fd_sc_hd__buf_12 -*I *29579:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28253:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *30986:A 0.00111771 -2 *29579:A 0.000274537 -3 *28253:Q 4.40793e-05 -4 *5557:6 0.00143633 -5 *29579:A *5567:5 6.05161e-06 -6 *30986:A *29605:A 0.000245349 -7 *30986:A *5563:43 0.000931034 -8 *30986:A *6158:24 2.23987e-05 -9 wb_dat_o[16] *30986:A 8.56716e-05 -10 wb_dat_o[16] *5557:6 8.39e-05 -11 wb_dat_o[17] *30986:A 8.74324e-05 -12 wb_dat_o[18] *30986:A 7.27417e-05 -13 *28880:A *29579:A 0.000218679 -14 *1358:86 *30986:A 0 -15 *1393:124 *29579:A 9.41642e-05 -16 *2349:13 *30986:A 0.000344617 -17 *3510:108 *30986:A 0.000507064 -18 *3510:108 *5557:6 0.000153047 -19 *5556:13 *30986:A 0 -*RES -1 *28253:Q *5557:6 15.7464 -2 *5557:6 *29579:A 18.4429 -3 *5557:6 *30986:A 41.2464 -*END - -*D_NET *5558 0.007694 -*CONN -*I *29570:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30987:A I *D sky130_fd_sc_hd__buf_12 -*I *28272:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *29570:A 0.000468051 -2 *30987:A 0.000604969 -3 *28272:Q 0.000680394 -4 *5558:17 0.00175341 -5 *29570:A *25493:A2 0.000543881 -6 *29570:A *29563:A 0.000731533 -7 *5558:17 *29561:A 0.000216755 -8 *28272:D *5558:17 8.55871e-05 -9 *385:18 *29570:A 0.000150314 -10 *413:8 *29570:A 2.90068e-05 -11 *413:8 *5558:17 0.000173083 -12 *429:31 *5558:17 0.000178925 -13 *4167:14 *5558:17 0.000269668 -14 *5326:11 *29570:A 0.00109439 -15 *5333:14 *5558:17 0.000168082 -16 *5348:17 *29570:A 4.038e-06 -17 *5348:17 *5558:17 0.000541907 -*RES -1 *28272:Q *5558:17 42.3714 -2 *5558:17 *30987:A 17.2286 -3 *5558:17 *29570:A 35.425 -*END - -*D_NET *5559 0.00141502 -*CONN -*I *24973:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30687:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *24973:B2 0.000218053 -2 *30687:X 0.000218053 -3 *24973:B2 *5609:65 6.80365e-05 -4 *24973:B2 *5858:17 0.000550528 -5 *24973:A1 *24973:B2 0.00025015 -6 *2800:55 *24973:B2 5.42764e-05 -7 *5523:96 *24973:B2 5.59239e-05 -*RES -1 *30687:X *24973:B2 33.7964 -*END - -*D_NET *5560 0.0174862 -*CONN -*I *30988:A I *D sky130_fd_sc_hd__buf_12 -*I *25794:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28254:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *30988:A 0.000774069 -2 *25794:A0 0.000526479 -3 *28254:Q 0 -4 *5560:33 0.0053665 -5 *5560:5 0.00511891 -6 *25794:A0 *5728:10 0 -7 *25794:A0 *6169:92 0.000149372 -8 *5560:33 *5573:21 0.000353021 -9 *5560:33 *6147:14 0 -10 *5560:33 *6169:92 0.00111102 -11 *25809:A1 *25794:A0 3.63775e-05 -12 *26257:B *5560:33 7.80714e-06 -13 *27973:A1 *25794:A0 0.000437059 -14 *27980:A1 *5560:33 0.00013235 -15 *30984:A *5560:33 0.000268321 -16 *1323:109 *5560:33 0.000299241 -17 *1393:110 *5560:33 0 -18 *1393:124 *5560:33 0.000320002 -19 *1545:96 *5560:33 0.000123623 -20 *1871:70 *5560:33 0.000168051 -21 *2046:115 *5560:33 0.000109657 -22 *2204:68 *5560:33 0.000188026 -23 *2639:11 *30988:A 0.000135028 -24 *3510:137 *5560:33 0.000376785 -25 *3564:14 *25794:A0 0.000175512 -26 *5356:11 *25794:A0 0.000150625 -27 *5356:11 *5560:33 0.000729183 -28 *5542:44 *5560:33 0.000307109 -29 *5554:35 *5560:33 0.000122062 -*RES -1 *28254:Q *5560:5 13.8 -2 *5560:5 *25794:A0 34.1036 -3 *5560:5 *5560:33 44.2382 -4 *5560:33 *30988:A 20.1036 -*END - -*D_NET *5561 0.00329159 -*CONN -*I *30989:A I *D sky130_fd_sc_hd__buf_12 -*I *29553:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28255:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *30989:A 0.00061575 -2 *29553:A 8.00023e-05 -3 *28255:Q 0 -4 *5561:5 0.000695753 -5 *29553:A *5563:43 0.000246803 -6 *30989:A *5563:43 0.000375167 -7 *30989:A *5565:45 0 -8 *30989:A *6158:24 1.08691e-05 -9 wb_dat_o[21] *30989:A 5.34196e-05 -10 *25795:A0 *29553:A 2.85321e-05 -11 *25795:A1 *29553:A 5.33005e-05 -12 *26706:A1 *30989:A 0.000389847 -13 *26707:A *30989:A 8.55871e-05 -14 *26707:B *30989:A 7.90803e-05 -15 *2349:13 *29553:A 0.000246803 -16 *2349:13 *30989:A 0.000330674 -*RES -1 *28255:Q *5561:5 13.8 -2 *5561:5 *29553:A 17.4964 -3 *5561:5 *30989:A 28.4964 -*END - -*D_NET *5562 0.00186938 -*CONN -*I *30990:A I *D sky130_fd_sc_hd__buf_12 -*I *29552:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28256:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *30990:A 0.000447505 -2 *29552:A 8.77306e-05 -3 *28256:Q 0 -4 *5562:5 0.000535235 -5 *29552:A *6158:24 2.23987e-05 -6 *25796:A0 *29552:A 2.84109e-05 -7 *26706:A1 *29552:A 0.000163072 -8 *26707:B *29552:A 0.000246574 -9 *26707:B *30990:A 0.000115822 -10 *1871:43 *29552:A 5.33005e-05 -11 *2244:31 *29552:A 4.39649e-05 -12 *2244:31 *30990:A 0.000125369 -*RES -1 *28256:Q *5562:5 13.8 -2 *5562:5 *29552:A 17.4964 -3 *5562:5 *30990:A 20.9071 -*END - -*D_NET *5563 0.00997264 -*CONN -*I *30991:A I *D sky130_fd_sc_hd__buf_12 -*I *29605:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28257:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *30991:A 0.000574561 -2 *29605:A 0.000128119 -3 *28257:Q 3.89625e-05 -4 *5563:43 0.00173782 -5 *5563:7 0.00133034 -6 *29605:A *6158:24 0.000243635 -7 *5563:43 *5565:45 0.000127219 -8 *5563:43 *6158:24 0.00166561 -9 wb_dat_o[21] *5563:43 0.00016907 -10 wb_dat_o[22] *5563:43 0.000137955 -11 wb_dat_o[23] *30991:A 0.000165157 -12 *26304:A *30991:A 8.23597e-06 -13 *26706:A1 *30991:A 2.26973e-05 -14 *26707:A *30991:A 2.40261e-05 -15 *26707:A *5563:43 0.000510872 -16 *26707:B *30991:A 6.59118e-05 -17 *26707:B *5563:43 0.000437649 -18 *28257:D *5563:7 1.58163e-05 -19 *29553:A *5563:43 0.000246803 -20 *30984:A *29605:A 0.000178847 -21 *30986:A *29605:A 0.000245349 -22 *30986:A *5563:43 0.000931034 -23 *30989:A *5563:43 0.000375167 -24 *2194:10 *30991:A 8.42344e-05 -25 *2244:31 *30991:A 0.000229681 -26 *2244:31 *5563:43 5.00194e-05 -27 *2349:13 *5563:43 9.09693e-05 -28 *3510:108 *29605:A 0.000136887 -*RES -1 *28257:Q *5563:7 14.3357 -2 *5563:7 *29605:A 18.7286 -3 *5563:7 *5563:43 44.0129 -4 *5563:43 *30991:A 25.5054 -*END - -*D_NET *5564 0.0274139 -*CONN -*I *30992:A I *D sky130_fd_sc_hd__buf_12 -*I *27973:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28814:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *30992:A 0.00187138 -2 *27973:A0 0.000131048 -3 *28814:Q 0.000530251 -4 *5564:40 0.00348887 -5 *5564:35 0.00184854 -6 *5564:32 0.00146323 -7 *5564:8 0.00189348 -8 *30992:A *6169:82 0.00115204 -9 *5564:32 *28063:A 0.000244833 -10 *5564:35 *26265:B2 1.30241e-05 -11 *5564:40 *26265:B2 0.000253422 -12 *5564:40 *5664:157 0.000314804 -13 *5564:40 *5664:182 0.000746015 -14 *5564:40 *5673:66 3.06406e-05 -15 *5564:40 *6169:82 0.000746743 -16 *5564:40 *6169:90 2.7654e-05 -17 *5564:40 *6169:92 0.000259734 -18 *25792:A0 *5564:8 0.00123703 -19 *25792:A0 *5564:32 0.000474327 -20 *25792:A1 *5564:8 5.41794e-05 -21 *26207:B *5564:40 0.000402687 -22 *26210:B *5564:35 0.000365674 -23 *26238:B *5564:32 0.00021642 -24 *26257:A *5564:32 4.35421e-05 -25 *26257:B *5564:35 0.000534373 -26 *26643:A *30992:A 5.80858e-06 -27 *26651:A *5564:40 4.73656e-05 -28 *26653:A_N *5564:40 0.000234228 -29 *26779:A *5564:40 0.000148514 -30 *26779:C *5564:40 0.000314043 -31 *28252:D *5564:8 2.39999e-05 -32 *28268:D *27973:A0 0.000139913 -33 *28412:RESET_B *5564:8 0.00111697 -34 *28815:D *5564:32 9.97669e-05 -35 *29576:A *27973:A0 1.20377e-05 -36 *593:19 *5564:8 0.00034563 -37 *1145:11 *27973:A0 0.000139913 -38 *1145:11 *5564:8 5.30637e-06 -39 *1545:96 *5564:8 0.000105028 -40 *1545:96 *5564:32 0.000287889 -41 *1665:98 *5564:32 0.00041304 -42 *1871:81 *5564:8 0.000114368 -43 *1871:81 *5564:32 4.98872e-05 -44 *1874:59 *5564:8 3.82357e-05 -45 *2046:115 *5564:40 0.000223287 -46 *2046:121 *5564:32 1.58163e-05 -47 *2047:43 *5564:40 0.000305889 -48 *2142:36 *5564:40 0.000163627 -49 *2144:68 *30992:A 0.000520499 -50 *2145:8 *5564:35 0.000149512 -51 *2145:8 *5564:40 4.22135e-06 -52 *2147:74 *5564:40 8.92708e-06 -53 *2147:81 *5564:40 1.07068e-05 -54 *2151:53 *30992:A 1.30275e-05 -55 *2177:41 *30992:A 0.000202142 -56 *2177:41 *5564:40 0.000257796 -57 *2190:80 *5564:40 2.21972e-05 -58 *2190:104 *5564:40 3.94839e-05 -59 *2204:85 *5564:40 0.00125084 -60 *2208:8 *5564:40 0 -61 *2471:14 *30992:A 0.000101738 -62 *2582:28 *30992:A 0.000327505 -63 *2587:23 *30992:A 0.000226804 -64 *2587:23 *5564:40 4.58835e-05 -65 *2587:31 *5564:40 7.65907e-05 -66 *2591:8 *5564:40 7.83659e-05 -67 *2667:11 *30992:A 8.22793e-06 -68 *3510:90 *30992:A 0.00110514 -69 *3510:137 *5564:35 0.000269753 -*RES -1 *28814:Q *5564:8 34.3357 -2 *5564:8 *27973:A0 16.8 -3 *5564:8 *5564:32 42.75 -4 *5564:32 *5564:35 9.3125 -5 *5564:35 *5564:40 49.5141 -6 *5564:40 *30992:A 43.0856 -*END - -*D_NET *5565 0.0197426 -*CONN -*I *30993:A I *D sky130_fd_sc_hd__buf_12 -*I *27974:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28815:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *30993:A 0.000618515 -2 *27974:A0 4.35915e-05 -3 *28815:Q 0.000443156 -4 *5565:45 0.0022653 -5 *5565:35 0.00388351 -6 *5565:8 0.00272347 -7 *27974:A0 *28063:A 4.35597e-05 -8 *5565:35 *26237:A 5.00645e-05 -9 *5565:35 *26253:A 0.000564279 -10 *5565:35 *5566:52 0.000922595 -11 *5565:35 *5722:244 0.000187995 -12 *5565:35 *6169:92 0 -13 wb_dat_o[19] *5565:45 5.73349e-05 -14 wb_dat_o[20] *5565:45 4.92044e-05 -15 *25796:S *5565:45 1.00375e-05 -16 *26203:B *5565:35 9.09604e-05 -17 *26238:B *5565:35 0 -18 *26265:C1 *5565:35 0.000204197 -19 *26270:B *30993:A 0.000389591 -20 *26408:A *5565:45 0.000135028 -21 *26408:B *5565:45 8.70594e-05 -22 *26707:A *5565:45 0.000767172 -23 *26731:D_N *30993:A 0.0011455 -24 *26731:D_N *5565:45 0.000115849 -25 *27974:A1 *27974:A0 5.49489e-05 -26 *27974:S *27974:A0 5.49489e-05 -27 *28815:D *5565:8 0.00022266 -28 *29569:A *5565:8 0.000241856 -29 *30989:A *5565:45 0 -30 *1358:85 *27974:A0 2.84026e-05 -31 *1358:85 *5565:8 5.42961e-05 -32 *1358:85 *5565:35 2.30245e-05 -33 *1358:86 *5565:45 0.000574441 -34 *1393:132 *5565:8 0.00012401 -35 *1393:132 *5565:35 0.0009467 -36 *1871:48 *5565:45 9.87983e-06 -37 *1871:50 *5565:45 7.47029e-06 -38 *2149:19 *5565:45 0.000291805 -39 *2149:110 *30993:A 6.05161e-06 -40 *2179:27 *5565:35 0 -41 *2194:10 *30993:A 9.98998e-06 -42 *2194:10 *5565:45 1.24469e-05 -43 *2240:156 *30993:A 0.00153415 -44 *2349:13 *5565:45 5.52238e-05 -45 *2349:30 *5565:45 0.000509209 -46 *2479:8 *30993:A 2.14378e-05 -47 *2479:8 *5565:45 0 -48 *3510:90 *5565:35 0 -49 *3510:137 *5565:35 3.44847e-05 -50 *5563:43 *5565:45 0.000127219 -*RES -1 *28815:Q *5565:8 20.4964 -2 *5565:8 *27974:A0 15.1571 -3 *5565:8 *5565:35 48.3618 -4 *5565:35 *5565:45 44.1875 -5 *5565:45 *30993:A 36.3982 -*END - -*D_NET *5566 0.0292649 -*CONN -*I *30994:A I *D sky130_fd_sc_hd__buf_12 -*I *29583:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28816:Q O *D sky130_fd_sc_hd__dfxtp_2 -*CAP -1 *30994:A 0.00264141 -2 *29583:A 0 -3 *28816:Q 0.00142384 -4 *5566:52 0.00425407 -5 *5566:41 0.00284568 -6 *5566:11 0.00198094 -7 *5566:8 0.00217176 -8 *30994:A *26645:B 3.47641e-06 -9 *30994:A *5573:31 0.000463872 -10 *30994:A *6169:62 0 -11 *5566:8 *6147:14 0.000125685 -12 *5566:41 *5722:244 0.000188458 -13 *5566:52 *26253:A 9.44375e-05 -14 *5566:52 *5573:21 0 -15 *5566:52 *5664:209 0.000153047 -16 *5566:52 *5722:202 1.91414e-05 -17 *5566:52 *6169:90 0 -18 *5566:52 *6169:92 0 -19 wb_dat_o[12] *5566:8 4.5534e-05 -20 *25791:A1 *5566:11 5.33005e-05 -21 *25791:S *5566:11 8.70693e-05 -22 *26203:B *5566:41 1.94879e-05 -23 *26254:B *5566:52 2.42516e-05 -24 *26261:C1 *5566:52 0.000125724 -25 *26265:C1 *5566:41 9.41642e-05 -26 *26305:A2 *5566:52 0.000320411 -27 *26648:B *5566:52 0.000176565 -28 *26654:D_N *5566:52 0.000115364 -29 *26706:A1 *30994:A 0.000844381 -30 *28250:D *5566:8 0 -31 *28816:D *5566:8 0.000516572 -32 *28880:A *5566:41 0.000308602 -33 *1323:96 *5566:8 0 -34 *1358:86 *5566:8 7.99046e-05 -35 *1393:110 *5566:52 0.000279424 -36 *1393:132 *5566:41 0.000734241 -37 *1665:49 *5566:8 0 -38 *1935:26 *30994:A 1.5732e-05 -39 *1935:37 *30994:A 0 -40 *2046:115 *30994:A 0.00275475 -41 *2046:121 *5566:41 0 -42 *2131:63 *30994:A 3.50637e-05 -43 *2131:64 *30994:A 0.00063931 -44 *2144:68 *30994:A 0.000298258 -45 *2152:13 *5566:41 0.000168334 -46 *2155:42 *30994:A 0.000345458 -47 *2155:51 *30994:A 2.04745e-05 -48 *2177:48 *5566:52 0.00023089 -49 *2179:27 *5566:41 0.000368513 -50 *2179:27 *5566:52 0 -51 *2179:42 *30994:A 0.00018381 -52 *2204:46 *30994:A 0.000317785 -53 *2204:68 *30994:A 0.000101444 -54 *2204:68 *5566:52 0 -55 *2204:85 *5566:52 0.000196458 -56 *2226:38 *30994:A 0.00020221 -57 *2242:27 *30994:A 0.000175892 -58 *2244:31 *30994:A 0.000228149 -59 *3510:137 *5566:41 0.00013507 -60 *5541:8 *5566:8 0.00111895 -61 *5542:44 *30994:A 0.000180163 -62 *5553:8 *5566:11 2.89114e-05 -63 *5556:8 *5566:8 0.00025899 -64 *5556:13 *5566:8 0.000146882 -65 *5565:35 *5566:52 0.000922595 -*RES -1 *28816:Q *5566:8 47.0143 -2 *5566:8 *5566:11 15.7143 -3 *5566:11 *29583:A 9.3 -4 *5566:11 *5566:41 48.75 -5 *5566:41 *5566:52 46.6339 -6 *5566:52 *30994:A 48.6413 -*END - -*D_NET *5567 0.0184826 -*CONN -*I *29616:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30995:A I *D sky130_fd_sc_hd__buf_12 -*I *28817:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *29616:A 0.000446791 -2 *30995:A 0 -3 *28817:Q 0.000126339 -4 *5567:14 0.00100005 -5 *5567:12 0.00196334 -6 *5567:5 0.00153642 -7 *29616:A *6158:24 0 -8 *5567:12 *5568:10 0.00211054 -9 *5567:12 *5568:12 6.12326e-06 -10 *5567:12 *5568:14 2.35889e-05 -11 *5567:12 *6147:14 0.000364647 -12 *5567:14 *5568:14 0.00393268 -13 *5567:14 *6147:14 0.000758938 -14 wb_dat_o[16] *29616:A 0.000237599 -15 *27976:A0 *29616:A 0.000353079 -16 *28256:D *5567:14 0.000151843 -17 *28817:D *5567:12 2.51343e-06 -18 *28820:D *5567:14 0.00015304 -19 *29579:A *5567:5 6.05161e-06 -20 *30982:A *29616:A 0.000186662 -21 *3510:60 *5567:14 0.00174146 -22 *3510:108 *29616:A 0 -23 *5541:8 *5567:12 0.00216148 -24 *5541:8 *5567:14 0.00100053 -25 *5556:13 *29616:A 0.000218879 -*RES -1 *28817:Q *5567:5 10.6571 -2 *5567:5 *5567:12 36.4554 -3 *5567:12 *5567:14 49.4554 -4 *5567:14 *30995:A 13.8 -5 *5567:5 *29616:A 29.8536 -*END - -*D_NET *5568 0.0279574 -*CONN -*I *27977:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30996:A I *D sky130_fd_sc_hd__buf_12 -*I *28818:Q O *D sky130_fd_sc_hd__dfxtp_2 -*CAP -1 *27977:A0 0.000351971 -2 *30996:A 0 -3 *28818:Q 0.000494104 -4 *5568:14 0.00115464 -5 *5568:12 0.00117878 -6 *5568:10 0.00136947 -7 *5568:9 0.00222434 -8 *5568:5 0.00172509 -9 *27977:A0 *24872:A 0.000168604 -10 *5568:5 *28063:B 0.00063361 -11 *5568:5 *6169:107 9.35114e-06 -12 *5568:9 *28063:B 0.000266057 -13 *5568:10 *6147:14 0.000642769 -14 *5568:14 *6114:17 0.00195663 -15 *5568:14 *6125:8 0.000384379 -16 *5568:14 *6147:14 0.000991887 -17 *28255:D *5568:10 0.000148405 -18 *28269:CLK *5568:10 0.000386191 -19 *28270:D *5568:10 0.000295653 -20 *28815:CLK *5568:10 0.000211636 -21 *28817:D *5568:10 0.000295867 -22 *28818:CLK *27977:A0 1.12456e-05 -23 *28818:D *27977:A0 9.83442e-05 -24 *28820:CLK *5568:14 0.000975435 -25 *30979:A *5568:9 0.00021159 -26 *1545:96 *27977:A0 8.92071e-05 -27 *2240:146 *5568:14 0.000727101 -28 *3510:60 *5568:14 1.91414e-05 -29 *3510:166 *27977:A0 5.17207e-05 -30 *3577:114 *5568:10 6.97972e-05 -31 *3579:8 *5568:10 0.000214409 -32 *3579:14 *5568:10 0.000115721 -33 *3579:16 *5568:10 0.0011653 -34 *3579:18 *5568:10 0.000224946 -35 *3579:55 *5568:10 0.000211467 -36 *3579:62 *5568:10 0.000110041 -37 *3579:69 *5568:10 0.000540486 -38 *3579:76 *5568:10 1.86215e-05 -39 *3579:76 *5568:14 0.00111922 -40 *5541:8 *5568:10 0.00102125 -41 *5567:12 *5568:10 0.00211054 -42 *5567:12 *5568:12 6.12326e-06 -43 *5567:12 *5568:14 2.35889e-05 -44 *5567:14 *5568:14 0.00393268 -*RES -1 *28818:Q *5568:5 15.9964 -2 *5568:5 *5568:9 15.3036 -3 *5568:9 *5568:10 58.4107 -4 *5568:10 *5568:12 0.535714 -5 *5568:12 *5568:14 59.9286 -6 *5568:14 *30996:A 13.8 -7 *5568:5 *27977:A0 26.2643 -*END - -*D_NET *5569 0.00211112 -*CONN -*I *29567:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30997:A I *D sky130_fd_sc_hd__buf_12 -*I *28819:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *29567:A 8.94705e-05 -2 *30997:A 0 -3 *28819:Q 0.000519092 -4 *5569:8 0.000608562 -5 *28819:CLK *5569:8 0.000246008 -6 *2240:146 *29567:A 0.000166983 -7 *2240:146 *5569:8 0.000153503 -8 *2242:8 *29567:A 0.000175519 -9 *2242:8 *5569:8 0.000151981 -*RES -1 *28819:Q *5569:8 21.2107 -2 *5569:8 *30997:A 13.8 -3 *5569:8 *29567:A 16.5857 -*END - -*D_NET *5570 0.0215059 -*CONN -*I *25401:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30688:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25401:A1 0.000229544 -2 *30688:X 0.00154922 -3 *5570:23 0.00358725 -4 *5570:11 0.00490693 -5 *25401:A1 *5680:276 2.3917e-05 -6 *25401:A1 *5680:278 1.01708e-06 -7 *5570:11 *25063:A1 0.000523994 -8 *5570:11 *27098:A1 1.69961e-05 -9 *5570:23 *5609:45 4.02129e-05 -10 *5570:23 *5659:48 0.000862462 -11 *5570:23 *5680:276 1.33741e-05 -12 *5570:23 *5751:10 1.37495e-05 -13 *5570:23 *6380:112 0.000126318 -14 *25401:A2 *25401:A1 8.04228e-05 -15 *25401:B2 *25401:A1 1.05731e-05 -16 *27098:A0 *5570:11 0.000786612 -17 *27232:A2 *5570:23 0.00152432 -18 *27272:C *5570:23 0.000357416 -19 *28771:CLK *5570:23 6.42095e-05 -20 *28786:CLK *5570:23 0.000398304 -21 *28786:D *5570:23 5.54432e-05 -22 *30671:A *5570:11 0.000540802 -23 *30674:A *5570:23 0.000122319 -24 *30681:A *5570:11 0.000228558 -25 *30959:A *5570:11 0 -26 *12:20 *5570:23 0.000379281 -27 *39:39 *5570:23 0.00201802 -28 *1117:13 *5570:23 0.000724834 -29 *1717:14 *25401:A1 1.74352e-05 -30 *1717:14 *5570:23 0 -31 *1800:14 *5570:23 0.00157345 -32 *2837:8 *5570:23 0.000123728 -33 *2838:31 *5570:23 4.70448e-05 -34 *3851:31 *5570:23 4.65519e-05 -35 *4907:10 *5570:23 0.000394233 -36 *5534:14 *5570:23 8.61908e-05 -37 *5534:49 *5570:23 3.11255e-05 -*RES -1 *30688:X *5570:11 44.9071 -2 *5570:11 *5570:23 47.7758 -3 *5570:23 *25401:A1 12.7286 -*END - -*D_NET *5571 0.00540385 -*CONN -*I *30998:A I *D sky130_fd_sc_hd__buf_12 -*I *29578:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28273:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *30998:A 0.000376211 -2 *29578:A 0.000610914 -3 *28273:Q 0.000139211 -4 *5571:8 0.00112634 -5 *29578:A *29560:A 2.15572e-05 -6 *29578:A *5577:20 3.17148e-05 -7 *29578:A *6114:39 0.0002122 -8 *30998:A *29561:A 5.33005e-05 -9 *5571:8 *5577:20 0.000308359 -10 wb_dat_o[0] *29578:A 0.000130568 -11 *25515:B2 *29578:A 0.000313568 -12 *29556:A *29578:A 0.000257241 -13 *430:9 *29578:A 0.000498081 -14 *1751:8 *29578:A 0.000200406 -15 *1751:8 *5571:8 0.000315887 -16 *1874:98 *5571:8 1.58163e-05 -17 *4159:91 *29578:A 0.000156114 -18 *5331:10 *29578:A 0.000120036 -19 *5546:6 *29578:A 0.000516328 -*RES -1 *28273:Q *5571:8 18.4071 -2 *5571:8 *29578:A 34.0143 -3 *5571:8 *30998:A 18.8536 -*END - -*D_NET *5572 0.010506 -*CONN -*I *30999:A I *D sky130_fd_sc_hd__buf_12 -*I *29590:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28820:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *30999:A 0.000388491 -2 *29590:A 1.21804e-05 -3 *28820:Q 7.10427e-05 -4 *5572:15 0.00146076 -5 *5572:6 0.00115549 -6 *5572:15 *5573:36 0.00303493 -7 *5572:15 *6158:24 2.14474e-05 -8 wb_dat_o[24] *5572:15 7.27417e-05 -9 *27979:A0 *29590:A 5.49489e-05 -10 *2131:64 *5572:15 0.000303586 -11 *2133:39 *30999:A 0.000105471 -12 *2194:10 *5572:6 0 -13 *2194:10 *5572:15 0 -14 *2234:48 *30999:A 6.05161e-06 -15 *2242:27 *5572:15 0 -16 *2244:14 *5572:15 0.00308301 -17 *2244:31 *5572:6 0.000125724 -18 *2244:31 *5572:15 0.00055513 -19 *3510:90 *29590:A 5.49489e-05 -*RES -1 *28820:Q *5572:6 15.4429 -2 *5572:6 *29590:A 14.3357 -3 *5572:6 *5572:15 46.2679 -4 *5572:15 *30999:A 19.2643 -*END - -*D_NET *5573 0.0232506 -*CONN -*I *27980:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *31000:A I *D sky130_fd_sc_hd__buf_12 -*I *28821:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *27980:A0 0.000234767 -2 *31000:A 0.00042894 -3 *28821:Q 0.000250178 -4 *5573:36 0.00144027 -5 *5573:31 0.00175404 -6 *5573:21 0.00186179 -7 *5573:8 0.00160403 -8 *5573:36 *6158:24 0.0033973 -9 *26192:A *31000:A 1.24368e-05 -10 *26253:B *5573:8 0.000139913 -11 *26253:B *5573:21 6.8646e-06 -12 *26305:B2 *5573:21 9.58181e-05 -13 *26540:B *5573:21 9.87772e-05 -14 *26641:A *5573:21 6.10411e-05 -15 *26643:C *5573:21 8.25843e-06 -16 *26653:B *5573:31 2.35985e-05 -17 *26654:D_N *5573:21 0 -18 *26707:A *5573:21 6.54263e-05 -19 *27980:A1 *27980:A0 0.000225988 -20 *28821:D *27980:A0 0.000246495 -21 *28821:D *5573:8 8.11273e-05 -22 *30994:A *5573:31 0.000463872 -23 *1393:110 *5573:21 0 -24 *1393:124 *27980:A0 0.000284626 -25 *1393:124 *5573:21 0.000487922 -26 *1935:37 *5573:31 0.000424279 -27 *2047:90 *5573:36 0.000150263 -28 *2131:64 *5573:36 0.00014686 -29 *2131:72 *5573:21 7.85979e-05 -30 *2131:72 *5573:31 0.000146395 -31 *2147:65 *5573:31 0.000151333 -32 *2149:10 *27980:A0 4.54158e-05 -33 *2149:10 *5573:8 2.04825e-05 -34 *2149:10 *5573:21 0.000300256 -35 *2179:42 *5573:31 0.00161877 -36 *2204:46 *5573:31 0.000788252 -37 *2204:68 *5573:21 7.97786e-05 -38 *2204:68 *5573:31 0.000740411 -39 *2234:48 *5573:36 0.000185916 -40 *2244:14 *5573:36 0.000373038 -41 *2244:31 *5573:31 6.05161e-06 -42 *2580:23 *5573:21 0.00111613 -43 *2581:6 *5573:21 3.45899e-05 -44 *2592:30 *5573:31 6.99898e-05 -45 *2646:15 *5573:21 9.41642e-05 -46 *5542:44 *5573:31 1.81805e-05 -47 *5560:33 *5573:21 0.000353021 -48 *5566:52 *5573:21 0 -49 *5572:15 *5573:36 0.00303493 -*RES -1 *28821:Q *5573:8 17.0768 -2 *5573:8 *5573:21 46.8482 -3 *5573:21 *5573:31 37.9643 -4 *5573:31 *5573:36 46.9286 -5 *5573:36 *31000:A 19.2643 -6 *5573:8 *27980:A0 20.9518 -*END - -*D_NET *5574 0.00855596 -*CONN -*I *31001:A I *D sky130_fd_sc_hd__buf_12 -*I *29563:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28274:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *31001:A 0.000499039 -2 *29563:A 0.000830159 -3 *28274:Q 0.000422289 -4 *5574:8 0.00175149 -5 *29563:A *6114:39 9.41642e-05 -6 *25433:D *29563:A 0.000185417 -7 *25815:A1 *29563:A 0.000128154 -8 *25815:A1 *5574:8 0.000332629 -9 *25816:S *29563:A 2.21972e-05 -10 *28077:RESET_B *29563:A 0.000514783 -11 *28078:CLK *29563:A 0.000147121 -12 *29570:A *29563:A 0.000731533 -13 *385:39 *29563:A 0.000216755 -14 *413:8 *29563:A 1.14232e-05 -15 *413:8 *5574:8 2.74584e-05 -16 *1740:22 *31001:A 0.000275132 -17 *5316:23 *29563:A 0.000101011 -18 *5326:11 *29563:A 0.000102533 -19 *5333:14 *29563:A 0.00189442 -20 *5333:14 *5574:8 0.0002334 -21 *5348:17 *29563:A 3.48566e-05 -*RES -1 *28274:Q *5574:8 21.175 -2 *5574:8 *29563:A 42.1393 -3 *5574:8 *31001:A 22.1393 -*END - -*D_NET *5575 0.0152554 -*CONN -*I *25818:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *31002:A I *D sky130_fd_sc_hd__buf_12 -*I *28275:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *25818:A0 0.000117576 -2 *31002:A 0.00148223 -3 *28275:Q 0.000181898 -4 *5575:30 0.00304619 -5 *5575:8 0.00186344 -6 *31002:A *5580:16 0.000298483 -7 *31002:A *5835:11 0.000789184 -8 *5575:30 *24877:A1 6.9253e-05 -9 *5575:30 *5742:193 0 -10 *5575:30 *5742:207 0 -11 *5575:30 *5835:11 1.02481e-05 -12 *25000:A0 *5575:30 0.000292437 -13 *25818:S *25818:A0 0.000260574 -14 *28091:D *5575:30 9.97612e-05 -15 *28092:D *5575:30 0.000124473 -16 *28408:RESET_B *31002:A 1.62539e-05 -17 *28410:RESET_B *5575:30 8.40351e-06 -18 *28411:RESET_B *5575:30 0.000133952 -19 *29604:A *31002:A 9.99853e-05 -20 *414:22 *5575:30 0.000240934 -21 *1173:130 *5575:30 9.92246e-05 -22 *1202:18 *31002:A 1.34195e-05 -23 *1202:18 *5575:30 5.7133e-05 -24 *1323:33 *31002:A 0.00010283 -25 *1323:57 *5575:30 0.000314532 -26 *1324:23 *31002:A 0.000105471 -27 *1324:113 *5575:30 2.04866e-05 -28 *1427:168 *5575:30 9.92246e-05 -29 *1730:56 *31002:A 0.000706775 -30 *1730:76 *5575:30 0.000485384 -31 *1730:87 *5575:30 0.000401642 -32 *1743:54 *5575:8 0.000178847 -33 *1873:61 *5575:30 3.91614e-05 -34 *1874:36 *5575:8 0.000114759 -35 *1874:36 *5575:30 3.17148e-05 -36 *1874:59 *5575:30 0.000126067 -37 *3548:17 *25818:A0 5.49489e-05 -38 *3564:22 *5575:8 0.00012401 -39 *3564:22 *5575:30 0.000364673 -40 *4158:46 *25818:A0 0.000329007 -41 *4160:15 *5575:30 0.000290534 -42 *5239:13 *31002:A 0.000474576 -43 *5247:10 *5575:30 0.00071578 -44 *5248:11 *5575:30 0.000537951 -45 *5356:11 *25818:A0 1.38323e-05 -46 *5377:15 *31002:A 0.000318118 -*RES -1 *28275:Q *5575:8 17.2107 -2 *5575:8 *5575:30 47.4614 -3 *5575:30 *31002:A 45.7107 -4 *5575:8 *25818:A0 17.6214 -*END - -*D_NET *5576 0.00741543 -*CONN -*I *31003:A I *D sky130_fd_sc_hd__buf_12 -*I *29560:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28276:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *31003:A 0.000294216 -2 *29560:A 0.000804335 -3 *28276:Q 0.000223375 -4 *5576:8 0.00132193 -5 *29560:A *5577:20 0.000599202 -6 *29560:A *5580:16 4.06087e-07 -7 *31003:A *6114:24 6.05161e-06 -8 *5576:8 *5577:20 0.000140519 -9 wb_dat_o[4] *29560:A 1.60865e-05 -10 *29578:A *29560:A 2.15572e-05 -11 *430:9 *29560:A 0.000363501 -12 *1665:63 *29560:A 0.000247771 -13 *1665:63 *5576:8 0.000148047 -14 *1874:82 *29560:A 0 -15 *4159:91 *29560:A 0.00146773 -16 *4166:10 *29560:A 0.00156293 -17 *5244:17 *29560:A 0.000197771 -*RES -1 *28276:Q *5576:8 17.5143 -2 *5576:8 *29560:A 45.1929 -3 *5576:8 *31003:A 17.6214 -*END - -*D_NET *5577 0.00849855 -*CONN -*I *31004:A I *D sky130_fd_sc_hd__buf_12 -*I *29561:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28277:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *31004:A 0.000336786 -2 *29561:A 0.000475289 -3 *28277:Q 0.000180887 -4 *5577:20 0.00135632 -5 *5577:7 0.00139871 -6 *29561:A *29572:A 9.60875e-05 -7 *31004:A *5578:19 5.5474e-05 -8 *25821:A1 *5577:20 4.29471e-05 -9 *28277:D *5577:7 8.25843e-06 -10 *29560:A *5577:20 0.000599202 -11 *29578:A *5577:20 3.17148e-05 -12 *30998:A *29561:A 5.33005e-05 -13 *1665:63 *31004:A 6.36841e-05 -14 *1665:63 *5577:20 0.000111313 -15 *1751:8 *5577:20 0.000558052 -16 *1874:75 *31004:A 5.83304e-05 -17 *1874:75 *5577:7 9.26203e-05 -18 *1874:75 *5577:20 0.000126439 -19 *1874:82 *5577:20 1.84765e-05 -20 *4159:91 *5577:20 0.00193996 -21 *4171:21 *31004:A 0.000118949 -22 *4171:21 *5577:20 7.48356e-05 -23 *5332:20 *29561:A 4.85049e-06 -24 *5343:14 *29561:A 3.04311e-05 -25 *5558:17 *29561:A 0.000216755 -26 *5571:8 *5577:20 0.000308359 -27 *5576:8 *5577:20 0.000140519 -*RES -1 *28277:Q *5577:7 15.9786 -2 *5577:7 *5577:20 44.7143 -3 *5577:20 *29561:A 17.2286 -4 *5577:7 *31004:A 20.3714 -*END - -*D_NET *5578 0.0098894 -*CONN -*I *31005:A I *D sky130_fd_sc_hd__buf_12 -*I *29572:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28278:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *31005:A 0.000285241 -2 *29572:A 0.000769375 -3 *28278:Q 4.42543e-05 -4 *5578:19 0.00141066 -5 *5578:5 0.000970779 -6 *29572:A *6158:24 0.00238346 -7 *5578:19 *28064:B 0.000173274 -8 wb_dat_o[7] *31005:A 0.000125731 -9 *28264:D *5578:19 0.000295867 -10 *29561:A *29572:A 9.60875e-05 -11 *31004:A *5578:19 5.5474e-05 -12 *413:8 *29572:A 0.0023863 -13 *1545:62 *31005:A 0.000351162 -14 *1665:56 *31005:A 1.17968e-05 -15 *1665:56 *5578:19 0.000125405 -16 *1665:63 *5578:19 0.000107612 -17 *1874:68 *5578:19 6.05161e-06 -18 *4171:21 *31005:A 0.000134461 -19 *4171:21 *5578:19 8.03821e-05 -20 *5547:13 *31005:A 7.60306e-05 -*RES -1 *28278:Q *5578:5 9.83571 -2 *5578:5 *5578:19 25.4286 -3 *5578:19 *29572:A 45.5321 -4 *5578:5 *31005:A 25.3 -*END - -*D_NET *5579 0.00927218 -*CONN -*I *31006:A I *D sky130_fd_sc_hd__buf_12 -*I *29580:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28263:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *31006:A 0.0003405 -2 *29580:A 0.00302098 -3 *28263:Q 0 -4 *5579:5 0.00336148 -5 *29580:A *5580:16 0.000126976 -6 *29580:A *6147:14 0 -7 *29580:A *6158:24 0.000367398 -8 *25441:A2 *29580:A 0.000289248 -9 *28413:RESET_B *31006:A 0.000351156 -10 *29467:A *29580:A 0.000165152 -11 *1324:81 *29580:A 0 -12 *1545:51 *29580:A 0.000110039 -13 *1665:56 *29580:A 4.51691e-05 -14 *1665:56 *31006:A 0.000140368 -15 *1744:46 *29580:A 2.84528e-05 -16 *1751:8 *29580:A 0.000100275 -17 *5244:17 *29580:A 0.000106334 -18 *5332:20 *29580:A 0.000182845 -19 *5343:14 *29580:A 0.000165152 -20 *5547:13 *29580:A 0.000220037 -21 *5547:13 *31006:A 0.000150618 -*RES -1 *28263:Q *5579:5 13.8 -2 *5579:5 *29580:A 35.8463 -3 *5579:5 *31006:A 21.2107 -*END - -*D_NET *5580 0.0095781 -*CONN -*I *31007:A I *D sky130_fd_sc_hd__buf_12 -*I *29575:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28264:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *31007:A 0.000690174 -2 *29575:A 0 -3 *28264:Q 9.61067e-05 -4 *5580:16 0.00105234 -5 *5580:7 0.00183862 -6 wb_dat_o[4] *5580:16 0.000140263 -7 *25227:A0 *31007:A 1.18451e-05 -8 *25348:A0 *5580:16 0.000402219 -9 *25792:A1 *31007:A 0.000200413 -10 *25806:S *31007:A 0.000122047 -11 *25806:S *5580:7 4.00276e-06 -12 *25806:S *5580:16 0.000836865 -13 *25815:A1 *5580:16 0.000145618 -14 *28265:D *31007:A 0.000128154 -15 *29560:A *5580:16 4.06087e-07 -16 *29580:A *5580:16 0.000126976 -17 *31002:A *5580:16 0.000298483 -18 *413:8 *5580:16 2.14474e-05 -19 *1545:65 *31007:A 4.43046e-05 -20 *1545:95 *31007:A 5.08577e-05 -21 *1751:8 *5580:16 0.000177941 -22 *4159:91 *5580:16 3.47641e-06 -23 *5244:17 *5580:16 1.17968e-05 -24 *5343:14 *5580:16 2.68558e-05 -25 *5345:11 *5580:16 0.00133677 -26 *5549:34 *31007:A 0.000198691 -27 *5549:34 *5580:16 0.00161143 -*RES -1 *28264:Q *5580:7 14.7464 -2 *5580:7 *5580:16 47.4107 -3 *5580:16 *29575:A 9.3 -4 *5580:7 *31007:A 27.3179 -*END - -*D_NET *5581 0.0439695 -*CONN -*I *25302:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30689:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *25302:A1 0 -2 *30689:X 0.00155394 -3 *5581:64 0.00120725 -4 *5581:55 0.00285562 -5 *5581:49 0.00198508 -6 *5581:46 0.0028831 -7 *5581:39 0.00475766 -8 *5581:25 0.00412472 -9 *5581:8 0.00346739 -10 *5581:8 *25564:A0 0.000209306 -11 *5581:8 *25564:A1 4.18834e-05 -12 *5581:8 *5718:70 2.36442e-05 -13 *5581:8 *5858:23 0.000180207 -14 *5581:8 *6063:27 0.000179918 -15 *5581:8 *6318:6 0.000140977 -16 *5581:8 *6318:30 0.00180339 -17 *5581:8 *6318:32 7.97916e-05 -18 *5581:8 *6319:70 0.000311481 -19 *5581:8 *6387:27 4.9985e-05 -20 *5581:8 *6387:34 2.18517e-05 -21 *5581:25 *25124:A1 0 -22 *5581:25 *5625:15 1.04066e-05 -23 *5581:25 *5625:29 0.000648205 -24 *5581:25 *5707:244 0.000230586 -25 *5581:25 *5749:54 1.84029e-05 -26 *5581:39 *5625:29 0.000680001 -27 *5581:39 *5713:146 0.000135028 -28 *5581:39 *5745:159 5.63071e-05 -29 *5581:39 *6225:79 0.000295925 -30 *5581:39 *6225:92 0.000347606 -31 *5581:46 *28395:RESET_B 0.000126619 -32 *5581:46 *5618:139 3.44248e-05 -33 *5581:46 *6225:79 0.000392666 -34 *5581:49 *29509:A 0.000136661 -35 *5581:49 *5666:231 0.00101746 -36 *5581:49 *5738:172 1.90111e-05 -37 *5581:49 *5738:176 8.37605e-05 -38 *5581:55 *27894:A2 6.28742e-05 -39 *5581:55 *5599:125 4.29471e-05 -40 *24910:A *5581:46 2.04825e-05 -41 *24910:A *5581:49 0.000510213 -42 *25272:B1 *5581:55 0.000149499 -43 *25294:B1 *5581:55 0.000102997 -44 *25955:A1 *5581:46 0.000391597 -45 *26855:A1 *5581:46 8.80158e-05 -46 *26855:S *5581:46 0.000176834 -47 *27244:B1 *5581:25 0.0003962 -48 *27850:A1 *5581:64 8.65688e-05 -49 *27850:B1 *5581:64 4.99283e-06 -50 *27858:B2 *5581:55 1.90936e-05 -51 *27901:A1 *5581:55 0.00029251 -52 *27902:B2 *5581:55 4.26759e-05 -53 *27917:B2 *5581:55 9.87489e-05 -54 *28448:CLK *5581:46 7.83659e-05 -55 *28619:CLK *5581:39 0.000241492 -56 *28775:CLK *5581:25 1.58163e-05 -57 *28775:D *5581:25 5.03772e-05 -58 *28960:A *5581:8 0 -59 *29152:A *5581:46 0.000305189 -60 *29739:A *5581:8 0.000101139 -61 *29934:A *5581:39 4.88637e-05 -62 *30689:A *5581:8 9.84673e-05 -63 *1178:36 *5581:8 0.000140736 -64 *1244:122 *5581:39 9.41642e-05 -65 *1250:121 *5581:8 0.00019664 -66 *1277:70 *5581:25 0.00011864 -67 *1294:88 *5581:64 0.00192372 -68 *1294:95 *5581:64 3.9769e-05 -69 *1360:27 *5581:64 0.000405791 -70 *1401:49 *5581:55 0 -71 *1442:14 *5581:55 6.94803e-05 -72 *1481:18 *5581:55 0.00100134 -73 *1539:14 *5581:39 0.000101545 -74 *1587:22 *5581:55 0.000226706 -75 *1725:8 *5581:55 0.00053498 -76 *1826:46 *5581:8 3.91559e-05 -77 *2755:11 *5581:46 8.55871e-05 -78 *2817:52 *5581:25 0.000111117 -79 *2878:27 *5581:55 0.000386121 -80 *2895:79 *5581:64 9.9497e-05 -81 *3041:25 *5581:8 2.86754e-05 -82 *3174:78 *5581:64 7.23319e-05 -83 *3205:72 *5581:64 0.000111243 -84 *3506:25 *5581:55 0.00156741 -85 *3796:36 *5581:8 0.000146474 -86 *4001:27 *5581:46 0.000170654 -87 *4153:62 *5581:64 2.63501e-05 -88 *4574:47 *5581:25 9.87983e-06 -89 *5352:22 *5581:8 9.50292e-05 -90 *5386:11 *5581:64 8.80632e-05 -91 *5500:57 *5581:64 0.00247774 -92 *5522:61 *5581:25 1.90936e-05 -93 *5526:16 *5581:39 3.5707e-05 -94 *5536:11 *5581:8 9.59532e-06 -*RES -1 *30689:X *5581:8 49.9964 -2 *5581:8 *5581:25 45.0481 -3 *5581:25 *5581:39 41.1384 -4 *5581:39 *5581:46 41.3616 -5 *5581:46 *5581:49 15.3036 -6 *5581:49 *5581:55 48.1607 -7 *5581:55 *5581:64 46.2088 -8 *5581:64 *25302:A1 9.3 -*END - -*D_NET *5582 0.0987751 -*CONN -*I *25067:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25194:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25315:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25112:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25383:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25238:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *29825:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25005:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *30760:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *25067:B1 0 -2 *25194:B1 4.79638e-05 -3 *25315:B1 3.18491e-05 -4 *25112:A2 0.000772692 -5 *25383:B1 0.000143568 -6 *25238:B1 0.000357212 -7 *29825:A 0 -8 *25005:B1 0.000265404 -9 *30760:X 0.000252547 -10 *5582:183 0.00211057 -11 *5582:159 0.00252424 -12 *5582:156 0.0037863 -13 *5582:113 0.00217786 -14 *5582:103 0.00235484 -15 *5582:95 0.00556356 -16 *5582:89 0.00223296 -17 *5582:70 0.00215241 -18 *5582:57 0.00182679 -19 *5582:56 0.00149995 -20 *5582:42 0.00191263 -21 *5582:31 0.00225956 -22 *5582:20 0.00364388 -23 *5582:19 0.0053024 -24 *5582:10 0.00284038 -25 *25005:B1 *5603:28 0.00054662 -26 *25112:A2 *5586:11 0.000149904 -27 *25112:A2 *5792:28 0.000519113 -28 *25112:A2 *5914:43 8.55871e-05 -29 *25194:B1 *5695:133 7.74578e-05 -30 *25383:B1 *5810:115 1.39841e-05 -31 *5582:10 *5584:13 0.000216755 -32 *5582:19 *5629:143 2.04825e-05 -33 *5582:20 *5700:50 0 -34 *5582:31 *5603:43 0.000677052 -35 *5582:31 *5645:104 5.19502e-06 -36 *5582:31 *5658:85 9.33369e-05 -37 *5582:42 *27025:A1 5.33005e-05 -38 *5582:42 *27315:B 0.000137983 -39 *5582:42 *5604:117 8.47192e-05 -40 *5582:42 *5658:85 0.00227091 -41 *5582:42 *5658:107 0.000785277 -42 *5582:42 *5680:209 0.000576136 -43 *5582:42 *5680:213 3.17148e-05 -44 *5582:56 *5645:104 4.37712e-06 -45 *5582:56 *5702:84 0.000122343 -46 *5582:70 *5605:170 0 -47 *5582:70 *5629:166 6.95627e-05 -48 *5582:70 *5632:115 0 -49 *5582:89 *5629:166 0.000182153 -50 *5582:89 *5702:38 0.000171395 -51 *5582:89 *5765:95 1.94945e-05 -52 *5582:89 *5905:124 0.000259549 -53 *5582:95 *5587:102 0.000314836 -54 *5582:95 *5663:179 0.000305455 -55 *5582:95 *5702:38 3.27887e-05 -56 *5582:95 *5759:18 2.91606e-05 -57 *5582:95 *5824:213 0 -58 *5582:103 *27679:A2 0 -59 *5582:103 *27699:B1 0 -60 *5582:103 *5641:165 6.42122e-06 -61 *5582:103 *5641:243 0.00101769 -62 *5582:103 *5795:70 1.90936e-05 -63 *5582:113 *25202:A2 0.000139202 -64 *5582:113 *5641:162 0.000341556 -65 *5582:113 *6280:6 0.00144322 -66 *5582:156 *5759:18 6.10639e-05 -67 *5582:156 *5795:206 0.000475551 -68 *5582:156 *5824:213 0 -69 *5582:159 *5631:88 0.000507405 -70 *5582:183 *5774:37 0.00036974 -71 *25005:A1 *25005:B1 0.000654649 -72 *25067:B2 *5582:183 5.52238e-05 -73 *25194:A1 *5582:183 6.57032e-05 -74 *25194:A2 *5582:183 5.73446e-05 -75 *25194:B2 *5582:159 1.45262e-05 -76 *25202:B1 *5582:113 3.97677e-05 -77 *25202:B2 *5582:113 0.000881364 -78 *25238:B2 *25238:B1 0.00030355 -79 *25315:C1 *5582:113 3.8423e-05 -80 *25383:A1 *25383:B1 4.32151e-05 -81 *25383:A2 *25383:B1 7.56621e-05 -82 *25383:B2 *25383:B1 1.98972e-05 -83 *25384:D *5582:113 0.000100831 -84 *26906:S *5582:113 0.00185378 -85 *27198:A1 *5582:156 0.000137983 -86 *27315:A *5582:42 0.000175892 -87 *27683:A1 *5582:20 0.00243719 -88 *27693:C *5582:20 0.000106304 -89 *27750:A2 *5582:103 9.98129e-05 -90 *28582:D *5582:113 0.000153054 -91 *28591:CLK *5582:183 2.22891e-05 -92 *28591:D *5582:183 0.000227838 -93 *28752:CLK *5582:20 0.000542655 -94 *28752:CLK *5582:56 0.000109844 -95 *29725:A *5582:89 0.000144337 -96 *30389:A *5582:89 0.00029775 -97 *1262:128 *5582:10 8.46325e-05 -98 *1266:64 *5582:20 0.000481603 -99 *1266:64 *5582:56 0.000211532 -100 *1266:132 *5582:42 0.00132937 -101 *1267:76 *5582:70 0.000298366 -102 *1267:76 *5582:89 0.000215441 -103 *1286:26 *5582:57 0.00030853 -104 *1286:26 *5582:70 0.000103244 -105 *1286:55 *25112:A2 0.00026353 -106 *1286:55 *25383:B1 4.18895e-05 -107 *1288:152 *5582:183 0.000169769 -108 *1288:157 *5582:183 0.000133275 -109 *1293:149 *5582:95 0.000180606 -110 *1293:181 *5582:183 0.000550518 -111 *1514:13 *5582:159 1.28239e-05 -112 *1514:13 *5582:183 2.54304e-06 -113 *1557:16 *5582:70 8.36199e-06 -114 *1650:16 *5582:19 0 -115 *1853:19 *25112:A2 2.09826e-05 -116 *2761:168 *5582:70 7.90803e-05 -117 *2767:175 *25112:A2 0.000267807 -118 *2772:46 *5582:183 0.000123287 -119 *2773:84 *5582:183 0.000767627 -120 *2773:118 *5582:103 0.0001711 -121 *2773:139 *5582:113 0.000133405 -122 *2774:140 *5582:31 0.00134412 -123 *2774:140 *5582:42 9.87421e-06 -124 *2774:146 *5582:42 0.0002634 -125 *2775:174 *5582:10 0.00012401 -126 *2786:26 *5582:156 9.61525e-05 -127 *2787:161 *5582:89 0.000257619 -128 *2788:105 *25112:A2 0.000888327 -129 *2788:124 *5582:113 0.000144903 -130 *2859:187 *5582:19 2.33557e-05 -131 *2860:271 *25238:B1 0.000717212 -132 *2864:321 *5582:156 0.000133706 -133 *2880:180 *5582:19 0.00315289 -134 *2885:175 *25238:B1 0.000308689 -135 *2888:258 *5582:113 5.74499e-06 -136 *2889:36 *5582:20 0.000106304 -137 *2891:165 *5582:20 0.000252732 -138 *2891:165 *5582:31 4.15781e-05 -139 *3165:103 *5582:103 0.000541061 -140 *3205:211 *5582:20 0.000103363 -141 *3215:196 *5582:42 4.11173e-05 -142 *3283:8 *5582:156 9.45051e-05 -143 *3664:48 *5582:20 0.00074232 -144 *3664:57 *5582:20 0.000316812 -145 *3664:57 *5582:31 1.90936e-05 -146 *3677:38 *5582:20 0.000368563 -147 *3677:38 *5582:31 1.79226e-05 -148 *3677:38 *5582:56 0.000323885 -149 *3677:38 *5582:57 0.000135968 -150 *3677:48 *5582:20 0.000130991 -151 *3686:50 *5582:103 0.000199493 -152 *3705:22 *5582:159 0.000177821 -153 *3739:128 *5582:20 0.000342807 -154 *3756:14 *5582:57 0.00227048 -155 *3756:18 *5582:20 0.000121549 -156 *3756:18 *5582:57 0.000484379 -157 *3756:20 *5582:19 1.90936e-05 -158 *3756:20 *5582:20 0.000171237 -159 *3820:105 *5582:57 0.00035298 -160 *3820:105 *5582:70 4.80729e-05 -161 *3821:19 *5582:20 0.000620626 -162 *3821:19 *5582:31 1.90936e-05 -163 *3821:19 *5582:57 0.00325028 -164 *3821:19 *5582:70 0.000740242 -165 *3821:19 *5582:89 0.00012689 -166 *3847:87 *5582:89 0.00212881 -167 *3876:62 *5582:183 3.51368e-05 -168 *3963:42 *5582:156 1.90936e-05 -169 *3963:60 *5582:95 0.000411653 -170 *3969:14 *5582:183 0.00101673 -171 *3977:16 *5582:70 6.60156e-05 -172 *3977:16 *5582:89 0.000188967 -173 *3998:50 *5582:10 0.000125724 -174 *4017:56 *5582:103 2.24813e-05 -175 *4030:27 *5582:156 0.000136951 -176 *4043:54 *25112:A2 0.000260504 -177 *4043:58 *25112:A2 0.000499175 -178 *4080:29 *25315:B1 2.45626e-05 -179 *4080:29 *5582:113 4.04195e-05 -180 *4080:41 *5582:103 2.04825e-05 -181 *4080:41 *5582:113 1.28809e-05 -182 *4082:53 *5582:159 8.25843e-06 -183 *4083:20 *25112:A2 0.000175892 -184 *4094:20 *5582:89 0.00153962 -185 *4120:20 *5582:156 2.06178e-05 -186 *4132:33 *5582:103 6.57261e-05 -187 *4132:38 *5582:103 0.000403218 -188 *4133:12 *5582:113 0.000461379 -189 *4134:6 *25194:B1 3.14816e-05 -190 *4146:79 *5582:31 6.24758e-05 -191 *4149:10 *5582:183 0.000347181 -192 *5535:54 *5582:42 8.30045e-05 -*RES -1 *30760:X *5582:10 23.7643 -2 *5582:10 *5582:19 43.1632 -3 *5582:19 *5582:20 9.635 -4 *5582:20 *5582:31 26.1275 -5 *5582:31 *5582:42 47.3925 -6 *5582:42 *25005:B1 20.9071 -7 *5582:20 *5582:56 8.01537 -8 *5582:56 *5582:57 42.7768 -9 *5582:57 *29825:A 13.8 -10 *5582:57 *5582:70 17.9429 -11 *5582:70 *25238:B1 22.9607 -12 *5582:70 *5582:89 46.9554 -13 *5582:89 *5582:95 9.9361 -14 *5582:95 *5582:103 15.067 -15 *5582:103 *5582:113 48.6429 -16 *5582:113 *25383:B1 11.9071 -17 *5582:113 *25112:A2 43.175 -18 *5582:103 *25315:B1 9.83571 -19 *5582:95 *5582:156 46.525 -20 *5582:156 *5582:159 11.1964 -21 *5582:159 *25194:B1 19.3357 -22 *5582:159 *5582:183 47.0357 -23 *5582:183 *25067:B1 9.3 -*END - -*D_NET *5583 0.113793 -*CONN -*I *25694:B2 I *D sky130_fd_sc_hd__o221a_4 -*I *25029:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25705:S I *D sky130_fd_sc_hd__mux2_1 -*I *25703:S I *D sky130_fd_sc_hd__mux2_1 -*I *25701:S I *D sky130_fd_sc_hd__mux2_1 -*I *25709:S I *D sky130_fd_sc_hd__mux2_1 -*I *24983:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25699:S I *D sky130_fd_sc_hd__mux2_1 -*I *6541:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25333:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25222:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25375:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *30761:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *25694:B2 0 -2 *25029:B1 0 -3 *25705:S 4.67188e-05 -4 *25703:S 6.40803e-05 -5 *25701:S 5.78186e-05 -6 *25709:S 0 -7 *24983:B1 5.41535e-05 -8 *25699:S 0 -9 *6541:DIODE 0 -10 *25333:B1 0.000766096 -11 *25222:A2 1.83477e-05 -12 *25375:B1 0.000138459 -13 *30761:X 0.00116227 -14 *5583:178 0.00129695 -15 *5583:170 0.00199845 -16 *5583:165 0.00117075 -17 *5583:156 0.00106079 -18 *5583:151 0.00145391 -19 *5583:149 0.00279957 -20 *5583:142 0.0022808 -21 *5583:134 0.00487956 -22 *5583:126 0.00142816 -23 *5583:112 0.00817946 -24 *5583:88 0.00362573 -25 *5583:71 0.00181836 -26 *5583:58 0.00381654 -27 *5583:37 0.00410572 -28 *5583:22 0.0028859 -29 *5583:15 0.00323526 -30 *5583:11 0.00272544 -31 *24983:B1 *24983:B2 2.44266e-05 -32 *24983:B1 *5970:27 1.24368e-05 -33 *25375:B1 *6452:DIODE 0.000257619 -34 *25375:B1 *25375:A1 3.45371e-05 -35 *25375:B1 *25375:B2 3.75971e-05 -36 *25701:S *5981:19 4.58194e-05 -37 *25703:S *25703:A0 6.05161e-06 -38 *25705:S *25705:A0 5.33005e-05 -39 *25705:S *25705:A1 1.56e-05 -40 *5583:11 *25655:A1 0.000135028 -41 *5583:11 *5699:91 0.000689875 -42 *5583:11 *5699:106 0.000135028 -43 *5583:11 *5712:49 0.000226078 -44 *5583:11 *5727:243 1.69961e-05 -45 *5583:11 *5727:252 0.000550655 -46 *5583:11 *5739:252 0.000222244 -47 *5583:22 *5623:83 0.000622767 -48 *5583:22 *5655:150 8.70471e-05 -49 *5583:22 *5685:35 0.000467849 -50 *5583:37 *25694:A2 0.000120124 -51 *5583:58 *27073:A0 0.000207339 -52 *5583:58 *27932:A2 0.000157704 -53 *5583:58 *29035:A 9.03127e-05 -54 *5583:58 *5661:42 8.48485e-05 -55 *5583:58 *5873:175 0 -56 *5583:71 *27882:B1 1.32552e-05 -57 *5583:88 *6568:DIODE 0.000135028 -58 *5583:88 *25222:A1 2.80305e-05 -59 *5583:88 *5936:43 0.000138196 -60 *5583:112 *5584:43 0.000142153 -61 *5583:112 *5644:158 0.000182644 -62 *5583:112 *5650:31 0.000153379 -63 *5583:112 *5700:98 0.000127359 -64 *5583:112 *5700:308 0.000406752 -65 *5583:112 *6225:116 4.33002e-05 -66 *5583:126 *30770:A 5.33005e-05 -67 *5583:126 *5597:7 7.5951e-05 -68 *5583:134 *28701:RESET_B 2.29097e-05 -69 *5583:134 *5700:308 0.000204713 -70 *5583:142 *5958:11 2.84269e-05 -71 *5583:149 *25029:A2 7.13226e-06 -72 *5583:149 *5755:151 0.00026194 -73 *5583:149 *5755:159 0.00047432 -74 *5583:149 *5936:6 5.42384e-07 -75 *5583:151 *5675:86 0.000132208 -76 *5583:151 *5936:6 0.00041059 -77 *5583:156 *25699:A0 0.000564118 -78 *5583:156 *25699:A1 3.4879e-05 -79 *5583:156 *25703:A0 0.000691497 -80 *5583:156 *25703:A1 0.000353288 -81 *5583:156 *5687:228 0.000362389 -82 *5583:156 *5936:6 2.5315e-05 -83 *5583:156 *6150:18 0.00018529 -84 *5583:165 *25699:A1 2.60984e-05 -85 *5583:165 *5700:269 7.22594e-05 -86 *5583:165 *5700:271 0.00108107 -87 *5583:170 *28181:D 2.28598e-05 -88 *5583:170 *5700:271 0.000776132 -89 *5583:178 *28181:D 0.000114665 -90 *5583:178 *5678:176 0.00124466 -91 *5583:178 *5970:18 0.000210969 -92 mgmt_gpio_out[3] *5583:151 6.8194e-05 -93 *24983:A1 *24983:B1 2.23337e-05 -94 *24983:A2 *24983:B1 3.34609e-05 -95 *25029:A1 *5583:142 4.81734e-05 -96 *25029:A1 *5583:149 1.86816e-05 -97 *25029:C1 *5583:142 2.29694e-05 -98 *25029:C1 *5583:149 2.34059e-05 -99 *25032:C *5583:149 4.53371e-05 -100 *25183:A1 *5583:112 5.33005e-05 -101 *25183:B1 *5583:112 0.000630881 -102 *25209:B1 *5583:58 4.5789e-05 -103 *25209:C1 *5583:37 0.000218409 -104 *25209:C1 *5583:58 0.000154638 -105 *25222:B1 *25222:A2 2.5071e-05 -106 *25222:B1 *5583:88 2.30159e-06 -107 *25222:B1 *5583:112 0.000331471 -108 *25265:A1 *5583:71 0.000126311 -109 *25266:C *5583:71 3.41367e-05 -110 *25266:D *5583:71 0.00027335 -111 *25277:A2 *5583:112 0.00132691 -112 *25277:B1 *5583:112 0.000968999 -113 *25283:B *5583:37 2.47753e-05 -114 *25283:C *5583:37 7.52911e-05 -115 *25300:A1 *5583:15 0.00060304 -116 *25300:A2 *5583:22 3.28686e-05 -117 *25300:B1 *5583:15 5.74499e-06 -118 *25300:B1 *5583:22 3.69047e-06 -119 *25358:B2 *5583:71 1.48505e-05 -120 *25375:C1 *25375:B1 0.00018094 -121 *25375:C1 *5583:71 0.000214487 -122 *25411:A1 *5583:58 0 -123 *25411:A1 *5583:71 3.53833e-05 -124 *25411:C1 *5583:71 0.000164403 -125 *25438:A3 *5583:11 3.30309e-05 -126 *25632:S *5583:178 0.00022459 -127 *25694:A1 *5583:37 0.000266299 -128 *25700:A1 *5583:156 4.87953e-05 -129 *25709:A0 *5583:170 0.000198758 -130 *25710:A1 *5583:170 0.000263524 -131 *25710:A1 *5583:178 0.000262498 -132 *25710:S *5583:170 0 -133 *25837:S *5583:11 2.84109e-05 -134 *25889:A0 *5583:71 6.32597e-05 -135 *27139:A1 *5583:134 0.000363128 -136 *27331:B2 *5583:58 0.000656826 -137 *27619:B1 *5583:71 7.40526e-05 -138 *27623:C1 *5583:58 2.30116e-06 -139 *27623:C1 *5583:71 2.13687e-05 -140 *27635:B2 *5583:58 1.90936e-05 -141 *27635:B2 *5583:88 0.000131994 -142 *27717:A1 *5583:112 0 -143 *27768:A2 *5583:58 3.80952e-05 -144 *27879:B2 *5583:15 8.55871e-05 -145 *27882:A2 *5583:71 5.57613e-05 -146 *27882:B2 *5583:71 0.000467643 -147 *27898:A2 *5583:22 0.000104523 -148 *27913:A1 *5583:11 0.000251544 -149 *27913:A2 *5583:11 5.33005e-05 -150 *27913:B2 *5583:11 0.000138606 -151 *28326:D *5583:11 0.000431864 -152 *28430:D *5583:71 0.000694838 -153 *29425:A *5583:134 0.000105471 -154 *30109:A *5583:71 2.04558e-05 -155 *30121:A *5583:71 2.30796e-05 -156 *30504:A *5583:178 0.000731626 -157 *30724:A *5583:156 9.48025e-05 -158 *30725:A *5583:149 0.000112516 -159 *30725:A *5583:151 0.000586575 -160 *30844:A *5583:170 0.000337693 -161 *30844:A *5583:178 0.000640157 -162 *1178:105 *25333:B1 0.000137276 -163 *1227:26 *5583:22 3.77425e-05 -164 *1243:58 *5583:37 0.000177591 -165 *1246:36 *5583:58 0.000162298 -166 *1252:134 *5583:126 0.00096265 -167 *1256:31 *5583:58 6.58294e-06 -168 *1271:104 *5583:71 0.000330602 -169 *1277:107 *5583:58 6.92539e-05 -170 *1277:131 *5583:58 0.000135066 -171 *1277:161 *5583:112 0.000201943 -172 *1371:25 *5583:156 0.000260089 -173 *1421:100 *5583:37 9.70737e-05 -174 *1449:84 *5583:37 9.55443e-05 -175 *1484:23 *5583:58 1.74477e-05 -176 *1490:82 *5583:178 0 -177 *1527:25 *5583:126 6.57032e-05 -178 *1529:10 *5583:37 0.000586177 -179 *1578:18 *5583:58 2.88381e-05 -180 *1642:20 *5583:112 0.000182237 -181 *1700:44 *5583:58 2.02794e-05 -182 *1700:44 *5583:88 0.000913996 -183 *1826:86 *5583:178 0.00123248 -184 *1834:84 *5583:22 4.6025e-06 -185 *1844:16 *5583:37 0.000184327 -186 *1844:104 *5583:37 0.00032692 -187 *1844:160 *25705:S 0.000137983 -188 *1844:183 *5583:156 0.000185077 -189 *1844:189 *5583:156 9.11365e-06 -190 *1864:151 *5583:149 0.000359745 -191 *1864:170 *5583:149 0.000382304 -192 *1864:170 *5583:151 0.00197786 -193 *1864:170 *5583:156 3.90417e-05 -194 *1864:187 *5583:165 0.00114165 -195 *1864:187 *5583:170 0.000584262 -196 *1877:42 *5583:11 4.01264e-05 -197 *2754:20 *25333:B1 0.00220639 -198 *2776:10 *5583:178 0.000122398 -199 *2776:109 *5583:178 0.000233352 -200 *2780:61 *5583:58 5.66539e-05 -201 *2782:116 *25333:B1 0.0022081 -202 *2787:127 *5583:134 0.000200103 -203 *2845:30 *5583:71 0.000135742 -204 *2848:44 *5583:71 6.54117e-05 -205 *2853:141 *5583:58 0.000125972 -206 *2853:164 *5583:58 1.81922e-05 -207 *2853:187 *5583:112 0 -208 *2855:139 *5583:58 0.000400695 -209 *2875:196 *5583:112 1.95291e-05 -210 *2877:52 *5583:22 0.00333407 -211 *2886:175 *5583:112 2.28156e-05 -212 *2893:72 *5583:58 0.000190547 -213 *2894:44 *5583:58 4.18834e-05 -214 *3160:26 *25375:B1 2.05612e-05 -215 *3164:56 *5583:71 1.90936e-05 -216 *3165:216 *5583:58 3.42107e-06 -217 *3185:52 *5583:126 4.00679e-05 -218 *3205:43 *5583:71 0.000366215 -219 *3220:44 *5583:22 1.90936e-05 -220 *3414:20 *5583:11 2.42516e-05 -221 *3425:20 *5583:71 0.000818851 -222 *3547:12 *5583:58 9.92981e-05 -223 *3627:57 *5583:134 0.000135028 -224 *3665:15 *5583:126 0.000137983 -225 *3737:34 *5583:11 0.000162765 -226 *3737:34 *5583:15 0.00095378 -227 *3748:11 *5583:151 0.000364096 -228 *3748:34 *5583:149 3.75925e-05 -229 *3777:51 *5583:58 2.35409e-05 -230 *3782:16 *25375:B1 1.38323e-05 -231 *3782:16 *5583:71 0.000260574 -232 *3783:110 *5583:112 8.73423e-05 -233 *3826:29 *24983:B1 1.24368e-05 -234 *3826:29 *5583:178 5.33005e-05 -235 *3826:49 *5583:178 9.71197e-05 -236 *3829:36 *5583:58 1.87514e-05 -237 *3830:95 *5583:112 0.000668789 -238 *3841:68 *5583:88 0.000127017 -239 *3842:44 *5583:58 0.000637554 -240 *3847:64 *5583:112 0.000430763 -241 *3848:61 *5583:112 0.000173356 -242 *3867:80 *5583:88 0.000334575 -243 *3874:26 *5583:126 0.000148911 -244 *3875:73 *5583:22 0 -245 *3886:51 *5583:112 4.50149e-05 -246 *3900:48 *5583:126 0.000150618 -247 *3934:28 *5583:11 0.000858191 -248 *3934:30 *5583:11 0.00040518 -249 *3934:30 *5583:15 0.00114952 -250 *3934:50 *5583:15 0.00183026 -251 *4030:48 *5583:126 0.000960221 -252 *4062:22 *5583:71 3.1945e-05 -253 *4133:108 *5583:112 6.15222e-05 -254 *4185:59 *5583:88 0.000138024 -255 *4232:13 *5583:156 0.000317594 -256 *4952:14 *5583:58 0.000695926 -257 *5459:51 *5583:134 4.82865e-05 -258 *5503:8 *5583:178 0.00112411 -259 *5517:20 *5583:71 0.00125761 -260 *5517:30 *5583:58 0.000308192 -261 *5517:30 *5583:71 5.65801e-06 -*RES -1 *30761:X *5583:11 42.5411 -2 *5583:11 *5583:15 37.7232 -3 *5583:15 *5583:22 48.6429 -4 *5583:22 *5583:37 35.6786 -5 *5583:37 *5583:58 45.7349 -6 *5583:58 *5583:71 49.7054 -7 *5583:71 *25375:B1 13.1571 -8 *5583:37 *5583:88 21.6295 -9 *5583:88 *25222:A2 9.81786 -10 *5583:88 *5583:112 49.279 -11 *5583:112 *5583:126 41.7857 -12 *5583:126 *25333:B1 42.7643 -13 *5583:112 *5583:134 65.8393 -14 *5583:134 *6541:DIODE 9.3 -15 *5583:134 *5583:142 3.42857 -16 *5583:142 *5583:149 36.3929 -17 *5583:149 *5583:151 29.2679 -18 *5583:151 *5583:156 30.6786 -19 *5583:156 *25699:S 9.3 -20 *5583:156 *5583:165 20.1429 -21 *5583:165 *5583:170 23.9107 -22 *5583:170 *5583:178 49.9286 -23 *5583:178 *24983:B1 10.9964 -24 *5583:170 *25709:S 9.3 -25 *5583:165 *25701:S 14.7464 -26 *5583:151 *25703:S 14.7464 -27 *5583:149 *25705:S 15.1571 -28 *5583:142 *25029:B1 9.3 -29 *5583:22 *25694:B2 9.3 -*END - -*D_NET *5584 0.143673 -*CONN -*I *25060:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25242:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25363:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27195:A I *D sky130_fd_sc_hd__nand2_8 -*I *24976:B1 I *D sky130_fd_sc_hd__a221o_4 -*I *25029:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25133:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25221:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *30762:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *25060:B1 0.00235159 -2 *25242:A2 0.000136254 -3 *25363:B1 7.56517e-05 -4 *27195:A 0.000317363 -5 *24976:B1 6.4878e-05 -6 *25029:A2 0.000113227 -7 *25133:B1 0.000134279 -8 *25221:A2 7.04032e-05 -9 *30762:X 0 -10 *5584:269 0.00557402 -11 *5584:263 0.00422273 -12 *5584:250 0.00347792 -13 *5584:198 0.000745863 -14 *5584:194 0.00375687 -15 *5584:178 0.00582595 -16 *5584:163 0.00462289 -17 *5584:162 0.00266481 -18 *5584:145 0.000860755 -19 *5584:139 0.00351601 -20 *5584:131 0.00217841 -21 *5584:115 0.00244893 -22 *5584:103 0.00228149 -23 *5584:80 0.00254179 -24 *5584:79 0.00247154 -25 *5584:76 0.00350568 -26 *5584:43 0.00494707 -27 *5584:20 0.00269999 -28 *5584:13 0.00182546 -29 *5584:4 0.00169277 -30 *25060:B1 *27099:A 7.69776e-06 -31 *25060:B1 *5639:165 2.59024e-05 -32 *25060:B1 *5645:220 2.90245e-05 -33 *25060:B1 *5645:235 0.000511408 -34 *25060:B1 *5892:25 2.06178e-05 -35 *25060:B1 *5911:165 0 -36 *25242:A2 *5628:150 5.74499e-06 -37 *25363:B1 *5589:74 9.11365e-06 -38 *27195:A *5862:44 0.000356035 -39 *5584:13 *30760:A 6.05161e-06 -40 *5584:13 *5667:25 6.57032e-05 -41 *5584:13 *5861:14 7.80096e-05 -42 *5584:20 *5585:54 0.000504716 -43 *5584:20 *5861:52 0.000143985 -44 *5584:76 *27811:B1 0.000233373 -45 *5584:76 *5631:25 6.87699e-05 -46 *5584:76 *5650:31 0.000153824 -47 *5584:76 *5694:57 8.60252e-05 -48 *5584:76 *5694:71 0.000150721 -49 *5584:76 *5694:251 0.000942309 -50 *5584:76 *6225:116 8.84757e-05 -51 *5584:103 *5643:80 0.000138994 -52 *5584:103 *5643:119 4.24752e-05 -53 *5584:103 *5667:25 2.59355e-05 -54 *5584:103 *6247:36 5.80725e-05 -55 *5584:115 *5634:150 2.72887e-05 -56 *5584:115 *5656:116 0.000180079 -57 *5584:115 *5663:87 0.000135028 -58 *5584:115 *5764:36 7.73275e-05 -59 *5584:115 *5764:51 0.000193439 -60 *5584:115 *6247:34 9.22154e-05 -61 *5584:115 *6247:36 0.000984739 -62 *5584:131 *27029:A1 0.000326485 -63 *5584:131 *5764:51 0.000419094 -64 *5584:131 *5777:32 6.50389e-05 -65 *5584:131 *5777:46 0.00032126 -66 *5584:131 *6247:34 0.000105839 -67 *5584:139 *6269:12 0.00229177 -68 *5584:145 *5585:8 2.61321e-05 -69 *5584:145 *5590:98 0.000131418 -70 *5584:145 *5646:232 1.37527e-05 -71 *5584:162 *25085:A1 0.000162999 -72 *5584:162 *5585:8 0.000446285 -73 *5584:162 *5589:73 8.34352e-05 -74 *5584:163 *26865:C 0.00014291 -75 *5584:163 *26865:D 4.14482e-05 -76 *5584:163 *5589:74 0.000266144 -77 *5584:163 *5669:265 7.2754e-05 -78 *5584:163 *5729:198 0.000546978 -79 *5584:163 *5777:59 0.00089482 -80 *5584:163 *5777:86 0.000381386 -81 *5584:178 *26967:A0 5.33005e-05 -82 *5584:178 *28728:SET_B 3.75322e-05 -83 *5584:194 *27148:A1 0.00038907 -84 *5584:194 *5695:67 9.90367e-05 -85 *5584:194 *5695:80 8.17274e-05 -86 *5584:194 *5736:24 0.00031949 -87 *5584:194 *5775:32 1.95404e-05 -88 *5584:194 *5800:43 0.000134904 -89 *5584:194 *6275:11 3.21343e-05 -90 *5584:198 *5870:19 3.46507e-05 -91 *5584:250 *5628:137 6.1568e-05 -92 *5584:250 *5629:166 0.000144684 -93 *5584:250 *5642:151 0.000649263 -94 *5584:250 *5646:232 0.000135255 -95 *5584:250 *5764:274 0.000932503 -96 *5584:250 *5824:213 0.000392961 -97 *5584:250 *5873:304 0.000175892 -98 *5584:250 *5879:74 9.23226e-05 -99 *5584:263 *6351:12 7.66437e-05 -100 *5584:269 *25057:A2 4.55132e-05 -101 *5584:269 *27144:B 0.000296557 -102 *5584:269 *5647:175 4.72321e-05 -103 *5584:269 *5777:208 0.00100414 -104 *5584:269 *5911:173 0.000698672 -105 *6591:DIODE *5584:20 1.90936e-05 -106 *24975:A1 *27195:A 0.000388025 -107 *24975:B1 *5584:198 9.10435e-05 -108 *24976:C1 *24976:B1 0.000129656 -109 *24976:C1 *27195:A 1.04707e-05 -110 *24978:A2 *5584:198 0.000162006 -111 *25060:B2 *25060:B1 0.000609636 -112 *25085:A2 *5584:162 0.000257618 -113 *25133:A1 *25133:B1 1.23876e-05 -114 *25133:A2 *25133:B1 1.84689e-05 -115 *25133:A2 *5584:76 4.35597e-05 -116 *25133:B2 *25133:B1 1.11677e-05 -117 *25133:C1 *25133:B1 1.14175e-06 -118 *25141:A2 *5584:163 0.000160914 -119 *25183:B1 *5584:43 0.000464893 -120 *25203:B1 *5584:162 0.000260152 -121 *25221:A1 *25221:A2 6.09764e-05 -122 *25222:B1 *5584:43 0.000148215 -123 *25224:B *25133:B1 0 -124 *25224:B *5584:76 8.2702e-05 -125 *25225:D *5584:43 0.000327453 -126 *25242:A1 *25242:A2 3.60088e-05 -127 *25242:B1 *25242:A2 2.8078e-05 -128 *25291:B2 *5584:13 0.000682581 -129 *25292:A2 *5584:103 5.74562e-05 -130 *25293:D *5584:103 0.000137369 -131 *25344:A1 *5584:115 0.00141657 -132 *25344:A1 *5584:131 3.70287e-05 -133 *25380:C1 *25363:B1 7.2754e-05 -134 *25380:C1 *5584:163 0.000103266 -135 *26967:A1 *5584:178 0.000399841 -136 *26967:S *5584:178 4.27437e-05 -137 *26973:A *25060:B1 5.34843e-05 -138 *26996:A1 *5584:162 0.000110226 -139 *27126:A *5584:194 3.55008e-05 -140 *27144:A *5584:263 5.37332e-06 -141 *27150:S *5584:194 0.000191086 -142 *27170:A1 *5584:178 0.000148474 -143 *27379:B2 *5584:263 0.000161031 -144 *27403:A2 *5584:145 1.92326e-05 -145 *27406:B1 *25242:A2 9.41642e-05 -146 *27406:B1 *5584:162 0.000181374 -147 *27717:A1 *5584:76 9.56573e-05 -148 *27749:A2 *5584:145 5.27506e-05 -149 *27789:B1 *25060:B1 0.000242142 -150 *28472:D *5584:80 0.000302012 -151 *28651:CLK *5584:131 0.000913752 -152 *28678:CLK *5584:163 0.000137931 -153 *28678:D *5584:163 0.00029443 -154 *28728:D *5584:178 2.59355e-05 -155 *28841:A *5584:103 2.11419e-05 -156 *28902:A *5584:178 0.00114861 -157 *29123:A *5584:178 9.77414e-05 -158 *29329:A *5584:194 0.000647341 -159 *29334:A *5584:103 5.65955e-05 -160 *29861:A *5584:131 0.000141895 -161 *29972:A *5584:194 0.00173511 -162 *30211:A *5584:162 0.000109035 -163 *30372:A *5584:79 5.41797e-06 -164 *30423:A *5584:131 0.000120724 -165 *1178:69 *25221:A2 9.58126e-05 -166 *1185:184 *5584:263 9.55111e-05 -167 *1218:187 *5584:43 0.00108472 -168 *1225:203 *5584:194 0.000114398 -169 *1225:203 *5584:198 8.11751e-05 -170 *1246:126 *5584:263 0.000143885 -171 *1246:146 *5584:263 3.42512e-05 -172 *1252:110 *5584:76 7.76752e-05 -173 *1256:135 *5584:163 0.00021088 -174 *1256:183 *5584:194 0.000105998 -175 *1256:183 *5584:198 0.00023808 -176 *1257:173 *5584:163 5.59013e-05 -177 *1257:186 *5584:163 0.000234377 -178 *1258:49 *5584:263 0.000219711 -179 *1262:128 *5584:13 0.000300137 -180 *1265:76 *5584:103 0.000329666 -181 *1268:39 *5584:103 0.000114296 -182 *1272:168 *25029:A2 0.000417184 -183 *1275:195 *25060:B1 0.00304596 -184 *1275:234 *5584:139 2.74627e-05 -185 *1279:105 *5584:115 0.000556325 -186 *1281:103 *5584:103 0.000134168 -187 *1285:26 *5584:13 0.000135028 -188 *1285:26 *5584:103 4.25176e-05 -189 *1290:179 *5584:80 0.0010823 -190 *1292:234 *5584:80 0.00288745 -191 *1382:16 *25060:B1 6.8445e-06 -192 *1406:8 *5584:145 4.22135e-06 -193 *1446:24 *5584:20 0.00124952 -194 *1454:10 *5584:76 9.8621e-05 -195 *1526:8 *5584:263 0.000360823 -196 *1557:16 *5584:139 6.90381e-06 -197 *1606:14 *5584:103 0.000462821 -198 *1672:11 *5584:178 0.000595046 -199 *1700:6 *5584:163 0.00252036 -200 *1700:24 *5584:13 9.73169e-05 -201 *1700:37 *5584:20 0.000118982 -202 *1862:82 *25363:B1 0.000162118 -203 *1862:82 *5584:163 0.000148196 -204 *1864:116 *5584:20 0.00038781 -205 *2754:28 *5584:263 0.000744712 -206 *2760:157 *5584:263 0.000282763 -207 *2764:46 *5584:163 0.000138955 -208 *2775:174 *5584:103 0.000781614 -209 *2781:98 *5584:115 0.000984739 -210 *2781:119 *5584:131 0.000941437 -211 *2786:101 *5584:79 1.3142e-05 -212 *2788:168 *5584:194 6.42095e-05 -213 *2791:117 *5584:76 0.000975074 -214 *2844:190 *5584:80 0.00079138 -215 *2848:178 *5584:76 2.55302e-05 -216 *2879:127 *5584:145 2.71873e-05 -217 *2879:127 *5584:250 0.000511823 -218 *2891:200 *5584:139 0.00226724 -219 *2891:270 *5584:250 0.000207971 -220 *2893:51 *5584:20 0.00145383 -221 *2895:210 *5584:76 0.00022044 -222 *2895:316 *5584:250 0.000613294 -223 *2978:22 *5584:115 0.000202142 -224 *3176:24 *5584:76 0 -225 *3206:249 *25060:B1 4.8817e-05 -226 *3215:44 *5584:76 0.000359226 -227 *3215:218 *5584:131 9.58126e-05 -228 *3293:34 *5584:76 0.000124513 -229 *3306:6 *5584:43 0.000529562 -230 *3320:18 *5584:269 0.000341127 -231 *3537:73 *5584:79 0.000102144 -232 *3538:75 *5584:194 0.00119137 -233 *3576:76 *5584:131 0.000643184 -234 *3597:18 *5584:131 0.000144656 -235 *3600:31 *5584:163 0.000563479 -236 *3600:35 *5584:163 0.000543554 -237 *3601:50 *5584:178 3.64513e-05 -238 *3601:55 *5584:178 0.000764684 -239 *3608:14 *5584:194 6.60156e-05 -240 *3669:63 *5584:79 8.84757e-05 -241 *3748:34 *25029:A2 7.13226e-06 -242 *3751:44 *5584:43 0.000377867 -243 *3768:22 *5584:103 0.000140029 -244 *3768:27 *5584:103 0.000382395 -245 *3822:18 *5584:263 0.000179426 -246 *3822:56 *5584:76 0.00207275 -247 *3822:85 *5584:263 6.65445e-05 -248 *3841:68 *5584:13 0.000973831 -249 *3886:51 *5584:76 9.03127e-05 -250 *3898:35 *5584:250 1.58163e-05 -251 *3898:41 *5584:250 0.000108353 -252 *3913:70 *25221:A2 0.000218409 -253 *3930:32 *24976:B1 0.000130057 -254 *3930:32 *27195:A 6.8194e-05 -255 *3939:11 *5584:76 0 -256 *3946:45 *5584:43 0.000374427 -257 *3951:20 *5584:263 0.00046743 -258 *3977:16 *5584:250 0.00014297 -259 *3978:65 *5584:263 0.00014576 -260 *3987:35 *5584:43 0.000529562 -261 *3998:25 *5584:162 4.58194e-05 -262 *3998:25 *5584:163 0.000188326 -263 *3998:50 *5584:20 0.00011333 -264 *3998:50 *5584:103 0.000785751 -265 *4002:5 *5584:178 0.000148887 -266 *4025:75 *25242:A2 4.08637e-05 -267 *4025:75 *5584:162 0.000183298 -268 *4043:54 *5584:163 0 -269 *4067:11 *5584:131 0.000145618 -270 *4067:32 *5584:131 0.000516201 -271 *4083:20 *5584:163 0.000355539 -272 *4085:16 *27195:A 2.65576e-05 -273 *4098:39 *25029:A2 0.000415469 -274 *4119:24 *5584:250 0.000109878 -275 *4129:77 *5584:20 8.63303e-05 -276 *4129:90 *5584:20 0.000997422 -277 *4136:16 *5584:269 0.000339297 -278 *4185:60 *5584:80 0.00011556 -279 *4185:64 *5584:80 0.000460917 -280 *5435:16 *25060:B1 8.18996e-05 -281 *5582:10 *5584:13 0.000216755 -282 *5583:112 *5584:43 0.000142153 -283 *5583:149 *25029:A2 7.13226e-06 -*RES -1 *30762:X *5584:4 9.3 -2 *5584:4 *5584:13 30.7321 -3 *5584:13 *5584:20 46.4643 -4 *5584:20 *25221:A2 15.9786 -5 *5584:20 *5584:43 41.9276 -6 *5584:43 *25133:B1 11.3893 -7 *5584:43 *5584:76 49.3689 -8 *5584:76 *5584:79 5.99107 -9 *5584:79 *5584:80 47.3571 -10 *5584:80 *25029:A2 23.733 -11 *5584:4 *5584:103 49.4286 -12 *5584:103 *5584:115 48.8304 -13 *5584:115 *5584:131 48.5536 -14 *5584:131 *5584:139 15.154 -15 *5584:139 *5584:145 10.1633 -16 *5584:145 *5584:162 28.7143 -17 *5584:162 *5584:163 67.8214 -18 *5584:163 *5584:178 49.7143 -19 *5584:178 *5584:194 49.5882 -20 *5584:194 *5584:198 4.61007 -21 *5584:198 *24976:B1 15.5946 -22 *5584:198 *27195:A 22.2196 -23 *5584:163 *25363:B1 16.05 -24 *5584:145 *25242:A2 11.9071 -25 *5584:139 *5584:250 49.9018 -26 *5584:250 *5584:263 39.75 -27 *5584:263 *5584:269 49.1154 -28 *5584:269 *25060:B1 29.7793 -*END - -*D_NET *5585 0.12767 -*CONN -*I *25253:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25173:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25375:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25164:B1 I *D sky130_fd_sc_hd__a221o_2 -*I *24974:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *30763:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *25253:A2 3.27046e-05 -2 *25173:A2 0.000621922 -3 *25375:A2 0.000459607 -4 *25164:B1 0.000561452 -5 *24974:B1 0 -6 *30763:X 2.91227e-05 -7 *5585:158 0.00153241 -8 *5585:115 0.00121697 -9 *5585:105 0.00244331 -10 *5585:99 0.00408284 -11 *5585:92 0.00524961 -12 *5585:76 0.00376894 -13 *5585:70 0.0033901 -14 *5585:56 0.0045316 -15 *5585:54 0.00365265 -16 *5585:47 0.00501211 -17 *5585:28 0.00561289 -18 *5585:23 0.00329429 -19 *5585:8 0.00477317 -20 *5585:7 0.00246816 -21 *25164:B1 *5745:135 5.54183e-05 -22 *25173:A2 *25089:A 9.41642e-05 -23 *25173:A2 *5617:57 0.000713031 -24 *25375:A2 *25375:A1 1.05731e-05 -25 *25375:A2 *5592:45 4.08637e-05 -26 *25375:A2 *5592:55 0.000135028 -27 *25375:A2 *5655:29 0.000769223 -28 *25375:A2 *5981:45 0.000248943 -29 *5585:7 *5586:11 3.32631e-05 -30 *5585:8 *25085:A1 0 -31 *5585:8 *27023:A1 1.90936e-05 -32 *5585:8 *5589:73 8.57021e-05 -33 *5585:8 *5590:98 0 -34 *5585:8 *5725:24 0.000153588 -35 *5585:8 *6269:12 0.000388517 -36 *5585:23 *25147:A2 3.29552e-06 -37 *5585:23 *5777:32 0.000451143 -38 *5585:23 *6269:12 0.000155388 -39 *5585:28 *5836:78 0.000614282 -40 *5585:28 *6258:12 5.75429e-05 -41 *5585:47 *24934:B 0.000190427 -42 *5585:47 *5589:14 0.00021974 -43 *5585:47 *5616:19 1.2928e-05 -44 *5585:47 *6280:18 0.000183248 -45 *5585:54 *30760:A 3.54716e-05 -46 *5585:54 *5861:14 3.50559e-05 -47 *5585:54 *5861:16 7.05143e-06 -48 *5585:54 *5861:52 0.000180706 -49 *5585:56 *5861:53 0.000204227 -50 *5585:70 *28430:SET_B 0.000130073 -51 *5585:70 *5750:152 0.0001212 -52 *5585:76 *28723:D 0.00011612 -53 *5585:99 *27595:A 0.000101176 -54 *5585:99 *27595:B 0.000185772 -55 *5585:99 *27602:A 0.000313241 -56 *5585:99 *27611:B 0.000268577 -57 *5585:99 *6303:10 3.28686e-05 -58 *5585:99 *6307:35 4.70078e-05 -59 *5585:115 *24974:B2 1.73088e-05 -60 *5585:158 *5643:221 0.000132298 -61 *24974:A1 *5585:115 0.000337905 -62 *24983:A2 *5585:105 0.000146066 -63 *25009:A1 *5585:99 0.000474819 -64 *25009:A2 *5585:105 0.000408203 -65 *25022:A1 *5585:105 0.000727789 -66 *25022:B1 *5585:105 0.00022266 -67 *25022:B2 *5585:105 2.28499e-05 -68 *25083:B1 *5585:8 0.000393942 -69 *25202:B1 *5585:8 0.000168939 -70 *25209:B1 *5585:56 1.94945e-05 -71 *25225:A *5585:56 5.05056e-05 -72 *25225:D *5585:56 8.40344e-05 -73 *25256:B *25253:A2 6.05161e-06 -74 *25340:B1 *5585:158 0.000130033 -75 *25389:C1 *5585:70 3.47826e-05 -76 *27116:S *5585:115 3.47142e-05 -77 *27232:A1 *5585:115 8.25843e-06 -78 *27246:B *5585:92 6.05161e-06 -79 *27247:A2 *5585:92 2.42516e-05 -80 *27248:A *5585:92 7.6644e-05 -81 *27249:A0 *5585:92 7.83587e-05 -82 *27249:A0 *5585:99 9.80173e-05 -83 *27417:B2 *5585:23 0.00136175 -84 *28570:D *5585:7 9.71197e-05 -85 *28624:CLK *5585:115 0.000124861 -86 *28658:D *5585:70 0.000178847 -87 *28736:CLK *25375:A2 4.00349e-05 -88 *28755:CLK *5585:8 0.000181021 -89 *28773:CLK *5585:99 0.000169759 -90 *28773:D *5585:99 0.000314699 -91 *30211:A *5585:8 0.000107648 -92 *30871:A *5585:92 0.000422952 -93 *15:18 *5585:115 0.000320378 -94 *282:21 *25164:B1 0.00042217 -95 *1218:136 *5585:92 2.87555e-06 -96 *1218:137 *5585:99 0.000769731 -97 *1225:89 *5585:47 0.000215425 -98 *1225:100 *5585:23 0 -99 *1225:100 *5585:28 0.00150308 -100 *1237:17 *25375:A2 7.06369e-05 -101 *1237:17 *5585:70 0.000360094 -102 *1243:58 *5585:56 0.00110368 -103 *1243:67 *5585:56 0.00386397 -104 *1250:74 *5585:70 0.000490151 -105 *1250:74 *5585:76 0.00108107 -106 *1250:79 *5585:76 0.000211586 -107 *1250:79 *5585:92 3.25078e-05 -108 *1258:14 *5585:8 0.000397046 -109 *1260:86 *5585:23 0.000392757 -110 *1265:119 *5585:8 0.00028743 -111 *1265:119 *5585:23 0.000987966 -112 *1267:65 *5585:23 4.8817e-05 -113 *1271:162 *5585:47 0.000137113 -114 *1277:98 *5585:105 0.000595067 -115 *1279:102 *5585:47 0.000637862 -116 *1281:103 *5585:47 0.00167502 -117 *1282:68 *5585:92 0.000422952 -118 *1282:77 *5585:99 0.000435845 -119 *1292:24 *5585:28 0.000299304 -120 *1292:24 *5585:47 0.00247803 -121 *1300:7 *5585:115 9.60939e-05 -122 *1300:8 *5585:115 0.00217001 -123 *1366:13 *5585:115 0.000473155 -124 *1406:8 *5585:8 0.000881322 -125 *1406:24 *5585:23 0.00018503 -126 *1406:45 *25173:A2 1.56303e-05 -127 *1406:45 *5585:158 0.00293678 -128 *1410:19 *25173:A2 0.000446685 -129 *1410:71 *25173:A2 1.21258e-05 -130 *1449:84 *5585:56 1.10105e-05 -131 *1450:16 *25173:A2 7.41514e-05 -132 *1485:23 *25164:B1 0.000179069 -133 *1493:14 *25173:A2 3.58774e-05 -134 *1576:8 *5585:47 0.000233048 -135 *1590:7 *5585:92 0.00211701 -136 *1590:15 *25164:B1 0.000517579 -137 *1603:10 *5585:56 0.00038886 -138 *1696:10 *5585:8 0.00129116 -139 *1700:24 *5585:47 0.00014833 -140 *1798:19 *5585:115 0.000626061 -141 *2761:134 *25253:A2 1.89695e-05 -142 *2771:93 *5585:8 0.00205979 -143 *2771:97 *5585:8 0.00197714 -144 *2771:104 *5585:23 2.26973e-05 -145 *2771:111 *5585:23 0.000121402 -146 *2775:174 *5585:47 0.00011122 -147 *2776:23 *5585:99 0.000211519 -148 *2776:48 *5585:99 1.44239e-05 -149 *2777:105 *5585:105 5.1992e-05 -150 *2778:55 *5585:92 2.09826e-05 -151 *2778:121 *5585:28 0.000153094 -152 *2778:121 *5585:47 0.00041992 -153 *2784:54 *5585:92 4.15183e-05 -154 *2784:59 *25375:A2 8.79856e-06 -155 *2784:204 *5585:115 0.000122682 -156 *2791:209 *5585:23 0.000958835 -157 *2876:19 *5585:47 0 -158 *2876:29 *5585:47 8.83534e-05 -159 *2877:299 *5585:23 0 -160 *2877:299 *5585:28 0 -161 *2882:246 *5585:23 0.000524803 -162 *2882:246 *5585:28 0.000384092 -163 *2891:326 *5585:158 2.36643e-05 -164 *2893:26 *25253:A2 4.38058e-05 -165 *2893:51 *5585:54 0.00156196 -166 *3153:221 *5585:23 3.8728e-05 -167 *3156:49 *25375:A2 0.000326147 -168 *3160:26 *25375:A2 8.84529e-05 -169 *3163:10 *5585:99 5.71472e-05 -170 *3544:9 *5585:54 0.000330409 -171 *3544:9 *5585:56 0.000206416 -172 *3546:6 *5585:56 3.38538e-05 -173 *3600:45 *5585:8 0.000345042 -174 *3641:18 *5585:70 9.78543e-05 -175 *3641:28 *5585:70 0.000149684 -176 *3693:21 *5585:8 1.94879e-05 -177 *3753:93 *5585:23 0.00142257 -178 *3758:43 *5585:28 0.000107807 -179 *3758:43 *5585:158 1.90936e-05 -180 *3794:19 *5585:92 4.15183e-05 -181 *3851:54 *5585:105 4.59115e-05 -182 *3878:22 *5585:115 7.47029e-06 -183 *3878:24 *5585:115 0.000453124 -184 *3878:42 *5585:115 8.86422e-05 -185 *3913:70 *5585:54 2.61337e-05 -186 *3943:20 *5585:105 0 -187 *3992:25 *5585:70 0.000280463 -188 *3998:50 *5585:47 0.000108912 -189 *4025:75 *5585:8 0.000107191 -190 *4031:18 *5585:8 7.42757e-05 -191 *4031:28 *5585:8 2.15258e-05 -192 *4043:70 *25253:A2 4.21517e-05 -193 *4043:81 *5585:54 0.00225936 -194 *4062:12 *5585:76 0.000197725 -195 *4062:22 *5585:70 1.90936e-05 -196 *4062:22 *5585:76 0.000581246 -197 *4069:117 *5585:158 0.00287588 -198 *4129:63 *5585:56 0.00394261 -199 *4129:74 *5585:56 0.000769385 -200 *4129:77 *5585:54 0 -201 *4129:90 *5585:54 3.69865e-05 -202 *4133:46 *5585:28 4.79863e-05 -203 *4133:46 *5585:47 6.11116e-05 -204 *4350:66 *25173:A2 0.00155253 -205 *4350:66 *5585:158 3.35e-05 -206 *4952:14 *5585:23 0.00138922 -207 *4952:14 *5585:47 0 -208 *5211:8 *5585:70 0.000426793 -209 *5211:8 *5585:76 0.000943263 -210 *5211:8 *5585:92 8.87536e-05 -211 *5486:7 *5585:70 5.33005e-05 -212 *5584:20 *5585:54 0.000504716 -213 *5584:145 *5585:8 2.61321e-05 -214 *5584:162 *5585:8 0.000446285 -*RES -1 *30763:X *5585:7 14.7464 -2 *5585:7 *5585:8 72.8304 -3 *5585:8 *5585:23 46.488 -4 *5585:23 *5585:28 7.4191 -5 *5585:28 *5585:47 38.0309 -6 *5585:47 *5585:54 39.4196 -7 *5585:54 *5585:56 75.2589 -8 *5585:56 *5585:70 41.1518 -9 *5585:70 *5585:76 24.6161 -10 *5585:76 *5585:92 47.0092 -11 *5585:92 *5585:99 46.6607 -12 *5585:99 *5585:105 31.2679 -13 *5585:105 *5585:115 42.5179 -14 *5585:115 *24974:B1 9.3 -15 *5585:76 *25164:B1 33.4429 -16 *5585:70 *25375:A2 25.8296 -17 *5585:28 *5585:158 41.5338 -18 *5585:158 *25173:A2 27.8491 -19 *5585:23 *25253:A2 18.1207 -*END - -*D_NET *5586 0.0772606 -*CONN -*I *25726:S I *D sky130_fd_sc_hd__mux2_1 -*I *25712:S I *D sky130_fd_sc_hd__mux2_1 -*I *25718:S I *D sky130_fd_sc_hd__mux2_1 -*I *25720:S I *D sky130_fd_sc_hd__mux2_1 -*I *25014:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25320:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *25716:S I *D sky130_fd_sc_hd__mux2_1 -*I *25714:S I *D sky130_fd_sc_hd__mux2_1 -*I *25048:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25724:S I *D sky130_fd_sc_hd__mux2_1 -*I *25722:S I *D sky130_fd_sc_hd__mux2_1 -*I *30764:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *25726:S 8.71143e-05 -2 *25712:S 0.0009767 -3 *25718:S 0 -4 *25720:S 0.00170278 -5 *25014:B1 0.000983868 -6 *25320:B1 0 -7 *25716:S 6.89825e-05 -8 *25714:S 0 -9 *25048:A2 0.000197874 -10 *25724:S 0 -11 *25722:S 0.000163767 -12 *30764:X 0.00192685 -13 *5586:165 0.00137073 -14 *5586:162 0.00122867 -15 *5586:161 0.00113398 -16 *5586:152 0.00100794 -17 *5586:144 0.00307555 -18 *5586:138 0.00130914 -19 *5586:122 0.00270574 -20 *5586:98 0.00220288 -21 *5586:84 0.003192 -22 *5586:74 0.00381829 -23 *5586:51 0.00281689 -24 *5586:31 0.00191964 -25 *5586:17 0.00262959 -26 *5586:11 0.00332166 -27 *25014:B1 *25320:A1 1.46624e-05 -28 *25014:B1 *25320:B2 6.35798e-05 -29 *25014:B1 *26989:A1 0.000221634 -30 *25014:B1 *5625:8 0 -31 *25048:A2 *25048:A1 5.33005e-05 -32 *25712:S *25712:A1 0.000387616 -33 *25712:S *28567:RESET_B 9.4028e-05 -34 *25720:S *28516:RESET_B 0.000142213 -35 *25720:S *5702:160 0.000157938 -36 *25720:S *5911:115 7.6644e-05 -37 *25722:S *5669:278 5.33005e-05 -38 *25722:S *5903:35 0.000348328 -39 *25722:S *5914:29 2.59355e-05 -40 *5586:11 *27609:A2 0.00025781 -41 *5586:11 *27609:B1 0.000178847 -42 *5586:17 *27609:A2 1.57523e-05 -43 *5586:17 *5629:217 1.21258e-05 -44 *5586:31 *25724:A0 3.9846e-05 -45 *5586:51 *25724:A0 5.33005e-05 -46 *5586:51 *27174:A0 0.000137983 -47 *5586:51 *27174:A1 0.00042994 -48 *5586:51 *28732:D 0 -49 *5586:74 *5774:185 0.00100526 -50 *5586:74 *5863:132 0 -51 *5586:84 *25381:A2 9.13059e-05 -52 *5586:84 *26873:A0 9.57209e-05 -53 *5586:84 *26889:A0 0.00021023 -54 *5586:84 *27210:A0 0.000221218 -55 *5586:84 *5680:106 0.000198698 -56 *5586:84 *5696:26 1.30327e-05 -57 *5586:84 *5875:13 0.000350322 -58 *5586:98 *25714:A1 0.000580874 -59 *5586:98 *5800:85 0.000228944 -60 *5586:98 *5800:90 0.000296445 -61 *5586:98 *5829:165 7.80759e-05 -62 *5586:122 *28557:RESET_B 0.000268521 -63 *5586:122 *5659:15 5.11642e-05 -64 *5586:122 *5659:18 0.000452225 -65 *5586:122 *5670:13 0.000102911 -66 *5586:122 *5800:108 0.000180056 -67 *5586:138 *5648:7 0.0001399 -68 *5586:138 *5659:18 0.00042229 -69 *5586:138 *5692:13 1.21289e-05 -70 *5586:138 *5766:186 5.85135e-05 -71 *5586:138 *5800:108 0.000232132 -72 *5586:138 *6206:11 0 -73 *5586:144 *5766:136 5.1274e-05 -74 *5586:144 *5766:153 9.85025e-05 -75 *5586:144 *5766:163 0.000230386 -76 *5586:144 *5766:172 0.000224628 -77 *5586:144 *5766:186 7.83366e-05 -78 *5586:152 *25716:A1 2.82057e-05 -79 *5586:152 *5702:160 0.000577325 -80 *5586:152 *5766:136 3.69865e-05 -81 *5586:162 *5648:8 0.0011016 -82 *5586:165 *25320:A1 5.65514e-05 -83 mgmt_gpio_oeb[12] *5586:152 0.000117421 -84 mgmt_gpio_oeb[13] *5586:144 2.62984e-05 -85 mgmt_gpio_oeb[15] *5586:98 2.04866e-05 -86 mgmt_gpio_oeb[16] *5586:84 9.87983e-06 -87 mgmt_gpio_out[12] *5586:152 4.70981e-05 -88 mgmt_gpio_out[13] *5586:144 6.27457e-05 -89 mgmt_gpio_out[15] *5586:98 9.11365e-06 -90 *6417:DIODE *5586:98 9.41642e-05 -91 *6427:DIODE *5586:98 0.000175526 -92 *25014:A1 *25014:B1 1.02871e-05 -93 *25014:A2 *25014:B1 4.20519e-05 -94 *25112:A2 *5586:11 0.000149904 -95 *25320:A2 *25014:B1 8.91822e-05 -96 *25320:A2 *5586:165 3.33174e-05 -97 *25320:C1 *25014:B1 3.99614e-06 -98 *25629:A1 *5586:51 4.79957e-05 -99 *25714:A0 *5586:122 1.40415e-05 -100 *25717:S *5586:144 5.6912e-05 -101 *25717:S *5586:152 0.0010354 -102 *25719:S *5586:144 8.89385e-05 -103 *25721:A1 *25720:S 0.000136958 -104 *25725:A1 *5586:31 0.000304387 -105 *25725:A1 *5586:51 0.000160365 -106 *25727:A1 *25726:S 0.000122091 -107 *26868:A1 *25716:S 5.30637e-06 -108 *26868:A1 *5586:152 0.00026408 -109 *26889:A1 *5586:84 5.52302e-05 -110 *26905:S *5586:122 5.40442e-05 -111 *26988:A1 *25712:S 0.000387616 -112 *27031:S *5586:98 0.000531169 -113 *27031:S *5586:122 0 -114 *27146:A1 *5586:11 0.000279707 -115 *27174:S *5586:51 8.43535e-06 -116 *27210:A1 *5586:74 0.000161197 -117 *27609:A1 *5586:11 2.8088e-05 -118 *27609:B2 *5586:11 6.26774e-06 -119 *27609:C1 *5586:11 0.000191394 -120 *27771:A1 *5586:74 0.00012134 -121 *27773:B2 *25048:A2 0 -122 *27773:B2 *5586:51 0.000190805 -123 *28170:CLK *5586:84 9.25014e-06 -124 *28567:CLK *25712:S 0.000387347 -125 *28570:D *5586:11 6.03032e-05 -126 *28572:CLK *5586:11 0.000260574 -127 *28572:D *5586:17 0.000427903 -128 *28913:A *5586:84 0.000221212 -129 *29773:A *25014:B1 1.76135e-05 -130 *29901:A *5586:138 9.41642e-05 -131 *29952:A *5586:51 2.08721e-05 -132 *30697:A *5586:98 9.90367e-05 -133 *30763:A *5586:11 9.0145e-05 -134 *30870:A *25014:B1 6.74911e-05 -135 *244:65 *25712:S 0.000139946 -136 *244:175 *5586:98 2.79092e-05 -137 *244:193 *5586:98 6.90698e-05 -138 *900:15 *25712:S 0.000423607 -139 *1638:18 *5586:165 1.21258e-05 -140 *1853:106 *5586:144 1.65337e-05 -141 *1855:11 *5586:138 0.000221641 -142 *2759:11 *5586:84 9.70065e-05 -143 *2761:8 *5586:122 5.36422e-05 -144 *2763:25 *25712:S 0.000141526 -145 *2767:34 *25014:B1 0.000127732 -146 *2768:175 *25014:B1 0.000263183 -147 *2768:204 *5586:152 0.000248493 -148 *2770:11 *25712:S 5.33005e-05 -149 *2770:17 *25712:S 5.74499e-06 -150 *2771:65 *5586:17 0.00101769 -151 *2771:65 *5586:31 0.00209441 -152 *2771:84 *5586:17 0.000344232 -153 *2772:171 *25014:B1 1.92789e-05 -154 *2772:177 *25014:B1 0.000130161 -155 *2792:78 *5586:74 0.000392026 -156 *3153:300 *25048:A2 4.15833e-05 -157 *3320:16 *25048:A2 0.00011594 -158 *3538:92 *5586:84 0 -159 *3538:92 *5586:98 0 -160 *3612:6 *5586:84 7.83587e-05 -161 *3617:97 *25720:S 7.6644e-05 -162 *3690:17 *25014:B1 0.000126439 -163 *3692:8 *5586:138 0 -164 *3692:8 *5586:144 0 -165 *3717:5 *5586:17 9.99644e-06 -166 *3717:18 *5586:17 1.00073e-05 -167 *3731:39 *5586:51 9.41642e-05 -168 *3731:109 *25726:S 0.000313241 -169 *3757:102 *5586:98 0.000364811 -170 *3770:21 *5586:31 0.0010762 -171 *3770:28 *5586:17 0.000470798 -172 *3770:28 *5586:31 0.00135846 -173 *3783:41 *5586:51 0.000247579 -174 *3796:163 *25048:A2 2.84109e-05 -175 *3861:40 *25722:S 0.000348328 -176 *3916:18 *5586:51 0.00019252 -177 *4017:33 *5586:31 0.000503005 -178 *4043:54 *5586:11 7.50697e-05 -179 *4045:50 *5586:74 6.90348e-06 -180 *4058:15 *5586:74 0.000168744 -181 *4058:23 *5586:74 0.000180569 -182 *4107:17 *25720:S 0.000240174 -183 *4137:16 *25048:A2 0.000294136 -184 *4147:95 *5586:122 0.00025633 -185 *4186:58 *5586:162 0.00255242 -186 *4214:20 *5586:138 0.000143439 -187 *4215:14 *5586:138 2.19684e-05 -188 *4215:14 *5586:144 0 -189 *4215:34 *5586:162 0.00400851 -190 *4239:17 *5586:122 2.53848e-05 -191 *4360:11 *25720:S 6.57032e-05 -192 *4412:11 *5586:84 0.000596351 -193 *4465:17 *5586:122 8.55871e-05 -194 *5424:8 *5586:162 0.000287061 -195 *5432:11 *5586:144 5.86621e-06 -196 *5432:36 *5586:144 0 -197 *5461:28 *5586:122 0.000499276 -198 *5461:28 *5586:138 0.00015234 -199 *5461:32 *5586:84 0.000170782 -200 *5461:32 *5586:98 0.000756626 -201 *5585:7 *5586:11 3.32631e-05 -*RES -1 *30764:X *5586:11 49.9607 -2 *5586:11 *5586:17 23.2679 -3 *5586:17 *25722:S 23.5143 -4 *5586:17 *5586:31 32.5893 -5 *5586:31 *25724:S 9.3 -6 *5586:31 *5586:51 25.125 -7 *5586:51 *25048:A2 22.9071 -8 *5586:51 *5586:74 36.4821 -9 *5586:74 *5586:84 43.2679 -10 *5586:84 *5586:98 45.0536 -11 *5586:98 *25714:S 9.3 -12 *5586:98 *5586:122 33.0344 -13 *5586:122 *5586:138 33.2857 -14 *5586:138 *5586:144 17.1786 -15 *5586:144 *5586:152 27.75 -16 *5586:152 *25716:S 10.2464 -17 *5586:152 *5586:161 8.73214 -18 *5586:161 *5586:162 55.6786 -19 *5586:162 *5586:165 10.375 -20 *5586:165 *25320:B1 9.3 -21 *5586:165 *25014:B1 47.2821 -22 *5586:144 *25720:S 44.1393 -23 *5586:138 *25718:S 13.8 -24 *5586:122 *25712:S 41.8357 -25 *5586:84 *25726:S 16.8 -*END - -*D_NET *5587 0.0931557 -*CONN -*I *25147:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *26919:A I *D sky130_fd_sc_hd__nand2_8 -*I *25042:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25201:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25255:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25366:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *30765:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *25147:B1 2.56473e-05 -2 *26919:A 0.000897754 -3 *25042:B1 5.57744e-05 -4 *25201:A2 5.25653e-05 -5 *25255:A2 5.48981e-05 -6 *25366:A2 0.00141383 -7 *30765:X 0.000974292 -8 *5587:169 0.00310188 -9 *5587:151 0.00317692 -10 *5587:139 0.00219019 -11 *5587:130 0.00247677 -12 *5587:102 0.00149008 -13 *5587:87 0.00540843 -14 *5587:65 0.00516972 -15 *5587:44 0.00426488 -16 *5587:31 0.00425681 -17 *5587:17 0.00192554 -18 *25147:B1 *5836:284 4.54885e-06 -19 *25366:A2 *27032:A0 0.000303542 -20 *25366:A2 *5627:139 0.00019384 -21 *25366:A2 *5643:250 9.58181e-05 -22 *26919:A *26944:A1 0.000280058 -23 *26919:A *26982:B 0.000138815 -24 *26919:A *5589:135 0.000130442 -25 *26919:A *5602:100 0.000135968 -26 *26919:A *5696:77 5.12344e-05 -27 *5587:17 *24942:A 0.00101426 -28 *5587:17 *24959:B 1.24368e-05 -29 *5587:17 *5700:50 3.79527e-05 -30 *5587:17 *5861:34 2.36643e-05 -31 *5587:31 *5601:162 0.00165439 -32 *5587:31 *5892:119 0.00029677 -33 *5587:31 *5892:122 0.000145701 -34 *5587:31 *6258:12 0.000671005 -35 *5587:44 *5644:237 0.000800229 -36 *5587:44 *5652:45 2.89643e-06 -37 *5587:44 *5688:320 0.000886669 -38 *5587:44 *5836:284 0.00171078 -39 *5587:44 *5836:298 6.62299e-06 -40 *5587:44 *6258:12 0.00010255 -41 *5587:65 *5660:217 0.000238047 -42 *5587:102 *5702:29 0.000307525 -43 *5587:102 *5702:38 0.00032148 -44 *5587:102 *5803:27 4.98422e-05 -45 *5587:102 *5824:213 0 -46 *5587:130 *25190:A1 1.90936e-05 -47 *5587:130 *5655:232 9.94194e-05 -48 *5587:130 *5663:155 1.7754e-05 -49 *5587:130 *5873:289 0.00108239 -50 *5587:139 *5703:45 0.00116273 -51 *5587:169 *26988:A0 0.00045061 -52 *5587:169 *5911:94 0.00026634 -53 *5587:169 *6005:32 0.000178425 -54 *24915:A *5587:17 0.000111431 -55 *25042:A1 *25042:B1 9.65182e-05 -56 *25042:A2 *5587:169 0.000211505 -57 *25071:D *5587:151 0.00087523 -58 *25111:A1 *5587:44 0.000783217 -59 *25145:B1 *5587:65 1.02504e-05 -60 *25147:A1 *25147:B1 9.1407e-06 -61 *25147:A1 *5587:44 1.99099e-05 -62 *25147:A1 *5587:65 0.000131332 -63 *25147:B2 *5587:44 9.25014e-06 -64 *25147:B2 *5587:65 6.5396e-05 -65 *25148:B *5587:65 7.61204e-05 -66 *25186:A2 *5587:87 0 -67 *25201:B1 *25201:A2 0.0001756 -68 *25201:B1 *5587:139 0.00127878 -69 *25201:B2 *25201:A2 0.000173671 -70 *25201:B2 *5587:139 0.000832666 -71 *25203:B1 *5587:87 0.000219289 -72 *25255:A1 *5587:102 5.1588e-05 -73 *25255:B1 *25255:A2 4.24831e-05 -74 *25340:B1 *5587:44 5.64278e-05 -75 *27057:S *5587:87 8.95849e-05 -76 *27057:S *5587:130 3.30444e-05 -77 *27200:S *5587:87 0 -78 *27286:B2 *25366:A2 7.34187e-06 -79 *27400:A1 *5587:65 0.000157061 -80 *27400:B2 *5587:44 6.72323e-06 -81 *27402:B2 *5587:44 0.000104341 -82 *27415:A1 *5587:87 6.90381e-06 -83 *27425:B1 *5587:139 0.000741019 -84 *27429:B2 *5587:151 9.35815e-05 -85 *27430:B1 *5587:151 0.000105471 -86 *27430:C1 *5587:151 0.000125724 -87 *27434:A1 *5587:169 0.000178425 -88 *27434:B1 *5587:169 0.000187282 -89 *28511:D *5587:169 0.000612235 -90 *28597:D *26919:A 0.000167619 -91 *28842:A *5587:44 0.000367848 -92 *30214:A *26919:A 6.86792e-05 -93 *244:65 *26919:A 0 -94 *844:19 *5587:169 1.96616e-05 -95 *1185:102 *5587:31 0.000127197 -96 *1230:51 *5587:31 0.000627879 -97 *1235:124 *5587:44 0.000154943 -98 *1241:8 *5587:17 1.98839e-05 -99 *1246:119 *5587:139 0.000301438 -100 *1246:146 *5587:130 0.000860207 -101 *1246:151 *5587:87 0.000531444 -102 *1248:131 *5587:44 7.95805e-05 -103 *1248:137 *5587:44 0.000136345 -104 *1249:17 *5587:17 0.00113701 -105 *1249:17 *5587:31 2.70133e-05 -106 *1249:67 *5587:31 8.74483e-05 -107 *1249:67 *5587:44 0.000872808 -108 *1261:199 *25366:A2 0.000233683 -109 *1261:227 *5587:130 0.000417944 -110 *1262:19 *5587:17 0.000603271 -111 *1262:128 *5587:17 0.000695709 -112 *1274:221 *5587:44 0.00011994 -113 *1288:20 *5587:31 0.000182153 -114 *1288:66 *25366:A2 1.40981e-05 -115 *1292:24 *5587:44 0.00035126 -116 *1292:81 *5587:44 0.000256457 -117 *1293:65 *5587:44 0.000113994 -118 *1293:129 *5587:44 0.000330329 -119 *1293:149 *5587:87 0.00387883 -120 *1293:149 *5587:130 0.000790724 -121 *1293:173 *5587:139 0.000797714 -122 *1382:16 *5587:169 0.000885043 -123 *1393:11 *5587:130 0.000223419 -124 *1393:23 *5587:130 9.60875e-05 -125 *1433:29 *5587:44 4.07472e-05 -126 *1484:23 *5587:31 0.000683885 -127 *1519:5 *25366:A2 0.000220035 -128 *1525:14 *5587:130 1.90936e-05 -129 *1566:20 *5587:44 0.000867343 -130 *1834:49 *5587:44 9.62329e-05 -131 *1834:61 *5587:44 0.000544203 -132 *1834:64 *5587:44 0.000109443 -133 *1834:68 *5587:44 0.000147552 -134 *2761:67 *5587:87 0 -135 *2761:80 *5587:87 0 -136 *2763:5 *26919:A 5.33005e-05 -137 *2770:97 *5587:169 0.000164135 -138 *2775:129 *25366:A2 4.43256e-05 -139 *2788:9 *5587:130 0.000136958 -140 *2788:139 *5587:87 6.57192e-05 -141 *2848:282 *5587:65 0.000357561 -142 *2848:300 *5587:65 6.42122e-06 -143 *2882:246 *5587:44 0.000702538 -144 *2892:292 *5587:65 4.19624e-06 -145 *2894:341 *5587:139 0.000564687 -146 *2970:19 *5587:87 7.76075e-06 -147 *2985:14 *5587:151 0.000148911 -148 *2993:27 *5587:169 5.74499e-06 -149 *3179:186 *5587:102 4.98422e-05 -150 *3305:8 *5587:44 0.000126716 -151 *3565:5 *5587:139 4.08637e-05 -152 *3565:10 *5587:139 0.000385089 -153 *3692:29 *26919:A 0.000135846 -154 *3704:14 *5587:102 0.00151976 -155 *3706:35 *5587:65 0.00106981 -156 *3718:157 *26919:A 0.00138162 -157 *3732:43 *5587:87 0.000596731 -158 *3733:59 *5587:169 2.84419e-05 -159 *3798:98 *5587:151 4.96113e-05 -160 *3798:99 *25042:B1 4.53515e-05 -161 *3808:17 *25255:A2 9.84751e-05 -162 *3809:32 *25366:A2 0.000549668 -163 *3809:32 *5587:87 0.000102257 -164 *3810:26 *25366:A2 2.55806e-05 -165 *3821:19 *5587:130 1.90936e-05 -166 *3849:48 *5587:44 0.00107359 -167 *3861:40 *5587:130 2.04825e-05 -168 *3862:42 *5587:44 0.000253036 -169 *3953:12 *5587:87 0.000133705 -170 *3953:28 *5587:44 0.00023283 -171 *3959:45 *25366:A2 0.000202771 -172 *3963:42 *5587:102 0.000128059 -173 *3977:15 *5587:102 0.00147956 -174 *4033:16 *5587:151 0.000299184 -175 *4063:43 *5587:44 0.000314694 -176 *4069:17 *26919:A 0.000452571 -177 *4070:39 *25366:A2 0.000139215 -178 *4076:87 *5587:31 0.000113758 -179 *4088:26 *25366:A2 0.00035768 -180 *4094:20 *5587:102 1.5929e-05 -181 *4094:20 *5587:130 2.06178e-05 -182 *4096:48 *25366:A2 6.05161e-06 -183 *4097:13 *5587:169 5.20359e-06 -184 *4120:11 *5587:102 4.00679e-05 -185 *4120:20 *5587:102 4.53889e-05 -186 *4133:28 *5587:87 0.000151625 -187 *4133:77 *5587:31 0.000147899 -188 *4133:85 *5587:17 0.00113701 -189 *4133:85 *5587:31 2.01359e-05 -190 *4134:9 *5587:139 8.33813e-05 -191 *4148:24 *5587:65 0.000911425 -192 *4214:20 *5587:169 0.000112538 -193 *4399:14 *26919:A 0.000348556 -194 *4455:11 *26919:A 4.27437e-05 -195 *4744:15 *5587:169 0.000249531 -196 *5582:95 *5587:102 0.000314836 -*RES -1 *30765:X *5587:17 49.2107 -2 *5587:17 *5587:31 42.8547 -3 *5587:31 *5587:44 41.6949 -4 *5587:44 *5587:65 31.4792 -5 *5587:65 *25366:A2 47.6839 -6 *5587:65 *5587:87 26.5594 -7 *5587:87 *5587:102 47.4146 -8 *5587:102 *25255:A2 15.1973 -9 *5587:87 *5587:130 42.3683 -10 *5587:130 *25201:A2 11.0455 -11 *5587:130 *5587:139 43.6429 -12 *5587:139 *5587:151 24.2679 -13 *5587:151 *25042:B1 19.6393 -14 *5587:151 *5587:169 43.3287 -15 *5587:169 *26919:A 39.8446 -16 *5587:44 *25147:B1 14.3357 -*END - -*D_NET *5588 0.0684879 -*CONN -*I *25684:S I *D sky130_fd_sc_hd__mux2_1 -*I *25682:S I *D sky130_fd_sc_hd__mux2_1 -*I *25680:S I *D sky130_fd_sc_hd__mux2_1 -*I *25678:S I *D sky130_fd_sc_hd__mux2_1 -*I *25381:A2 I *D sky130_fd_sc_hd__a221o_2 -*I *25068:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25692:S I *D sky130_fd_sc_hd__mux2_1 -*I *25690:S I *D sky130_fd_sc_hd__mux2_1 -*I *25688:S I *D sky130_fd_sc_hd__mux2_1 -*I *25686:S I *D sky130_fd_sc_hd__mux2_1 -*I *30766:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *25684:S 9.35997e-05 -2 *25682:S 8.70109e-05 -3 *25680:S 0.000284302 -4 *25678:S 0.000145998 -5 *25381:A2 0.000929356 -6 *25068:A2 0.0023507 -7 *25692:S 0.000318514 -8 *25690:S 0 -9 *25688:S 1.99857e-05 -10 *25686:S 0 -11 *30766:X 0 -12 *5588:130 0.00192891 -13 *5588:113 0.0026195 -14 *5588:106 0.00160666 -15 *5588:105 0.00102637 -16 *5588:101 0.00133827 -17 *5588:98 0.00123127 -18 *5588:93 0.00372878 -19 *5588:85 0.00464318 -20 *5588:63 0.00283757 -21 *5588:45 0.00133653 -22 *5588:27 0.000737947 -23 *5588:19 0.00178145 -24 *5588:8 0.00316638 -25 *5588:5 0.00164506 -26 *25381:A2 *25381:A1 1.57155e-05 -27 *25381:A2 *26905:A0 0.00021356 -28 *25381:A2 *28197:D 7.21357e-05 -29 *25381:A2 *5680:106 0.00170395 -30 *25381:A2 *5696:26 0.000833046 -31 *25381:A2 *5696:42 0.000330086 -32 *25381:A2 *5696:44 0.000421481 -33 *25381:A2 *5801:19 5.96899e-05 -34 *25680:S *25680:A1 9.41642e-05 -35 *25682:S *6554:DIODE 3.1889e-05 -36 *25682:S *5702:214 0.000258915 -37 *25688:S *25688:A1 1.04232e-05 -38 *25692:S *5829:42 5.51706e-05 -39 *25692:S *5879:237 3.98559e-05 -40 *25692:S *5897:13 0.000163154 -41 *5588:8 *27169:A0 0.000125241 -42 *5588:8 *28502:D 0.000101178 -43 *5588:8 *6247:6 0.00374234 -44 *5588:8 *6269:12 0.000121503 -45 *5588:19 *25686:A0 7.45235e-05 -46 *5588:19 *25686:A1 1.21258e-05 -47 *5588:19 *28174:D 0.000248798 -48 *5588:19 *5769:172 5.08174e-05 -49 *5588:19 *6247:6 8.88184e-05 -50 *5588:27 *25686:A0 1.40034e-05 -51 *5588:27 *25686:A1 1.24368e-05 -52 *5588:27 *25688:A1 2.27416e-05 -53 *5588:45 *25688:A1 0.000203925 -54 *5588:45 *28176:D 0.000957171 -55 *5588:45 *5736:10 0 -56 *5588:63 *25690:A1 0 -57 *5588:63 *28176:D 0.000345637 -58 *5588:63 *28450:RESET_B 0 -59 *5588:85 *28177:D 0.00213291 -60 *5588:85 *5893:81 0.000204564 -61 *5588:85 *6262:14 0.000990783 -62 *5588:85 *6285:21 9.4785e-05 -63 *5588:93 *28173:RESET_B 0 -64 *5588:93 *6241:9 0.00254753 -65 *5588:98 *5702:214 0.000268403 -66 *5588:101 *5776:109 0.000517174 -67 *5588:105 *28171:D 0.000720684 -68 *5588:105 *5776:79 0.000165922 -69 *5588:105 *5776:109 4.23086e-05 -70 *5588:106 *5681:7 5.33334e-05 -71 *5588:113 *5589:135 0.000175519 -72 *5588:113 *5911:53 7.6021e-05 -73 *5588:113 *5914:6 0 -74 *5588:130 *28573:RESET_B 0.000111218 -75 *5588:130 *5875:13 5.80069e-05 -76 mgmt_gpio_out[19] *5588:93 8.14438e-05 -77 mgmt_gpio_out[19] *5588:98 0.000193707 -78 mgmt_gpio_out[37] *5588:93 3.93874e-05 -79 *24831:A *5588:85 2.35126e-05 -80 *24841:A *25678:S 9.95075e-05 -81 *24841:A *5588:106 2.89114e-05 -82 *24977:A1 *25068:A2 0.000118369 -83 *25381:B2 *25381:A2 2.8266e-06 -84 *25678:A0 *25678:S 5.33005e-05 -85 *25679:A0 *25068:A2 1.92905e-05 -86 *25681:A1 *25680:S 2.89114e-05 -87 *25682:A0 *5588:98 1.00375e-05 -88 *25683:A1 *25682:S 0.000271345 -89 *25684:A0 *25684:S 5.52238e-05 -90 *25689:A1 *5588:45 0 -91 *25691:A1 *5588:63 2.06178e-05 -92 *25741:A1 *5588:27 0.000230482 -93 *26858:S *25692:S 2.0653e-05 -94 *26858:S *5588:85 1.41556e-05 -95 *27004:S *5588:130 1.81805e-05 -96 *27040:A1 *5588:130 0 -97 *27196:A1 *5588:19 6.42338e-05 -98 *27610:A1 *5588:8 0 -99 *28170:D *5588:113 0 -100 *28170:D *5588:130 0.000172285 -101 *28173:CLK *5588:93 4.46186e-06 -102 *28173:CLK *5588:98 0.000157913 -103 *28174:CLK *5588:19 9.99644e-06 -104 *28204:D *5588:130 0.000222878 -105 *28207:D *5588:98 0.000870758 -106 *28450:D *5588:63 0.000812027 -107 *28581:D *5588:130 0 -108 *28589:CLK *25381:A2 2.33644e-05 -109 *28605:D *5588:130 0.000243937 -110 *29139:A *5588:8 0.000211336 -111 *29373:A *25692:S 0.00017827 -112 *29409:A *5588:85 0.000344434 -113 *29603:A *5588:105 0.000368111 -114 *29681:A *5588:19 0.000100831 -115 *30362:A *5588:130 3.90513e-05 -116 *30538:A *5588:98 0.000148911 -117 *30707:A *5588:45 5.32956e-05 -118 *30842:A *5588:101 0.000154762 -119 *30900:A *5588:93 3.0285e-05 -120 *59:21 *25692:S 9.45051e-05 -121 *59:21 *5588:63 0 -122 *60:13 *25692:S 5.52654e-05 -123 *60:13 *5588:85 2.80052e-05 -124 *66:20 *5588:93 0.000111069 -125 *523:10 *5588:63 2.89016e-05 -126 *790:22 *5588:85 1.38495e-05 -127 *826:27 *25381:A2 1.46576e-05 -128 *1256:160 *5588:8 0.000130803 -129 *1835:84 *5588:85 0.0012482 -130 *2759:149 *25381:A2 0 -131 *2764:89 *5588:106 1.21258e-05 -132 *2764:89 *5588:113 0.000104511 -133 *2764:89 *5588:130 0.00108571 -134 *3611:57 *5588:98 0.000121606 -135 *3611:72 *5588:98 0.000167106 -136 *3611:79 *5588:98 0.000134838 -137 *3718:147 *5588:130 0.0001831 -138 *3783:150 *5588:105 0.000200132 -139 *3930:33 *25068:A2 0.000371395 -140 *3998:13 *5588:8 0.00107981 -141 *4037:8 *5588:8 0 -142 *4037:8 *5588:19 0 -143 *4037:18 *5588:8 0 -144 *4095:11 *25381:A2 0.000198698 -145 *4465:17 *25381:A2 2.84332e-05 -146 *4511:19 *25381:A2 9.97669e-05 -147 *4743:10 *25381:A2 0 -148 *4781:15 *25684:S 6.42095e-05 -149 *4786:16 *5588:85 0.000439121 -150 *4925:25 *5588:85 0.00160048 -151 *5172:23 *5588:85 5.61754e-05 -152 *5172:41 *5588:27 8.76257e-05 -153 *5172:41 *5588:45 0.000534222 -154 *5173:14 *25692:S 4.06087e-07 -155 *5173:19 *25692:S 0 -156 *5296:20 *5588:85 2.48858e-05 -157 *5439:10 *5588:105 5.89167e-05 -158 *5443:8 *5588:19 0.000399848 -159 *5445:5 *5588:45 0.000139907 -160 *5445:26 *5588:45 0.000643053 -161 *5445:26 *5588:63 0.000149489 -162 *5447:7 *5588:63 0.000225616 -163 *5461:32 *5588:101 0 -164 *5461:32 *5588:105 0 -165 *5462:33 *25692:S 0.000218253 -166 *5463:14 *5588:85 0.000259931 -167 *5586:84 *25381:A2 9.13059e-05 -*RES -1 *30766:X *5588:5 13.8 -2 *5588:5 *5588:8 49.7946 -3 *5588:8 *5588:19 27.1518 -4 *5588:19 *25686:S 9.3 -5 *5588:19 *5588:27 5.89286 -6 *5588:27 *25688:S 9.72857 -7 *5588:27 *5588:45 26.625 -8 *5588:45 *25690:S 9.3 -9 *5588:45 *5588:63 30.5 -10 *5588:63 *25692:S 21.7286 -11 *5588:63 *5588:85 20.9487 -12 *5588:85 *5588:93 46.0357 -13 *5588:93 *5588:98 23.6071 -14 *5588:98 *5588:101 17.0714 -15 *5588:101 *5588:105 21.625 -16 *5588:105 *5588:106 5.46429 -17 *5588:106 *5588:113 14.5536 -18 *5588:113 *25068:A2 46.1839 -19 *5588:106 *5588:130 29.1486 -20 *5588:130 *25381:A2 43.6705 -21 *5588:105 *25678:S 11.8893 -22 *5588:101 *25680:S 18.4607 -23 *5588:98 *25682:S 12.7286 -24 *5588:93 *25684:S 15.5679 -*END - -*D_NET *5589 0.14696 -*CONN -*I *24986:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25024:A2 I *D sky130_fd_sc_hd__a211o_1 -*I *25200:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *26928:A I *D sky130_fd_sc_hd__nand2_8 -*I *25062:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25230:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25363:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *31008:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *24986:A2 0.000635835 -2 *25024:A2 0 -3 *25200:A2 3.61152e-05 -4 *26928:A 0 -5 *25062:A2 4.52738e-05 -6 *25230:A2 2.56785e-05 -7 *25363:A2 1.7778e-05 -8 *31008:X 0.00197426 -9 *5589:180 0.00232332 -10 *5589:174 0.0038932 -11 *5589:166 0.00452668 -12 *5589:156 0.00461137 -13 *5589:147 0.00197603 -14 *5589:135 0.00184711 -15 *5589:134 0.00354141 -16 *5589:123 0.00477555 -17 *5589:96 0.00480585 -18 *5589:95 0.00265603 -19 *5589:74 0.00213488 -20 *5589:73 0.00398027 -21 *5589:57 0.00469393 -22 *5589:44 0.00312754 -23 *5589:40 0.00189503 -24 *5589:37 0.00179046 -25 *5589:31 0.0033665 -26 *5589:20 0.00439173 -27 *5589:14 0.00322154 -28 *24986:A2 *5680:215 0.000822049 -29 *25200:A2 *5639:117 4.58194e-05 -30 *5589:14 *5661:210 0.000291156 -31 *5589:14 *6280:18 0.000422368 -32 *5589:31 *28539:SET_B 0 -33 *5589:31 *5630:43 1.14336e-05 -34 *5589:31 *5645:104 4.37712e-06 -35 *5589:31 *5764:156 0.000109593 -36 *5589:37 *6225:135 2.08417e-06 -37 *5589:40 *5824:219 0.000608582 -38 *5589:44 *27388:A2 9.4263e-06 -39 *5589:57 *5905:124 3.2687e-05 -40 *5589:73 *5607:71 0.000175683 -41 *5589:73 *5645:156 2.996e-06 -42 *5589:73 *6269:12 0.000701472 -43 *5589:74 *25085:A1 0.000381093 -44 *5589:74 *25735:A 0.000170661 -45 *5589:74 *5607:71 4.1611e-05 -46 *5589:74 *5647:159 0.000302232 -47 *5589:74 *5777:82 0.000121284 -48 *5589:74 *5777:86 0.00103035 -49 *5589:74 *5777:94 0 -50 *5589:74 *5810:115 9.91086e-05 -51 *5589:95 *27388:A2 0.000507866 -52 *5589:95 *5633:152 8.69554e-05 -53 *5589:95 *5905:110 2.14223e-05 -54 *5589:123 *5594:38 0.000167626 -55 *5589:123 *5683:299 4.04359e-05 -56 *5589:123 *5847:43 0.000570736 -57 *5589:123 *5863:161 0 -58 *5589:123 *5911:115 2.4725e-05 -59 *5589:123 *5954:15 0.000606382 -60 *5589:123 *6207:19 0.000187857 -61 *5589:135 *28465:RESET_B 0.000169428 -62 *5589:135 *5602:100 0.000276758 -63 *5589:135 *5911:53 2.50463e-05 -64 *5589:147 *5596:47 0 -65 *5589:147 *5630:144 3.00182e-05 -66 *5589:156 *5639:117 0.000182747 -67 *5589:166 *5633:41 0.000114928 -68 *5589:166 *5633:47 0.00100326 -69 *5589:166 *5679:8 0.000110073 -70 *5589:166 *5680:215 0.00250069 -71 *5589:166 *5714:36 0.000104183 -72 *5589:174 *5714:29 0.000113574 -73 *5589:180 *26935:A0 0.00167415 -74 *5589:180 *27184:A0 0.000870574 -75 *24986:A1 *24986:A2 0.000196323 -76 *24987:B1 *24986:A2 0.000298483 -77 *24987:C1 *24986:A2 8.07879e-05 -78 *25017:B1 *5589:174 0.000938019 -79 *25024:A1 *5589:180 0.000316928 -80 *25024:B1 *5589:180 0.000220183 -81 *25043:B2 *5589:123 0.000147537 -82 *25061:C1 *5589:123 0.000210142 -83 *25062:B2 *25062:A2 0 -84 *25062:B2 *5589:96 0.00104371 -85 *25070:B *5589:123 0.0006747 -86 *25083:A2 *5589:73 0.000577211 -87 *25083:B1 *5589:73 0.000384692 -88 *25085:A2 *5589:73 0.000106824 -89 *25200:A1 *25200:A2 9.41642e-05 -90 *25200:A1 *5589:156 0.00129209 -91 *25200:B2 *5589:31 6.20441e-06 -92 *25200:B2 *5589:147 0.000206355 -93 *25230:A1 *5589:57 5.33005e-05 -94 *25238:A2 *5589:44 2.63501e-05 -95 *25318:A2 *5589:31 5.00097e-05 -96 *25337:A1 *5589:20 0.000108447 -97 *25363:B1 *5589:74 9.11365e-06 -98 *25363:B2 *25363:A2 5.52238e-05 -99 *25380:A1 *5589:74 1.08359e-05 -100 *25380:C1 *25363:A2 2.59355e-05 -101 *25380:C1 *5589:74 0.000335201 -102 *25677:A1 *5589:74 0.000335222 -103 *25679:A0 *5589:135 7.57773e-05 -104 *25712:A0 *5589:135 0.0003239 -105 *25727:S *5589:135 9.60669e-05 -106 *26919:A *5589:135 0.000130442 -107 *26935:S *5589:180 7.27549e-05 -108 *26996:A1 *5589:73 6.20441e-06 -109 *27119:A1 *5589:57 0.000625392 -110 *27184:A1 *5589:180 0.000259549 -111 *27184:S *5589:180 1.98839e-05 -112 *27349:B1 *5589:20 0.000483697 -113 *27371:C1 *5589:31 0.00256108 -114 *27371:C1 *5589:37 0.000449654 -115 *27371:C1 *5589:40 0.000173398 -116 *27374:B *5589:20 2.84109e-05 -117 *27387:A2 *5589:95 2.01997e-05 -118 *27387:B1 *5589:95 0.000167762 -119 *27413:A2 *5589:57 0.000758669 -120 *27423:A1 *5589:96 0.000676302 -121 *27482:A1 *5589:166 5.84171e-05 -122 *27737:B2 *5589:96 4.35421e-05 -123 *27811:B2 *5589:166 0.000143652 -124 *28170:D *5589:135 0 -125 *28570:D *5589:74 0.000148352 -126 *28573:CLK *5589:135 0.00026367 -127 *28573:D *5589:135 6.05538e-05 -128 *28581:D *5589:135 0.00122051 -129 *28597:D *5589:135 0.000282378 -130 *28598:D *5589:74 0.000350131 -131 *28605:D *5589:135 0 -132 *28653:CLK *5589:37 0.000441281 -133 *28678:CLK *5589:74 2.49484e-05 -134 *28841:A *5589:14 0 -135 *28923:A *5589:37 0.000165865 -136 *28924:A *5589:37 0.00125031 -137 *30178:A *5589:135 0.000596922 -138 *30265:A *5589:74 0.00012378 -139 *30420:A *5589:180 0.000128331 -140 *30425:A *5589:57 0.000175892 -141 *30443:A *5589:180 9.20484e-05 -142 *1185:54 *5589:166 0.000160692 -143 *1185:54 *5589:174 0.000171711 -144 *1185:78 *5589:166 9.47364e-06 -145 *1225:33 *5589:31 0.00011629 -146 *1246:90 *5589:166 0.000938452 -147 *1246:90 *5589:174 0.000165754 -148 *1246:91 *5589:166 0.000105594 -149 *1246:104 *24986:A2 0.000145618 -150 *1246:151 *5589:73 0 -151 *1257:173 *5589:74 2.61337e-05 -152 *1257:186 *5589:74 3.67452e-05 -153 *1260:86 *5589:73 0.000543275 -154 *1260:161 *5589:123 1.44355e-05 -155 *1260:184 *5589:123 5.73517e-05 -156 *1262:80 *5589:57 0.001045 -157 *1262:97 *5589:57 8.43535e-06 -158 *1262:100 *25062:A2 7.13226e-06 -159 *1262:100 *5589:96 3.54382e-05 -160 *1262:100 *5589:123 8.45157e-05 -161 *1265:119 *5589:73 0.00028743 -162 *1266:64 *5589:14 0 -163 *1268:53 *5589:20 0.00303388 -164 *1269:117 *5589:44 6.14836e-06 -165 *1275:105 *24986:A2 9.5529e-05 -166 *1275:195 *5589:134 8.5931e-05 -167 *1277:176 *5589:31 0 -168 *1293:223 *24986:A2 0.000145618 -169 *1341:8 *5589:174 1.02504e-05 -170 *1345:13 *5589:180 0.00105568 -171 *1358:19 *5589:166 0.000181918 -172 *1368:37 *5589:174 0.000852096 -173 *1371:51 *5589:123 0.00015787 -174 *1406:8 *5589:73 0.000753367 -175 *1549:14 *5589:57 0.000103977 -176 *1562:19 *5589:73 0.000411145 -177 *1562:33 *5589:73 7.42321e-05 -178 *1697:20 *5589:135 1.27529e-05 -179 *1862:82 *5589:74 0 -180 *2763:145 *5589:135 0 -181 *2764:94 *5589:135 8.07599e-06 -182 *2764:209 *5589:180 8.83373e-05 -183 *2767:118 *5589:135 0.000372529 -184 *2767:122 *5589:135 0.00025394 -185 *2771:22 *5589:123 0.000202008 -186 *2771:22 *5589:134 0.000569806 -187 *2771:104 *5589:73 5.00841e-06 -188 *2772:152 *5589:135 6.54117e-05 -189 *2788:21 *5589:95 5.68721e-05 -190 *2791:66 *5589:96 0.000137561 -191 *2791:209 *5589:73 0.000495749 -192 *2792:26 *5589:74 0 -193 *2794:172 *24986:A2 0.000712389 -194 *2794:172 *5589:166 0.000549557 -195 *2853:292 *5589:96 0.00195621 -196 *2859:299 *5589:166 0.000151615 -197 *2866:241 *5589:166 0 -198 *2866:248 *5589:156 0.00222125 -199 *2867:260 *5589:44 3.51249e-05 -200 *2867:260 *5589:95 0.000134706 -201 *2876:29 *5589:14 0.000717839 -202 *2877:349 *5589:44 0.00031984 -203 *2880:58 *5589:37 0 -204 *2882:178 *5589:174 5.18042e-05 -205 *2882:188 *5589:166 7.04511e-05 -206 *2883:220 *5589:96 0.000221782 -207 *2883:226 *5589:96 0.00134395 -208 *2883:250 *5589:57 0.000610425 -209 *2891:212 *5589:73 5.00194e-05 -210 *2892:242 *5589:123 0.000506989 -211 *2893:43 *5589:14 0.000420285 -212 *2894:237 *5589:31 7.21178e-05 -213 *2922:20 *5589:40 3.39461e-05 -214 *2932:34 *5589:20 1.90936e-05 -215 *3040:19 *5589:166 0.00025073 -216 *3164:295 *5589:123 0.000165904 -217 *3176:230 *5589:166 0.000349949 -218 *3215:13 *5589:174 0.000710866 -219 *3215:196 *24986:A2 1.81805e-05 -220 *3215:196 *5589:166 9.06491e-05 -221 *3233:26 *5589:20 0.000129913 -222 *3250:39 *5589:37 2.63501e-05 -223 *3250:39 *5589:40 7.04467e-05 -224 *3538:16 *5589:95 0.000115555 -225 *3580:12 *5589:37 0.00121597 -226 *3600:31 *5589:74 0.000296281 -227 *3600:35 *5589:74 0.00012803 -228 *3612:20 *5589:135 0.000286128 -229 *3612:22 *5589:135 0.000217155 -230 *3612:33 *5589:135 0.000219843 -231 *3612:35 *5589:135 0.000535069 -232 *3612:39 *5589:135 0.000148903 -233 *3613:56 *5589:135 2.58997e-05 -234 *3670:47 *5589:166 0.00121167 -235 *3670:54 *5589:166 0.000218019 -236 *3678:60 *25230:A2 5.20298e-05 -237 *3678:60 *5589:44 6.13706e-05 -238 *3679:29 *5589:123 0.000921384 -239 *3691:34 *25230:A2 4.34069e-05 -240 *3691:34 *5589:44 6.33204e-05 -241 *3693:21 *5589:73 1.82011e-05 -242 *3718:157 *5589:135 0.000983761 -243 *3743:42 *5589:31 0.000140306 -244 *3796:118 *5589:95 0.000117263 -245 *3835:51 *5589:31 0.000129666 -246 *3886:55 *5589:20 1.90936e-05 -247 *3916:39 *5589:174 0.00133604 -248 *3952:51 *5589:147 0.000335232 -249 *3978:65 *5589:37 2.01997e-05 -250 *3978:65 *5589:40 0.000488184 -251 *3978:66 *5589:31 0.000185417 -252 *3978:66 *5589:37 0.00323249 -253 *3995:58 *5589:123 0.000422328 -254 *3998:17 *5589:74 0.00114654 -255 *3998:25 *5589:74 0.00119319 -256 *4025:75 *5589:73 0.000107648 -257 *4030:48 *5589:147 0.000335232 -258 *4044:14 *5589:14 0.000289639 -259 *4146:27 *5589:31 0.000867712 -260 *4146:54 *5589:31 0.000319882 -261 *4238:16 *5589:135 0.000384446 -262 *4239:17 *5589:134 0.000130622 -263 *4396:14 *5589:135 0.000406847 -264 *5386:12 *5589:123 0.000175359 -265 *5386:12 *5589:134 0.00100406 -266 *5584:162 *5589:73 8.34352e-05 -267 *5584:163 *5589:74 0.000266144 -268 *5585:8 *5589:73 8.57021e-05 -269 *5585:47 *5589:14 0.00021974 -270 *5588:113 *5589:135 0.000175519 -*RES -1 *31008:X *5589:14 38.0554 -2 *5589:14 *5589:20 38.2939 -3 *5589:20 *5589:31 17.0262 -4 *5589:31 *5589:37 48.993 -5 *5589:37 *5589:40 5.58767 -6 *5589:40 *5589:44 4.88143 -7 *5589:44 *5589:57 47.6071 -8 *5589:57 *5589:73 42.3481 -9 *5589:73 *5589:74 62.2054 -10 *5589:74 *25363:A2 14.3357 -11 *5589:44 *25230:A2 14.5321 -12 *5589:40 *5589:95 11.7902 -13 *5589:95 *5589:96 38.3214 -14 *5589:96 *25062:A2 10.1795 -15 *5589:96 *5589:123 49.9888 -16 *5589:123 *5589:134 11.7378 -17 *5589:134 *5589:135 57.3482 -18 *5589:135 *26928:A 13.8 -19 *5589:20 *5589:147 14.0693 -20 *5589:147 *25200:A2 10.2464 -21 *5589:147 *5589:156 30.0893 -22 *5589:156 *5589:166 49.0836 -23 *5589:166 *5589:174 44.3743 -24 *5589:174 *5589:180 47.2946 -25 *5589:180 *25024:A2 9.3 -26 *5589:156 *24986:A2 40.4696 -*END - -*D_NET *5590 0.086648 -*CONN -*I *24975:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25053:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27177:A I *D sky130_fd_sc_hd__nand2_8 -*I *25232:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25202:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25372:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *30767:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *24975:A2 0 -2 *25053:A2 0.00103879 -3 *27177:A 1.80483e-05 -4 *25232:A2 0 -5 *25202:A2 0.000183582 -6 *25372:A2 7.07578e-05 -7 *30767:X 0.00169461 -8 *5590:194 0.00106979 -9 *5590:191 0.00201291 -10 *5590:165 0.00233958 -11 *5590:161 0.00210776 -12 *5590:134 0.00192485 -13 *5590:131 0.0015799 -14 *5590:121 0.00215804 -15 *5590:107 0.00142541 -16 *5590:98 0.00206403 -17 *5590:87 0.00188579 -18 *5590:79 0.00316428 -19 *5590:50 0.00533526 -20 *5590:47 0.00513583 -21 *5590:14 0.00440149 -22 *25053:A2 *28505:D 0.000326792 -23 *25053:A2 *5655:264 1.90936e-05 -24 *25202:A2 *5641:162 0.000139202 -25 *25202:A2 *6247:18 0.0003727 -26 *5590:14 *5605:114 0.000306869 -27 *5590:14 *5641:70 0.00011903 -28 *5590:14 *5839:47 0.000112995 -29 *5590:47 *5605:116 0.000832853 -30 *5590:47 *5641:70 0.000172692 -31 *5590:47 *5649:176 0.000111686 -32 *5590:47 *5848:20 0.000293723 -33 *5590:47 *5848:63 4.38221e-06 -34 *5590:50 *5649:176 0 -35 *5590:79 *5649:176 0 -36 *5590:87 *5649:151 8.02717e-05 -37 *5590:87 *5777:32 8.34439e-05 -38 *5590:87 *5777:46 0.000215448 -39 *5590:98 *28702:D 0.000166588 -40 *5590:98 *5628:150 4.79873e-05 -41 *5590:98 *5688:65 0.000510804 -42 *5590:98 *5765:25 8.85664e-07 -43 *5590:98 *6247:18 0.00203552 -44 *5590:98 *6247:31 5.56665e-05 -45 *5590:107 *6247:18 0 -46 *5590:131 *5638:162 0.000259549 -47 *5590:131 *5649:220 0.000326191 -48 *5590:131 *5701:147 0.000630787 -49 *5590:131 *5701:149 0.000371742 -50 *5590:131 *5701:169 9.65172e-05 -51 *5590:134 *5824:153 0.000353784 -52 *5590:161 *5629:217 0.000713858 -53 *5590:161 *5638:162 0 -54 *5590:161 *5641:204 0 -55 *5590:161 *5656:350 0.000892948 -56 *5590:161 *5656:353 0.000191306 -57 *5590:161 *5768:161 0.000177672 -58 *5590:165 *27724:A2 6.057e-07 -59 *5590:165 *5641:204 6.87834e-06 -60 *5590:191 *26872:S 2.63982e-05 -61 *5590:191 *28711:D 5.52302e-05 -62 *5590:191 *5736:24 0.000128034 -63 *5590:191 *5781:10 0 -64 *5590:191 *5800:19 0.000133706 -65 *5590:191 *5911:28 0.000234317 -66 *5590:191 *5911:43 0.00127821 -67 *5590:194 *26917:S 0 -68 *24975:A1 *5590:191 5.52238e-05 -69 *24975:B1 *25053:A2 4.5539e-05 -70 *24977:B1 *5590:191 9.41642e-05 -71 *25053:A1 *25053:A2 1.46576e-05 -72 *25054:B2 *25053:A2 1.5472e-05 -73 *25093:A1 *5590:87 8.44271e-06 -74 *25110:B2 *5590:47 2.26872e-05 -75 *25123:A *5590:47 8.78763e-05 -76 *25140:B1 *5590:107 0.000165787 -77 *25140:B1 *5590:121 0.00192128 -78 *25166:A *5590:47 1.88026e-05 -79 *25203:B2 *5590:98 1.50563e-05 -80 *25203:B2 *5590:107 0 -81 *25203:C1 *5590:107 0.000869513 -82 *25232:A1 *5590:161 0.00060123 -83 *25314:A2 *5590:131 6.07037e-07 -84 *25372:A1 *25372:A2 1.55638e-05 -85 *25377:A1 *5590:50 0.000149777 -86 *25723:A0 *5590:161 0.000109616 -87 *27126:A *5590:191 0.0013082 -88 *27171:A1 *5590:131 7.83659e-05 -89 *27173:A1 *5590:79 0.00113517 -90 *27417:B1 *5590:87 0.000378872 -91 *27675:B2 *5590:131 0.000182152 -92 *27679:B2 *5590:131 0 -93 *27725:A1 *5590:161 0 -94 *27726:C1 *5590:161 9.58181e-05 -95 *27749:A2 *5590:98 2.5315e-05 -96 *27822:A1 *25053:A2 6.24243e-05 -97 *27822:A2 *25053:A2 2.06112e-05 -98 *28124:D *5590:131 0.000122942 -99 *28195:D *5590:161 3.10779e-05 -100 *28500:CLK *5590:161 0.00015209 -101 *28686:CLK *5590:98 6.66329e-05 -102 *28899:A *5590:98 0.00134085 -103 *29294:A *5590:161 1.94879e-05 -104 *29632:A *5590:79 0.000551265 -105 *29861:A *5590:79 0 -106 *30206:A *5590:79 0.000223282 -107 *30207:A *5590:79 0.000139202 -108 *30211:A *5590:98 0.000188741 -109 *30414:A *5590:161 0.000310292 -110 *1228:11 *5590:14 2.59355e-05 -111 *1228:30 *5590:14 6.57032e-05 -112 *1256:173 *5590:191 0.000422526 -113 *1256:183 *5590:191 0.000497855 -114 *1260:81 *5590:79 0.0001153 -115 *1260:86 *5590:87 2.59166e-05 -116 *1265:161 *5590:191 2.29253e-06 -117 *1267:49 *5590:79 0.000123884 -118 *1267:65 *5590:79 0 -119 *1269:98 *5590:79 0.000462305 -120 *1276:102 *5590:79 8.07514e-05 -121 *1287:132 *5590:47 2.59024e-05 -122 *1288:57 *25372:A2 6.53397e-05 -123 *1292:133 *27177:A 5.49544e-05 -124 *1292:133 *5590:191 0.000443379 -125 *1302:6 *25053:A2 0.000793149 -126 *1327:28 *5590:47 0.000116155 -127 *1327:28 *5590:50 0.00121203 -128 *1396:171 *5590:50 0.000124557 -129 *1406:51 *5590:47 0.000168089 -130 *1422:75 *5590:14 0.0012413 -131 *1439:49 *5590:47 3.20458e-05 -132 *1551:10 *5590:161 6.24758e-05 -133 *1562:19 *5590:98 0.00011271 -134 *1615:14 *5590:47 5.75573e-05 -135 *1615:14 *5590:50 0.000211432 -136 *1633:13 *5590:121 0.000464893 -137 *1665:20 *5590:47 6.00563e-05 -138 *1685:44 *5590:47 0.000198724 -139 *1685:46 *25372:A2 7.05143e-06 -140 *1826:238 *5590:131 9.77884e-05 -141 *1826:251 *5590:131 0.000240999 -142 *2764:21 *5590:191 2.13481e-06 -143 *2768:107 *5590:161 5.75763e-05 -144 *2771:97 *5590:98 6.8646e-06 -145 *2773:139 *5590:131 3.79109e-05 -146 *2775:156 *5590:79 4.05523e-05 -147 *2784:112 *5590:121 0.000486114 -148 *2786:22 *5590:165 2.90018e-05 -149 *2791:13 *5590:191 0.000136013 -150 *2791:209 *5590:79 0 -151 *2792:62 *27177:A 2.59355e-05 -152 *2792:62 *5590:191 0.000336203 -153 *2794:101 *5590:134 0.000173253 -154 *2851:6 *5590:47 0.000864791 -155 *2851:6 *5590:50 0.000440463 -156 *2851:24 *5590:14 0.000185411 -157 *2851:24 *5590:47 0.0014104 -158 *2879:118 *5590:98 5.59013e-05 -159 *2879:156 *5590:131 8.23597e-06 -160 *2893:26 *5590:79 0.000304654 -161 *2895:316 *5590:98 8.61908e-05 -162 *3173:158 *5590:79 6.30931e-05 -163 *3215:218 *5590:87 0.000260152 -164 *3220:18 *5590:50 0.000375392 -165 *3220:28 *5590:14 0.000303226 -166 *3273:10 *5590:165 0.000347431 -167 *3413:41 *5590:14 0.000133359 -168 *3576:57 *5590:79 0.000956013 -169 *3576:76 *5590:98 0.00090982 -170 *3667:65 *5590:87 0.000108516 -171 *3718:47 *25053:A2 6.09762e-05 -172 *3718:79 *5590:161 0.000219711 -173 *3719:13 *5590:98 1.3409e-05 -174 *3738:41 *5590:50 0.000416467 -175 *3823:40 *5590:79 7.71646e-05 -176 *3836:24 *5590:98 5.39159e-05 -177 *3839:13 *5590:191 8.90566e-05 -178 *3839:21 *5590:191 2.59355e-05 -179 *3839:24 *25053:A2 9.8393e-05 -180 *3848:14 *5590:161 2.11419e-05 -181 *3887:97 *5590:191 4.20068e-05 -182 *3913:21 *5590:165 0.000716861 -183 *3927:20 *5590:79 6.47405e-05 -184 *3963:21 *5590:161 0.000222666 -185 *3978:130 *5590:191 3.97939e-05 -186 *3979:19 *25202:A2 0.000370264 -187 *4004:16 *5590:191 2.93106e-05 -188 *4031:28 *5590:98 6.43827e-05 -189 *4031:28 *5590:107 0.000913306 -190 *4032:10 *5590:191 0.000128034 -191 *4033:8 *25053:A2 0.000725843 -192 *4033:8 *5590:194 2.84398e-05 -193 *4043:70 *5590:79 0.000302825 -194 *4054:8 *5590:131 0.000336228 -195 *4054:17 *5590:131 0.000674984 -196 *4079:43 *25372:A2 0.000104038 -197 *4079:43 *5590:79 9.94674e-05 -198 *4079:46 *5590:47 0.000201786 -199 *4079:46 *5590:50 0.000200534 -200 *4080:22 *5590:131 0.00166965 -201 *4082:6 *5590:165 0.000362468 -202 *4082:13 *5590:165 2.22043e-05 -203 *4137:14 *5590:191 3.30092e-05 -204 *4137:16 *5590:191 4.95543e-05 -205 *5582:113 *25202:A2 0.000139202 -206 *5584:145 *5590:98 0.000131418 -207 *5585:8 *5590:98 0 -*RES -1 *30767:X *5590:14 49.9104 -2 *5590:14 *5590:47 47.9683 -3 *5590:47 *5590:50 9.5294 -4 *5590:50 *25372:A2 18.3243 -5 *5590:50 *5590:79 47.1997 -6 *5590:79 *5590:87 21.6511 -7 *5590:87 *5590:98 45.6518 -8 *5590:98 *5590:107 18.9375 -9 *5590:107 *25202:A2 24.6393 -10 *5590:107 *5590:121 23.5179 -11 *5590:121 *5590:131 49.4107 -12 *5590:131 *5590:134 9.17857 -13 *5590:134 *25232:A2 9.3 -14 *5590:134 *5590:161 42.7509 -15 *5590:161 *5590:165 15.0714 -16 *5590:165 *27177:A 9.83571 -17 *5590:165 *5590:191 45.6731 -18 *5590:191 *5590:194 5.23214 -19 *5590:194 *25053:A2 40.2152 -20 *5590:194 *24975:A2 13.8 -*END - -*D_NET *5591 0.0329019 -*CONN -*I *6482:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27916:A I *D sky130_fd_sc_hd__or3_1 -*I *27866:A I *D sky130_fd_sc_hd__or4_1 -*I *27891:A I *D sky130_fd_sc_hd__or3_1 -*I *27767:A I *D sky130_fd_sc_hd__or3_1 -*I *31009:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *6482:DIODE 0 -2 *27916:A 2.13722e-05 -3 *27866:A 0 -4 *27891:A 0.000491765 -5 *27767:A 0.000106805 -6 *31009:X 0.0013926 -7 *5591:63 0.000984959 -8 *5591:53 0.00357138 -9 *5591:42 0.00430569 -10 *5591:36 0.0020854 -11 *5591:19 0.000993004 -12 *5591:17 8.46727e-05 -13 *5591:16 0.0014276 -14 *5591:16 *31009:A 5.33005e-05 -15 *5591:16 *5687:129 2.44286e-05 -16 *5591:36 *28612:D 0.00015329 -17 *5591:36 *5629:20 0.0002294 -18 *5591:36 *5687:129 0.000646699 -19 *5591:42 *5717:61 0.00381237 -20 *5591:42 *5750:130 0.000461937 -21 *5591:53 *5666:216 0 -22 *5591:63 *5685:52 9.22222e-06 -23 *5591:63 *5717:81 5.49995e-05 -24 *25374:A1 *5591:42 0.000303287 -25 *27767:B *27767:A 0.000135028 -26 *27767:B *5591:17 8.33813e-05 -27 *27767:B *5591:19 8.17274e-05 -28 *27857:A1 *5591:42 0.000349709 -29 *27858:B2 *5591:53 0.000153738 -30 *27866:B *27891:A 5.30637e-06 -31 *27866:B *5591:63 3.17346e-05 -32 *27866:C *27891:A 3.34295e-05 -33 *27891:B *27891:A 0.00068363 -34 *27906:B2 *27891:A 4.13595e-05 -35 *27906:B2 *5591:63 0.00105495 -36 *27916:C *5591:63 0.000240066 -37 *28612:CLK *5591:42 0.000591456 -38 *29379:A *5591:42 0.00011324 -39 *29821:A *5591:36 2.26973e-05 -40 *1235:52 *5591:53 2.18231e-05 -41 *1237:27 *5591:16 0.000162092 -42 *1237:27 *5591:17 5.33005e-05 -43 *1237:39 *5591:16 0.00101366 -44 *1248:22 *5591:42 2.06178e-05 -45 *1248:33 *5591:42 4.69543e-05 -46 *1264:163 *27891:A 0.000118504 -47 *1265:228 *5591:16 5.49544e-05 -48 *1273:68 *5591:53 0 -49 *1328:115 *5591:36 0.0001203 -50 *1427:71 *5591:53 4.09607e-05 -51 *1442:14 *5591:53 4.46431e-05 -52 *1455:55 *5591:53 3.77106e-05 -53 *1536:27 *5591:16 4.33002e-05 -54 *1588:18 *5591:42 6.92389e-05 -55 *1612:8 *5591:63 0.000263254 -56 *2781:65 *5591:36 0.000346127 -57 *2850:177 *5591:16 5.78972e-05 -58 *2852:228 *27891:A 0.00111121 -59 *2871:36 *5591:53 0.000344359 -60 *2872:21 *5591:42 5.74499e-06 -61 *2872:41 *5591:63 0.000927483 -62 *2878:20 *5591:42 0.00034245 -63 *3156:59 *5591:53 0.000180068 -64 *3184:53 *5591:16 0.000443375 -65 *3185:264 *5591:36 2.06112e-05 -66 *3205:55 *5591:53 0.000135051 -67 *3206:48 *5591:53 7.7532e-06 -68 *3206:84 *5591:16 1.4363e-05 -69 *3538:39 *5591:16 7.48005e-05 -70 *3642:46 *5591:42 0.000423685 -71 *3886:47 *5591:16 0.000328389 -72 *4061:29 *5591:42 3.63775e-05 -73 *5196:94 *5591:36 0.0011552 -*RES -1 *31009:X *5591:16 49.2643 -2 *5591:16 *5591:17 0.946429 -3 *5591:17 *5591:19 0.946429 -4 *5591:19 *27767:A 11.0679 -5 *5591:19 *5591:36 31.5714 -6 *5591:36 *5591:42 46.7046 -7 *5591:42 *5591:53 17.1356 -8 *5591:53 *5591:63 24.6429 -9 *5591:63 *27891:A 33.9429 -10 *5591:63 *27866:A 9.3 -11 *5591:53 *27916:A 9.72857 -12 *5591:17 *6482:DIODE 9.3 -*END - -*D_NET *5592 0.0925253 -*CONN -*I *6468:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25375:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *6539:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25583:A I *D sky130_fd_sc_hd__and2_1 -*I *30690:X O *D sky130_fd_sc_hd__clkbuf_4 -*CAP -1 *6468:DIODE 0 -2 *25375:B2 0.000195655 -3 *6539:DIODE 0 -4 *25583:A 0.000225415 -5 *30690:X 7.48129e-05 -6 *5592:113 0.00400977 -7 *5592:112 0.00434457 -8 *5592:104 0.00360213 -9 *5592:95 0.003418 -10 *5592:81 0.00405183 -11 *5592:80 0.00367574 -12 *5592:78 0.00201804 -13 *5592:64 0.00339166 -14 *5592:61 0.00204197 -15 *5592:55 0.0011973 -16 *5592:45 0.00177945 -17 *5592:25 0.00447549 -18 *5592:24 0.00537993 -19 *5592:10 0.00383245 -20 *5592:7 0.00155667 -21 *25375:B2 *5713:130 0.000386699 -22 *5592:10 *27049:A1 4.15914e-05 -23 *5592:10 *28181:RESET_B 0.000243635 -24 *5592:10 *5659:32 0 -25 *5592:10 *5659:36 0 -26 *5592:10 *5700:271 0 -27 *5592:10 *5753:138 9.9566e-05 -28 *5592:24 *25701:A0 2.15245e-05 -29 *5592:24 *25701:A1 0.000162138 -30 *5592:24 *28215:RESET_B 8.25843e-06 -31 *5592:24 *5678:158 0.000257619 -32 *5592:24 *5753:38 0.000183232 -33 *5592:25 *27648:B 5.33005e-05 -34 *5592:25 *27648:C 9.41642e-05 -35 *5592:25 *5647:17 0.000296159 -36 *5592:25 *5655:16 0.00110887 -37 *5592:25 *5656:28 0.000107855 -38 *5592:45 *5655:29 0.000201696 -39 *5592:45 *5757:76 0.000131052 -40 *5592:45 *5981:45 0.000801206 -41 *5592:45 *6225:114 4.15183e-05 -42 *5592:61 *5713:130 0.000796466 -43 *5592:64 *28622:D 0.000353665 -44 *5592:64 *5687:166 0.000106607 -45 *5592:64 *6225:92 0.000236391 -46 *5592:78 *27095:A1 5.6494e-05 -47 *5592:78 *28429:SET_B 0.000108516 -48 *5592:78 *29030:A 0 -49 *5592:78 *5687:166 8.829e-05 -50 *5592:78 *5745:30 4.34543e-05 -51 *5592:78 *5757:21 0 -52 *5592:78 *6141:21 0.00167435 -53 *5592:81 *29029:A 0.000543393 -54 *5592:81 *5737:10 1.32724e-05 -55 *5592:95 *5737:10 0.000476933 -56 *5592:104 *28424:RESET_B 0.000177059 -57 *5592:104 *5734:53 0.000513609 -58 *5592:104 *5734:83 6.88375e-05 -59 *5592:104 *5737:10 4.45768e-06 -60 *5592:104 *5738:31 0.000119193 -61 *5592:112 *29057:A 1.90936e-05 -62 mgmt_gpio_oeb[0] *5592:10 2.8583e-05 -63 mgmt_gpio_out[0] *5592:10 1.85455e-05 -64 pll_div[2] *5592:113 5.71472e-05 -65 pll_div[3] *5592:104 0 -66 pll_sel[1] *5592:104 0.000281608 -67 pll_trim[18] *5592:81 0.00014287 -68 pll_trim[25] *5592:78 6.7537e-05 -69 *25375:A2 *5592:45 4.08637e-05 -70 *25375:A2 *5592:55 0.000135028 -71 *25375:B1 *25375:B2 3.75971e-05 -72 *25609:A0 *5592:81 0.000421703 -73 *25609:S *5592:81 0.000158991 -74 *25702:A1 *5592:10 0.000457962 -75 *25709:A0 *5592:10 0.000315894 -76 *25710:S *5592:10 0.000944728 -77 *26816:S *5592:113 2.77289e-05 -78 *27050:S *5592:64 0.00011421 -79 *27376:B1 *5592:45 4.32258e-05 -80 *27650:C *5592:25 0.000136951 -81 *28099:CLK *5592:81 0.00100691 -82 *28114:CLK *5592:104 0.000241037 -83 *28116:D *5592:104 2.89016e-05 -84 *28121:CLK *5592:95 0.000290076 -85 *28185:D *5592:10 0 -86 *28215:CLK *5592:24 0.000178786 -87 *28672:D *5592:24 3.4879e-05 -88 *28725:CLK *5592:25 2.51343e-06 -89 *28788:CLK *5592:45 0.000216125 -90 *28876:A *5592:45 0.000167223 -91 *29175:A *5592:112 0.000202209 -92 *29613:A *5592:10 0.000237619 -93 *29838:A *5592:78 0.000150283 -94 *29881:A *5592:78 0.00102761 -95 *29934:A *5592:64 0.000121745 -96 *30319:A *5592:10 0.000120645 -97 *30690:A *5592:7 1.72894e-05 -98 *30815:A *5592:25 0.00240663 -99 *30818:A *5592:45 6.61884e-05 -100 *30829:A *25583:A 2.72967e-05 -101 *30941:A *5592:81 3.33238e-05 -102 *30942:A *5592:81 2.89016e-05 -103 *181:13 *5592:104 2.13481e-06 -104 *754:11 *25583:A 5.7661e-06 -105 *754:11 *5592:113 0.000139479 -106 *995:25 *5592:78 0 -107 *1244:128 *5592:64 0.00109335 -108 *1294:147 *5592:55 0.0003743 -109 *1339:24 *5592:24 0.000647387 -110 *1346:8 *5592:24 6.51946e-05 -111 *1446:10 *5592:61 0.000116263 -112 *1446:12 *25375:B2 0.000397359 -113 *1446:12 *5592:61 0.000443774 -114 *1582:25 *5592:55 0.000259549 -115 *1844:189 *5592:10 0.000377727 -116 *2780:90 *5592:78 2.73792e-05 -117 *2825:32 *5592:25 0.00161546 -118 *2839:33 *5592:64 0 -119 *2839:71 *5592:64 0 -120 *2865:31 *5592:61 0.000304364 -121 *2871:20 *5592:25 5.29232e-05 -122 *2871:20 *5592:45 0.000199279 -123 *3147:42 *5592:25 9.71197e-05 -124 *3147:57 *5592:25 0.000222244 -125 *3160:26 *25375:B2 5.63843e-05 -126 *3160:26 *5592:45 0.000849433 -127 *3160:26 *5592:55 0.000135028 -128 *3167:5 *5592:25 2.44318e-05 -129 *3167:39 *5592:25 0.000273746 -130 *3173:35 *5592:61 9.35984e-05 -131 *3206:13 *5592:45 0 -132 *3560:21 *5592:45 0.000108384 -133 *3575:8 *5592:25 0.000114453 -134 *3634:82 *5592:24 0.000165123 -135 *3652:26 *5592:104 0.000357054 -136 *3782:16 *5592:45 2.92605e-05 -137 *3782:45 *5592:45 4.26389e-05 -138 *3790:11 *5592:64 0.000185984 -139 *3794:33 *5592:64 4.46186e-06 -140 *3826:49 *5592:24 0.000126446 -141 *4255:9 *5592:113 2.44318e-05 -142 *4256:11 *5592:113 0.00426135 -143 *4352:35 *5592:64 1.90936e-05 -144 *4372:35 *5592:95 5.52302e-05 -145 *4561:9 *5592:10 0 -146 *5040:13 *5592:81 0.000101455 -147 *5043:11 *5592:112 0.00208913 -148 *5160:37 *5592:104 0.00049638 -149 *5490:11 *5592:112 0.00153966 -150 *5493:55 *5592:104 1.04048e-05 -151 *5496:16 *5592:112 0.000321942 -152 *5496:40 *5592:113 0.00084908 -153 *5504:49 *5592:78 0.000260203 -154 *5504:56 *5592:64 0.000682261 -155 *5504:56 *5592:78 0.00127372 -156 *5504:66 *5592:55 0.0003743 -157 *5507:23 *5592:81 0.000164314 -158 *5516:45 *5592:78 8.94258e-05 -159 *5518:19 *5592:104 0.000212587 -160 *5518:62 *5592:104 0.00020562 -161 *5521:41 *5592:78 0.000663413 -162 *5523:11 *5592:81 1.58163e-05 -163 *5523:68 *5592:81 0.000482549 -164 *5523:93 *5592:78 0.000377081 -165 *5526:16 *5592:78 0 -*RES -1 *30690:X *5592:7 14.7464 -2 *5592:7 *5592:10 43.4821 -3 *5592:10 *5592:24 44.4107 -4 *5592:24 *5592:25 61.5268 -5 *5592:25 *5592:45 34.749 -6 *5592:45 *5592:55 22.4286 -7 *5592:55 *5592:61 23.5714 -8 *5592:61 *5592:64 33.5982 -9 *5592:64 *5592:78 45.9674 -10 *5592:78 *5592:80 4.5 -11 *5592:80 *5592:81 50.2321 -12 *5592:81 *5592:95 11.9286 -13 *5592:95 *5592:104 48.4732 -14 *5592:104 *5592:112 14.8868 -15 *5592:112 *5592:113 53.9286 -16 *5592:113 *25583:A 13.1571 -17 *5592:113 *6539:DIODE 9.3 -18 *5592:55 *25375:B2 20.1795 -19 *5592:45 *6468:DIODE 9.3 -*END - -*D_NET *5593 0.0362424 -*CONN -*I *27668:A I *D sky130_fd_sc_hd__or4_4 -*I *6483:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *31010:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *27668:A 0 -2 *6483:DIODE 0.000160543 -3 *31010:X 0.00350885 -4 *5593:43 0.00139511 -5 *5593:42 0.00240304 -6 *5593:36 0.00170546 -7 *5593:33 0.00135674 -8 *5593:20 0.0017464 -9 *5593:15 0.00443549 -10 *5593:15 *27369:A2 5.52634e-05 -11 *5593:15 *28675:SET_B 7.13706e-06 -12 *5593:15 *5645:136 4.8817e-05 -13 *5593:15 *5663:138 0.000133781 -14 *5593:15 *5809:13 6.23954e-05 -15 *5593:15 *5824:213 0.00072529 -16 *5593:15 *6351:12 0.000250594 -17 *5593:20 *25203:A1 0.000210704 -18 *5593:20 *5795:70 0.00153117 -19 *5593:20 *5795:78 7.18027e-05 -20 *5593:33 *5638:136 0.000114043 -21 *5593:33 *5641:180 0.000568257 -22 *5593:33 *5646:258 1.13786e-05 -23 *5593:33 *5795:70 9.4422e-05 -24 *5593:33 *5810:86 0.000191403 -25 *5593:33 *5863:98 2.11875e-05 -26 *5593:36 *25342:B2 0.000229139 -27 *5593:36 *5638:136 0.000221592 -28 *5593:36 *5641:180 0.000214494 -29 *5593:36 *5669:265 4.94362e-05 -30 *5593:36 *5708:17 0.000615745 -31 *5593:36 *5708:25 3.36554e-05 -32 *5593:42 *5628:217 0.000196335 -33 *5593:42 *5629:186 0.00177106 -34 *5593:42 *5669:265 0.000700034 -35 *5593:43 *25856:A 5.33005e-05 -36 *25190:B1 *5593:15 0 -37 *25856:B *6483:DIODE 0.000399147 -38 *25856:B *5593:43 0.000388424 -39 *26939:A1 *5593:33 2.38601e-05 -40 *27038:S *5593:33 3.73407e-05 -41 *27371:C1 *5593:15 0 -42 *27610:A1 *5593:42 0.000391 -43 *27668:C *6483:DIODE 0.000108085 -44 *27668:C *5593:43 0.000869992 -45 *27668:D *6483:DIODE 3.97677e-05 -46 *27731:A1 *5593:15 0.000630881 -47 *27731:B2 *5593:15 9.46929e-05 -48 *29665:A *5593:33 0.000306919 -49 *29747:A *5593:33 0.00050462 -50 *30439:A *5593:36 0.000314096 -51 *1267:83 *5593:15 0 -52 *1272:101 *5593:15 0.000834974 -53 *1292:110 *5593:33 6.72288e-05 -54 *1361:30 *5593:20 0.000540269 -55 *1660:19 *5593:15 0.000170256 -56 *1884:23 *6483:DIODE 0.000136958 -57 *2776:241 *5593:33 3.42107e-06 -58 *2776:257 *5593:33 0.000971577 -59 *2779:80 *5593:20 0.000134909 -60 *2784:88 *5593:15 2.35126e-05 -61 *2791:199 *5593:20 0.000358813 -62 *2791:233 *5593:36 0 -63 *2855:219 *5593:15 8.08603e-05 -64 *2891:270 *5593:15 0.00073252 -65 *2895:291 *5593:15 0.00011497 -66 *2895:316 *5593:15 3.81355e-05 -67 *3179:167 *5593:15 0 -68 *3251:11 *5593:15 0.000221359 -69 *3598:18 *5593:15 0 -70 *3686:50 *5593:20 1.55479e-05 -71 *3686:50 *5593:33 0.000477588 -72 *3706:22 *5593:42 0.000173804 -73 *3907:27 *5593:36 0 -74 *3914:26 *5593:20 0.000225002 -75 *3978:65 *5593:15 0.000574034 -76 *4042:19 *5593:20 0.000663416 -77 *4109:20 *5593:42 6.16936e-05 -78 *4115:28 *5593:20 0.000105827 -79 *4128:11 *5593:42 0.000512802 -*RES -1 *31010:X *5593:15 47.4927 -2 *5593:15 *5593:20 31.3921 -3 *5593:20 *5593:33 36.5489 -4 *5593:33 *5593:36 19.9554 -5 *5593:36 *5593:42 43.8214 -6 *5593:42 *5593:43 22.7143 -7 *5593:43 *6483:DIODE 13.9429 -8 *5593:43 *27668:A 9.3 -*END - -*D_NET *5594 0.0217603 -*CONN -*I *27841:A I *D sky130_fd_sc_hd__or4_1 -*I *31010:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *30768:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *27841:A 0.000225998 -2 *31010:A 0.00147474 -3 *30768:X 0 -4 *5594:38 0.00132988 -5 *5594:27 0.00434277 -6 *5594:4 0.00471363 -7 *27841:A *5836:43 0.000222244 -8 *27841:A *5836:45 5.96516e-05 -9 *31010:A *25190:A1 0.000184444 -10 *31010:A *5595:37 9.70065e-05 -11 *31010:A *5595:57 1.21258e-05 -12 *31010:A *5809:13 0.000260152 -13 *5594:27 *5595:57 4.85033e-05 -14 *5594:38 *5630:217 0.000465243 -15 *5594:38 *5645:199 0.00162899 -16 *5594:38 *5662:97 0.0001463 -17 *25043:B2 *5594:27 0.000245716 -18 *25190:A2 *31010:A 8.22793e-06 -19 *27423:B2 *5594:27 0.000181926 -20 *27423:C1 *5594:27 1.21843e-05 -21 *27428:B1 *5594:27 0.000180066 -22 *27731:A1 *31010:A 0.000260152 -23 *27737:B2 *31010:A 0.000146232 -24 *27743:D *31010:A 0.000120034 -25 *27841:B *27841:A 1.98839e-05 -26 *27841:C *27841:A 0.00030267 -27 *28663:CLK *5594:38 1.32091e-05 -28 *30159:A *5594:38 0.000125241 -29 *1262:97 *31010:A 0.000112985 -30 *1274:161 *5594:27 7.74706e-05 -31 *1510:8 *31010:A 0.000343301 -32 *1514:18 *31010:A 0 -33 *2875:344 *31010:A 6.05161e-06 -34 *2875:344 *5594:27 5.96516e-05 -35 *2883:220 *5594:27 6.44445e-05 -36 *2892:220 *5594:38 0.000115122 -37 *3164:295 *5594:38 0.000515932 -38 *3165:55 *5594:38 0.000950976 -39 *3179:238 *27841:A 4.96113e-05 -40 *3206:236 *5594:38 0.000963481 -41 *3292:11 *31010:A 0.000151616 -42 *3385:11 *27841:A 2.12005e-05 -43 *3679:39 *31010:A 0.00059743 -44 *3850:38 *5594:27 9.62238e-05 -45 *4095:57 *31010:A 0.000639964 -46 *5589:123 *5594:38 0.000167626 -*RES -1 *30768:X *5594:4 9.3 -2 *5594:4 *31010:A 48.9607 -3 *5594:4 *5594:27 39.5357 -4 *5594:27 *5594:38 46.2857 -5 *5594:38 *27841:A 19.2821 -*END - -*D_NET *5595 0.0330543 -*CONN -*I *27792:A I *D sky130_fd_sc_hd__or3_1 -*I *30768:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *27743:A I *D sky130_fd_sc_hd__or4_1 -*I *31011:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *27792:A 0.00064167 -2 *30768:A 0 -3 *27743:A 0 -4 *31011:X 0.000651062 -5 *5595:69 0.00318456 -6 *5595:57 0.00500202 -7 *5595:37 0.00266669 -8 *5595:32 0.00151648 -9 *5595:22 0.00195998 -10 *27792:A *27788:A2 4.21517e-05 -11 *5595:22 *5632:71 0.00117683 -12 *5595:22 *5649:128 0.000120169 -13 *5595:32 *5632:71 3.17148e-05 -14 *5595:32 *5632:115 0.000262959 -15 *5595:32 *5905:110 7.85291e-05 -16 *5595:57 *27776:A2 0.00120147 -17 *5595:57 *5633:69 0.00082024 -18 *5595:57 *5649:310 0.000522539 -19 *5595:57 *5702:147 0 -20 *5595:57 *5777:240 8.55871e-05 -21 *5595:57 *5809:22 4.65519e-05 -22 *5595:57 *5814:12 0.000221723 -23 *5595:57 *5905:110 0.000675745 -24 *5595:69 *5645:235 0 -25 *5595:69 *5696:77 0.000470988 -26 *5595:69 *5795:206 0.000505898 -27 *5595:69 *5809:22 0.00146552 -28 *5595:69 *5873:281 0.000751703 -29 *27141:S *5595:32 2.86974e-05 -30 *27386:C1 *5595:22 7.39931e-05 -31 *27421:B1 *5595:57 0 -32 *27422:A2 *5595:57 4.14491e-05 -33 *27735:B2 *5595:22 1.31653e-05 -34 *27735:B2 *5595:32 0.000182092 -35 *27737:B2 *5595:32 0.000138178 -36 *27743:D *5595:37 6.05161e-06 -37 *27788:A1 *27792:A 0.000161689 -38 *27788:A1 *5595:69 0.000745266 -39 *29089:A *27792:A 3.92624e-05 -40 *31010:A *5595:37 9.70065e-05 -41 *31010:A *5595:57 1.21258e-05 -42 *792:24 *5595:69 0.000493836 -43 *1371:51 *5595:57 0 -44 *1390:15 *5595:69 0.000800101 -45 *1506:18 *5595:32 1.94879e-05 -46 *1510:8 *5595:22 2.33437e-05 -47 *1510:8 *5595:32 6.19641e-05 -48 *2763:169 *27792:A 0.000140258 -49 *2782:146 *5595:32 3.03664e-05 -50 *2787:161 *5595:32 0.000376052 -51 *2848:369 *5595:57 2.01997e-05 -52 *2853:292 *5595:22 5.41794e-05 -53 *2853:292 *5595:32 0.000135038 -54 *2864:293 *5595:32 0.000138229 -55 *2867:260 *5595:32 0 -56 *2875:344 *5595:57 0.000135028 -57 *2943:11 *5595:32 1.94879e-05 -58 *3153:204 *5595:22 9.60875e-05 -59 *3153:284 *5595:57 0.000542236 -60 *3292:11 *5595:37 4.82865e-05 -61 *3320:18 *5595:69 1.41231e-05 -62 *3339:22 *5595:69 0.000801917 -63 *3378:8 *27792:A 0 -64 *3538:16 *5595:22 4.57473e-05 -65 *3538:16 *5595:32 1.98496e-05 -66 *3552:44 *5595:57 0.000223572 -67 *3757:15 *5595:69 0.000128656 -68 *3837:33 *5595:69 5.2967e-05 -69 *3876:62 *27792:A 0 -70 *3913:36 *5595:22 0.000708496 -71 *3913:43 *5595:22 0.000493837 -72 *3980:20 *5595:69 0.000176282 -73 *4045:50 *27792:A 0 -74 *4136:16 *5595:69 0.00153507 -75 *4396:14 *27792:A 2.93421e-05 -76 *5594:27 *5595:57 4.85033e-05 -*RES -1 *31011:X *5595:22 44.0589 -2 *5595:22 *5595:32 23.5086 -3 *5595:32 *27743:A 9.3 -4 *5595:32 *5595:37 3.41071 -5 *5595:37 *30768:A 9.3 -6 *5595:37 *5595:57 31.2681 -7 *5595:57 *5595:69 40.8657 -8 *5595:69 *27792:A 24.3982 -*END - -*D_NET *5596 0.0362389 -*CONN -*I *31011:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *27693:A I *D sky130_fd_sc_hd__or4_1 -*I *30769:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *31011:A 0 -2 *27693:A 0.00013986 -3 *30769:X 0.00104751 -4 *5596:51 0.00103548 -5 *5596:47 0.00414579 -6 *5596:26 0.00390249 -7 *5596:15 0.0020093 -8 *5596:7 0.0024045 -9 *5596:7 *5597:7 3.97677e-05 -10 *5596:7 *5597:20 0.00191542 -11 *5596:7 *5650:42 0.00026486 -12 *5596:15 *28515:D 0.00012401 -13 *5596:15 *5627:57 0.00019542 -14 *5596:47 *5651:248 2.16755e-05 -15 *5596:47 *5781:42 0.000174647 -16 *27364:C *5596:47 1.42701e-05 -17 *27683:A1 *5596:15 0.000136845 -18 *27683:A1 *5596:26 0.000194031 -19 *27693:C *5596:15 0.000875918 -20 *27694:B1 *27693:A 2.12087e-05 -21 *1261:41 *5596:47 2.13778e-05 -22 *1270:66 *5596:51 0.000343526 -23 *1277:176 *5596:47 9.17988e-05 -24 *1277:188 *5596:47 0 -25 *1490:21 *27693:A 0.00038021 -26 *1490:21 *5596:47 0.00109007 -27 *1520:8 *5596:51 1.17921e-05 -28 *1520:19 *5596:51 0.00112531 -29 *1642:37 *5596:26 0 -30 *2763:82 *5596:26 1.90936e-05 -31 *2780:158 *27693:A 0.000259324 -32 *2780:158 *5596:47 0.00108019 -33 *2788:22 *5596:51 0.00278044 -34 *2844:285 *5596:51 0.000343526 -35 *2845:247 *5596:47 0.000435872 -36 *2852:77 *5596:47 0.000356467 -37 *2852:108 *5596:47 0.000219756 -38 *2853:224 *5596:47 0.00179862 -39 *2860:242 *5596:47 8.03331e-05 -40 *2867:199 *5596:47 0 -41 *2880:30 *5596:51 0.000187857 -42 *2880:45 *5596:51 0.000110498 -43 *2889:36 *5596:15 0.00174439 -44 *3185:65 *5596:15 3.34295e-05 -45 *3206:110 *5596:15 0.00024079 -46 *3206:157 *5596:15 0.000593608 -47 *3293:14 *5596:47 0 -48 *3664:48 *5596:26 6.90381e-06 -49 *3704:31 *5596:15 0.000132862 -50 *3704:31 *5596:26 7.91978e-05 -51 *3820:91 *5596:26 1.90936e-05 -52 *3886:51 *5596:47 4.09607e-05 -53 *3977:24 *5596:15 0.00212208 -54 *3978:78 *5596:7 0.00017754 -55 *4030:48 *5596:51 0.00163718 -56 *4068:41 *5596:47 1.17516e-05 -57 *5589:147 *5596:47 0 -*RES -1 *30769:X *5596:7 33.6393 -2 *5596:7 *5596:15 47.3782 -3 *5596:15 *5596:26 16.2116 -4 *5596:26 *27693:A 13.1214 -5 *5596:26 *5596:47 37.2601 -6 *5596:47 *5596:51 44.3125 -7 *5596:51 *31011:A 9.3 -*END - -*D_NET *5597 0.02874 -*CONN -*I *30769:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *27965:A I *D sky130_fd_sc_hd__or4_1 -*I *27940:A I *D sky130_fd_sc_hd__or3_1 -*I *30770:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *30769:A 0 -2 *27965:A 0.000799744 -3 *27940:A 0.000311 -4 *30770:X 0.000228587 -5 *5597:21 0.00346308 -6 *5597:20 0.00421477 -7 *5597:7 0.00209102 -8 *5597:7 *30770:A 5.33005e-05 -9 *5597:20 *28604:RESET_B 2.28544e-05 -10 *5597:20 *5634:122 8.40933e-05 -11 *5597:20 *5713:305 0.000356318 -12 *5597:20 *5754:168 0.000165951 -13 *5597:21 *5621:17 0.000690908 -14 *5597:21 *5623:66 9.60939e-05 -15 *25224:C *5597:20 4.53834e-05 -16 *27933:B1 *27940:A 0.000259273 -17 *27941:A1 *27940:A 0.000171368 -18 *27966:B2 *27965:A 0.000195823 -19 *1446:24 *5597:21 0.000136563 -20 *1454:16 *5597:21 0.000665294 -21 *1494:48 *5597:21 0.000180501 -22 *1691:24 *5597:20 4.42685e-05 -23 *1864:114 *5597:21 1.38323e-05 -24 *1864:116 *5597:21 0.00400562 -25 *2779:161 *5597:20 0 -26 *2779:170 *5597:7 0.000178148 -27 *2779:170 *5597:20 0.000836594 -28 *2860:164 *5597:20 2.05413e-05 -29 *2870:43 *5597:20 0.00049515 -30 *2877:179 *5597:20 0.000190728 -31 *3170:83 *27965:A 0.000228653 -32 *3170:92 *27965:A 0.00145905 -33 *3185:65 *5597:20 4.87854e-05 -34 *3185:92 *5597:20 0 -35 *3299:29 *5597:20 5.51917e-05 -36 *3558:189 *27965:A 0.000236916 -37 *3663:73 *27940:A 0.00017309 -38 *3665:15 *5597:7 5.52302e-05 -39 *3776:44 *27965:A 1.77525e-05 -40 *3776:54 *27965:A 5.66873e-05 -41 *3857:74 *27965:A 0.0012962 -42 *3874:37 *5597:7 1.98839e-05 -43 *3874:37 *5597:20 0.000209342 -44 *3899:54 *5597:20 0.000216755 -45 *3963:109 *5597:20 2.59024e-05 -46 *4015:31 *5597:20 0.000184668 -47 *4130:109 *27940:A 0.000220943 -48 *4130:109 *5597:21 0.00188948 -49 *5210:25 *27965:A 0.000297509 -50 *5583:126 *5597:7 7.5951e-05 -51 *5596:7 *5597:7 3.97677e-05 -52 *5596:7 *5597:20 0.00191542 -*RES -1 *30770:X *5597:7 13.9607 -2 *5597:7 *5597:20 44.691 -3 *5597:20 *5597:21 58.8571 -4 *5597:21 *27940:A 25.3179 -5 *5597:21 *27965:A 45.8893 -6 *5597:7 *30769:A 9.3 -*END - -*D_NET *5598 0.0215731 -*CONN -*I *27818:A2 I *D sky130_fd_sc_hd__a211o_1 -*I *30770:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *27718:A I *D sky130_fd_sc_hd__or4_1 -*I *31009:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *31012:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *27818:A2 0.000103128 -2 *30770:A 0.000203224 -3 *27718:A 6.9976e-05 -4 *31009:A 0.000772833 -5 *31012:X 0.000138754 -6 *5598:56 0.00159039 -7 *5598:49 0.00214369 -8 *5598:17 0.00311149 -9 *5598:7 0.00175404 -10 *30770:A *5627:18 0.000213523 -11 *31009:A *25176:B2 0.000165174 -12 *31009:A *5627:16 0.000218073 -13 *31009:A *6306:140 0.000135028 -14 *5598:17 *25625:B 0.000198975 -15 *5598:49 *26874:B 3.17163e-05 -16 *5598:49 *5627:16 0.000171507 -17 *5598:49 *5627:18 0.000568101 -18 *5598:49 *5653:19 5.93865e-05 -19 *5598:49 *5667:86 5.74325e-05 -20 *5598:49 *5717:179 0.000121573 -21 *5598:56 *25176:B2 0.000886385 -22 *25007:A2 *5598:17 1.33343e-05 -23 *25229:A2 *5598:56 0.000122262 -24 *27302:B *31009:A 6.94952e-05 -25 *27302:B *5598:17 0.000106953 -26 *27318:B *5598:17 0.000192628 -27 *27318:C *5598:17 0.000175892 -28 *27719:B2 *27718:A 4.87854e-05 -29 *27719:B2 *30770:A 3.97677e-05 -30 *27818:A1 *27818:A2 1.7859e-05 -31 *27818:B1 *27818:A2 2.23725e-05 -32 *29709:A *5598:49 0.000209767 -33 *1178:36 *5598:56 0.000922619 -34 *1178:48 *5598:56 0.000907518 -35 *1237:43 *5598:17 0.000221641 -36 *1496:10 *5598:56 0.000368676 -37 *1864:134 *27718:A 0.000181343 -38 *1864:134 *30770:A 0.000178847 -39 *2754:18 *5598:56 4.02416e-05 -40 *2754:20 *5598:56 0.000444429 -41 *2758:64 *5598:49 5.05208e-05 -42 *2779:170 *30770:A 1.09026e-05 -43 *2779:170 *5598:56 0.000370781 -44 *2848:178 *5598:49 0.000341254 -45 *2877:359 *5598:7 9.46929e-05 -46 *2882:173 *5598:49 1.08359e-05 -47 *2883:15 *31009:A 2.42516e-05 -48 *2883:15 *5598:17 8.38584e-05 -49 *2883:129 *5598:17 0.000115512 -50 *2891:6 *31009:A 3.39451e-05 -51 *2998:32 *27818:A2 9.35199e-05 -52 *2998:32 *5598:17 0.000199698 -53 *3153:143 *30770:A 9.23805e-05 -54 *3194:70 *5598:49 3.69406e-05 -55 *3205:189 *5598:56 0.00122604 -56 *3259:25 *30770:A 8.73634e-05 -57 *3269:10 *5598:56 0.000378067 -58 *3538:39 *31009:A 0.000756421 -59 *3666:15 *5598:49 4.69976e-05 -60 *3666:119 *5598:49 5.42019e-05 -61 *4030:48 *5598:49 6.18969e-06 -62 *5467:14 *5598:49 0 -63 *5485:133 *27818:A2 0.000102056 -64 *5485:133 *5598:17 0.000197984 -65 *5583:126 *30770:A 5.33005e-05 -66 *5591:16 *31009:A 5.33005e-05 -67 *5597:7 *30770:A 5.33005e-05 -*RES -1 *31012:X *5598:7 15.5679 -2 *5598:7 *5598:17 26.2321 -3 *5598:17 *31009:A 32.425 -4 *5598:17 *5598:49 28.0392 -5 *5598:49 *5598:56 46.5268 -6 *5598:56 *27718:A 11.0679 -7 *5598:56 *30770:A 23.4607 -8 *5598:7 *27818:A2 16.5321 -*END - -*D_NET *5599 0.0831405 -*CONN -*I *27377:S I *D sky130_fd_sc_hd__mux2_1 -*I *27276:A I *D sky130_fd_sc_hd__inv_2 -*I *27746:S I *D sky130_fd_sc_hd__mux2_1 -*I *27770:S I *D sky130_fd_sc_hd__mux2_1 -*I *27721:S I *D sky130_fd_sc_hd__mux2_1 -*I *27696:S I *D sky130_fd_sc_hd__mux2_1 -*I *27355:S I *D sky130_fd_sc_hd__mux2_1 -*I *27894:A2 I *D sky130_fd_sc_hd__o22a_1 -*I *27943:S I *D sky130_fd_sc_hd__mux2_1 -*I *27528:S I *D sky130_fd_sc_hd__mux2_1 -*I *27506:S I *D sky130_fd_sc_hd__mux2_1 -*I *27550:S I *D sky130_fd_sc_hd__mux2_1 -*I *27869:A2 I *D sky130_fd_sc_hd__o22a_1 -*I *27572:S I *D sky130_fd_sc_hd__mux2_1 -*I *27968:A2 I *D sky130_fd_sc_hd__o22a_1 -*I *27919:A2 I *D sky130_fd_sc_hd__o22a_1 -*I *27484:S I *D sky130_fd_sc_hd__mux2_1 -*I *27844:S I *D sky130_fd_sc_hd__mux2_1 -*I *27419:S I *D sky130_fd_sc_hd__mux2_1 -*I *27398:S I *D sky130_fd_sc_hd__mux2_1 -*I *28960:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *27377:S 8.43829e-05 -2 *27276:A 5.79954e-05 -3 *27746:S 0 -4 *27770:S 0.000639383 -5 *27721:S 0 -6 *27696:S 0 -7 *27355:S 0 -8 *27894:A2 0.000124143 -9 *27943:S 0 -10 *27528:S 0 -11 *27506:S 0.000238494 -12 *27550:S 0.000173287 -13 *27869:A2 0.000433886 -14 *27572:S 2.88294e-05 -15 *27968:A2 0.000408628 -16 *27919:A2 0.000499039 -17 *27484:S 0.000405341 -18 *27844:S 3.42735e-05 -19 *27419:S 0.000160359 -20 *27398:S 0 -21 *28960:X 0.000127507 -22 *5599:301 0.000895106 -23 *5599:295 0.00100584 -24 *5599:279 0.00108536 -25 *5599:273 0.00222279 -26 *5599:247 0.00348435 -27 *5599:232 0.00192675 -28 *5599:193 0.00135013 -29 *5599:171 0.001666 -30 *5599:158 0.00145408 -31 *5599:128 0.00168133 -32 *5599:125 0.00160888 -33 *5599:115 0.00347408 -34 *5599:91 0.00534608 -35 *5599:72 0.00406811 -36 *5599:39 0.00107653 -37 *5599:29 0.00196492 -38 *5599:8 0.000700089 -39 *27377:S *6314:37 0.00011751 -40 *27419:S *28791:RESET_B 1.0945e-05 -41 *27419:S *5694:171 4.47218e-05 -42 *27419:S *5749:61 6.56884e-05 -43 *27550:S *5734:31 0 -44 *27844:S *5678:267 0.000183713 -45 *27844:S *6320:17 0.000183713 -46 *27869:A2 *25918:B 7.83587e-05 -47 *27869:A2 *27593:A2 3.88133e-05 -48 *27869:A2 *28119:D 0 -49 *27869:A2 *29577:A 9.11899e-05 -50 *27869:A2 *5926:221 9.60337e-06 -51 *27919:A2 *5618:47 2.11419e-05 -52 *27919:A2 *5622:79 0.000240409 -53 *27919:A2 *5698:203 9.25014e-06 -54 *27968:A2 *28811:RESET_B 5.83233e-05 -55 *27968:A2 *29577:A 0.000180764 -56 *27968:A2 *5737:43 0.000220703 -57 *27968:A2 *5737:55 0.000101102 -58 *27968:A2 *6337:17 0.000426671 -59 *27968:A2 *6346:15 0.000135028 -60 *5599:8 *27240:A 0.000139907 -61 *5599:8 *28791:RESET_B 5.58246e-05 -62 *5599:8 *5694:171 6.46173e-05 -63 *5599:8 *5970:51 1.39726e-05 -64 *5599:29 *5667:129 0.000237942 -65 *5599:29 *5667:182 0.000697221 -66 *5599:29 *5694:119 0.000407591 -67 *5599:29 *5694:171 0.000312594 -68 *5599:39 *27056:A1 9.0145e-05 -69 *5599:39 *5678:267 0.000304394 -70 *5599:39 *5700:174 0.000182732 -71 *5599:39 *5757:31 0.000509743 -72 *5599:39 *6319:19 0.000215448 -73 *5599:39 *6320:17 0.000293887 -74 *5599:72 *5667:129 6.14578e-05 -75 *5599:72 *5700:174 4.80197e-05 -76 *5599:72 *5707:244 5.2617e-05 -77 *5599:72 *5749:47 7.75109e-05 -78 *5599:72 *5749:54 2.26973e-05 -79 *5599:72 *5757:43 7.40526e-05 -80 *5599:91 *24926:B 0.000240972 -81 *5599:91 *25231:A 0.000133768 -82 *5599:91 *25958:A1 6.35819e-05 -83 *5599:91 *28398:RESET_B 0.000175335 -84 *5599:91 *5707:244 0.000256054 -85 *5599:115 *5711:153 0.000409329 -86 *5599:125 *25939:A1 6.30931e-05 -87 *5599:125 *29581:A 1.13406e-05 -88 *5599:125 *5691:160 0.00022686 -89 *5599:125 *5691:176 0.000377424 -90 *5599:128 *27942:S 2.06178e-05 -91 *5599:158 *5706:219 1.8726e-05 -92 *5599:158 *5718:88 9.28794e-05 -93 *5599:158 *6332:39 0.000955017 -94 *5599:171 *25918:B 0.00055597 -95 *5599:193 *27593:A2 9.41642e-05 -96 *5599:193 *5734:178 0.000241482 -97 *5599:232 *6314:37 0.000385699 -98 *5599:232 *6319:25 1.9036e-05 -99 *5599:247 *5700:174 0.000108353 -100 *5599:247 *5720:215 8.8998e-05 -101 *5599:247 *5757:76 0.00177091 -102 *5599:247 *5757:94 2.63501e-05 -103 *5599:273 *26874:B 0 -104 *5599:273 *27314:A 0.000162841 -105 *5599:273 *5687:212 5.45758e-05 -106 *5599:273 *5720:215 0.00147916 -107 *5599:273 *6306:140 0.000113123 -108 *5599:279 *25176:B2 0.000712369 -109 *5599:295 *5638:30 0.000103211 -110 *5599:295 *5643:40 0.000541968 -111 *5599:295 *5754:34 0.000148196 -112 *5599:295 *5754:43 0.000164554 -113 *5599:301 *5718:310 0.00030926 -114 *24910:A *5599:115 0.000911506 -115 *25180:B1 *5599:125 9.11512e-05 -116 *25263:A2 *5599:91 0.00158849 -117 *25338:A *5599:91 6.05161e-06 -118 *25385:B2 *5599:115 0.000140751 -119 *25387:B1 *5599:91 1.20244e-05 -120 *25400:B2 *5599:158 0.000130421 -121 *25623:S *27869:A2 2.12733e-05 -122 *26822:S *27550:S 0 -123 *26838:A *5599:29 0.000135926 -124 *27240:B *5599:29 1.29487e-05 -125 *27240:B *5599:39 1.21955e-05 -126 *27314:B *27276:A 2.60984e-05 -127 *27314:B *5599:273 0.000305449 -128 *27314:C *5599:273 1.98839e-05 -129 *27377:A0 *27377:S 9.71197e-05 -130 *27418:A2 *27419:S 5.33005e-05 -131 *27419:A0 *27419:S 6.42095e-05 -132 *27528:A1 *27506:S 3.67142e-05 -133 *27528:A1 *5599:193 0.00020456 -134 *27572:A0 *27572:S 5.33005e-05 -135 *27572:A0 *5599:171 6.05161e-06 -136 *27721:A0 *5599:295 9.71197e-05 -137 *27721:A0 *5599:301 0.000392542 -138 *27869:A1 *27869:A2 5.25862e-06 -139 *27869:B1 *27869:A2 3.4323e-06 -140 *27869:B2 *27869:A2 0.00010872 -141 *27894:A1 *27894:A2 0.000105303 -142 *27894:A1 *5599:125 3.21796e-05 -143 *27918:B1_N *5599:158 0.000105866 -144 *27942:A1 *5599:128 0.000143439 -145 *28382:D *5599:125 0.000140516 -146 *28398:CLK *5599:91 0.000687324 -147 *28439:CLK *27484:S 5.52302e-05 -148 *28795:D *27506:S 5.28345e-05 -149 *28796:D *27506:S 4.58194e-05 -150 *28812:CLK *5599:125 5.26953e-05 -151 *28812:D *27968:A2 0.000178847 -152 *28860:A *5599:279 3.97677e-05 -153 *28860:A *5599:295 0.000178425 -154 *28960:A *5599:8 8.6229e-06 -155 *29387:A *5599:158 9.71545e-05 -156 *29394:A *5599:158 0.000171767 -157 *29494:A *5599:295 0.00023615 -158 *29498:A *5599:247 4.91761e-05 -159 *29833:A *5599:247 5.91272e-05 -160 *30871:A *5599:72 9.74569e-05 -161 *30871:A *5599:91 0.00173492 -162 *30871:A *5599:247 7.08649e-05 -163 *471:12 *5599:171 2.52223e-05 -164 *473:29 *5599:158 0.000322254 -165 *723:24 *5599:128 0.000169959 -166 *1178:36 *27419:S 0.000211517 -167 *1178:36 *5599:8 0.000191695 -168 *1178:36 *5599:279 0.000706025 -169 *1257:99 *5599:115 0.00051297 -170 *1264:50 *5599:158 6.79658e-05 -171 *1271:23 *27419:S 0.000178847 -172 *1281:30 *5599:91 1.86479e-05 -173 *1281:30 *5599:115 0.000233347 -174 *1282:68 *5599:247 0.000132862 -175 *1289:49 *5599:91 2.95642e-05 -176 *1289:55 *5599:72 0.00042994 -177 *1327:116 *27572:S 9.41642e-05 -178 *1327:116 *5599:158 0.000216755 -179 *1401:49 *27894:A2 6.8646e-06 -180 *1408:97 *5599:158 0.000478443 -181 *1430:165 *5599:125 2.06112e-05 -182 *1441:21 *5599:115 0.000169747 -183 *1455:11 *27919:A2 9.60939e-05 -184 *1481:18 *5599:125 0.000169768 -185 *1504:16 *27919:A2 4.98055e-06 -186 *1600:41 *5599:115 0.000282608 -187 *1653:35 *5599:91 6.54117e-05 -188 *1671:24 *5599:158 0.000158823 -189 *1715:18 *5599:158 0.00038599 -190 *1721:14 *27919:A2 0.000680203 -191 *1721:14 *5599:91 7.915e-05 -192 *1826:61 *27484:S 0.000988254 -193 *2751:14 *5599:39 3.33872e-05 -194 *2758:64 *27770:S 0.000245058 -195 *2776:48 *27377:S 4.05892e-05 -196 *2776:48 *5599:232 0.000419618 -197 *2777:58 *5599:247 2.70244e-05 -198 *2780:25 *27770:S 0.000354032 -199 *2782:71 *5599:247 0.000289146 -200 *2782:100 *5599:273 0.000489105 -201 *2782:106 *5599:295 0.000107613 -202 *2784:30 *27484:S 0.000424995 -203 *2839:19 *5599:72 0.000157805 -204 *2853:24 *5599:273 0.000346793 -205 *2872:163 *27770:S 0.000349902 -206 *2875:46 *5599:273 3.59681e-05 -207 *2879:27 *5599:273 8.25843e-06 -208 *2883:15 *5599:273 0.000135028 -209 *2886:164 *5599:247 0.0007324 -210 *2958:54 *5599:273 0.000108316 -211 *2958:56 *5599:247 8.8998e-05 -212 *2958:56 *5599:273 0.00147923 -213 *2978:36 *5599:72 4.15526e-05 -214 *3165:192 *5599:273 0.000139464 -215 *3170:152 *5599:273 3.00664e-05 -216 *3178:139 *5599:279 4.58194e-05 -217 *3178:139 *5599:295 2.05612e-05 -218 *3182:16 *5599:273 0.000116257 -219 *3246:17 *5599:295 9.60875e-05 -220 *3569:73 *5599:39 9.83539e-06 -221 *3569:73 *5599:72 0.000241407 -222 *3569:78 *5599:39 7.3456e-05 -223 *3571:89 *27869:A2 0.000108437 -224 *3638:60 *27484:S 0.000185939 -225 *3650:85 *27869:A2 7.95959e-05 -226 *3650:91 *27869:A2 0.000233062 -227 *3788:49 *5599:115 5.59559e-05 -228 *3792:10 *27550:S 0 -229 *3792:75 *5599:171 0.000285367 -230 *3796:37 *5599:8 4.87953e-05 -231 *3796:60 *5599:247 0.000110183 -232 *3820:69 *5599:232 4.37485e-06 -233 *3844:25 *27894:A2 2.12087e-05 -234 *3847:25 *27484:S 8.63892e-05 -235 *3847:25 *5599:72 0.000549088 -236 *3873:67 *5599:247 2.75143e-05 -237 *3996:19 *5599:115 0 -238 *4263:9 *27968:A2 3.01697e-05 -239 *4263:9 *5599:128 4.29062e-05 -240 *4380:8 *5599:125 0.000308357 -241 *4617:23 *5599:158 0.000320597 -242 *4796:30 *27484:S 3.48705e-05 -243 *4796:30 *5599:247 7.15308e-05 -244 *5052:19 *27919:A2 0.000123888 -245 *5149:22 *5599:158 1.2012e-05 -246 *5200:25 *5599:158 0.00010782 -247 *5279:29 *5599:125 0.000500524 -248 *5342:19 *27506:S 0.000233093 -249 *5354:17 *27919:A2 8.90371e-05 -250 *5421:10 *27968:A2 0.000129025 -251 *5421:10 *5599:128 3.17262e-05 -252 *5430:12 *27919:A2 0.000144008 -253 *5430:12 *5599:91 8.6051e-05 -254 *5430:12 *5599:115 0 -255 *5500:41 *5599:125 4.30584e-06 -256 *5501:23 *5599:125 9.67517e-05 -257 *5520:48 *27869:A2 7.6644e-05 -258 *5520:48 *5599:171 0.000232895 -259 *5523:41 *5599:158 9.50292e-05 -260 *5535:14 *5599:39 0.000142128 -261 *5535:14 *5599:72 0.000143307 -262 *5535:14 *5599:247 0.000854415 -263 *5581:55 *27894:A2 6.28742e-05 -264 *5581:55 *5599:125 4.29471e-05 -*RES -1 *28960:X *5599:8 17.7107 -2 *5599:8 *27398:S 13.8 -3 *5599:8 *27419:S 18.425 -4 *5599:8 *5599:29 8.66867 -5 *5599:29 *5599:39 30.2054 -6 *5599:39 *27844:S 11.0679 -7 *5599:39 *27484:S 30.8536 -8 *5599:29 *5599:72 29.8346 -9 *5599:72 *5599:91 37.4213 -10 *5599:91 *27919:A2 26.7375 -11 *5599:91 *5599:115 20.0044 -12 *5599:115 *5599:125 33.0804 -13 *5599:125 *5599:128 8.11607 -14 *5599:128 *27968:A2 24.2018 -15 *5599:128 *5599:158 33.1743 -16 *5599:158 *27572:S 10.2464 -17 *5599:158 *5599:171 13.4821 -18 *5599:171 *27869:A2 31.0321 -19 *5599:171 *5599:193 18.2143 -20 *5599:193 *27550:S 21.1571 -21 *5599:193 *27506:S 14.7643 -22 *5599:193 *27528:S 9.3 -23 *5599:125 *27943:S 9.3 -24 *5599:115 *27894:A2 16.5411 -25 *5599:72 *5599:232 12.4653 -26 *5599:232 *5599:247 26.8596 -27 *5599:247 *27355:S 13.8 -28 *5599:247 *5599:273 48.2093 -29 *5599:273 *5599:279 19.4821 -30 *5599:279 *27696:S 9.3 -31 *5599:279 *5599:295 26.6607 -32 *5599:295 *27721:S 9.3 -33 *5599:295 *5599:301 6.28571 -34 *5599:301 *27770:S 30.5143 -35 *5599:301 *27746:S 9.3 -36 *5599:273 *27276:A 10.2464 -37 *5599:232 *27377:S 12.05 -*END - -*D_NET *5600 0.143539 -*CONN -*I *25057:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27027:A I *D sky130_fd_sc_hd__nand2_8 -*I *25181:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *24982:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *25276:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25323:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25403:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *25110:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *30771:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *25057:B1 0.000329502 -2 *27027:A 0.00109812 -3 *25181:B1 0.000136642 -4 *24982:B1 5.43378e-05 -5 *25276:A2 2.98708e-05 -6 *25323:A2 0 -7 *25403:B1 3.7311e-05 -8 *25110:A2 0 -9 *30771:X 0.00103053 -10 *5600:206 0.00223514 -11 *5600:205 0.00191717 -12 *5600:195 0.00397248 -13 *5600:173 0.00402396 -14 *5600:154 0.00213219 -15 *5600:153 0.00207785 -16 *5600:151 0.00193395 -17 *5600:139 0.0020397 -18 *5600:132 0.00204666 -19 *5600:120 0.0018903 -20 *5600:114 0.00253875 -21 *5600:90 0.00200031 -22 *5600:86 0.0017112 -23 *5600:61 0.00146637 -24 *5600:57 0.00292398 -25 *5600:50 0.00320648 -26 *5600:49 0.00171156 -27 *5600:47 0.00181728 -28 *5600:37 0.003488 -29 *5600:19 0.00211117 -30 *5600:17 0.00176845 -31 *5600:14 0.00105292 -32 *25057:B1 *25057:A2 3.25552e-05 -33 *27027:A *27027:B 0.000166606 -34 *5600:14 *5621:34 1.44954e-05 -35 *5600:14 *5621:42 0.000287002 -36 *5600:14 *5873:175 2.12005e-05 -37 *5600:50 *24912:B 0.000291505 -38 *5600:50 *5815:35 0.000224231 -39 *5600:50 *5868:27 0.000326903 -40 *5600:57 *5605:138 0.0020655 -41 *5600:57 *5617:39 0.000317602 -42 *5600:61 *27032:A0 0.000267831 -43 *5600:61 *28670:RESET_B 0.000125724 -44 *5600:61 *5605:149 0.00106784 -45 *5600:61 *5641:123 0.000105258 -46 *5600:86 *25336:A1 5.05056e-05 -47 *5600:86 *5687:73 0.000255713 -48 *5600:114 *27048:A1 1.97865e-05 -49 *5600:114 *28620:D 0.000287235 -50 *5600:114 *28620:RESET_B 0.000241255 -51 *5600:114 *5630:26 0.000459717 -52 *5600:114 *5754:127 0.000136958 -53 *5600:120 *5643:40 0.0018651 -54 *5600:120 *5651:129 0.000801073 -55 *5600:120 *6351:32 0.000389957 -56 *5600:132 *5630:36 4.64974e-05 -57 *5600:132 *5667:40 4.83837e-05 -58 *5600:139 *6225:116 0.00236272 -59 *5600:151 *5603:43 5.00194e-05 -60 *5600:151 *5645:104 4.65519e-05 -61 *5600:151 *5708:164 0.000273174 -62 *5600:151 *5873:232 3.10461e-05 -63 *5600:151 *6225:124 0.000159968 -64 *5600:154 *27396:C1 0.000360512 -65 *5600:154 *5718:387 0.000279742 -66 *5600:195 *5632:71 9.80405e-05 -67 *5600:205 *5683:223 0.000175892 -68 *25002:B *5600:50 9.22222e-06 -69 *25057:A1 *25057:B1 0 -70 *25057:B2 *25057:B1 6.21619e-05 -71 *25057:C1 *25057:B1 1.64329e-05 -72 *25171:B *5600:37 4.04359e-05 -73 *25177:A2 *5600:47 6.46743e-05 -74 *25183:A1 *5600:114 0.000490453 -75 *25218:C1 *5600:47 0.00047687 -76 *25276:A1 *5600:90 1.58163e-05 -77 *25276:A1 *5600:114 0.000185724 -78 *25276:B2 *25276:A2 3.08451e-05 -79 *25277:C1 *5600:114 0.000301438 -80 *25283:B *5600:86 0.00150976 -81 *25284:B *5600:14 0.000522009 -82 *25323:A1 *5600:19 0.00083164 -83 *25323:A1 *5600:37 4.78624e-05 -84 *25323:A1 *5600:86 1.33343e-05 -85 *25323:B1 *5600:37 0.0012868 -86 *25324:C1 *5600:86 0.000129235 -87 *25327:C1 *5600:47 0.00195571 -88 *25336:A2 *5600:86 9.41642e-05 -89 *26876:S *5600:120 0.000342302 -90 *27336:A2 *5600:173 0.000113776 -91 *27360:B1 *5600:173 2.06725e-05 -92 *27361:B1 *5600:151 0.000219249 -93 *27361:B1 *5600:173 0.000686496 -94 *27371:C1 *5600:151 0.00129467 -95 *27371:C1 *5600:173 0.00011994 -96 *27438:A2 *5600:195 3.69047e-06 -97 *27635:A2 *5600:14 7.37323e-05 -98 *27635:B2 *5600:14 3.03567e-05 -99 *27635:C1 *5600:14 0.000381858 -100 *27636:D *5600:14 7.43578e-06 -101 *27718:B *5600:120 0.000259921 -102 *27718:D *5600:120 3.34366e-05 -103 *28190:D *27027:A 5.75194e-05 -104 *28478:CLK *5600:61 3.17067e-05 -105 *28606:D *5600:61 5.90416e-05 -106 *28694:CLK *5600:61 0.000320024 -107 *28923:A *25181:B1 3.92854e-05 -108 *28924:A *5600:151 0.000234117 -109 *28924:A *5600:173 0.000136863 -110 *29314:A *27027:A 0.000343528 -111 *29315:A *27027:A 0.000387796 -112 *29746:A *5600:114 9.41642e-05 -113 *29786:A *5600:195 1.58163e-05 -114 *30189:A *5600:61 0.000125724 -115 *30771:A *5600:14 3.22325e-05 -116 *1178:105 *5600:120 0.000142043 -117 *1225:33 *5600:132 0.000124109 -118 *1227:26 *5600:37 2.95864e-05 -119 *1235:163 *5600:61 4.70013e-05 -120 *1235:165 *5600:61 0 -121 *1238:6 *5600:50 0.000255431 -122 *1238:8 *5600:50 0.00019252 -123 *1238:13 *5600:50 0.000359643 -124 *1239:65 *5600:50 0.00140604 -125 *1239:90 *5600:50 0.000149171 -126 *1242:37 *5600:47 0.00122227 -127 *1242:148 *25057:B1 0.000387948 -128 *1242:148 *5600:206 0.00213587 -129 *1245:51 *5600:14 9.58126e-05 -130 *1246:36 *5600:86 0.000196352 -131 *1248:98 *5600:47 4.15471e-05 -132 *1257:14 *5600:47 5.41591e-05 -133 *1257:14 *5600:50 0.00215505 -134 *1257:46 *5600:37 2.04745e-05 -135 *1257:142 *5600:50 8.34693e-05 -136 *1257:142 *5600:57 8.42824e-05 -137 *1258:75 *5600:154 1.38323e-05 -138 *1258:79 *5600:154 0.00278196 -139 *1265:149 *5600:206 0.000110498 -140 *1266:194 *5600:206 0.000376846 -141 *1267:172 *5600:195 5.74499e-06 -142 *1267:172 *5600:205 0.000279606 -143 *1269:40 *5600:57 0.000138988 -144 *1269:231 *5600:139 6.05161e-06 -145 *1272:139 *5600:154 0.00285532 -146 *1272:143 *5600:154 0.00139764 -147 *1273:161 *5600:205 0.0014662 -148 *1276:107 *25181:B1 8.32577e-05 -149 *1276:120 *5600:195 0.000756006 -150 *1277:176 *5600:132 0.000263757 -151 *1282:12 *5600:14 3.35685e-06 -152 *1282:136 *5600:47 2.63501e-05 -153 *1290:14 *5600:90 0.00019421 -154 *1327:81 *5600:47 0.000841978 -155 *1327:83 *5600:47 3.94439e-05 -156 *1378:36 *5600:205 0.00125185 -157 *1411:62 *5600:37 6.09762e-05 -158 *1448:100 *5600:50 1.02504e-05 -159 *1448:100 *5600:57 0.000120697 -160 *1448:127 *5600:50 0 -161 *1456:18 *5600:57 0.000340759 -162 *1460:25 *5600:47 9.58126e-05 -163 *1469:33 *5600:61 0.00165934 -164 *1469:34 *5600:50 0.000892979 -165 *1469:34 *5600:57 0.00404646 -166 *1469:34 *5600:61 1.27625e-05 -167 *1476:143 *5600:37 0.000927032 -168 *1487:18 *5600:50 0.000100823 -169 *1597:11 *5600:86 0.000257543 -170 *1627:12 *5600:37 6.09762e-05 -171 *1665:20 *5600:50 6.60156e-05 -172 *1864:134 *5600:114 0.000125355 -173 *2769:30 *27027:A 0.000444638 -174 *2769:31 *27027:A 0.000400673 -175 *2770:98 *27027:A 0.00149573 -176 *2775:129 *5600:61 0.000771897 -177 *2778:83 *5600:14 0.00110281 -178 *2784:65 *5600:90 0.000917363 -179 *2792:96 *24982:B1 0.000148189 -180 *2844:285 *5600:173 0.000270328 -181 *2854:16 *5600:14 0.000165197 -182 *2866:88 *5600:47 9.54301e-05 -183 *2866:119 *5600:47 6.60268e-05 -184 *2867:120 *5600:47 6.57648e-05 -185 *2867:149 *5600:47 0.00018645 -186 *2867:149 *5600:50 0.00197518 -187 *2880:58 *5600:173 0.000233265 -188 *2882:46 *5600:14 1.21258e-05 -189 *2894:210 *5600:132 2.39779e-05 -190 *2894:212 *5600:132 0.000933972 -191 *2894:237 *5600:132 0.000584354 -192 *2894:303 *5600:151 6.39111e-05 -193 *2922:36 *5600:132 3.594e-05 -194 *2932:20 *5600:151 0.000107625 -195 *3022:11 *24982:B1 0.000146474 -196 *3176:49 *5600:86 0.00083397 -197 *3176:257 *5600:195 0.000682078 -198 *3183:46 *5600:14 4.36581e-05 -199 *3183:60 *5600:14 0.000135743 -200 *3184:71 *5600:86 0.000309063 -201 *3215:57 *5600:47 7.61081e-05 -202 *3215:248 *5600:61 9.90115e-06 -203 *3220:44 *5600:37 9.82947e-05 -204 *3220:51 *5600:19 6.57032e-05 -205 *3220:51 *5600:37 2.13481e-06 -206 *3220:51 *5600:86 0.000130619 -207 *3250:17 *5600:132 6.14836e-06 -208 *3250:26 *5600:139 0.000666649 -209 *3250:39 *5600:151 5.00087e-06 -210 *3250:39 *5600:173 0.000166032 -211 *3339:22 *5600:205 0.000284069 -212 *3389:20 *5600:47 0.000249761 -213 *3389:20 *5600:50 0.000111243 -214 *3389:20 *5600:57 0.000111243 -215 *3389:20 *5600:61 0.000505568 -216 *3538:16 *5600:195 4.80563e-05 -217 *3547:12 *5600:14 0.000165197 -218 *3576:31 *5600:61 0.000754378 -219 *3576:39 *5600:57 1.27529e-05 -220 *3576:39 *5600:61 2.04825e-05 -221 *3580:11 *5600:173 2.59355e-05 -222 *3580:12 *5600:173 0.00117551 -223 *3593:6 *5600:61 0.000225307 -224 *3593:51 *5600:61 0.000800662 -225 *3667:41 *5600:86 0.00034022 -226 *3673:23 *5600:86 8.94773e-05 -227 *3739:92 *5600:47 9.41642e-05 -228 *3743:42 *5600:173 0.000623111 -229 *3783:121 *5600:86 0.000195081 -230 *3790:81 *5600:61 3.65015e-05 -231 *3795:25 *5600:114 0.000156721 -232 *3798:40 *5600:195 0.000195814 -233 *3835:45 *5600:154 0.000472496 -234 *3839:30 *25057:B1 0.000329308 -235 *3839:30 *5600:206 0.00171829 -236 *3841:67 *5600:14 6.57032e-05 -237 *3845:59 *5600:47 0.0012234 -238 *3875:73 *5600:37 0 -239 *3886:51 *5600:114 0.000455879 -240 *3886:51 *5600:139 0.000279669 -241 *3886:55 *5600:139 1.17921e-05 -242 *3934:62 *5600:37 9.08883e-05 -243 *3963:125 *5600:37 0.00109823 -244 *3976:73 *5600:173 0.000257619 -245 *4015:31 *5600:90 3.38087e-05 -246 *4068:16 *25181:B1 7.6644e-05 -247 *4068:31 *5600:139 0.00271696 -248 *4069:74 *5600:173 6.43196e-05 -249 *4069:132 *5600:50 0.000125466 -250 *4088:56 *5600:47 0.000240717 -251 *4107:40 *5600:173 0.000149428 -252 *4134:78 *5600:205 0.00034188 -253 *4137:20 *5600:205 0.000111581 -254 *4141:34 *5600:50 0.000296952 -255 *4146:54 *5600:132 0.0017966 -256 *4186:20 *5600:37 9.09539e-05 -257 *5084:20 *27027:A 9.46843e-05 -258 *5448:40 *5600:90 0.000648255 -259 *5517:30 *5600:86 0.000108576 -*RES -1 *30771:X *5600:14 49.0321 -2 *5600:14 *5600:17 4.92857 -3 *5600:17 *5600:19 8.33929 -4 *5600:19 *5600:37 49.4305 -5 *5600:37 *5600:47 46.5171 -6 *5600:47 *5600:49 4.5 -7 *5600:49 *5600:50 60.5357 -8 *5600:50 *25110:A2 13.8 -9 *5600:50 *5600:57 53.0982 -10 *5600:57 *5600:61 49.6875 -11 *5600:61 *25403:B1 14.3357 -12 *5600:19 *25323:A2 9.3 -13 *5600:17 *5600:86 47.75 -14 *5600:86 *5600:90 17.3036 -15 *5600:90 *25276:A2 10.0321 -16 *5600:90 *5600:114 44.3571 -17 *5600:114 *5600:120 36.8214 -18 *5600:120 *5600:132 34.9336 -19 *5600:132 *5600:139 49.6607 -20 *5600:139 *5600:151 19.6695 -21 *5600:151 *5600:153 4.5 -22 *5600:153 *5600:154 57.625 -23 *5600:154 *24982:B1 20.2464 -24 *5600:151 *5600:173 43.8214 -25 *5600:173 *25181:B1 20.7107 -26 *5600:173 *5600:195 49.2143 -27 *5600:195 *5600:205 43.375 -28 *5600:205 *5600:206 28.0536 -29 *5600:206 *27027:A 40.2286 -30 *5600:206 *25057:B1 21.5321 -*END - -*D_NET *5601 0.113846 -*CONN -*I *25145:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *24970:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25028:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *26955:A I *D sky130_fd_sc_hd__nand2_8 -*I *25066:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25188:A2 I *D sky130_fd_sc_hd__a211o_1 -*I *25333:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *30772:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *25145:A2 0.000536483 -2 *24970:B1 0.00113647 -3 *25028:B1 5.78823e-05 -4 *26955:A 0.000710086 -5 *25066:A2 0 -6 *25188:A2 0.000142922 -7 *25333:A2 0.000133501 -8 *30772:X 7.12131e-05 -9 *5601:175 0.00202152 -10 *5601:166 0.00255502 -11 *5601:162 0.00273462 -12 *5601:128 0.00320165 -13 *5601:119 0.00779111 -14 *5601:97 0.00353328 -15 *5601:83 0.00567764 -16 *5601:75 0.00421769 -17 *5601:67 0.00202439 -18 *5601:40 0.0017052 -19 *5601:37 0.00216877 -20 *5601:23 0.00540284 -21 *5601:16 0.000863005 -22 *5601:11 0.00283742 -23 *5601:10 0.00287862 -24 *5601:7 0.00188222 -25 *24970:B1 *5642:82 2.11419e-05 -26 *24970:B1 *5656:240 4.00658e-06 -27 *24970:B1 *5872:25 0.000316716 -28 *25145:A2 *5646:213 0.00011625 -29 *25145:A2 *5650:285 3.10885e-05 -30 *25333:A2 *5714:47 0.000364241 -31 *26955:A *5911:115 5.33005e-05 -32 *5601:7 *5667:25 1.90303e-05 -33 *5601:10 *5612:42 0.000351687 -34 *5601:11 *5641:55 0.00099879 -35 *5601:23 *5714:47 0.00178879 -36 *5601:37 *5658:44 0.000811976 -37 *5601:37 *5660:134 0.000118715 -38 *5601:37 *5926:72 0.000135968 -39 *5601:40 *5658:69 0.000101002 -40 *5601:40 *5658:80 2.61337e-05 -41 *5601:40 *5829:85 0.00253544 -42 *5601:67 *5644:68 1.46576e-05 -43 *5601:67 *5651:284 0.000300412 -44 *5601:67 *5814:12 0.00014101 -45 *5601:75 *5627:197 0.000301016 -46 *5601:75 *5644:68 7.95065e-05 -47 *5601:75 *5647:59 0.000268244 -48 *5601:83 *27834:A2 2.85192e-05 -49 *5601:83 *27834:B1 2.42516e-05 -50 *5601:83 *5602:100 0.000102503 -51 *5601:83 *5647:59 0.000108497 -52 *5601:83 *5767:55 0.000260574 -53 *5601:97 *5602:100 0 -54 *5601:119 *5604:91 0.000557451 -55 *5601:119 *5675:34 2.10787e-05 -56 *5601:119 *5714:47 0.000625803 -57 *5601:119 *5872:19 1.19751e-05 -58 *5601:128 *28512:RESET_B 0.000464977 -59 *5601:128 *5602:53 0.000273372 -60 *5601:128 *5642:80 0.000273018 -61 *5601:128 *5662:43 0.000306334 -62 *5601:128 *5678:81 0.00038043 -63 *5601:128 *5847:74 2.72709e-05 -64 *5601:162 *5612:38 9.12274e-05 -65 *5601:162 *5612:42 0.000265122 -66 *5601:162 *5890:68 4.11218e-05 -67 *5601:162 *5892:119 1.74899e-05 -68 *5601:166 *5634:150 0.000121573 -69 *5601:175 *5644:237 0.000114602 -70 *5601:175 *5649:151 0.000116971 -71 *5601:175 *5836:284 0.00091465 -72 *24944:A *5601:162 0.000577367 -73 *24970:A2 *24970:B1 0.000127359 -74 *24988:A1 *5601:119 0.000544526 -75 *24988:A2 *5601:23 6.86693e-05 -76 *24988:A2 *5601:119 0.000317814 -77 *24988:B2 *5601:119 1.38106e-05 -78 *24990:B1 *5601:128 0.000121805 -79 *24995:A *5601:128 0.000183599 -80 *25032:A *5601:128 0.000115139 -81 *25066:B1 *5601:67 1.46576e-05 -82 *25066:B1 *5601:75 0.00021749 -83 *25146:A2 *25145:A2 3.5925e-05 -84 *25146:B1 *25145:A2 4.75671e-06 -85 *25146:B1 *5601:175 2.01997e-05 -86 *25146:B2 *5601:175 8.7425e-05 -87 *25148:D *25145:A2 4.22135e-05 -88 *25188:C1 *25188:A2 0.000180496 -89 *25190:C1 *5601:175 0 -90 *25220:B1 *5601:11 0.00120002 -91 *25247:B2 *5601:162 7.6644e-05 -92 *25248:B2 *5601:166 9.11365e-06 -93 *25251:B *5601:166 0.00149347 -94 *25251:D *5601:166 0.000314509 -95 *25251:D *5601:175 0.00199643 -96 *25333:A1 *25333:A2 7.53862e-05 -97 *26972:A1 *5601:97 2.04825e-05 -98 *27364:A *5601:11 0.000709049 -99 *27400:A2 *25145:A2 0.000136388 -100 *27412:A1 *5601:175 3.03819e-05 -101 *27412:B1 *5601:175 0.000896973 -102 *27475:B2 *5601:40 0.000317594 -103 *27752:A1 *5601:175 1.94945e-05 -104 *27812:A1 *25028:B1 1.58163e-05 -105 *27834:B2 *5601:83 0.000231726 -106 *28710:CLK *25145:A2 4.04292e-05 -107 *30038:A *5601:23 9.60875e-05 -108 *30056:A *5601:97 5.41794e-05 -109 *30063:A *5601:83 0.000150625 -110 *30203:A *5601:175 0.000227859 -111 *1185:144 *5601:40 0.000114723 -112 *1228:30 *5601:11 0.00111829 -113 *1242:134 *5601:75 0.000111727 -114 *1243:34 *5601:162 6.90381e-06 -115 *1249:17 *5601:162 5.79047e-06 -116 *1249:67 *5601:162 0.000650278 -117 *1261:101 *24970:B1 2.97812e-05 -118 *1266:22 *5601:162 6.27344e-05 -119 *1268:37 *5601:162 1.37861e-05 -120 *1268:74 *5601:37 9.08889e-06 -121 *1274:126 *25188:A2 6.96405e-05 -122 *1274:126 *5601:67 0.000104772 -123 *1287:149 *5601:175 2.06178e-05 -124 *1288:229 *5601:11 0.00201319 -125 *1292:24 *5601:162 9.24404e-05 -126 *1292:222 *5601:119 0.0001016 -127 *1293:42 *5601:162 0.000483111 -128 *1293:42 *5601:166 0.000117055 -129 *1367:43 *5601:128 0.00214021 -130 *1396:120 *5601:162 0.000137671 -131 *1410:89 *5601:162 1.25366e-05 -132 *1467:27 *25145:A2 4.94502e-05 -133 *1467:27 *5601:175 4.50265e-05 -134 *1484:13 *5601:166 1.31942e-05 -135 *1484:23 *5601:162 0.000358453 -136 *1484:23 *5601:166 0.000106898 -137 *1509:16 *5601:175 0.000162911 -138 *1566:20 *5601:162 5.65205e-05 -139 *1566:20 *5601:166 0.00183814 -140 *1573:22 *5601:166 2.06112e-05 -141 *1826:117 *5601:37 0.0016375 -142 *1826:117 *5601:40 0.000317948 -143 *1834:49 *5601:175 2.01997e-05 -144 *2761:213 *5601:97 0.000220214 -145 *2767:20 *5601:83 0.000450682 -146 *2767:66 *5601:75 0.000608498 -147 *2770:18 *26955:A 0.00207845 -148 *2772:196 *5601:128 0.000584399 -149 *2775:44 *5601:97 0.000290899 -150 *2775:50 *5601:128 0.0024536 -151 *2780:223 *25145:A2 0.000151207 -152 *2786:87 *5601:67 0.00014101 -153 *2787:128 *5601:40 2.96123e-05 -154 *2844:265 *5601:40 2.81098e-05 -155 *2844:275 *5601:40 0.000904514 -156 *2877:299 *5601:166 0.000170067 -157 *2877:299 *5601:175 0.000239917 -158 *2880:11 *5601:40 4.11173e-05 -159 *2880:93 *5601:40 0.000715418 -160 *2886:191 *25333:A2 8.62097e-05 -161 *2886:191 *5601:23 0.000500362 -162 *2893:43 *5601:10 0.000353402 -163 *2893:43 *5601:162 0.000379302 -164 *2998:8 *5601:67 0.000240491 -165 *2998:16 *5601:37 0.000354771 -166 *3040:19 *5601:37 0 -167 *3215:242 *5601:175 9.23689e-05 -168 *3305:8 *5601:166 0 -169 *3618:74 *5601:97 2.16719e-05 -170 *3695:26 *5601:128 5.67108e-05 -171 *3708:37 *5601:128 0.00115501 -172 *3709:9 *5601:83 0.000634922 -173 *3709:11 *5601:83 0.000461937 -174 *3718:92 *5601:40 2.97074e-05 -175 *3718:107 *5601:40 4.02462e-05 -176 *3734:56 *25028:B1 3.57366e-05 -177 *3758:43 *5601:162 0 -178 *3760:12 *5601:119 6.92148e-06 -179 *3786:35 *5601:97 0.000113064 -180 *3786:57 *5601:97 0.000110716 -181 *3823:17 *5601:166 0.000389305 -182 *3823:17 *5601:175 6.7058e-05 -183 *3823:40 *5601:175 0.000193715 -184 *3862:42 *5601:166 0.000130714 -185 *3952:51 *5601:16 0.000390568 -186 *3953:28 *25145:A2 0 -187 *3991:34 *5601:37 0.000233267 -188 *4030:48 *5601:16 0.000390568 -189 *4076:52 *25145:A2 7.05143e-06 -190 *4076:52 *5601:175 0.000871443 -191 *4076:59 *5601:175 9.12863e-05 -192 *4076:72 *5601:162 0.000380877 -193 *4099:8 *5601:83 0.000311028 -194 *4124:58 *5601:97 0.0002029 -195 *4133:77 *5601:162 2.35126e-05 -196 *4150:22 *5601:128 8.89574e-05 -197 *4150:65 *25028:B1 3.25477e-05 -198 *4151:32 *5601:83 0.000129542 -199 *4688:15 *5601:97 1.8995e-06 -200 *5386:12 *24970:B1 0 -201 *5386:12 *5601:128 0 -202 *5432:36 *26955:A 1.58163e-05 -203 *5485:145 *5601:37 0.000121503 -204 *5485:147 *5601:67 0.000238769 -205 *5528:53 *5601:97 0.000708772 -206 *5528:55 *26955:A 0.00208692 -207 *5528:55 *5601:97 0.000142026 -208 *5587:31 *5601:162 0.00165439 -*RES -1 *30772:X *5601:7 14.7464 -2 *5601:7 *5601:10 9.17857 -3 *5601:10 *5601:11 58.4464 -4 *5601:11 *5601:16 13.9821 -5 *5601:16 *25333:A2 12.9161 -6 *5601:16 *5601:23 17.7857 -7 *5601:23 *5601:37 24.9873 -8 *5601:37 *5601:40 37.5625 -9 *5601:40 *25188:A2 12.6393 -10 *5601:40 *5601:67 30.0045 -11 *5601:67 *25066:A2 9.3 -12 *5601:67 *5601:75 25.067 -13 *5601:75 *5601:83 46.3571 -14 *5601:83 *5601:97 31.4817 -15 *5601:97 *26955:A 41.3268 -16 *5601:23 *5601:119 30.5893 -17 *5601:119 *5601:128 49.5214 -18 *5601:128 *25028:B1 10.5679 -19 *5601:119 *24970:B1 22.8755 -20 *5601:7 *5601:162 43.9569 -21 *5601:162 *5601:166 34.25 -22 *5601:166 *5601:175 49.9586 -23 *5601:175 *25145:A2 25.2323 -*END - -*D_NET *5602 0.137051 -*CONN -*I *24978:B1 I *D sky130_fd_sc_hd__a221o_4 -*I *25011:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *30346:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25045:B1 I *D sky130_fd_sc_hd__a221o_2 -*I *30773:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *24978:B1 0 -2 *25011:B1 0 -3 *30346:A 0.000483234 -4 *25045:B1 0.000472336 -5 *30773:X 0.000882575 -6 *5602:100 0.0162767 -7 *5602:80 0.00233461 -8 *5602:76 0.00315796 -9 *5602:61 0.00137473 -10 *5602:53 0.0238053 -11 *5602:52 0.00928341 -12 *5602:41 0.00246031 -13 *5602:23 0.00110971 -14 *5602:21 0.00600873 -15 *5602:13 0.00689131 -16 *25045:B1 *5713:208 0.000103974 -17 *30346:A *5632:44 0.000514191 -18 *30346:A *5640:27 4.40898e-05 -19 *5602:13 *5970:53 0.000323805 -20 *5602:21 *27439:A2 0.00225764 -21 *5602:21 *5675:187 0 -22 *5602:21 *5680:257 4.40366e-05 -23 *5602:21 *5970:43 0 -24 *5602:21 *6319:25 0.000158341 -25 *5602:41 *28726:RESET_B 0.000100831 -26 *5602:41 *5694:189 0.000105689 -27 *5602:52 *25632:A0 9.60808e-05 -28 *5602:52 *5662:33 1.81805e-05 -29 *5602:52 *5678:158 0.00182455 -30 *5602:52 *5873:91 0.000121787 -31 *5602:53 *5606:78 0.000156624 -32 *5602:53 *5608:78 0.000119382 -33 *5602:53 *5628:45 0.000168959 -34 *5602:53 *5662:33 0 -35 *5602:53 *5678:81 0.000316828 -36 *5602:53 *5678:85 0.000235402 -37 *5602:53 *5755:92 0.000128284 -38 *5602:53 *5829:127 0.00102676 -39 *5602:76 *28489:RESET_B 5.66258e-05 -40 *5602:80 *5604:63 7.95295e-05 -41 *5602:80 *5604:72 0.000129913 -42 *5602:100 *27026:A1 0.000192912 -43 *5602:100 *5800:119 0.000117161 -44 *5602:100 *5824:306 0.000114258 -45 *5602:100 *5910:88 0.000218141 -46 *5602:100 *5911:64 0.000101444 -47 *24980:A1 *5602:52 0 -48 *24981:B2 *5602:53 0.000221764 -49 *24984:A2 *5602:41 2.06112e-05 -50 *24993:A1 *5602:100 0.000128055 -51 *24994:A1 *5602:100 0.000243593 -52 *25011:A1 *5602:76 4.81734e-05 -53 *25025:B2 *5602:80 5.33005e-05 -54 *25026:C1 *5602:80 0.00117795 -55 *25032:A *5602:53 0.000246561 -56 *25045:A1 *25045:B1 0.000657361 -57 *25219:B1 *5602:21 0.000217042 -58 *25219:C1 *5602:21 1.02936e-05 -59 *25319:B2 *5602:100 0.000108996 -60 *26838:A *5602:21 4.29267e-05 -61 *26919:A *5602:100 0.000135968 -62 *27034:S *5602:53 0.000126964 -63 *27045:A *5602:21 0.00122599 -64 *27165:S *5602:41 0.000228438 -65 *27255:A2 *5602:21 0.000234573 -66 *27267:A *5602:21 0.00114608 -67 *27458:A1 *5602:76 0.000869784 -68 *27458:A1 *5602:80 1.62475e-05 -69 *27458:A2 *5602:76 0.000112404 -70 *27458:B1 *5602:80 8.5427e-05 -71 *27458:B2 *5602:80 1.38323e-05 -72 *27832:A1 *5602:100 2.03519e-05 -73 *28489:CLK *5602:76 0.000515011 -74 *28489:D *5602:76 6.94952e-05 -75 *28725:CLK *5602:52 0.000126416 -76 *28725:D *5602:52 1.4621e-05 -77 *28765:D *5602:52 1.16839e-05 -78 *29817:A *5602:13 4.15914e-05 -79 *30178:A *5602:100 0.000145196 -80 *30308:A *5602:52 0.000571251 -81 *30465:A *5602:52 0.000128146 -82 *244:65 *5602:53 0.00032617 -83 *527:16 *5602:53 0.000197779 -84 *1261:101 *5602:100 0.000133441 -85 *1268:123 *5602:100 0.000322148 -86 *1271:16 *5602:13 0.000245443 -87 *1271:49 *5602:21 0 -88 *1274:170 *5602:100 0.000343851 -89 *1274:172 *5602:53 0.000166024 -90 *1274:172 *5602:100 0.000281402 -91 *1275:139 *5602:100 0.00013415 -92 *1275:164 *5602:100 0.000411001 -93 *1293:220 *5602:80 0.00176707 -94 *1294:193 *5602:53 0 -95 *1303:10 *5602:100 3.54569e-05 -96 *1310:11 *5602:41 0.000128161 -97 *1317:29 *5602:41 3.18205e-05 -98 *1339:26 *5602:53 0.000313422 -99 *1350:25 *5602:76 6.33897e-05 -100 *1366:13 *25045:B1 3.99614e-06 -101 *1367:18 *5602:52 4.26781e-05 -102 *1490:146 *5602:100 0.000216481 -103 *1490:164 *5602:100 0.000248256 -104 *1490:166 *5602:100 0.000104417 -105 *1500:11 *5602:13 2.67426e-05 -106 *1500:11 *5602:21 1.82657e-05 -107 *1624:26 *5602:21 0.000124429 -108 *1853:77 *5602:100 1.79574e-05 -109 *2763:29 *5602:100 0.000125685 -110 *2763:42 *5602:100 0.000108889 -111 *2763:44 *5602:100 0.000426599 -112 *2763:58 *5602:100 0.000600642 -113 *2764:108 *5602:100 0.000124022 -114 *2764:120 *5602:100 0.000590062 -115 *2767:18 *5602:100 0.000116155 -116 *2767:20 *5602:100 0.000126071 -117 *2767:34 *5602:100 0.000142052 -118 *2767:118 *5602:100 0.00081035 -119 *2772:196 *5602:53 0.000222905 -120 *2773:45 *5602:100 0.000251909 -121 *2775:50 *5602:53 0.000615659 -122 *2775:50 *5602:100 0.000296611 -123 *2776:117 *5602:53 0.000357544 -124 *2776:128 *5602:53 0.000342576 -125 *2776:139 *5602:53 0.000173734 -126 *2776:139 *5602:61 1.90936e-05 -127 *2776:139 *5602:100 0.000274172 -128 *2776:144 *5602:100 0.000370839 -129 *2776:153 *5602:100 0.00104849 -130 *2777:11 *5602:21 7.32272e-05 -131 *2777:49 *5602:21 0.000275169 -132 *2778:35 *5602:21 0.000661689 -133 *2778:55 *5602:21 0.00139344 -134 *2787:17 *5602:21 6.43714e-06 -135 *2790:136 *5602:41 0.0001932 -136 *2817:11 *5602:21 0.00163279 -137 *2866:59 *5602:80 0.000808082 -138 *2883:187 *5602:80 2.89114e-05 -139 *3630:25 *5602:53 0.000136895 -140 *3630:25 *5602:100 0.00022414 -141 *3633:62 *5602:53 0.000101738 -142 *3639:83 *5602:13 0.000123594 -143 *3682:21 *5602:61 2.09897e-05 -144 *3682:21 *5602:100 0.000383214 -145 *3708:29 *5602:100 0.000173545 -146 *3708:32 *5602:100 0.00017465 -147 *3708:37 *5602:53 0.000271138 -148 *3718:157 *5602:100 0.000135968 -149 *3734:16 *5602:53 0.000144747 -150 *3786:60 *5602:100 0.000316032 -151 *3786:68 *5602:100 0.000342576 -152 *3812:14 *5602:100 0.000123067 -153 *3812:24 *5602:53 0.000126542 -154 *3812:24 *5602:100 0.000124022 -155 *3820:69 *5602:21 5.30087e-05 -156 *3864:23 *5602:53 0.000350028 -157 *3864:39 *5602:53 0.000192889 -158 *3865:33 *5602:52 0.000489609 -159 *3889:79 *25045:B1 3.67885e-05 -160 *3890:24 *5602:52 0.000138671 -161 *3890:24 *5602:53 0 -162 *3890:68 *5602:76 0.000502801 -163 *3916:23 *5602:80 8.67275e-05 -164 *3939:51 *30346:A 1.08359e-05 -165 *3952:50 *30346:A 0.000339346 -166 *3956:10 *5602:76 0.000153113 -167 *3964:9 *30346:A 0.000283122 -168 *3965:79 *30346:A 0.000175892 -169 *3965:82 *30346:A 0.000856893 -170 *3993:32 *25045:B1 0.000212701 -171 *3993:32 *5602:41 0.000386494 -172 *3995:14 *5602:41 0.000211279 -173 *3995:26 *5602:41 8.68127e-05 -174 *3995:26 *5602:52 8.41284e-06 -175 *3995:32 *5602:52 0.00021081 -176 *4007:6 *5602:52 0.000650968 -177 *4124:58 *5602:100 0 -178 *4124:85 *5602:100 0.000202161 -179 *4124:93 *5602:100 0.000505282 -180 *4124:116 *5602:53 0.000204014 -181 *4150:22 *5602:53 0 -182 *4150:22 *5602:100 0 -183 *4150:65 *5602:53 0.000540489 -184 *4352:19 *5602:21 0.000923898 -185 *4685:19 *5602:21 0 -186 *4796:30 *5602:21 0.00063649 -187 *5386:12 *5602:53 0.00313944 -188 *5386:12 *5602:100 0.00970522 -189 *5459:12 *25045:B1 0.000288358 -190 *5459:12 *5602:41 0.000269961 -191 *5459:12 *5602:52 0.00125613 -192 *5505:42 *5602:13 1.30764e-05 -193 *5536:11 *5602:21 0 -194 *5589:135 *5602:100 0.000276758 -195 *5601:83 *5602:100 0.000102503 -196 *5601:97 *5602:100 0 -197 *5601:128 *5602:53 0.000273372 -*RES -1 *30773:X *5602:13 32.5321 -2 *5602:13 *5602:21 46.649 -3 *5602:21 *5602:23 3.41 -4 *5602:23 *25045:B1 25.7018 -5 *5602:23 *5602:41 17.5179 -6 *5602:41 *5602:52 48.5944 -7 *5602:52 *5602:53 17.0923 -8 *5602:53 *5602:61 11.6798 -9 *5602:61 *5602:76 27.1384 -10 *5602:76 *5602:80 42.0223 -11 *5602:80 *30346:A 28.8714 -12 *5602:61 *25011:B1 9.3 -13 *5602:53 *5602:100 49.5351 -14 *5602:100 *24978:B1 13.8 -*END - -*D_NET *5603 0.0394018 -*CONN -*I *25253:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *6467:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *30691:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *25253:A1 4.89934e-05 -2 *6467:DIODE 8.81689e-05 -3 *30691:X 0.00209524 -4 *5603:54 0.000137162 -5 *5603:52 0.00156309 -6 *5603:43 0.00292163 -7 *5603:28 0.00498486 -8 *5603:24 0.00486809 -9 *5603:17 0.00333701 -10 *5603:17 *5639:147 3.33788e-05 -11 *5603:17 *5642:95 3.03007e-05 -12 *5603:17 *5662:52 0.000137561 -13 *5603:17 *5863:163 6.99087e-05 -14 *5603:24 *5680:209 0.00179881 -15 *5603:28 *28471:RESET_B 4.50675e-05 -16 *5603:28 *5651:284 0.000266102 -17 *5603:28 *6351:26 6.35864e-05 -18 *5603:43 *5645:104 0.000321871 -19 *5603:43 *5657:241 0.000118368 -20 *5603:43 *5658:85 0.000686625 -21 *5603:43 *5663:125 9.03127e-05 -22 *5603:43 *5781:42 0 -23 *5603:52 *5657:241 5.49489e-05 -24 *25005:A1 *5603:28 3.37161e-05 -25 *25005:B1 *5603:28 0.00054662 -26 *25253:B1 *6467:DIODE 0.000115315 -27 *25253:B1 *25253:A1 6.05161e-06 -28 *25253:B2 *6467:DIODE 2.84269e-05 -29 *25253:B2 *5603:52 0.0014666 -30 *26891:A1 *5603:17 2.77258e-05 -31 *27064:A0 *5603:52 0.000344406 -32 *27064:A1 *5603:52 0.000495678 -33 *27064:S *5603:52 5.33005e-05 -34 *27353:A2 *5603:28 0.000301016 -35 *27353:C1 *5603:28 0.00145363 -36 *27469:B2 *5603:17 0 -37 *27469:B2 *5603:24 0 -38 *1261:41 *5603:43 0.000109651 -39 *1266:132 *5603:24 0.000289189 -40 *1269:186 *5603:52 0.000399318 -41 *1371:40 *5603:17 0.00145057 -42 *1406:38 *5603:52 0.000940896 -43 *2761:134 *6467:DIODE 0.000260152 -44 *2761:134 *25253:A1 4.08637e-05 -45 *2769:79 *5603:17 9.55638e-05 -46 *2774:140 *5603:43 0.00118588 -47 *2774:140 *5603:52 8.43535e-06 -48 *2872:224 *5603:24 4.18413e-05 -49 *2891:326 *5603:52 0.00137744 -50 *2892:177 *5603:28 0.000221292 -51 *2892:186 *5603:28 6.20412e-05 -52 *2893:26 *5603:52 6.05538e-05 -53 *2905:14 *5603:28 2.86824e-05 -54 *2917:16 *5603:43 9.60875e-05 -55 *2958:34 *5603:43 8.84829e-05 -56 *3165:55 *5603:17 7.865e-05 -57 *3165:55 *5603:24 9.68023e-05 -58 *3174:225 *5603:24 6.09762e-05 -59 *3179:82 *5603:17 0 -60 *3206:229 *5603:24 2.20722e-05 -61 *3718:107 *5603:28 9.60939e-05 -62 *3722:23 *5603:17 0.00083494 -63 *3760:30 *5603:28 4.58194e-05 -64 *3800:58 *5603:24 4.88232e-05 -65 *3813:11 *5603:17 4.13595e-05 -66 *3813:19 *5603:17 0.000403136 -67 *3916:20 *5603:24 6.28203e-05 -68 *4069:117 *5603:52 0.00034381 -69 *4125:42 *5603:17 2.68343e-05 -70 *4146:79 *5603:43 0.000948816 -71 *4147:106 *5603:17 0.000137983 -72 *4350:66 *5603:52 3.5298e-05 -73 *5582:31 *5603:43 0.000677052 -74 *5600:151 *5603:43 5.00194e-05 -*RES -1 *30691:X *5603:17 47.1596 -2 *5603:17 *5603:24 12.2641 -3 *5603:24 *5603:28 48.2232 -4 *5603:28 *5603:43 37.5481 -5 *5603:43 *5603:52 46.6071 -6 *5603:52 *5603:54 4.5 -7 *5603:54 *6467:DIODE 11.8893 -8 *5603:54 *25253:A1 10.2643 -*END - -*D_NET *5604 0.0804229 -*CONN -*I *25056:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27117:A I *D sky130_fd_sc_hd__nand2_8 -*I *24992:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25025:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25258:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *30774:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *25056:A2 0.000126176 -2 *27117:A 0.000588406 -3 *24992:A2 0 -4 *25025:A2 0 -5 *25258:A2 0.000306523 -6 *30774:X 8.43124e-05 -7 *5604:121 0.00199544 -8 *5604:120 0.00165113 -9 *5604:117 0.00155118 -10 *5604:109 0.00202959 -11 *5604:91 0.00316148 -12 *5604:72 0.00463134 -13 *5604:63 0.00362562 -14 *5604:57 0.00684518 -15 *5604:34 0.00262628 -16 *5604:20 0.00352092 -17 *5604:9 0.0051262 -18 *27117:A *5879:98 2.59355e-05 -19 *5604:9 *5626:49 4.37712e-06 -20 *5604:20 *25394:B2 0 -21 *5604:20 *5626:49 0 -22 *5604:20 *5817:16 1.08524e-05 -23 *5604:20 *5869:74 0.000605622 -24 *5604:20 *6258:12 0.00022672 -25 *5604:34 *25209:B2 9.46146e-05 -26 *5604:34 *25273:A2 1.38323e-05 -27 *5604:34 *5687:73 0.000141619 -28 *5604:57 *27066:A0 0.000274687 -29 *5604:57 *27066:A1 0.000470858 -30 *5604:57 *28636:D 0.000345257 -31 *5604:57 *5626:49 3.2209e-06 -32 *5604:63 *5658:31 0.000224308 -33 *5604:63 *5679:8 0.000136676 -34 *5604:63 *5680:232 6.86693e-05 -35 *5604:63 *5814:21 0.000379664 -36 *5604:72 *5872:10 9.80405e-05 -37 *5604:91 *5642:82 1.98071e-05 -38 *5604:91 *5643:316 0.000435223 -39 *5604:91 *5662:48 1.8763e-05 -40 *5604:91 *5663:35 0 -41 *5604:91 *5863:163 0.000907484 -42 *5604:109 *5633:60 0.00060001 -43 *5604:109 *5829:113 7.83587e-05 -44 *5604:117 *5631:36 0.0016013 -45 *5604:117 *5631:47 6.05538e-06 -46 *5604:120 *26880:A1 0.000633227 -47 *5604:121 *27438:C1 9.14032e-05 -48 *5604:121 *5635:120 0.00206611 -49 *5604:121 *5718:390 9.02106e-05 -50 *6591:DIODE *5604:57 4.7339e-05 -51 *24992:B1 *5604:91 5.7661e-06 -52 *24992:C1 *5604:91 0.00026642 -53 *25025:B1 *5604:63 5.56205e-05 -54 *25025:B2 *5604:63 3.70919e-05 -55 *25056:A1 *25056:A2 1.04232e-05 -56 *25056:B1 *25056:A2 2.40379e-05 -57 *25066:B1 *5604:121 0.000375993 -58 *25225:B *5604:20 7.48409e-06 -59 *25258:A1 *25258:A2 2.60464e-05 -60 *25258:B2 *25258:A2 0.000714953 -61 *25273:A1 *5604:34 5.33005e-05 -62 *25273:B1 *5604:34 0.000216755 -63 *27297:B2 *5604:57 5.32956e-05 -64 *27422:B2 *27117:A 3.90197e-05 -65 *27423:B1 *5604:121 0.00102122 -66 *27478:C1 *5604:91 0 -67 *27831:D1 *5604:109 6.42832e-05 -68 *27831:D1 *5604:117 0.000160636 -69 *27839:C1 *5604:91 0.000439357 -70 *27842:A2 *5604:109 4.5534e-05 -71 *29754:A *5604:57 1.34548e-05 -72 *29864:A *5604:57 5.33005e-05 -73 *1249:34 *5604:9 2.01997e-05 -74 *1249:34 *5604:57 0.000314438 -75 *1250:11 *5604:57 0.000832264 -76 *1250:232 *5604:57 0.000435545 -77 *1252:166 *5604:72 0.00060572 -78 *1253:34 *5604:20 0.000318644 -79 *1269:238 *5604:117 1.90936e-05 -80 *1271:161 *5604:20 0.000262941 -81 *1274:137 *25056:A2 0.000263524 -82 *1274:137 *5604:120 0.000750939 -83 *1279:44 *5604:9 5.46795e-05 -84 *1289:19 *5604:20 0.00139807 -85 *1292:215 *5604:109 0.000221084 -86 *1292:223 *5604:72 3.19109e-05 -87 *1293:220 *5604:57 0.000345648 -88 *1293:220 *5604:63 0.000119055 -89 *1318:14 *5604:91 6.48428e-06 -90 *1328:179 *5604:72 0.000180379 -91 *1328:183 *5604:72 0.000250232 -92 *1328:183 *5604:109 0.000350277 -93 *1539:22 *5604:34 0.000319297 -94 *1592:13 *5604:34 0.000135028 -95 *1606:11 *5604:57 2.79435e-05 -96 *1642:20 *5604:57 3.74019e-05 -97 *1844:120 *25258:A2 0.000833992 -98 *2760:135 *27117:A 3.77315e-05 -99 *2778:120 *5604:20 0.000752952 -100 *2781:88 *5604:34 9.46146e-05 -101 *2786:80 *27117:A 0.000969929 -102 *2860:184 *5604:57 0.00189116 -103 *2860:184 *5604:63 0.00100232 -104 *2860:351 *5604:63 0.00045306 -105 *2866:48 *5604:72 7.45934e-05 -106 *2866:70 *5604:57 7.49387e-06 -107 *2866:241 *5604:72 0.000148792 -108 *2866:241 *5604:109 0.000108716 -109 *2871:224 *5604:72 0.000108621 -110 *2871:231 *5604:72 6.82924e-05 -111 *2871:231 *5604:109 0.000504669 -112 *2871:231 *5604:117 1.27625e-05 -113 *2871:393 *5604:121 0.000118715 -114 *2885:156 *27117:A 1.42071e-05 -115 *2892:161 *5604:109 0.000195259 -116 *2893:64 *5604:34 2.12005e-05 -117 *3174:209 *5604:72 0.000506355 -118 *3174:224 *5604:109 0 -119 *3184:118 *5604:57 9.15856e-05 -120 *3206:219 *5604:91 0 -121 *3215:286 *27117:A 7.61425e-05 -122 *3305:19 *5604:9 7.05143e-06 -123 *3305:19 *5604:20 0.00179654 -124 *3306:6 *5604:34 0.00032082 -125 *3306:6 *5604:57 1.53411e-05 -126 *3371:13 *5604:109 6.85518e-05 -127 *3537:47 *27117:A 2.71309e-05 -128 *3537:50 *5604:121 0.00409674 -129 *3544:9 *5604:34 0.00034188 -130 *3558:213 *5604:72 4.00349e-05 -131 *3565:24 *27117:A 6.05161e-06 -132 *3664:16 *5604:20 4.54329e-06 -133 *3664:16 *5604:57 0 -134 *3686:83 *5604:57 0.000147168 -135 *3758:43 *5604:9 6.52967e-05 -136 *3798:40 *5604:121 0 -137 *3834:57 *5604:57 0.000502801 -138 *3874:26 *5604:63 0.000218409 -139 *3907:45 *5604:57 2.78608e-05 -140 *3916:23 *5604:63 5.33005e-05 -141 *3916:23 *5604:72 0.000250061 -142 *3917:27 *5604:91 0.000221822 -143 *3928:20 *27117:A 1.94879e-05 -144 *3939:33 *5604:34 0.00111425 -145 *3969:20 *5604:117 7.79781e-06 -146 *4121:62 *27117:A 0.000820373 -147 *4121:62 *5604:121 0.00017191 -148 *4138:39 *5604:121 1.20729e-05 -149 *5535:54 *5604:117 0.00254602 -150 *5582:42 *5604:117 8.47192e-05 -151 *5601:119 *5604:91 0.000557451 -152 *5602:80 *5604:63 7.95295e-05 -153 *5602:80 *5604:72 0.000129913 -*RES -1 *30774:X *5604:9 18.177 -2 *5604:9 *5604:20 44.0218 -3 *5604:20 *5604:34 46.3036 -4 *5604:34 *25258:A2 22.9786 -5 *5604:9 *5604:57 43.7832 -6 *5604:57 *5604:63 38.1518 -7 *5604:63 *25025:A2 9.3 -8 *5604:63 *5604:72 24.0625 -9 *5604:72 *5604:91 46.7267 -10 *5604:91 *24992:A2 9.3 -11 *5604:72 *5604:109 24.0338 -12 *5604:109 *5604:117 42.4732 -13 *5604:117 *5604:120 12.0179 -14 *5604:120 *5604:121 53.4018 -15 *5604:121 *27117:A 30.6338 -16 *5604:117 *25056:A2 12.3179 -*END - -*D_NET *5605 0.122079 -*CONN -*I *25185:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25111:A2 I *D sky130_fd_sc_hd__a221o_2 -*I *25050:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25308:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *6484:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25278:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *30775:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *25185:A2 8.78729e-05 -2 *25111:A2 5.94065e-05 -3 *25050:B1 0.000695534 -4 *25308:B1 3.59775e-05 -5 *6484:DIODE 0.000100019 -6 *25278:B1 1.09567e-05 -7 *30775:X 0.000906564 -8 *5605:171 0.0035926 -9 *5605:170 0.00423517 -10 *5605:151 0.00431689 -11 *5605:149 0.00396289 -12 *5605:138 0.00219727 -13 *5605:127 0.00197229 -14 *5605:116 0.00126608 -15 *5605:114 0.00176215 -16 *5605:99 0.00442568 -17 *5605:81 0.00386719 -18 *5605:60 0.00155235 -19 *5605:56 0.00371277 -20 *5605:34 0.00435992 -21 *5605:33 0.00414017 -22 *5605:17 0.00341393 -23 *5605:10 0.00156463 -24 *25050:B1 *5635:147 0.000160588 -25 *25050:B1 *5649:290 0.000163364 -26 *25185:A2 *5817:16 4.11218e-05 -27 *25185:A2 *5892:124 3.36969e-05 -28 *25278:B1 *5718:313 5.83233e-05 -29 *5605:10 *24918:A 9.60939e-05 -30 *5605:10 *24952:B 0.000505757 -31 *5605:10 *25953:A1 0.000208379 -32 *5605:10 *5622:106 7.56367e-05 -33 *5605:10 *6332:39 0.000587484 -34 *5605:33 *5620:18 0.000791642 -35 *5605:33 *5936:70 0 -36 *5605:34 *6258:12 0.000474515 -37 *5605:56 *5634:122 2.09897e-05 -38 *5605:56 *5657:198 2.04825e-05 -39 *5605:56 *5694:57 0.000136676 -40 *5605:60 *27714:A2 0.000450226 -41 *5605:60 *5694:71 0.000259273 -42 *5605:60 *5718:313 0.000117477 -43 *5605:81 *6258:12 0.00115498 -44 *5605:99 *5817:16 1.90936e-05 -45 *5605:99 *5892:124 2.04825e-05 -46 *5605:114 *5848:20 0.00188425 -47 *5605:114 *5848:27 1.53472e-05 -48 *5605:116 *5649:176 1.02969e-05 -49 *5605:127 *5617:39 1.28171e-05 -50 *5605:127 *5649:176 0.000180722 -51 *5605:138 *5617:39 0.000315887 -52 *5605:138 *5617:57 2.72545e-05 -53 *5605:149 *28694:RESET_B 8.23362e-05 -54 *5605:170 *5629:166 1.90936e-05 -55 *5605:170 *5630:264 3.69331e-05 -56 *5605:170 *5764:239 1.28958e-05 -57 *5605:170 *5765:95 1.46553e-05 -58 *5605:170 *5905:110 0.000148954 -59 *5605:171 *27738:B1 4.9448e-05 -60 *5605:171 *5630:250 0.000425628 -61 *6600:DIODE *6484:DIODE 1.55885e-05 -62 *25050:A1 *25050:B1 0.00103994 -63 *25050:B2 *25050:B1 5.52302e-05 -64 *25081:A2 *5605:114 0.000754429 -65 *25111:A1 *25111:A2 0.000115045 -66 *25111:A1 *5605:127 5.24731e-05 -67 *25111:C1 *25111:A2 0.000115045 -68 *25111:C1 *5605:127 0.000342302 -69 *25133:C1 *5605:33 8.33331e-06 -70 *25133:C1 *5605:34 0.000318836 -71 *25185:A1 *25185:A2 0.000216755 -72 *25223:B1 *5605:56 2.37836e-05 -73 *25238:B2 *5605:170 0.000187964 -74 *25270:A1 *5605:10 0.000441003 -75 *25270:A1 *5605:17 0.000502981 -76 *25277:B1 *5605:56 0.000989129 -77 *25282:A *5605:33 2.89453e-06 -78 *25308:A1 *5605:170 8.25843e-06 -79 *25308:A2 *5605:170 2.14757e-05 -80 *25308:B2 *5605:151 2.59355e-05 -81 *25405:C *5605:10 4.98055e-06 -82 *25957:A1 *5605:17 1.21258e-05 -83 *25957:S *5605:17 0.000534957 -84 *27304:A1 *5605:99 2.04745e-05 -85 *27356:A2 *5605:56 0.000161422 -86 *27356:B1 *5605:60 0.000178358 -87 *27359:C1 *5605:171 0.000301016 -88 *27714:A1 *5605:60 0.000136059 -89 *27714:B2 *5605:60 0.000109263 -90 *27717:B1 *5605:60 0.000344225 -91 *27738:B2 *5605:171 0.000135472 -92 *27738:C1 *5605:171 2.17996e-05 -93 *27743:C *5605:171 0.000259988 -94 *27775:A1 *25050:B1 0.000545399 -95 *27775:A2 *25050:B1 7.2617e-05 -96 *27932:C1 *5605:99 5.61699e-05 -97 *28492:CLK *5605:170 2.04825e-05 -98 *28881:A *5605:171 9.41642e-05 -99 *29446:A *5605:114 4.20914e-05 -100 *30067:A *5605:10 0.000326191 -101 *74:11 *5605:33 9.66445e-05 -102 *1211:12 *5605:114 0 -103 *1211:16 *5605:114 0.000718305 -104 *1218:29 *5605:81 1.19751e-05 -105 *1235:159 *5605:138 0.000101708 -106 *1246:62 *5605:56 3.91734e-05 -107 *1248:81 *5605:34 0.00131586 -108 *1248:98 *5605:99 5.11566e-05 -109 *1252:207 *25050:B1 0.000121261 -110 *1253:98 *5605:33 0.000420457 -111 *1253:105 *5605:10 7.39203e-06 -112 *1253:105 *5605:17 0.00107182 -113 *1253:112 *5605:10 0.00181491 -114 *1253:112 *5605:17 2.14378e-05 -115 *1257:46 *5605:33 0.000109207 -116 *1257:69 *5605:33 0.000355291 -117 *1257:146 *5605:127 0.00114146 -118 *1263:28 *5605:99 0.0013858 -119 *1267:49 *5605:138 0.000696484 -120 *1271:211 *25308:B1 1.05731e-05 -121 *1271:211 *5605:170 0.00110653 -122 *1281:75 *5605:99 8.69554e-05 -123 *1282:136 *5605:56 8.69554e-05 -124 *1327:28 *5605:116 0.00011659 -125 *1327:78 *5605:99 0.000337917 -126 *1358:25 *5605:99 8.69554e-05 -127 *1363:114 *5605:60 0.00054662 -128 *1399:11 *5605:114 0.000119778 -129 *1421:93 *5605:34 6.70791e-05 -130 *1430:90 *5605:127 7.6644e-05 -131 *1439:49 *5605:114 0.000425273 -132 *1441:14 *5605:10 0.000134326 -133 *1441:115 *5605:33 0.000316962 -134 *1447:51 *5605:138 7.90803e-05 -135 *1449:101 *5605:33 3.42107e-06 -136 *1449:115 *5605:33 0.000127359 -137 *1454:10 *5605:56 6.62911e-05 -138 *1455:93 *5605:33 0.000615544 -139 *1456:18 *5605:138 9.25014e-06 -140 *1460:25 *5605:114 0.000895166 -141 *1469:34 *5605:149 0.000588977 -142 *1476:157 *5605:34 0.000821872 -143 *1476:183 *5605:34 0.00144796 -144 *1476:183 *5605:81 0.000727333 -145 *1479:17 *5605:33 0.0019603 -146 *1479:101 *5605:33 0.000773201 -147 *1482:8 *5605:99 0.000437299 -148 *1484:23 *5605:34 6.98092e-06 -149 *1504:8 *5605:33 0.0017316 -150 *1504:16 *5605:17 9.56446e-06 -151 *1505:16 *5605:99 0.000135028 -152 *1535:55 *5605:33 2.21184e-05 -153 *1562:33 *5605:151 9.41642e-05 -154 *1571:5 *5605:127 0.000261799 -155 *1587:36 *5605:17 0.000136951 -156 *1588:18 *5605:17 0.000102258 -157 *1596:16 *5605:56 0.000872504 -158 *1626:8 *5605:151 0.0019537 -159 *1626:8 *5605:170 0.00016641 -160 *1659:31 *5605:33 0.000519932 -161 *1718:12 *5605:10 0.000233896 -162 *1730:13 *5605:99 6.8304e-05 -163 *2768:90 *5605:170 2.06112e-05 -164 *2778:120 *5605:81 0.00024318 -165 *2851:6 *25111:A2 4.38611e-05 -166 *2851:6 *5605:116 0.000144038 -167 *2851:24 *5605:116 9.90819e-06 -168 *2860:287 *5605:151 1.65169e-05 -169 *2860:287 *5605:170 2.51343e-06 -170 *2877:349 *5605:171 9.0036e-05 -171 *2938:6 *25278:B1 6.0038e-05 -172 *2938:6 *5605:60 0.000108935 -173 *3179:154 *5605:170 6.29424e-05 -174 *3179:154 *5605:171 6.8377e-06 -175 *3183:169 *5605:99 0.000435584 -176 *3206:125 *5605:99 0.000549784 -177 *3215:25 *5605:60 7.48301e-06 -178 *3215:44 *5605:56 0.000674545 -179 *3220:24 *25111:A2 5.31113e-05 -180 *3220:24 *5605:114 1.83341e-05 -181 *3220:24 *5605:116 0.00104985 -182 *3220:28 *5605:114 0.000698079 -183 *3220:44 *5605:99 8.6273e-05 -184 *3305:19 *5605:56 6.58294e-06 -185 *3306:6 *5605:56 6.80058e-05 -186 *3314:41 *5605:33 1.30275e-05 -187 *3389:20 *5605:138 0.000133104 -188 *3389:20 *5605:149 0.000268317 -189 *3576:39 *5605:138 0.000579024 -190 *3576:99 *5605:149 9.41642e-05 -191 *3647:8 *5605:33 0.00116067 -192 *3647:39 *5605:33 0.000575959 -193 *3679:33 *5605:171 0.00203374 -194 *3698:81 *5605:127 0.000343956 -195 *3699:24 *5605:127 4.49752e-05 -196 *3729:38 *5605:138 0.000175892 -197 *3729:38 *5605:149 5.96516e-05 -198 *3785:41 *25050:B1 0.000292709 -199 *3790:71 *5605:127 0.00147483 -200 *3790:81 *5605:149 0.000454833 -201 *3820:79 *5605:56 5.7836e-06 -202 *3822:57 *5605:56 0.000794336 -203 *3834:24 *5605:56 0.00145579 -204 *3867:80 *5605:56 0.000346818 -205 *3868:73 *5605:99 0.000788773 -206 *3872:72 *5605:56 1.94945e-05 -207 *3874:41 *25185:A2 0.000216755 -208 *3874:41 *5605:99 0.000834778 -209 *3899:38 *5605:170 1.90936e-05 -210 *3907:76 *5605:81 5.52634e-05 -211 *3912:11 *5605:171 8.33813e-05 -212 *3912:27 *5605:171 0.000257619 -213 *3915:49 *25050:B1 0.000714097 -214 *3964:48 *6484:DIODE 0.000219711 -215 *3969:16 *25050:B1 0.00010807 -216 *3988:33 *5605:17 9.67087e-05 -217 *3998:41 *5605:151 3.97677e-05 -218 *4054:25 *5605:170 9.41642e-05 -219 *4069:132 *5605:127 5.00087e-06 -220 *4088:54 *5605:138 0.000610369 -221 *4088:56 *5605:138 0.00019423 -222 *4133:108 *5605:56 0.000820123 -223 *4134:78 *25050:B1 0.000197984 -224 *4141:34 *5605:149 1.43864e-05 -225 *4185:59 *5605:56 0 -226 *4185:60 *6484:DIODE 0.000298483 -227 *5582:70 *5605:170 0 -228 *5590:14 *5605:114 0.000306869 -229 *5590:47 *5605:116 0.000832853 -230 *5600:57 *5605:138 0.0020655 -231 *5600:61 *5605:149 0.00106784 -*RES -1 *30775:X *5605:10 44.0143 -2 *5605:10 *5605:17 27.1786 -3 *5605:17 *5605:33 44.9108 -4 *5605:33 *5605:34 5.37367 -5 *5605:34 *5605:56 46.0067 -6 *5605:56 *5605:60 30.9107 -7 *5605:60 *25278:B1 14.5321 -8 *5605:60 *6484:DIODE 16.8 -9 *5605:34 *5605:81 9.54443 -10 *5605:81 *5605:99 43.8341 -11 *5605:99 *5605:114 44.5357 -12 *5605:114 *5605:116 13.9375 -13 *5605:116 *5605:127 43.5536 -14 *5605:127 *5605:138 46.2857 -15 *5605:138 *5605:149 35.7679 -16 *5605:149 *5605:151 45.3036 -17 *5605:151 *25308:B1 10.0321 -18 *5605:151 *5605:170 29.8256 -19 *5605:170 *5605:171 47.7679 -20 *5605:171 *25050:B1 40.9607 -21 *5605:116 *25111:A2 15.6839 -22 *5605:81 *25185:A2 16.5589 -*END - -*D_NET *5606 0.0612965 -*CONN -*I *25358:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27081:A I *D sky130_fd_sc_hd__nand2_8 -*I *25012:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *24980:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *30776:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *25358:A2 8.9332e-05 -2 *27081:A 0.00016766 -3 *25012:A2 0.000717847 -4 *24980:A2 0.000410318 -5 *30776:X 0.00136424 -6 *5606:94 0.00165118 -7 *5606:81 0.00153036 -8 *5606:78 0.00159262 -9 *5606:57 0.00140591 -10 *5606:55 0.00193591 -11 *5606:51 0.00389596 -12 *5606:44 0.00408046 -13 *5606:33 0.00352847 -14 *5606:27 0.00222043 -15 *5606:14 0.00191962 -16 *24980:A2 *5667:286 0.000170654 -17 *24980:A2 *5753:170 1.17968e-05 -18 *27081:A *28656:RESET_B 0.000164481 -19 *27081:A *5753:170 9.60939e-05 -20 *5606:14 *5621:69 8.42484e-06 -21 *5606:14 *5621:75 4.05143e-05 -22 *5606:14 *5626:109 0.00030355 -23 *5606:33 *26827:B 0.000175892 -24 *5606:33 *5713:104 0.00017754 -25 *5606:44 *27301:A 2.59355e-05 -26 *5606:44 *5646:10 1.17968e-05 -27 *5606:44 *5718:261 0.000155218 -28 *5606:51 *5646:10 0.00101493 -29 *5606:78 *5753:170 1.52978e-05 -30 *5606:78 *6017:11 9.77884e-05 -31 *5606:94 *5679:92 2.59355e-05 -32 *24919:B *5606:14 5.49544e-05 -33 *24980:A1 *24980:A2 9.41642e-05 -34 *24980:B1 *5606:55 0.000221822 -35 *24980:B2 *24980:A2 1.98839e-05 -36 *25012:B1 *25012:A2 0.000116526 -37 *25016:A *25012:A2 5.33005e-05 -38 *25016:B *25012:A2 7.83587e-05 -39 *25228:A2 *5606:44 7.56621e-05 -40 *25228:B1 *5606:44 0.000138351 -41 *25266:B *5606:27 2.79421e-05 -42 *25266:C *5606:27 0.000380763 -43 *25266:D *5606:27 0.000205203 -44 *25302:A2 *5606:14 0.000118558 -45 *25358:A1 *25358:A2 0.000172098 -46 *25358:A1 *5606:27 0.000195734 -47 *25358:B1 *25358:A2 4.6141e-05 -48 *25358:B1 *5606:27 8.25843e-06 -49 *25358:B2 *5606:27 5.49489e-05 -50 *25411:B2 *5606:14 1.94945e-05 -51 *25411:B2 *5606:27 5.52238e-05 -52 *25411:C1 *5606:27 1.80721e-05 -53 *26827:A *25358:A2 5.49489e-05 -54 *26837:A1 *5606:14 0.000343215 -55 *26935:A1 *5606:81 7.83659e-05 -56 *27017:S *5606:78 0.000163455 -57 *27301:B *5606:44 0.000180777 -58 *27301:C *5606:44 7.06949e-05 -59 *27613:A *5606:51 0.000177821 -60 *27613:C *5606:51 3.97677e-05 -61 *27622:B *5606:44 0.000138589 -62 *27633:A *5606:51 4.85033e-05 -63 *27800:A1 *5606:51 0.000232225 -64 *27800:A1 *5606:55 0.000208345 -65 *27800:A2 *5606:51 2.60984e-05 -66 *28592:D *5606:78 0.000201127 -67 *28593:CLK *5606:78 2.42516e-05 -68 *28765:D *5606:55 9.02017e-05 -69 *28861:A *5606:33 0.000271398 -70 *28932:A *5606:81 1.18451e-05 -71 *29821:A *5606:33 9.66977e-05 -72 *30420:A *5606:81 5.81363e-05 -73 *30488:A *5606:55 5.96516e-05 -74 *30549:A *5606:14 0 -75 *30808:A *5606:51 5.96516e-05 -76 *1182:32 *5606:14 2.93959e-05 -77 *1218:38 *5606:14 2.11419e-05 -78 *1245:51 *5606:14 1.58163e-05 -79 *1248:56 *5606:14 0.000875632 -80 *1256:54 *5606:44 0.00011464 -81 *1270:114 *5606:81 0.00169095 -82 *1271:131 *5606:14 0.00057786 -83 *1338:11 *25012:A2 1.98839e-05 -84 *1339:26 *24980:A2 0.000195547 -85 *1339:26 *5606:78 0.00201747 -86 *1339:34 *5606:81 8.40351e-06 -87 *1339:36 *25012:A2 9.25014e-06 -88 *1347:19 *24980:A2 0.000424029 -89 *1347:31 *5606:81 0.000101384 -90 *1348:8 *5606:81 0.000422465 -91 *1348:8 *5606:94 0.00163091 -92 *1352:19 *5606:81 9.01724e-06 -93 *1352:19 *5606:94 0.000212013 -94 *1401:77 *5606:14 2.93959e-05 -95 *1490:35 *5606:44 8.57913e-05 -96 *1490:72 *5606:78 0.000376227 -97 *1490:82 *5606:78 2.87566e-05 -98 *1547:26 *5606:33 0.00101151 -99 *1585:19 *5606:14 0.000307995 -100 *1585:19 *5606:27 0.000119303 -101 *1594:23 *5606:14 0.000287121 -102 *1594:35 *5606:14 0.000288178 -103 *1803:52 *5606:44 9.77884e-05 -104 *1844:160 *5606:81 3.40067e-05 -105 *2773:17 *5606:78 0.000260574 -106 *2832:95 *5606:51 4.85033e-05 -107 *2853:48 *5606:14 0.000448229 -108 *2859:16 *5606:44 0.000390289 -109 *2859:28 *5606:33 0.000233358 -110 *2866:17 *5606:44 9.55111e-05 -111 *2882:46 *5606:14 0.000763593 -112 *2888:59 *5606:14 0.000655535 -113 *2889:198 *5606:33 0.00172033 -114 *3152:17 *5606:51 2.89967e-05 -115 *3183:29 *5606:44 0.000276327 -116 *3206:179 *5606:51 0.000656766 -117 *3209:11 *5606:51 0.00045143 -118 *3209:21 *5606:51 0.00117942 -119 *3314:41 *5606:14 5.81603e-05 -120 *3558:224 *25012:A2 8.21706e-05 -121 *3574:53 *25012:A2 0.000821339 -122 *3574:53 *5606:94 0.000563742 -123 *3630:25 *25012:A2 0.000158415 -124 *3633:9 *24980:A2 4.87953e-05 -125 *3633:27 *24980:A2 7.02611e-05 -126 *3734:16 *5606:78 0.000649132 -127 *3734:48 *5606:81 0.000353025 -128 *3734:56 *5606:81 2.72545e-05 -129 *3747:11 *5606:81 0.00022075 -130 *3777:51 *5606:14 6.58294e-06 -131 *3844:41 *25358:A2 1.68854e-05 -132 *3844:41 *5606:33 5.03223e-05 -133 *3864:23 *5606:78 0.000306284 -134 *4098:42 *24980:A2 0.000220092 -135 *4111:38 *5606:81 2.04866e-05 -136 *4124:104 *25012:A2 0.00181252 -137 *4124:104 *5606:94 0.00203152 -138 *4150:22 *25012:A2 0.000296678 -139 *4232:13 *27081:A 0.000106018 -140 *4232:13 *5606:55 8.50785e-05 -141 *5461:7 *5606:33 0.000718715 -142 *5461:7 *5606:44 2.22618e-05 -143 *5505:42 *25358:A2 5.05056e-05 -144 *5505:42 *5606:33 0.000115446 -145 *5530:58 *5606:33 0 -146 *5530:58 *5606:44 6.35941e-05 -147 *5536:31 *24980:A2 0.000115715 -148 *5602:53 *5606:78 0.000156624 -*RES -1 *30776:X *5606:14 49.4642 -2 *5606:14 *5606:27 19.9821 -3 *5606:27 *5606:33 42.3214 -4 *5606:33 *5606:44 37.2679 -5 *5606:44 *5606:51 37.6607 -6 *5606:51 *5606:55 25.1964 -7 *5606:55 *5606:57 4.5 -8 *5606:57 *24980:A2 33.8 -9 *5606:57 *5606:78 40.2857 -10 *5606:78 *5606:81 23.8839 -11 *5606:81 *5606:94 43.3839 -12 *5606:94 *25012:A2 48.3268 -13 *5606:55 *27081:A 13.1393 -14 *5606:27 *25358:A2 16.3179 -*END - -*D_NET *5607 0.075669 -*CONN -*I *25368:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25186:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27000:A I *D sky130_fd_sc_hd__nand2_8 -*I *6542:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25140:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25297:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *30777:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *25368:A2 9.15854e-05 -2 *25186:B1 2.33819e-05 -3 *27000:A 0.000227934 -4 *6542:DIODE 0 -5 *25140:A2 6.92045e-05 -6 *25297:A2 6.58131e-06 -7 *30777:X 0.00119035 -8 *5607:115 0.00207767 -9 *5607:102 0.00394212 -10 *5607:92 0.00232975 -11 *5607:82 0.00248149 -12 *5607:71 0.00439996 -13 *5607:50 0.00481019 -14 *5607:47 0.00391791 -15 *5607:39 0.0020647 -16 *5607:30 0.000677539 -17 *5607:27 0.00278995 -18 *5607:13 0.00397308 -19 *25297:A2 *5761:192 7.13226e-06 -20 *27000:A *26982:B 0.000125547 -21 *27000:A *5669:320 0.000221212 -22 *5607:13 *5656:116 0.000644975 -23 *5607:27 *27947:A2 4.65519e-05 -24 *5607:27 *5808:95 0.000134788 -25 *5607:27 *5848:63 0.00027457 -26 *5607:39 *27002:A0 2.14474e-05 -27 *5607:39 *28579:SET_B 0.000124153 -28 *5607:50 *26888:A0 2.9334e-05 -29 *5607:50 *5823:17 0.000148215 -30 *5607:71 *25085:A1 4.21517e-05 -31 *5607:71 *28558:D 8.5693e-05 -32 *5607:71 *5803:27 0.00146967 -33 *5607:82 *5631:71 7.51975e-05 -34 *5607:82 *5695:133 0.000363198 -35 *5607:102 *5911:173 0.000169594 -36 *5607:115 *27790:B1 2.41198e-05 -37 *5607:115 *28495:RESET_B 2.58757e-05 -38 *5607:115 *5652:200 5.74499e-06 -39 *5607:115 *5676:87 0.000100474 -40 *5607:115 *5911:71 1.04707e-05 -41 *5607:115 *5911:79 0.00020495 -42 *25059:C1 *5607:102 0.000384819 -43 *25153:A2 *5607:13 5.04841e-06 -44 *25153:A2 *5607:27 3.58774e-05 -45 *25153:B1 *5607:27 9.60875e-05 -46 *25153:B2 *5607:27 7.49387e-06 -47 *25165:A *5607:13 0.000853492 -48 *25167:A *5607:27 0.000905769 -49 *25186:B2 *5607:92 8.34819e-06 -50 *25186:C1 *5607:82 8.25843e-06 -51 *25186:C1 *5607:92 5.21937e-05 -52 *25297:A1 *25297:A2 7.13226e-06 -53 *25297:A1 *5607:30 1.94879e-05 -54 *25297:A1 *5607:39 0.00164657 -55 *25317:B2 *5607:13 8.50709e-05 -56 *25368:A1 *25368:A2 0.000326931 -57 *25373:B *5607:47 0.000162645 -58 *26888:A1 *5607:50 0.000387796 -59 *27058:S *5607:82 0.000216755 -60 *27698:B2 *5607:82 0.000136676 -61 *27790:A1 *5607:115 0.000301438 -62 *27790:B2 *5607:115 0.000675567 -63 *27944:B2 *5607:47 0.000142725 -64 *27955:A *5607:27 0.000175892 -65 *30777:A *5607:13 0.000136676 -66 *30876:A *5607:115 0.000357123 -67 *791:11 *27000:A 0.000188071 -68 *1246:151 *5607:71 0 -69 *1261:238 *5607:92 5.33005e-05 -70 *1261:238 *5607:102 1.90936e-05 -71 *1269:154 *27000:A 0.00072297 -72 *1275:195 *25186:B1 1.90936e-05 -73 *1275:195 *5607:92 5.52238e-05 -74 *1326:116 *5607:13 0.000262498 -75 *1398:11 *5607:27 0.000230454 -76 *1436:13 *5607:30 2.26973e-05 -77 *1436:13 *5607:39 0.00106178 -78 *1463:23 *5607:27 4.15594e-05 -79 *1472:25 *5607:27 7.27549e-05 -80 *1525:14 *5607:82 0.000512325 -81 *1611:13 *5607:13 0.000257619 -82 *1615:14 *5607:27 0.00113904 -83 *1689:8 *5607:27 0.00126363 -84 *1689:8 *5607:39 0.000173977 -85 *1689:8 *5607:47 0.000114999 -86 *2761:53 *5607:102 8.43775e-05 -87 *2774:74 *5607:71 4.30869e-05 -88 *2775:82 *5607:115 2.74561e-05 -89 *2778:121 *5607:13 0.000308599 -90 *2788:124 *25140:A2 0.000220455 -91 *2792:85 *5607:115 0.000100474 -92 *2894:319 *5607:71 0.0021957 -93 *3164:319 *5607:102 0.000348456 -94 *3165:85 *5607:82 0.00087489 -95 *3165:85 *5607:102 0.000716652 -96 *3179:186 *5607:50 7.43873e-05 -97 *3179:186 *5607:71 0.000418845 -98 *3215:305 *25186:B1 5.46971e-05 -99 *3215:305 *5607:102 9.8291e-05 -100 *3216:199 *5607:27 0.000477213 -101 *3312:19 *5607:71 0.000216755 -102 *3320:18 *5607:102 0.000167872 -103 *3367:31 *5607:102 0.00113137 -104 *3413:16 *5607:47 0.000281469 -105 *3613:20 *5607:115 0.000120506 -106 *3678:23 *5607:82 0.000538148 -107 *3686:83 *5607:27 0.00137563 -108 *3686:83 *5607:39 0.000344309 -109 *3686:83 *5607:47 0.000337934 -110 *3693:21 *5607:71 0.000198391 -111 *3700:72 *5607:27 5.00194e-05 -112 *3711:93 *5607:13 0.000147465 -113 *3711:93 *5607:27 2.73522e-06 -114 *3725:18 *5607:47 8.08254e-05 -115 *3738:41 *5607:27 0.00031544 -116 *3738:47 *5607:27 0.000125449 -117 *3753:75 *25368:A2 7.62658e-05 -118 *3753:84 *25368:A2 0.000127643 -119 *3758:43 *5607:13 0.000305306 -120 *3822:85 *5607:82 7.36469e-05 -121 *3822:104 *5607:82 0.00129093 -122 *3847:87 *5607:71 8.661e-05 -123 *3881:62 *5607:47 0.00359775 -124 *3902:24 *5607:102 6.57032e-05 -125 *3920:40 *5607:27 0.000407939 -126 *3979:19 *5607:71 0.000121495 -127 *3979:32 *5607:50 0.000429096 -128 *3979:32 *5607:71 0.000552103 -129 *3991:38 *25186:B1 1.19224e-05 -130 *3991:38 *5607:102 3.57916e-05 -131 *4027:11 *5607:39 0.000994189 -132 *4027:11 *5607:47 0.000512074 -133 *4079:26 *5607:47 7.90803e-05 -134 *4094:20 *5607:71 8.50218e-05 -135 *4113:125 *5607:27 0.000395577 -136 *4133:12 *25140:A2 0.000220455 -137 *4350:96 *5607:47 0.00172981 -138 *5589:73 *5607:71 0.000175683 -139 *5589:74 *5607:71 4.1611e-05 -*RES -1 *30777:X *5607:13 44.8179 -2 *5607:13 *5607:27 48.977 -3 *5607:27 *5607:30 3.68679 -4 *5607:30 *25297:A2 13.9473 -5 *5607:30 *5607:39 27.2946 -6 *5607:39 *5607:47 49.1339 -7 *5607:47 *5607:50 41.5893 -8 *5607:50 *25140:A2 21.1571 -9 *5607:50 *5607:71 30.2191 -10 *5607:71 *5607:82 48.625 -11 *5607:82 *6542:DIODE 9.3 -12 *5607:82 *5607:92 7.92857 -13 *5607:92 *5607:102 46.5 -14 *5607:102 *5607:115 43.2857 -15 *5607:115 *27000:A 16.8357 -16 *5607:92 *25186:B1 14.5321 -17 *5607:39 *25368:A2 17.0054 -*END - -*D_NET *5608 0.0625226 -*CONN -*I *25241:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27036:A I *D sky130_fd_sc_hd__nand2_8 -*I *25011:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *24972:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *30778:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *25241:A2 0.000269978 -2 *27036:A 0 -3 *25011:A2 0 -4 *24972:A2 5.6217e-06 -5 *30778:X 0.000220319 -6 *5608:78 0.00116648 -7 *5608:71 0.00289959 -8 *5608:70 0.00223943 -9 *5608:62 0.00268996 -10 *5608:42 0.00389299 -11 *5608:28 0.00491314 -12 *5608:19 0.00424357 -13 *5608:15 0.00222616 -14 *5608:8 0.00168231 -15 *25241:A2 *5655:65 5.96516e-05 -16 *5608:15 *29031:A 5.87969e-05 -17 *5608:28 *28660:D 0.00016968 -18 *5608:28 *28660:RESET_B 1.31213e-05 -19 *5608:42 *5649:8 0.00102729 -20 *5608:62 *5667:277 0.000268403 -21 *5608:71 *5678:97 0.000649854 -22 *5608:71 *5678:106 0.000367523 -23 *5608:71 *5678:111 0.000881087 -24 *24971:A2 *5608:42 0.00011389 -25 *24979:D *5608:62 1.94945e-05 -26 *25012:C1 *5608:78 1.58163e-05 -27 *25032:C *5608:78 0.000342495 -28 *25274:B1 *5608:19 5.36e-05 -29 *25274:B2 *5608:19 7.65648e-05 -30 *25289:B2 *5608:15 7.58035e-05 -31 *25289:B2 *5608:19 0.00026281 -32 *25386:A1 *5608:15 9.25014e-06 -33 *25710:A0 *5608:62 0.000732675 -34 *27007:S *5608:71 0.00012401 -35 *27016:A1 *5608:71 7.83659e-05 -36 *27034:A1 *5608:71 0.000123295 -37 *27034:S *5608:71 0.00102686 -38 *27300:C *5608:28 4.70078e-05 -39 *27632:A *5608:42 5.33005e-05 -40 *27647:C *5608:42 0.000333073 -41 *27857:A1 *5608:8 0.000219289 -42 *28617:D *5608:42 0.000862242 -43 *28776:D *5608:28 7.77652e-05 -44 *28782:CLK *5608:28 0.000235208 -45 *29450:A *5608:71 0.000121982 -46 *29765:A *5608:28 0.000475261 -47 *30309:A *5608:71 7.89362e-05 -48 *30463:A *5608:71 0.000898802 -49 *30561:A *5608:62 0.000374469 -50 *1185:12 *5608:28 0.000773116 -51 *1261:119 *5608:78 3.69047e-06 -52 *1271:70 *5608:19 0.00012037 -53 *1271:88 *5608:19 1.46576e-05 -54 *1282:20 *5608:8 0.000331934 -55 *1282:20 *5608:15 0.00116062 -56 *1289:49 *5608:8 0.000340557 -57 *1289:49 *5608:15 0.00131692 -58 *1302:29 *24972:A2 1.46576e-05 -59 *1304:43 *5608:62 0.00010339 -60 *1308:19 *5608:62 2.59024e-05 -61 *1323:5 *5608:19 2.22184e-05 -62 *1323:5 *5608:28 0.000110695 -63 *1350:25 *5608:78 8.55871e-05 -64 *1352:19 *5608:71 1.90936e-05 -65 *2772:196 *5608:71 0.0020176 -66 *2775:50 *5608:71 0.0001693 -67 *2775:50 *5608:78 0.00329426 -68 *2787:37 *5608:28 0.00012401 -69 *2790:8 *5608:62 1.94945e-05 -70 *2813:34 *5608:28 2.14658e-05 -71 *2835:15 *5608:42 0.000393943 -72 *2843:11 *5608:28 5.01117e-05 -73 *2844:19 *25241:A2 2.37452e-05 -74 *2844:27 *25241:A2 6.86792e-05 -75 *2849:15 *5608:28 0.000148021 -76 *2860:31 *5608:19 0.00127378 -77 *2860:31 *5608:28 6.22596e-05 -78 *2860:56 *5608:15 0.000178847 -79 *2872:29 *5608:8 0.000219289 -80 *2885:8 *5608:28 1.40306e-05 -81 *3184:15 *5608:42 0.000333038 -82 *3191:35 *5608:42 0.00169257 -83 *3557:30 *25241:A2 0.000719211 -84 *3572:95 *5608:71 0.00028407 -85 *3782:26 *25241:A2 0.000801823 -86 *3787:28 *24972:A2 7.13226e-06 -87 *3790:32 *5608:15 8.84757e-05 -88 *3790:32 *5608:19 0.000445482 -89 *3854:37 *5608:15 9.10285e-05 -90 *3865:17 *5608:70 9.58632e-05 -91 *3972:38 *5608:19 0.00052118 -92 *5528:27 *5608:70 0.00139878 -93 *5528:27 *5608:71 0.000534464 -94 *5528:33 *5608:71 0.000439564 -95 *5528:45 *5608:71 0.00165972 -96 *5528:45 *5608:78 0.00138328 -97 *5528:47 *5608:78 0.00121661 -98 *5529:31 *5608:70 0.0013915 -99 *5602:53 *5608:78 0.000119382 -*RES -1 *30778:X *5608:8 20.6571 -2 *5608:8 *5608:15 30.0938 -3 *5608:15 *5608:19 24.6562 -4 *5608:19 *5608:28 45.8393 -5 *5608:28 *5608:42 49.1071 -6 *5608:42 *24972:A2 13.9473 -7 *5608:42 *5608:62 37.7988 -8 *5608:62 *5608:70 32.4821 -9 *5608:70 *5608:71 66.4554 -10 *5608:71 *5608:78 49.7946 -11 *5608:78 *25011:A2 9.3 -12 *5608:62 *27036:A 9.3 -13 *5608:8 *25241:A2 22.9607 -*END - -*D_NET *5609 0.145319 -*CONN -*I *25322:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25057:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25147:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *25183:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25273:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *25402:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *24973:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *30779:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *25322:A2 9.39818e-05 -2 *25057:A2 0.00073352 -3 *25147:A2 0.00135802 -4 *25183:A2 6.23315e-05 -5 *25273:A2 0.000203027 -6 *25402:A2 0.000147175 -7 *24973:A2 0 -8 *30779:X 0 -9 *5609:245 0.00161863 -10 *5609:236 0.00213663 -11 *5609:219 0.00382666 -12 *5609:190 0.00488145 -13 *5609:189 0.00229447 -14 *5609:183 0.00221189 -15 *5609:165 0.00258103 -16 *5609:152 0.00254847 -17 *5609:144 0.0019424 -18 *5609:137 0.00313733 -19 *5609:107 0.0037261 -20 *5609:89 0.00778032 -21 *5609:65 0.000786457 -22 *5609:45 0.00234805 -23 *5609:33 0.00429143 -24 *5609:16 0.0042428 -25 *5609:10 0.00215053 -26 *5609:4 0.00663236 -27 *25057:A2 *5647:175 0.00097339 -28 *25057:A2 *5911:173 0.000931095 -29 *25147:A2 *27149:A0 9.58126e-05 -30 *25147:A2 *28710:RESET_B 0.000465054 -31 *25147:A2 *5688:85 1.94879e-05 -32 *25273:A2 *25273:B2 2.21972e-05 -33 *25273:A2 *25336:A1 2.7883e-05 -34 *25402:A2 *5636:10 0 -35 *5609:16 *5636:10 4.58764e-05 -36 *5609:33 *24819:A 0.00156215 -37 *5609:33 *25563:A0 0.000136676 -38 *5609:33 *25564:A0 0.000267137 -39 *5609:33 *28393:RESET_B 1.87629e-05 -40 *5609:33 *5636:10 0.000504697 -41 *5609:33 *5675:223 0.000230924 -42 *5609:33 *5680:276 1.94879e-05 -43 *5609:33 *5858:23 3.70863e-05 -44 *5609:33 *5945:18 0.000531391 -45 *5609:33 *6387:27 0.000139287 -46 *5609:45 *25564:A0 5.0301e-05 -47 *5609:45 *27216:A 8.55871e-05 -48 *5609:45 *27225:A1 2.15298e-05 -49 *5609:45 *5720:87 0.000199212 -50 *5609:45 *5858:23 0.00111393 -51 *5609:45 *5998:11 3.14816e-05 -52 *5609:45 *6314:19 3.14816e-05 -53 *5609:45 *6387:27 1.51955e-05 -54 *5609:65 *27269:B 0.000219711 -55 *5609:65 *27272:A 6.00942e-05 -56 *5609:65 *6381:101 2.7883e-05 -57 *5609:65 *6382:10 9.82759e-05 -58 *5609:65 *6382:48 7.06369e-05 -59 *5609:65 *6382:65 1.1594e-05 -60 *5609:65 *6383:21 0.000163455 -61 *5609:89 *5635:34 0.000206452 -62 *5609:89 *5869:32 4.32957e-05 -63 *5609:107 *5655:174 4.73891e-05 -64 *5609:107 *6269:12 2.49398e-05 -65 *5609:137 *5635:79 0.000175892 -66 *5609:137 *5644:172 0.00013921 -67 *5609:137 *5657:198 4.22135e-06 -68 *5609:137 *5700:77 0 -69 *5609:152 *5630:43 0.000145239 -70 *5609:165 *27197:A0 0.000221219 -71 *5609:165 *5634:140 0.00146251 -72 *5609:165 *5643:71 1.21258e-05 -73 *5609:165 *5656:116 4.40586e-05 -74 *5609:183 *5643:221 4.58508e-05 -75 *5609:183 *5650:250 0.000197429 -76 *5609:183 *5778:190 0.000506836 -77 *5609:189 *5627:103 0.000595752 -78 *5609:189 *5633:164 5.41797e-06 -79 *5609:189 *5650:250 0.000155877 -80 *5609:190 *27140:A0 0.000709523 -81 *5609:190 *5661:232 0.000256839 -82 *5609:190 *5879:74 7.68549e-05 -83 *5609:219 *5660:198 0.00139273 -84 *5609:219 *5660:217 0.000309921 -85 *5609:219 *5879:74 0.000194979 -86 *5609:219 *6225:139 0.000236111 -87 *5609:236 *5656:310 0.000647578 -88 *5609:236 *5660:198 0.00117098 -89 *5609:236 *5662:116 0.00112637 -90 *5609:236 *6351:24 3.0037e-05 -91 *5609:245 *5631:71 0.000165123 -92 *5609:245 *5644:94 0.000793814 -93 *5609:245 *5692:31 8.08022e-05 -94 *24973:A1 *5609:65 2.27132e-05 -95 *24973:B1 *5609:65 1.51177e-05 -96 *24973:B2 *5609:65 6.80365e-05 -97 *25018:A2 *5609:65 9.54798e-06 -98 *25057:A1 *25057:A2 3.10656e-05 -99 *25057:B1 *25057:A2 3.25552e-05 -100 *25057:B2 *25057:A2 1.28809e-05 -101 *25057:C1 *25057:A2 0.000236139 -102 *25146:B1 *25147:A2 6.37188e-06 -103 *25148:D *25147:A2 4.40153e-05 -104 *25183:A1 *25183:A2 3.49649e-05 -105 *25183:B2 *5609:107 4.38296e-05 -106 *25190:C1 *25147:A2 0 -107 *25222:B1 *5609:107 0.00013635 -108 *25224:A *5609:107 0.000511752 -109 *25261:B2 *5609:33 3.97826e-05 -110 *25261:C1 *5609:33 0.000136193 -111 *25265:A1 *5609:89 0.000173688 -112 *25266:C *5609:89 8.28045e-05 -113 *25273:A1 *25273:A2 5.33005e-05 -114 *25273:B1 *25273:A2 5.33005e-05 -115 *25277:A2 *5609:107 0 -116 *25277:A2 *5609:137 0 -117 *25277:B2 *5609:137 0.000108327 -118 *25283:C *25273:A2 4.54032e-05 -119 *25318:A2 *5609:152 0.000127059 -120 *25322:A1 *25322:A2 0.000158442 -121 *25322:A1 *5609:165 0.000151394 -122 *25322:B2 *5609:165 1.31802e-07 -123 *25325:C *25322:A2 2.84109e-05 -124 *25325:D *5609:144 0.00015327 -125 *25325:D *5609:152 1.0751e-05 -126 *25401:A2 *5609:33 9.50292e-05 -127 *25402:B2 *25402:A2 1.46576e-05 -128 *27064:A1 *5609:183 7.55769e-05 -129 *27149:A1 *25147:A2 6.55123e-05 -130 *27223:A *5609:65 9.67517e-05 -131 *27270:A1 *5609:45 0.000599293 -132 *27275:B *5609:45 0.000308001 -133 *27346:B2 *5609:189 0.000562584 -134 *27366:A1 *5609:144 0.00043364 -135 *27400:A2 *25147:A2 0.00011625 -136 *27400:B1 *25147:A2 0.000346135 -137 *27400:B2 *25147:A2 0.00016197 -138 *27417:C1 *5609:189 8.19176e-05 -139 *27680:B2 *5609:189 1.61405e-05 -140 *27681:A1 *5609:189 0.000700803 -141 *27711:B2 *5609:144 0.000103363 -142 *27711:C1 *5609:137 0.000425537 -143 *28674:D *5609:33 0.000145154 -144 *28771:D *5609:45 0.000107648 -145 *28771:D *5609:65 0.00114048 -146 *28783:CLK *5609:33 0.000141858 -147 *28899:A *5609:219 0.000178847 -148 *29743:A *5609:33 6.59398e-05 -149 *30176:A *25147:A2 4.25569e-05 -150 *30418:A *5609:189 0.000427144 -151 *30476:A *5609:165 0.000144571 -152 *30689:A *5609:33 2.12733e-05 -153 *30727:A *5609:45 5.52302e-05 -154 *30871:A *5609:89 8.3168e-05 -155 *23:22 *5609:65 0.000367295 -156 *39:39 *5609:65 8.6229e-06 -157 *456:17 *5609:33 0.000332614 -158 *770:24 *5609:33 0.000119959 -159 *1117:13 *5609:45 0.000179353 -160 *1218:187 *5609:107 0 -161 *1225:33 *5609:144 0.00010856 -162 *1240:41 *5609:10 0 -163 *1243:69 *5609:10 0.0018019 -164 *1243:69 *5609:89 4.53834e-05 -165 *1245:92 *5609:33 4.15592e-05 -166 *1245:142 *5609:65 0.000476194 -167 *1246:36 *5609:89 5.29789e-05 -168 *1246:36 *5609:107 7.11855e-05 -169 *1250:20 *5609:107 5.00194e-05 -170 *1254:40 *5609:33 0.00199088 -171 *1254:67 *5609:65 2.06178e-05 -172 *1254:144 *5609:89 0.00224204 -173 *1256:31 *5609:107 1.62261e-05 -174 *1257:120 *5609:10 4.87953e-05 -175 *1257:120 *5609:89 0.000111183 -176 *1265:35 *5609:89 0.00029425 -177 *1267:65 *5609:189 1.60961e-05 -178 *1271:104 *5609:89 0.00181934 -179 *1275:225 *5609:183 0.000494572 -180 *1275:234 *5609:189 2.21972e-05 -181 *1277:161 *5609:137 1.31821e-05 -182 *1277:176 *5609:165 9.81603e-05 -183 *1278:28 *25402:A2 4.78056e-05 -184 *1278:28 *5609:16 9.25014e-06 -185 *1281:8 *5609:10 0.00033763 -186 *1282:68 *5609:89 8.24287e-05 -187 *1285:37 *5609:152 0.000848669 -188 *1286:10 *5609:183 0.000135028 -189 *1286:27 *5609:219 0.00120294 -190 *1290:53 *5609:33 5.44456e-05 -191 *1290:89 *5609:33 3.66916e-05 -192 *1293:173 *5609:245 2.06112e-05 -193 *1294:128 *25402:A2 0.000167506 -194 *1294:128 *5609:33 0.000359334 -195 *1416:89 *5609:107 4.17137e-05 -196 *1416:89 *5609:137 7.01273e-05 -197 *1439:19 *5609:137 0.000177821 -198 *1484:23 *5609:89 0.00022598 -199 *1494:22 *5609:183 4.65519e-05 -200 *1506:17 *5609:245 4.33002e-05 -201 *1590:15 *5609:10 4.58194e-05 -202 *1624:16 *5609:65 7.69776e-06 -203 *1633:45 *5609:189 0.000686946 -204 *1639:28 *5609:144 1.4396e-05 -205 *1639:35 *5609:144 4.40834e-05 -206 *1639:35 *5609:152 0.00088284 -207 *1639:35 *5609:165 0.000117717 -208 *1642:20 *25183:A2 5.91872e-05 -209 *1654:17 *25273:A2 0.000132862 -210 *1654:17 *5609:89 0.000198301 -211 *1654:17 *5609:107 4.66486e-05 -212 *1659:34 *5609:89 0.00200297 -213 *1659:34 *5609:107 0.000912785 -214 *1659:34 *5609:183 0.00016755 -215 *1815:18 *5609:33 0.000140137 -216 *2774:92 *5609:189 1.17921e-05 -217 *2774:99 *5609:189 0.00066886 -218 *2774:110 *5609:183 0.000353781 -219 *2774:110 *5609:189 0.000272639 -220 *2780:61 *5609:89 0.000113453 -221 *2781:87 *5609:89 0.00154942 -222 *2788:139 *25147:A2 0.000105471 -223 *2794:141 *5609:165 0.000175892 -224 *2794:163 *5609:165 5.33005e-05 -225 *2798:5 *5609:45 4.84073e-05 -226 *2798:25 *5609:45 0.000180314 -227 *2803:20 *5609:65 1.99932e-05 -228 *2805:40 *5609:65 0.000127958 -229 *2808:36 *5609:65 5.25192e-06 -230 *2839:71 *5609:16 0.000139177 -231 *2839:73 *5609:16 0.00140379 -232 *2844:246 *5609:144 0.000120865 -233 *2848:282 *5609:219 0.0011969 -234 *2852:28 *5609:144 0.00217681 -235 *2853:187 *5609:137 0.000132869 -236 *2855:139 *5609:89 0.000860094 -237 *2859:242 *5609:189 0.000289803 -238 *2870:43 *5609:107 0 -239 *2870:43 *5609:137 0 -240 *2892:259 *5609:236 0.00121142 -241 *2892:280 *5609:236 0.000118986 -242 *2892:292 *25147:A2 5.65746e-05 -243 *2958:38 *5609:144 2.29088e-05 -244 *2958:48 *5609:144 0.00116411 -245 *3153:221 *25147:A2 0.000402678 -246 *3162:20 *5609:189 7.28272e-06 -247 *3170:251 *5609:236 0.00054977 -248 *3174:283 *5609:236 0.000546198 -249 *3185:52 *5609:137 0.000140512 -250 *3236:13 *5609:152 0.000298483 -251 *3299:8 *5609:189 5.62438e-05 -252 *3299:14 *5609:183 0.000171114 -253 *3412:41 *5609:33 5.48924e-05 -254 *3413:57 *5609:89 0.000158606 -255 *3537:47 *5609:245 0.000578441 -256 *3540:23 *5609:189 3.46899e-05 -257 *3565:24 *5609:245 0.000150353 -258 *3673:23 *25273:A2 1.90936e-05 -259 *3712:22 *5609:190 0.00201796 -260 *3712:22 *5609:219 0.00034674 -261 *3730:42 *5609:144 0.00114743 -262 *3730:42 *5609:152 0.000192586 -263 *3743:42 *5609:152 3.50729e-05 -264 *3743:51 *5609:152 0.00081308 -265 *3753:93 *25147:A2 0 -266 *3755:8 *5609:189 0.000506079 -267 *3755:30 *5609:189 0.00038041 -268 *3782:98 *5609:137 0.000187887 -269 *3783:110 *25183:A2 0.000110933 -270 *3796:68 *5609:137 0.000187887 -271 *3820:87 *5609:137 0.000759166 -272 *3829:67 *5609:183 0.000293218 -273 *3835:51 *25322:A2 5.31113e-05 -274 *3835:51 *5609:152 3.17148e-05 -275 *3835:51 *5609:165 9.41642e-05 -276 *3847:64 *5609:137 0.000767937 -277 *3848:30 *5609:236 5.20298e-05 -278 *3861:38 *5609:236 6.27066e-05 -279 *3873:90 *5609:144 0.000541514 -280 *3885:26 *5609:183 0.00071909 -281 *3885:26 *5609:189 0.0003441 -282 *3898:41 *5609:219 8.19176e-05 -283 *3912:82 *5609:137 3.19311e-05 -284 *3939:33 *25273:A2 9.30682e-05 -285 *3939:94 *5609:245 1.43349e-05 -286 *3939:102 *5609:245 0.000112777 -287 *3965:35 *5609:236 0.000135028 -288 *3965:35 *5609:245 0.000857986 -289 *3966:37 *25147:A2 6.09057e-05 -290 *3972:13 *25402:A2 1.46576e-05 -291 *3972:24 *25402:A2 1.02504e-05 -292 *3978:65 *5609:219 0.000234396 -293 *3987:58 *5609:107 0.000461706 -294 *4043:70 *25147:A2 0.000154678 -295 *4054:44 *5609:165 0.00154617 -296 *4054:44 *5609:183 3.34295e-05 -297 *4107:40 *25322:A2 4.38611e-05 -298 *4107:40 *5609:152 0.00091979 -299 *4119:24 *5609:219 8.34418e-05 -300 *4132:38 *5609:190 0 -301 *4133:133 *5609:183 9.2888e-05 -302 *4185:59 *25273:A2 3.50637e-05 -303 *4873:22 *5609:89 0.000120247 -304 *4881:17 *5609:33 2.07098e-05 -305 *4952:14 *5609:107 0 -306 *5041:8 *5609:10 0.000618409 -307 *5499:59 *5609:89 0.000814016 -308 *5504:49 *5609:33 0.000162512 -309 *5512:29 *5609:33 0.00173015 -310 *5513:53 *5609:45 4.82145e-05 -311 *5534:49 *5609:65 4.78585e-05 -312 *5539:33 *5609:65 0.000556566 -313 *5570:23 *5609:45 4.02129e-05 -314 *5584:269 *25057:A2 4.55132e-05 -315 *5585:23 *25147:A2 3.29552e-06 -316 *5604:34 *25273:A2 1.38323e-05 -*RES -1 *30779:X *5609:4 9.3 -2 *5609:4 *5609:10 33.4464 -3 *5609:10 *5609:16 22.9911 -4 *5609:16 *5609:33 47.9805 -5 *5609:33 *5609:45 46.875 -6 *5609:45 *5609:65 41.4282 -7 *5609:65 *24973:A2 9.3 -8 *5609:16 *25402:A2 16.992 -9 *5609:4 *5609:89 30.5891 -10 *5609:89 *25273:A2 22.6252 -11 *5609:89 *5609:107 7.83583 -12 *5609:107 *25183:A2 19.0314 -13 *5609:107 *5609:137 43.0281 -14 *5609:137 *5609:144 40.4821 -15 *5609:144 *5609:152 35.625 -16 *5609:152 *5609:165 44.1071 -17 *5609:165 *5609:183 42.7786 -18 *5609:183 *5609:189 49.0727 -19 *5609:189 *5609:190 4.30833 -20 *5609:190 *25147:A2 32.6144 -21 *5609:190 *5609:219 49.3826 -22 *5609:219 *5609:236 48.625 -23 *5609:236 *5609:245 36.2857 -24 *5609:245 *25057:A2 28.7687 -25 *5609:152 *25322:A2 16.0946 -*END - -*D_NET *5610 0.028889 -*CONN -*I *24943:A I *D sky130_fd_sc_hd__nor2_8 -*I *25152:A I *D sky130_fd_sc_hd__nor2_2 -*I *24962:A I *D sky130_fd_sc_hd__nor2_8 -*I *24963:A I *D sky130_fd_sc_hd__nor2_4 -*I *24936:B I *D sky130_fd_sc_hd__nor2_8 -*I *24942:A I *D sky130_fd_sc_hd__nor2_4 -*I *24967:A I *D sky130_fd_sc_hd__nor2_8 -*I *24904:B I *D sky130_fd_sc_hd__nor2_2 -*I *25127:A I *D sky130_fd_sc_hd__nor2_4 -*I *30780:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *24943:A 0.00115689 -2 *25152:A 0.00050187 -3 *24962:A 9.64854e-05 -4 *24963:A 0.000121704 -5 *24936:B 0.000133538 -6 *24942:A 0.00125494 -7 *24967:A 0.000129479 -8 *24904:B 2.37855e-05 -9 *25127:A 0.000654687 -10 *30780:X 3.83189e-05 -11 *5610:82 0.000255242 -12 *5610:58 0.00141574 -13 *5610:56 0.000153882 -14 *5610:54 0.00154773 -15 *5610:31 0.00209762 -16 *5610:22 0.0015097 -17 *5610:21 0.00155944 -18 *5610:5 0.000832882 -19 *24942:A *5861:34 1.30665e-05 -20 *24962:A *24902:A 0.000175892 -21 *24967:A *5713:37 0.000216755 -22 *25127:A *27947:A2 3.63775e-05 -23 *5610:5 *5663:98 9.41642e-05 -24 *5610:21 *5634:150 0.000224341 -25 *5610:21 *5663:98 0.000566415 -26 *5610:54 *5640:53 1.13073e-05 -27 *24904:A *5610:31 0.000180355 -28 *24936:A *24936:B 5.33005e-05 -29 *25107:A1 *24942:A 0.000185006 -30 *25111:A1 *24943:A 0.000612053 -31 *25117:A2 *24942:A 0.000143766 -32 *25127:B *25127:A 0.000270726 -33 *25152:B *25152:A 3.29905e-05 -34 *25153:B1 *25152:A 2.47753e-05 -35 *25163:A2 *24943:A 0.000132862 -36 *25163:B2 *25127:A 0.000180271 -37 *25196:A1 *24943:A 0.000135028 -38 *25247:B1 *25152:A 8.82208e-05 -39 *25340:B1 *24943:A 0 -40 *25344:B1 *24943:A 7.78353e-05 -41 *25344:B1 *5610:22 5.33614e-05 -42 *25346:C *5610:22 0 -43 *25346:C *5610:31 0 -44 *28841:A *5610:54 0.000127359 -45 *28842:A *24943:A 0 -46 *1230:5 *5610:54 9.41642e-05 -47 *1235:17 *24967:A 0.000144553 -48 *1235:17 *5610:54 0.00038211 -49 *1235:17 *5610:56 0.000373959 -50 *1235:17 *5610:58 6.73939e-05 -51 *1235:113 *5610:54 0.000456518 -52 *1235:116 *24943:A 0.000104327 -53 *1235:124 *24943:A 1.53191e-05 -54 *1248:131 *24943:A 6.94402e-05 -55 *1248:131 *5610:54 4.21517e-05 -56 *1248:137 *24943:A 6.57648e-05 -57 *1262:128 *24936:B 0.000257619 -58 *1262:128 *24963:A 0.000204318 -59 *1266:24 *24967:A 0.000142974 -60 *1266:24 *5610:54 0.000389656 -61 *1266:24 *5610:56 0.000381494 -62 *1266:24 *5610:58 7.6644e-05 -63 *1268:11 *24942:A 2.89114e-05 -64 *1268:37 *24942:A 2.13481e-06 -65 *1268:37 *5610:54 0.000220447 -66 *1274:17 *5610:54 6.14836e-06 -67 *1274:59 *5610:54 0.0017108 -68 *1276:47 *24943:A 8.64475e-05 -69 *1276:47 *5610:22 0.000559505 -70 *1276:47 *5610:31 0.000609529 -71 *1284:43 *5610:54 4.21517e-05 -72 *1284:49 *5610:31 0.000140769 -73 *1284:49 *5610:54 1.98839e-05 -74 *1288:20 *24943:A 6.72288e-05 -75 *1288:20 *5610:22 0.000135449 -76 *1288:37 *24943:A 0.000319882 -77 *1396:120 *5610:54 3.27002e-05 -78 *1482:21 *5610:31 0.000192146 -79 *1485:39 *5610:31 1.29752e-05 -80 *1485:39 *5610:54 0.000382454 -81 *1494:22 *5610:22 2.74931e-05 -82 *1516:10 *24943:A 6.57032e-05 -83 *1631:16 *24942:A 0.000142044 -84 *1651:15 *24967:A 0.000218679 -85 *1664:16 *5610:22 0.000269514 -86 *1664:16 *5610:31 0.000420257 -87 *1693:18 *25127:A 0 -88 *2880:180 *24942:A 2.59355e-05 -89 *3314:26 *24943:A 0.000206291 -90 *3413:51 *5610:54 3.77315e-05 -91 *3698:81 *5610:5 2.0991e-05 -92 *3698:81 *5610:21 7.61196e-05 -93 *3700:72 *25127:A 4.03433e-05 -94 *3849:69 *5610:54 1.47779e-05 -95 *3875:100 *25127:A 0.000185846 -96 *3875:118 *24943:A 2.05803e-05 -97 *4142:62 *25152:A 0.00114428 -98 *5587:17 *24942:A 0.00101426 -*RES -1 *30780:X *5610:5 10.2464 -2 *5610:5 *25127:A 29.1036 -3 *5610:5 *5610:21 9.96429 -4 *5610:21 *5610:22 7.41071 -5 *5610:22 *5610:31 20.5536 -6 *5610:31 *24904:B 9.72857 -7 *5610:31 *5610:54 29.2628 -8 *5610:54 *5610:56 4.98214 -9 *5610:56 *5610:58 1.03571 -10 *5610:58 *24967:A 17.925 -11 *5610:58 *24942:A 39.7821 -12 *5610:56 *5610:82 4.5 -13 *5610:82 *24936:B 11.8893 -14 *5610:82 *24963:A 11.4786 -15 *5610:54 *24962:A 15.5679 -16 *5610:22 *25152:A 25.7464 -17 *5610:21 *24943:A 34.9366 -*END - -*D_NET *5611 0.0190235 -*CONN -*I *29714:A I *D sky130_fd_sc_hd__buf_8 -*I *30781:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *29714:A 0 -2 *30781:X 0.00115735 -3 *5611:47 0.000865748 -4 *5611:34 0.00275099 -5 *5611:27 0.00304259 -6 *5611:27 *25803:A0 0.000333493 -7 *5611:27 *25826:A1 9.34324e-05 -8 *5611:27 *5686:105 5.33005e-05 -9 *5611:27 *5686:118 0.000208001 -10 *5611:27 *5719:86 0.000108447 -11 *5611:27 *5824:63 8.69811e-05 -12 *5611:34 *25847:A0 0.000387448 -13 *5611:34 *25861:S 0.000214377 -14 *5611:34 *5715:149 0.000168334 -15 *5611:34 *5839:53 0.000123288 -16 *5611:34 *5841:11 0.000347181 -17 *5611:34 *5841:13 3.68898e-05 -18 *5611:34 *5850:46 1.90936e-05 -19 *5611:47 *5849:11 0.000206568 -20 *25187:B2 *5611:47 6.54885e-05 -21 *25361:A2 *5611:47 0.000113005 -22 *25843:A1 *5611:47 0.00035013 -23 *26258:C *5611:34 0.000477305 -24 *29883:A *5611:27 0.000216755 -25 *29907:A *5611:27 6.46107e-05 -26 *30047:A *5611:47 0.000137983 -27 *30530:A *5611:34 0.000484524 -28 *1396:13 *5611:47 0.000761729 -29 *1396:40 *5611:34 0.00125773 -30 *1411:15 *5611:47 0.000269188 -31 *1488:33 *5611:34 1.90936e-05 -32 *1677:8 *5611:47 8.6229e-06 -33 *3165:322 *5611:27 5.52238e-05 -34 *3165:343 *5611:27 0.000485897 -35 *3165:355 *5611:47 0.00164367 -36 *3196:145 *5611:27 0.000418826 -37 *3564:11 *5611:34 4.72487e-05 -38 *3564:103 *5611:47 0.000143463 -39 *3700:52 *5611:47 0.00091617 -40 *3711:21 *5611:27 0.000188823 -41 *3740:30 *5611:34 0.000116622 -42 *4143:72 *5611:34 0.000121573 -43 *5209:30 *5611:34 0.000447039 -44 *5215:12 *5611:47 9.21418e-06 -*RES -1 *30781:X *5611:27 47.6336 -2 *5611:27 *5611:34 47.8571 -3 *5611:34 *5611:47 41.6071 -4 *5611:47 *29714:A 9.3 -*END - -*D_NET *5612 0.0143037 -*CONN -*I *24899:A I *D sky130_fd_sc_hd__nor2_8 -*I *24930:A I *D sky130_fd_sc_hd__nor2_8 -*I *25170:A I *D sky130_fd_sc_hd__nor2_8 -*I *24959:A I *D sky130_fd_sc_hd__nor2_2 -*I *25098:A I *D sky130_fd_sc_hd__nor2_4 -*I *24902:A I *D sky130_fd_sc_hd__nor2_8 -*I *24966:A I *D sky130_fd_sc_hd__nor2_8 -*I *30782:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *24899:A 0.000498153 -2 *24930:A 0 -3 *25170:A 0 -4 *24959:A 8.74751e-05 -5 *25098:A 8.30275e-05 -6 *24902:A 0.000993114 -7 *24966:A 0.000477429 -8 *30782:X 0.000343684 -9 *5612:42 0.00141023 -10 *5612:38 0.000457232 -11 *5612:27 0.000694159 -12 *5612:22 0.000264147 -13 *5612:18 0.000387352 -14 *5612:12 0.00114611 -15 *24899:A *25496:C 4.16203e-05 -16 *24902:A *5640:53 0.000515267 -17 *24959:A *24959:B 3.63587e-05 -18 *24959:A *5700:50 5.74499e-06 -19 *24966:A *5892:119 2.3362e-05 -20 *25098:A *5640:53 0.000178253 -21 *5612:12 *5656:116 0.000175892 -22 *5612:12 *5890:81 0.000175892 -23 *5612:22 *5861:14 0.000190363 -24 *24899:B *24899:A 5.40608e-05 -25 *24962:A *24902:A 0.000175892 -26 *25098:B *24902:A 9.54225e-05 -27 *25098:B *25098:A 5.27977e-05 -28 *25170:B *5612:27 0.000227802 -29 *1185:88 *24966:A 5.49743e-05 -30 *1225:7 *24899:A 9.41642e-05 -31 *1225:15 *24899:A 8.08603e-05 -32 *1227:9 *24902:A 5.33005e-05 -33 *1227:16 *24902:A 4.03736e-05 -34 *1228:11 *24902:A 5.49544e-05 -35 *1265:95 *5612:12 0.000272467 -36 *1266:64 *5612:18 0.000570103 -37 *1268:37 *5612:38 0.000100478 -38 *1268:37 *5612:42 0.000205942 -39 *1285:11 *24959:A 3.69047e-06 -40 *1288:10 *24902:A 5.33005e-05 -41 *1292:8 *24966:A 1.83053e-05 -42 *1421:106 *5612:42 4.28365e-05 -43 *1421:127 *5612:42 0.000251713 -44 *1555:20 *24899:A 0.000339346 -45 *1611:13 *24899:A 5.33005e-05 -46 *1611:13 *5612:12 0.000389591 -47 *1700:20 *5612:12 0.000148865 -48 *1700:24 *5612:12 0.00051473 -49 *1700:24 *5612:18 0.000701679 -50 *1700:24 *5612:22 1.31516e-05 -51 *2893:43 *5612:42 4.53337e-05 -52 *3841:68 *5612:12 7.34455e-06 -53 *3841:68 *5612:18 0.000174341 -54 *3841:68 *5612:22 0.00021455 -55 *4043:70 *5612:42 0.000112803 -56 *4129:90 *24966:A 0.000135028 -57 *4129:90 *5612:27 4.69738e-05 -58 *4129:90 *5612:42 1.02969e-05 -59 *5601:10 *5612:42 0.000351687 -60 *5601:162 *5612:38 9.12274e-05 -61 *5601:162 *5612:42 0.000265122 -*RES -1 *30782:X *5612:12 25.4607 -2 *5612:12 *5612:18 10.8036 -3 *5612:18 *5612:22 7.35714 -4 *5612:22 *5612:27 3.44643 -5 *5612:27 *24966:A 15.0857 -6 *5612:27 *5612:38 5.83929 -7 *5612:38 *5612:42 14.3393 -8 *5612:42 *24902:A 25.05 -9 *5612:42 *25098:A 11.4964 -10 *5612:38 *24959:A 15.175 -11 *5612:22 *25170:A 9.3 -12 *5612:18 *24930:A 13.8 -13 *5612:12 *24899:A 22.1571 -*END - -*D_NET *5613 0.0394335 -*CONN -*I *26865:A I *D sky130_fd_sc_hd__or4_4 -*I *30546:A I *D sky130_fd_sc_hd__buf_6 -*I *30783:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *26865:A 0.00125938 -2 *30546:A 0 -3 *30783:X 0.00603624 -4 *5613:46 0.00538692 -5 *5613:22 0.0101638 -6 *26865:A *5643:282 0.000304423 -7 *26865:A *5651:419 0.000337289 -8 *5613:22 *27944:A2 0 -9 *5613:22 *5640:90 0.000103244 -10 *5613:22 *5641:96 0.00117271 -11 *5613:22 *5641:102 0 -12 *5613:22 *5715:95 0.000130443 -13 *5613:22 *5715:117 0 -14 *5613:22 *5729:34 0.000102503 -15 *5613:22 *5729:242 4.50385e-05 -16 *5613:22 *5891:18 0.000535166 -17 *5613:22 *5909:44 0 -18 *5613:46 *5715:37 3.93043e-05 -19 *5613:46 *5832:8 0.000267735 -20 *5613:46 *5891:18 6.49084e-05 -21 *5613:46 *5891:76 0.000392831 -22 *26865:B *26865:A 0.000614473 -23 *26884:A1 *5613:46 0.000310249 -24 *27281:A1 *5613:46 0 -25 *29357:A *26865:A 0.000472908 -26 *29618:A *5613:22 0.000113806 -27 *30336:A *5613:46 0.000425959 -28 *1288:112 *5613:46 4.01773e-05 -29 *1327:28 *5613:22 1.09611e-05 -30 *1405:73 *5613:22 0.00206871 -31 *1405:83 *5613:22 0.000208335 -32 *1434:76 *5613:22 0 -33 *1434:76 *5613:46 0.000208166 -34 *1469:21 *5613:22 0.00026941 -35 *1672:16 *5613:46 0.000245411 -36 *1719:12 *5613:46 7.3897e-05 -37 *2759:69 *5613:46 2.12005e-05 -38 *2759:80 *5613:46 0.000141163 -39 *2767:175 *26865:A 0.00102345 -40 *2772:77 *5613:46 4.10026e-05 -41 *3309:13 *26865:A 0.000373262 -42 *3562:16 *5613:22 2.13687e-05 -43 *3562:16 *5613:46 9.86249e-07 -44 *3706:22 *26865:A 5.68312e-06 -45 *3784:36 *5613:46 0.00264331 -46 *3803:20 *5613:46 0 -47 *3803:40 *5613:46 0.000240502 -48 *3810:26 *5613:22 0 -49 *4012:39 *5613:22 0.000106495 -50 *4026:24 *5613:22 0.000253344 -51 *4035:33 *5613:22 0.000394964 -52 *4038:17 *5613:22 0.000198909 -53 *4096:32 *5613:46 0 -54 *4135:70 *5613:46 3.32921e-05 -55 *4148:24 *26865:A 0.000352949 -56 *5196:8 *5613:22 0.000709582 -57 *5457:22 *5613:22 0.00146764 -*RES -1 *30783:X *5613:22 46.8847 -2 *5613:22 *30546:A 13.8 -3 *5613:22 *5613:46 45.5489 -4 *5613:46 *26865:A 42.0321 -*END - -*D_NET *5614 0.0870096 -*CONN -*I *6465:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6538:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25555:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25173:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30692:X O *D sky130_fd_sc_hd__clkbuf_4 -*CAP -1 *6465:DIODE 0 -2 *6538:DIODE 0.000179542 -3 *25555:A1 0 -4 *25173:A1 2.38077e-05 -5 *30692:X 0.000883037 -6 *5614:114 0.00155245 -7 *5614:100 0.00378868 -8 *5614:97 0.00303207 -9 *5614:88 0.00353527 -10 *5614:76 0.00501576 -11 *5614:60 0.00240146 -12 *5614:44 0.000827309 -13 *5614:37 0.00496394 -14 *5614:35 0.00612213 -15 *5614:30 0.0047872 -16 *5614:18 0.00679586 -17 *5614:13 0.00454872 -18 *6538:DIODE *24814:A 0.000176541 -19 *5614:13 *5625:8 0 -20 *5614:13 *5676:184 3.45453e-05 -21 *5614:13 *5767:81 7.252e-05 -22 *5614:13 *5767:89 0.0004445 -23 *5614:30 *5658:107 0.000161433 -24 *5614:30 *5680:209 0.000296394 -25 *5614:30 *5767:29 1.90936e-05 -26 *5614:30 *5777:259 2.04825e-05 -27 *5614:37 *5643:221 0.000135028 -28 *5614:60 *5641:78 0.000131473 -29 *5614:76 *5643:92 2.14879e-05 -30 *5614:76 *5656:189 0.000304536 -31 *5614:76 *5778:94 9.14714e-06 -32 *5614:76 *5778:99 0.000721626 -33 *5614:76 *5890:42 8.68423e-05 -34 *5614:88 *28329:RESET_B 0.000103262 -35 *5614:88 *28379:RESET_B 0 -36 *5614:88 *5630:110 0.000425983 -37 *5614:88 *5691:51 2.36714e-05 -38 *5614:88 *5718:206 9.11102e-06 -39 *5614:97 *5685:333 0.000110642 -40 *5614:97 *5687:37 0.000130525 -41 *5614:97 *5691:16 2.83129e-05 -42 *5614:97 *5718:183 4.32957e-05 -43 *5614:100 *5727:281 0.000257619 -44 *5614:114 *5743:60 0.000171538 -45 mgmt_gpio_oeb[10] *5614:13 6.27457e-05 -46 *6516:DIODE *5614:13 6.05161e-06 -47 *25195:A1 *5614:37 0.000712187 -48 *25195:B2 *5614:37 0.00137543 -49 *25196:C1 *5614:37 0.000382579 -50 *25461:A1 *5614:100 1.46231e-05 -51 *25461:A2 *5614:100 0.00133347 -52 *25461:A2 *5614:114 0.000157738 -53 *25467:A1 *5614:100 0.000219711 -54 *25467:A1 *5614:114 0.000386088 -55 *25467:A2 *5614:100 9.71197e-05 -56 *25774:A1 *5614:100 0.000592363 -57 *25935:S *5614:97 0.000929463 -58 *26958:S *5614:35 2.84109e-05 -59 *26963:A1 *5614:13 0.000203665 -60 *26963:A1 *5614:18 0.000164921 -61 *26963:S *5614:18 4.58194e-05 -62 *27352:B *5614:37 0.000216755 -63 *27353:B2 *5614:37 0.000543665 -64 *27530:B2 *5614:97 0.000339507 -65 *27534:A1 *5614:100 0.000177821 -66 *27534:A2 *5614:100 5.33005e-05 -67 *27534:B1 *5614:100 0.000264333 -68 *27573:A1 *5614:76 0.000152594 -69 *27578:A1 *5614:88 6.76116e-05 -70 *27581:B *5614:88 0.00116783 -71 *27929:B2 *5614:88 7.20793e-05 -72 *27930:A1 *5614:88 0.000160951 -73 *27955:B *5614:76 0.000940479 -74 *27958:C1 *5614:88 8.77177e-05 -75 *28163:CLK *5614:114 0.000263524 -76 *28163:D *5614:114 0.000137983 -77 *28378:D *5614:100 2.89114e-05 -78 *28569:D *5614:13 5.52238e-05 -79 *30046:A *5614:13 9.80173e-05 -80 *30279:A *5614:100 0.000247461 -81 *30378:A *5614:114 0.000169816 -82 *434:13 *6538:DIODE 0.000161024 -83 *434:13 *5614:114 7.1271e-05 -84 *437:23 *5614:114 0.000155764 -85 *623:22 *5614:114 5.50566e-05 -86 *1269:15 *5614:37 0.000872975 -87 *1269:186 *5614:37 4.98323e-05 -88 *1274:92 *5614:37 3.22692e-05 -89 *1274:99 *5614:35 6.77997e-05 -90 *1274:138 *5614:18 0.00219918 -91 *1274:170 *5614:18 0.000327789 -92 *1279:108 *5614:37 0.000112936 -93 *1358:25 *5614:88 5.07605e-05 -94 *1406:45 *5614:37 0.000261806 -95 *1423:37 *5614:88 2.06112e-05 -96 *1435:14 *5614:60 4.18834e-05 -97 *1435:33 *5614:60 0.000100061 -98 *1449:21 *5614:37 8.43535e-06 -99 *1450:16 *5614:60 6.57032e-05 -100 *1450:16 *5614:76 0.000110029 -101 *1472:11 *5614:114 0.000526598 -102 *1563:25 *5614:88 1.26222e-05 -103 *1665:28 *5614:76 2.01997e-05 -104 *1685:40 *5614:76 0 -105 *1763:22 *5614:114 0.000288791 -106 *1766:33 *6538:DIODE 5.49166e-05 -107 *1766:33 *5614:114 0.00022459 -108 *1830:15 *6538:DIODE 0 -109 *1830:55 *5614:114 1.4811e-05 -110 *2754:20 *5614:30 7.6644e-05 -111 *2767:62 *5614:18 0.000302932 -112 *2779:107 *5614:37 0.000756006 -113 *2780:195 *5614:37 0.000219289 -114 *2845:109 *5614:97 0.000136052 -115 *2859:117 *5614:100 0.000262504 -116 *2874:278 *5614:37 0.000265038 -117 *2880:197 *5614:88 0.000209559 -118 *2892:177 *5614:30 0.000261466 -119 *2892:186 *5614:30 0.00240106 -120 *2932:34 *5614:35 6.41033e-05 -121 *3105:8 *5614:88 0.000143652 -122 *3173:110 *5614:88 2.35215e-05 -123 *3184:178 *5614:100 0.000306971 -124 *3185:341 *5614:88 0.00153936 -125 *3214:121 *5614:76 0.000499832 -126 *3504:18 *5614:88 0.000151136 -127 *3646:20 *5614:97 2.83129e-05 -128 *3679:89 *5614:13 5.29648e-05 -129 *3765:30 *5614:88 0.000143652 -130 *3768:19 *5614:37 0.000153007 -131 *3775:30 *5614:97 4.53834e-05 -132 *3780:64 *5614:88 0.000765869 -133 *3850:24 *5614:18 3.15282e-05 -134 *3857:21 *5614:114 8.88357e-06 -135 *3857:49 *5614:97 0.000255973 -136 *3910:51 *5614:88 0.000123605 -137 *3910:73 *5614:76 4.1991e-05 -138 *3916:20 *5614:18 6.35864e-05 -139 *3923:32 *5614:88 0.000417619 -140 *3943:37 *5614:18 0.000423003 -141 *3949:28 *5614:76 0 -142 *3976:55 *5614:30 3.69697e-05 -143 *4023:16 *5614:88 8.6229e-06 -144 *4023:18 *5614:88 2.68445e-05 -145 *4025:32 *25173:A1 4.15526e-05 -146 *4025:32 *5614:44 0.000243303 -147 *4026:26 *25173:A1 4.00349e-05 -148 *4026:26 *5614:44 0.000236402 -149 *4036:38 *5614:76 0.000127863 -150 *4069:89 *5614:35 7.62291e-05 -151 *4069:89 *5614:37 0.000308102 -152 *4069:112 *5614:37 0.00173497 -153 *4080:53 *5614:37 0.000549154 -154 *4091:64 *5614:44 0.000145009 -155 *4105:32 *5614:76 0.000593592 -156 *4105:78 *5614:60 0 -157 *4127:14 *5614:114 8.6281e-05 -158 *4130:103 *5614:88 8.21056e-05 -159 *4146:11 *5614:35 2.59355e-05 -160 *4185:30 *5614:100 6.8377e-06 -161 *4185:41 *5614:100 0.000444852 -162 *4350:65 *5614:60 0.000195739 -163 *5196:47 *5614:76 0.00156218 -*RES -1 *30692:X *5614:13 36.1125 -2 *5614:13 *5614:18 49.6607 -3 *5614:18 *5614:30 48.1948 -4 *5614:30 *5614:35 22.3036 -5 *5614:35 *5614:37 84.5268 -6 *5614:37 *5614:44 12.6786 -7 *5614:44 *25173:A1 14.3804 -8 *5614:44 *5614:60 14.8071 -9 *5614:60 *5614:76 42.7576 -10 *5614:76 *5614:88 40.8211 -11 *5614:88 *5614:97 25.0079 -12 *5614:97 *5614:100 44.9107 -13 *5614:100 *5614:114 32.7918 -14 *5614:114 *25555:A1 9.3 -15 *5614:114 *6538:DIODE 22.3893 -16 *5614:60 *6465:DIODE 9.3 -*END - -*D_NET *5615 0.0212717 -*CONN -*I *26153:A1 I *D sky130_fd_sc_hd__a21oi_1 -*I *26088:A I *D sky130_fd_sc_hd__inv_2 -*I *26462:B I *D sky130_fd_sc_hd__and2_1 -*I *26665:A2 I *D sky130_fd_sc_hd__a2111o_1 -*I *26628:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *26594:A2 I *D sky130_fd_sc_hd__a211o_1 -*I *26395:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *31013:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *26153:A1 0.000106732 -2 *26088:A 3.70734e-05 -3 *26462:B 6.97745e-05 -4 *26665:A2 6.27252e-05 -5 *26628:A2 0 -6 *26594:A2 0.000674712 -7 *26395:A2 4.13265e-05 -8 *31013:X 0.000283901 -9 *5615:43 0.000785505 -10 *5615:42 0.000405154 -11 *5615:38 0.00137871 -12 *5615:21 0.00251295 -13 *5615:12 0.0015818 -14 *5615:10 0.000282086 -15 *5615:8 0.000448177 -16 *26153:A2 *5615:8 0.000119431 -17 *26154:B *5615:8 3.72932e-05 -18 *26272:B *26395:A2 4.96113e-05 -19 *26272:B *5615:21 0.000165123 -20 *26281:C *5615:38 0.000227206 -21 *26341:B *5615:12 6.91215e-06 -22 *26341:B *5615:21 6.80581e-05 -23 *26358:A *5615:38 0.000112466 -24 *26396:C1 *26395:A2 5.33005e-05 -25 *26396:C1 *5615:38 0.000402467 -26 *26399:A3 *5615:38 0 -27 *26446:D_N *5615:38 6.35819e-05 -28 *26462:A *5615:12 0.000111571 -29 *26469:A2 *5615:21 0.000148196 -30 *26492:C *5615:12 2.61337e-05 -31 *26492:C *5615:21 2.16719e-05 -32 *26492:D *5615:8 4.18848e-05 -33 *26492:D *5615:10 3.70696e-05 -34 *26492:D *5615:12 5.21663e-05 -35 *26522:A1 *5615:38 0.000144089 -36 *26578:A *26462:B 1.33343e-05 -37 *26578:A *5615:21 0.000522941 -38 *26594:B1 *26594:A2 1.6122e-05 -39 *26594:C1 *26594:A2 0.000358608 -40 *26628:A1 *26594:A2 5.33005e-05 -41 *26628:A1 *5615:43 0.000122591 -42 *26666:B *5615:38 0.000665216 -43 *26692:B *26462:B 5.52238e-05 -44 *26692:B *5615:12 2.24646e-05 -45 *26692:B *5615:21 0.000358401 -46 *1931:51 *26153:A1 4.82354e-05 -47 *1943:40 *5615:38 0.000720675 -48 *1950:96 *5615:38 0.000428885 -49 *1950:96 *5615:42 0.000120734 -50 *1950:101 *26665:A2 1.66856e-05 -51 *1950:101 *5615:42 0.00013906 -52 *1950:103 *26665:A2 5.53367e-05 -53 *1950:103 *5615:43 0.000306317 -54 *1997:34 *5615:21 0.000398995 -55 *1997:45 *5615:21 0.00100537 -56 *2025:12 *5615:8 0.000189518 -57 *2025:12 *5615:10 6.23278e-05 -58 *2025:12 *5615:12 0.000112433 -59 *2062:44 *5615:21 0.00149819 -60 *2063:14 *5615:21 0 -61 *2092:30 *5615:38 0.000158384 -62 *2092:30 *5615:42 0.000337135 -63 *2099:171 *5615:38 0.000902674 -64 *2223:50 *5615:21 7.08569e-05 -65 *2237:26 *5615:38 0.000358664 -66 *2237:33 *26665:A2 6.15544e-05 -67 *2237:33 *5615:38 0.000103112 -68 *2237:39 *26594:A2 0.00011842 -69 *2237:39 *26665:A2 2.286e-05 -70 *2237:39 *5615:43 0.00042994 -71 *2287:14 *5615:21 0.000527092 -72 *2304:10 *5615:38 1.08359e-05 -73 *2436:17 *26594:A2 1.3701e-05 -74 *2526:18 *5615:38 0.00023743 -75 *2568:7 *26594:A2 5.33005e-05 -76 *2692:11 *26665:A2 1.59373e-05 -*RES -1 *31013:X *5615:8 20.1393 -2 *5615:8 *5615:10 1.64286 -3 *5615:10 *5615:12 4.98214 -4 *5615:12 *5615:21 45.4286 -5 *5615:21 *26395:A2 10.2464 -6 *5615:21 *5615:38 44.6814 -7 *5615:38 *5615:42 11.1696 -8 *5615:42 *5615:43 4.23214 -9 *5615:43 *26594:A2 19.4786 -10 *5615:43 *26628:A2 9.3 -11 *5615:42 *26665:A2 11.6393 -12 *5615:12 *26462:B 14.8714 -13 *5615:10 *26088:A 14.3357 -14 *5615:8 *26153:A1 15.1571 -*END - -*D_NET *5616 0.0218606 -*CONN -*I *24944:B I *D sky130_fd_sc_hd__nor2_8 -*I *24940:B I *D sky130_fd_sc_hd__nor2_8 -*I *24958:A I *D sky130_fd_sc_hd__nor2_4 -*I *24949:B I *D sky130_fd_sc_hd__nor2_8 -*I *24934:B I *D sky130_fd_sc_hd__nor2_8 -*I *24948:B I *D sky130_fd_sc_hd__nor2_8 -*I *30784:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *24944:B 0.000192525 -2 *24940:B 0 -3 *24958:A 0.000306469 -4 *24949:B 0 -5 *24934:B 0.000516987 -6 *24948:B 0.00017242 -7 *30784:X 0.000850658 -8 *5616:44 0.0010454 -9 *5616:34 0.000437798 -10 *5616:30 0.000652399 -11 *5616:25 0.000702508 -12 *5616:20 0.00102158 -13 *5616:19 0.00124133 -14 *24934:B *24934:A 0.000135028 -15 *24934:B *5795:106 0.000223469 -16 *24944:B *5643:80 0.000505203 -17 *24948:B *5795:106 0.000461937 -18 *24958:A *24958:B 3.20741e-05 -19 *24958:A *5667:25 0.000712609 -20 *5616:19 *30784:A 9.41642e-05 -21 *5616:30 *6280:18 0.000705654 -22 *5616:34 *6280:18 0.000215021 -23 *5616:44 *5869:85 2.01997e-05 -24 *24940:A *5616:30 4.58194e-05 -25 *25098:B *5616:44 0.000107157 -26 *25104:B1 *5616:44 0.000135028 -27 *30772:A *24958:A 0.000339346 -28 *1230:51 *24948:B 5.31122e-05 -29 *1230:51 *5616:44 0.000129139 -30 *1260:7 *24934:B 1.24368e-05 -31 *1266:22 *5616:25 9.77414e-05 -32 *1266:22 *5616:30 9.60939e-05 -33 *1266:64 *5616:25 8.2607e-05 -34 *1268:39 *5616:25 1.33343e-05 -35 *1284:7 *24958:A 5.33005e-05 -36 *1284:19 *24958:A 8.25843e-06 -37 *1288:10 *5616:44 0.000216297 -38 *1456:125 *5616:19 0.000303092 -39 *1494:22 *5616:19 9.5375e-05 -40 *1555:20 *5616:20 8.79057e-05 -41 *1576:8 *5616:30 0.000698746 -42 *1576:8 *5616:34 0.000215021 -43 *1576:8 *5616:44 2.84664e-05 -44 *1661:19 *5616:19 5.00194e-05 -45 *1661:19 *5616:20 5.23541e-05 -46 *2778:121 *5616:44 0.000136694 -47 *2893:26 *5616:19 0.00140416 -48 *2893:43 *5616:20 0.000268693 -49 *3197:74 *24944:B 0.000546067 -50 *3216:203 *24944:B 1.21258e-05 -51 *3685:89 *24934:B 9.58181e-05 -52 *3758:43 *24948:B 5.1588e-05 -53 *3758:43 *5616:44 0.000544888 -54 *3857:91 *24934:B 0.000240184 -55 *3857:91 *24948:B 0.000463591 -56 *4043:70 *5616:19 0.00140061 -57 *4043:70 *5616:20 0.000940507 -58 *4043:70 *5616:25 0.00110644 -59 *4129:96 *5616:20 0.000159689 -60 *4129:96 *5616:25 0.00110644 -61 *4133:133 *5616:19 1.36734e-05 -62 *5585:47 *24934:B 0.000190427 -63 *5585:47 *5616:19 1.2928e-05 -*RES -1 *30784:X *5616:19 49.3572 -2 *5616:19 *5616:20 12.4196 -3 *5616:20 *5616:25 20.6607 -4 *5616:25 *5616:30 14.6786 -5 *5616:30 *5616:34 7.35714 -6 *5616:34 *5616:44 20.1004 -7 *5616:44 *24948:B 19.175 -8 *5616:44 *24934:B 24.3536 -9 *5616:34 *24949:B 9.3 -10 *5616:30 *24958:A 21.6393 -11 *5616:25 *24940:B 9.3 -12 *5616:20 *24944:B 19.6929 -*END - -*D_NET *5617 0.0295338 -*CONN -*I *30784:A I *D sky130_fd_sc_hd__buf_12 -*I *24935:B I *D sky130_fd_sc_hd__nor2_8 -*I *24946:B I *D sky130_fd_sc_hd__nor2_8 -*I *25089:B I *D sky130_fd_sc_hd__nor2_4 -*I *25102:A I *D sky130_fd_sc_hd__nor2_4 -*I *25135:B I *D sky130_fd_sc_hd__nor2_8 -*I *25154:B I *D sky130_fd_sc_hd__nor2_2 -*I *25113:A I *D sky130_fd_sc_hd__nor2_4 -*I *30785:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *30784:A 0.000343216 -2 *24935:B 0.00010825 -3 *24946:B 2.00462e-05 -4 *25089:B 0 -5 *25102:A 0.000781507 -6 *25135:B 0 -7 *25154:B 0.000134791 -8 *25113:A 5.64055e-05 -9 *30785:X 6.1456e-05 -10 *5617:92 0.00156792 -11 *5617:75 0.00171282 -12 *5617:57 0.00188471 -13 *5617:39 0.00131407 -14 *5617:31 0.00102883 -15 *5617:19 0.00223744 -16 *5617:5 0.00197886 -17 *25154:B *5891:33 1.98839e-05 -18 *5617:19 *25084:A 5.33005e-05 -19 *5617:92 *5656:137 0 -20 *6603:DIODE *5617:92 2.84109e-05 -21 *24946:A *5617:75 5.33005e-05 -22 *25102:B *25102:A 0.000549154 -23 *25154:A *25154:B 9.41642e-05 -24 *25173:A2 *5617:57 0.000713031 -25 *25204:B1 *25113:A 3.34366e-05 -26 *25251:C *5617:92 0.000105471 -27 *25329:B1 *5617:19 2.55806e-05 -28 *25372:B1 *25154:B 1.90936e-05 -29 *1272:9 *24946:B 1.02132e-05 -30 *1272:9 *5617:75 0.000270059 -31 *1272:9 *5617:92 0.000120695 -32 *1272:40 *5617:92 0.000128523 -33 *1287:132 *5617:57 0.000168046 -34 *1293:75 *5617:92 0.000135028 -35 *1326:122 *5617:92 0.000221815 -36 *1327:37 *5617:5 4.87953e-05 -37 *1327:37 *5617:19 0.000995898 -38 *1395:146 *5617:57 0.000416707 -39 *1396:171 *25154:B 0.000175905 -40 *1403:26 *25102:A 0.000782664 -41 *1405:5 *5617:19 1.00733e-05 -42 *1405:30 *5617:19 0.000359904 -43 *1406:45 *5617:57 0.000259549 -44 *1410:71 *5617:57 0.00022328 -45 *1449:21 *5617:57 0.000547852 -46 *1456:18 *5617:57 0.000559443 -47 *1456:125 *30784:A 0.000246956 -48 *1475:8 *25154:B 5.33005e-05 -49 *1477:9 *5617:19 0.00108535 -50 *1483:10 *25113:A 0.000126446 -51 *1571:5 *5617:39 2.54304e-06 -52 *1633:49 *5617:92 0.000237312 -53 *1646:11 *25113:A 5.57914e-05 -54 *1689:8 *25102:A 1.02603e-05 -55 *1781:25 *5617:19 0.00158069 -56 *1781:25 *5617:31 0.000467426 -57 *1781:25 *5617:75 0.000466997 -58 *3541:11 *24935:B 0.000147836 -59 *3686:83 *25102:A 0.000130975 -60 *3738:58 *25102:A 0.000915072 -61 *3758:43 *24935:B 3.58934e-05 -62 *3758:43 *5617:92 7.92975e-05 -63 *3868:74 *25154:B 9.11494e-05 -64 *3868:74 *5617:57 0.000416707 -65 *4076:72 *24935:B 0.000221307 -66 *4076:72 *5617:92 0.000142797 -67 *4088:56 *5617:57 0.000717247 -68 *4113:125 *25102:A 4.54968e-05 -69 *4122:43 *5617:92 0.00010633 -70 *4142:56 *25102:A 0 -71 *4142:62 *25102:A 0.00100751 -72 *4146:84 *24935:B 1.58163e-05 -73 *5600:57 *5617:39 0.000317602 -74 *5605:127 *5617:39 1.28171e-05 -75 *5605:138 *5617:39 0.000315887 -76 *5605:138 *5617:57 2.72545e-05 -77 *5616:19 *30784:A 9.41642e-05 -*RES -1 *30785:X *5617:5 10.2464 -2 *5617:5 *25113:A 20.2464 -3 *5617:5 *5617:19 39.1786 -4 *5617:19 *25154:B 21.8 -5 *5617:19 *5617:31 4.64286 -6 *5617:31 *5617:39 14.75 -7 *5617:39 *25135:B 9.3 -8 *5617:39 *5617:57 45.9286 -9 *5617:57 *25102:A 40.9786 -10 *5617:57 *25089:B 9.3 -11 *5617:31 *5617:75 10.3929 -12 *5617:75 *24946:B 9.72857 -13 *5617:75 *5617:92 33.3393 -14 *5617:92 *24935:B 17.1929 -15 *5617:92 *30784:A 19.675 -*END - -*D_NET *5618 0.0508584 -*CONN -*I *25136:B I *D sky130_fd_sc_hd__nor2_4 -*I *25041:A I *D sky130_fd_sc_hd__nor2_8 -*I *25338:B I *D sky130_fd_sc_hd__nor2_1 -*I *25231:A I *D sky130_fd_sc_hd__nor2_2 -*I *24926:B I *D sky130_fd_sc_hd__nor2_8 -*I *26846:A1 I *D sky130_fd_sc_hd__o311a_1 -*I *25003:A I *D sky130_fd_sc_hd__nor2_8 -*I *25335:A I *D sky130_fd_sc_hd__nor2_2 -*I *25120:A I *D sky130_fd_sc_hd__nor2_4 -*I *25150:B I *D sky130_fd_sc_hd__nor2_8 -*I *31014:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *25136:B 2.16497e-05 -2 *25041:A 0 -3 *25338:B 2.49261e-05 -4 *25231:A 0.000129276 -5 *24926:B 0.000554027 -6 *26846:A1 0.000157468 -7 *25003:A 0 -8 *25335:A 2.03441e-05 -9 *25120:A 2.97294e-05 -10 *25150:B 0.000583274 -11 *31014:X 0 -12 *5618:142 0.00355369 -13 *5618:139 0.00506932 -14 *5618:126 0.0024242 -15 *5618:85 0.00150584 -16 *5618:61 0.000696764 -17 *5618:57 0.00138843 -18 *5618:47 0.000952787 -19 *5618:12 0.000813604 -20 *5618:10 0.00133811 -21 *5618:8 0.000319336 -22 *5618:6 0.00317993 -23 *5618:5 0.00223027 -24 *25150:B *5665:268 0.000132509 -25 *25231:A *5749:47 0.000350124 -26 *25335:A *25335:B 5.7661e-06 -27 *25338:B *5738:223 5.52302e-05 -28 *26846:A1 *6338:41 5.58941e-05 -29 *5618:6 *25957:A0 0.000186405 -30 *5618:6 *26836:C 0.000533948 -31 *5618:6 *28397:SET_B 3.78091e-05 -32 *5618:6 *5620:18 5.66311e-05 -33 *5618:6 *5620:20 0.000353103 -34 *5618:6 *5620:30 0.00237416 -35 *5618:6 *5620:44 0.000102809 -36 *5618:6 *5625:60 0.000105469 -37 *5618:6 *5626:151 0.000142812 -38 *5618:6 *5738:223 0.000752087 -39 *5618:8 *25957:A0 6.8646e-06 -40 *5618:8 *5620:44 2.09714e-05 -41 *5618:8 *5738:223 9.78027e-05 -42 *5618:10 *25957:A0 7.79781e-06 -43 *5618:10 *5620:44 0.000438174 -44 *5618:47 *25298:B 0.000465884 -45 *5618:47 *25335:B 2.89114e-05 -46 *5618:47 *25953:A1 0.000382245 -47 *5618:47 *28394:RESET_B 2.58757e-05 -48 *5618:47 *5622:79 1.94945e-05 -49 *5618:57 *25335:B 2.14658e-05 -50 *5618:61 *24955:B 0.000272313 -51 *5618:61 *30773:A 0.000154762 -52 *5618:61 *5869:32 2.94103e-05 -53 *5618:85 *25387:A1 0.000128637 -54 *5618:85 *5869:32 6.30388e-05 -55 *5618:139 *25936:B 8.08603e-05 -56 *5618:139 *5624:41 8.78754e-05 -57 *5618:142 *5691:252 5.33005e-05 -58 *5618:142 *5691:260 0.000642697 -59 *24910:A *5618:139 1.94945e-05 -60 *25387:B2 *25231:A 2.06178e-05 -61 *25387:B2 *5618:85 7.16042e-05 -62 *25388:A1 *5618:85 8.78754e-05 -63 *25388:A2 *5618:85 0.000139907 -64 *25388:C1 *5618:85 1.02936e-05 -65 *25398:A2 *5618:139 0.000191908 -66 *25405:D *25120:A 9.60875e-05 -67 *25584:A *5618:12 2.20608e-05 -68 *25584:A *5618:47 0.000116299 -69 *25757:A0 *5618:142 0.000167489 -70 *26846:A2 *26846:A1 0.000173083 -71 *26846:B1 *26846:A1 1.69115e-05 -72 *26855:A1 *5618:126 9.56978e-05 -73 *27919:A2 *5618:47 2.11419e-05 -74 *28397:D *5618:6 0.000158853 -75 *28398:CLK *25338:B 5.49544e-05 -76 *28448:CLK *5618:139 0.000298754 -77 *28626:CLK *25231:A 0.000348207 -78 *29150:A *5618:126 0.00022181 -79 *29326:A *5618:126 1.24368e-05 -80 *29995:A *5618:142 2.41649e-05 -81 *30833:A *5618:47 8.3497e-05 -82 *30871:A *24926:B 0.000239381 -83 *30871:A *25231:A 0.000162047 -84 *1227:44 *5618:6 2.32e-05 -85 *1227:44 *5618:10 3.15263e-05 -86 *1227:44 *5618:12 5.47891e-05 -87 *1235:52 *5618:6 0.00014833 -88 *1243:69 *5618:61 0 -89 *1250:187 *5618:6 2.24164e-05 -90 *1254:127 *5618:85 0 -91 *1254:144 *25231:A 7.69776e-06 -92 *1257:120 *24926:B 2.42205e-05 -93 *1263:88 *25150:B 5.62602e-05 -94 *1278:18 *5618:61 0.000168217 -95 *1289:55 *5618:85 0.00018077 -96 *1323:16 *5618:6 4.33002e-05 -97 *1327:141 *25150:B 2.10458e-05 -98 *1328:22 *26846:A1 0.000130001 -99 *1328:22 *5618:61 0.000159531 -100 *1362:136 *5618:142 0.000403935 -101 *1362:152 *5618:142 2.59355e-05 -102 *1407:89 *5618:126 0.0001469 -103 *1416:53 *5618:6 0.000211698 -104 *1453:17 *25150:B 0.000129722 -105 *1457:15 *25136:B 5.54204e-05 -106 *1457:15 *5618:139 0.000631706 -107 *1482:8 *5618:6 0.00299847 -108 *1624:43 *25120:A 4.87854e-05 -109 *1653:35 *24926:B 0 -110 *1656:11 *25338:B 2.39313e-05 -111 *1656:11 *5618:6 0.00037743 -112 *1656:11 *5618:8 7.5779e-05 -113 *1705:17 *5618:6 4.00559e-05 -114 *1716:11 *25150:B 8.43368e-05 -115 *2780:72 *5618:85 0.000587187 -116 *2780:90 *26846:A1 8.24047e-05 -117 *2839:73 *26846:A1 0.000265453 -118 *2839:73 *5618:61 0.000305901 -119 *2891:24 *5618:6 7.57673e-05 -120 *3178:43 *24926:B 9.41642e-05 -121 *3183:77 *5618:6 5.16556e-05 -122 *3436:13 *5618:139 0.000193494 -123 *3459:23 *5618:139 0.000707933 -124 *3460:21 *5618:12 1.90936e-05 -125 *3648:91 *25150:B 1.94879e-05 -126 *3648:91 *5618:12 0.000388514 -127 *3648:98 *5618:10 0.000359565 -128 *3648:98 *5618:12 1.1594e-05 -129 *3648:107 *5618:10 0.000156888 -130 *3648:114 *25338:B 1.98839e-05 -131 *3790:53 *5618:6 0.000194531 -132 *3801:109 *5618:142 0.000917992 -133 *3816:27 *24926:B 0.000232668 -134 *3816:43 *24926:B 0.000364671 -135 *3844:40 *24926:B 0.000409442 -136 *3875:51 *25150:B 0.000182658 -137 *3972:38 *24926:B 0.000227371 -138 *4001:27 *25136:B 1.46883e-05 -139 *4001:27 *5618:139 7.41814e-05 -140 *5016:17 *5618:142 8.97678e-05 -141 *5036:25 *5618:139 0.000180348 -142 *5052:36 *5618:142 0.000592122 -143 *5354:17 *25150:B 1.94879e-05 -144 *5354:17 *5618:12 0.000153159 -145 *5483:135 *5618:61 0.000590707 -146 *5483:135 *5618:85 6.5185e-05 -147 *5491:42 *5618:139 0.000303972 -148 *5517:18 *24926:B 4.12124e-05 -149 *5522:56 *5618:85 1.00073e-05 -150 *5581:46 *5618:139 3.44248e-05 -151 *5599:91 *24926:B 0.000240972 -152 *5599:91 *25231:A 0.000133768 -*RES -1 *31014:X *5618:5 13.8 -2 *5618:5 *5618:6 64.1786 -3 *5618:6 *5618:8 1.64286 -4 *5618:8 *5618:10 7.25893 -5 *5618:10 *5618:12 5.4375 -6 *5618:12 *25150:B 30.1169 -7 *5618:12 *25120:A 14.7464 -8 *5618:10 *5618:47 25.0775 -9 *5618:47 *25335:A 9.72857 -10 *5618:47 *5618:57 5.03571 -11 *5618:57 *5618:61 19.7679 -12 *5618:61 *25003:A 9.3 -13 *5618:61 *26846:A1 23.7464 -14 *5618:57 *5618:85 27.3832 -15 *5618:85 *24926:B 28.5548 -16 *5618:85 *25231:A 21.1607 -17 *5618:8 *25338:B 14.7464 -18 *5618:6 *5618:126 21.1964 -19 *5618:126 *5618:139 40.9643 -20 *5618:139 *5618:142 44.3929 -21 *5618:142 *25041:A 9.3 -22 *5618:126 *25136:B 14.5321 -*END - -*D_NET *5619 0.0406059 -*CONN -*I *25131:B I *D sky130_fd_sc_hd__nor2_4 -*I *25080:A I *D sky130_fd_sc_hd__nor2_4 -*I *25106:A I *D sky130_fd_sc_hd__nor2_8 -*I *25087:A I *D sky130_fd_sc_hd__nor2_8 -*I *30786:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *25131:B 0 -2 *25080:A 0 -3 *25106:A 6.62907e-05 -4 *25087:A 0.000401409 -5 *30786:X 0.00225149 -6 *5619:76 0.00308774 -7 *5619:58 0.00449501 -8 *5619:39 0.00242182 -9 *5619:31 0.00241632 -10 *5619:22 0.00155039 -11 *5619:17 0.00273524 -12 *25087:A *25109:A 2.06112e-05 -13 *25087:A *5738:37 0.000132071 -14 *25087:A *5738:59 5.80929e-05 -15 *5619:17 *5657:49 0.00041596 -16 *5619:17 *5665:13 0.000112667 -17 *5619:17 *5665:14 0.000291725 -18 *5619:22 *5665:14 0.00126539 -19 *5619:31 *5665:14 0.00128271 -20 *5619:31 *5665:24 0.000533097 -21 *5619:31 *5691:157 0.000266672 -22 *5619:39 *27505:A1 7.65804e-05 -23 *5619:58 *25911:A0 0.000139828 -24 *5619:58 *27505:A1 0.000533066 -25 *5619:58 *27505:A2 0.000133084 -26 *5619:58 *5738:59 0.000107791 -27 *25097:A1 *5619:17 1.35137e-05 -28 *25097:A2 *5619:17 4.58194e-05 -29 *25178:B1 *25087:A 0.000264514 -30 *25262:B2 *5619:31 9.60939e-05 -31 *25282:C *5619:17 0.000157101 -32 *25408:B1 *5619:17 0.000461015 -33 *25906:A *5619:39 4.58194e-05 -34 *27037:S *5619:17 0.00120718 -35 *28225:D *25106:A 0.000136958 -36 *28225:D *5619:76 7.48301e-06 -37 *28358:CLK *5619:58 4.00679e-05 -38 *28358:D *5619:58 7.61932e-05 -39 *28834:CLK *5619:76 0.000177253 -40 *29176:A *5619:76 0.00210852 -41 *29266:A *5619:31 0.000424927 -42 *29266:A *5619:39 3.98559e-05 -43 *563:10 *5619:76 4.38074e-05 -44 *564:19 *5619:76 0.000293561 -45 *714:23 *5619:39 4.96113e-05 -46 *1239:14 *5619:17 9.39552e-05 -47 *1257:46 *5619:17 4.70761e-05 -48 *1395:35 *5619:31 0.00108702 -49 *1452:103 *5619:17 0.00024513 -50 *1452:103 *5619:22 0.00126452 -51 *1457:51 *5619:58 0.000144528 -52 *1471:43 *5619:76 0.000144381 -53 *1481:46 *5619:39 0.0010065 -54 *1722:21 *5619:22 0.000114258 -55 *2845:31 *5619:17 0.000137983 -56 *2878:25 *5619:17 7.02611e-05 -57 *2888:59 *5619:17 0.000339271 -58 *2888:71 *5619:17 0.000989963 -59 *3061:15 *5619:58 0.000401011 -60 *3185:271 *5619:17 1.1028e-05 -61 *3651:8 *25087:A 9.22222e-06 -62 *3651:10 *25087:A 0.000174852 -63 *3651:44 *5619:58 2.2355e-05 -64 *3653:15 *5619:76 0.000385049 -65 *3653:17 *5619:76 0.000207776 -66 *3653:22 *5619:76 9.0145e-05 -67 *3671:75 *5619:58 8.02709e-05 -68 *3801:56 *5619:58 0.000133084 -69 *3820:38 *5619:31 0.000358442 -70 *3868:41 *5619:17 5.58941e-05 -71 *3884:88 *5619:39 1.24368e-05 -72 *3884:88 *5619:58 3.97677e-05 -73 *3988:44 *5619:17 0.00017413 -74 *5013:14 *5619:58 0.000180953 -75 *5017:14 *25087:A 0.00032127 -76 *5017:14 *5619:58 0.000352159 -77 *5167:14 *5619:39 0.000838577 -78 *5442:27 *5619:31 9.41642e-05 -79 *5497:39 *5619:76 9.16737e-05 -80 *5522:32 *5619:39 6.57032e-05 -81 *5522:38 *5619:39 8.80625e-05 -82 *5522:52 *5619:31 0.000198718 -83 *5522:52 *5619:39 4.99681e-05 -*RES -1 *30786:X *5619:17 45.9521 -2 *5619:17 *5619:22 25.8214 -3 *5619:22 *5619:31 41.0714 -4 *5619:31 *5619:39 25.6429 -5 *5619:39 *5619:58 34.7905 -6 *5619:58 *25087:A 23.4875 -7 *5619:58 *5619:76 49.4907 -8 *5619:76 *25106:A 10.6571 -9 *5619:39 *25080:A 9.3 -10 *5619:22 *25131:B 9.3 -*END - -*D_NET *5620 0.0296571 -*CONN -*I *25095:A I *D sky130_fd_sc_hd__nor2_4 -*I *30786:A I *D sky130_fd_sc_hd__buf_12 -*I *25100:A I *D sky130_fd_sc_hd__nor2_4 -*I *24947:B I *D sky130_fd_sc_hd__nor2_8 -*I *24922:B I *D sky130_fd_sc_hd__nor2_8 -*I *30787:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *25095:A 0 -2 *30786:A 0.000286972 -3 *25100:A 0.000186416 -4 *24947:B 0.000405854 -5 *24922:B 0.000279951 -6 *30787:X 0.00118243 -7 *5620:76 0.000301947 -8 *5620:46 0.00148896 -9 *5620:44 0.00173671 -10 *5620:30 0.00216699 -11 *5620:20 0.00159473 -12 *5620:18 0.00137228 -13 *24947:B *5665:285 0.000513585 -14 *30786:A *25330:A1 0 -15 *30786:A *26827:B 0.000175892 -16 *30786:A *5657:38 0.000218404 -17 *5620:18 *25351:A 2.18863e-05 -18 *5620:18 *5626:109 7.33728e-05 -19 *5620:18 *5634:52 0.00013248 -20 *5620:18 *5892:145 0.000357574 -21 *5620:30 *28397:SET_B 0.000159446 -22 *5620:44 *28394:D 5.92328e-05 -23 *5620:44 *5625:60 0.000105863 -24 *5620:76 *5657:38 4.08637e-05 -25 *24922:A *24922:B 5.52238e-05 -26 *24947:A *24947:B 9.90431e-05 -27 *25095:B *5620:18 0.000586809 -28 *25097:A2 *5620:18 6.13614e-05 -29 *25269:A1 *25100:A 0.00059804 -30 *25951:A0 *24922:B 9.41642e-05 -31 *26837:C1 *5620:18 0.00013248 -32 *27037:A1 *30786:A 9.41642e-05 -33 *27037:S *5620:18 0.000426391 -34 *29268:A *24922:B 0.000169236 -35 *29268:A *5620:46 0.000760692 -36 *29985:A *5620:44 0.000135028 -37 *30239:A *24922:B 0.000168393 -38 *30239:A *5620:46 8.98698e-05 -39 *1224:36 *5620:18 4.40913e-05 -40 *1227:44 *5620:30 2.28814e-05 -41 *1227:44 *5620:44 0.00107763 -42 *1253:112 *24947:B 3.82641e-05 -43 *1263:50 *30786:A 0.000185447 -44 *1263:62 *5620:46 8.3e-05 -45 *1263:64 *5620:46 0.000172607 -46 *1273:68 *5620:30 1.94945e-05 -47 *1277:8 *5620:18 8.34352e-05 -48 *1277:44 *5620:18 0.00013105 -49 *1294:19 *24947:B 1.5424e-05 -50 *1294:30 *24947:B 0.000257619 -51 *1323:16 *5620:44 4.50149e-05 -52 *1326:35 *5620:18 0.000277486 -53 *1441:14 *5620:46 2.09897e-05 -54 *1455:11 *5620:46 0.000144439 -55 *1455:93 *5620:18 0.00077826 -56 *1485:39 *5620:30 0.000106109 -57 *1547:36 *30786:A 0.000216755 -58 *1547:36 *5620:76 5.33005e-05 -59 *1656:11 *5620:44 0.00045355 -60 *1721:14 *5620:46 6.36411e-05 -61 *2859:35 *30786:A 4.08666e-05 -62 *2865:57 *5620:30 0.000114854 -63 *2871:36 *5620:30 0.000220381 -64 *2872:29 *5620:30 0.000124642 -65 *2895:61 *5620:18 9.70394e-05 -66 *3178:43 *5620:30 9.58181e-05 -67 *3178:55 *5620:30 1.90936e-05 -68 *3183:77 *5620:18 6.09762e-05 -69 *3183:77 *5620:20 0.000361133 -70 *3183:77 *5620:30 0.000811497 -71 *3183:86 *5620:30 0.000265973 -72 *3209:52 *25100:A 0.000255322 -73 *3568:110 *24922:B 0.000272242 -74 *3569:92 *24922:B 0.0006859 -75 *3648:11 *5620:46 0.000174593 -76 *3648:91 *5620:44 3.27359e-05 -77 *3648:91 *5620:46 0.000372088 -78 *3648:98 *5620:44 0.000122812 -79 *3782:26 *5620:30 0.000122221 -80 *3843:8 *5620:30 3.03318e-05 -81 *3843:17 *5620:30 6.33096e-05 -82 *3875:51 *24947:B 0.000552531 -83 *3875:51 *5620:46 0 -84 *5605:33 *5620:18 0.000791642 -85 *5618:6 *5620:18 5.66311e-05 -86 *5618:6 *5620:20 0.000353103 -87 *5618:6 *5620:30 0.00237416 -88 *5618:6 *5620:44 0.000102809 -89 *5618:8 *5620:44 2.09714e-05 -90 *5618:10 *5620:44 0.000438174 -*RES -1 *30787:X *5620:18 41.936 -2 *5620:18 *5620:20 4.98214 -3 *5620:20 *5620:30 42.5982 -4 *5620:30 *5620:44 31.2411 -5 *5620:44 *5620:46 19.8571 -6 *5620:46 *24922:B 23.175 -7 *5620:46 *24947:B 23.8 -8 *5620:20 *25100:A 19.675 -9 *5620:18 *5620:76 5.03571 -10 *5620:76 *30786:A 25.2286 -11 *5620:76 *25095:A 9.3 -*END - -*D_NET *5621 0.0236707 -*CONN -*I *24956:B I *D sky130_fd_sc_hd__nor2_8 -*I *25158:A I *D sky130_fd_sc_hd__nor2_4 -*I *24951:B I *D sky130_fd_sc_hd__nor2_8 -*I *24939:A I *D sky130_fd_sc_hd__nor2_8 -*I *24965:A I *D sky130_fd_sc_hd__nor2_2 -*I *24950:B I *D sky130_fd_sc_hd__nor2_8 -*I *30787:A I *D sky130_fd_sc_hd__buf_12 -*I *30788:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *24956:B 0 -2 *25158:A 1.69531e-05 -3 *24951:B 0 -4 *24939:A 0.000126788 -5 *24965:A 0 -6 *24950:B 9.69284e-05 -7 *30787:A 2.04426e-05 -8 *30788:X 0.00182753 -9 *5621:75 0.000370073 -10 *5621:69 0.000470631 -11 *5621:45 0.000405075 -12 *5621:44 0.000287704 -13 *5621:42 0.000682479 -14 *5621:34 0.00248858 -15 *5621:17 0.00384402 -16 *5621:34 *5817:16 0.00024863 -17 *5621:34 *5869:74 0.000114637 -18 *5621:34 *5892:124 0.000250713 -19 *5621:42 *5869:8 0.000109568 -20 *5621:69 *5691:93 0.000117018 -21 *5621:69 *5869:8 9.54798e-06 -22 *25095:B *24950:B 1.46148e-05 -23 *25107:C1 *5621:34 0.00233359 -24 *25284:B *5621:34 0.000216861 -25 *26837:A1 *24939:A 0.000166795 -26 *26837:A1 *5621:75 0.000131978 -27 *30549:A *24939:A 5.29732e-05 -28 *30549:A *5621:75 8.44715e-05 -29 *30788:A *5621:17 0.000110341 -30 *1178:82 *5621:17 9.41642e-05 -31 *1178:82 *5621:34 0.000182459 -32 *1182:32 *5621:69 7.6644e-05 -33 *1182:42 *30787:A 2.59355e-05 -34 *1182:42 *5621:45 0.000411868 -35 *1235:35 *24950:B 0.000149985 -36 *1245:51 *5621:42 6.57927e-05 -37 *1271:122 *25158:A 5.33005e-05 -38 *1282:8 *5621:34 9.54798e-06 -39 *1282:8 *5621:42 1.1594e-05 -40 *1282:12 *5621:42 0.000494048 -41 *1282:119 *5621:34 0.0017088 -42 *1289:34 *5621:69 0.000118378 -43 *1289:34 *5621:75 9.87936e-06 -44 *1360:44 *5621:69 0.00014576 -45 *1360:44 *5621:75 0.000142974 -46 *1400:95 *24939:A 0.000135028 -47 *1401:77 *5621:75 0.000121573 -48 *1416:53 *24950:B 1.32056e-05 -49 *1416:64 *5621:45 9.41642e-05 -50 *1421:93 *5621:34 8.83488e-05 -51 *1421:100 *5621:34 5.4869e-05 -52 *1449:84 *5621:34 0.000521223 -53 *1476:183 *5621:34 0.000302557 -54 *1482:8 *24950:B 5.58875e-06 -55 *1494:48 *5621:17 9.0145e-05 -56 *1538:37 *5621:34 1.70955e-05 -57 *1594:23 *5621:69 5.00194e-05 -58 *1594:35 *5621:42 0.000233456 -59 *1594:35 *5621:69 1.68244e-05 -60 *1627:12 *5621:17 0.000240477 -61 *1648:24 *5621:17 6.61411e-05 -62 *1705:17 *24950:B 0.000163062 -63 *2778:120 *5621:34 0.000386164 -64 *2882:46 *5621:42 0.00030355 -65 *2894:44 *30787:A 5.33005e-05 -66 *2894:44 *5621:45 0.000929143 -67 *3170:41 *24939:A 0.000108007 -68 *3182:55 *5621:34 0.000127359 -69 *3570:27 *24950:B 5.49489e-05 -70 *3844:75 *5621:17 4.58194e-05 -71 *3867:60 *5621:34 1.81922e-05 -72 *3921:77 *24939:A 3.56998e-05 -73 *3985:33 *25158:A 5.33005e-05 -74 *5597:21 *5621:17 0.000690908 -75 *5600:14 *5621:34 1.44954e-05 -76 *5600:14 *5621:42 0.000287002 -77 *5606:14 *5621:69 8.42484e-06 -78 *5606:14 *5621:75 4.05143e-05 -*RES -1 *30788:X *5621:17 48.1214 -2 *5621:17 *5621:34 30.9195 -3 *5621:34 *5621:42 21.9643 -4 *5621:42 *5621:44 4.5 -5 *5621:44 *5621:45 9.16071 -6 *5621:45 *30787:A 9.83571 -7 *5621:45 *24950:B 21.0857 -8 *5621:44 *24965:A 9.3 -9 *5621:42 *5621:69 5.51786 -10 *5621:69 *5621:75 5.64286 -11 *5621:75 *24939:A 17.4071 -12 *5621:75 *24951:B 13.8 -13 *5621:69 *25158:A 14.3357 -14 *5621:34 *24956:B 13.8 -*END - -*D_NET *5622 0.0377602 -*CONN -*I *25116:A I *D sky130_fd_sc_hd__nor2_4 -*I *24911:A I *D sky130_fd_sc_hd__nor2_4 -*I *24955:A I *D sky130_fd_sc_hd__nor2_8 -*I *24918:A I *D sky130_fd_sc_hd__nor2_8 -*I *24952:B I *D sky130_fd_sc_hd__nor2_4 -*I *25134:A I *D sky130_fd_sc_hd__nor2_4 -*I *24945:A I *D sky130_fd_sc_hd__nor2_8 -*I *25160:A I *D sky130_fd_sc_hd__nor2_2 -*I *30789:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *25116:A 0 -2 *24911:A 0.000367018 -3 *24955:A 0 -4 *24918:A 8.04894e-05 -5 *24952:B 0.000341354 -6 *25134:A 0.000169149 -7 *24945:A 0 -8 *25160:A 0.000334132 -9 *30789:X 0.00151388 -10 *5622:106 0.000764359 -11 *5622:93 0.000643855 -12 *5622:90 0.000318326 -13 *5622:79 0.000830932 -14 *5622:74 0.00185234 -15 *5622:48 0.00420884 -16 *5622:26 0.0036038 -17 *5622:22 0.00208349 -18 *24918:A *6332:39 8.11174e-05 -19 *24952:B *6332:39 0.000575295 -20 *5622:26 *5666:262 0.000654414 -21 *5622:48 *5624:18 9.6209e-05 -22 *5622:48 *5666:216 2.66789e-06 -23 *5622:79 *5698:203 9.60875e-05 -24 *5622:106 *5665:268 0.000307894 -25 *24922:A *24952:B 4.60153e-05 -26 *24952:A *24952:B 3.41517e-06 -27 *25105:B1 *5622:106 0.000144038 -28 *25270:A2 *24911:A 4.04292e-05 -29 *25302:A2 *5622:26 0.000107648 -30 *25330:A2 *5622:26 0.00036936 -31 *25330:A2 *5622:48 0.00077426 -32 *25339:A1 *5622:74 5.49489e-05 -33 *25339:A2 *5622:74 3.04242e-05 -34 *25395:A1 *5622:26 8.55871e-05 -35 *25396:A1 *5622:48 0.000132436 -36 *25396:A2 *5622:48 0.000141657 -37 *25404:A1 *25134:A 0.000160329 -38 *25404:A1 *5622:93 4.51726e-05 -39 *25405:C *25134:A 5.52238e-05 -40 *25405:C *5622:93 5.33005e-05 -41 *25410:A1 *5622:48 0.000138047 -42 *25413:D *5622:48 0.000334761 -43 *25954:A *5622:74 0.000246568 -44 *27905:B2 *5622:48 0.00119371 -45 *27905:C1 *5622:48 3.30902e-06 -46 *27919:A2 *5622:79 0.000240409 -47 *30067:A *5622:106 0.000348656 -48 *1218:62 *5622:48 0.000458845 -49 *1227:26 *5622:22 7.54868e-05 -50 *1235:52 *5622:74 4.32198e-05 -51 *1235:52 *5622:79 2.43739e-05 -52 *1235:54 *5622:79 8.00747e-05 -53 *1248:14 *5622:90 0.000387425 -54 *1263:50 *5622:22 4.16231e-05 -55 *1273:47 *5622:74 8.28647e-05 -56 *1276:21 *5622:48 0.000217083 -57 *1278:5 *24952:B 0.000269378 -58 *1278:18 *24918:A 0.000249164 -59 *1278:18 *24952:B 0.00064675 -60 *1281:40 *5622:48 4.58318e-05 -61 *1323:16 *25160:A 0.000297271 -62 *1323:16 *5622:74 0.000316303 -63 *1327:141 *25134:A 0.000520522 -64 *1327:141 *5622:93 0.000337561 -65 *1328:50 *5622:48 1.3409e-05 -66 *1363:88 *5622:26 0.000177545 -67 *1401:49 *25160:A 0.00010016 -68 *1401:52 *5622:48 0.000407333 -69 *1421:26 *5622:48 8.78131e-05 -70 *1449:115 *5622:48 4.98422e-05 -71 *1455:11 *5622:79 0.000125178 -72 *1455:11 *5622:90 5.96516e-05 -73 *1504:16 *5622:74 0.000121411 -74 *1504:16 *5622:79 0.00114037 -75 *1504:16 *5622:106 0.000107133 -76 *1590:15 *24911:A 0.000424029 -77 *1656:11 *5622:74 0.000247227 -78 *1657:19 *5622:74 7.42784e-05 -79 *1657:40 *5622:48 0.000635895 -80 *1657:54 *5622:26 0.000150854 -81 *1657:54 *5622:48 0.000144556 -82 *1657:56 *5622:26 1.74156e-05 -83 *1659:31 *5622:48 9.67903e-05 -84 *1718:12 *5622:106 0.000213146 -85 *1729:10 *5622:26 3.58828e-05 -86 *1729:10 *5622:48 1.54952e-05 -87 *2853:48 *5622:48 1.94879e-05 -88 *2860:64 *25160:A 0.000311668 -89 *2894:54 *5622:26 0.000173083 -90 *3153:32 *5622:48 6.8004e-05 -91 *3174:56 *5622:48 2.03997e-05 -92 *3191:37 *5622:74 8.11174e-05 -93 *3191:47 *5622:74 5.96516e-05 -94 *3194:108 *5622:22 0.000442944 -95 *3209:52 *5622:48 1.90936e-05 -96 *3546:22 *5622:48 1.90936e-05 -97 *3845:9 *5622:74 0.000261197 -98 *3845:31 *25160:A 7.88932e-05 -99 *3845:31 *5622:74 0.00037187 -100 *3853:19 *24911:A 5.58875e-06 -101 *3853:45 *5622:74 3.8524e-05 -102 *3854:10 *25160:A 6.95556e-05 -103 *3875:73 *5622:22 0 -104 *3996:19 *5622:74 0 -105 *4001:27 *25160:A 6.77187e-05 -106 *4022:76 *5622:26 0.000328661 -107 *5052:19 *5622:79 0.000342302 -108 *5052:19 *5622:90 0.000135028 -109 *5196:62 *5622:22 0.000732244 -110 *5217:14 *5622:22 0.000264179 -111 *5430:12 *5622:79 0.000419367 -112 *5499:59 *24911:A 5.20232e-05 -113 *5499:59 *5622:90 0.000387425 -114 *5508:44 *5622:93 7.95355e-05 -115 *5605:10 *24918:A 9.60939e-05 -116 *5605:10 *24952:B 0.000505757 -117 *5605:10 *5622:106 7.56367e-05 -118 *5618:47 *5622:79 1.94945e-05 -*RES -1 *30789:X *5622:22 49.1214 -2 *5622:22 *5622:26 20.6607 -3 *5622:26 *5622:48 41.6862 -4 *5622:48 *25160:A 18.9697 -5 *5622:48 *5622:74 27.3814 -6 *5622:74 *5622:79 23.2143 -7 *5622:79 *24945:A 9.3 -8 *5622:79 *5622:90 15.3393 -9 *5622:90 *5622:93 3.83929 -10 *5622:93 *25134:A 14.7821 -11 *5622:93 *5622:106 18.5357 -12 *5622:106 *24952:B 21.0857 -13 *5622:106 *24918:A 11.8893 -14 *5622:90 *24955:A 9.3 -15 *5622:74 *24911:A 27.7643 -16 *5622:26 *25116:A 13.8 -*END - -*D_NET *5623 0.0459782 -*CONN -*I *24953:A I *D sky130_fd_sc_hd__nor2_4 -*I *25119:A I *D sky130_fd_sc_hd__nor2_4 -*I *30789:A I *D sky130_fd_sc_hd__buf_12 -*I *24916:A I *D sky130_fd_sc_hd__nor2_8 -*I *24920:B I *D sky130_fd_sc_hd__nor2_8 -*I *25074:A I *D sky130_fd_sc_hd__nor2_4 -*I *25079:B I *D sky130_fd_sc_hd__nor2_4 -*I *30790:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *24953:A 0.000286065 -2 *25119:A 0 -3 *30789:A 0 -4 *24916:A 0.000226557 -5 *24920:B 9.69466e-05 -6 *25074:A 0.000167118 -7 *25079:B 0 -8 *30790:X 0.00105488 -9 *5623:137 0.00194048 -10 *5623:91 0.000399219 -11 *5623:89 0.000243785 -12 *5623:85 0.00016807 -13 *5623:83 0.00265806 -14 *5623:66 0.00392372 -15 *5623:47 0.00282139 -16 *5623:41 0.000251412 -17 *5623:37 0.00217428 -18 *5623:23 0.00367095 -19 *5623:13 0.00273452 -20 *24916:A *24916:B 5.33005e-05 -21 *25074:A *25694:A2 0.000185006 -22 *5623:13 *28258:RESET_B 0.000125355 -23 *5623:13 *5686:61 0.000101136 -24 *5623:13 *5741:53 4.16984e-05 -25 *5623:13 *5741:67 7.65804e-05 -26 *5623:23 *5626:19 0.000350933 -27 *5623:23 *5741:67 0.000407938 -28 *5623:37 *5626:19 0.000948478 -29 *5623:37 *5646:157 0.000137561 -30 *5623:37 *5686:185 9.60875e-05 -31 *5623:41 *25086:A 3.88665e-05 -32 *5623:41 *5848:27 0.000175892 -33 *5623:47 *5848:27 0.000180355 -34 *5623:83 *5626:105 6.05161e-06 -35 *5623:83 *5685:39 5.83233e-05 -36 *5623:137 *5626:30 0.000148137 -37 *5623:137 *5626:69 0.000115552 -38 *5623:137 *5848:27 1.33343e-05 -39 *24924:A *24953:A 0.000883642 -40 *25081:A2 *5623:66 7.15101e-05 -41 *25117:A2 *5623:137 9.56815e-06 -42 *25122:B1 *5623:66 0.00012956 -43 *25138:C1 *5623:37 5.20359e-06 -44 *25214:B1 *5623:83 0.000475297 -45 *25331:A2 *5623:137 8.6229e-06 -46 *25334:C *5623:137 0 -47 *25395:A1 *5623:83 0.000475297 -48 *25865:A0 *5623:23 5.49489e-05 -49 *27587:B1 *5623:23 2.47753e-05 -50 *27587:B2 *5623:23 5.33005e-05 -51 *27588:C1 *5623:23 0.000221641 -52 *28311:D *5623:23 0.000259267 -53 *28334:CLK *5623:13 6.86792e-05 -54 *28718:D *5623:23 0.000428211 -55 *29330:A *5623:23 9.87617e-05 -56 *30324:A *24920:B 1.41029e-05 -57 *30344:A *5623:23 2.89114e-05 -58 *30490:A *5623:23 9.83388e-05 -59 *1205:22 *5623:23 3.16198e-05 -60 *1242:9 *24916:A 0.000282932 -61 *1246:6 *24920:B 0.000194479 -62 *1246:6 *5623:89 0.00021055 -63 *1246:6 *5623:91 0.000167816 -64 *1247:12 *5623:13 0.000813286 -65 *1247:25 *5623:23 0.000197839 -66 *1247:25 *5623:37 0.000114663 -67 *1247:43 *5623:37 0.000139215 -68 *1277:33 *5623:66 0.00203387 -69 *1294:95 *5623:66 8.44015e-06 -70 *1360:20 *5623:41 0.000307272 -71 *1396:45 *5623:13 0.000101136 -72 *1400:21 *5623:41 5.33005e-05 -73 *1400:21 *5623:47 4.08637e-05 -74 *1400:30 *5623:47 5.33005e-05 -75 *1439:37 *5623:137 0.000251551 -76 *1440:19 *5623:89 0.000177821 -77 *1442:14 *5623:66 0.000479619 -78 *1442:14 *5623:83 0.000264744 -79 *1448:160 *5623:66 0.00121176 -80 *1456:116 *5623:137 0.000473696 -81 *1457:117 *5623:137 0 -82 *1491:22 *5623:89 8.55871e-05 -83 *1613:6 *5623:66 9.15842e-06 -84 *1613:6 *5623:83 0.000100462 -85 *1657:56 *5623:137 0 -86 *1689:8 *5623:41 0.000305557 -87 *1844:26 *25074:A 0.000187594 -88 *2852:191 *24916:A 0.000628348 -89 *2875:119 *5623:23 0.00023962 -90 *2877:52 *5623:83 0.000152133 -91 *3170:92 *5623:37 0.000449098 -92 *3174:102 *5623:37 0.000450806 -93 *3314:26 *24920:B 0.000117629 -94 *3314:26 *5623:89 7.81987e-05 -95 *3314:26 *5623:91 7.86179e-05 -96 *3637:40 *5623:13 0.000146821 -97 *3662:79 *5623:66 2.06112e-05 -98 *3723:62 *5623:23 0.000178425 -99 *3802:71 *5623:37 6.05161e-06 -100 *3845:62 *5623:137 0.000120255 -101 *3867:60 *5623:89 4.00349e-05 -102 *3875:73 *5623:137 0.000885926 -103 *3947:68 *5623:23 7.40839e-05 -104 *3961:64 *5623:37 6.03224e-05 -105 *3962:35 *5623:23 0.000887034 -106 *4101:28 *5623:23 0.000237913 -107 *4126:85 *5623:137 0.00103539 -108 *4132:65 *24953:A 0.000965369 -109 *5076:19 *5623:23 0.000351953 -110 *5210:13 *5623:37 0.00026893 -111 *5583:22 *5623:83 0.000622767 -112 *5597:21 *5623:66 9.60939e-05 -*RES -1 *30790:X *5623:13 35.925 -2 *5623:13 *5623:23 46.5179 -3 *5623:23 *5623:37 49.6607 -4 *5623:37 *5623:41 10.3393 -5 *5623:41 *25079:B 9.3 -6 *5623:41 *5623:47 1.76786 -7 *5623:47 *5623:66 45.6964 -8 *5623:66 *25074:A 17.6214 -9 *5623:66 *5623:83 45.9107 -10 *5623:83 *5623:85 4.5 -11 *5623:85 *5623:89 9.125 -12 *5623:89 *5623:91 2.25 -13 *5623:91 *24920:B 16.6571 -14 *5623:91 *24916:A 20.0857 -15 *5623:89 *30789:A 13.8 -16 *5623:85 *25119:A 9.3 -17 *5623:47 *5623:137 43.3036 -18 *5623:137 *24953:A 18.8714 -*END - -*D_NET *5624 0.0626044 -*CONN -*I *24914:A I *D sky130_fd_sc_hd__nor2_8 -*I *24880:A I *D sky130_fd_sc_hd__inv_2 -*I *25298:A I *D sky130_fd_sc_hd__nor2_2 -*I *25096:A I *D sky130_fd_sc_hd__nor2_1 -*I *24928:A I *D sky130_fd_sc_hd__nor2_4 -*I *24968:A I *D sky130_fd_sc_hd__nor2_8 -*I *24938:A I *D sky130_fd_sc_hd__nor2_8 -*I *25281:A1_N I *D sky130_fd_sc_hd__a2bb2o_1 -*I *25355:A I *D sky130_fd_sc_hd__nor2_1 -*I *30791:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *24914:A 0 -2 *24880:A 0.000192277 -3 *25298:A 0 -4 *25096:A 0.000145017 -5 *24928:A 2.03441e-05 -6 *24968:A 0.000311805 -7 *24938:A 2.15003e-05 -8 *25281:A1_N 0.000203961 -9 *25355:A 0.000280776 -10 *30791:X 0.00140767 -11 *5624:136 0.000718957 -12 *5624:132 0.00199289 -13 *5624:107 0.00238949 -14 *5624:94 0.00387834 -15 *5624:81 0.00224839 -16 *5624:63 0.00168112 -17 *5624:58 0.00290696 -18 *5624:41 0.000988404 -19 *5624:36 0.000763147 -20 *5624:31 0.00022728 -21 *5624:28 0.00156716 -22 *5624:18 0.00290965 -23 *24880:A *5711:67 0.000109616 -24 *24968:A *5665:285 0 -25 *25096:A *5749:32 0.000133477 -26 *25355:A *6332:39 0.00040045 -27 *5624:18 *25330:A1 1.61405e-05 -28 *5624:18 *25351:A 4.25652e-05 -29 *5624:18 *28436:RESET_B 0.000143376 -30 *5624:18 *30791:A 0.000160225 -31 *5624:18 *5634:52 2.11768e-05 -32 *5624:18 *5661:64 0.000123929 -33 *5624:18 *5738:130 1.78124e-05 -34 *5624:18 *5947:74 0.000184742 -35 *5624:28 *6464:DIODE 1.62539e-05 -36 *5624:28 *25585:B 1.6363e-05 -37 *5624:28 *5666:219 0.000599662 -38 *5624:58 *25951:A1 0.000141301 -39 *5624:63 *25951:A1 0.000226822 -40 *5624:63 *6332:39 0.00140875 -41 *5624:94 *25911:A0 0.000962409 -42 *5624:94 *5691:252 9.78326e-05 -43 *5624:94 *5705:162 4.06733e-05 -44 *5624:94 *6055:13 0.000135028 -45 *5624:94 *6324:17 0.000193467 -46 *5624:107 *25907:A0 7.07155e-06 -47 *5624:136 *5749:23 0.000647219 -48 *5624:136 *5749:32 3.72884e-05 -49 *24914:B *5624:31 0.000126771 -50 *24914:B *5624:36 5.33005e-05 -51 *25105:A1 *24968:A 0.000148911 -52 *25161:B2 *5624:28 0.000191058 -53 *25281:A2_N *25281:A1_N 9.71197e-05 -54 *25281:B1 *25281:A1_N 0.000120799 -55 *25281:B1 *5624:107 0.000564328 -56 *25281:B2 *25281:A1_N 0.000142427 -57 *25391:B2 *5624:28 0.000262686 -58 *25396:A1 *5624:18 0.00020859 -59 *25396:A2 *5624:18 6.12163e-05 -60 *25398:A1 *5624:94 5.2808e-05 -61 *25404:A1 *5624:58 9.25014e-06 -62 *25410:A1 *5624:18 0.000364921 -63 *25412:D *5624:18 1.48556e-05 -64 *25585:A *5624:28 0.000119437 -65 *25949:A0 *5624:63 2.06178e-05 -66 *26824:A *25096:A 0.000129015 -67 *26824:A *5624:136 0.000361799 -68 *26853:A *24880:A 5.52302e-05 -69 *27869:B1 *5624:94 0.000358825 -70 *27906:A1 *5624:28 0.000488834 -71 *27906:C1 *5624:28 2.96866e-05 -72 *27918:A2 *25355:A 1.83053e-05 -73 *27918:B1_N *25355:A 5.49489e-05 -74 *28020:S *5624:107 3.97677e-05 -75 *28834:D *5624:107 7.42485e-05 -76 *29268:A *5624:132 0.000344092 -77 *29355:A *5624:107 0.000440711 -78 *30008:A *5624:107 0.00151388 -79 *30017:A *5624:132 0.000266486 -80 *458:10 *25355:A 3.84377e-05 -81 *564:19 *5624:107 0.000150924 -82 *714:23 *5624:94 8.69554e-05 -83 *1141:20 *5624:63 0.000270621 -84 *1163:17 *5624:107 0.0010736 -85 *1227:68 *5624:132 0.000180501 -86 *1239:25 *5624:36 9.41642e-05 -87 *1253:112 *24928:A 5.7661e-06 -88 *1253:112 *24968:A 0.000181752 -89 *1253:112 *5624:136 5.12608e-05 -90 *1263:88 *5624:81 0.000793914 -91 *1263:122 *24938:A 1.00733e-05 -92 *1263:122 *5624:81 1.38323e-05 -93 *1263:122 *5624:94 0.000197045 -94 *1273:232 *5624:136 1.34548e-05 -95 *1276:21 *5624:18 6.25596e-05 -96 *1281:40 *5624:18 0.00104386 -97 *1294:77 *5624:81 4.26468e-05 -98 *1327:98 *5624:36 0.000185322 -99 *1327:98 *5624:41 0.000754712 -100 *1327:141 *5624:63 3.93957e-05 -101 *1327:156 *25096:A 9.66977e-05 -102 *1362:152 *5624:107 0.000202639 -103 *1407:89 *24880:A 0.000139478 -104 *1408:97 *25355:A 0.000399578 -105 *1408:97 *5624:63 0.00160212 -106 *1457:15 *5624:41 0.000305889 -107 *1457:15 *5624:58 8.6229e-06 -108 *1457:55 *5624:107 0.000299779 -109 *1471:12 *5624:58 0.000182604 -110 *1471:12 *5624:132 0.000264333 -111 *1471:121 *5624:28 9.7031e-05 -112 *1471:121 *5624:58 0.000255573 -113 *1471:127 *5624:28 0.000961621 -114 *1481:12 *5624:28 0.000230161 -115 *1629:14 *5624:28 0.00118447 -116 *1657:40 *5624:18 1.08706e-05 -117 *1659:10 *5624:63 2.91255e-05 -118 *1659:18 *5624:58 0.000251069 -119 *1659:18 *5624:63 0.000147121 -120 *1668:15 *5624:18 9.41642e-05 -121 *1718:12 *5624:132 0.00137133 -122 *1721:35 *5624:18 6.90187e-05 -123 *1727:19 *5624:18 0.000707183 -124 *1824:8 *24968:A 0 -125 *1898:17 *25355:A 4.33214e-05 -126 *2755:11 *24880:A 4.02038e-05 -127 *2853:48 *5624:18 0.000631615 -128 *3436:13 *5624:81 0.000360214 -129 *3459:23 *5624:41 0.000135028 -130 *3482:38 *5624:81 4.61388e-05 -131 *3536:31 *5624:107 0.000280305 -132 *3546:22 *5624:18 2.06112e-05 -133 *3568:17 *5624:107 3.28169e-05 -134 *3568:110 *24968:A 9.41642e-05 -135 *3568:110 *5624:136 5.49544e-05 -136 *3806:25 *25281:A1_N 4.58194e-05 -137 *3806:25 *5624:107 8.43535e-06 -138 *3820:25 *5624:132 0.000163406 -139 *3820:38 *5624:132 0.000112282 -140 *3844:25 *24880:A 0.000231535 -141 *3875:35 *24968:A 9.80173e-05 -142 *3983:24 *5624:107 7.25338e-05 -143 *4001:8 *5624:58 0.000229339 -144 *4001:27 *5624:28 0.000500188 -145 *4001:27 *5624:58 0.000170751 -146 *4074:59 *5624:28 0.000243353 -147 *4260:22 *5624:132 0.000940308 -148 *4263:9 *5624:81 0.000371016 -149 *4352:35 *25096:A 9.66977e-05 -150 *4801:19 *5624:107 9.02916e-05 -151 *4947:16 *5624:94 0.000358825 -152 *4952:14 *5624:136 0.000100925 -153 *5017:14 *5624:107 1.65169e-05 -154 *5041:21 *5624:58 9.58126e-05 -155 *5041:23 *5624:81 0.000834778 -156 *5041:23 *5624:94 0.000548732 -157 *5052:46 *5624:94 0.00242962 -158 *5126:11 *5624:94 0.000222814 -159 *5484:64 *5624:94 0.000178535 -160 *5488:79 *5624:41 8.03068e-05 -161 *5488:86 *5624:36 0.000177794 -162 *5488:86 *5624:41 0.000587308 -163 *5618:139 *5624:41 8.78754e-05 -164 *5622:48 *5624:18 9.6209e-05 -*RES -1 *30791:X *5624:18 46.7837 -2 *5624:18 *5624:28 48.9107 -3 *5624:28 *5624:31 5.85714 -4 *5624:31 *5624:36 8.41071 -5 *5624:36 *5624:41 17.6429 -6 *5624:41 *5624:58 26.4464 -7 *5624:58 *5624:63 9.5648 -8 *5624:63 *25355:A 19.6288 -9 *5624:63 *5624:81 22.5975 -10 *5624:81 *5624:94 33.6387 -11 *5624:94 *5624:107 49.875 -12 *5624:107 *25281:A1_N 14.0857 -13 *5624:81 *24938:A 9.72857 -14 *5624:58 *5624:132 37.1964 -15 *5624:132 *5624:136 15.3929 -16 *5624:136 *24968:A 24.6036 -17 *5624:136 *24928:A 9.72857 -18 *5624:132 *25096:A 18.2107 -19 *5624:41 *25298:A 9.3 -20 *5624:36 *24880:A 19.2821 -21 *5624:31 *24914:A 9.3 -*END - -*D_NET *5625 0.0790269 -*CONN -*I *6463:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6464:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25585:B I *D sky130_fd_sc_hd__and2_2 -*I *25164:B2 I *D sky130_fd_sc_hd__a221o_2 -*I *30693:X O *D sky130_fd_sc_hd__clkbuf_4 -*CAP -1 *6463:DIODE 8.06543e-05 -2 *6464:DIODE 0.000186608 -3 *25585:B 2.18958e-05 -4 *25164:B2 6.66282e-05 -5 *30693:X 0.000119157 -6 *5625:60 0.000926101 -7 *5625:52 0.00316637 -8 *5625:33 0.00284801 -9 *5625:29 0.00184733 -10 *5625:15 0.0093836 -11 *5625:14 0.00841742 -12 *5625:8 0.00728675 -13 *5625:7 0.00693803 -14 *6463:DIODE *5745:146 9.60875e-05 -15 *25164:B2 *28398:RESET_B 6.26774e-06 -16 *5625:8 *25320:A1 0 -17 *5625:8 *25320:B2 0 -18 *5625:8 *28555:SET_B 0 -19 *5625:8 *5700:269 0.000686015 -20 *5625:8 *5700:271 0.00226616 -21 *5625:8 *5767:89 0 -22 *5625:8 *5836:8 0.00237407 -23 *5625:8 *5936:6 0 -24 *5625:8 *5936:136 0 -25 *5625:8 *5936:138 0.00170879 -26 *5625:8 *5947:14 0.00103394 -27 *5625:8 *5947:118 0.0118787 -28 *5625:8 *5970:8 0 -29 *5625:14 *5700:271 0.000136164 -30 *5625:14 *5970:8 0 -31 *5625:15 *5667:271 0.000245197 -32 *5625:15 *5749:97 0.000534974 -33 *5625:15 *6303:31 8.25843e-06 -34 *5625:29 *25950:A1 0.000806567 -35 *5625:33 *25950:A1 0.000304394 -36 *5625:52 *5706:242 0.000428493 -37 *5625:60 *25959:A0 3.02799e-05 -38 *5625:60 *5706:242 5.45241e-05 -39 mgmt_gpio_oeb[10] *5625:8 0 -40 mgmt_gpio_oeb[11] *5625:8 0 -41 mgmt_gpio_oeb[5] *5625:8 0 -42 mgmt_gpio_oeb[9] *5625:8 0 -43 mgmt_gpio_out[10] *5625:8 0 -44 mgmt_gpio_out[5] *5625:8 0 -45 mgmt_gpio_out[9] *5625:8 0 -46 *6515:DIODE *5625:8 0.000128154 -47 *6516:DIODE *5625:8 0 -48 *24850:A *5625:8 0 -49 *24983:A2 *5625:15 0.000265884 -50 *24984:A2 *5625:15 0.000226867 -51 *25014:B1 *5625:8 0 -52 *25164:A1 *6463:DIODE 2.28598e-05 -53 *25164:A2 *25164:B2 7.70134e-06 -54 *25164:C1 *25164:B2 0.000144427 -55 *25164:C1 *5625:52 0.00028991 -56 *25275:B *5625:52 7.40571e-05 -57 *25310:A2 *5625:60 0.001083 -58 *25320:A2 *5625:8 0 -59 *25339:A1 *6464:DIODE 0.000221822 -60 *25339:A2 *5625:60 9.84768e-05 -61 *25550:A0 *5625:8 0 -62 *25585:A *25585:B 9.54798e-06 -63 *25706:S *5625:8 0.000109472 -64 *26829:A *5625:15 0.000139907 -65 *27088:A1 *5625:8 6.07328e-05 -66 *28618:CLK *6463:DIODE 5.52238e-05 -67 *28775:CLK *5625:29 5.33005e-05 -68 *28775:D *5625:15 0.000176592 -69 *28789:CLK *5625:29 0.000549842 -70 *29613:A *5625:15 1.21258e-05 -71 *29773:A *5625:8 0 -72 *29934:A *5625:29 0.000345257 -73 *30319:A *5625:15 0.000313241 -74 *30691:A *5625:8 0 -75 *30692:A *5625:8 0 -76 *30702:A *5625:14 0.000641173 -77 *30726:A *5625:8 3.69573e-05 -78 *30730:A *5625:8 5.10602e-05 -79 *30870:A *5625:8 0 -80 *282:21 *25164:B2 0.00014278 -81 *282:21 *5625:52 0.000465896 -82 *1244:63 *5625:15 0.000180073 -83 *1244:71 *5625:15 0.000264333 -84 *1250:79 *6463:DIODE 0.00011966 -85 *1277:53 *5625:52 0 -86 *1277:76 *5625:15 9.22103e-06 -87 *1307:23 *5625:15 0.000467849 -88 *1401:49 *5625:60 9.05749e-06 -89 *1471:121 *6464:DIODE 4.11218e-05 -90 *1471:121 *25585:B 4.15526e-05 -91 *1550:11 *5625:33 0.000123861 -92 *1826:85 *5625:15 0.00106558 -93 *1844:163 *5625:8 0.000233378 -94 *1844:183 *5625:8 0.000593812 -95 *1864:178 *5625:8 0.000139957 -96 *2778:55 *6463:DIODE 0.00011966 -97 *2815:11 *5625:15 0.00111425 -98 *2839:33 *5625:29 0.000164804 -99 *2860:56 *5625:52 0.000361501 -100 *3191:37 *5625:52 0.00198027 -101 *3558:285 *5625:8 0 -102 *3558:292 *5625:8 0 -103 *3679:89 *5625:8 0 -104 *3690:17 *5625:8 0 -105 *3790:11 *5625:29 0.000166511 -106 *3790:32 *5625:52 2.50124e-05 -107 *3853:45 *5625:60 0.000398185 -108 *3988:33 *5625:52 7.40571e-05 -109 *3995:26 *5625:15 0.000136958 -110 *5464:24 *5625:8 0 -111 *5581:25 *5625:15 1.04066e-05 -112 *5581:25 *5625:29 0.000648205 -113 *5581:39 *5625:29 0.000680001 -114 *5614:13 *5625:8 0 -115 *5618:6 *5625:60 0.000105469 -116 *5620:44 *5625:60 0.000105863 -117 *5624:28 *6464:DIODE 1.62539e-05 -118 *5624:28 *25585:B 1.6363e-05 -*RES -1 *30693:X *5625:7 15.1571 -2 *5625:7 *5625:8 225.366 -3 *5625:8 *5625:14 16.4018 -4 *5625:14 *5625:15 99.5179 -5 *5625:15 *5625:29 41.5357 -6 *5625:29 *5625:33 9.96429 -7 *5625:33 *25164:B2 15.8759 -8 *5625:33 *5625:52 49.3214 -9 *5625:52 *5625:60 14.6597 -10 *5625:60 *25585:B 14.3804 -11 *5625:60 *6464:DIODE 16.5589 -12 *5625:29 *6463:DIODE 20.8893 -*END - -*D_NET *5626 0.0608607 -*CONN -*I *24919:A I *D sky130_fd_sc_hd__nor2_8 -*I *25353:A I *D sky130_fd_sc_hd__nor2_1 -*I *25001:A I *D sky130_fd_sc_hd__nor2_8 -*I *30791:A I *D sky130_fd_sc_hd__buf_12 -*I *26836:A I *D sky130_fd_sc_hd__or3_1 -*I *25351:A I *D sky130_fd_sc_hd__nor2_1 -*I *24892:A I *D sky130_fd_sc_hd__nor2_8 -*I *24964:A I *D sky130_fd_sc_hd__nor2_8 -*I *31015:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *24919:A 0 -2 *25353:A 0.00123782 -3 *25001:A 0 -4 *30791:A 0.000372847 -5 *26836:A 1.69531e-05 -6 *25351:A 0.00050774 -7 *24892:A 0.000621183 -8 *24964:A 0 -9 *31015:X 0.00121066 -10 *5626:151 0.000545154 -11 *5626:137 0.000502928 -12 *5626:130 0.00155149 -13 *5626:109 0.00100343 -14 *5626:105 0.00135728 -15 *5626:99 0.00187583 -16 *5626:69 0.00402351 -17 *5626:49 0.00139438 -18 *5626:30 0.00391742 -19 *5626:29 0.00286223 -20 *5626:19 0.00612847 -21 *5626:11 0.00461185 -22 *25351:A *28436:RESET_B 5.33005e-05 -23 *25353:A *5661:42 4.31809e-05 -24 *30791:A *25330:A1 0 -25 *30791:A *26837:B1 1.98839e-05 -26 *30791:A *5947:74 1.24368e-05 -27 *5626:11 *28334:RESET_B 1.59373e-05 -28 *5626:11 *5889:28 0.000642319 -29 *5626:19 *28715:RESET_B 0.000180066 -30 *5626:19 *5686:35 0.000509791 -31 *5626:49 *5817:16 1.94879e-05 -32 *5626:49 *5869:85 4.00349e-05 -33 *5626:49 *5892:124 2.09826e-05 -34 *5626:49 *6280:18 4.00349e-05 -35 *5626:109 *5892:145 0.000580754 -36 *5626:151 *26837:B1 0.000216755 -37 *6591:DIODE *5626:49 0.000205056 -38 *24892:B *24892:A 3.63587e-05 -39 *24924:A *5626:69 1.39112e-05 -40 *25001:B *5626:151 5.33005e-05 -41 *25095:B *25351:A 0.000259648 -42 *25117:A2 *5626:30 0.000166093 -43 *25122:A2 *5626:29 3.75081e-05 -44 *25139:C *5626:49 0.000142979 -45 *25184:A1 *5626:105 0.00017561 -46 *25184:B2 *5626:109 6.74751e-05 -47 *25211:A1 *24892:A 0.000244658 -48 *25214:B1 *5626:99 0.000513686 -49 *25214:B1 *5626:109 6.56467e-05 -50 *25302:A2 *5626:109 0.000155286 -51 *25351:B *5626:137 8.6229e-06 -52 *25353:B *25353:A 0.000254378 -53 *25395:A1 *5626:99 0.000183743 -54 *27158:S *5626:19 9.18462e-05 -55 *27634:A1 *5626:105 0.000136676 -56 *28715:D *5626:19 2.73311e-05 -57 *30550:A *25351:A 0.000102196 -58 *1218:18 *24892:A 0.000273971 -59 *1218:18 *5626:69 1.94945e-05 -60 *1218:18 *5626:99 4.17433e-05 -61 *1224:36 *5626:137 1.04707e-05 -62 *1224:38 *5626:137 0.000115177 -63 *1235:17 *5626:49 0.000507686 -64 *1241:10 *5626:105 0.00160957 -65 *1247:25 *5626:19 1.42208e-05 -66 *1248:56 *25353:A 0.000184008 -67 *1253:34 *5626:49 0.000129245 -68 *1253:50 *5626:99 0.000143421 -69 *1263:50 *30791:A 0.000140022 -70 *1277:8 *25351:A 8.19176e-05 -71 *1277:44 *25351:A 0.000105469 -72 *1277:44 *5626:109 3.22681e-05 -73 *1279:44 *5626:49 0.00034012 -74 *1279:61 *24892:A 0.000361201 -75 *1279:61 *5626:99 0.00049 -76 *1281:75 *24892:A 0.000216092 -77 *1326:13 *25351:A 6.30931e-05 -78 *1400:95 *25353:A 0.000185525 -79 *1416:64 *5626:109 3.62926e-05 -80 *1430:88 *5626:29 0.000305626 -81 *1441:115 *5626:109 9.05221e-06 -82 *1446:29 *5626:49 0.000135028 -83 *1451:39 *5626:49 0.000376866 -84 *1455:96 *5626:99 0.000584528 -85 *1460:13 *5626:49 2.59355e-05 -86 *1460:25 *5626:29 0.00105099 -87 *1504:8 *25351:A 0.000329341 -88 *1504:8 *5626:109 0.000364603 -89 *1505:16 *5626:99 0.000700949 -90 *1535:55 *5626:105 0.00123804 -91 *1545:28 *5626:69 9.3313e-05 -92 *1545:28 *5626:99 2.55806e-05 -93 *1601:6 *5626:137 0.000135795 -94 *1657:56 *5626:30 0 -95 *1668:15 *25351:A 5.49544e-05 -96 *1676:14 *25353:A 0.000385277 -97 *1676:14 *5626:137 0.000216755 -98 *1705:13 *25351:A 0.000203112 -99 *1705:17 *5626:151 0.000110179 -100 *1834:84 *5626:99 0.000339871 -101 *2866:85 *5626:29 0.000921557 -102 *2875:63 *30791:A 6.28948e-05 -103 *2877:52 *5626:105 6.09764e-05 -104 *3153:32 *25353:A 0.000382744 -105 *3153:32 *26836:A 5.33005e-05 -106 *3153:32 *5626:137 0.000165109 -107 *3170:51 *30791:A 2.59355e-05 -108 *3170:51 *5626:151 4.73101e-05 -109 *3174:56 *26836:A 5.33005e-05 -110 *3174:56 *5626:137 0.000175892 -111 *3183:77 *5626:151 1.45812e-05 -112 *3214:112 *5626:29 0.000172681 -113 *3664:16 *5626:49 3.82437e-05 -114 *3739:92 *5626:29 2.13481e-06 -115 *3741:5 *5626:11 9.86146e-05 -116 *3741:27 *5626:11 0.000178847 -117 *3793:64 *5626:19 0.00038021 -118 *3845:62 *5626:69 0.00020996 -119 *3875:73 *5626:69 1.94945e-05 -120 *3907:63 *5626:49 6.81786e-05 -121 *3907:76 *5626:99 1.95781e-05 -122 *3913:73 *24892:A 0.000283456 -123 *3913:73 *5626:99 0.000214749 -124 *3920:83 *5626:29 0.000175892 -125 *3921:77 *30791:A 0 -126 *3962:35 *5626:29 0.000483045 -127 *3962:61 *5626:29 0.000490937 -128 *3984:10 *5626:19 1.51571e-05 -129 *3987:58 *5626:99 9.41642e-05 -130 *3988:44 *5626:99 0.000989964 -131 *3988:44 *5626:109 3.73033e-05 -132 *4022:76 *24892:A 0.000214377 -133 *4036:40 *5626:29 0.00017096 -134 *4113:98 *5626:19 4.91303e-05 -135 *4133:92 *5626:99 0.000240592 -136 *5076:19 *5626:19 0.00059377 -137 *5210:13 *5626:19 0.000469502 -138 *5604:9 *5626:49 4.37712e-06 -139 *5604:20 *5626:49 0 -140 *5604:57 *5626:49 3.2209e-06 -141 *5606:14 *5626:109 0.00030355 -142 *5618:6 *5626:151 0.000142812 -143 *5620:18 *25351:A 2.18863e-05 -144 *5620:18 *5626:109 7.33728e-05 -145 *5623:23 *5626:19 0.000350933 -146 *5623:37 *5626:19 0.000948478 -147 *5623:83 *5626:105 6.05161e-06 -148 *5623:137 *5626:30 0.000148137 -149 *5623:137 *5626:69 0.000115552 -150 *5624:18 *25351:A 4.25652e-05 -151 *5624:18 *30791:A 0.000160225 -*RES -1 *31015:X *5626:11 26.0143 -2 *5626:11 *5626:19 49.125 -3 *5626:19 *5626:29 49.6071 -4 *5626:29 *5626:30 2.85714 -5 *5626:30 *5626:49 40.7477 -6 *5626:49 *24964:A 9.3 -7 *5626:30 *5626:69 22.5747 -8 *5626:69 *24892:A 33.3357 -9 *5626:69 *5626:99 49.5714 -10 *5626:99 *5626:105 33.2679 -11 *5626:105 *5626:109 18.25 -12 *5626:109 *25351:A 34.4071 -13 *5626:109 *5626:130 4.5 -14 *5626:130 *5626:137 14.8929 -15 *5626:137 *26836:A 9.83571 -16 *5626:137 *5626:151 13.5536 -17 *5626:151 *30791:A 25.0679 -18 *5626:151 *25001:A 9.3 -19 *5626:130 *25353:A 35.6393 -20 *5626:105 *24919:A 9.3 -*END - -*D_NET *5627 0.153755 -*CONN -*I *27779:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27726:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27830:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27712:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27666:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27756:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27681:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *30792:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *27779:B1 0.000340985 -2 *27726:B1 0 -3 *27830:B1 6.6605e-05 -4 *27712:B1 0 -5 *27666:A2 0.000865828 -6 *27756:B1 7.7602e-05 -7 *27681:B1 0 -8 *30792:X 0.00140545 -9 *5627:251 0.00194792 -10 *5627:237 0.00319109 -11 *5627:221 0.00186329 -12 *5627:216 0.00182942 -13 *5627:208 0.00275804 -14 *5627:205 0.00236125 -15 *5627:197 0.00230021 -16 *5627:181 0.00277006 -17 *5627:162 0.00454145 -18 *5627:142 0.00138488 -19 *5627:139 0.00218197 -20 *5627:116 0.00314777 -21 *5627:103 0.00286965 -22 *5627:90 0.00382842 -23 *5627:75 0.00374653 -24 *5627:69 0.00275885 -25 *5627:57 0.00240145 -26 *5627:41 0.00288615 -27 *5627:38 0.00531902 -28 *5627:18 0.00226341 -29 *5627:16 0.00296547 -30 *5627:13 0.00257877 -31 *27666:A2 *25901:A0 0.000736305 -32 *27666:A2 *5716:14 0.000147836 -33 *27666:A2 *5823:17 0.000482414 -34 *27779:B1 *28599:RESET_B 1.47846e-05 -35 *27779:B1 *5774:49 9.60939e-05 -36 *5627:13 *5631:13 9.33978e-07 -37 *5627:13 *5718:256 0.000341276 -38 *5627:16 *25176:B2 5.24586e-05 -39 *5627:16 *26874:B 0.000149951 -40 *5627:16 *27323:A 4.66511e-05 -41 *5627:16 *5717:155 0 -42 *5627:16 *5717:168 5.55819e-05 -43 *5627:16 *6306:102 0.000276497 -44 *5627:16 *6318:32 0.00162487 -45 *5627:18 *25176:B2 0.000580954 -46 *5627:18 *27720:A2 0.00036382 -47 *5627:18 *28721:D 2.63844e-05 -48 *5627:18 *5638:30 0.000118718 -49 *5627:18 *6339:8 0.00014297 -50 *5627:38 *26893:A0 2.996e-06 -51 *5627:41 *27712:A2 8.43535e-06 -52 *5627:57 *27712:A2 1.98839e-05 -53 *5627:57 *5644:186 0.000252053 -54 *5627:69 *5661:210 0.00107846 -55 *5627:69 *5700:50 0.000103203 -56 *5627:75 *26894:A0 0.000184037 -57 *5627:75 *28483:SET_B 1.18496e-05 -58 *5627:75 *5643:119 0.00012401 -59 *5627:75 *5643:221 0.000182623 -60 *5627:75 *5661:220 0 -61 *5627:90 *5661:220 0.00123223 -62 *5627:103 *28683:SET_B 2.11419e-05 -63 *5627:103 *5642:151 1.26641e-05 -64 *5627:139 *5650:285 2.45401e-05 -65 *5627:142 *29969:A 0.0014813 -66 *5627:142 *5644:250 0.000897388 -67 *5627:162 *27151:A0 0.000180951 -68 *5627:181 *5644:45 0.000980256 -69 *5627:197 *5647:59 0.000151506 -70 *5627:208 *5649:310 1.24157e-05 -71 *5627:208 *5683:134 0.000152572 -72 *5627:216 *5649:310 0.000413446 -73 *5627:216 *5911:173 0.000224168 -74 *5627:237 *27024:A1 0.000183912 -75 *5627:237 *5695:124 0.000220039 -76 *5627:237 *5774:49 2.05612e-05 -77 *5627:237 *5774:51 8.70757e-05 -78 *5627:237 *5795:206 8.7425e-05 -79 *5627:237 *5905:79 1.37292e-05 -80 *5627:251 *5628:189 1.24368e-05 -81 *5627:251 *5638:169 0.000113366 -82 *5627:251 *5646:294 2.06112e-05 -83 *5627:251 *5652:204 9.25014e-06 -84 *5627:251 *5695:124 0.000173073 -85 *5627:251 *5777:160 6.54325e-05 -86 *6402:DIODE *5627:251 0 -87 *6617:DIODE *5627:162 1.38323e-05 -88 *25010:B2 *5627:205 0.00201093 -89 *25010:C1 *5627:197 5.90443e-06 -90 *25025:B2 *5627:181 2.83129e-05 -91 *25059:B2 *5627:216 0.000126155 -92 *25059:C1 *5627:216 0.000120506 -93 *25203:B1 *5627:139 0.000278062 -94 *25203:B2 *5627:116 0.000136951 -95 *25229:A1 *5627:18 0.000183543 -96 *25229:B2 *5627:18 0.000955272 -97 *25236:A2 *5627:69 2.63501e-05 -98 *25242:A1 *5627:116 0.000183445 -99 *25243:C1 *5627:116 0.000285421 -100 *25293:A *5627:75 0.00202261 -101 *25337:A2 *5627:69 0.000202536 -102 *25340:A1 *5627:75 1.11775e-05 -103 *25340:A1 *5627:90 0.000116935 -104 *25340:A2 *5627:75 4.7091e-05 -105 *25345:B *5627:75 7.57673e-05 -106 *25366:A2 *5627:139 0.00019384 -107 *25366:B2 *27666:A2 1.08359e-05 -108 *27015:A1 *5627:237 6.17797e-05 -109 *27151:A1 *5627:162 9.58126e-05 -110 *27311:B1 *5627:75 0.000134241 -111 *27314:B *5627:16 0.000151909 -112 *27373:B2 *5627:38 0.000221815 -113 *27403:A2 *5627:139 0.00130308 -114 *27409:C *5627:139 5.33005e-05 -115 *27447:B1 *5627:16 4.31148e-05 -116 *27666:A1 *27666:A2 1.46576e-05 -117 *27666:B1 *27666:A2 0.00168073 -118 *27674:A1 *5627:69 6.90381e-06 -119 *27696:A0 *5627:18 9.3231e-05 -120 *27713:C1 *5627:41 1.30205e-05 -121 *27719:B2 *5627:18 9.10431e-06 -122 *27726:B2 *5627:251 2.84269e-05 -123 *27733:C *5627:251 0.000122935 -124 *27756:A2 *5627:139 1.08359e-05 -125 *27756:C1 *27756:B1 7.3268e-06 -126 *27763:A2 *5627:139 0.000266039 -127 *27779:A1 *27779:B1 3.19871e-05 -128 *27779:B2 *27779:B1 2.84269e-05 -129 *27779:C1 *27779:B1 0.000426978 -130 *27779:C1 *5627:221 1.55885e-05 -131 *27780:A *5627:208 0.000160057 -132 *27830:A1 *27830:B1 5.33005e-05 -133 *27830:A2 *27830:B1 6.52224e-05 -134 *27830:B2 *27830:B1 1.32989e-05 -135 *27830:B2 *5627:181 2.35215e-05 -136 *28477:D *5627:251 0.000119889 -137 *28574:CLK *5627:103 0.00159665 -138 *28599:D *5627:237 0.000117543 -139 *28655:CLK *5627:205 7.27549e-05 -140 *28712:CLK *5627:162 1.58163e-05 -141 *28802:D *5627:18 9.67203e-05 -142 *28926:A *5627:75 0.000317258 -143 *29506:A *5627:251 8.38485e-05 -144 *29872:A *5627:75 4.29471e-05 -145 *29874:A *5627:75 0.000308627 -146 *30085:A *5627:251 0.000258888 -147 *30412:A *5627:90 6.05161e-06 -148 *30428:A *5627:162 5.52302e-05 -149 *30770:A *5627:18 0.000213523 -150 *30875:A *5627:216 0.000129661 -151 *31009:A *5627:16 0.000218073 -152 *1178:174 *5627:103 6.81283e-05 -153 *1262:135 *5627:69 0 -154 *1262:191 *5627:162 0.000153885 -155 *1268:74 *5627:181 6.41086e-06 -156 *1272:47 *5627:90 0 -157 *1273:156 *5627:181 0.000919795 -158 *1273:159 *5627:181 0.00014833 -159 *1273:167 *5627:216 0.000333604 -160 *1275:195 *5627:216 1.90936e-05 -161 *1275:234 *5627:103 1.17921e-05 -162 *1287:184 *5627:90 1.35791e-05 -163 *1363:114 *5627:18 0 -164 *1368:37 *5627:181 0.00106915 -165 *1390:15 *5627:208 0.000494457 -166 *1419:24 *5627:69 0.000596457 -167 *1490:128 *27830:B1 0.000115702 -168 *1527:6 *5627:38 3.32997e-05 -169 *1633:45 *5627:90 0.000113781 -170 *1655:9 *5627:75 0.00198856 -171 *1657:76 *5627:75 1.50904e-05 -172 *1660:42 *5627:90 0.000122091 -173 *1660:42 *5627:103 2.11419e-05 -174 *1660:48 *5627:75 0 -175 *1697:44 *5627:251 0.00022209 -176 *1801:47 *5627:13 0.000420906 -177 *1801:51 *5627:13 0.000181253 -178 *2763:185 *5627:251 5.41797e-06 -179 *2773:84 *5627:216 0.000157286 -180 *2774:74 *5627:116 1.13265e-05 -181 *2774:76 *5627:103 9.85424e-06 -182 *2774:76 *5627:116 1.94945e-05 -183 *2774:92 *5627:103 0.000993397 -184 *2776:241 *5627:251 0.000218726 -185 *2779:170 *5627:18 0 -186 *2781:136 *5627:90 0.000106154 -187 *2784:162 *5627:251 0.000221689 -188 *2788:39 *5627:162 0.000407331 -189 *2813:80 *5627:16 0.000349384 -190 *2813:89 *5627:16 0.000136247 -191 *2815:72 *5627:16 7.23506e-05 -192 *2852:161 *27756:B1 0.000125721 -193 *2852:161 *5627:116 0.000834941 -194 *2852:161 *5627:139 2.95642e-05 -195 *2856:316 *5627:205 0.00201093 -196 *2874:20 *5627:13 0.000721878 -197 *2874:249 *5627:75 0.000260629 -198 *2874:359 *5627:216 4.11218e-05 -199 *2877:187 *5627:75 2.6502e-05 -200 *2877:274 *5627:90 0.00034378 -201 *2879:67 *5627:69 6.40293e-05 -202 *2879:83 *5627:75 0.000506117 -203 *2879:127 *5627:103 0.00244937 -204 *2880:129 *5627:38 0.000222921 -205 *2882:22 *5627:16 0.000581999 -206 *2889:65 *5627:103 0 -207 *2891:6 *5627:16 2.57126e-05 -208 *2891:177 *5627:90 0.00041694 -209 *2891:200 *5627:90 0.000605641 -210 *2894:21 *5627:16 0.000169917 -211 *2998:16 *5627:162 0.000103253 -212 *3153:140 *5627:18 0.000121549 -213 *3153:140 *5627:38 1.14338e-05 -214 *3153:143 *5627:18 6.37883e-06 -215 *3153:143 *5627:38 0.000558003 -216 *3162:20 *5627:90 0.000123806 -217 *3162:20 *5627:103 5.8832e-05 -218 *3162:34 *5627:75 1.0993e-05 -219 *3164:319 *5627:216 4.04292e-05 -220 *3174:224 *5627:181 0.000135704 -221 *3174:239 *5627:208 0.00230174 -222 *3177:69 *5627:197 8.07951e-05 -223 *3185:92 *5627:69 0.0012495 -224 *3185:182 *5627:208 0.00334199 -225 *3185:182 *5627:216 0.000401523 -226 *3194:70 *5627:18 5.68977e-06 -227 *3205:270 *5627:181 2.71935e-05 -228 *3205:270 *5627:197 0.000221011 -229 *3209:21 *5627:16 0.000289677 -230 *3214:149 *5627:13 6.73779e-05 -231 *3214:173 *5627:13 4.05548e-05 -232 *3259:25 *5627:18 6.67989e-05 -233 *3259:25 *5627:38 0.000230823 -234 *3269:10 *5627:18 0.00214084 -235 *3304:22 *5627:116 0.000135028 -236 *3340:6 *5627:181 0.000205899 -237 *3340:6 *5627:197 0.00297545 -238 *3370:11 *5627:197 0.000150697 -239 *3413:16 *27666:A2 0.000164018 -240 *3538:16 *5627:251 0 -241 *3558:213 *5627:181 0.000136845 -242 *3572:20 *5627:13 0.0011405 -243 *3574:75 *5627:38 0.000221815 -244 *3574:81 *5627:57 0.000243352 -245 *3574:86 *5627:57 0.000226614 -246 *3574:86 *5627:75 8.78064e-05 -247 *3597:11 *5627:103 0.000425374 -248 *3607:26 *5627:237 7.40526e-05 -249 *3664:16 *5627:57 1.79144e-05 -250 *3665:16 *5627:18 0.000365785 -251 *3665:38 *5627:18 1.04707e-05 -252 *3665:38 *5627:38 0.00055423 -253 *3694:69 *5627:237 0.000431362 -254 *3718:79 *5627:251 3.4323e-06 -255 *3719:13 *5627:116 5.49489e-05 -256 *3721:37 *5627:181 0.000718762 -257 *3721:44 *5627:181 0.000165754 -258 *3721:50 *5627:162 3.14163e-05 -259 *3733:31 *5627:237 0.000431857 -260 *3738:18 *27666:A2 0.000577799 -261 *3738:28 *27666:A2 0.00104659 -262 *3738:28 *5627:142 5.33005e-05 -263 *3759:5 *27779:B1 8.43535e-06 -264 *3759:5 *5627:221 0.000216755 -265 *3759:16 *5627:216 6.05161e-06 -266 *3769:26 *5627:57 0.000180777 -267 *3771:50 *5627:139 2.64262e-06 -268 *3772:14 *27779:B1 5.33005e-05 -269 *3797:79 *5627:75 6.62299e-06 -270 *3809:11 *5627:251 0.000858216 -271 *3834:24 *5627:57 8.69554e-05 -272 *3834:24 *5627:69 7.15791e-05 -273 *3836:21 *5627:116 0.000308539 -274 *3837:27 *5627:216 0.000296805 -275 *3837:33 *5627:216 0.000215738 -276 *3837:43 *5627:208 0.000365245 -277 *3839:39 *5627:205 0.000149797 -278 *3859:42 *5627:90 0.000343956 -279 *3873:90 *5627:41 9.31011e-05 -280 *3912:82 *5627:41 0.000104587 -281 *3913:26 *5627:251 0.000116057 -282 *3915:19 *5627:237 0.000137983 -283 *3916:20 *5627:208 9.61073e-06 -284 *3916:20 *5627:216 0.0008353 -285 *3916:34 *5627:162 0.000178425 -286 *3920:31 *27666:A2 1.46576e-05 -287 *3939:102 *5627:237 3.52028e-05 -288 *3943:37 *5627:181 1.90936e-05 -289 *3943:37 *5627:197 0.00258712 -290 *3950:25 *5627:75 5.25862e-06 -291 *3959:45 *5627:139 0.00035753 -292 *3977:24 *5627:57 0.000194956 -293 *4030:48 *5627:18 0 -294 *4030:48 *5627:38 0.000118982 -295 *4044:14 *5627:57 0.000397697 -296 *4044:14 *5627:69 0.00107493 -297 *4080:44 *5627:90 0.000923876 -298 *4085:71 *5627:181 0.000663838 -299 *4088:26 *5627:139 2.0586e-05 -300 *4096:48 *5627:139 0.000122875 -301 *4115:30 *5627:57 0.00039599 -302 *4132:38 *5627:90 0.000221344 -303 *4134:96 *5627:205 0.000103347 -304 *4135:45 *5627:139 1.34548e-05 -305 *4136:16 *5627:216 0.000103787 -306 *4137:32 *5627:181 0.00205098 -307 *4137:33 *5627:181 0.000104549 -308 *5435:16 *5627:216 1.94879e-05 -309 *5485:145 *5627:162 5.10822e-05 -310 *5505:57 *5627:57 0.0014366 -311 *5505:67 *5627:41 9.46403e-05 -312 *5505:67 *5627:162 0.000148042 -313 *5596:15 *5627:57 0.00019542 -314 *5598:49 *5627:16 0.000171507 -315 *5598:49 *5627:18 0.000568101 -316 *5601:75 *5627:197 0.000301016 -317 *5609:189 *5627:103 0.000595752 -*RES -1 *30792:X *5627:13 39.8536 -2 *5627:13 *5627:16 34.0536 -3 *5627:16 *5627:18 51.5804 -4 *5627:18 *5627:38 38.8596 -5 *5627:38 *5627:41 19.8571 -6 *5627:41 *5627:57 47.3055 -7 *5627:57 *5627:69 30.93 -8 *5627:69 *5627:75 49.2812 -9 *5627:75 *5627:90 37.9537 -10 *5627:90 *27681:B1 13.8 -11 *5627:90 *5627:103 49.2261 -12 *5627:103 *5627:116 35.625 -13 *5627:116 *27756:B1 10.9786 -14 *5627:116 *5627:139 44.3036 -15 *5627:139 *5627:142 19 -16 *5627:142 *27666:A2 47.4652 -17 *5627:41 *27712:B1 9.3 -18 *5627:38 *5627:162 47.9643 -19 *5627:162 *5627:181 34.0583 -20 *5627:181 *27830:B1 15.7107 -21 *5627:181 *5627:197 46.4821 -22 *5627:197 *5627:205 45.1964 -23 *5627:205 *5627:208 44.0625 -24 *5627:208 *5627:216 48.1696 -25 *5627:216 *5627:221 8.23214 -26 *5627:221 *5627:237 38.933 -27 *5627:237 *5627:251 45.6741 -28 *5627:251 *27726:B1 9.3 -29 *5627:221 *27779:B1 15.9071 -*END - -*D_NET *5628 0.147368 -*CONN -*I *27786:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *6543:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27730:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27706:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27656:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27763:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *6485:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27837:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27806:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27651:D I *D sky130_fd_sc_hd__or4_1 -*I *30793:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *27786:B1 4.62568e-05 -2 *6543:DIODE 0 -3 *27730:B1 2.86649e-05 -4 *27706:B1 0 -5 *27656:B1 0.000450624 -6 *27763:B1 0 -7 *6485:DIODE 0.000157271 -8 *27837:B1 3.69288e-05 -9 *27806:B1 0.000409259 -10 *27651:D 0 -11 *30793:X 0.000518857 -12 *5628:217 0.00570792 -13 *5628:216 0.00530245 -14 *5628:212 0.000652824 -15 *5628:202 0.00217878 -16 *5628:189 0.00273413 -17 *5628:185 0.00189636 -18 *5628:161 0.00140806 -19 *5628:150 0.00354595 -20 *5628:137 0.00525701 -21 *5628:121 0.00760267 -22 *5628:107 0.00391078 -23 *5628:106 0.000276805 -24 *5628:98 0.00387068 -25 *5628:73 0.00434029 -26 *5628:67 0.00248732 -27 *5628:66 0.00366693 -28 *5628:45 0.00315904 -29 *5628:20 0.00176892 -30 *5628:17 0.00279302 -31 *5628:5 0.00330038 -32 *27656:B1 *27656:A2 0.00015785 -33 *27656:B1 *5644:251 7.6644e-05 -34 *5628:5 *5653:11 0.000341489 -35 *5628:17 *5639:13 2.27189e-05 -36 *5628:45 *6017:11 0.000300406 -37 *5628:66 *28585:D 3.34366e-05 -38 *5628:66 *5642:71 0.000170908 -39 *5628:66 *5662:33 0.000245079 -40 *5628:67 *5847:74 0.00161589 -41 *5628:67 *5872:25 0.000546052 -42 *5628:67 *5873:74 0.00345444 -43 *5628:67 *5873:76 3.27579e-05 -44 *5628:73 *5639:147 0.000246186 -45 *5628:73 *5873:70 1.27625e-05 -46 *5628:73 *5873:74 0.000278776 -47 *5628:98 *5642:96 0.000770204 -48 *5628:98 *5642:126 0.00029129 -49 *5628:98 *5873:31 0.000110498 -50 *5628:98 *5873:59 6.20441e-06 -51 *5628:98 *5873:60 0.000102335 -52 *5628:98 *5873:70 0.000192525 -53 *5628:106 *6005:32 9.14771e-06 -54 *5628:121 *5630:230 7.35992e-05 -55 *5628:121 *5642:126 3.80527e-05 -56 *5628:121 *5642:141 2.05415e-05 -57 *5628:121 *5649:310 4.65519e-05 -58 *5628:121 *5656:310 0.00131668 -59 *5628:121 *5662:97 0 -60 *5628:121 *5662:111 3.84321e-05 -61 *5628:121 *5824:286 0.00010443 -62 *5628:137 *6429:DIODE 0.000225609 -63 *5628:137 *5764:274 0.000793455 -64 *5628:137 *5824:213 0.000397903 -65 *5628:137 *6225:139 0.000464471 -66 *5628:137 *6351:15 0.000139187 -67 *5628:150 *26996:A0 4.08637e-05 -68 *5628:150 *5642:151 0.000710075 -69 *5628:150 *5650:285 4.26825e-05 -70 *5628:150 *5688:65 0.00016827 -71 *5628:150 *5688:85 0.000385277 -72 *5628:161 *5645:156 1.00609e-05 -73 *5628:161 *5652:61 3.46894e-05 -74 *5628:185 *25190:A1 0.000790542 -75 *5628:185 *5654:94 6.57893e-06 -76 *5628:189 *25190:A1 0.000205072 -77 *5628:189 *27730:A2 1.98839e-05 -78 *5628:189 *5647:116 0.000367988 -79 *5628:189 *5652:204 0.00130169 -80 *5628:189 *5873:289 1.87691e-05 -81 *5628:202 *5646:294 0.00148568 -82 *5628:202 *5803:14 0.00114937 -83 *5628:202 *5820:31 0.000157958 -84 *5628:212 *5647:133 2.11419e-05 -85 *5628:212 *5647:159 2.83129e-05 -86 *5628:212 *5702:29 1.01912e-05 -87 *5628:212 *5820:31 0.000221284 -88 *5628:216 *5647:133 9.96264e-05 -89 *5628:217 *27707:A2 3.69047e-06 -90 *5628:217 *28499:D 0.000174431 -91 *5628:217 *5629:182 0.000730862 -92 *5628:217 *5629:186 8.27238e-06 -93 *5628:217 *5629:195 5.45582e-05 -94 *5628:217 *5669:265 0.00186656 -95 *6402:DIODE *27730:B1 3.57366e-05 -96 *6402:DIODE *5628:189 1.00073e-05 -97 *6402:DIODE *5628:202 1.64271e-05 -98 *24855:A *5628:17 3.63775e-05 -99 *24981:A1 *5628:45 0.000118545 -100 *24981:B2 *5628:45 0.000167619 -101 *24981:C1 *5628:45 0.000604551 -102 *25019:C1 *5628:66 2.14378e-05 -103 *25027:B2 *5628:66 0.000124184 -104 *25145:B2 *5628:161 0.000221068 -105 *25242:A1 *5628:150 0.000162863 -106 *25242:A2 *5628:150 5.74499e-06 -107 *25242:B1 *5628:150 1.24368e-05 -108 *25562:A0 *5628:137 0.000445352 -109 *27403:A1 *5628:161 9.01724e-06 -110 *27403:A2 *5628:150 0.000124272 -111 *27406:B1 *5628:150 8.6229e-06 -112 *27420:A2 *5628:185 0 -113 *27429:B1 *5628:121 9.05517e-05 -114 *27433:A1 *5628:121 4.08824e-05 -115 *27605:A2 *5628:161 8.98798e-06 -116 *27612:C *5628:17 7.82551e-05 -117 *27651:C *5628:5 0.000222515 -118 *27651:C *5628:17 2.28598e-05 -119 *27655:B2 *5628:217 9.46929e-05 -120 *27656:A1 *27656:B1 0.00030099 -121 *27656:B2 *27656:B1 1.66568e-05 -122 *27656:C1 *5628:217 0.000356237 -123 *27668:B *27656:B1 5.33005e-05 -124 *27702:A1 *5628:137 5.33005e-05 -125 *27730:B2 *27730:B1 5.80335e-06 -126 *27730:B2 *5628:202 3.82227e-06 -127 *27730:C1 *5628:202 0.000141788 -128 *27763:A1 *5628:161 9.33715e-05 -129 *27763:A2 *5628:161 3.3254e-05 -130 *27763:B2 *6485:DIODE 3.3254e-05 -131 *27763:C1 *6485:DIODE 4.8302e-05 -132 *27763:C1 *5628:161 1.47728e-05 -133 *27766:C *5628:161 0.000140856 -134 *27786:A1 *27786:B1 2.59355e-05 -135 *27786:A1 *5628:106 4.19278e-05 -136 *27786:B2 *27786:B1 1.36772e-05 -137 *27786:C1 *27786:B1 5.49544e-05 -138 *27786:C1 *5628:107 0.000137561 -139 *27786:C1 *5628:121 0.000178425 -140 *27837:A2 *27837:B1 5.65433e-06 -141 *27837:B2 *27837:B1 3.57366e-05 -142 *28463:CLK *5628:212 6.05161e-06 -143 *28511:D *27786:B1 2.3176e-05 -144 *28686:CLK *5628:150 0.000185244 -145 *29809:A *27806:B1 0.000194833 -146 *30482:A *5628:45 0.000316624 -147 *30813:A *5628:5 0.00059044 -148 *30865:A *5628:66 8.94556e-05 -149 *244:65 *27806:B1 0.000342361 -150 *244:65 *5628:45 0.00134888 -151 *1256:173 *5628:212 0.000117158 -152 *1260:135 *5628:121 0.000620058 -153 *1260:161 *5628:98 0.00141809 -154 *1260:161 *5628:106 0.000213696 -155 *1261:199 *6485:DIODE 7.15905e-06 -156 *1261:227 *5628:185 1.70804e-05 -157 *1275:105 *5628:73 9.41642e-05 -158 *1294:193 *5628:66 0.0018114 -159 *1304:15 *5628:121 4.22135e-06 -160 *1332:10 *5628:66 0.00111976 -161 *1367:18 *5628:45 0.000722106 -162 *1367:22 *5628:67 0.00152558 -163 *1367:43 *5628:67 0.00047375 -164 *1371:51 *5628:98 0.000196902 -165 *1434:76 *5628:161 0.00119769 -166 *1514:16 *5628:189 0.00156275 -167 *1697:44 *5628:189 5.74499e-06 -168 *1826:238 *5628:202 0.000780288 -169 *2764:35 *5628:212 1.90111e-05 -170 *2780:223 *5628:150 1.32023e-05 -171 *2788:158 *5628:202 0.000596539 -172 *2791:163 *5628:202 8.6229e-06 -173 *2848:333 *5628:185 0.000223672 -174 *2891:236 *5628:161 5.90843e-05 -175 *2895:283 *5628:121 0.00190357 -176 *2895:283 *5628:137 0.000837123 -177 *2895:316 *5628:150 8.6281e-05 -178 *2997:20 *5628:121 0.00201914 -179 *3160:84 *5628:5 6.87574e-05 -180 *3179:21 *5628:17 7.6644e-05 -181 *3179:238 *5628:98 0.000742199 -182 *3205:16 *5628:5 0.00171637 -183 *3205:16 *5628:17 9.71197e-05 -184 *3214:180 *5628:17 0.0023563 -185 *3333:17 *27786:B1 0.000168489 -186 *3333:17 *5628:107 0.000126139 -187 *3333:17 *5628:121 0.000208837 -188 *3381:13 *5628:98 1.8995e-06 -189 *3385:11 *5628:73 0.00145875 -190 *3385:11 *5628:98 0.00145425 -191 *3538:16 *5628:202 0.000105994 -192 *3565:24 *5628:121 1.90936e-05 -193 *3582:8 *5628:202 0.000292333 -194 *3598:21 *5628:137 0.000208593 -195 *3678:18 *5628:202 8.32036e-05 -196 *3704:10 *5628:212 1.24469e-05 -197 *3704:10 *5628:216 3.34366e-05 -198 *3734:23 *5628:45 0.000175892 -199 *3735:34 *5628:66 0.000177821 -200 *3743:20 *5628:137 0.000135028 -201 *3796:124 *5628:202 0.00020546 -202 *3810:26 *5628:161 3.4323e-06 -203 *3833:7 *5628:137 0.000155908 -204 *3851:54 *5628:17 4.28017e-05 -205 *3887:41 *5628:185 0 -206 *3889:31 *5628:98 0.000303898 -207 *3889:62 *5628:66 0.000282994 -208 *3889:62 *5628:73 0.00176839 -209 *3889:62 *5628:98 0.00192187 -210 *3890:24 *5628:45 0.000167547 -211 *3890:47 *5628:66 1.94999e-05 -212 *3890:47 *5628:67 0.000176585 -213 *3900:21 *5628:202 0.00069627 -214 *3913:26 *5628:189 0.000383922 -215 *3928:20 *5628:121 2.04825e-05 -216 *3952:35 *5628:17 0.000492692 -217 *3956:37 *27837:B1 2.59355e-05 -218 *3995:41 *5628:45 0.000726235 -219 *3995:44 *5628:67 0.00665632 -220 *3995:58 *5628:98 0.000577755 -221 *4011:23 *27656:B1 4.08637e-05 -222 *4011:45 *27656:B1 7.83587e-05 -223 *4030:27 *5628:202 0.000124589 -224 *4044:13 *5628:150 0.000164974 -225 *4069:41 *5628:185 0 -226 *4069:162 *5628:189 0.00014285 -227 *4076:48 *5628:161 8.69554e-05 -228 *4081:17 *5628:202 0.000576681 -229 *4094:17 *5628:216 3.25078e-05 -230 *4095:57 *5628:185 0.000789204 -231 *4096:48 *6485:DIODE 4.58194e-05 -232 *4098:42 *27806:B1 0.00117153 -233 *4098:42 *5628:20 4.29477e-05 -234 *4098:42 *5628:45 7.86136e-05 -235 *4099:24 *27837:B1 3.63587e-05 -236 *4108:36 *5628:98 0.000463558 -237 *4111:11 *5628:66 0.000457106 -238 *4115:28 *5628:150 0.000166682 -239 *4128:11 *5628:217 0.0021608 -240 *4128:18 *5628:217 9.90431e-05 -241 *4135:45 *5628:161 0.000197072 -242 *5386:12 *5628:66 0 -243 *5467:18 *5628:66 3.50637e-05 -244 *5492:22 *5628:45 1.90303e-05 -245 *5584:250 *5628:137 6.1568e-05 -246 *5590:98 *5628:150 4.79873e-05 -247 *5593:42 *5628:217 0.000196335 -248 *5602:53 *5628:45 0.000168959 -249 *5627:251 *5628:189 1.24368e-05 -*RES -1 *30793:X *5628:5 26.2643 -2 *5628:5 *27651:D 9.3 -3 *5628:5 *5628:17 46 -4 *5628:17 *5628:20 5.16964 -5 *5628:20 *27806:B1 28.8625 -6 *5628:20 *5628:45 47.7768 -7 *5628:45 *5628:66 43.2705 -8 *5628:66 *5628:67 85.2768 -9 *5628:67 *5628:73 32.8393 -10 *5628:73 *27837:B1 14.7643 -11 *5628:73 *5628:98 47.9802 -12 *5628:98 *5628:106 11.9681 -13 *5628:106 *5628:107 1.35714 -14 *5628:107 *5628:121 43.7797 -15 *5628:121 *5628:137 49 -16 *5628:137 *5628:150 48.2768 -17 *5628:150 *5628:161 19.4837 -18 *5628:161 *6485:DIODE 11.8893 -19 *5628:161 *27763:B1 9.3 -20 *5628:121 *5628:185 29.6128 -21 *5628:185 *5628:189 37.7232 -22 *5628:189 *5628:202 49.1043 -23 *5628:202 *5628:212 19.388 -24 *5628:212 *5628:216 5.83929 -25 *5628:216 *5628:217 68.3036 -26 *5628:217 *27656:B1 26.7464 -27 *5628:212 *27706:B1 13.8 -28 *5628:189 *27730:B1 10.0321 -29 *5628:107 *6543:DIODE 9.3 -30 *5628:106 *27786:B1 11.0679 -*END - -*D_NET *5629 0.161672 -*CONN -*I *27833:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27782:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27726:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27707:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27656:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27684:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27858:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27946:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27933:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27883:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27906:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *30794:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *27833:A2 0.000277599 -2 *27782:A2 0.000586492 -3 *27726:A2 0.000219321 -4 *27707:B1 1.92218e-05 -5 *27656:A2 0.00132179 -6 *27684:A2 8.01781e-05 -7 *27858:A2 3.0706e-05 -8 *27946:B1 0 -9 *27933:A2 8.76143e-05 -10 *27883:A2 0.000240725 -11 *27906:A2 1.46253e-05 -12 *30794:X 0 -13 *5629:229 0.00406935 -14 *5629:217 0.00597785 -15 *5629:195 0.00271428 -16 *5629:186 0.00464194 -17 *5629:182 0.00363093 -18 *5629:172 0.00158721 -19 *5629:166 0.00517563 -20 *5629:164 0.00521917 -21 *5629:143 0.00151075 -22 *5629:139 0.00230719 -23 *5629:137 0.00240684 -24 *5629:132 0.00172262 -25 *5629:108 0.00131331 -26 *5629:104 0.00200675 -27 *5629:89 0.00322703 -28 *5629:71 0.00351328 -29 *5629:44 0.00271936 -30 *5629:37 0.0021457 -31 *5629:23 0.00204682 -32 *5629:20 0.00340193 -33 *5629:4 0.00344399 -34 *27707:B1 *5702:29 5.59013e-05 -35 *27726:A2 *5638:169 8.77171e-05 -36 *27726:A2 *5781:12 5.62056e-05 -37 *27782:A2 *25054:A1 1.22485e-05 -38 *27782:A2 *5638:195 4.28365e-05 -39 *27833:A2 *27832:A2 0.00021192 -40 *27833:A2 *27832:B1 7.05143e-06 -41 *27833:A2 *5703:21 0.000200641 -42 *5629:20 *5634:26 0.000138779 -43 *5629:20 *5687:129 0.000227678 -44 *5629:71 *5657:49 2.47739e-05 -45 *5629:71 *5661:64 3.42107e-06 -46 *5629:71 *5947:74 8.28344e-06 -47 *5629:89 *5646:102 0.00126717 -48 *5629:104 *5646:102 0.000331538 -49 *5629:108 *5653:70 1.66595e-05 -50 *5629:132 *28652:D 5.58875e-06 -51 *5629:132 *5657:14 0.000168939 -52 *5629:132 *5657:197 0.00062476 -53 *5629:132 *5700:118 6.52967e-05 -54 *5629:132 *5700:122 0.000553548 -55 *5629:139 *28470:RESET_B 1.9419e-05 -56 *5629:139 *5754:127 0.000410083 -57 *5629:139 *5754:130 0.000353665 -58 *5629:139 *5754:158 0.000227797 -59 *5629:164 *5652:12 0.00160045 -60 *5629:166 *27021:A1 3.1412e-05 -61 *5629:166 *27691:A2 4.09646e-05 -62 *5629:166 *27697:A2 0.000124532 -63 *5629:166 *5632:131 2.04825e-05 -64 *5629:166 *5645:136 0.000876504 -65 *5629:166 *5646:232 0.000815917 -66 *5629:166 *5652:12 0.000245656 -67 *5629:166 *5702:64 6.46107e-05 -68 *5629:172 *5639:229 4.38243e-05 -69 *5629:172 *5646:252 0.000393485 -70 *5629:182 *28499:D 0.000636112 -71 *5629:182 *5716:121 6.27177e-05 -72 *5629:186 *28499:D 0.000198768 -73 *5629:186 *5669:265 3.29169e-05 -74 *5629:195 *27707:A2 0.000178847 -75 *5629:195 *5716:121 0.000416478 -76 *5629:217 *26994:A0 4.17433e-05 -77 *5629:217 *27707:A2 9.41642e-05 -78 *5629:217 *5638:162 0 -79 *5629:217 *5640:264 9.91086e-05 -80 *5629:217 *5641:204 0 -81 *5629:217 *5701:113 0.000136682 -82 *5629:217 *5716:121 8.27532e-05 -83 *5629:217 *5768:169 1.27784e-05 -84 *5629:217 *5777:160 0 -85 *5629:217 *5910:42 2.11543e-05 -86 *5629:229 *5676:47 0 -87 *5629:229 *5680:89 0.000404073 -88 *5629:229 *5777:160 0 -89 *5629:229 *5829:68 0 -90 *5629:229 *5914:18 0.000453514 -91 *25277:A2 *5629:139 1.94879e-05 -92 *25282:D *5629:23 0.00017754 -93 *25321:A2 *5629:132 3.19493e-05 -94 *25326:A2 *5629:71 6.98512e-06 -95 *25326:A2 *5629:89 0.000241293 -96 *25374:B2 *5629:20 2.4721e-05 -97 *25389:C1 *5629:20 0.000109196 -98 *25393:C1 *5629:71 9.60939e-05 -99 *25396:A1 *5629:37 0.000255168 -100 *25723:A0 *5629:217 0.000166503 -101 *26875:A0 *5629:132 5.52238e-05 -102 *26886:S *5629:172 6.47471e-05 -103 *26994:A1 *5629:217 9.41642e-05 -104 *27012:A1 *5629:166 0.000192773 -105 *27057:S *5629:166 6.53083e-05 -106 *27110:A1 *5629:166 0.00032756 -107 *27198:A1 *5629:172 4.66203e-05 -108 *27333:A2 *5629:137 0.000149376 -109 *27340:A1 *5629:166 0.000103267 -110 *27340:B1 *5629:166 0.000511639 -111 *27619:A2 *5629:20 1.2629e-05 -112 *27656:A1 *27656:A2 0.000472099 -113 *27656:B1 *27656:A2 0.00015785 -114 *27671:A2 *5629:132 8.6229e-06 -115 *27671:A3 *5629:132 0.000257619 -116 *27683:A1 *5629:164 2.52122e-05 -117 *27693:C *27684:A2 2.89114e-05 -118 *27707:A1 *27707:B1 5.59013e-05 -119 *27707:A1 *5629:217 0.000558197 -120 *27710:B2 *5629:139 4.15183e-05 -121 *27723:C1 *27726:A2 0.000335586 -122 *27726:A1 *27726:A2 8.0203e-05 -123 *27782:A1 *27782:A2 2.1664e-05 -124 *27782:B1 *27782:A2 5.33005e-05 -125 *27782:B2 *27782:A2 5.20232e-05 -126 *27833:A1 *27833:A2 7.37323e-05 -127 *27833:B1 *27782:A2 0.000823964 -128 *27833:B2 *27782:A2 0.000133116 -129 *27833:C1 *27833:A2 3.58774e-05 -130 *27851:B2 *5629:71 9.25014e-06 -131 *27857:C1 *5629:37 0.000191877 -132 *27858:A1 *27858:A2 1.46576e-05 -133 *27858:A1 *5629:37 0.000387059 -134 *27858:C1 *27858:A2 7.13226e-06 -135 *27883:A1 *27883:A2 0.000221978 -136 *27883:A1 *5629:44 3.29297e-05 -137 *27883:A1 *5629:71 0.000709878 -138 *27883:B1 *27883:A2 1.83411e-05 -139 *27883:C1 *5629:44 0.000216885 -140 *27900:A2 *5629:71 0.000102149 -141 *27900:A2 *5629:89 1.721e-05 -142 *27905:A1 *5629:20 0.000120671 -143 *27906:A1 *5629:23 5.04841e-06 -144 *27906:B1 *27906:A2 3.28686e-05 -145 *27906:B1 *5629:23 0.000328006 -146 *27933:B1 *27933:A2 5.83931e-05 -147 *27945:A2 *5629:104 0.000440904 -148 *28377:CLK *5629:44 3.34295e-05 -149 *28476:D *5629:172 0.00024292 -150 *28492:CLK *5629:166 0.000136247 -151 *28500:D *5629:217 1.77672e-05 -152 *28572:CLK *5629:182 0.000101545 -153 *28596:D *5629:166 0.000192827 -154 *28752:D *5629:166 7.86062e-05 -155 *28753:D *5629:172 0.000197984 -156 *28787:D *5629:132 3.80349e-05 -157 *29294:A *5629:217 0.000139516 -158 *29783:A *27782:A2 0.000116971 -159 *29847:A *5629:182 2.88424e-05 -160 *29847:A *5629:186 0.000142004 -161 *30102:A *5629:108 0.000168224 -162 *30794:A *5629:132 0.000260152 -163 *1182:26 *5629:137 8.6229e-06 -164 *1228:61 *5629:132 0.000580186 -165 *1239:14 *27858:A2 4.44551e-05 -166 *1239:14 *5629:44 0.000329806 -167 *1242:206 *5629:139 6.53397e-05 -168 *1244:128 *5629:20 0.000604393 -169 *1252:61 *5629:132 6.54117e-05 -170 *1252:83 *5629:132 0.00054966 -171 *1262:80 *5629:166 0.000133683 -172 *1266:64 *5629:166 0.000377227 -173 *1289:44 *5629:23 0.00022093 -174 *1361:114 *5629:172 0.000256811 -175 *1362:25 *5629:108 0.000627028 -176 *1362:79 *5629:108 4.22135e-06 -177 *1395:35 *27858:A2 5.14314e-05 -178 *1395:35 *5629:44 0.000328276 -179 *1421:33 *5629:71 3.97677e-05 -180 *1427:59 *5629:71 4.00349e-05 -181 *1476:161 *5629:23 0.000555059 -182 *1536:27 *5629:139 0.000440072 -183 *1549:24 *5629:166 1.58533e-05 -184 *1557:16 *5629:166 0.000112416 -185 *1596:16 *5629:139 0.000188252 -186 *1635:14 *5629:166 8.84829e-05 -187 *1657:19 *5629:23 0.000220937 -188 *1853:38 *5629:217 0 -189 *1853:38 *5629:229 0 -190 *1864:29 *5629:108 0.00207939 -191 *1864:49 *5629:89 0.000393571 -192 *2763:196 *5629:172 0.00104817 -193 *2771:65 *5629:217 1.54142e-05 -194 *2771:84 *5629:217 0.000140842 -195 *2776:65 *5629:20 0.000575442 -196 *2776:241 *5629:172 0.000133685 -197 *2779:67 *5629:217 6.07142e-05 -198 *2788:93 *5629:172 2.11419e-05 -199 *2791:169 *5629:172 0.000171375 -200 *2844:37 *5629:44 4.93928e-05 -201 *2845:30 *5629:20 1.30506e-05 -202 *2848:33 *5629:132 6.11902e-05 -203 *2852:212 *5629:89 4.89544e-06 -204 *2852:220 *5629:71 4.00349e-05 -205 *2853:164 *5629:139 0.00166978 -206 *2855:12 *5629:132 0.000600122 -207 *2855:39 *5629:71 0.000178847 -208 *2871:39 *5629:44 0.00111304 -209 *2875:69 *5629:89 1.13786e-05 -210 *2878:138 *5629:20 0.000274812 -211 *2886:164 *5629:132 0.000288111 -212 *2888:14 *5629:132 0.000177457 -213 *2889:36 *5629:139 0.000118512 -214 *2889:36 *5629:143 0.000581168 -215 *2889:36 *5629:164 0.00100179 -216 *2904:11 *5629:166 0.00163764 -217 *3156:59 *5629:23 0.000106672 -218 *3170:19 *5629:132 7.95998e-05 -219 *3173:81 *5629:71 0.000885087 -220 *3173:81 *5629:89 0.000488891 -221 *3176:110 *5629:89 0.000172337 -222 *3182:97 *5629:89 0.000201704 -223 *3185:304 *5629:89 0.00208597 -224 *3185:320 *5629:89 0.000178655 -225 *3205:27 *5629:20 0.000134464 -226 *3205:43 *5629:20 0.000387859 -227 *3206:27 *5629:23 0.00209949 -228 *3206:84 *5629:137 0.000291231 -229 *3206:84 *5629:139 7.42055e-05 -230 *3206:99 *5629:139 0.0017374 -231 *3206:110 *5629:139 0.000146474 -232 *3206:157 *27684:A2 0.000114596 -233 *3206:157 *5629:139 0.00126921 -234 *3206:271 *27782:A2 6.181e-05 -235 *3214:36 *5629:20 0 -236 *3214:36 *5629:23 5.96516e-05 -237 *3242:6 *5629:166 0.00270581 -238 *3252:13 *5629:166 0.00156329 -239 *3411:20 *5629:44 1.34741e-05 -240 *3411:32 *5629:44 2.04405e-05 -241 *3437:14 *5629:89 3.60578e-05 -242 *3480:35 *27933:A2 0 -243 *3504:18 *5629:108 0.0011355 -244 *3557:6 *5629:37 0.000256693 -245 *3582:31 *5629:217 0.000759806 -246 *3598:18 *5629:166 2.56614e-05 -247 *3598:75 *5629:166 6.77437e-05 -248 *3606:10 *5629:217 0.000177821 -249 *3662:34 *5629:89 2.01997e-05 -250 *3704:31 *5629:164 0.000307807 -251 *3704:45 *27684:A2 3.97677e-05 -252 *3706:22 *5629:186 9.74588e-05 -253 *3717:18 *5629:217 0.000218679 -254 *3718:47 *5629:229 0.00127757 -255 *3718:63 *27726:A2 4.11173e-05 -256 *3739:122 *27684:A2 4.42871e-05 -257 *3739:122 *5629:164 0.000447238 -258 *3756:20 *5629:139 0.00165827 -259 *3756:20 *5629:143 0.000282301 -260 *3756:20 *5629:164 4.37199e-05 -261 *3769:26 *5629:139 0.000147205 -262 *3780:23 *5629:44 2.16482e-05 -263 *3780:23 *5629:71 0.000232477 -264 *3780:34 *5629:71 0.000368082 -265 *3783:41 *27726:A2 5.72775e-05 -266 *3794:85 *5629:20 9.23226e-05 -267 *3818:43 *5629:89 0.000333017 -268 *3820:79 *5629:132 0.000278315 -269 *3822:108 *5629:182 0 -270 *3827:24 *5629:71 0.000872864 -271 *3828:77 *5629:104 0.000782019 -272 *3835:73 *5629:164 2.11419e-05 -273 *3843:22 *5629:44 0.000245206 -274 *3847:35 *5629:132 2.82663e-05 -275 *3847:64 *5629:139 0.000235741 -276 *3847:72 *5629:164 0.000352463 -277 *3847:87 *5629:164 9.93218e-05 -278 *3868:32 *5629:20 0.000747566 -279 *3872:43 *5629:137 0.000746248 -280 *3872:43 *5629:139 6.78521e-05 -281 *3872:79 *5629:164 1.55388e-05 -282 *3892:75 *5629:89 1.82011e-05 -283 *3912:82 *5629:139 0.000192756 -284 *3924:38 *5629:172 0.000510668 -285 *3924:53 *5629:166 0.00135357 -286 *3924:53 *5629:172 0.000107229 -287 *3963:21 *5629:172 0.000690223 -288 *3963:72 *5629:166 0.0014047 -289 *3977:16 *5629:166 0.000920283 -290 *3977:22 *5629:164 2.10981e-05 -291 *3977:22 *5629:166 0.00324385 -292 *3977:24 *5629:164 0.00156517 -293 *3978:130 *5629:229 0.000104664 -294 *3991:71 *27833:A2 0 -295 *3991:71 *5629:229 0 -296 *4003:30 *5629:166 1.18451e-05 -297 *4011:23 *27656:A2 0.000307343 -298 *4019:14 *27782:A2 0.000523343 -299 *4025:38 *5629:104 0.000109589 -300 *4025:38 *5629:108 0.00237312 -301 *4035:52 *5629:108 1.34969e-05 -302 *4035:59 *5629:89 0.000563897 -303 *4035:59 *5629:104 0.00181075 -304 *4035:59 *5629:108 2.14474e-05 -305 *4042:10 *5629:166 0.000300896 -306 *4042:10 *5629:172 0.000163065 -307 *4082:13 *5629:229 0 -308 *4082:33 *5629:229 2.59123e-05 -309 *4109:20 *5629:186 0.000247764 -310 *4120:11 *5629:172 0.000220441 -311 *4128:11 *5629:186 8.25843e-06 -312 *5196:47 *5629:104 0.000110498 -313 *5196:94 *5629:20 0.00010994 -314 *5457:21 *5629:71 9.34324e-05 -315 *5457:22 *5629:89 0.00314042 -316 *5457:22 *5629:108 0.000259573 -317 *5458:40 *5629:108 9.06233e-05 -318 *5483:145 *5629:20 0.000224509 -319 *5486:20 *5629:20 0.000193838 -320 *5582:19 *5629:143 2.04825e-05 -321 *5582:70 *5629:166 6.95627e-05 -322 *5582:89 *5629:166 0.000182153 -323 *5584:250 *5629:166 0.000144684 -324 *5586:17 *5629:217 1.21258e-05 -325 *5590:161 *5629:217 0.000713858 -326 *5591:36 *5629:20 0.0002294 -327 *5593:42 *5629:186 0.00177106 -328 *5605:170 *5629:166 1.90936e-05 -329 *5628:217 *5629:182 0.000730862 -330 *5628:217 *5629:186 8.27238e-06 -331 *5628:217 *5629:195 5.45582e-05 -*RES -1 *30794:X *5629:4 9.3 -2 *5629:4 *5629:20 27.2115 -3 *5629:20 *5629:23 28.8929 -4 *5629:23 *27906:A2 9.63036 -5 *5629:23 *5629:37 24.4821 -6 *5629:37 *5629:44 38.7321 -7 *5629:44 *27883:A2 17.2687 -8 *5629:44 *5629:71 43.1993 -9 *5629:71 *5629:89 45.4123 -10 *5629:89 *27933:A2 15.3223 -11 *5629:89 *5629:104 24.3393 -12 *5629:104 *5629:108 48.9464 -13 *5629:108 *27946:B1 9.3 -14 *5629:37 *27858:A2 14.6795 -15 *5629:4 *5629:132 46.7857 -16 *5629:132 *5629:137 14.9554 -17 *5629:137 *5629:139 65.7679 -18 *5629:139 *5629:143 8.09821 -19 *5629:143 *27684:A2 15.7732 -20 *5629:143 *5629:164 42.1683 -21 *5629:164 *5629:166 130.661 -22 *5629:166 *5629:172 40.8304 -23 *5629:172 *5629:182 19.0179 -24 *5629:182 *5629:186 48.0357 -25 *5629:186 *27656:A2 36.7196 -26 *5629:172 *5629:195 4.23214 -27 *5629:195 *27707:B1 19.0321 -28 *5629:195 *5629:217 42.8154 -29 *5629:217 *27726:A2 23.2993 -30 *5629:217 *5629:229 7.54193 -31 *5629:229 *27782:A2 32.0448 -32 *5629:229 *27833:A2 21.0638 -*END - -*D_NET *5630 0.15933 -*CONN -*I *27738:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27701:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27761:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27659:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27784:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27835:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27686:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *6486:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27961:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *6545:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27908:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27935:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *31016:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *27738:B1 0.000110439 -2 *27701:B1 0.000130915 -3 *27761:B1 9.49244e-05 -4 *27659:B1 0 -5 *27784:B1 0.000357727 -6 *27835:B1 3.67584e-05 -7 *27686:B1 4.58423e-05 -8 *6486:DIODE 0 -9 *27961:B1 0.000132294 -10 *6545:DIODE 0 -11 *27908:B1 0.000734466 -12 *27935:B1 0.000253643 -13 *31016:X 0.000888393 -14 *5630:307 0.00093423 -15 *5630:296 0.0018168 -16 *5630:292 0.00362931 -17 *5630:274 0.00473253 -18 *5630:264 0.0043485 -19 *5630:250 0.00341285 -20 *5630:246 0.00268637 -21 *5630:230 0.00182694 -22 *5630:217 0.00340463 -23 *5630:182 0.00319101 -24 *5630:176 0.00372911 -25 *5630:161 0.00521903 -26 *5630:144 0.00308528 -27 *5630:110 0.000926811 -28 *5630:71 0.00415927 -29 *5630:63 0.00540072 -30 *5630:59 0.00386338 -31 *5630:52 0.00523416 -32 *5630:45 0.00300464 -33 *5630:43 0.00134193 -34 *5630:36 0.00463763 -35 *5630:26 0.00247823 -36 *5630:22 0.00157801 -37 *5630:14 0.00140039 -38 *27761:B1 *5669:248 0.000114577 -39 *27784:B1 *5639:165 0.000758036 -40 *27784:B1 *5863:143 0.000741068 -41 *27908:B1 *24961:A 1.58163e-05 -42 *27908:B1 *27907:A2 0.000114537 -43 *27908:B1 *28984:A 2.5206e-05 -44 *27908:B1 *5651:189 1.21955e-05 -45 *27908:B1 *5657:125 7.47029e-06 -46 *27908:B1 *5839:11 0.000306042 -47 *27935:B1 *28984:A 5.74581e-06 -48 *27935:B1 *5694:19 0.00014394 -49 *27961:B1 *5653:97 3.69047e-06 -50 *5630:14 *5754:43 0.000630459 -51 *5630:14 *6225:116 0.00102658 -52 *5630:22 *5644:158 0.000204846 -53 *5630:43 *27686:A2 7.13226e-06 -54 *5630:52 *28483:D 0.000124467 -55 *5630:59 *5653:146 0.00170582 -56 *5630:63 *24906:B 1.46148e-05 -57 *5630:71 *27579:A2 0.000168278 -58 *5630:110 *5836:89 6.46371e-05 -59 *5630:144 *5651:284 0.000177545 -60 *5630:144 *5654:86 0.000582694 -61 *5630:144 *5718:354 0.000408489 -62 *5630:161 *5643:148 3.46563e-05 -63 *5630:161 *5643:160 0.000123605 -64 *5630:161 *5645:104 1.56844e-05 -65 *5630:161 *5647:70 0.000203235 -66 *5630:161 *5654:86 0.000715011 -67 *5630:161 *5781:42 0.000425914 -68 *5630:182 *5680:176 6.90381e-06 -69 *5630:182 *5759:18 6.41646e-05 -70 *5630:217 *5639:147 0.000808267 -71 *5630:217 *5642:126 1.15211e-05 -72 *5630:217 *5645:199 0.000253993 -73 *5630:217 *5652:149 7.78226e-05 -74 *5630:217 *5652:166 0.000121018 -75 *5630:217 *5662:97 0.000110914 -76 *5630:217 *5767:166 0 -77 *5630:217 *5767:197 0 -78 *5630:217 *5863:161 2.99247e-05 -79 *5630:217 *5863:163 1.76304e-05 -80 *5630:230 *5643:203 0.000510456 -81 *5630:230 *5645:199 0.000349399 -82 *5630:230 *5645:220 0.000460233 -83 *5630:230 *5652:184 2.99773e-05 -84 *5630:230 *5656:294 0.00052769 -85 *5630:230 *5662:97 0.00010283 -86 *5630:230 *5824:286 0.000417176 -87 *5630:230 *6005:32 0.000337762 -88 *5630:246 *5643:160 0.000127987 -89 *5630:246 *5647:82 0.000130141 -90 *5630:246 *5649:128 5.62624e-06 -91 *5630:246 *5781:42 0.000226612 -92 *5630:246 *5905:110 4.79453e-05 -93 *5630:250 *6351:24 0.000111192 -94 *5630:250 *6351:26 8.6886e-05 -95 *5630:264 *5708:58 0.00013359 -96 *5630:264 *5765:95 0.000363782 -97 *5630:274 *5792:40 4.59075e-05 -98 *5630:274 *5836:284 0.000247411 -99 *5630:292 *27665:A2 1.09611e-05 -100 *5630:292 *27748:B1 0.000824017 -101 *5630:292 *27750:B1 0.000151984 -102 *5630:292 *5645:156 0.0011672 -103 *5630:292 *5649:243 1.03245e-05 -104 *5630:292 *5689:102 0.000288009 -105 *5630:292 *5689:104 0.000158096 -106 *5630:292 *5689:106 0.000557111 -107 *5630:292 *5689:117 6.30931e-05 -108 *5630:307 *27659:A2 0.000554139 -109 *5630:307 *5669:248 0.000213399 -110 *5630:307 *5709:32 0.000943379 -111 *5630:307 *5716:50 0.000657852 -112 *5630:307 *5799:7 0.000196179 -113 *5630:307 *5832:8 0.000819047 -114 *24907:A *5630:63 0.000464471 -115 *25047:A2 *5630:176 7.53147e-05 -116 *25103:A2 *5630:110 0.000136958 -117 *25104:C1 *27961:B1 0.000257619 -118 *25104:C1 *5630:110 1.54142e-05 -119 *25190:B1 *5630:264 0.000131357 -120 *25190:C1 *27701:B1 0.000174728 -121 *25190:C1 *5630:250 5.08999e-05 -122 *25190:C1 *5630:264 0.00114909 -123 *25192:A1 *5630:144 0.000627058 -124 *25197:C *5630:161 0.000110498 -125 *25200:B2 *5630:43 7.27952e-05 -126 *25200:B2 *5630:144 0.000284401 -127 *25403:A2 *5630:292 4.37712e-06 -128 *27021:S *5630:264 0.000116807 -129 *27360:B1 *5630:176 0 -130 *27371:B1 *5630:43 5.52634e-05 -131 *27371:B1 *5630:144 0.000227806 -132 *27414:B2 *5630:274 2.59355e-05 -133 *27415:A1 *5630:274 0.00113582 -134 *27415:A2 *5630:274 0.000123551 -135 *27415:C1 *5630:274 4.48128e-05 -136 *27464:A1 *27835:B1 2.59355e-05 -137 *27565:B2 *27908:B1 0.000132892 -138 *27565:B2 *27935:B1 0.000283871 -139 *27574:C1 *5630:110 4.66203e-05 -140 *27659:A1 *5630:307 4.35836e-05 -141 *27686:B2 *27686:B1 3.64214e-05 -142 *27686:B2 *5630:45 0.000219733 -143 *27686:B2 *5630:52 0.000342302 -144 *27738:B2 *27738:B1 2.01e-05 -145 *27738:C1 *27738:B1 0.000137983 -146 *27748:A1 *5630:292 1.08359e-05 -147 *27750:B2 *5630:292 5.87889e-05 -148 *27761:B2 *27761:B1 1.28809e-05 -149 *27765:B2 *5630:292 0.000734544 -150 *27798:B2 *5630:217 0.000191485 -151 *27835:C1 *27835:B1 6.05161e-06 -152 *27934:B1 *27908:B1 4.11218e-05 -153 *27935:A1 *27935:B1 2.95693e-05 -154 *27946:C1 *5630:110 0.000386 -155 *27948:B1 *5630:63 0.000175892 -156 *27958:C1 *5630:110 0.000303762 -157 *27961:B2 *27961:B1 7.95355e-05 -158 *27961:B2 *5630:110 0.000148266 -159 *28663:D *5630:217 0.000100823 -160 *28684:D *5630:264 4.41457e-05 -161 *28801:CLK *5630:14 0.000135028 -162 *28802:D *5630:14 8.43535e-06 -163 *28843:A *5630:59 0.00229532 -164 *29395:A *5630:307 0.0001399 -165 *30245:A *27908:B1 1.10632e-05 -166 *30331:A *5630:292 0.000314103 -167 *30477:A *5630:144 0.000193249 -168 *30875:A *5630:230 2.16353e-05 -169 *31016:A *5630:14 5.49489e-05 -170 *282:38 *5630:59 0.00183732 -171 *1210:19 *5630:110 0.000195194 -172 *1233:8 *5630:63 0.000135028 -173 *1252:195 *5630:182 7.38975e-05 -174 *1256:113 *5630:264 0.000259645 -175 *1263:163 *5630:110 8.35944e-05 -176 *1266:186 *5630:182 2.09826e-05 -177 *1268:74 *5630:144 9.5908e-05 -178 *1275:94 *5630:144 0.000155712 -179 *1277:176 *5630:36 0.000162221 -180 *1283:9 *27761:B1 9.77095e-05 -181 *1283:9 *5630:307 0.000399099 -182 *1287:97 *27908:B1 5.33005e-05 -183 *1288:112 *5630:296 0 -184 *1302:6 *5630:182 1.94879e-05 -185 *1362:15 *5630:110 0.000137561 -186 *1396:127 *5630:52 0.00049948 -187 *1396:129 *5630:52 0.000261355 -188 *1422:52 *5630:110 9.39191e-05 -189 *1423:37 *5630:110 1.33343e-05 -190 *1435:34 *5630:110 1.08524e-05 -191 *1460:41 *5630:63 0.000272969 -192 *1501:30 *5630:144 0.000107157 -193 *1509:16 *5630:274 0.000102327 -194 *1510:27 *5630:246 0.000286732 -195 *1514:18 *5630:246 6.53397e-05 -196 *1556:26 *5630:264 0 -197 *1563:26 *5630:110 7.85484e-05 -198 *1574:21 *5630:264 1.4477e-06 -199 *1826:154 *5630:161 0.000110498 -200 *1826:154 *5630:176 0 -201 *1826:154 *5630:246 0.000925965 -202 *2758:64 *5630:14 8.20109e-05 -203 *2768:90 *5630:264 0.000188523 -204 *2775:174 *5630:52 0.000121418 -205 *2778:152 *5630:264 0.000101768 -206 *2778:194 *5630:264 8.45157e-05 -207 *2784:88 *5630:264 0 -208 *2844:275 *5630:161 0.000203235 -209 *2844:318 *5630:274 0.000343528 -210 *2845:286 *5630:264 0.00234806 -211 *2848:300 *5630:292 6.90381e-06 -212 *2859:162 *5630:14 0.000148182 -213 *2859:283 *5630:246 0.000209657 -214 *2860:242 *5630:161 0.000433294 -215 *2860:242 *5630:246 0.000312306 -216 *2866:248 *5630:144 0.00031534 -217 *2867:199 *5630:144 0.000269468 -218 *2867:226 *5630:161 6.20441e-06 -219 *2867:226 *5630:176 2.11419e-05 -220 *2871:277 *5630:161 3.32539e-05 -221 *2872:299 *5630:274 0.000299912 -222 *2872:336 *5630:182 2.41653e-05 -223 *2875:275 *5630:274 1.25387e-05 -224 *2892:186 *5630:144 0.000175892 -225 *2892:220 *27835:B1 1.90936e-05 -226 *2892:220 *5630:217 0.000576409 -227 *2892:220 *5630:230 0.000489959 -228 *2894:138 *5630:71 0.00188994 -229 *2894:237 *5630:36 0.000924605 -230 *2922:36 *5630:36 6.28948e-05 -231 *2924:14 *5630:36 0.000257075 -232 *2964:15 *5630:292 0.000294649 -233 *3162:20 *5630:264 0.000135673 -234 *3164:295 *5630:230 0.000166986 -235 *3164:304 *5630:230 0.000610642 -236 *3165:55 *27835:B1 1.94945e-05 -237 *3177:101 *27701:B1 2.37742e-05 -238 *3177:117 *27701:B1 6.03191e-05 -239 *3177:136 *5630:274 0.000336335 -240 *3179:90 *5630:217 6.90249e-05 -241 *3179:108 *27835:B1 1.4487e-05 -242 *3179:108 *5630:176 0.000993213 -243 *3179:154 *27738:B1 0.000341 -244 *3179:154 *5630:250 0.000755543 -245 *3179:154 *5630:264 4.50225e-05 -246 *3179:238 *5630:217 0.000214841 -247 *3194:93 *5630:14 0.000509301 -248 *3205:180 *5630:14 5.52238e-05 -249 *3205:189 *5630:14 0.00102488 -250 *3236:13 *27686:B1 5.33005e-05 -251 *3236:13 *5630:43 0.000148934 -252 *3236:13 *5630:45 8.30355e-06 -253 *3263:11 *5630:26 0.00123031 -254 *3316:17 *5630:14 0.000107946 -255 *3386:10 *5630:217 0.000110945 -256 *3575:46 *5630:14 0.000146474 -257 *3578:66 *5630:296 0 -258 *3578:75 *5630:292 0 -259 *3675:13 *27908:B1 0.000123464 -260 *3675:13 *27935:B1 0.000114847 -261 *3679:16 *5630:230 7.36162e-05 -262 *3688:25 *27935:B1 1.90979e-05 -263 *3699:21 *5630:307 8.25843e-06 -264 *3701:32 *27908:B1 0.000231379 -265 *3721:14 *5630:182 2.50034e-05 -266 *3743:51 *5630:43 6.35864e-05 -267 *3774:33 *5630:182 6.90381e-06 -268 *3783:66 *5630:246 4.65519e-05 -269 *3786:25 *5630:217 5.38242e-05 -270 *3848:38 *27701:B1 0.000130051 -271 *3848:38 *5630:250 0.000362147 -272 *3858:28 *5630:63 4.50914e-05 -273 *3858:28 *5630:71 0.000118615 -274 *3871:94 *27908:B1 0.000192257 -275 *3881:56 *5630:63 0.000274487 -276 *3886:51 *5630:22 0.000834278 -277 *3886:51 *5630:26 0.000471005 -278 *3886:51 *5630:36 0.0018082 -279 *3887:60 *5630:161 0.000140489 -280 *3891:43 *5630:230 0.00082913 -281 *3891:58 *5630:217 0.000219289 -282 *3899:54 *5630:22 0.000152146 -283 *3899:54 *5630:26 0.00111481 -284 *3913:33 *5630:246 6.53397e-05 -285 *3926:48 *5630:176 2.06112e-05 -286 *3953:28 *5630:274 0.000249118 -287 *3965:70 *5630:144 0.0023622 -288 *3965:70 *5630:161 0.000713167 -289 *3978:78 *5630:26 0.0011243 -290 *3998:50 *5630:52 0.000122942 -291 *4023:16 *5630:110 0.000139123 -292 *4036:40 *5630:110 0.000727447 -293 *4070:12 *5630:292 0.000162715 -294 *4070:44 *5630:274 0.000303555 -295 *4070:44 *5630:292 0.00010288 -296 *4095:67 *5630:246 0.00225196 -297 *4096:32 *5630:292 0 -298 *4103:44 *27908:B1 0.000101341 -299 *4104:11 *27935:B1 3.64214e-05 -300 *4104:23 *27935:B1 9.29348e-05 -301 *4105:20 *5630:71 9.75884e-05 -302 *4107:31 *27701:B1 0.000219711 -303 *4107:40 *5630:43 6.54117e-05 -304 *4108:123 *5630:246 8.77037e-05 -305 *4109:36 *5630:292 0.000145957 -306 *4109:36 *5630:296 0.00125903 -307 *4122:8 *5630:292 1.75243e-05 -308 *4122:28 *5630:292 0 -309 *4125:23 *5630:230 0.000505875 -310 *4125:24 *5630:217 5.31234e-05 -311 *4135:70 *5630:292 0.000121542 -312 *4146:27 *5630:43 0.000221592 -313 *4146:54 *5630:26 7.39203e-06 -314 *4146:54 *5630:36 0.00162872 -315 *4146:54 *5630:43 6.18513e-05 -316 *4146:56 *5630:22 0.000163415 -317 *4146:56 *5630:26 0.000199897 -318 *4146:56 *5630:36 1.5942e-05 -319 *5007:42 *27908:B1 9.80405e-05 -320 *5589:31 *5630:43 1.14336e-05 -321 *5589:147 *5630:144 3.00182e-05 -322 *5594:38 *5630:217 0.000465243 -323 *5600:114 *5630:26 0.000459717 -324 *5600:132 *5630:36 4.64974e-05 -325 *5605:170 *5630:264 3.69331e-05 -326 *5605:171 *27738:B1 4.9448e-05 -327 *5605:171 *5630:250 0.000425628 -328 *5609:152 *5630:43 0.000145239 -329 *5614:88 *5630:110 0.000425983 -330 *5628:121 *5630:230 7.35992e-05 -*RES -1 *31016:X *5630:14 48.8982 -2 *5630:14 *5630:22 18.7446 -3 *5630:22 *5630:26 35.2679 -4 *5630:26 *5630:36 36.2253 -5 *5630:36 *5630:43 17.9369 -6 *5630:43 *5630:45 2.58929 -7 *5630:45 *5630:52 41.9821 -8 *5630:52 *5630:59 46.9643 -9 *5630:59 *5630:63 27.2857 -10 *5630:63 *5630:71 42.9643 -11 *5630:71 *27935:B1 19.9786 -12 *5630:71 *27908:B1 36.2286 -13 *5630:63 *5630:110 41.8393 -14 *5630:110 *6545:DIODE 9.3 -15 *5630:110 *27961:B1 12.3179 -16 *5630:45 *6486:DIODE 9.3 -17 *5630:43 *27686:B1 10.5679 -18 *5630:36 *5630:144 47.9369 -19 *5630:144 *5630:161 28.1296 -20 *5630:161 *5630:176 45.8973 -21 *5630:176 *5630:182 10.6841 -22 *5630:182 *27835:B1 18.0225 -23 *5630:182 *5630:217 47.3747 -24 *5630:217 *5630:230 42.6225 -25 *5630:230 *27784:B1 24.0946 -26 *5630:161 *5630:246 19.1521 -27 *5630:246 *5630:250 22.5982 -28 *5630:250 *5630:264 28.9961 -29 *5630:264 *5630:274 49.9911 -30 *5630:274 *5630:292 42.5561 -31 *5630:292 *5630:296 21.625 -32 *5630:296 *5630:307 43.5179 -33 *5630:307 *27659:B1 9.3 -34 *5630:296 *27761:B1 11.9071 -35 *5630:250 *27701:B1 17.7732 -36 *5630:246 *27738:B1 12.7107 -*END - -*D_NET *5631 0.0968843 -*CONN -*I *27805:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27649:B I *D sky130_fd_sc_hd__or4_1 -*I *27776:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27723:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27703:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27679:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27828:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *30795:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *27805:A2 0.000872287 -2 *27649:B 2.23854e-05 -3 *27776:B1 6.25812e-05 -4 *27723:A2 0.000241354 -5 *27703:B1 0.000144932 -6 *27679:B1 0.000648713 -7 *27828:B1 0 -8 *30795:X 0 -9 *5631:145 0.0022359 -10 *5631:113 0.00178269 -11 *5631:88 0.00293453 -12 *5631:72 0.00390683 -13 *5631:71 0.00162306 -14 *5631:58 0.00409052 -15 *5631:47 0.00429954 -16 *5631:36 0.0033202 -17 *5631:35 0.00187502 -18 *5631:28 0.000224879 -19 *5631:26 0.00150412 -20 *5631:25 0.00168297 -21 *5631:20 0.00392215 -22 *5631:13 0.00414453 -23 *5631:4 0.00174245 -24 *27679:B1 *27679:A2 0.000570034 -25 *27679:B1 *27699:A2 0.000225756 -26 *27679:B1 *5647:125 0.000528755 -27 *27679:B1 *5702:29 0.000527668 -28 *27723:A2 *5695:80 0.000236171 -29 *27776:B1 *5692:31 2.05612e-05 -30 *27805:A2 *5873:106 0.000102997 -31 *27805:A2 *5873:114 0.000159673 -32 *5631:13 *5680:241 7.6021e-05 -33 *5631:20 *5714:16 0.000275796 -34 *5631:20 *5714:29 0.000330756 -35 *5631:25 *27811:B1 0.000355829 -36 *5631:26 *5656:68 0.00029153 -37 *5631:26 *5658:31 2.26973e-05 -38 *5631:26 *5714:36 0.000650795 -39 *5631:26 *5714:47 0.000563918 -40 *5631:35 *27828:A2 0.00015546 -41 *5631:35 *5633:60 0.000411592 -42 *5631:35 *5675:13 4.97097e-05 -43 *5631:36 *26880:A1 4.78056e-06 -44 *5631:36 *27025:A0 0.000162857 -45 *5631:47 *26880:A1 0.000861561 -46 *5631:47 *5683:184 0.00131564 -47 *5631:58 *27125:S 0.00115865 -48 *5631:58 *5635:147 0.000240931 -49 *5631:58 *5809:22 4.65519e-05 -50 *5631:58 *5905:86 0.0011028 -51 *5631:71 *5635:165 0.000828745 -52 *5631:71 *5692:31 0.000504731 -53 *5631:71 *5695:133 0.000176049 -54 *5631:71 *5810:17 0.000219289 -55 *5631:71 *5829:76 4.98496e-06 -56 *5631:88 *5647:125 1.17396e-05 -57 *5631:88 *5702:29 1.94945e-05 -58 *5631:88 *5810:77 1.90936e-05 -59 *5631:113 *5641:211 0.000549576 -60 *5631:113 *5644:106 1.69159e-05 -61 *5631:113 *5819:24 0.000468738 -62 *5631:113 *5829:68 7.83659e-05 -63 *6394:DIODE *27776:B1 0.000175892 -64 *6394:DIODE *5631:71 1.21258e-05 -65 *24971:A1 *27805:A2 5.52302e-05 -66 *24988:A1 *5631:26 0.00033763 -67 *24988:B2 *5631:35 0.000625392 -68 *25007:A2 *5631:20 0.000191403 -69 *25007:B2 *5631:20 0.000128154 -70 *25026:A1 *5631:26 0 -71 *25047:B2 *5631:47 0.00117287 -72 *25050:A1 *5631:47 0.000440049 -73 *25172:A2 *5631:71 8.85276e-05 -74 *25186:B2 *5631:71 9.9974e-05 -75 *25314:B1 *27679:B1 1.21258e-05 -76 *27390:A1 *5631:71 1.24368e-05 -77 *27390:A2 *5631:71 3.33174e-05 -78 *27390:B2 *5631:71 4.01136e-06 -79 *27392:A1 *5631:88 0.000918045 -80 *27394:B *5631:71 2.66241e-05 -81 *27445:B1 *5631:20 0.00010891 -82 *27447:B1 *5631:20 0.000350675 -83 *27460:B1 *5631:20 6.28948e-05 -84 *27476:B2 *5631:36 0.000529528 -85 *27606:C *5631:145 0.00024295 -86 *27637:C *5631:145 0.00040113 -87 *27649:C *27805:A2 4.27935e-05 -88 *27649:C *5631:145 0.00107114 -89 *27679:A1 *27679:B1 4.37649e-05 -90 *27703:A1 *27703:B1 4.92107e-05 -91 *27703:A2 *27703:B1 0.000114596 -92 *27703:B2 *27703:B1 5.09596e-06 -93 *27708:B *27703:B1 2.59355e-05 -94 *27723:B1 *27723:A2 0.000278051 -95 *27726:A1 *5631:113 9.91086e-05 -96 *27740:B2 *5631:113 0.000113381 -97 *27775:A1 *5631:58 0.000123625 -98 *27775:A2 *5631:58 0.000152693 -99 *27805:A1 *27805:A2 5.33005e-05 -100 *27818:A1 *5631:20 8.51784e-05 -101 *27831:C1 *5631:36 0.000678016 -102 *27831:D1 *5631:36 0.00045689 -103 *28689:CLK *5631:47 9.28e-05 -104 *28695:D *5631:71 0.000558111 -105 *29156:A *5631:26 4.5539e-05 -106 *30106:A *5631:47 0.000124529 -107 *30163:A *5631:47 0.000127446 -108 *30372:A *5631:26 6.25005e-05 -109 *30806:A *5631:20 3.41046e-05 -110 *30808:A *5631:20 0.00035463 -111 *1246:104 *5631:36 6.34731e-05 -112 *1246:104 *5631:47 0.000142159 -113 *1246:111 *5631:47 5.0363e-05 -114 *1261:227 *5631:71 0.000411263 -115 *1265:161 *27723:A2 8.25083e-05 -116 *1268:74 *5631:36 0.000273466 -117 *1269:134 *5631:71 0.000221212 -118 *1269:238 *5631:36 2.04825e-05 -119 *1292:215 *5631:36 0.000815573 -120 *1313:8 *5631:36 0.000414083 -121 *1317:29 *27805:A2 0 -122 *1363:126 *5631:26 0.0012668 -123 *1363:127 *5631:26 0.00250248 -124 *1368:23 *5631:20 0.00259166 -125 *1513:10 *5631:72 3.10819e-05 -126 *1513:10 *5631:113 0.00269777 -127 *1638:36 *5631:36 6.57603e-05 -128 *1826:117 *5631:20 0 -129 *1826:188 *5631:58 0.000133159 -130 *2758:67 *5631:20 0.000157074 -131 *2758:74 *5631:20 0.000129719 -132 *2784:162 *5631:113 0.000561378 -133 *2786:80 *5631:58 0 -134 *2786:89 *5631:26 0 -135 *2788:76 *5631:88 0.00043676 -136 *2790:29 *5631:20 0.000406019 -137 *2791:66 *5631:58 0 -138 *2792:128 *5631:145 0.000935961 -139 *2792:129 *5631:145 0.000541988 -140 *2823:63 *5631:145 5.41794e-05 -141 *2827:72 *5631:20 0.000691424 -142 *2864:321 *5631:88 0.000155959 -143 *2888:291 *5631:88 0.000504724 -144 *2980:10 *5631:58 0.000141146 -145 *2998:30 *5631:20 0.000119882 -146 *3040:19 *5631:26 0.000408397 -147 *3040:31 *5631:20 1.8599e-05 -148 *3040:31 *5631:26 0.00150881 -149 *3150:56 *5631:145 0.00018045 -150 *3150:75 *5631:145 5.4869e-05 -151 *3150:93 *5631:145 0.000544756 -152 *3163:56 *5631:145 0.000181239 -153 *3165:99 *5631:88 0.000289681 -154 *3165:103 *5631:88 0 -155 *3176:254 *5631:47 0.000159968 -156 *3177:19 *27805:A2 4.13496e-05 -157 *3177:19 *5631:145 0.000756998 -158 *3185:48 *5631:26 1.05524e-05 -159 *3189:11 *5631:145 5.01685e-05 -160 *3196:9 *5631:145 0 -161 *3204:15 *5631:145 2.14658e-05 -162 *3206:179 *5631:13 0.000262498 -163 *3206:179 *5631:145 0.000122591 -164 *3206:293 *5631:113 0.000264697 -165 *3214:173 *5631:13 0.000257619 -166 *3214:173 *5631:20 3.34295e-05 -167 *3214:173 *5631:145 0.000135028 -168 *3215:286 *5631:58 4.72945e-05 -169 *3215:309 *27723:A2 6.93756e-05 -170 *3367:43 *5631:58 5.47551e-05 -171 *3537:73 *5631:20 4.32217e-05 -172 *3537:73 *5631:25 4.79414e-05 -173 *3537:73 *5631:26 8.1363e-05 -174 *3537:74 *5631:20 0.000753829 -175 *3581:138 *5631:88 0.00015307 -176 *3670:24 *5631:13 0.000146474 -177 *3692:47 *5631:71 0.000158206 -178 *3692:58 *5631:71 0.000149202 -179 *3694:77 *5631:58 0.000136964 -180 *3705:22 *5631:72 0.000556899 -181 *3705:22 *5631:113 4.18827e-05 -182 *3760:10 *5631:36 0.000498299 -183 *3760:30 *5631:36 0.000143118 -184 *3785:25 *5631:47 0.000258692 -185 *3825:37 *5631:145 0 -186 *3863:21 *5631:47 0.00016834 -187 *3863:37 *5631:47 0.000153459 -188 *3863:37 *5631:58 0.000103363 -189 *3887:41 *5631:72 0.00012401 -190 *3926:40 *5631:113 0 -191 *3968:20 *5631:26 4.98496e-06 -192 *3969:20 *5631:47 0 -193 *3978:44 *5631:88 2.06178e-05 -194 *3982:46 *27805:A2 0.000596652 -195 *4006:51 *27776:B1 9.41642e-05 -196 *4006:51 *5631:71 0 -197 *4017:56 *27679:B1 0.00096267 -198 *4082:42 *5631:113 0.000313458 -199 *4082:53 *5631:88 3.82242e-05 -200 *4082:70 *5631:71 2.95466e-05 -201 *4082:70 *5631:72 0.000242387 -202 *4121:81 *5631:26 1.81805e-05 -203 *4134:6 *5631:72 8.18695e-05 -204 *4134:78 *5631:47 0.000299674 -205 *4147:46 *5631:71 0.000119924 -206 *4927:15 *5631:26 2.79437e-05 -207 *5386:11 *5631:20 0.000345528 -208 *5582:159 *5631:88 0.000507405 -209 *5584:76 *5631:25 6.87699e-05 -210 *5604:117 *5631:36 0.0016013 -211 *5604:117 *5631:47 6.05538e-06 -212 *5607:82 *5631:71 7.51975e-05 -213 *5609:245 *5631:71 0.000165123 -214 *5627:13 *5631:13 9.33978e-07 -*RES -1 *30795:X *5631:4 9.3 -2 *5631:4 *5631:13 20.625 -3 *5631:13 *5631:20 47.3936 -4 *5631:20 *5631:25 7.4075 -5 *5631:25 *5631:26 54.0089 -6 *5631:26 *5631:28 4.5 -7 *5631:28 *27828:B1 9.3 -8 *5631:28 *5631:35 11.1964 -9 *5631:35 *5631:36 51.8661 -10 *5631:36 *5631:47 49.3699 -11 *5631:47 *5631:58 23.3663 -12 *5631:58 *5631:71 47.3393 -13 *5631:71 *5631:72 7.41071 -14 *5631:72 *5631:88 35.8772 -15 *5631:88 *27679:B1 32.55 -16 *5631:88 *27703:B1 16.4071 -17 *5631:72 *5631:113 45.6071 -18 *5631:113 *27723:A2 24.2554 -19 *5631:58 *27776:B1 11.0679 -20 *5631:4 *5631:145 46.8571 -21 *5631:145 *27649:B 9.72857 -22 *5631:145 *27805:A2 34.8893 -*END - -*D_NET *5632 0.0899383 -*CONN -*I *27801:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *27697:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27677:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27752:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27775:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27735:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27826:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *30796:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *27801:A2 0.000267182 -2 *27697:A2 0.002761 -3 *27677:A2 0 -4 *27752:B1 0 -5 *27775:B1 0.00019975 -6 *27735:A2 0 -7 *27826:B1 4.3867e-05 -8 *30796:X 0.000651443 -9 *5632:161 0.00211073 -10 *5632:131 0.00303041 -11 *5632:115 0.00568601 -12 *5632:90 0.00213659 -13 *5632:73 0.00193684 -14 *5632:71 0.00326419 -15 *5632:53 0.00283029 -16 *5632:52 0.00187227 -17 *5632:44 0.00234479 -18 *5632:18 0.0026706 -19 *5632:8 0.00269003 -20 *5632:7 0.00210725 -21 *5632:5 0.000918625 -22 *27697:A2 *5645:136 0.000391883 -23 *27697:A2 *5646:252 9.60939e-05 -24 *27697:A2 *5702:38 0.00148092 -25 *27697:A2 *5702:58 0.000157459 -26 *27697:A2 *5824:213 0.000236084 -27 *27775:B1 *5656:310 2.51343e-06 -28 *5632:5 *5700:250 2.61099e-05 -29 *5632:8 *27453:B1 0.000186312 -30 *5632:8 *5658:10 0.000267435 -31 *5632:8 *5658:12 0.00409821 -32 *5632:44 *5640:27 0.000829176 -33 *5632:44 *5643:298 0.000262498 -34 *5632:44 *5667:40 4.73587e-05 -35 *5632:44 *5667:60 0.000152995 -36 *5632:53 *5649:120 0.000755294 -37 *5632:90 *27125:S 0.000171668 -38 *5632:90 *27433:B1 4.65519e-05 -39 *5632:90 *27735:B1 0.000301016 -40 *5632:90 *5649:290 0.00190298 -41 *5632:90 *5662:111 2.01997e-05 -42 *5632:90 *5905:86 0.000549257 -43 *5632:115 *5764:230 0.000418295 -44 *5632:115 *5765:95 0.000713928 -45 *5632:131 *5649:151 3.37118e-05 -46 *5632:131 *5649:217 0.00024362 -47 *5632:161 *29045:A 8.20488e-05 -48 *5632:161 *5649:151 0.000104896 -49 *5632:161 *5655:203 0.000190135 -50 *5632:161 *5765:5 0.000175892 -51 *5632:161 *5765:25 0.000126771 -52 *25025:B1 *5632:18 8.8225e-05 -53 *25025:B2 *5632:18 6.51616e-05 -54 *25093:A2 *5632:131 0.000749913 -55 *25197:D *5632:53 0.00015304 -56 *27141:S *5632:90 4.37712e-06 -57 *27384:C1 *5632:53 0.000125724 -58 *27385:A2 *5632:71 3.90513e-05 -59 *27386:C1 *5632:71 0.000313065 -60 *27427:A2 *5632:115 0 -61 *27428:B1 *5632:90 0.000649539 -62 *27438:A2 *5632:71 0.000161013 -63 *27673:A *5632:161 0.000216755 -64 *27677:A1 *5632:131 9.19433e-05 -65 *27677:A1 *5632:161 2.3093e-05 -66 *27677:B2 *5632:161 6.34778e-05 -67 *27697:A1 *27697:A2 0.000137983 -68 *27735:B2 *5632:115 5.96243e-05 -69 *27736:C1 *5632:90 0.000272126 -70 *27752:A1 *5632:161 7.20953e-05 -71 *27752:A2 *5632:161 0.00126651 -72 *27757:B1 *5632:161 0.000102648 -73 *27775:A1 *27775:B1 1.24368e-05 -74 *27775:B2 *27775:B1 1.21436e-05 -75 *27801:A1 *27801:A2 5.52302e-05 -76 *27801:B1 *27801:A2 4.62931e-05 -77 *27811:C1 *5632:8 0.000143285 -78 *27826:A2 *5632:18 0.000153779 -79 *27826:B2 *27826:B1 3.77895e-05 -80 *27826:B2 *5632:44 2.59355e-05 -81 *28563:D *5632:53 0.000122682 -82 *30346:A *5632:44 0.000514191 -83 *30486:A *5632:53 0.000308627 -84 *1178:123 *5632:52 0.000145399 -85 *1252:146 *5632:44 0.000910479 -86 *1252:166 *5632:44 0.00033524 -87 *1258:75 *5632:71 4.21517e-05 -88 *1267:80 *27697:A2 0 -89 *1277:188 *5632:44 3.72602e-05 -90 *1286:26 *27697:A2 1.40105e-05 -91 *1293:149 *27697:A2 1.28958e-05 -92 *1294:170 *5632:5 0.000135524 -93 *1350:13 *27826:B1 5.37446e-05 -94 *1350:13 *5632:44 1.59858e-05 -95 *1490:24 *5632:52 0.00014927 -96 *1490:61 *27801:A2 0.000258936 -97 *1490:61 *5632:5 0.00101493 -98 *1507:29 *5632:53 0.000745916 -99 *1557:16 *5632:115 1.31781e-06 -100 *1680:17 *27697:A2 2.14658e-05 -101 *1826:132 *5632:53 4.28365e-05 -102 *1826:144 *5632:53 0.000342137 -103 *2759:119 *27697:A2 4.89354e-06 -104 *2761:98 *27697:A2 0 -105 *2761:98 *5632:131 0 -106 *2768:89 *5632:115 1.21813e-05 -107 *2781:162 *5632:71 9.41642e-05 -108 *2782:146 *5632:115 3.04984e-05 -109 *2785:10 *27775:B1 0.000112203 -110 *2791:87 *5632:44 5.41794e-05 -111 *2845:215 *5632:52 0.000178288 -112 *2845:347 *5632:52 0.000122591 -113 *2848:206 *5632:53 0.000440786 -114 *2852:108 *5632:53 0.000217614 -115 *2852:108 *5632:71 0.000121549 -116 *2853:224 *5632:53 0.00166865 -117 *2853:292 *5632:71 8.28621e-05 -118 *2853:292 *5632:115 0.000272209 -119 *2855:279 *5632:8 0.000516762 -120 *2860:209 *5632:53 0.00102698 -121 *2860:242 *5632:53 0.000353006 -122 *2864:292 *5632:115 0.000219966 -123 *2866:311 *27775:B1 2.20187e-05 -124 *2867:193 *5632:52 0.00045243 -125 *2882:178 *5632:8 0.000231271 -126 *2882:185 *5632:8 0.00154807 -127 *2882:185 *5632:18 0.000123402 -128 *2882:185 *5632:44 9.66219e-05 -129 *2885:156 *5632:115 0.000328094 -130 *2885:175 *5632:115 0.000112908 -131 *2889:92 *5632:115 0.000402382 -132 *2892:140 *5632:8 0.000100702 -133 *2892:140 *5632:18 0.000885334 -134 *2997:20 *27775:B1 0.000108627 -135 *3153:204 *5632:115 0.00111214 -136 *3176:8 *5632:8 0.00350493 -137 *3176:230 *5632:8 0.000407921 -138 *3176:230 *5632:18 0.000164766 -139 *3176:233 *5632:18 4.65519e-05 -140 *3176:267 *5632:161 0.000114453 -141 *3179:154 *27697:A2 9.91949e-05 -142 *3205:230 *5632:52 5.42755e-05 -143 *3205:235 *5632:52 9.0145e-05 -144 *3215:286 *5632:90 0.00136243 -145 *3252:13 *27697:A2 0.000156988 -146 *3285:16 *5632:90 0.000109263 -147 *3293:14 *5632:44 0.000156328 -148 *3538:16 *5632:71 0.000862162 -149 *3574:28 *5632:8 0.000263656 -150 *3666:144 *5632:53 0.000401815 -151 *3666:148 *5632:53 2.81098e-05 -152 *3666:148 *5632:71 0.000347485 -153 *3704:14 *27697:A2 0.000965768 -154 *3729:44 *5632:161 5.74499e-06 -155 *3746:22 *5632:90 0.000651369 -156 *3760:12 *5632:18 4.34648e-05 -157 *3760:12 *5632:44 8.87213e-05 -158 *3846:34 *5632:131 0.000245342 -159 *3912:27 *5632:115 0.000250834 -160 *3913:43 *5632:53 0.000917844 -161 *3913:43 *5632:71 0.000503521 -162 *3913:50 *5632:53 0.00014941 -163 *3913:52 *5632:53 2.35985e-05 -164 *3916:34 *5632:18 0.00025049 -165 *3924:62 *27697:A2 0.000134168 -166 *3924:73 *5632:161 0.000188549 -167 *3939:51 *5632:44 0.000228845 -168 *3963:60 *27697:A2 0.00122066 -169 *3963:60 *5632:131 0.000171028 -170 *3963:72 *5632:115 0 -171 *3977:16 *27697:A2 0.000981002 -172 *3977:16 *5632:131 1.90936e-05 -173 *5582:70 *5632:115 0 -174 *5595:22 *5632:71 0.00117683 -175 *5595:32 *5632:71 3.17148e-05 -176 *5595:32 *5632:115 0.000262959 -177 *5600:195 *5632:71 9.80405e-05 -178 *5629:166 *27697:A2 0.000124532 -179 *5629:166 *5632:131 2.04825e-05 -*RES -1 *30796:X *5632:5 20.925 -2 *5632:5 *5632:7 4.5 -3 *5632:7 *5632:8 77.0804 -4 *5632:8 *5632:18 20.3428 -5 *5632:18 *27826:B1 14.8982 -6 *5632:18 *5632:44 49.6311 -7 *5632:44 *5632:52 21.4546 -8 *5632:52 *5632:53 56.1339 -9 *5632:53 *5632:71 46.0089 -10 *5632:71 *5632:73 4.5 -11 *5632:73 *27735:A2 9.3 -12 *5632:73 *5632:90 48.1855 -13 *5632:90 *27775:B1 21.2189 -14 *5632:71 *5632:115 15.6922 -15 *5632:115 *5632:131 32.6491 -16 *5632:131 *5632:161 45.5223 -17 *5632:161 *27752:B1 9.3 -18 *5632:131 *27677:A2 9.3 -19 *5632:115 *27697:A2 41.8111 -20 *5632:5 *27801:A2 13.9607 -*END - -*D_NET *5633 0.112657 -*CONN -*I *27776:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27754:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27679:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27698:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27731:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27828:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27800:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *30797:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *27776:A2 0.000719611 -2 *27754:A2 0.0018772 -3 *27679:A2 0.000899254 -4 *27698:A2 0 -5 *27731:B1 0 -6 *27828:A2 4.94464e-05 -7 *27800:B1 6.74015e-05 -8 *30797:X 0.000617612 -9 *5633:164 0.00470552 -10 *5633:152 0.00405881 -11 *5633:103 0.00179142 -12 *5633:97 0.00178758 -13 *5633:76 0.000961896 -14 *5633:72 0.00291926 -15 *5633:69 0.00338218 -16 *5633:63 0.00283608 -17 *5633:62 0.00179578 -18 *5633:60 0.0010733 -19 *5633:47 0.00152013 -20 *5633:41 0.00297852 -21 *5633:40 0.00361792 -22 *5633:26 0.00284948 -23 *5633:13 0.00236291 -24 *27679:A2 *27699:A2 0.000237402 -25 *27679:A2 *27699:B1 0.000694964 -26 *27754:A2 *5650:268 2.87555e-06 -27 *27776:A2 *5692:31 0.000133695 -28 *27776:A2 *5809:22 2.14879e-05 -29 *27828:A2 *5675:13 0.00015546 -30 *5633:13 *5656:14 8.68574e-05 -31 *5633:40 *5947:27 0.00097785 -32 *5633:41 *27811:B1 0.00011105 -33 *5633:41 *29037:A 0.000121573 -34 *5633:41 *5680:215 0.000407754 -35 *5633:41 *5680:232 0.000653671 -36 *5633:41 *5680:239 2.2565e-05 -37 *5633:41 *5680:241 0.00329987 -38 *5633:41 *5714:29 0.00013928 -39 *5633:41 *5714:36 0.00192765 -40 *5633:47 *5680:215 3.67064e-05 -41 *5633:60 *5675:13 0.000672167 -42 *5633:69 *5635:147 8.60766e-05 -43 *5633:97 *5642:144 0.000752862 -44 *5633:97 *5824:265 1.59782e-05 -45 *5633:97 *5873:304 0.000177821 -46 *5633:97 *6351:24 0.000432968 -47 *5633:152 *5649:137 5.70696e-05 -48 *5633:164 *5661:232 0.000257089 -49 *25056:B1 *5633:63 0.000445635 -50 *25093:A1 *27754:A2 1.02821e-05 -51 *25190:B1 *5633:76 0 -52 *25382:A2 *27754:A2 0.000157993 -53 *27387:A2 *5633:152 0.000216687 -54 *27387:B1 *5633:152 0.000129029 -55 *27417:A2 *27754:A2 0.000266074 -56 *27417:B1 *27754:A2 4.58194e-05 -57 *27417:C1 *27754:A2 4.44369e-05 -58 *27427:C1 *5633:69 4.73953e-05 -59 *27443:B2 *5633:40 0.000185277 -60 *27468:B1 *5633:63 6.43424e-05 -61 *27612:C *5633:26 7.57819e-06 -62 *27613:C *27800:B1 5.33005e-05 -63 *27613:C *5633:26 8.64454e-05 -64 *27613:C *5633:40 3.17148e-05 -65 *27638:B *5633:26 2.84446e-05 -66 *27652:C *5633:26 0.00010219 -67 *27679:A1 *27679:A2 7.41811e-05 -68 *27679:B1 *27679:A2 0.000570034 -69 *27698:A1 *27679:A2 2.83221e-05 -70 *27698:A1 *5633:103 8.29843e-06 -71 *27699:A1 *27679:A2 0.000112524 -72 *27699:C1 *5633:103 7.99196e-05 -73 *27703:C1 *27679:A2 7.6644e-05 -74 *27732:C1 *5633:97 0.000175892 -75 *27757:A1 *27754:A2 0.000110029 -76 *27757:C1 *27754:A2 7.63923e-05 -77 *27800:B2 *27800:B1 5.52302e-05 -78 *27802:A2 *5633:26 2.4175e-05 -79 *27842:A2 *5633:60 4.40789e-05 -80 *29652:A *5633:41 4.66107e-05 -81 *30427:A *5633:41 0.000229519 -82 *30428:A *5633:41 0.00012401 -83 *1242:128 *5633:63 0.00035293 -84 *1258:56 *5633:72 0.000274812 -85 *1258:56 *5633:76 0.000132862 -86 *1260:135 *5633:72 0.000187659 -87 *1261:53 *5633:63 0.000130577 -88 *1261:163 *5633:164 0.000216755 -89 *1261:168 *5633:103 0.000773349 -90 *1261:227 *5633:103 0.000240751 -91 *1265:142 *5633:72 0.00292578 -92 *1267:49 *27754:A2 0.000449106 -93 *1267:65 *5633:164 0.00196603 -94 *1269:128 *5633:103 0.000140349 -95 *1274:221 *27754:A2 9.58181e-05 -96 *1328:183 *5633:60 9.60957e-05 -97 *1328:183 *5633:63 4.29471e-05 -98 *1390:15 *5633:69 0 -99 *1526:8 *5633:97 0.000114847 -100 *1526:8 *5633:152 0.0010533 -101 *1549:24 *5633:164 4.00349e-05 -102 *1574:6 *27679:A2 0.000107753 -103 *2754:28 *5633:97 0.00011765 -104 *2759:120 *5633:164 4.15592e-05 -105 *2760:163 *5633:103 0.000167565 -106 *2782:127 *5633:152 0.000169392 -107 *2791:66 *27776:A2 0.00123244 -108 *2791:66 *5633:69 6.72288e-05 -109 *2791:66 *5633:72 4.65519e-05 -110 *2791:73 *5633:60 0.00209033 -111 *2791:73 *5633:63 0.000104182 -112 *2832:38 *5633:26 1.37292e-05 -113 *2832:47 *5633:26 0.000102583 -114 *2832:58 *5633:26 2.23545e-05 -115 *2832:72 *5633:26 0.00049014 -116 *2845:392 *5633:41 4.20041e-05 -117 *2848:369 *27776:A2 4.65519e-05 -118 *2859:242 *5633:164 0.000608382 -119 *2866:34 *5633:40 0.000447389 -120 *2866:241 *5633:60 0.000141146 -121 *2867:260 *5633:152 1.37469e-05 -122 *2871:231 *5633:60 7.91281e-05 -123 *2877:234 *5633:63 9.03127e-05 -124 *2880:58 *27754:A2 1.33343e-05 -125 *2885:129 *5633:63 0.00229597 -126 *2885:138 *5633:63 0.00167256 -127 *2888:232 *5633:103 0.000560835 -128 *2891:270 *5633:97 4.66596e-05 -129 *3040:19 *5633:47 0.000158357 -130 *3040:41 *5633:26 7.27141e-05 -131 *3152:17 *27800:B1 0.000219711 -132 *3153:284 *27776:A2 5.16399e-06 -133 *3153:284 *5633:69 0.000655799 -134 *3163:29 *5633:26 7.32348e-05 -135 *3165:103 *27679:A2 0 -136 *3170:232 *5633:69 0.00185456 -137 *3170:245 *5633:72 0.00324757 -138 *3170:251 *5633:97 0.000107759 -139 *3176:267 *5633:164 0.000917554 -140 *3195:77 *5633:26 0 -141 *3206:179 *27800:B1 5.51819e-05 -142 *3212:11 *5633:13 0.000221634 -143 *3212:19 *5633:13 0.00118949 -144 *3215:218 *27754:A2 0.000110979 -145 *3215:242 *27754:A2 0.00033117 -146 *3233:13 *5633:164 0.000175892 -147 *3259:25 *5633:152 0.000905155 -148 *3299:8 *5633:164 0.000157364 -149 *3320:18 *27776:A2 0.000111921 -150 *3367:43 *5633:72 4.8817e-05 -151 *3670:8 *5633:41 0.00010964 -152 *3670:12 *5633:41 5.41797e-06 -153 *3670:14 *5633:41 0.00234492 -154 *3670:47 *5633:41 0.000952167 -155 *3670:54 *5633:41 0.000530595 -156 *3670:54 *5633:47 0.00109918 -157 *3678:23 *5633:103 0.000120316 -158 *3679:55 *5633:152 4.84427e-05 -159 *3691:20 *5633:103 0.000267634 -160 *3712:27 *5633:164 2.01997e-05 -161 *3730:8 *5633:97 0.000594236 -162 *3798:15 *5633:41 0.000305407 -163 *3846:11 *27679:A2 0.000257619 -164 *3848:40 *5633:152 6.5919e-05 -165 *3850:24 *5633:13 9.87983e-06 -166 *3850:24 *5633:26 9.00983e-05 -167 *3850:24 *5633:40 0.000832919 -168 *3850:64 *27776:A2 0.000137983 -169 *3851:54 *5633:13 7.69776e-06 -170 *3851:54 *5633:26 0.00167429 -171 *3861:21 *5633:152 0.000150318 -172 *3861:32 *5633:152 0.000901143 -173 *3861:38 *5633:97 0 -174 *3861:40 *5633:97 1.99881e-05 -175 *3900:40 *5633:152 6.39829e-05 -176 *3915:55 *5633:63 0.000181056 -177 *3917:16 *5633:63 0.0014876 -178 *3917:22 *5633:60 0.000546601 -179 *3917:22 *5633:63 0.000604792 -180 *3917:27 *5633:60 0.000729282 -181 *3924:53 *27679:A2 0.00017591 -182 *3963:42 *27679:A2 0.000107753 -183 *3968:20 *5633:41 0.000574855 -184 *3978:49 *5633:97 0.000421074 -185 *3992:66 *5633:164 0.000202982 -186 *4029:24 *27679:A2 5.04048e-05 -187 *4081:34 *27679:A2 1.53439e-06 -188 *4081:34 *5633:103 0.000232652 -189 *4125:53 *5633:60 9.41642e-05 -190 *4134:96 *5633:63 0.00131128 -191 *4136:16 *27776:A2 0.000110077 -192 *4649:20 *5633:41 0.000276245 -193 *5459:29 *5633:13 0.00174165 -194 *5582:103 *27679:A2 0 -195 *5589:95 *5633:152 8.69554e-05 -196 *5589:166 *5633:41 0.000114928 -197 *5589:166 *5633:47 0.00100326 -198 *5595:57 *27776:A2 0.00120147 -199 *5595:57 *5633:69 0.00082024 -200 *5604:109 *5633:60 0.00060001 -201 *5609:189 *5633:164 5.41797e-06 -202 *5631:35 *27828:A2 0.00015546 -203 *5631:35 *5633:60 0.000411592 -*RES -1 *30797:X *5633:13 38.9457 -2 *5633:13 *5633:26 38.6238 -3 *5633:26 *27800:B1 15.9786 -4 *5633:26 *5633:40 29.625 -5 *5633:40 *5633:41 87.0982 -6 *5633:41 *5633:47 19.2768 -7 *5633:47 *27828:A2 10.8625 -8 *5633:47 *5633:60 45.9107 -9 *5633:60 *5633:62 4.5 -10 *5633:62 *5633:63 65.5446 -11 *5633:63 *5633:69 11.3179 -12 *5633:69 *5633:72 9.79887 -13 *5633:72 *5633:76 3.63717 -14 *5633:76 *27731:B1 13.8 -15 *5633:76 *5633:97 39 -16 *5633:97 *5633:103 28.5089 -17 *5633:103 *27698:A2 9.3 -18 *5633:103 *27679:A2 37.1456 -19 *5633:72 *5633:152 39.1787 -20 *5633:152 *5633:164 44.0921 -21 *5633:164 *27754:A2 35.1304 -22 *5633:69 *27776:A2 27.4937 -*END - -*D_NET *5634 0.126939 -*CONN -*I *27950:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27910:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27937:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27688:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27629:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27887:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27862:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *30798:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *27950:A2 0 -2 *27910:A2 5.6217e-06 -3 *27937:A2 0.000942277 -4 *27688:A2 5.74952e-05 -5 *27629:B1 0.000199428 -6 *27887:A2 0 -7 *27862:A2 0.000211981 -8 *30798:X 0.00131636 -9 *5634:170 0.00321424 -10 *5634:159 0.00244949 -11 *5634:156 0.00315626 -12 *5634:150 0.00525423 -13 *5634:140 0.00321793 -14 *5634:123 0.00275727 -15 *5634:122 0.00293906 -16 *5634:113 0.00267088 -17 *5634:96 0.00192858 -18 *5634:95 0.00129419 -19 *5634:71 0.00125697 -20 *5634:53 0.00404164 -21 *5634:52 0.00699901 -22 *5634:29 0.00556724 -23 *5634:26 0.00371602 -24 *5634:15 0.00495128 -25 *27862:A2 *5947:85 9.58126e-05 -26 *27910:A2 *5721:9 7.13226e-06 -27 *27937:A2 *5661:133 2.69747e-05 -28 *27937:A2 *5661:149 0.000336726 -29 *27937:A2 *5844:17 3.80522e-05 -30 *27937:A2 *5856:19 5.73466e-05 -31 *27937:A2 *5866:17 0.000247856 -32 *5634:15 *27280:A 2.14757e-05 -33 *5634:15 *27376:A1 0.000308352 -34 *5634:15 *28668:D 0.000272497 -35 *5634:15 *5655:16 0.000807472 -36 *5634:15 *5717:47 0.000175892 -37 *5634:15 *5720:215 9.41642e-05 -38 *5634:26 *28736:D 0.000968333 -39 *5634:26 *5750:130 1.69233e-05 -40 *5634:52 *26837:B1 0.000163823 -41 *5634:52 *5635:34 6.33832e-05 -42 *5634:52 *5646:63 2.01997e-05 -43 *5634:52 *5661:64 8.2758e-06 -44 *5634:52 *5666:202 0.000135743 -45 *5634:52 *5666:250 0.00099388 -46 *5634:52 *5869:27 0.000190229 -47 *5634:53 *5947:85 0.00319548 -48 *5634:95 *5655:113 0.000681281 -49 *5634:95 *5655:130 0.000266751 -50 *5634:95 *5661:187 0.000174237 -51 *5634:122 *5754:168 0.000267551 -52 *5634:140 *5643:66 0.000145147 -53 *5634:140 *5643:71 0.000370817 -54 *5634:140 *5656:116 0.000717213 -55 *5634:150 *5663:87 0.000126459 -56 *5634:150 *5663:98 0.00031209 -57 *5634:156 *5823:51 1.721e-05 -58 *5634:156 *5878:14 0.000310307 -59 *5634:170 *5688:225 0.000157137 -60 *5634:170 *5721:10 0.00103938 -61 *25110:B2 *5634:156 7.02772e-05 -62 *25110:B2 *5634:170 1.98839e-05 -63 *25191:A2 *27937:A2 0.000113056 -64 *25248:A1 *5634:150 0.000257619 -65 *25265:A2 *5634:52 0.000337031 -66 *25277:B1 *5634:122 2.06178e-05 -67 *25277:C1 *5634:122 0.000138035 -68 *25321:A2 *5634:29 0.000148258 -69 *25321:A2 *5634:52 0.000631008 -70 *25344:B1 *5634:150 0.000913092 -71 *25344:B2 *5634:150 7.09928e-05 -72 *25346:A *5634:150 7.8327e-05 -73 *25375:C1 *5634:26 0.000314482 -74 *26837:C1 *5634:52 0.000310532 -75 *27279:B *5634:15 2.44318e-05 -76 *27280:C *5634:15 0.00046742 -77 *27287:C *5634:15 1.21289e-05 -78 *27306:C *5634:15 0.00018372 -79 *27322:B2 *5634:95 0.000173994 -80 *27349:B1 *5634:123 0.000104974 -81 *27495:A1 *27862:A2 2.06112e-05 -82 *27495:B2 *27862:A2 8.6229e-06 -83 *27498:B2 *5634:71 0.000104332 -84 *27560:A2 *27937:A2 2.63501e-05 -85 *27614:A2 *5634:95 0.000107909 -86 *27629:A2 *27629:B1 7.37323e-05 -87 *27683:A1 *5634:123 0.00027541 -88 *27692:B *27688:A2 3.69047e-06 -89 *27851:A1 *5634:53 6.42095e-05 -90 *27851:C1 *5634:52 0.000195015 -91 *27887:B2 *5634:71 0.000160345 -92 *27910:B1 *27937:A2 2.06178e-05 -93 *27910:B1 *5634:170 2.26824e-05 -94 *27937:B2 *27937:A2 1.59935e-05 -95 *27939:C *27937:A2 1.22507e-05 -96 *28634:D *5634:150 0.000128279 -97 *29716:A *5634:26 0.000173574 -98 *30535:A *27937:A2 2.06112e-05 -99 *74:11 *5634:95 3.84202e-05 -100 *1244:129 *5634:26 0.000174838 -101 *1256:31 *5634:29 8.40136e-05 -102 *1256:31 *5634:52 6.18371e-05 -103 *1256:31 *5634:95 3.97253e-05 -104 *1263:216 *5634:170 0.00022129 -105 *1265:11 *5634:52 0.00044068 -106 *1265:27 *5634:52 0.00048336 -107 *1265:228 *5634:52 1.10879e-05 -108 *1269:203 *5634:150 0.000298483 -109 *1275:225 *5634:140 0.000176806 -110 *1277:16 *5634:52 5.25751e-05 -111 *1287:97 *27937:A2 6.13706e-05 -112 *1291:31 *5634:95 5.00194e-05 -113 *1293:42 *5634:150 0.000123295 -114 *1328:140 *5634:113 0.000332637 -115 *1412:17 *5634:156 0.000202636 -116 *1416:31 *5634:52 3.77315e-05 -117 *1422:20 *5634:170 9.98998e-06 -118 *1448:46 *27937:A2 0.000108515 -119 *1448:46 *5634:170 0.000944021 -120 *1483:48 *5634:170 1.90936e-05 -121 *1483:68 *5634:159 0.000340081 -122 *1483:68 *5634:170 9.6385e-05 -123 *1484:23 *5634:26 9.07431e-05 -124 *1494:22 *5634:150 3.42301e-05 -125 *1507:15 *5634:156 0.00364942 -126 *1578:10 *5634:113 0.000876063 -127 *1657:40 *5634:52 0.00021084 -128 *1665:28 *27937:A2 4.29471e-05 -129 *1705:13 *5634:52 0.000149826 -130 *1721:35 *5634:52 1.22185e-05 -131 *1723:27 *5634:52 0.000232323 -132 *1899:31 *5634:52 2.99174e-05 -133 *2768:50 *5634:123 0.0020963 -134 *2784:67 *5634:123 0.00478698 -135 *2784:67 *5634:140 0.000345682 -136 *2842:28 *5634:15 3.97677e-05 -137 *2843:11 *5634:15 6.94042e-05 -138 *2843:17 *5634:15 1.02936e-05 -139 *2843:19 *5634:15 4.27338e-05 -140 *2844:19 *5634:15 6.96788e-05 -141 *2844:246 *5634:123 0.000355789 -142 *2847:19 *5634:15 0.000137983 -143 *2852:187 *27629:B1 0.000546198 -144 *2852:212 *5634:52 0.000106886 -145 *2859:73 *5634:71 0.000523465 -146 *2860:154 *5634:26 9.10431e-06 -147 *2860:158 *5634:95 0.000661963 -148 *2867:39 *5634:95 0.000136958 -149 *2870:65 *5634:113 0.000563665 -150 *2871:8 *5634:15 0.000181796 -151 *2874:54 *5634:71 5.59013e-05 -152 *2875:63 *5634:52 0.000227979 -153 *2875:69 *5634:52 0.00167199 -154 *2877:32 *5634:96 0.000356396 -155 *2877:32 *5634:113 0.00021816 -156 *2879:35 *5634:96 0.0002157 -157 *2879:35 *5634:113 0.000108087 -158 *2886:26 *5634:26 0.000914977 -159 *2892:40 *5634:71 8.0203e-05 -160 *2939:10 *5634:15 6.42095e-05 -161 *3051:23 *5634:71 9.67087e-05 -162 *3081:8 *5634:71 0.000833865 -163 *3105:8 *5634:170 2.06178e-05 -164 *3119:13 *27937:A2 3.0018e-05 -165 *3153:38 *5634:52 0.00247853 -166 *3160:33 *5634:26 1.496e-05 -167 *3164:56 *5634:26 0.000416643 -168 *3164:56 *5634:95 4.43299e-05 -169 *3168:31 *5634:95 6.90381e-06 -170 *3173:81 *5634:52 3.8358e-05 -171 *3192:20 *5634:15 0.00165529 -172 *3194:59 *5634:15 0.000113083 -173 *3197:105 *27937:A2 1.09611e-05 -174 *3206:95 *5634:113 2.61748e-05 -175 *3209:127 *5634:170 5.52238e-05 -176 *3216:163 *27937:A2 0.000464669 -177 *3409:13 *5634:71 9.77956e-05 -178 *3452:6 *27937:A2 0.000176418 -179 *3540:23 *5634:140 0.000884513 -180 *3569:5 *5634:26 0.000306971 -181 *3569:51 *5634:15 0.000937907 -182 *3572:34 *5634:15 5.49489e-05 -183 *3572:34 *5634:26 1.51571e-05 -184 *3666:77 *27862:A2 0.000141032 -185 *3689:11 *5634:170 0.000984546 -186 *3689:57 *5634:170 5.74559e-06 -187 *3698:81 *5634:150 1.34631e-05 -188 *3736:34 *5634:71 0.00027211 -189 *3782:16 *5634:26 0.000168939 -190 *3794:86 *5634:113 4.43637e-05 -191 *3794:86 *5634:122 0.00199253 -192 *3797:65 *5634:95 6.27663e-05 -193 *3802:38 *5634:71 0.000125162 -194 *3816:51 *5634:52 0.000234176 -195 *3829:7 *5634:150 6.57032e-05 -196 *3855:21 *27629:B1 0.000214155 -197 *3858:22 *27937:A2 0.000111348 -198 *3868:32 *5634:26 6.83428e-05 -199 *3871:94 *27937:A2 4.21517e-05 -200 *3872:72 *5634:113 0.00044323 -201 *3872:72 *5634:122 0.000905415 -202 *3885:26 *5634:140 0.000885163 -203 *3909:19 *27862:A2 6.28248e-05 -204 *3909:19 *5634:71 0.00279805 -205 *3909:31 *27862:A2 2.10898e-05 -206 *3921:77 *5634:52 0.000994667 -207 *3933:24 *5634:95 4.00679e-05 -208 *3947:45 *27910:A2 1.46576e-05 -209 *3948:24 *27937:A2 9.20464e-06 -210 *3948:24 *5634:170 1.53212e-05 -211 *3949:28 *5634:170 2.28598e-05 -212 *3957:43 *27862:A2 0.00011713 -213 *3963:93 *5634:123 0.00063289 -214 *3963:93 *5634:140 0.000106933 -215 *3970:40 *5634:52 0.000316546 -216 *3987:29 *5634:96 8.59142e-05 -217 *3992:53 *5634:123 0.000223445 -218 *4051:6 *5634:26 4.5539e-05 -219 *4051:43 *5634:26 0.000154132 -220 *4051:49 *5634:96 3.23034e-05 -221 *4062:27 *5634:95 0.000373408 -222 *4103:68 *5634:170 0 -223 *4113:116 *5634:170 0.000574514 -224 *4115:47 *5634:95 0.000365292 -225 *4133:51 *5634:150 0.000369703 -226 *4133:77 *5634:150 0.000216755 -227 *4185:59 *5634:122 0.000413534 -228 *5584:115 *5634:150 2.72887e-05 -229 *5597:20 *5634:122 8.40933e-05 -230 *5601:166 *5634:150 0.000121573 -231 *5605:56 *5634:122 2.09897e-05 -232 *5609:165 *5634:140 0.00146251 -233 *5610:21 *5634:150 0.000224341 -234 *5620:18 *5634:52 0.00013248 -235 *5624:18 *5634:52 2.11768e-05 -236 *5629:20 *5634:26 0.000138779 -*RES -1 *30798:X *5634:15 48.3357 -2 *5634:15 *5634:26 47.5657 -3 *5634:26 *5634:29 3.70923 -4 *5634:29 *5634:52 23.2114 -5 *5634:52 *5634:53 32.9821 -6 *5634:53 *27862:A2 22.3446 -7 *5634:53 *5634:71 47.7232 -8 *5634:71 *27887:A2 9.3 -9 *5634:29 *5634:95 43.1568 -10 *5634:95 *5634:96 6.95536 -11 *5634:96 *27629:B1 19.2643 -12 *5634:96 *5634:113 34.6786 -13 *5634:113 *5634:122 40.1964 -14 *5634:122 *5634:123 62.6607 -15 *5634:123 *27688:A2 14.5411 -16 *5634:123 *5634:140 44.3393 -17 *5634:140 *5634:150 48.5536 -18 *5634:150 *5634:156 49.3393 -19 *5634:156 *5634:159 7.88839 -20 *5634:159 *5634:170 32.4684 -21 *5634:170 *27937:A2 39.5197 -22 *5634:170 *27910:A2 13.9473 -23 *5634:159 *27950:A2 9.3 -*END - -*D_NET *5635 0.117478 -*CONN -*I *27827:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27728:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27678:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27777:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27799:A2 I *D sky130_fd_sc_hd__a221o_2 -*I *27711:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27623:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *30799:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *27827:A2 0 -2 *27728:B1 0 -3 *27678:A2 0 -4 *27777:A2 5.45826e-05 -5 *27799:A2 0.00105016 -6 *27711:A2 0 -7 *27623:A2 4.04459e-05 -8 *30799:X 0.00319724 -9 *5635:187 0.00206636 -10 *5635:173 0.00268962 -11 *5635:165 0.00275802 -12 *5635:147 0.00478628 -13 *5635:120 0.00558785 -14 *5635:104 0.00355159 -15 *5635:103 0.00161085 -16 *5635:101 0.00080446 -17 *5635:93 0.00290122 -18 *5635:90 0.00264747 -19 *5635:79 0.00114247 -20 *5635:72 0.00189143 -21 *5635:63 0.00224751 -22 *5635:50 0.00214186 -23 *5635:34 0.00301466 -24 *5635:15 0.00497743 -25 *5635:15 *27102:A0 6.98512e-06 -26 *5635:15 *27599:A 5.33005e-05 -27 *5635:15 *27599:B 9.79256e-05 -28 *5635:15 *5655:29 0 -29 *5635:15 *5700:149 1.07995e-05 -30 *5635:15 *5717:23 0.000914403 -31 *5635:15 *5873:114 7.02611e-05 -32 *5635:50 *5661:188 0.00104911 -33 *5635:63 *5661:188 0.000335099 -34 *5635:72 *5707:100 0.000267256 -35 *5635:90 *6225:116 0.00088906 -36 *5635:101 *5649:99 0.000109153 -37 *5635:101 *5649:116 1.21258e-05 -38 *5635:104 *5647:59 0.00014576 -39 *5635:104 *5650:327 0.000647471 -40 *5635:104 *5650:329 0.000263943 -41 *5635:104 *5658:44 0.00013457 -42 *5635:120 *5718:390 5.24288e-05 -43 *5635:147 *27125:S 0 -44 *5635:147 *27433:B1 0.000101698 -45 *5635:147 *5662:111 0.000215967 -46 *5635:165 *5692:31 0.00121183 -47 *5635:165 *5695:133 0.000245185 -48 *5635:165 *5829:76 0.000311084 -49 *5635:173 *27728:A2 7.37323e-05 -50 *5635:173 *5656:321 0.000945832 -51 *5635:173 *5819:24 0.000944309 -52 *5635:187 *6491:DIODE 1.65169e-05 -53 *5635:187 *5649:223 5.41609e-05 -54 *5635:187 *5654:99 0 -55 *25050:B1 *5635:147 0.000160588 -56 *25133:C1 *5635:63 6.95594e-05 -57 *25205:C1 *5635:187 6.86792e-05 -58 *25258:B2 *5635:63 0.000362047 -59 *25266:C *5635:34 0.00129564 -60 *25273:A1 *5635:63 0.000151898 -61 *26880:A0 *5635:104 0.000127446 -62 *27319:B2 *5635:50 0.000370844 -63 *27322:B2 *27623:A2 7.37323e-05 -64 *27322:B2 *5635:50 0.000204318 -65 *27327:B *5635:15 8.60127e-05 -66 *27426:B2 *27777:A2 0.000158371 -67 *27427:B1 *5635:147 0.000118315 -68 *27617:A1 *5635:50 0.000570422 -69 *27678:A1 *5635:187 3.51393e-05 -70 *27711:B2 *5635:79 1.59935e-05 -71 *27711:B2 *5635:90 3.83528e-05 -72 *27718:B *5635:90 0.000230217 -73 *27728:A1 *5635:173 0.000145808 -74 *27744:A2 *27799:A2 0.000195611 -75 *27744:A2 *5635:120 0 -76 *27799:C1 *27799:A2 7.37323e-05 -77 *27905:A1 *5635:34 0.000127359 -78 *28546:D *5635:72 0.00075885 -79 *28600:CLK *5635:104 0.000381845 -80 *28650:D *5635:50 5.52302e-05 -81 *28655:D *5635:120 0.000273127 -82 *28695:D *5635:165 0.000552109 -83 *28719:CLK *5635:50 1.41029e-05 -84 *29134:A *5635:50 1.24368e-05 -85 *30799:A *5635:15 0.000172057 -86 *1185:35 *5635:15 9.41642e-05 -87 *1185:52 *5635:15 0.000476709 -88 *1245:68 *5635:15 1.43761e-05 -89 *1250:43 *5635:63 0.000140147 -90 *1250:46 *5635:50 9.90115e-06 -91 *1252:195 *27799:A2 1.90936e-05 -92 *1258:106 *5635:101 0.000329493 -93 *1265:27 *5635:34 2.83129e-05 -94 *1265:228 *5635:34 0.000105316 -95 *1271:104 *5635:34 0.00152765 -96 *1272:113 *5635:187 0.000635226 -97 *1277:53 *5635:34 0.000456216 -98 *1328:114 *5635:15 0.000110999 -99 *1419:43 *5635:34 0.000102859 -100 *1439:19 *5635:79 0.000483272 -101 *1484:23 *5635:34 0.000324659 -102 *1485:23 *5635:15 0.000375989 -103 *1592:20 *5635:34 0.000320403 -104 *1691:25 *5635:72 0.000347791 -105 *2764:169 *5635:93 0.00270528 -106 *2765:18 *5635:187 0.000682765 -107 *2779:67 *5635:187 1.01417e-05 -108 *2786:38 *5635:187 1.01487e-05 -109 *2786:87 *5635:104 4.83851e-05 -110 *2786:89 *5635:104 0.00408692 -111 *2791:66 *5635:147 0.000315192 -112 *2791:71 *5635:147 0.000118315 -113 *2791:73 *5635:147 0.000128228 -114 *2792:129 *5635:15 0.00216398 -115 *2792:145 *5635:15 0.000699207 -116 *2815:46 *5635:15 9.59532e-06 -117 *2833:16 *5635:15 0.000307343 -118 *2834:31 *5635:15 1.55885e-05 -119 *2844:386 *27799:A2 0 -120 *2844:386 *5635:120 0.000252059 -121 *2845:30 *5635:34 0.000131357 -122 *2850:37 *5635:15 0.000745949 -123 *2856:38 *5635:34 0.000249023 -124 *2856:349 *5635:173 1.21258e-05 -125 *2860:154 *5635:50 9.22222e-06 -126 *2860:158 *5635:50 0.000336219 -127 *2864:28 *5635:15 3.2209e-06 -128 *2866:59 *5635:101 0.000121573 -129 *2872:376 *5635:147 8.85631e-05 -130 *2879:61 *5635:63 3.76291e-05 -131 *2881:14 *5635:50 0.00106461 -132 *2886:11 *5635:15 0 -133 *2886:26 *5635:15 0.00239797 -134 *2888:258 *5635:187 0.00146588 -135 *2888:269 *5635:187 0.000970774 -136 *2895:281 *27799:A2 9.34324e-05 -137 *2920:8 *5635:79 0.000234873 -138 *2958:48 *5635:79 0.000234402 -139 *2986:11 *27777:A2 6.17371e-05 -140 *2986:18 *5635:147 0.000719281 -141 *2998:16 *5635:101 0.000528316 -142 *3151:7 *5635:15 0.000184745 -143 *3160:33 *5635:15 0 -144 *3164:35 *5635:50 5.59162e-05 -145 *3165:85 *5635:165 0.000382821 -146 *3165:99 *5635:187 0.000289031 -147 *3170:232 *5635:120 0.00017103 -148 *3170:232 *5635:147 0.00263526 -149 *3172:15 *5635:15 0.000175892 -150 *3173:17 *5635:15 5.38711e-05 -151 *3174:35 *5635:50 5.36e-05 -152 *3176:254 *5635:120 2.03013e-05 -153 *3176:254 *5635:147 5.33839e-05 -154 *3185:52 *5635:90 0.000134713 -155 *3204:15 *5635:15 1.02936e-05 -156 *3205:273 *27799:A2 4.38646e-05 -157 *3206:110 *5635:79 0.000135028 -158 *3283:8 *5635:187 0.000130897 -159 *3367:43 *5635:147 0.000160526 -160 *3413:62 *5635:34 0.000572633 -161 *3580:8 *5635:147 0.00014833 -162 *3619:14 *5635:104 0.00053103 -163 *3668:34 *5635:120 6.46747e-05 -164 *3686:135 *5635:50 2.21972e-05 -165 *3730:8 *5635:187 4.29471e-05 -166 *3782:98 *5635:79 0.000175892 -167 *3783:47 *5635:173 1.97695e-05 -168 *3783:56 *5635:173 5.7661e-06 -169 *3783:56 *5635:187 0.000104976 -170 *3785:25 *5635:147 0.000135449 -171 *3785:44 *5635:147 0.000723304 -172 *3786:25 *27799:A2 3.88534e-05 -173 *3787:56 *5635:101 5.33005e-05 -174 *3798:15 *5635:120 4.45982e-06 -175 *3830:95 *5635:63 0.000220636 -176 *3837:33 *5635:147 0.000143446 -177 *3837:33 *5635:165 0.000230235 -178 *3852:9 *5635:104 0.000132671 -179 *3852:51 *5635:104 0.000393603 -180 *3872:39 *5635:15 0.000274119 -181 *3886:51 *5635:90 0.000890782 -182 *3915:49 *5635:147 0.000181142 -183 *3916:20 *5635:147 0.000145868 -184 *3916:20 *5635:165 0.000239485 -185 *3933:17 *5635:50 1.76492e-05 -186 *3946:30 *5635:79 0.00146039 -187 *3969:16 *5635:147 0.000191071 -188 *3978:44 *5635:187 7.33495e-05 -189 *4015:37 *5635:72 0.000138885 -190 *4044:14 *5635:72 4.43113e-05 -191 *4051:43 *5635:50 0.000161213 -192 *4051:49 *5635:50 0.000105608 -193 *4051:49 *5635:63 0.00113793 -194 *4051:54 *5635:63 2.95642e-05 -195 *4051:54 *5635:72 0.00136887 -196 *4086:28 *27799:A2 1.90936e-05 -197 *4108:49 *5635:120 4.25594e-05 -198 *4115:47 *5635:72 0.00127381 -199 *4121:62 *5635:147 0 -200 *4121:69 *5635:120 0.000189755 -201 *4138:39 *5635:120 0.00123904 -202 *4138:62 *5635:104 0.000480441 -203 *4147:46 *5635:165 0.00013415 -204 *5485:145 *5635:101 0.00148167 -205 *5485:145 *5635:104 0.000415813 -206 *5485:147 *5635:104 3.47289e-05 -207 *5505:42 *5635:34 1.06841e-05 -208 *5530:44 *5635:15 0.00103682 -209 *5535:25 *5635:15 0.000333185 -210 *5604:121 *5635:120 0.00206611 -211 *5609:89 *5635:34 0.000206452 -212 *5609:137 *5635:79 0.000175892 -213 *5631:58 *5635:147 0.000240931 -214 *5631:71 *5635:165 0.000828745 -215 *5633:69 *5635:147 8.60766e-05 -216 *5634:52 *5635:34 6.33832e-05 -*RES -1 *30799:X *5635:15 47.0934 -2 *5635:15 *5635:34 40.7633 -3 *5635:34 *27623:A2 10.0411 -4 *5635:34 *5635:50 37.0714 -5 *5635:50 *5635:63 40.2143 -6 *5635:63 *5635:72 47.3393 -7 *5635:72 *5635:79 26.9911 -8 *5635:79 *27711:A2 9.3 -9 *5635:79 *5635:90 25.9196 -10 *5635:90 *5635:93 31.3214 -11 *5635:93 *5635:101 27.3929 -12 *5635:101 *5635:103 4.5 -13 *5635:103 *5635:104 57.9554 -14 *5635:104 *5635:120 43.3009 -15 *5635:120 *27799:A2 23.955 -16 *5635:120 *5635:147 36.1386 -17 *5635:147 *27777:A2 15.3625 -18 *5635:147 *5635:165 49.9643 -19 *5635:165 *5635:173 25.8214 -20 *5635:173 *5635:187 45.587 -21 *5635:187 *27678:A2 9.3 -22 *5635:173 *27728:B1 9.3 -23 *5635:101 *27827:A2 9.3 -*END - -*D_NET *5636 0.0105973 -*CONN -*I *25387:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30694:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25387:A1 0.000843745 -2 *30694:X 0.000323952 -3 *5636:10 0.00287663 -4 *5636:7 0.00235684 -5 *25387:A1 *5667:131 7.80759e-05 -6 *25387:A1 *5667:136 4.00679e-05 -7 *5636:10 *25950:A1 8.79057e-05 -8 *5636:10 *28104:SET_B 0.000119498 -9 *5636:10 *5745:180 0.000242739 -10 pll_trim[23] *5636:7 0.000486441 -11 *25387:B1 *25387:A1 5.96288e-05 -12 *25402:A2 *5636:10 0 -13 *25402:C1 *5636:10 0.000170646 -14 *26825:S *5636:10 0.000253811 -15 *28674:D *5636:10 0.000146869 -16 *29817:A *25387:A1 0.000158902 -17 *282:15 *5636:10 0.0005104 -18 *282:19 *5636:10 0 -19 *456:17 *5636:10 0.000381106 -20 *1254:116 *25387:A1 0.000227868 -21 *1271:16 *5636:10 0.000241198 -22 *1271:23 *25387:A1 5.33005e-05 -23 *1278:28 *5636:10 4.33438e-05 -24 *1294:128 *5636:10 0 -25 *2780:72 *25387:A1 0 -26 *3412:41 *5636:10 9.39059e-05 -27 *3972:24 *5636:10 0.000121255 -28 *4881:17 *5636:10 0 -29 *5609:16 *5636:10 4.58764e-05 -30 *5609:33 *5636:10 0.000504697 -31 *5618:85 *25387:A1 0.000128637 -*RES -1 *30694:X *5636:7 18.4429 -2 *5636:7 *5636:10 48.0357 -3 *5636:10 *25387:A1 33.8714 -*END - -*D_NET *5637 0.025312 -*CONN -*I *25048:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30695:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 -*CAP -1 *25048:A1 0.00126851 -2 *30695:X 0.00169612 -3 *5637:43 0.00526473 -4 *5637:19 0.00569234 -5 *25048:A1 *27033:A0 5.42764e-05 -6 *25048:A1 *5654:157 0.000187616 -7 *5637:19 *26870:A0 4.15183e-05 -8 *5637:19 *28487:RESET_B 0.000277309 -9 *5637:19 *28519:RESET_B 2.29097e-05 -10 *5637:19 *28575:RESET_B 0 -11 *5637:19 *5766:107 0.000207866 -12 *5637:19 *5766:129 0.000305623 -13 *5637:19 *5847:44 4.34543e-05 -14 *5637:19 *5911:115 6.76786e-05 -15 *5637:43 *28551:RESET_B 9.00424e-05 -16 *5637:43 *5676:87 0 -17 *5637:43 *5676:95 0 -18 *5637:43 *5683:134 0.000289783 -19 *5637:43 *5696:77 6.45416e-05 -20 *5637:43 *5702:147 6.97723e-05 -21 *25048:A2 *25048:A1 5.33005e-05 -22 *25053:C1 *25048:A1 5.33005e-05 -23 *25062:A1 *5637:43 0.000108282 -24 *25721:A1 *5637:19 0 -25 *27033:A1 *25048:A1 0 -26 *27033:S *25048:A1 8.00892e-05 -27 *27431:A1 *25048:A1 0.000254261 -28 *27822:A1 *25048:A1 0.000175892 -29 *28519:CLK *5637:19 6.63006e-05 -30 *244:65 *5637:19 4.5539e-05 -31 *1242:159 *25048:A1 0.000267067 -32 *1265:149 *5637:43 0.000764072 -33 *1266:205 *5637:43 0.000135968 -34 *1266:222 *5637:43 0.000109428 -35 *1378:36 *5637:43 3.39461e-05 -36 *1853:64 *25048:A1 0.000445698 -37 *2763:42 *5637:19 4.38243e-05 -38 *2771:171 *5637:19 0.000162163 -39 *2856:316 *5637:43 0.000121549 -40 *2895:281 *5637:43 0.000157231 -41 *3615:52 *5637:19 3.09794e-05 -42 *3615:52 *5637:43 2.07394e-05 -43 *3615:54 *5637:43 0.000340608 -44 *3694:63 *25048:A1 4.72325e-05 -45 *3759:16 *25048:A1 0.000185895 -46 *3796:163 *25048:A1 0.000119268 -47 *3839:24 *25048:A1 0 -48 *3930:55 *25048:A1 7.00592e-05 -49 *3930:70 *5637:43 0.00195804 -50 *4006:51 *5637:43 0.00139451 -51 *4085:27 *5637:43 2.11419e-05 -52 *4086:28 *5637:43 1.94945e-05 -53 *4110:16 *5637:19 0.000229332 -54 *4137:16 *25048:A1 0.00164566 -55 *4149:72 *5637:43 0.000136951 -56 *5432:11 *5637:19 0.000342425 -57 *5435:16 *5637:43 2.76074e-05 -*RES -1 *30695:X *5637:19 48.8175 -2 *5637:19 *5637:43 43.7746 -3 *5637:43 *25048:A1 45.6482 -*END - -*D_NET *5638 0.11811 -*CONN -*I *27716:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27674:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27758:A2 I *D sky130_fd_sc_hd__a221o_4 -*I *27832:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27781:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27741:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27609:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27932:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *30800:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *27716:A2 0 -2 *27674:A2 0.00014751 -3 *27758:A2 3.68126e-05 -4 *27832:A2 0.00124845 -5 *27781:A2 0 -6 *27741:A2 3.0438e-05 -7 *27609:B1 0.000297108 -8 *27932:A2 0.00286775 -9 *30800:X 0.0013882 -10 *5638:195 0.00323457 -11 *5638:169 0.00300433 -12 *5638:162 0.00306573 -13 *5638:136 0.00377902 -14 *5638:133 0.0014916 -15 *5638:130 0.00097423 -16 *5638:119 0.00193885 -17 *5638:110 0.00379632 -18 *5638:109 0.00293387 -19 *5638:104 0.00241413 -20 *5638:68 0.00546948 -21 *5638:62 0.00238541 -22 *5638:33 0.00195856 -23 *5638:30 0.0019835 -24 *5638:16 0.00323681 -25 *27609:B1 *25342:B2 0.000177815 -26 *27609:B1 *27609:A2 7.41811e-05 -27 *27609:B1 *28570:SET_B 8.56851e-05 -28 *27609:B1 *5879:32 0.000194691 -29 *27609:B1 *5881:54 0.000169797 -30 *27741:A2 *27741:B1 1.85392e-05 -31 *27832:A2 *27832:B1 0.000968098 -32 *27832:A2 *5703:21 0.00015853 -33 *27832:A2 *5863:117 6.27272e-06 -34 *27932:A2 *27932:B1 1.00733e-05 -35 *5638:16 *5653:19 7.94146e-05 -36 *5638:16 *5863:195 0.000136958 -37 *5638:30 *27720:A1 0.000284858 -38 *5638:30 *27720:A2 0.000133956 -39 *5638:30 *5757:127 0.000460423 -40 *5638:33 *27162:A1 0.000288885 -41 *5638:62 *27162:A1 6.46079e-05 -42 *5638:62 *28470:RESET_B 9.71197e-05 -43 *5638:62 *28692:D 6.81459e-05 -44 *5638:62 *28721:RESET_B 0.000175892 -45 *5638:62 *5754:103 0.000467849 -46 *5638:104 *5656:77 0.000279046 -47 *5638:104 *5748:28 0.000285406 -48 *5638:109 *5655:182 0.000177545 -49 *5638:110 *5748:10 0.0020811 -50 *5638:119 *5748:10 0.00027369 -51 *5638:130 *27200:A1 9.34707e-05 -52 *5638:130 *5748:10 0.000354964 -53 *5638:130 *5803:27 0.000165911 -54 *5638:136 *28611:SET_B 5.00841e-06 -55 *5638:136 *5641:180 0.000145472 -56 *5638:136 *5708:25 0.000604463 -57 *5638:162 *28570:SET_B 0.000218554 -58 *5638:162 *5646:294 6.0372e-05 -59 *5638:162 *5777:111 0.00122303 -60 *5638:162 *5910:42 4.33002e-05 -61 *5638:169 *27741:B1 3.34609e-05 -62 *5638:195 *27832:B1 1.46576e-05 -63 *5638:195 *28713:RESET_B 0.00012026 -64 *5638:195 *5655:264 0.000677633 -65 *5638:195 *5676:80 0.000537426 -66 *5638:195 *5695:99 6.11577e-05 -67 *5638:195 *5774:146 0.000190805 -68 *25048:B1 *5638:195 0.000220452 -69 *25133:C1 *27932:A2 0.000315517 -70 *25141:A1 *5638:133 8.80625e-05 -71 *25209:C1 *27932:A2 9.75031e-05 -72 *25283:C *27932:A2 0.00088403 -73 *25284:C *27932:A2 0.000109428 -74 *25315:A2 *5638:136 0.000150318 -75 *25628:A1 *5638:162 0.000209546 -76 *25629:A1 *5638:195 0.000177341 -77 *25629:S *5638:195 0.000183726 -78 *25725:S *5638:195 5.01876e-06 -79 *26978:S *27758:A2 6.07476e-05 -80 *26978:S *5638:133 0.000261801 -81 *27038:S *5638:136 4.50149e-05 -82 *27329:C *5638:30 0.000110952 -83 *27332:A *5638:104 0.00199477 -84 *27445:B1 *5638:16 3.05074e-05 -85 *27609:A1 *27609:B1 1.58163e-05 -86 *27609:C1 *27609:B1 3.99614e-06 -87 *27663:C *5638:16 7.2754e-05 -88 *27674:B2 *27674:A2 0.000147465 -89 *27674:B2 *5638:104 0.00045359 -90 *27674:B2 *5638:109 3.50327e-05 -91 *27683:B2 *5638:110 0.000802463 -92 *27715:A1 *5638:62 4.87953e-05 -93 *27715:A2 *5638:62 2.59355e-05 -94 *27716:A1 *5638:62 7.62789e-05 -95 *27716:B2 *5638:62 6.26774e-06 -96 *27716:C1 *5638:62 0.000110029 -97 *27717:C1 *5638:33 4.47387e-05 -98 *27717:C1 *5638:62 9.68932e-05 -99 *27721:A0 *5638:30 5.33005e-05 -100 *27726:A1 *5638:169 6.19462e-05 -101 *27726:A2 *5638:169 8.77171e-05 -102 *27726:B2 *5638:169 1.39983e-05 -103 *27741:B2 *27741:A2 8.7636e-06 -104 *27741:B2 *5638:169 2.24574e-05 -105 *27741:B2 *5638:195 3.9059e-05 -106 *27747:A1 *5638:136 0.000397093 -107 *27751:B1 *5638:119 0.000125731 -108 *27758:A1 *27758:A2 4.58194e-05 -109 *27758:A1 *5638:133 4.10843e-05 -110 *27773:A1 *5638:195 0.000206581 -111 *27781:B2 *5638:195 4.65519e-05 -112 *27782:A2 *5638:195 4.28365e-05 -113 *27832:A1 *27832:A2 1.976e-05 -114 *27833:A2 *27832:A2 0.00021192 -115 *27833:B2 *27832:A2 1.08359e-05 -116 *27833:B2 *5638:195 4.11218e-05 -117 *27932:A1 *27932:A2 5.74447e-05 -118 *28707:CLK *27609:B1 1.18064e-05 -119 *28803:CLK *5638:30 0.000143446 -120 *29188:A *5638:104 0.000121951 -121 *29277:A *5638:162 0.000472721 -122 *29293:A *5638:162 9.41642e-05 -123 *29447:A *27932:A2 0.000456077 -124 *29942:A *5638:195 5.33005e-05 -125 *30026:A *5638:195 0.000551687 -126 *30415:A *5638:162 9.90367e-05 -127 *30441:A *27609:B1 0.000175892 -128 *1227:26 *27932:A2 6.90819e-05 -129 *1253:34 *27932:A2 5.13009e-05 -130 *1253:50 *27932:A2 7.4607e-05 -131 *1256:31 *27932:A2 5.72944e-05 -132 *1261:177 *5638:130 8.21056e-05 -133 *1265:128 *5638:119 8.25843e-06 -134 *1267:83 *5638:130 3.56825e-05 -135 *1271:191 *5638:119 4.21517e-05 -136 *1276:43 *27932:A2 6.69937e-05 -137 *1280:6 *27932:A2 0.000231052 -138 *1292:110 *5638:136 0.00135927 -139 *1363:108 *5638:62 0.000422016 -140 *1368:23 *5638:16 0.000245392 -141 *1416:89 *5638:104 0.00098 -142 *1416:89 *5638:110 0.00110592 -143 *1479:101 *27932:A2 0.000173055 -144 *1490:61 *5638:16 0.000279321 -145 *1496:11 *5638:62 0.000295426 -146 *1501:40 *27674:A2 5.49489e-05 -147 *1538:37 *27932:A2 4.18518e-06 -148 *1539:22 *5638:68 0.000221349 -149 *1594:35 *27932:A2 0.000396768 -150 *1633:13 *5638:136 0.000347644 -151 *1642:14 *5638:68 0.000219769 -152 *1642:20 *5638:110 0.000429925 -153 *1654:17 *27932:A2 5.16445e-05 -154 *1691:24 *5638:104 4.10533e-05 -155 *1826:15 *5638:16 0.000632985 -156 *1826:117 *5638:16 2.06112e-05 -157 *1844:120 *5638:68 0.000589751 -158 *1853:19 *5638:162 0.000531938 -159 *1853:38 *5638:162 0.0010908 -160 *2759:31 *5638:195 3.8386e-06 -161 *2769:176 *27832:A2 0.000380009 -162 *2771:84 *5638:162 6.41179e-05 -163 *2776:224 *5638:195 2.71841e-05 -164 *2776:257 *5638:136 0.000976943 -165 *2781:51 *5638:16 0 -166 *2784:65 *5638:62 9.51782e-05 -167 *2790:29 *5638:30 7.21208e-05 -168 *2790:32 *5638:16 5.26224e-05 -169 *2791:45 *5638:195 0.000314632 -170 *2791:199 *5638:136 2.34983e-05 -171 *2840:98 *5638:16 1.721e-05 -172 *2841:17 *5638:30 0.000189338 -173 *2856:146 *5638:104 8.92267e-05 -174 *2856:270 *5638:30 0.000135031 -175 *2867:25 *5638:30 2.33059e-05 -176 *2870:43 *5638:62 0.000134759 -177 *2874:227 *5638:110 0.000102859 -178 *2874:249 *5638:110 8.95905e-05 -179 *2880:137 *5638:30 0.00102715 -180 *2885:35 *5638:16 0.000983202 -181 *2889:28 *5638:62 0.000344225 -182 *2891:111 *5638:62 0.000123288 -183 *2892:115 *5638:16 0.000730222 -184 *2892:280 *5638:119 0.000175892 -185 *2917:26 *5638:62 0.000121573 -186 *3040:41 *5638:16 2.83129e-05 -187 *3147:57 *5638:16 0.000124947 -188 *3147:68 *5638:16 0.000172061 -189 *3153:221 *5638:119 0.000428143 -190 *3160:97 *5638:16 0.000138881 -191 *3165:192 *5638:30 0.000368786 -192 *3176:62 *27932:A2 0.000325084 -193 *3183:167 *27932:A2 0.00025641 -194 *3184:71 *27932:A2 0.00147498 -195 *3184:71 *5638:104 0.00015128 -196 *3185:92 *5638:110 0.000169736 -197 *3185:107 *5638:110 1.90936e-05 -198 *3194:70 *5638:30 0.000175595 -199 *3206:293 *5638:195 0.000361178 -200 *3226:14 *5638:130 0.00122288 -201 *3299:29 *5638:104 0.000344914 -202 *3306:6 *5638:110 0.000778365 -203 *3306:6 *5638:119 0.0025936 -204 *3306:6 *5638:130 4.3005e-05 -205 *3473:19 *27932:A2 0.000151638 -206 *3538:14 *5638:162 1.94945e-05 -207 *3538:24 *5638:30 0.000237349 -208 *3538:39 *5638:30 0.000155115 -209 *3575:33 *5638:30 0.000299249 -210 *3575:46 *5638:30 0.000372097 -211 *3582:8 *5638:162 2.11419e-05 -212 *3667:28 *5638:62 2.37575e-05 -213 *3686:50 *5638:136 0.000649171 -214 *3731:39 *5638:195 0.0013138 -215 *3770:28 *5638:162 1.63058e-05 -216 *3781:30 *5638:110 0.000422603 -217 *3783:105 *5638:110 0.0015909 -218 *3783:110 *5638:104 0.00201781 -219 *3833:13 *5638:119 0.000170387 -220 *3834:11 *5638:104 4.5534e-05 -221 *3898:61 *5638:119 0.00138912 -222 *3907:32 *5638:110 0.00040742 -223 *3907:32 *5638:130 0.00122288 -224 *3907:76 *27932:A2 0.00083697 -225 *3967:18 *5638:195 0.000112431 -226 *3987:58 *27932:A2 0.000183341 -227 *3989:68 *5638:104 0.000109154 -228 *3991:71 *27832:A2 0 -229 *3991:71 *5638:195 7.49387e-06 -230 *4017:33 *27832:A2 0 -231 *4030:48 *5638:30 0.00017288 -232 *4058:23 *27832:A2 0.000125249 -233 *4058:23 *5638:195 1.46576e-05 -234 *4080:22 *5638:136 0.000307868 -235 *4080:54 *5638:110 0.00310462 -236 *4084:18 *5638:195 0.000794933 -237 *4115:28 *5638:136 0.000702002 -238 *4119:8 *5638:136 6.95652e-05 -239 *4119:13 *5638:136 4.86139e-05 -240 *4132:38 *5638:110 0.00014833 -241 *4132:38 *5638:119 0.000121549 -242 *4132:51 *5638:110 0.00238089 -243 *4132:58 *5638:110 0.000107773 -244 *4185:59 *27932:A2 0.000963969 -245 *4185:59 *5638:104 0.000174414 -246 *5448:40 *5638:62 9.34707e-05 -247 *5583:58 *27932:A2 0.000157704 -248 *5586:11 *27609:B1 0.000178847 -249 *5590:131 *5638:162 0.000259549 -250 *5590:161 *5638:162 0 -251 *5593:33 *5638:136 0.000114043 -252 *5593:36 *5638:136 0.000221592 -253 *5599:295 *5638:30 0.000103211 -254 *5627:18 *5638:30 0.000118718 -255 *5627:251 *5638:169 0.000113366 -256 *5629:217 *5638:162 0 -*RES -1 *30800:X *5638:16 49.4533 -2 *5638:16 *5638:30 46.575 -3 *5638:30 *5638:33 7.47768 -4 *5638:33 *5638:62 49.7545 -5 *5638:62 *5638:68 16.7939 -6 *5638:68 *27932:A2 43.8788 -7 *5638:68 *5638:104 46.1802 -8 *5638:104 *5638:109 7.51786 -9 *5638:109 *5638:110 95.9018 -10 *5638:110 *5638:119 45.5804 -11 *5638:119 *5638:130 32.9332 -12 *5638:130 *5638:133 7.08929 -13 *5638:133 *5638:136 48.3393 -14 *5638:136 *27609:B1 26.4607 -15 *5638:136 *5638:162 48.0998 -16 *5638:162 *5638:169 14.25 -17 *5638:169 *27741:A2 10.1795 -18 *5638:169 *5638:195 39.8928 -19 *5638:195 *27781:A2 9.3 -20 *5638:195 *27832:A2 40.0356 -21 *5638:130 *27758:A2 10.2464 -22 *5638:104 *27674:A2 12.3357 -23 *5638:33 *27716:A2 9.3 -*END - -*D_NET *5639 0.145277 -*CONN -*I *27729:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27705:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27605:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27765:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27788:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27839:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27689:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27809:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27651:A I *D sky130_fd_sc_hd__or4_1 -*I *30801:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *27729:A2 3.21587e-05 -2 *27705:A2 0 -3 *27605:B1 0.000506239 -4 *27765:A2 0.00123688 -5 *27788:A2 2.10937e-05 -6 *27839:A2 4.25225e-05 -7 *27689:A2 0.000365618 -8 *27809:A2 3.4236e-05 -9 *27651:A 0 -10 *30801:X 0.000884324 -11 *5639:232 0.00316 -12 *5639:229 0.00371637 -13 *5639:204 0.00312534 -14 *5639:200 0.0021896 -15 *5639:199 0.00370066 -16 *5639:168 0.00246193 -17 *5639:165 0.00368048 -18 *5639:158 0.00667706 -19 *5639:147 0.00629625 -20 *5639:124 0.00209231 -21 *5639:117 0.00453787 -22 *5639:114 0.00402992 -23 *5639:107 0.00178758 -24 *5639:104 0.00435619 -25 *5639:98 0.00187966 -26 *5639:90 0.0024629 -27 *5639:61 0.00191569 -28 *5639:45 0.00182139 -29 *5639:28 0.00230056 -30 *5639:25 0.00226605 -31 *5639:13 0.00197497 -32 *27605:B1 *5762:118 0.000166503 -33 *27765:A2 *5643:269 0.000154291 -34 *27765:A2 *5762:116 0.000270243 -35 *27765:A2 *5762:118 0.000206051 -36 *27765:A2 *6258:12 0.000102969 -37 *27839:A2 *5642:82 0 -38 *27839:A2 *5863:163 2.28378e-05 -39 *5639:13 *5653:11 0.00056768 -40 *5639:25 *5649:29 0.000219909 -41 *5639:45 *5755:51 0.000108447 -42 *5639:90 *5678:71 0.000168521 -43 *5639:90 *5863:163 0 -44 *5639:90 *5926:105 0.000435366 -45 *5639:98 *5756:65 0.000507264 -46 *5639:104 *5656:261 0.000228703 -47 *5639:104 *5872:25 0.00103406 -48 *5639:114 *5652:128 0.000554695 -49 *5639:124 *5660:137 2.13481e-06 -50 *5639:147 *5642:95 3.18e-05 -51 *5639:147 *5656:261 0.000151984 -52 *5639:147 *5656:275 0.000138378 -53 *5639:147 *5847:44 0.00031613 -54 *5639:147 *5863:163 0.00257521 -55 *5639:147 *5872:25 0.00133858 -56 *5639:147 *5873:70 0.00015606 -57 *5639:147 *5892:57 0.000277381 -58 *5639:147 *5910:107 5.00194e-05 -59 *5639:158 *5863:161 0.000198437 -60 *5639:165 *26988:A0 0 -61 *5639:165 *27433:A2 7.63069e-05 -62 *5639:165 *5683:244 0.000381415 -63 *5639:165 *5683:266 0.000262366 -64 *5639:165 *5863:143 0.000410013 -65 *5639:165 *5873:26 0 -66 *5639:165 *5911:165 4.37712e-06 -67 *5639:165 *6005:32 0.000474997 -68 *5639:168 *26923:A0 9.55721e-06 -69 *5639:168 *5873:281 2.15327e-05 -70 *5639:199 *26923:A0 0.000103756 -71 *5639:199 *27123:S 5.52302e-05 -72 *5639:199 *5682:114 0.000226256 -73 *5639:199 *5682:120 0.000881264 -74 *5639:199 *5682:180 0.000588331 -75 *5639:199 *5695:110 0.000127934 -76 *5639:199 *5695:124 4.00349e-05 -77 *5639:199 *5703:21 4.73891e-05 -78 *5639:199 *5829:149 0.000250895 -79 *5639:199 *5873:281 8.45533e-06 -80 *5639:200 *27742:A2 9.41642e-05 -81 *5639:200 *28687:RESET_B 0.000107126 -82 *5639:200 *5663:222 0.000753894 -83 *5639:204 *27705:B1 0.000125006 -84 *5639:229 *27705:B1 3.33238e-05 -85 *5639:229 *5640:235 4.88232e-05 -86 *5639:229 *5641:180 0.000135757 -87 *5639:229 *5663:179 2.06112e-05 -88 *5639:229 *5701:126 0.000218679 -89 *24992:B2 *27839:A2 3.49005e-05 -90 *25021:A2 *5639:45 9.41642e-05 -91 *25060:B1 *5639:165 2.59024e-05 -92 *25200:A1 *5639:124 8.25843e-06 -93 *25200:A2 *5639:117 4.58194e-05 -94 *25200:B1 *5639:117 5.04841e-06 -95 *25200:B1 *5639:124 0.000257619 -96 *25318:A2 *5639:124 2.04825e-05 -97 *25322:B2 *5639:124 0.000401178 -98 *27431:A1 *5639:199 4.53834e-05 -99 *27433:A1 *5639:165 0.000110718 -100 *27444:A1 *5639:28 0.0013753 -101 *27451:B2 *5639:45 9.21418e-06 -102 *27454:B2 *5639:61 6.58294e-06 -103 *27605:A1 *27605:B1 3.08664e-05 -104 *27605:A2 *27605:B1 5.38558e-05 -105 *27605:B2 *27605:B1 0.000116229 -106 *27641:A_N *5639:13 9.41642e-05 -107 *27647:D *5639:25 5.33005e-05 -108 *27651:C *5639:13 0.000664783 -109 *27689:A1 *27689:A2 7.56621e-05 -110 *27689:C1 *27689:A2 0.000461041 -111 *27704:B1 *5639:229 0.000332204 -112 *27705:A1 *5639:204 4.01222e-05 -113 *27729:A1 *5639:200 4.00679e-05 -114 *27729:A1 *5639:204 1.92789e-05 -115 *27729:B1 *27729:A2 1.3701e-05 -116 *27729:B1 *5639:204 5.52238e-05 -117 *27729:B2 *5639:204 1.98839e-05 -118 *27730:C1 *5639:200 0.000339346 -119 *27733:A *5639:200 0.000195506 -120 *27733:B *5639:200 0.000385089 -121 *27733:D *5639:200 0.000206184 -122 *27742:A1 *5639:200 1.21258e-05 -123 *27742:B1 *5639:200 7.62437e-05 -124 *27742:C1 *5639:200 2.89016e-05 -125 *27765:A1 *27765:A2 1.73088e-05 -126 *27784:A2 *5639:165 0 -127 *27784:B1 *5639:165 0.000758036 -128 *27784:C1 *5639:165 8.40425e-05 -129 *27788:A1 *27788:A2 4.5226e-05 -130 *27792:A *27788:A2 4.21517e-05 -131 *27809:A1 *5639:61 0 -132 *27809:B1 *27809:A2 4.00119e-05 -133 *27809:B1 *5639:90 5.96516e-05 -134 *27815:B2 *5639:45 0.000198899 -135 *27834:B2 *5639:147 0 -136 *27840:C *5639:147 0.000292224 -137 *28487:D *5639:165 0.000488699 -138 *28529:CLK *5639:165 0 -139 *28561:CLK *5639:98 0.000258289 -140 *28687:D *5639:200 0.000219711 -141 *28757:CLK *5639:90 0.000297353 -142 *29081:A *5639:165 0 -143 *29226:A *5639:229 0.00012401 -144 *29227:A *5639:229 0 -145 *29315:A *5639:165 0.000132806 -146 *29384:A *5639:165 2.03917e-05 -147 *30136:A *5639:165 2.2518e-05 -148 *30338:A *27765:A2 0.00013687 -149 *30433:A *5639:90 9.81841e-05 -150 *30813:A *5639:13 7.34839e-05 -151 *794:23 *5639:165 6.8646e-06 -152 *890:19 *27788:A2 7.13226e-06 -153 *1225:149 *5639:229 0.000397534 -154 *1228:87 *5639:45 0.000177815 -155 *1242:159 *5639:199 0.000211677 -156 *1256:160 *5639:229 0.000399371 -157 *1268:74 *5639:114 0.000522763 -158 *1270:88 *5639:104 0 -159 *1270:88 *5639:147 0 -160 *1272:160 *5639:104 0.000111777 -161 *1285:37 *5639:124 0.00140466 -162 *1288:112 *27605:B1 0.00113768 -163 *1293:181 *5639:199 0.000115935 -164 *1308:19 *5639:28 0.000550927 -165 *1308:19 *5639:45 0.000109425 -166 *1315:10 *5639:147 0.000171635 -167 *1315:12 *5639:104 0.00012396 -168 *1317:30 *5639:90 9.22959e-05 -169 *1355:20 *5639:61 2.01997e-05 -170 *1361:114 *5639:229 0.00107124 -171 *1367:43 *5639:98 0.000147747 -172 *1368:23 *5639:13 0.000106864 -173 *1385:34 *5639:114 8.22793e-06 -174 *1396:129 *5639:124 0.0010882 -175 *1507:25 *27689:A2 0.000499894 -176 *1639:35 *5639:124 0.000854111 -177 *1697:32 *5639:199 0.00031361 -178 *1697:44 *5639:199 5.68421e-05 -179 *1801:38 *5639:13 0.000456506 -180 *1801:79 *5639:13 0.00130201 -181 *1826:226 *5639:199 0.00018077 -182 *1864:150 *5639:90 9.62249e-05 -183 *2759:68 *5639:229 0.00190055 -184 *2761:38 *5639:165 0 -185 *2763:124 *5639:98 0.00166856 -186 *2769:30 *5639:165 1.25459e-05 -187 *2771:11 *5639:165 0 -188 *2772:69 *5639:232 0.00177928 -189 *2773:8 *5639:98 0.0010103 -190 *2773:8 *5639:104 0.000215878 -191 *2773:8 *5639:147 0.000400834 -192 *2780:228 *27765:A2 0.000157031 -193 *2784:162 *5639:199 0.000259549 -194 *2791:169 *5639:229 4.30301e-05 -195 *2845:392 *5639:45 2.03842e-05 -196 *2855:285 *5639:28 0.00019197 -197 *2864:125 *27809:A2 1.32056e-05 -198 *2864:125 *5639:90 0.00017754 -199 *2866:248 *5639:117 0.00321084 -200 *2866:282 *5639:117 0.000553425 -201 *2885:82 *5639:61 0.000228698 -202 *2888:177 *5639:45 0.00070851 -203 *2888:177 *5639:61 0.000851225 -204 *2888:213 *5639:124 0.00031779 -205 *2958:34 *5639:124 7.51161e-05 -206 *3017:10 *5639:61 2.06178e-05 -207 *3147:57 *5639:13 0.000105026 -208 *3160:84 *5639:13 0.000139637 -209 *3164:249 *5639:90 4.65519e-05 -210 *3165:60 *5639:158 1.09611e-05 -211 *3165:73 *5639:158 0.000160245 -212 *3179:21 *5639:13 6.35941e-05 -213 *3179:42 *5639:13 1.75091e-05 -214 *3179:42 *5639:25 0.000630181 -215 *3179:67 *5639:90 0.000274995 -216 *3179:82 *5639:147 0 -217 *3179:90 *5639:147 0.000232046 -218 *3185:229 *5639:199 4.8817e-05 -219 *3189:11 *5639:13 0.000136958 -220 *3204:18 *5639:13 0.000671022 -221 *3205:16 *5639:13 2.28598e-05 -222 *3205:16 *5639:25 8.85532e-05 -223 *3291:7 *5639:200 0.000257619 -224 *3312:19 *27765:A2 0.000305204 -225 *3345:6 *5639:114 2.09826e-05 -226 *3385:11 *5639:147 0.000271935 -227 *3386:10 *5639:147 0.00165817 -228 *3578:96 *27605:B1 0.000850613 -229 *3578:104 *27605:B1 0.00034127 -230 *3607:26 *5639:199 0.000159346 -231 *3630:67 *5639:104 0.000213761 -232 *3678:18 *5639:229 7.69776e-06 -233 *3681:17 *5639:165 1.83861e-05 -234 *3681:52 *5639:158 2.82126e-05 -235 *3682:48 *5639:90 0.000299183 -236 *3707:38 *5639:165 8.07951e-05 -237 *3730:42 *5639:124 0.000114258 -238 *3733:31 *5639:199 0.000548501 -239 *3787:29 *5639:45 0.000702658 -240 *3787:37 *5639:61 0.000849289 -241 *3796:70 *5639:124 3.26599e-05 -242 *3799:25 *5639:28 0.000761355 -243 *3799:37 *5639:45 0.000499788 -244 *3800:29 *5639:28 0 -245 *3800:29 *5639:45 3.43988e-06 -246 *3809:11 *5639:200 5.69386e-05 -247 *3812:31 *5639:61 0.000170417 -248 *3813:47 *5639:114 9.3313e-05 -249 *3846:8 *5639:229 3.83164e-05 -250 *3864:63 *5639:61 0.000167996 -251 *3865:38 *5639:28 0.00340211 -252 *3876:38 *5639:199 0.000382677 -253 *3889:62 *5639:98 0.00210932 -254 *3889:62 *5639:104 0.000208335 -255 *3889:62 *5639:147 0.000440397 -256 *3890:68 *5639:98 0.000889068 -257 *3891:29 *5639:165 0.000629552 -258 *3900:21 *5639:204 5.50115e-05 -259 *3915:19 *5639:199 0.000600973 -260 *3924:38 *5639:229 4.58764e-05 -261 *3930:70 *5639:199 0.000133706 -262 *3953:6 *27605:B1 0.000157967 -263 *3953:6 *27765:A2 0.0021205 -264 *3955:16 *5639:28 5.41794e-05 -265 *3955:16 *5639:45 0.000534221 -266 *3955:16 *5639:61 2.06178e-05 -267 *3967:18 *5639:199 5.20882e-05 -268 *3979:32 *27765:A2 0.00038529 -269 *4076:48 *27765:A2 0 -270 *4080:22 *5639:229 0.00020495 -271 *4108:36 *5639:158 5.32001e-06 -272 *4147:20 *27788:A2 8.18399e-06 -273 *4150:31 *5639:90 2.81946e-05 -274 *4186:34 *27809:A2 4.72141e-05 -275 *4186:34 *5639:90 0.000271388 -276 *4320:16 *5639:165 0.000145795 -277 *5084:20 *5639:165 5.41797e-06 -278 *5386:12 *5639:147 0 -279 *5467:18 *5639:45 2.83526e-05 -280 *5589:156 *5639:117 0.000182747 -281 *5603:17 *5639:147 3.33788e-05 -282 *5628:17 *5639:13 2.27189e-05 -283 *5628:73 *5639:147 0.000246186 -284 *5629:172 *5639:229 4.38243e-05 -285 *5630:217 *5639:147 0.000808267 -*RES -1 *30801:X *5639:13 45.4607 -2 *5639:13 *27651:A 9.3 -3 *5639:13 *5639:25 19.4464 -4 *5639:25 *5639:28 48.3393 -5 *5639:28 *5639:45 41.9428 -6 *5639:45 *5639:61 31.1805 -7 *5639:61 *27809:A2 10.2241 -8 *5639:61 *5639:90 45.2856 -9 *5639:90 *5639:98 48.7411 -10 *5639:98 *5639:104 9.15277 -11 *5639:104 *5639:107 4.28577 -12 *5639:107 *5639:114 11.1165 -13 *5639:114 *5639:117 46.5536 -14 *5639:117 *5639:124 44.4643 -15 *5639:124 *27689:A2 19.0768 -16 *5639:107 *27839:A2 18.1207 -17 *5639:104 *5639:147 46.8035 -18 *5639:147 *5639:158 18.7536 -19 *5639:158 *5639:165 48.4145 -20 *5639:165 *5639:168 3.5651 -21 *5639:168 *27788:A2 17.9377 -22 *5639:168 *5639:199 47.263 -23 *5639:199 *5639:200 26.8214 -24 *5639:200 *5639:204 12.1518 -25 *5639:204 *5639:229 49.9655 -26 *5639:229 *5639:232 23.1071 -27 *5639:232 *27765:A2 47.9562 -28 *5639:232 *27605:B1 30.6036 -29 *5639:204 *27705:A2 9.3 -30 *5639:200 *27729:A2 10.0321 -*END - -*D_NET *5640 0.148381 -*CONN -*I *27870:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *27821:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27771:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27724:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27610:B1 I *D sky130_fd_sc_hd__a221o_2 -*I *27699:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27747:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27845:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *27920:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *27944:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27895:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *27683:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *30802:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *27870:B1 5.84072e-05 -2 *27821:B1 9.0134e-05 -3 *27771:B1 0.000379337 -4 *27724:B1 0 -5 *27610:B1 0.000140319 -6 *27699:B1 0.0015374 -7 *27747:B1 9.18789e-05 -8 *27845:B1 0.000305229 -9 *27920:B1 4.42917e-05 -10 *27944:B1 0.00079894 -11 *27895:B1 5.35191e-05 -12 *27683:B1 0 -13 *30802:X 0.000503789 -14 *5640:289 0.000804327 -15 *5640:285 0.00180174 -16 *5640:267 0.00249379 -17 *5640:264 0.00276109 -18 *5640:238 0.00213787 -19 *5640:235 0.00209065 -20 *5640:176 0.00192964 -21 *5640:170 0.00219837 -22 *5640:167 0.0024229 -23 *5640:145 0.00269008 -24 *5640:144 9.10806e-05 -25 *5640:142 0.00177211 -26 *5640:120 0.00248079 -27 *5640:98 0.00281815 -28 *5640:90 0.00260415 -29 *5640:67 0.00132663 -30 *5640:64 0.00143047 -31 *5640:63 0.00350484 -32 *5640:53 0.00685328 -33 *5640:35 0.00548116 -34 *5640:27 0.00377378 -35 *5640:18 0.00486613 -36 *5640:16 0.0024149 -37 *5640:13 0.00110032 -38 *27610:B1 *27610:A2 0.000119205 -39 *27610:B1 *5914:29 1.24368e-05 -40 *27610:B1 *5914:43 7.41052e-05 -41 *27699:B1 *5655:232 0.000242785 -42 *27699:B1 *5803:27 0.000242028 -43 *27747:B1 *27764:B1 1.21258e-05 -44 *27747:B1 *5663:155 9.09669e-05 -45 *27747:B1 *5795:70 0.000130366 -46 *27771:B1 *27771:A2 0.000399128 -47 *27771:B1 *5914:18 6.6289e-05 -48 *27821:B1 *27771:A2 5.10822e-05 -49 *27821:B1 *5862:44 2.91887e-05 -50 *27821:B1 *5914:18 0.000166269 -51 *27845:B1 *27845:A2 0.000240011 -52 *27845:B1 *5641:133 0.000390235 -53 *27870:B1 *5641:133 6.42338e-05 -54 *27920:B1 *5799:12 5.41794e-05 -55 *27944:B1 *5646:195 0.000555474 -56 *5640:13 *5646:10 0.000181381 -57 *5640:16 *25625:B 0.000305554 -58 *5640:16 *5667:68 0.000448928 -59 *5640:16 *5718:261 0.000264616 -60 *5640:18 *26896:A0 1.43349e-05 -61 *5640:18 *5667:60 0.000703915 -62 *5640:18 *5667:67 0.00283056 -63 *5640:18 *5667:68 5.2612e-05 -64 *5640:18 *5718:290 0.000563504 -65 *5640:27 *26896:A0 0.000696345 -66 *5640:27 *5667:60 0.000569115 -67 *5640:35 *27683:A2 0.000239721 -68 *5640:35 *5641:38 0.000284759 -69 *5640:53 *24962:B 5.7836e-06 -70 *5640:53 *5641:55 1.53785e-05 -71 *5640:53 *5641:70 0.00135105 -72 *5640:53 *5869:95 1.5318e-05 -73 *5640:53 *5892:122 0.000106493 -74 *5640:63 *5641:70 0.00113058 -75 *5640:63 *5909:44 0 -76 *5640:64 *5643:84 3.09814e-05 -77 *5640:64 *5653:97 0.000693181 -78 *5640:64 *5845:25 5.41797e-06 -79 *5640:90 *27895:A2 1.55638e-05 -80 *5640:90 *5646:182 0.000391611 -81 *5640:90 *5729:14 0.000282207 -82 *5640:90 *5729:34 7.56271e-05 -83 *5640:90 *5729:35 6.82083e-05 -84 *5640:98 *25916:A1 0.00045654 -85 *5640:98 *5641:96 0.000181036 -86 *5640:98 *5878:14 0.00223215 -87 *5640:120 *5709:76 0.000317602 -88 *5640:120 *5878:14 0.000889506 -89 *5640:142 *25904:A1 5.26184e-05 -90 *5640:142 *5669:19 0 -91 *5640:142 *5823:32 1.5942e-05 -92 *5640:142 *5833:175 0.00110106 -93 *5640:145 *5641:133 2.94103e-05 -94 *5640:167 *28494:RESET_B 4.27935e-05 -95 *5640:167 *5643:269 0.000218679 -96 *5640:167 *5649:243 0.000232362 -97 *5640:170 *5879:44 0.000132207 -98 *5640:176 *5641:165 0.000220555 -99 *5640:176 *5641:243 2.17065e-05 -100 *5640:176 *5795:70 0.00031763 -101 *5640:235 *28126:RESET_B 9.12725e-05 -102 *5640:235 *5646:258 7.68155e-05 -103 *5640:235 *5708:34 0.000410163 -104 *5640:235 *5810:97 0.000338871 -105 *5640:235 *5824:150 0 -106 *5640:235 *5863:98 0.0001764 -107 *5640:235 *5879:32 0.000491077 -108 *5640:235 *5879:34 0.000120246 -109 *5640:235 *5879:44 0.000276059 -110 *5640:238 *5641:180 0.000657905 -111 *5640:238 *5881:54 7.80929e-05 -112 *5640:264 *5641:204 0.000178847 -113 *5640:264 *5669:278 0.00177705 -114 *5640:264 *5748:6 7.55804e-05 -115 *5640:264 *5914:29 0.000443878 -116 *5640:267 *27112:A0 0.000140933 -117 *5640:267 *27707:A2 1.24368e-05 -118 *5640:267 *5695:186 0.000862196 -119 *5640:267 *5716:121 0.000987878 -120 *5640:267 *5716:133 0.000469765 -121 *5640:267 *5820:15 5.45582e-05 -122 *5640:285 *26916:A0 7.81389e-05 -123 *5640:285 *27724:A2 1.46576e-05 -124 *5640:285 *28196:D 0.00019384 -125 *5640:285 *5641:211 3.73489e-05 -126 *5640:285 *5669:296 0.00153402 -127 *5640:285 *5716:133 0.00257515 -128 *24902:A *5640:53 0.000515267 -129 *25082:A1 *5640:63 0.000349335 -130 *25098:A *5640:53 0.000178253 -131 *25098:B *5640:53 4.12921e-05 -132 *25104:B2 *5640:63 6.90381e-06 -133 *25144:B1 *27944:B1 0.000410238 -134 *25199:A1 *27920:B1 5.33005e-05 -135 *25199:A1 *5640:120 0.000353267 -136 *25199:A1 *5640:142 0.000248138 -137 *25245:A2 *5640:90 0.000494259 -138 *25314:B1 *5640:167 5.33005e-05 -139 *25315:A2 *5640:176 0.000213676 -140 *25329:A2 *5640:90 8.81211e-05 -141 *25332:A1 *5640:53 0.0011242 -142 *25332:B1 *5640:53 5.33005e-05 -143 *25332:B2 *5640:53 0.00020489 -144 *25364:A2 *5640:176 4.65519e-05 -145 *25365:B1 *5640:98 0.000203306 -146 *25365:B1 *5640:120 0.000838594 -147 *25566:A2 *5640:142 0.000479229 -148 *25901:A1 *5640:142 0.000422997 -149 *25926:S *5640:98 0.00030028 -150 *25929:S *5640:90 0.000223592 -151 *26939:A1 *5640:235 0.000104868 -152 *27112:S *5640:267 2.42516e-05 -153 *27362:B1 *5640:27 0.000218723 -154 *27362:B1 *5640:35 0.000490797 -155 *27362:B2 *5640:35 4.08637e-05 -156 *27610:C1 *27610:B1 0.000137983 -157 *27633:A *5640:13 0.000137983 -158 *27679:A2 *27699:B1 0.000694964 -159 *27683:B2 *5640:35 5.80335e-06 -160 *27683:B2 *5640:53 0.000601463 -161 *27699:A1 *27699:B1 6.10759e-05 -162 *27699:C1 *27699:B1 1.21436e-05 -163 *27707:A1 *5640:264 3.80904e-05 -164 *27724:A1 *5640:267 5.75147e-05 -165 *27724:A1 *5640:285 1.74352e-05 -166 *27724:B2 *5640:267 6.92906e-05 -167 *27747:A1 *27747:B1 6.05161e-06 -168 *27895:A1 *27895:B1 2.84109e-05 -169 *27944:A1 *27944:B1 0.000185505 -170 *27946:A2 *5640:64 0.000387338 -171 *27946:B2 *5640:64 4.32182e-06 -172 *27948:A1 *5640:64 0 -173 *27964:C1 *5640:63 0.000130654 -174 *28350:D *5640:142 0.000351356 -175 *28364:D *27944:B1 7.70044e-05 -176 *28494:CLK *5640:167 0.000255278 -177 *28500:D *5640:264 3.69697e-05 -178 *28503:CLK *5640:285 6.70055e-05 -179 *28503:D *5640:285 0.000236445 -180 *28503:D *5640:289 0.000595319 -181 *28707:CLK *5640:238 0.000515822 -182 *28707:D *5640:264 9.25014e-06 -183 *28734:D *5640:27 2.59355e-05 -184 *28806:D *5640:16 0.000302359 -185 *29732:A *5640:98 1.17968e-05 -186 *29832:A *5640:90 6.65398e-05 -187 *29844:A *27944:B1 9.58126e-05 -188 *30084:A *5640:267 0.000935967 -189 *30346:A *5640:27 4.40898e-05 -190 *30439:A *5640:264 2.84494e-05 -191 *30441:A *5640:235 0.000246183 -192 *1230:51 *5640:53 1.87872e-05 -193 *1237:43 *5640:13 0.000117477 -194 *1274:17 *5640:53 6.75012e-05 -195 *1286:72 *5640:235 0.000138342 -196 *1326:57 *5640:13 0.000510623 -197 *1362:28 *5640:90 0 -198 *1411:60 *5640:53 0 -199 *1415:38 *5640:53 0 -200 *1419:11 *5640:53 8.43535e-06 -201 *1435:76 *5640:90 0.000475366 -202 *1448:127 *5640:53 5.7811e-07 -203 *1448:127 *5640:63 0 -204 *1463:50 *5640:142 0.00015463 -205 *1463:50 *5640:167 0.00175311 -206 *1463:55 *5640:167 0.000158965 -207 *1463:67 *5640:167 0.000281438 -208 *1511:10 *5640:64 0 -209 *1519:5 *27920:B1 2.89016e-05 -210 *1647:19 *5640:64 4.14434e-05 -211 *1647:19 *5640:90 5.49544e-05 -212 *1647:46 *5640:64 0 -213 *1650:16 *5640:35 0.000473331 -214 *1650:16 *5640:53 2.79171e-05 -215 *1863:14 *5640:264 9.25014e-06 -216 *1864:17 *5640:64 0.00010604 -217 *1864:17 *5640:90 0.000722411 -218 *1864:23 *5640:64 5.45145e-05 -219 *1896:32 *5640:90 5.66157e-05 -220 *2760:26 *5640:18 7.91612e-05 -221 *2761:80 *27699:B1 0.000212319 -222 *2769:182 *27771:B1 0.000260276 -223 *2774:74 *5640:235 0.000210733 -224 *2780:6 *5640:27 9.12207e-06 -225 *2787:113 *5640:18 0.00241699 -226 *2787:127 *5640:18 0.000255259 -227 *2788:39 *5640:18 0.000377809 -228 *2791:20 *5640:285 0.00018077 -229 *2791:199 *5640:176 4.48195e-05 -230 *2791:233 *5640:264 2.04825e-05 -231 *2792:78 *27771:B1 7.06957e-05 -232 *2844:153 *5640:16 0.00093484 -233 *2856:196 *5640:63 0.000166585 -234 *2856:196 *5640:64 0.000263384 -235 *2861:23 *5640:13 0.000508706 -236 *2871:160 *5640:16 3.68418e-05 -237 *2874:169 *5640:18 0.000104702 -238 *2886:241 *5640:35 0.000154545 -239 *2892:115 *5640:13 0.000716414 -240 *2894:181 *5640:16 7.49166e-06 -241 *2894:181 *5640:18 9.50579e-05 -242 *3152:17 *5640:13 2.2351e-05 -243 *3162:8 *5640:170 0.000130377 -244 *3162:8 *5640:235 0.00125871 -245 *3176:181 *5640:63 8.18286e-06 -246 *3179:186 *27699:B1 0.00218958 -247 *3257:13 *5640:267 0.000349708 -248 *3273:10 *5640:285 7.48301e-06 -249 *3293:14 *5640:27 0.000134168 -250 *3413:41 *5640:53 0 -251 *3413:41 *5640:63 0 -252 *3413:51 *5640:53 0 -253 *3437:14 *5640:63 0.000358955 -254 *3480:23 *5640:64 0.000112056 -255 *3608:21 *5640:285 0.000765697 -256 *3659:14 *5640:98 0.000232961 -257 *3659:14 *5640:120 0.00018699 -258 *3678:23 *27699:B1 6.13706e-05 -259 *3685:89 *5640:53 5.20359e-06 -260 *3686:50 *5640:176 2.86703e-05 -261 *3704:31 *5640:35 0.00017754 -262 *3715:32 *5640:63 0 -263 *3766:40 *5640:98 0.00117646 -264 *3766:71 *5640:63 0.000744204 -265 *3837:20 *5640:285 0.00019384 -266 *3846:33 *27699:B1 4.37712e-06 -267 *3849:11 *5640:167 6.42095e-05 -268 *3849:30 *5640:167 4.87953e-05 -269 *3874:91 *5640:264 3.06406e-05 -270 *3901:27 *5640:235 3.79467e-05 -271 *3907:27 *5640:264 4.26272e-05 -272 *3920:63 *5640:63 2.01997e-05 -273 *3936:51 *5640:63 5.78562e-05 -274 *3952:27 *5640:13 0.000297804 -275 *3964:9 *5640:27 0.000326465 -276 *3965:82 *5640:18 0.00177042 -277 *3965:82 *5640:27 1.43349e-05 -278 *4014:9 *5640:90 0.00015234 -279 *4017:56 *27699:B1 0 -280 *4035:23 *5640:98 0.000112925 -281 *4035:36 *5640:64 0.00353472 -282 *4038:8 *5640:120 0.00013211 -283 *4039:39 *27845:B1 5.55643e-05 -284 *4048:32 *27845:B1 0.000301891 -285 *4070:39 *5640:167 0.00017754 -286 *4076:87 *5640:53 5.52634e-05 -287 *4080:41 *27747:B1 0.000134636 -288 *4080:41 *5640:176 0.000310205 -289 *4081:34 *27699:B1 6.28948e-05 -290 *4083:31 *5640:167 0.00071724 -291 *4086:16 *27771:B1 1.9643e-05 -292 *4088:15 *5640:142 0.000683836 -293 *4115:10 *5640:235 0.000151111 -294 *4128:20 *27845:B1 0.00017309 -295 *4128:20 *27870:B1 0.000116481 -296 *4128:20 *5640:145 4.80729e-05 -297 *4186:26 *5640:18 0.000171099 -298 *4502:21 *5640:235 3.91065e-05 -299 *5196:8 *5640:90 0.00170201 -300 *5196:8 *5640:142 0.000313458 -301 *5196:25 *5640:64 0.00180485 -302 *5196:47 *5640:63 1.09611e-05 -303 *5457:22 *5640:63 2.59781e-05 -304 *5458:40 *27920:B1 2.61337e-05 -305 *5582:103 *27699:B1 0 -306 *5610:54 *5640:53 1.13073e-05 -307 *5613:22 *5640:90 0.000103244 -308 *5629:217 *5640:264 9.91086e-05 -309 *5632:44 *5640:27 0.000829176 -310 *5639:229 *5640:235 4.88232e-05 -*RES -1 *30802:X *5640:13 39.1571 -2 *5640:13 *5640:16 18.4196 -3 *5640:16 *5640:18 64.4821 -4 *5640:18 *5640:27 47.5089 -5 *5640:27 *5640:35 16.3036 -6 *5640:35 *27683:B1 9.3 -7 *5640:35 *5640:53 48.0082 -8 *5640:53 *5640:63 16.1097 -9 *5640:63 *5640:64 46.7232 -10 *5640:64 *5640:67 4.92857 -11 *5640:67 *27895:B1 10.2643 -12 *5640:67 *5640:90 49.1429 -13 *5640:90 *5640:98 41.9286 -14 *5640:98 *27944:B1 29.6929 -15 *5640:98 *5640:120 24.4821 -16 *5640:120 *27920:B1 19.5679 -17 *5640:120 *5640:142 48.5357 -18 *5640:142 *5640:144 4.5 -19 *5640:144 *5640:145 0.732143 -20 *5640:145 *27845:B1 22.7643 -21 *5640:145 *5640:167 45.9821 -22 *5640:167 *5640:170 6.29464 -23 *5640:170 *5640:176 11.7465 -24 *5640:176 *27747:B1 16.5589 -25 *5640:176 *27699:B1 27.1839 -26 *5640:170 *5640:235 41.5996 -27 *5640:235 *5640:238 13.2768 -28 *5640:238 *27610:B1 12.4429 -29 *5640:238 *5640:264 48.25 -30 *5640:264 *5640:267 31.3214 -31 *5640:267 *27724:B1 9.3 -32 *5640:267 *5640:285 47.6964 -33 *5640:285 *5640:289 10.375 -34 *5640:289 *27771:B1 24.2464 -35 *5640:289 *27821:B1 16.05 -36 *5640:144 *27870:B1 15.4429 -*END - -*D_NET *5641 0.153159 -*CONN -*I *27895:A2 I *D sky130_fd_sc_hd__a22o_2 -*I *27944:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27699:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27747:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27724:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27771:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27821:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27610:A2 I *D sky130_fd_sc_hd__a221o_2 -*I *27870:A2 I *D sky130_fd_sc_hd__a22o_2 -*I *27845:A2 I *D sky130_fd_sc_hd__a22o_2 -*I *27920:A2 I *D sky130_fd_sc_hd__a22o_2 -*I *27683:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *30803:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *27895:A2 0.0003207 -2 *27944:A2 0.00107462 -3 *27699:A2 0.000831618 -4 *27747:A2 0.000496005 -5 *27724:A2 4.45973e-05 -6 *27771:A2 0.000401363 -7 *27821:A2 6.21289e-05 -8 *27610:A2 9.79876e-05 -9 *27870:A2 6.58131e-06 -10 *27845:A2 6.2737e-05 -11 *27920:A2 5.46491e-05 -12 *27683:A2 9.41063e-05 -13 *30803:X 0.00186434 -14 *5641:243 0.00187338 -15 *5641:211 0.00261551 -16 *5641:204 0.00529244 -17 *5641:180 0.005079 -18 *5641:165 0.0025452 -19 *5641:162 0.00292468 -20 *5641:133 0.00306638 -21 *5641:123 0.00123375 -22 *5641:111 0.00140626 -23 *5641:102 0.00253684 -24 *5641:96 0.00286274 -25 *5641:78 0.00379874 -26 *5641:70 0.00625831 -27 *5641:55 0.00776923 -28 *5641:38 0.00628029 -29 *5641:23 0.0046641 -30 *5641:19 0.00273273 -31 *5641:13 0.00296071 -32 *27610:A2 *5863:98 5.31158e-05 -33 *27610:A2 *5881:54 5.41794e-05 -34 *27610:A2 *5914:29 9.76435e-06 -35 *27610:A2 *5914:43 0.000181275 -36 *27699:A2 *5654:108 0.00141869 -37 *27724:A2 *5781:12 5.37709e-05 -38 *27747:A2 *27764:B1 0.000178786 -39 *27747:A2 *5649:220 1.01075e-05 -40 *27747:A2 *5663:155 0.000412845 -41 *27771:A2 *5862:44 0.000890267 -42 *27821:A2 *5716:133 3.18676e-05 -43 *27870:A2 *5762:163 7.13226e-06 -44 *27944:A2 *5646:195 6.86792e-05 -45 *5641:19 *5720:225 0.00104682 -46 *5641:23 *27048:A1 0.000148698 -47 *5641:23 *5720:225 0.000167875 -48 *5641:23 *5720:237 0.000289689 -49 *5641:38 *5657:232 0.000174261 -50 *5641:55 *5869:95 2.04825e-05 -51 *5641:70 *5845:25 0.00110828 -52 *5641:70 *5848:20 0.00053115 -53 *5641:78 *30783:A 4.51691e-05 -54 *5641:78 *5823:51 0.00112193 -55 *5641:78 *5890:46 0.000502198 -56 *5641:96 *5729:242 4.8581e-05 -57 *5641:96 *5799:12 0.0014003 -58 *5641:96 *5823:51 0 -59 *5641:111 *5715:95 0.000160489 -60 *5641:111 *5729:35 0.000978713 -61 *5641:111 *5833:175 0.000129194 -62 *5641:133 *5832:8 0.000383288 -63 *5641:162 *27077:A0 0.000683688 -64 *5641:162 *5649:243 0.000137139 -65 *5641:162 *5832:8 4.32957e-05 -66 *5641:180 *25342:B2 0.000233032 -67 *5641:180 *5708:17 0.000455199 -68 *5641:180 *5863:98 0.000178709 -69 *5641:180 *5881:54 8.40351e-06 -70 *5641:204 *5656:350 0.000185061 -71 *5641:204 *5669:278 0.00227853 -72 *5641:204 *5701:95 0.000112777 -73 *5641:204 *5781:12 4.00349e-05 -74 *5641:204 *5914:29 0.000954763 -75 *5641:211 *28505:D 0.00029121 -76 *5641:211 *28505:RESET_B 3.47717e-05 -77 *5641:211 *5669:296 0.000905026 -78 *5641:211 *5676:60 0.00114822 -79 *5641:211 *5716:133 3.02104e-05 -80 *5641:243 *5649:220 4.85755e-05 -81 *25199:A1 *27920:A2 0.000199569 -82 *25199:A1 *5641:123 0.000306317 -83 *25202:A2 *5641:162 0.000139202 -84 *25202:B1 *5641:162 0.000135028 -85 *25202:B2 *5641:162 0.0016425 -86 *25203:C1 *5641:162 9.66977e-05 -87 *25220:B1 *5641:55 0.00120002 -88 *25277:A1 *5641:23 0.000241451 -89 *25314:B1 *27747:A2 4.46186e-06 -90 *25314:B1 *5641:243 2.29088e-05 -91 *25315:A2 *5641:243 9.38524e-05 -92 *25329:A2 *27895:A2 1.15344e-05 -93 *25329:A2 *5641:78 2.68557e-05 -94 *25342:C1 *5641:180 0.000151192 -95 *26939:A1 *5641:180 0.00023785 -96 *27077:A1 *5641:162 0.000426985 -97 *27281:A1 *5641:123 0.000121549 -98 *27286:B2 *27944:A2 4.33002e-05 -99 *27349:A1 *5641:38 7.53238e-05 -100 *27349:A1 *5641:55 0.000114759 -101 *27600:B *5641:13 0.000633837 -102 *27610:B1 *27610:A2 0.000119205 -103 *27633:A *5641:13 0.000137561 -104 *27633:C *5641:13 5.36e-05 -105 *27679:A1 *27699:A2 5.49544e-05 -106 *27679:A2 *27699:A2 0.000237402 -107 *27679:B1 *27699:A2 0.000225756 -108 *27679:B2 *5641:243 9.48994e-05 -109 *27709:A *27699:A2 0.000120504 -110 *27710:B2 *5641:23 2.62977e-05 -111 *27711:B2 *5641:38 1.00926e-05 -112 *27747:A1 *27747:A2 0.000216755 -113 *27771:B1 *27771:A2 0.000399128 -114 *27821:B1 *27771:A2 5.10822e-05 -115 *27821:B2 *5641:211 1.21258e-05 -116 *27845:B1 *27845:A2 0.000240011 -117 *27845:B1 *5641:133 0.000390235 -118 *27870:A1 *5641:133 1.02504e-05 -119 *27870:A1 *5641:162 3.68406e-05 -120 *27870:B1 *5641:133 6.42338e-05 -121 *27946:A2 *5641:70 6.11225e-06 -122 *27946:C1 *5641:78 0.000595666 -123 *27955:D *5641:78 9.87186e-05 -124 *28478:CLK *5641:123 0.000600307 -125 *28628:CLK *27747:A2 7.49162e-06 -126 *28707:CLK *5641:180 4.22135e-06 -127 *28841:A *5641:70 0 -128 *29059:A *5641:96 0 -129 *29294:A *5641:204 0.00042994 -130 *29630:A *5641:211 0.000393521 -131 *29747:A *5641:180 0.000122341 -132 *29868:A *5641:211 0.000180355 -133 *30441:A *5641:180 3.38196e-06 -134 *1178:65 *5641:23 1.90936e-05 -135 *1178:105 *5641:38 0 -136 *1225:33 *5641:38 0.00157216 -137 *1228:30 *5641:55 0.000136541 -138 *1230:51 *5641:55 0 -139 *1230:51 *5641:70 0.000230639 -140 *1235:165 *5641:123 0.000921522 -141 *1242:230 *5641:23 4.58835e-05 -142 *1253:23 *5641:70 1.20849e-05 -143 *1262:19 *5641:70 1.97609e-05 -144 *1277:161 *5641:38 0.000265093 -145 *1293:35 *5641:70 1.70955e-05 -146 *1361:45 *5641:180 0.0017313 -147 *1361:54 *5641:180 0.00040311 -148 *1362:25 *5641:78 0.000269571 -149 *1362:28 *27895:A2 6.18564e-05 -150 *1405:73 *5641:96 0.000115606 -151 *1420:40 *5641:70 4.6607e-05 -152 *1435:14 *5641:78 3.53905e-05 -153 *1435:33 *5641:78 1.14166e-05 -154 *1464:56 *5641:96 0.000174261 -155 *1519:5 *27920:A2 0.000103348 -156 *1519:5 *5641:123 0.000959465 -157 *1527:25 *5641:23 1.92066e-05 -158 *1615:14 *5641:70 0.00175264 -159 *1650:16 *5641:38 0.000264504 -160 *1660:19 *5641:180 0.000144943 -161 *1698:25 *5641:162 0 -162 *1863:7 *27610:A2 9.60939e-05 -163 *1863:7 *5641:204 0.000103021 -164 *1894:10 *5641:111 5.71368e-05 -165 *1894:14 *5641:111 0.000207854 -166 *2761:80 *27747:A2 0.000289592 -167 *2761:80 *5641:243 7.77914e-05 -168 *2776:257 *5641:180 0.000106874 -169 *2850:177 *5641:19 0.00152813 -170 *2850:179 *5641:23 0.00132656 -171 *2851:24 *5641:70 0 -172 *2852:28 *5641:38 0.000932491 -173 *2879:27 *5641:19 9.41642e-05 -174 *2880:178 *5641:38 0 -175 *2886:241 *27683:A2 8.80543e-05 -176 *2889:11 *5641:19 6.43424e-05 -177 *2889:22 *5641:23 0.000640419 -178 *2894:210 *5641:23 2.63501e-05 -179 *2933:12 *27699:A2 0.000194684 -180 *2938:17 *5641:19 0.00218518 -181 *3149:23 *5641:13 0.000181658 -182 *3149:41 *5641:13 4.22431e-05 -183 *3162:8 *5641:162 4.53834e-05 -184 *3164:346 *27747:A2 0.000346899 -185 *3178:139 *5641:19 4.87854e-05 -186 *3179:186 *5641:162 6.39829e-05 -187 *3184:95 *5641:38 0.00107369 -188 *3185:15 *5641:13 0.000422728 -189 *3209:21 *5641:13 0.000968587 -190 *3215:44 *5641:23 0.000303564 -191 *3215:254 *27944:A2 4.33002e-05 -192 *3230:6 *27747:A2 0.000303975 -193 *3246:17 *5641:23 7.6644e-05 -194 *3273:10 *5641:204 7.69776e-06 -195 *3273:10 *5641:211 9.60939e-05 -196 *3413:16 *27870:A2 7.13226e-06 -197 *3608:21 *5641:211 0.000450999 -198 *3655:10 *5641:96 0.000301016 -199 *3659:14 *5641:78 0.000176806 -200 *3659:14 *5641:102 0.00233332 -201 *3686:50 *5641:165 9.69882e-05 -202 *3686:50 *5641:243 9.04651e-06 -203 *3691:8 *5641:204 3.09986e-05 -204 *3706:44 *5641:70 2.01997e-05 -205 *3762:17 *5641:96 1.80874e-05 -206 *3766:25 *5641:102 0.000145943 -207 *3766:40 *5641:96 0.000177473 -208 *3766:53 *5641:78 0 -209 *3775:79 *5641:111 0.000522776 -210 *3809:32 *5641:123 0.000353878 -211 *3809:36 *5641:123 0.00089436 -212 *3820:91 *5641:38 0.000111921 -213 *3846:11 *27699:A2 0.000247716 -214 *3846:33 *5641:243 1.90936e-05 -215 *3849:69 *5641:70 4.31921e-05 -216 *3872:79 *5641:38 7.36162e-05 -217 *3872:79 *5641:55 0.00012401 -218 *3875:93 *5641:70 1.42931e-05 -219 *3899:54 *5641:23 0.000133412 -220 *3900:99 *5641:204 9.75577e-05 -221 *3912:66 *5641:38 2.5206e-05 -222 *3938:38 *5641:19 0.000228632 -223 *3938:40 *5641:19 5.97552e-05 -224 *3938:40 *5641:23 0 -225 *3938:50 *5641:23 0.0014114 -226 *3951:20 *27699:A2 3.91517e-05 -227 *3978:66 *5641:38 0.000122341 -228 *4013:18 *5641:78 0.000923033 -229 *4013:25 *5641:78 4.23791e-05 -230 *4017:56 *27699:A2 0.000251509 -231 *4017:56 *5641:243 0.000443137 -232 *4025:31 *5641:96 0.0012882 -233 *4026:26 *5641:78 0.00200321 -234 *4035:33 *27895:A2 0.00010705 -235 *4035:33 *5641:78 1.19893e-05 -236 *4035:33 *5641:96 0.000107751 -237 *4039:39 *27845:A2 0.000237478 -238 *4039:39 *5641:133 0.000378557 -239 *4048:32 *5641:133 3.14078e-05 -240 *4065:53 *5641:70 0.00161737 -241 *4076:87 *5641:70 1.06027e-05 -242 *4082:6 *27724:A2 1.55475e-05 -243 *4082:13 *27724:A2 9.60337e-06 -244 *4082:13 *5641:204 0.000118656 -245 *4086:5 *5641:211 7.49615e-05 -246 *4115:10 *5641:162 4.33002e-05 -247 *4128:20 *5641:133 1.2769e-05 -248 *4132:33 *5641:180 0 -249 *4135:18 *5641:162 6.56976e-05 -250 *4141:49 *5641:70 0 -251 *5196:8 *27895:A2 0.00014201 -252 *5196:8 *5641:111 4.56587e-05 -253 *5196:25 *27895:A2 1.4477e-06 -254 *5457:22 *5641:96 0.0010369 -255 *5457:22 *5641:102 0.00233251 -256 *5458:40 *5641:102 0.000370852 -257 *5535:25 *5641:13 0.000126856 -258 *5582:103 *5641:165 6.42122e-06 -259 *5582:103 *5641:243 0.00101769 -260 *5582:113 *5641:162 0.000341556 -261 *5590:14 *5641:70 0.00011903 -262 *5590:47 *5641:70 0.000172692 -263 *5590:161 *5641:204 0 -264 *5590:165 *27724:A2 6.057e-07 -265 *5590:165 *5641:204 6.87834e-06 -266 *5593:33 *5641:180 0.000568257 -267 *5593:36 *5641:180 0.000214494 -268 *5600:61 *5641:123 0.000105258 -269 *5601:11 *5641:55 0.00099879 -270 *5613:22 *27944:A2 0 -271 *5613:22 *5641:96 0.00117271 -272 *5613:22 *5641:102 0 -273 *5614:60 *5641:78 0.000131473 -274 *5629:217 *5641:204 0 -275 *5631:113 *5641:211 0.000549576 -276 *5638:136 *5641:180 0.000145472 -277 *5639:229 *5641:180 0.000135757 -278 *5640:35 *27683:A2 0.000239721 -279 *5640:35 *5641:38 0.000284759 -280 *5640:53 *5641:55 1.53785e-05 -281 *5640:53 *5641:70 0.00135105 -282 *5640:63 *5641:70 0.00113058 -283 *5640:90 *27895:A2 1.55638e-05 -284 *5640:98 *5641:96 0.000181036 -285 *5640:145 *5641:133 2.94103e-05 -286 *5640:176 *5641:165 0.000220555 -287 *5640:176 *5641:243 2.17065e-05 -288 *5640:238 *5641:180 0.000657905 -289 *5640:264 *5641:204 0.000178847 -290 *5640:285 *27724:A2 1.46576e-05 -291 *5640:285 *5641:211 3.73489e-05 -*RES -1 *30803:X *5641:13 41.6036 -2 *5641:13 *5641:19 44.6786 -3 *5641:19 *5641:23 49.074 -4 *5641:23 *5641:38 35.6178 -5 *5641:38 *27683:A2 16.1839 -6 *5641:38 *5641:55 47.7232 -7 *5641:55 *5641:70 26.1765 -8 *5641:70 *5641:78 44.3916 -9 *5641:78 *5641:96 48.5874 -10 *5641:96 *5641:102 12.4647 -11 *5641:102 *5641:111 23.7225 -12 *5641:111 *27920:A2 11.2464 -13 *5641:111 *5641:123 39.5714 -14 *5641:123 *27845:A2 11.6571 -15 *5641:123 *5641:133 13.3036 -16 *5641:133 *27870:A2 13.9473 -17 *5641:133 *5641:162 47.5411 -18 *5641:162 *5641:165 3.7813 -19 *5641:165 *5641:180 38.6888 -20 *5641:180 *27610:A2 21.5589 -21 *5641:180 *5641:204 42.0475 -22 *5641:204 *5641:211 45.4286 -23 *5641:211 *27821:A2 10.8714 -24 *5641:211 *27771:A2 30.4964 -25 *5641:204 *27724:A2 14.6795 -26 *5641:165 *5641:243 7.24878 -27 *5641:243 *27747:A2 27.3357 -28 *5641:243 *27699:A2 44.0232 -29 *5641:102 *27944:A2 20.9962 -30 *5641:78 *27895:A2 22.8964 -*END - -*D_NET *5642 0.118893 -*CONN -*I *27797:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27785:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27727:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27702:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27762:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27665:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27836:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *30804:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *27797:B1 0 -2 *27785:B1 0.000346111 -3 *27727:B1 0.00078819 -4 *27702:B1 9.85409e-05 -5 *27762:B1 0 -6 *27665:B1 0.000267853 -7 *27836:B1 0.000321181 -8 *30804:X 0.00108914 -9 *5642:172 0.00141249 -10 *5642:158 0.00325493 -11 *5642:151 0.00304614 -12 *5642:144 0.00225299 -13 *5642:141 0.0043946 -14 *5642:126 0.00542103 -15 *5642:96 0.00382484 -16 *5642:95 0.0014824 -17 *5642:82 0.00279711 -18 *5642:80 0.00310014 -19 *5642:71 0.00211905 -20 *5642:57 0.00224418 -21 *5642:35 0.00127617 -22 *5642:34 0.00120163 -23 *5642:27 0.00219625 -24 *5642:13 0.00226591 -25 *27702:B1 *5645:136 4.28365e-05 -26 *27702:B1 *5646:232 0.000186731 -27 *27702:B1 *5873:304 3.17148e-05 -28 *27727:B1 *25190:A1 7.70113e-05 -29 *27727:B1 *5873:289 0.00113653 -30 *27785:B1 *27785:A2 1.73088e-05 -31 *27836:B1 *27836:A2 4.10843e-05 -32 *27836:B1 *5676:110 0.000197758 -33 *27836:B1 *5892:40 0.000100413 -34 *5642:27 *5660:23 0 -35 *5642:27 *5753:32 0.00132956 -36 *5642:34 *5645:39 9.96717e-06 -37 *5642:34 *5645:47 0.00149978 -38 *5642:34 *5660:23 0.000317967 -39 *5642:35 *27797:A2 5.33005e-05 -40 *5642:57 *5645:47 3.12359e-05 -41 *5642:57 *5645:71 7.70035e-05 -42 *5642:57 *5675:79 9.73548e-05 -43 *5642:57 *5863:163 0.000284255 -44 *5642:71 *5847:74 6.14836e-06 -45 *5642:80 *5662:43 0.00235231 -46 *5642:80 *5863:163 0 -47 *5642:82 *5656:240 1.03797e-05 -48 *5642:82 *5656:261 0.000940148 -49 *5642:82 *5662:43 0.00143055 -50 *5642:82 *5863:163 0 -51 *5642:82 *5872:19 0.000256189 -52 *5642:82 *5892:57 0.000277255 -53 *5642:82 *5910:114 3.94002e-05 -54 *5642:82 *5910:122 0.000179859 -55 *5642:95 *27470:A2 7.46626e-05 -56 *5642:95 *5656:275 9.23784e-06 -57 *5642:95 *5662:76 0.000183705 -58 *5642:95 *5863:163 5.35998e-05 -59 *5642:95 *5892:40 2.89791e-06 -60 *5642:95 *5892:57 2.63501e-05 -61 *5642:96 *5910:107 0.000144894 -62 *5642:126 *29046:A 0.000110632 -63 *5642:126 *5651:321 0 -64 *5642:126 *5656:310 0.00049961 -65 *5642:126 *5662:97 0.00190671 -66 *5642:126 *5662:111 0.000589532 -67 *5642:126 *5863:161 0.000830291 -68 *5642:141 *5656:310 0.00224771 -69 *5642:141 *5662:111 0.0023109 -70 *5642:144 *5873:304 0.000854703 -71 *5642:151 *27122:S 9.60875e-05 -72 *5642:151 *5873:304 5.33005e-05 -73 *5642:158 *27104:S 0.00112311 -74 *5642:158 *27762:A2 5.49489e-05 -75 *5642:158 *5873:321 0.000163564 -76 *5642:172 *27104:S 9.04678e-05 -77 *5642:172 *5688:123 0.00034188 -78 *5642:172 *5688:125 0.000510636 -79 *24970:B1 *5642:82 2.11419e-05 -80 *24970:B2 *5642:82 1.80199e-05 -81 *24972:B1 *5642:13 0.000121573 -82 *24990:B1 *5642:80 0.0011915 -83 *24992:B2 *5642:82 0.000519379 -84 *24992:C1 *5642:82 0.00026642 -85 *24995:A *5642:80 0.00102291 -86 *24997:A *5642:13 0.000943904 -87 *25023:A2 *5642:27 0.000119895 -88 *25029:C1 *5642:71 0.000419979 -89 *25083:A1 *5642:158 0.000234769 -90 *25083:B1 *5642:158 0.000175892 -91 *25083:B2 *5642:158 6.07649e-05 -92 *25148:C *5642:158 4.98323e-05 -93 *25148:D *5642:158 5.49544e-05 -94 *25242:A1 *5642:151 0.000430114 -95 *27104:A1 *5642:172 0.00031527 -96 *27175:A1 *5642:71 0.000339186 -97 *27378:B2 *5642:144 0.000244658 -98 *27424:A1 *5642:126 0 -99 *27441:A1 *5642:35 4.83923e-05 -100 *27664:A *5642:13 0.00030926 -101 *27666:B1 *27665:B1 0.000980918 -102 *27727:B2 *27727:B1 0.000191284 -103 *27762:A1 *5642:158 0.000154518 -104 *27762:B2 *5642:172 0.000456636 -105 *27796:A1 *5642:35 9.41642e-05 -106 *27797:A1 *5642:35 6.05161e-06 -107 *27797:B2 *5642:35 1.28809e-05 -108 *27797:C1 *5642:34 3.10819e-05 -109 *27797:C1 *5642:35 0.000220935 -110 *27798:A1 *27836:B1 0.000357814 -111 *27798:B2 *5642:126 3.93545e-05 -112 *27807:C1 *5642:27 0.000177815 -113 *27836:B2 *27836:B1 0.000139215 -114 *27839:A2 *5642:82 0 -115 *28588:D *5642:144 0.000123471 -116 *28588:D *5642:151 0.000218409 -117 *28631:D *5642:141 0.000172156 -118 *28686:CLK *5642:158 4.1518e-05 -119 *29781:A *27836:B1 9.58126e-05 -120 *30190:A *5642:172 9.41642e-05 -121 *30232:A *5642:141 9.41642e-05 -122 *30365:A *5642:151 0.000306927 -123 *30484:A *5642:27 0 -124 *30865:A *5642:57 0.000360819 -125 *1261:64 *5642:82 0.000222554 -126 *1261:101 *5642:82 4.30573e-05 -127 *1288:241 *5642:82 0 -128 *1304:40 *5642:27 0.000503492 -129 *1304:40 *5642:34 0.00321122 -130 *1317:30 *5642:57 0 -131 *1317:30 *5642:80 0.000129459 -132 *1318:14 *5642:82 4.26411e-05 -133 *1320:15 *5642:80 9.41642e-05 -134 *1322:12 *5642:13 0.000123288 -135 *1323:5 *5642:13 0.000178847 -136 *1334:11 *5642:95 0.000344413 -137 *1372:12 *27785:B1 2.11419e-05 -138 *1519:5 *5642:172 0.000298483 -139 *1826:178 *5642:141 0.000159286 -140 *2760:157 *5642:141 0.000103363 -141 *2767:62 *5642:95 0.000139187 -142 *2773:8 *5642:71 0.000655733 -143 *2773:94 *27727:B1 7.49387e-06 -144 *2774:76 *5642:151 0.000213089 -145 *2774:180 *5642:82 0.00111443 -146 *2785:10 *5642:141 0.000157194 -147 *2832:27 *5642:13 7.12246e-05 -148 *2832:38 *5642:13 4.43256e-05 -149 *2864:293 *27727:B1 0.00202688 -150 *2864:293 *5642:141 0.000536777 -151 *2879:127 *5642:151 0.000180153 -152 *2882:282 *5642:144 0.0019478 -153 *2891:288 *5642:144 7.48301e-06 -154 *2892:305 *5642:172 0.000901961 -155 *3164:249 *5642:57 3.69047e-06 -156 *3165:99 *27727:B1 5.52238e-05 -157 *3174:261 *5642:141 0.000931666 -158 *3179:66 *5642:34 0.000181963 -159 *3206:249 *5642:126 0.000161619 -160 *3216:9 *5642:13 1.74352e-05 -161 *3220:18 *5642:172 0.000900268 -162 *3241:10 *5642:151 0.000339346 -163 *3385:11 *5642:96 0.00162211 -164 *3413:16 *27665:B1 0.00015862 -165 *3593:51 *5642:172 0.000175892 -166 *3682:50 *5642:34 8.0874e-05 -167 *3719:40 *5642:158 0.00113094 -168 *3720:28 *27785:B1 4.58194e-05 -169 *3771:22 *5642:172 0.000151394 -170 *3786:25 *5642:126 0.000408199 -171 *3787:18 *5642:13 0.00026353 -172 *3800:69 *5642:126 0.000154703 -173 *3824:12 *5642:141 0.000102327 -174 *3824:38 *5642:141 0.000135028 -175 *3836:21 *5642:158 0.00017517 -176 *3876:16 *5642:126 1.88878e-05 -177 *3876:17 *5642:126 0 -178 *3876:38 *5642:126 0.000162016 -179 *3877:26 *5642:34 0.00163733 -180 *3886:79 *27702:B1 4.92175e-05 -181 *3889:62 *5642:71 0.00157504 -182 *3890:47 *5642:57 0.000141621 -183 *3890:47 *5642:71 0.000129097 -184 *3891:58 *27836:B1 1.6271e-05 -185 *3891:65 *27836:B1 2.30245e-05 -186 *3898:35 *5642:151 5.74499e-06 -187 *3902:32 *27785:B1 1.94879e-05 -188 *3904:25 *5642:13 0.00140334 -189 *3920:40 *27665:B1 0.000982632 -190 *3952:35 *5642:27 0.000175892 -191 *3978:49 *5642:144 0.000299562 -192 *3982:56 *5642:57 9.76123e-05 -193 *3993:14 *5642:126 0.00221294 -194 *3994:13 *5642:27 0.000385508 -195 *3994:27 *5642:27 2.72449e-05 -196 *3995:44 *5642:80 5.62613e-05 -197 *3995:44 *5642:96 0.00241643 -198 *3995:44 *5642:126 0.000293272 -199 *4007:6 *5642:57 0.000543176 -200 *4025:60 *5642:172 0.000135014 -201 *4095:57 *27727:B1 0.000665141 -202 *4095:57 *5642:141 0.000535069 -203 *4108:36 *5642:126 5.41797e-06 -204 *4119:13 *5642:151 7.95355e-05 -205 *4119:24 *5642:151 1.98839e-05 -206 *4125:24 *5642:126 0 -207 *5492:22 *5642:57 0.000541461 -208 *5584:250 *5642:151 0.000649263 -209 *5601:128 *5642:80 0.000273018 -210 *5603:17 *5642:95 3.03007e-05 -211 *5604:91 *5642:82 1.98071e-05 -212 *5627:103 *5642:151 1.26641e-05 -213 *5628:66 *5642:71 0.000170908 -214 *5628:98 *5642:96 0.000770204 -215 *5628:98 *5642:126 0.00029129 -216 *5628:121 *5642:126 3.80527e-05 -217 *5628:121 *5642:141 2.05415e-05 -218 *5628:150 *5642:151 0.000710075 -219 *5630:217 *5642:126 1.15211e-05 -220 *5633:97 *5642:144 0.000752862 -221 *5639:147 *5642:95 3.18e-05 -*RES -1 *30804:X *5642:13 49.7464 -2 *5642:13 *5642:27 38.7321 -3 *5642:27 *5642:34 47.1786 -4 *5642:34 *5642:35 3.82143 -5 *5642:35 *5642:57 46.3649 -6 *5642:57 *5642:71 34.9202 -7 *5642:71 *5642:80 43.3214 -8 *5642:80 *5642:82 55.375 -9 *5642:82 *5642:95 23.0665 -10 *5642:95 *5642:96 31.3929 -11 *5642:96 *27836:B1 30.8357 -12 *5642:96 *5642:126 46.6235 -13 *5642:126 *5642:141 47.5897 -14 *5642:141 *5642:144 33.7857 -15 *5642:144 *5642:151 31.6964 -16 *5642:151 *5642:158 34.875 -17 *5642:158 *5642:172 44.0357 -18 *5642:172 *27665:B1 26.3714 -19 *5642:158 *27762:B1 9.3 -20 *5642:144 *27702:B1 20.8536 -21 *5642:141 *27727:B1 41.9964 -22 *5642:126 *27785:B1 22.1475 -23 *5642:35 *27797:B1 9.3 -*END - -*D_NET *5643 0.193407 -*CONN -*I *27839:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27661:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27765:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27788:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27737:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27689:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *6546:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27953:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27700:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *30805:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *27839:B1 0.000104164 -2 *27661:B1 0.000560614 -3 *27765:B1 0 -4 *27788:B1 9.81523e-05 -5 *27737:B1 1.50673e-05 -6 *27689:B1 7.39892e-05 -7 *6546:DIODE 0.000202427 -8 *27953:B1 0 -9 *27700:B1 0 -10 *30805:X 0.000971264 -11 *5643:316 0.00118693 -12 *5643:303 0.00332184 -13 *5643:298 0.00383061 -14 *5643:282 0.00157714 -15 *5643:269 0.00152757 -16 *5643:253 0.000609956 -17 *5643:250 0.00112298 -18 *5643:237 0.00201288 -19 *5643:227 0.00184396 -20 *5643:221 0.00312588 -21 *5643:203 0.00233252 -22 *5643:192 0.00409658 -23 *5643:181 0.00246032 -24 *5643:166 0.00136815 -25 *5643:160 0.00214944 -26 *5643:148 0.00303429 -27 *5643:122 0.00230034 -28 *5643:119 0.00407092 -29 *5643:92 0.000941862 -30 *5643:84 0.00431041 -31 *5643:80 0.00414987 -32 *5643:71 0.0033964 -33 *5643:66 0.00267828 -34 *5643:58 0.0030461 -35 *5643:47 0.00358398 -36 *5643:42 2.07973e-05 -37 *5643:40 0.00206865 -38 *5643:39 0.00352154 -39 *5643:32 0.00276781 -40 *5643:9 0.00228619 -41 *27661:B1 *5646:259 0.00179133 -42 *27689:B1 *5652:12 0.000146474 -43 *5643:32 *27291:A 8.84757e-05 -44 *5643:32 *5655:16 0.000146276 -45 *5643:32 *5662:8 9.3812e-05 -46 *5643:32 *5717:50 8.63786e-05 -47 *5643:32 *5717:130 0.000212861 -48 *5643:32 *5981:45 0 -49 *5643:32 *6304:24 0.000413324 -50 *5643:32 *6318:32 0.000740129 -51 *5643:39 *27207:A0 0 -52 *5643:39 *27207:A1 2.18103e-05 -53 *5643:39 *5653:19 0.000239762 -54 *5643:39 *5863:195 0.000148196 -55 *5643:40 *5651:129 1.17921e-05 -56 *5643:40 *5694:80 5.23496e-05 -57 *5643:40 *5754:34 1.81805e-05 -58 *5643:40 *6351:32 4.56537e-05 -59 *5643:58 *26876:A0 2.47753e-05 -60 *5643:58 *26876:A1 6.13757e-06 -61 *5643:58 *5644:186 0.000527304 -62 *5643:58 *5707:80 9.33978e-07 -63 *5643:66 *5644:186 0.000207074 -64 *5643:66 *5644:205 0.000252206 -65 *5643:66 *5700:50 0.000130975 -66 *5643:71 *5656:116 0.00121648 -67 *5643:80 *6247:36 2.11068e-05 -68 *5643:84 *27953:A2 0.00117347 -69 *5643:92 *27953:A2 0.000254697 -70 *5643:92 *5729:295 0.0012597 -71 *5643:119 *6247:36 1.26641e-05 -72 *5643:122 *5663:87 0.00157624 -73 *5643:148 *5645:104 8.8087e-05 -74 *5643:148 *5649:128 8.01895e-05 -75 *5643:148 *5663:81 0.000498838 -76 *5643:148 *5663:87 0.00116401 -77 *5643:160 *5654:86 0.000991641 -78 *5643:160 *5654:88 0 -79 *5643:160 *5781:42 0.000203343 -80 *5643:166 *5862:14 2.42516e-05 -81 *5643:181 *5862:14 7.32099e-05 -82 *5643:192 *28703:RESET_B 0.000372045 -83 *5643:192 *5656:294 0.000136682 -84 *5643:192 *5683:223 0.000673668 -85 *5643:192 *5862:23 0.000422119 -86 *5643:203 *28575:RESET_B 0.000457761 -87 *5643:203 *5652:184 0.000844881 -88 *5643:203 *5682:197 0.000429472 -89 *5643:203 *5767:201 6.72288e-05 -90 *5643:227 *5709:182 1.4487e-05 -91 *5643:250 *27748:B1 0.00045379 -92 *5643:250 *5652:61 0.00105776 -93 *5643:269 *5649:243 0.000105471 -94 *5643:298 *28708:D 1.22751e-05 -95 *5643:303 *28485:RESET_B 0.000210293 -96 *5643:303 *5660:123 0.00216796 -97 *5643:316 *5645:72 0.00015508 -98 *5643:316 *5652:109 0.000652222 -99 *5643:316 *5652:128 0.000286844 -100 *5643:316 *5663:48 0.000180073 -101 *5643:316 *5675:34 0 -102 *5643:316 *5863:163 1.13346e-05 -103 *5643:316 *5910:123 0.000262679 -104 *6604:DIODE *5643:119 8.39889e-05 -105 *6618:DIODE *5643:227 0.000136676 -106 *24944:B *5643:80 0.000505203 -107 *25111:A1 *5643:227 0.000175831 -108 *25176:A2 *5643:40 0.00100919 -109 *25197:C *5643:160 0.000376185 -110 *25235:A2 *5643:221 0.000104587 -111 *25292:A2 *5643:80 8.51168e-05 -112 *25332:A1 *5643:66 0.000101545 -113 *25340:B1 *5643:221 0.00102827 -114 *25345:B *5643:119 5.49489e-05 -115 *25345:D *5643:119 0.000362328 -116 *25347:A *5643:84 0.0013037 -117 *25366:A2 *5643:250 9.58181e-05 -118 *25384:C *5643:282 0.00146534 -119 *26865:A *5643:282 0.000304423 -120 *26876:S *5643:47 1.00733e-05 -121 *26876:S *5643:58 0.000429964 -122 *27173:A1 *5643:227 1.98839e-05 -123 *27173:S *5643:227 5.33005e-05 -124 *27288:C *5643:39 1.66752e-05 -125 *27295:B *5643:9 0.000304387 -126 *27311:B1 *5643:221 0.000169492 -127 *27314:C *5643:39 2.99028e-05 -128 *27317:B *5643:39 0.000155387 -129 *27326:C *5643:39 0.00030924 -130 *27386:A2 *5643:160 0.000269492 -131 *27409:A *5643:269 0.000128518 -132 *27435:B1 *5643:192 0.000263108 -133 *27473:A1 *5643:303 0.000149129 -134 *27473:A2 *5643:303 0.000114596 -135 *27473:B2 *5643:303 2.14166e-05 -136 *27473:C1 *5643:303 0.000139631 -137 *27479:B2 *5643:316 0.000166563 -138 *27661:A1 *27661:B1 1.39841e-05 -139 *27667:B1 *27661:B1 0.000178847 -140 *27689:C1 *27689:B1 5.33005e-05 -141 *27700:A1 *5643:298 1.98839e-05 -142 *27700:A2 *5643:298 0.000175232 -143 *27700:B2 *5643:58 0.000590812 -144 *27716:A1 *5643:40 0.000187963 -145 *27717:A1 *5643:40 6.8194e-05 -146 *27717:A2 *5643:40 7.62361e-05 -147 *27718:D *5643:40 3.76295e-05 -148 *27737:A1 *27737:B1 3.48152e-05 -149 *27737:A1 *5643:181 0.000100384 -150 *27737:B2 *5643:166 0.000219711 -151 *27754:B2 *5643:237 0.000400288 -152 *27754:C1 *5643:237 5.51406e-05 -153 *27765:A1 *5643:253 9.16387e-05 -154 *27765:A1 *5643:269 2.23592e-05 -155 *27765:A2 *5643:269 0.000154291 -156 *27765:B2 *5643:237 0.000169671 -157 *27765:B2 *5643:253 2.84269e-05 -158 *27766:D *5643:253 0.000139632 -159 *27766:D *5643:269 0.000178425 -160 *27784:B2 *5643:203 4.58325e-05 -161 *27788:B2 *27788:B1 1.28809e-05 -162 *27788:C1 *27788:B1 0.000219711 -163 *27790:A1 *5643:203 9.61478e-05 -164 *27824:B2 *5643:316 0.000757469 -165 *27826:A1 *5643:303 0.00146566 -166 *27832:B2 *5643:203 6.09762e-05 -167 *27839:B2 *27839:B1 0.000152591 -168 *27839:C1 *5643:316 0.000144001 -169 *27946:B2 *5643:84 2.04825e-05 -170 *27948:B1 *5643:84 3.47641e-06 -171 *27953:A1 *6546:DIODE 2.67489e-05 -172 *27953:B2 *6546:DIODE 0.000140794 -173 *27956:C *5643:84 9.11541e-06 -174 *28550:CLK *5643:282 9.41642e-05 -175 *28679:D *5643:203 8.6229e-06 -176 *28708:CLK *5643:298 0.000217022 -177 *28731:D *5643:237 0.000151141 -178 *28924:A *5643:148 0.000511239 -179 *29089:A *27788:B1 5.71758e-05 -180 *29089:A *5643:203 5.32956e-05 -181 *29314:A *5643:203 2.63501e-05 -182 *30818:A *5643:32 1.59604e-05 -183 *890:19 *27788:B1 0.000344835 -184 *1178:36 *5643:32 5.8e-05 -185 *1178:36 *5643:39 0.00265115 -186 *1178:48 *5643:40 0.00111349 -187 *1178:59 *5643:40 1.90936e-05 -188 *1178:105 *5643:40 0.000143652 -189 *1235:116 *5643:221 0.000331721 -190 *1242:104 *5643:148 0.000218257 -191 *1250:234 *5643:303 0.000892241 -192 *1252:146 *5643:298 6.09764e-05 -193 *1252:232 *5643:32 1.90936e-05 -194 *1252:233 *5643:9 0.00344357 -195 *1270:22 *5643:80 0.0010884 -196 *1271:211 *5643:181 4.32957e-05 -197 *1271:221 *5643:192 0.00207865 -198 *1274:77 *5643:221 0.000193352 -199 *1276:76 *5643:227 0.000279788 -200 *1279:105 *5643:119 0.000713881 -201 *1304:28 *5643:316 0.00109046 -202 *1361:29 *5643:119 8.77613e-05 -203 *1371:51 *5643:192 0 -204 *1435:33 *5643:92 0.000170263 -205 *1476:212 *5643:92 8.9591e-05 -206 *1487:18 *5643:84 0.000290988 -207 *1490:35 *5643:39 0.000332308 -208 *1494:5 *5643:148 3.97677e-05 -209 *1494:22 *27689:B1 0.00014699 -210 *1494:22 *5643:221 0 -211 *1507:25 *27689:B1 1.92789e-05 -212 *1509:16 *5643:237 9.41642e-05 -213 *1510:8 *5643:166 0.00141904 -214 *1514:18 *5643:160 0.000533785 -215 *1514:18 *5643:166 0.00141099 -216 *1651:14 *5643:58 0.000193833 -217 *1655:9 *5643:119 8.80543e-05 -218 *1657:76 *5643:119 0.000127446 -219 *1657:76 *5643:221 6.956e-05 -220 *1663:16 *5643:119 1.57523e-05 -221 *1665:20 *5643:84 0.000372352 -222 *1665:28 *5643:92 9.47029e-05 -223 *1698:8 *5643:237 0.000361623 -224 *1826:26 *5643:9 0.00030926 -225 *1826:154 *5643:160 0.00179623 -226 *1826:170 *5643:160 0.000519335 -227 *1834:49 *5643:269 9.04937e-05 -228 *2754:14 *5643:39 0.000782645 -229 *2758:105 *5643:40 2.06178e-05 -230 *2758:125 *5643:40 9.54798e-06 -231 *2768:50 *5643:66 0.00126664 -232 *2770:97 *5643:203 2.16755e-05 -233 *2773:139 *5643:282 0.000145411 -234 *2781:162 *5643:160 9.10458e-06 -235 *2782:106 *5643:40 0.000469939 -236 *2782:116 *5643:40 0.000462307 -237 *2813:72 *5643:39 4.59234e-05 -238 *2815:59 *5643:32 0.000128104 -239 *2820:61 *5643:39 6.58002e-05 -240 *2842:41 *5643:39 6.88463e-06 -241 *2842:43 *5643:39 5.90255e-05 -242 *2844:140 *5643:32 0.000528743 -243 *2844:140 *5643:39 2.02858e-05 -244 *2844:318 *5643:237 9.41642e-05 -245 *2849:66 *5643:32 1.0267e-05 -246 *2855:311 *5643:316 8.55222e-06 -247 *2856:8 *5643:32 8.72869e-05 -248 *2858:27 *5643:32 1.437e-05 -249 *2858:36 *5643:32 0.000457215 -250 *2864:156 *5643:303 4.22431e-05 -251 *2865:9 *5643:9 2.59355e-05 -252 *2865:31 *5643:9 0.000126691 -253 *2872:299 *5643:237 0.00159813 -254 *2874:190 *5643:298 9.8958e-05 -255 *2874:212 *5643:66 6.86693e-05 -256 *2879:27 *5643:40 4.11218e-05 -257 *2882:185 *5643:303 0.000301438 -258 *2882:246 *5643:237 0.000197779 -259 *2883:15 *5643:39 5.90443e-06 -260 *2886:241 *5643:66 0.000727085 -261 *2889:151 *5643:40 0.000201444 -262 *2913:8 *5643:66 0.00125353 -263 *2943:11 *5643:181 0.000246064 -264 *2964:15 *5643:250 0.000820296 -265 *3031:10 *5643:303 0.000302665 -266 *3153:10 *5643:39 7.40526e-05 -267 *3153:164 *5643:298 0.000149594 -268 *3153:165 *5643:298 4.93223e-05 -269 *3156:41 *5643:32 0.000332204 -270 *3162:34 *5643:221 0.000140644 -271 *3164:258 *5643:316 4.13215e-05 -272 *3164:267 *5643:316 0.000147837 -273 *3165:74 *5643:192 2.04825e-05 -274 *3176:49 *5643:40 4.09607e-05 -275 *3176:257 *5643:160 0.00013921 -276 *3178:13 *5643:9 6.87574e-05 -277 *3178:29 *5643:9 1.98839e-05 -278 *3179:67 *5643:316 0 -279 *3179:186 *5643:269 0.000304843 -280 *3179:205 *5643:282 0.00112055 -281 *3182:27 *5643:40 0.000689734 -282 *3183:169 *5643:237 0.000585529 -283 *3197:74 *5643:80 0.000416577 -284 *3205:189 *5643:40 0.000199315 -285 *3205:195 *5643:40 0.000306415 -286 *3206:306 *27661:B1 0.00119858 -287 *3212:11 *5643:9 0.000209197 -288 *3212:19 *5643:9 0.00146403 -289 *3212:21 *5643:9 0.000180595 -290 *3216:203 *5643:80 0.000122804 -291 *3233:26 *5643:66 0.00116499 -292 *3250:17 *5643:58 0.00046847 -293 *3250:17 *5643:298 0.00017754 -294 *3314:26 *5643:221 0.000333546 -295 *3335:14 *5643:203 0.000853126 -296 *3338:8 *5643:203 0.00026728 -297 *3538:16 *5643:148 0.000520844 -298 *3590:62 *5643:282 0.000216304 -299 *3623:12 *5643:148 0.00191243 -300 *3623:52 *5643:148 8.17274e-05 -301 *3678:82 *5643:122 0.0008352 -302 *3678:82 *5643:148 0.000755548 -303 *3679:29 *5643:192 0.000562839 -304 *3679:33 *5643:192 0.00178753 -305 *3680:19 *5643:282 0.000182432 -306 *3680:32 *5643:269 7.45992e-05 -307 *3686:67 *5643:250 0.000135028 -308 *3696:12 *5643:316 2.42516e-05 -309 *3703:35 *5643:66 0.000135028 -310 *3706:22 *5643:282 0.000222413 -311 *3706:24 *5643:282 0.000551959 -312 *3706:35 *5643:227 0.00247541 -313 *3748:38 *5643:303 0.000159067 -314 *3758:43 *5643:80 5.86416e-05 -315 *3761:18 *27839:B1 0.000349458 -316 *3765:30 *5643:92 8.77613e-05 -317 *3768:22 *5643:119 0.000315507 -318 *3809:32 *5643:250 9.41642e-05 -319 *3822:32 *5643:148 0.000522901 -320 *3835:73 *5643:66 2.04825e-05 -321 *3848:40 *5643:40 0.000900981 -322 *3849:48 *5643:237 1.74766e-05 -323 *3849:50 *5643:227 0.00248016 -324 *3872:86 *5643:66 5.06883e-05 -325 *3876:38 *5643:203 0.00248641 -326 *3887:60 *5643:148 3.68027e-05 -327 *3891:66 *5643:316 2.35995e-05 -328 *3900:60 *5643:40 0.000168781 -329 *3902:9 *5643:203 0.000639769 -330 *3963:93 *5643:66 0.000156202 -331 *3982:74 *5643:316 4.18429e-05 -332 *3995:58 *5643:203 4.36481e-05 -333 *3995:62 *5643:203 0.000243583 -334 *4005:12 *5643:237 1.81709e-05 -335 *4015:37 *5643:58 0.000241127 -336 *4015:37 *5643:66 0.000208001 -337 *4070:39 *5643:250 0.000191015 -338 *4070:44 *5643:237 0.00167807 -339 *4070:44 *5643:250 0.0013374 -340 *4070:48 *5643:237 6.13477e-05 -341 *4076:87 *5643:80 0.000123295 -342 *4082:74 *5643:181 0.000106575 -343 *4096:32 *5643:250 0 -344 *4121:87 *5643:316 6.20031e-05 -345 *4122:28 *5643:250 1.41295e-05 -346 *4123:33 *5643:192 1.90936e-05 -347 *4125:23 *5643:203 0.00049013 -348 *4133:46 *5643:221 8.94556e-05 -349 *4133:133 *5643:122 0.000145945 -350 *4133:133 *5643:148 1.64621e-05 -351 *4133:133 *5643:221 0.000470794 -352 *4135:18 *5643:269 0.000542803 -353 *4148:24 *5643:282 0.000121246 -354 *4219:11 *5643:192 5.96516e-05 -355 *5467:14 *5643:39 0 -356 *5584:103 *5643:80 0.000138994 -357 *5584:103 *5643:119 4.24752e-05 -358 *5585:158 *5643:221 0.000132298 -359 *5599:295 *5643:40 0.000541968 -360 *5600:120 *5643:40 0.0018651 -361 *5604:91 *5643:316 0.000435223 -362 *5609:165 *5643:71 1.21258e-05 -363 *5609:183 *5643:221 4.58508e-05 -364 *5614:37 *5643:221 0.000135028 -365 *5614:76 *5643:92 2.14879e-05 -366 *5627:75 *5643:119 0.00012401 -367 *5627:75 *5643:221 0.000182623 -368 *5630:161 *5643:148 3.46563e-05 -369 *5630:161 *5643:160 0.000123605 -370 *5630:230 *5643:203 0.000510456 -371 *5630:246 *5643:160 0.000127987 -372 *5632:44 *5643:298 0.000262498 -373 *5634:140 *5643:66 0.000145147 -374 *5634:140 *5643:71 0.000370817 -375 *5640:64 *5643:84 3.09814e-05 -376 *5640:167 *5643:269 0.000218679 -*RES -1 *30805:X *5643:9 46.1214 -2 *5643:9 *5643:32 41.6572 -3 *5643:32 *5643:39 43.022 -4 *5643:39 *5643:40 66.4554 -5 *5643:40 *5643:42 4.5 -6 *5643:42 *27700:B1 9.3 -7 *5643:42 *5643:47 0.428571 -8 *5643:47 *5643:58 35.1518 -9 *5643:58 *5643:66 48.4018 -10 *5643:66 *5643:71 28.8393 -11 *5643:71 *5643:80 30.3571 -12 *5643:80 *5643:84 48.6339 -13 *5643:84 *5643:92 14.6444 -14 *5643:92 *27953:B1 9.3 -15 *5643:92 *6546:DIODE 11.9071 -16 *5643:71 *5643:119 32.0714 -17 *5643:119 *5643:122 20.2321 -18 *5643:122 *27689:B1 20.7821 -19 *5643:122 *5643:148 48.393 -20 *5643:148 *5643:160 48.4375 -21 *5643:160 *5643:166 30.9464 -22 *5643:166 *27737:B1 9.72857 -23 *5643:166 *5643:181 18.3571 -24 *5643:181 *5643:192 46.691 -25 *5643:192 *5643:203 48.2855 -26 *5643:203 *27788:B1 12.7107 -27 *5643:119 *5643:221 29.0532 -28 *5643:221 *5643:227 42.6607 -29 *5643:227 *5643:237 44.4286 -30 *5643:237 *5643:250 48.3036 -31 *5643:250 *5643:253 6.67857 -32 *5643:253 *27765:B1 9.3 -33 *5643:253 *5643:269 28.4464 -34 *5643:269 *5643:282 45.1071 -35 *5643:282 *27661:B1 27.4964 -36 *5643:47 *5643:298 29.8036 -37 *5643:298 *5643:303 48.5179 -38 *5643:303 *5643:316 47.6607 -39 *5643:316 *27839:B1 17.2107 -*END - -*D_NET *5644 0.16318 -*CONN -*I *27712:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27755:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27658:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27680:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27812:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27829:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27778:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27725:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *6487:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *30806:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *27712:A2 0.00010046 -2 *27755:B1 0.000165368 -3 *27658:B1 0 -4 *27680:B1 0.000132323 -5 *27812:B1 0.000111756 -6 *27829:B1 3.18431e-05 -7 *27778:B1 7.66202e-05 -8 *27725:B1 0 -9 *6487:DIODE 0.000388002 -10 *30806:X 0.00127494 -11 *5644:251 0.00233287 -12 *5644:250 0.00422797 -13 *5644:237 0.00514821 -14 *5644:218 0.00536719 -15 *5644:205 0.00489544 -16 *5644:186 0.00434151 -17 *5644:172 0.00210983 -18 *5644:158 0.00593079 -19 *5644:106 0.00128603 -20 *5644:99 0.00225692 -21 *5644:94 0.00239231 -22 *5644:71 0.00289068 -23 *5644:68 0.00230107 -24 *5644:62 0.00187458 -25 *5644:53 0.00108336 -26 *5644:45 0.00203467 -27 *5644:37 0.00279101 -28 *5644:20 0.0012734 -29 *5644:17 0.000314689 -30 *5644:14 0.00637771 -31 *5644:11 0.00197878 -32 *6487:DIODE *5650:178 0.000257619 -33 *6487:DIODE *5650:198 2.05612e-05 -34 *27680:B1 *27680:A2 7.95355e-05 -35 *27755:B1 *5650:285 2.07809e-05 -36 *27778:B1 *27722:A2 0.00011427 -37 *27812:B1 *5650:178 2.42516e-05 -38 *27829:B1 *5650:231 6.05161e-06 -39 *5644:20 *5650:14 7.6231e-05 -40 *5644:37 *5649:82 0.000231894 -41 *5644:45 *5679:19 0.000338379 -42 *5644:53 *5650:231 1.94879e-05 -43 *5644:62 *5650:231 1.21371e-05 -44 *5644:68 *5814:12 0.00267595 -45 *5644:94 *5650:329 0.000179869 -46 *5644:94 *5662:111 4.33002e-05 -47 *5644:94 *5777:223 0.000938063 -48 *5644:94 *5777:240 0.00109813 -49 *5644:94 *5862:14 6.71264e-05 -50 *5644:94 *5905:110 1.95291e-05 -51 *5644:106 *27722:A2 0.000852342 -52 *5644:106 *27725:A2 5.52238e-05 -53 *5644:106 *28687:RESET_B 0.000177821 -54 *5644:106 *5656:321 0.000902871 -55 *5644:106 *5656:350 0.000137983 -56 *5644:106 *5668:31 0.000180764 -57 *5644:106 *5819:24 0.00187546 -58 *5644:158 *27163:A0 0.000764401 -59 *5644:158 *27716:B1 7.40745e-05 -60 *5644:158 *5700:98 4.54962e-05 -61 *5644:172 *5657:198 0.000593287 -62 *5644:186 *28515:D 0.000156062 -63 *5644:186 *28636:D 7.40571e-05 -64 *5644:186 *5700:50 0.000177072 -65 *5644:186 *5700:353 2.06112e-05 -66 *5644:186 *5707:91 0.000282334 -67 *5644:186 *5707:100 0.000268122 -68 *5644:237 *5765:25 7.89945e-05 -69 *5644:237 *5765:37 2.11419e-05 -70 *5644:237 *5836:284 0.000163251 -71 *5644:237 *6247:31 2.91979e-05 -72 *5644:237 *6258:12 0.000354171 -73 *5644:250 *29969:A 0.000427944 -74 *5644:251 *25856:A 0.000144038 -75 *5644:251 *28735:SET_B 0 -76 *5644:251 *5763:67 0.000172269 -77 *25065:A2 *5644:71 0.000142971 -78 *25066:A1 *5644:68 5.33005e-05 -79 *25066:A1 *5644:71 0.000879966 -80 *25066:B1 *5644:68 1.38323e-05 -81 *25146:B2 *5644:237 0.000951304 -82 *25288:A1 *5644:186 3.21613e-05 -83 *25340:A1 *5644:205 2.71873e-05 -84 *25340:A1 *5644:218 0.00171291 -85 *25340:A2 *5644:218 7.48409e-06 -86 *27019:S *5644:205 0.00244586 -87 *27311:B1 *5644:205 9.08144e-05 -88 *27349:A1 *5644:186 1.17921e-05 -89 *27365:B2 *5644:172 3.17148e-05 -90 *27402:B2 *5644:237 0.000772821 -91 *27417:A2 *27680:B1 9.41642e-05 -92 *27417:C1 *27680:B1 0.000137983 -93 *27422:C1 *5644:94 7.83587e-05 -94 *27427:A2 *5644:71 0.000135583 -95 *27443:B2 *5644:11 0.000379856 -96 *27446:A2 *5644:14 0.000625878 -97 *27457:B2 *5644:45 2.06112e-05 -98 *27463:B1 *5644:71 0.000451489 -99 *27476:A1 *5644:62 0.000106182 -100 *27476:A2 *5644:62 0.000834024 -101 *27656:B1 *5644:251 7.6644e-05 -102 *27659:C1 *5644:251 7.79208e-05 -103 *27680:B2 *27680:B1 9.60875e-05 -104 *27680:B2 *5644:218 0.000221279 -105 *27684:C1 *5644:186 0.000147325 -106 *27717:A1 *5644:158 0.000622305 -107 *27755:B2 *27755:B1 4.38058e-05 -108 *27812:A1 *6487:DIODE 8.25843e-06 -109 *27812:B2 *27812:B1 9.41642e-05 -110 *27812:B2 *5644:17 0.000257619 -111 *27829:B2 *5644:62 0.000383166 -112 *27845:A1 *5644:251 7.92169e-05 -113 *28076:RESET_B *5644:251 0.00015078 -114 *28631:D *5644:94 4.31764e-05 -115 *29131:A *5644:251 0.000117893 -116 *29147:A *5644:251 0.000500132 -117 *29748:A *5644:158 0.000330193 -118 *30408:A *5644:158 9.51343e-06 -119 *30811:A *5644:11 3.97677e-05 -120 *1174:15 *5644:251 0 -121 *1178:174 *5644:218 0.000179156 -122 *1256:95 *5644:237 0 -123 *1257:162 *5644:251 0.000524957 -124 *1257:169 *5644:251 0.00284524 -125 *1260:43 *5644:205 5.00194e-05 -126 *1267:65 *5644:237 0 -127 *1271:174 *5644:237 4.65519e-05 -128 *1272:142 *5644:71 7.90803e-05 -129 *1273:156 *5644:37 6.82091e-06 -130 *1273:156 *5644:45 0.000155024 -131 *1275:225 *5644:205 8.1275e-05 -132 *1275:225 *5644:218 0.00179814 -133 *1275:225 *5644:237 0.000362717 -134 *1275:234 *5644:237 3.01051e-05 -135 *1276:102 *5644:218 3.77445e-05 -136 *1277:161 *5644:158 0.00017977 -137 *1287:210 *5644:71 6.57026e-05 -138 *1292:65 *5644:237 8.94249e-05 -139 *1293:173 *5644:94 1.5187e-05 -140 *1293:217 *5644:186 0.000673055 -141 *1361:29 *5644:218 0.000127359 -142 *1368:37 *5644:45 0.00183207 -143 *1368:37 *5644:53 4.78386e-06 -144 *1433:23 *5644:237 0.00122723 -145 *1433:29 *5644:237 0.00018978 -146 *1506:17 *5644:94 0.00018688 -147 *1506:17 *5644:99 0.000152838 -148 *1605:13 *5644:218 7.58292e-05 -149 *1626:27 *5644:237 8.92991e-05 -150 *1660:48 *5644:218 0.00024312 -151 *1834:49 *27755:B1 0.000161614 -152 *1834:49 *5644:237 6.37296e-05 -153 *1834:49 *5644:250 0.000217194 -154 *1834:61 *5644:237 0.000362878 -155 *1844:138 *5644:158 0.00110783 -156 *2760:135 *5644:94 0 -157 *2762:16 *5644:106 4.85033e-05 -158 *2767:66 *5644:68 0.000177815 -159 *2777:71 *5644:158 0.000528635 -160 *2778:152 *5644:237 0.000651151 -161 *2780:161 *5644:205 0.00140729 -162 *2780:223 *27755:B1 4.43851e-05 -163 *2780:223 *5644:250 7.60194e-05 -164 *2786:83 *5644:71 0.00107525 -165 *2786:87 *5644:71 0.000980979 -166 *2791:139 *5644:158 0.000481027 -167 *2844:179 *5644:14 2.2628e-05 -168 *2844:386 *5644:71 0.000101915 -169 *2845:392 *5644:158 0.000311653 -170 *2852:28 *5644:158 0 -171 *2853:164 *5644:158 0 -172 *2853:187 *5644:158 3.69276e-05 -173 *2853:202 *5644:45 2.04825e-05 -174 *2855:175 *5644:205 0.000936314 -175 *2861:29 *5644:14 0.00226244 -176 *2861:29 *5644:158 0.000193564 -177 *2864:103 *5644:11 0.000386121 -178 *2866:34 *5644:11 0.000351638 -179 *2866:36 *5644:20 9.82592e-05 -180 *2866:36 *5644:37 0.000169482 -181 *2867:249 *5644:71 0.000227976 -182 *2871:354 *5644:237 1.50809e-05 -183 *2874:249 *5644:205 2.90006e-05 -184 *2874:249 *5644:218 4.00518e-05 -185 *2875:196 *5644:158 0.000447539 -186 *2875:275 *5644:218 0.000469506 -187 *2875:275 *5644:237 1.14338e-05 -188 *2875:344 *5644:71 0.00127666 -189 *2875:344 *5644:94 0.0003956 -190 *2877:274 *5644:205 0.00102551 -191 *2877:299 *5644:237 4.09496e-05 -192 *2879:83 *5644:205 0.000269212 -193 *2880:58 *27680:B1 3.96757e-05 -194 *2885:58 *5644:158 0.000246133 -195 *2885:66 *5644:37 0.000135028 -196 *2886:188 *5644:158 0.000468084 -197 *2886:188 *5644:172 0.000136517 -198 *2886:241 *5644:186 0.000727549 -199 *2889:151 *5644:37 0.000136733 -200 *2889:151 *5644:158 0.000199682 -201 *2891:111 *5644:158 0.000208259 -202 *2895:256 *5644:14 0.000839863 -203 *3034:16 *27829:B1 1.10868e-05 -204 *3034:16 *5644:62 0.000589138 -205 *3149:41 *5644:11 0.000178847 -206 *3153:140 *5644:158 0.000544868 -207 *3162:34 *5644:205 0.00027044 -208 *3162:34 *5644:218 0.000864071 -209 *3174:224 *5644:45 0.00018404 -210 *3174:225 *5644:53 2.22043e-05 -211 *3184:95 *5644:158 0 -212 *3185:15 *5644:11 8.9338e-05 -213 *3185:22 *5644:11 0.00161712 -214 *3185:24 *5644:37 0.00201834 -215 *3185:34 *5644:37 3.47529e-05 -216 *3185:52 *5644:172 0.000137561 -217 *3193:37 *5644:11 0.000180348 -218 *3205:270 *5644:45 7.96277e-05 -219 *3215:25 *5644:158 7.92885e-05 -220 *3215:305 *5644:94 0.00011502 -221 *3215:305 *5644:99 4.78647e-05 -222 *3215:309 *5644:99 0.000898864 -223 *3245:14 *5644:158 0.000628652 -224 *3299:8 *5644:218 6.35864e-05 -225 *3299:8 *5644:237 0.000545489 -226 *3360:11 *5644:37 4.22135e-06 -227 *3387:14 *5644:14 0.000973226 -228 *3387:14 *5644:158 0.0002021 -229 *3537:50 *5644:68 0.00267424 -230 *3552:22 *5644:237 6.98953e-05 -231 *3552:44 *5644:71 0.000125243 -232 *3552:44 *5644:94 0.000316094 -233 *3565:10 *5644:99 0.00196714 -234 *3574:75 *5644:172 0.000136951 -235 *3578:21 *5644:251 0.000244868 -236 *3619:14 *5644:71 1.08359e-05 -237 *3686:67 *5644:250 0.000266663 -238 *3699:22 *5644:251 0.000645791 -239 *3705:61 *5644:94 0.000191912 -240 *3718:63 *5644:106 8.11273e-05 -241 *3718:79 *5644:106 2.59355e-05 -242 *3721:44 *5644:45 0.00173473 -243 *3735:58 *6487:DIODE 9.41642e-05 -244 *3735:63 *5644:45 7.48409e-06 -245 *3735:71 *5644:45 0.00151174 -246 *3758:41 *5644:237 2.59024e-05 -247 *3769:26 *5644:186 0.000178847 -248 *3787:56 *5644:45 0.000288557 -249 *3794:86 *5644:186 0.000143917 -250 *3797:79 *5644:205 0.000224776 -251 *3798:40 *5644:71 0.000172368 -252 *3820:87 *5644:172 0.000145625 -253 *3835:73 *5644:186 1.90936e-05 -254 *3847:64 *5644:172 2.21972e-05 -255 *3847:72 *5644:172 4.22416e-05 -256 *3849:30 *27755:B1 0.000155083 -257 *3849:30 *5644:250 2.1599e-05 -258 *3849:48 *5644:237 1.03222e-05 -259 *3850:24 *5644:20 1.24548e-05 -260 *3850:24 *5644:37 8.03071e-05 -261 *3851:56 *5644:11 6.74192e-05 -262 *3860:38 *5644:158 7.1232e-05 -263 *3872:86 *5644:205 0.000205105 -264 *3912:82 *27712:A2 3.93247e-05 -265 *3912:82 *5644:158 0.000335877 -266 *3912:82 *5644:172 0.000591708 -267 *3914:34 *27755:B1 1.42701e-05 -268 *3915:37 *5644:94 0.000532531 -269 *3926:40 *5644:106 0.000978349 -270 *3953:12 *5644:250 8.92445e-05 -271 *3953:28 *5644:237 0.000152711 -272 *3965:35 *5644:94 1.08359e-05 -273 *3965:35 *5644:99 0.00123733 -274 *4011:45 *5644:251 0.000870369 -275 *4015:37 *5644:186 0.000276959 -276 *4048:22 *5644:251 0.000251713 -277 *4076:48 *5644:237 0.000403026 -278 *4082:33 *5644:106 5.2064e-05 -279 *4085:62 *5644:53 2.37343e-05 -280 *4085:71 *5644:45 0.000964092 -281 *4088:15 *5644:251 8.23597e-06 -282 *4095:79 *5644:71 4.26825e-05 -283 *4106:20 *5644:186 5.55355e-05 -284 *4106:37 *5644:186 0.000840149 -285 *4106:37 *5644:205 1.77249e-05 -286 *4141:30 *5644:251 0.000697972 -287 *4141:34 *5644:251 3.30635e-05 -288 *4186:20 *5644:158 9.74809e-06 -289 *4649:20 *5644:158 2.41871e-05 -290 *5312:13 *5644:251 0.000123295 -291 *5535:46 *5644:37 0.00209682 -292 *5535:46 *5644:45 0.000452458 -293 *5583:112 *5644:158 0.000182644 -294 *5587:44 *5644:237 0.000800229 -295 *5601:67 *5644:68 1.46576e-05 -296 *5601:75 *5644:68 7.95065e-05 -297 *5601:175 *5644:237 0.000114602 -298 *5609:137 *5644:172 0.00013921 -299 *5609:245 *5644:94 0.000793814 -300 *5627:41 *27712:A2 8.43535e-06 -301 *5627:57 *27712:A2 1.98839e-05 -302 *5627:57 *5644:186 0.000252053 -303 *5627:142 *5644:250 0.000897388 -304 *5627:181 *5644:45 0.000980256 -305 *5630:22 *5644:158 0.000204846 -306 *5631:113 *5644:106 1.69159e-05 -307 *5643:58 *5644:186 0.000527304 -308 *5643:66 *5644:186 0.000207074 -309 *5643:66 *5644:205 0.000252206 -*RES -1 *30806:X *5644:11 49.4786 -2 *5644:11 *5644:14 33.7679 -3 *5644:14 *5644:17 7.08929 -4 *5644:17 *5644:20 5.83929 -5 *5644:20 *6487:DIODE 18.4429 -6 *5644:20 *5644:37 40.4375 -7 *5644:37 *5644:45 48.38 -8 *5644:45 *5644:53 11.7519 -9 *5644:53 *5644:62 21 -10 *5644:62 *5644:68 45.5 -11 *5644:68 *5644:71 46.8036 -12 *5644:71 *5644:94 47.2848 -13 *5644:94 *5644:99 37.6332 -14 *5644:99 *5644:106 46.8929 -15 *5644:106 *27725:B1 9.3 -16 *5644:99 *27778:B1 10.9786 -17 *5644:53 *27829:B1 9.83571 -18 *5644:17 *27812:B1 11.0679 -19 *5644:14 *5644:158 49.9708 -20 *5644:158 *5644:172 34.875 -21 *5644:172 *5644:186 47.7054 -22 *5644:186 *5644:205 49.3374 -23 *5644:205 *5644:218 25.0793 -24 *5644:218 *27680:B1 17.2286 -25 *5644:218 *5644:237 27.7177 -26 *5644:237 *5644:250 37.0574 -27 *5644:250 *5644:251 76.625 -28 *5644:251 *27658:B1 13.8 -29 *5644:237 *27755:B1 18.2337 -30 *5644:172 *27712:A2 11.0857 -*END - -*D_NET *5645 0.147487 -*CONN -*I *27824:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27790:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27742:A2 I *D sky130_fd_sc_hd__a211o_1 -*I *27702:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27750:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27665:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27691:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27797:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27649:D I *D sky130_fd_sc_hd__or4_1 -*I *30807:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *27824:B1 0.000184039 -2 *27790:B1 0.000111905 -3 *27742:A2 6.35412e-05 -4 *27702:A2 4.45088e-05 -5 *27750:B1 0.000973722 -6 *27665:A2 0.00191999 -7 *27691:B1 0 -8 *27797:A2 0.000157224 -9 *27649:D 0.000161322 -10 *30807:X 0.000992835 -11 *5645:235 0.00315885 -12 *5645:220 0.00538276 -13 *5645:199 0.00359877 -14 *5645:198 0.00299062 -15 *5645:190 0.00195017 -16 *5645:156 0.0065215 -17 *5645:136 0.00465648 -18 *5645:119 0.00215934 -19 *5645:108 0.00170265 -20 *5645:104 0.00446089 -21 *5645:91 0.00621558 -22 *5645:80 0.00317007 -23 *5645:72 0.00237392 -24 *5645:71 0.00273387 -25 *5645:47 0.00198704 -26 *5645:39 0.0020853 -27 *5645:15 0.00245877 -28 *27750:B1 *5649:243 2.35126e-05 -29 *27790:B1 *27790:A2 3.51368e-05 -30 *27790:B1 *5652:200 0.000219711 -31 *5645:15 *5981:26 0.000825066 -32 *5645:15 *5981:38 0.000125057 -33 *5645:39 *5647:18 0.000714898 -34 *5645:47 *5660:35 0 -35 *5645:72 *5663:27 0.00177937 -36 *5645:72 *5663:35 0.000280152 -37 *5645:80 *5652:128 0.000197779 -38 *5645:91 *5892:63 0.000104174 -39 *5645:91 *5892:74 0.000103478 -40 *5645:104 *28540:RESET_B 2.52934e-06 -41 *5645:104 *5647:70 0.0010833 -42 *5645:104 *5652:12 0.000705972 -43 *5645:104 *5702:84 1.60961e-05 -44 *5645:108 *28475:SET_B 3.78069e-05 -45 *5645:108 *5652:12 1.9336e-05 -46 *5645:119 *27691:A2 0.00015546 -47 *5645:136 *5646:232 1.17968e-05 -48 *5645:136 *5702:38 4.83837e-05 -49 *5645:136 *5824:213 0.000117095 -50 *5645:156 *28675:SET_B 0.000534199 -51 *5645:156 *28686:D 0.000507258 -52 *5645:156 *5765:129 3.84202e-05 -53 *5645:156 *5795:78 4.21517e-05 -54 *5645:198 *5662:76 5.88101e-05 -55 *5645:199 *5652:143 5.74562e-05 -56 *5645:199 *5652:166 0.00153045 -57 *5645:199 *5767:197 0.000128129 -58 *5645:199 *5767:201 0.000111243 -59 *5645:220 *27433:A2 0.000163833 -60 *5645:220 *5652:166 2.20685e-05 -61 *5645:220 *5652:184 0.00149759 -62 *5645:220 *5662:97 0.000133417 -63 *5645:220 *6005:32 0.000207175 -64 *5645:235 *5683:106 0.000228535 -65 *5645:235 *5695:124 4.50843e-05 -66 *5645:235 *5695:133 0.000163727 -67 *5645:235 *5696:77 0 -68 *5645:235 *5810:17 0.000975511 -69 *24994:B2 *27824:B1 0.000156655 -70 *25021:A1 *5645:71 1.91414e-05 -71 *25023:B2 *5645:39 0.00011643 -72 *25060:B1 *5645:220 2.90245e-05 -73 *25060:B1 *5645:235 0.000511408 -74 *25145:B2 *5645:156 0.000152448 -75 *25243:B1 *5645:156 0 -76 *25249:B2 *5645:104 0 -77 *25249:B2 *5645:108 0.000191732 -78 *25249:C1 *5645:108 9.00983e-05 -79 *25403:A2 *27665:A2 0 -80 *26973:A *5645:235 0 -81 *26996:A1 *5645:156 0.000149826 -82 *27202:S *5645:72 0.00156516 -83 *27342:A1 *5645:108 0.00014559 -84 *27343:B *5645:119 1.44983e-05 -85 *27353:A2 *5645:104 9.1703e-05 -86 *27392:A1 *5645:235 4.04292e-05 -87 *27434:A1 *5645:220 4.88232e-05 -88 *27441:A1 *27797:A2 1.397e-05 -89 *27441:A1 *5645:47 0.000345969 -90 *27465:A1 *5645:91 0.0005104 -91 *27479:B2 *5645:80 5.98813e-05 -92 *27605:A2 *27750:B1 0 -93 *27640:B *5645:15 2.35581e-05 -94 *27640:C *5645:15 0.00015961 -95 *27652:C *5645:15 2.78608e-05 -96 *27653:A *5645:15 0.000266479 -97 *27665:A1 *27665:A2 5.33005e-05 -98 *27691:A1 *5645:119 5.33005e-05 -99 *27697:A2 *5645:136 0.000391883 -100 *27702:A1 *27702:A2 5.33005e-05 -101 *27702:B1 *5645:136 4.28365e-05 -102 *27742:A1 *27742:A2 3.63587e-05 -103 *27742:A1 *5645:235 7.47029e-06 -104 *27763:A1 *5645:156 0.00050057 -105 *27765:B2 *5645:156 0.000416163 -106 *27766:B *27750:B1 0.000128878 -107 *27789:B1 *5645:235 0.000121952 -108 *27790:B2 *27790:B1 7.39814e-05 -109 *27797:A1 *27797:A2 2.21991e-05 -110 *27797:A1 *5645:47 5.20298e-05 -111 *27797:B2 *5645:47 4.30608e-05 -112 *27824:A1 *27824:B1 5.33005e-05 -113 *27824:A2 *27824:B1 1.51489e-05 -114 *27824:A2 *5645:91 0.000334724 -115 *27824:B2 *27824:B1 5.49544e-05 -116 *28475:CLK *5645:108 3.63814e-05 -117 *28492:CLK *5645:136 0.000170654 -118 *28574:CLK *5645:156 1.32138e-05 -119 *28741:D *5645:71 0.000123288 -120 *28752:CLK *5645:104 4.32734e-05 -121 *28757:CLK *5645:72 0.00148904 -122 *30028:A *5645:235 0.000308859 -123 *30875:A *5645:220 9.56234e-05 -124 *1256:113 *5645:119 0.000178425 -125 *1258:90 *5645:198 1.17766e-05 -126 *1258:100 *5645:80 0.00220005 -127 *1260:135 *5645:220 0.000224951 -128 *1261:41 *5645:91 0.000933012 -129 *1261:41 *5645:104 0.000386161 -130 *1261:53 *5645:190 9.49246e-06 -131 *1267:80 *5645:136 0.000143289 -132 *1269:134 *5645:235 0.00112554 -133 *1270:41 *5645:108 2.04866e-05 -134 *1271:211 *5645:119 0.000178425 -135 *1281:145 *5645:104 6.38844e-06 -136 *1304:28 *5645:72 9.62782e-05 -137 *1304:39 *5645:71 0.000307797 -138 *1345:13 *5645:71 0.000261234 -139 *1346:8 *5645:39 0.00041612 -140 *1434:76 *5645:156 0.000175021 -141 *1680:29 *5645:235 1.98839e-05 -142 *1698:25 *27750:B1 0 -143 *1698:25 *5645:156 0 -144 *1834:49 *5645:156 1.30325e-05 -145 *2759:120 *5645:108 4.78837e-05 -146 *2760:69 *5645:72 0.00105823 -147 *2760:109 *5645:104 0.000578056 -148 *2780:223 *5645:156 0.000586856 -149 *2784:88 *5645:136 0.000119489 -150 *2790:8 *27649:D 0.000109637 -151 *2790:8 *5645:39 0.000223717 -152 *2827:54 *5645:15 5.33005e-05 -153 *2827:65 *5645:15 5.33005e-05 -154 *2844:275 *5645:104 0.000404818 -155 *2845:392 *5645:71 4.00679e-05 -156 *2848:282 *5645:136 2.27273e-05 -157 *2852:142 *5645:156 0.000190772 -158 *2855:302 *5645:71 0.000977749 -159 *2871:277 *5645:104 7.59402e-06 -160 *2871:305 *5645:108 0.000527846 -161 *2877:234 *5645:91 0.00220733 -162 *2880:11 *5645:104 0.000190636 -163 *2885:123 *5645:91 0.0011439 -164 *2891:165 *5645:104 0 -165 *2891:212 *5645:156 5.44445e-05 -166 *2891:270 *5645:156 4.35333e-05 -167 *2894:280 *5645:91 0.000627098 -168 *2895:316 *27702:A2 3.97677e-05 -169 *2895:316 *5645:156 0.000191035 -170 *2904:11 *5645:119 4.22431e-05 -171 *2958:34 *5645:104 9.34919e-05 -172 *3164:222 *5645:71 0.000262223 -173 *3164:249 *5645:71 0.000145591 -174 *3164:249 *5645:72 0.000299022 -175 *3164:258 *5645:72 0.00214564 -176 *3164:267 *27824:B1 1.28217e-05 -177 *3164:267 *5645:80 0.00195861 -178 *3164:267 *5645:190 2.20491e-05 -179 *3165:55 *5645:199 0.00161675 -180 *3174:225 *5645:91 0.000134764 -181 *3177:19 *5645:15 0.000227526 -182 *3177:61 *5645:80 0.000176806 -183 *3179:66 *5645:47 0.000168202 -184 *3179:67 *5645:71 0 -185 *3185:180 *5645:91 6.06909e-05 -186 *3202:9 *5645:15 0.000817444 -187 *3206:219 *5645:80 1.27131e-05 -188 *3206:249 *5645:235 2.35126e-05 -189 *3291:17 *5645:235 0.000510031 -190 *3314:25 *5645:156 0.000388631 -191 *3378:24 *5645:220 3.51961e-05 -192 *3378:26 *5645:199 6.03514e-05 -193 *3378:26 *5645:220 0.000134037 -194 *3386:22 *27824:B1 1.25145e-05 -195 *3386:22 *5645:91 1.498e-05 -196 *3386:22 *5645:190 5.1204e-06 -197 *3389:13 *27665:A2 1.47728e-05 -198 *3413:16 *27665:A2 0.000181673 -199 *3581:118 *27750:B1 2.07592e-05 -200 *3598:75 *5645:136 0.000334979 -201 *3598:77 *5645:136 0.000390086 -202 *3615:54 *5645:220 4.82947e-05 -203 *3632:8 *5645:72 7.58841e-05 -204 *3677:38 *5645:104 8.69554e-05 -205 *3678:76 *5645:104 0 -206 *3682:48 *5645:72 9.99931e-06 -207 *3682:50 *5645:47 0.00124609 -208 *3682:50 *5645:71 0.00151224 -209 *3696:12 *5645:72 0.000125241 -210 *3704:14 *5645:119 0.00257403 -211 *3748:60 *5645:72 0.00113287 -212 *3748:60 *5645:80 2.15567e-05 -213 *3757:20 *5645:235 9.82014e-05 -214 *3764:12 *27665:A2 0.000161013 -215 *3773:24 *5645:39 0.000260574 -216 *3799:25 *27649:D 0 -217 *3799:25 *5645:39 0 -218 *3800:69 *27790:B1 4.21517e-05 -219 *3800:69 *5645:220 0.000106334 -220 *3811:44 *27790:B1 4.21517e-05 -221 *3826:52 *5645:39 0.000484392 -222 *3826:57 *5645:71 2.15363e-05 -223 *3849:30 *5645:156 0.000126031 -224 *3865:38 *5645:39 0.000100847 -225 *3876:38 *5645:220 0.00188921 -226 *3877:26 *5645:39 0.000140165 -227 *3886:78 *5645:104 2.28513e-05 -228 *3886:78 *5645:108 0.00112777 -229 *3886:79 *5645:108 0.000237533 -230 *3886:79 *5645:136 6.95627e-05 -231 *3887:17 *5645:235 0.000392291 -232 *3887:60 *5645:104 0 -233 *3887:91 *5645:235 0.000747735 -234 *3891:58 *5645:220 7.6644e-05 -235 *3891:66 *5645:199 0.00240505 -236 *3891:89 *5645:80 0.000164148 -237 *3903:42 *5645:39 0.000961261 -238 *3903:42 *5645:47 0.000119929 -239 *3912:35 *5645:104 3.7993e-05 -240 *3912:58 *5645:104 4.65519e-05 -241 *3914:34 *5645:156 0.00174692 -242 *3952:57 *5645:104 6.30912e-05 -243 *3965:70 *5645:104 2.49001e-05 -244 *3977:16 *5645:119 0.00257074 -245 *3982:56 *5645:47 1.9268e-05 -246 *3982:56 *5645:71 3.28723e-05 -247 *3993:14 *5645:220 0.000884817 -248 *4003:27 *5645:136 2.66789e-06 -249 *4020:20 *5645:71 2.14474e-05 -250 *4039:39 *27665:A2 0.000107996 -251 *4059:16 *5645:39 0.000216064 -252 *4073:39 *27824:B1 4.71754e-05 -253 *4073:39 *5645:80 6.14238e-05 -254 *4073:39 *5645:190 5.30819e-05 -255 *4073:39 *5645:198 0.000368123 -256 *4080:41 *5645:156 4.37992e-05 -257 *4082:33 *5645:235 0.000154527 -258 *4086:64 *5645:91 9.23367e-05 -259 *4087:61 *27665:A2 8.55871e-05 -260 *4088:15 *27665:A2 0 -261 *4089:12 *27665:A2 0.000163065 -262 *4120:47 *27702:A2 0.000111512 -263 *4120:47 *5645:156 0.00077618 -264 *4121:24 *5645:235 1.18834e-05 -265 *4122:28 *27750:B1 0.000162276 -266 *4125:42 *27824:B1 7.4271e-05 -267 *4125:42 *5645:190 8.81348e-05 -268 *4125:42 *5645:198 0.000776994 -269 *4125:53 *27824:B1 1.72797e-05 -270 *4145:30 *5645:104 2.59123e-05 -271 *4146:79 *5645:104 0.000616078 -272 *4671:11 *5645:220 0.000332538 -273 *5435:16 *5645:220 0.000132862 -274 *5435:16 *5645:235 0.0017611 -275 *5504:86 *5645:39 0.000469202 -276 *5582:31 *5645:104 5.19502e-06 -277 *5582:56 *5645:104 4.37712e-06 -278 *5589:31 *5645:104 4.37712e-06 -279 *5589:73 *5645:156 2.996e-06 -280 *5593:15 *5645:136 4.8817e-05 -281 *5594:38 *5645:199 0.00162899 -282 *5595:69 *5645:235 0 -283 *5600:151 *5645:104 4.65519e-05 -284 *5603:43 *5645:104 0.000321871 -285 *5607:115 *27790:B1 2.41198e-05 -286 *5628:161 *5645:156 1.00609e-05 -287 *5629:166 *5645:136 0.000876504 -288 *5630:161 *5645:104 1.56844e-05 -289 *5630:217 *5645:199 0.000253993 -290 *5630:230 *5645:199 0.000349399 -291 *5630:230 *5645:220 0.000460233 -292 *5630:292 *27665:A2 1.09611e-05 -293 *5630:292 *27750:B1 0.000151984 -294 *5630:292 *5645:156 0.0011672 -295 *5639:200 *27742:A2 9.41642e-05 -296 *5642:34 *5645:39 9.96717e-06 -297 *5642:34 *5645:47 0.00149978 -298 *5642:35 *27797:A2 5.33005e-05 -299 *5642:57 *5645:47 3.12359e-05 -300 *5642:57 *5645:71 7.70035e-05 -301 *5643:148 *5645:104 8.8087e-05 -302 *5643:316 *5645:72 0.00015508 -*RES -1 *30807:X *5645:15 37.6214 -2 *5645:15 *27649:D 16.6571 -3 *5645:15 *5645:39 47.3929 -4 *5645:39 *5645:47 33.3036 -5 *5645:47 *27797:A2 16.0366 -6 *5645:47 *5645:71 45.6964 -7 *5645:71 *5645:72 64.6339 -8 *5645:72 *5645:80 15.2292 -9 *5645:80 *5645:91 44.7722 -10 *5645:91 *5645:104 37.757 -11 *5645:104 *5645:108 22.3839 -12 *5645:108 *27691:B1 9.3 -13 *5645:108 *5645:119 48 -14 *5645:119 *5645:136 37.2196 -15 *5645:136 *5645:156 36.4428 -16 *5645:156 *27665:A2 28.2445 -17 *5645:156 *27750:B1 23.248 -18 *5645:136 *27702:A2 10.6571 -19 *5645:80 *5645:190 0.4794 -20 *5645:190 *5645:198 13.8788 -21 *5645:198 *5645:199 54.7679 -22 *5645:199 *5645:220 45.9172 -23 *5645:220 *5645:235 49.7765 -24 *5645:235 *27742:A2 15.175 -25 *5645:220 *27790:B1 20.3975 -26 *5645:190 *27824:B1 19.4467 -*END - -*D_NET *5646 0.146918 -*CONN -*I *27898:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27722:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27822:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27773:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27675:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27661:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27697:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27749:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27947:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27923:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27874:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27847:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *30808:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *27898:B1 1.63743e-05 -2 *27722:B1 0 -3 *27822:B1 0.000304923 -4 *27773:B1 0 -5 *27675:B1 8.60901e-05 -6 *27661:A2 0 -7 *27697:B1 2.37855e-05 -8 *27749:B1 0 -9 *27947:B1 0 -10 *27923:B1 6.53612e-05 -11 *27874:B1 0.000103876 -12 *27847:B1 5.14127e-05 -13 *30808:X 0.000393959 -14 *5646:311 0.00272797 -15 *5646:294 0.00389762 -16 *5646:267 0.00177598 -17 *5646:259 0.00151701 -18 *5646:258 0.00300298 -19 *5646:252 0.00272441 -20 *5646:235 0.00107085 -21 *5646:232 0.00266705 -22 *5646:213 0.00502544 -23 *5646:195 0.00343814 -24 *5646:182 0.00246071 -25 *5646:175 0.00174718 -26 *5646:163 0.00110324 -27 *5646:161 0.00205647 -28 *5646:157 0.00245023 -29 *5646:118 0.00213684 -30 *5646:102 0.00183463 -31 *5646:93 0.00167653 -32 *5646:63 0.00177396 -33 *5646:38 0.0013231 -34 *5646:34 0.00304978 -35 *5646:17 0.00593028 -36 *5646:10 0.00361836 -37 *27675:B1 *5701:113 0 -38 *27675:B1 *5702:29 8.74121e-05 -39 *5646:17 *27084:A1 3.5298e-05 -40 *5646:17 *27317:A 0.00063889 -41 *5646:17 *5651:21 0.00141869 -42 *5646:17 *5657:197 1.47818e-06 -43 *5646:17 *5700:122 8.6051e-05 -44 *5646:34 *5657:38 0.000880966 -45 *5646:34 *5661:64 0.00029168 -46 *5646:34 *5738:130 0.000208759 -47 *5646:34 *5947:74 4.85921e-06 -48 *5646:38 *5706:196 0.000159532 -49 *5646:38 *5936:78 0.000320174 -50 *5646:63 *5706:196 1.24469e-05 -51 *5646:157 *5653:70 0.000718712 -52 *5646:163 *27947:A2 0.000372956 -53 *5646:163 *5909:44 0.000285694 -54 *5646:175 *27947:A2 5.80706e-06 -55 *5646:182 *5729:14 0.000321745 -56 *5646:182 *5729:34 0.000524639 -57 *5646:182 *5729:35 0.000798039 -58 *5646:182 *5729:242 0.00018978 -59 *5646:195 *5715:98 0.00071063 -60 *5646:195 *5715:117 2.25896e-05 -61 *5646:195 *5729:35 5.68977e-06 -62 *5646:213 *27399:B1 0.000854987 -63 *5646:213 *5650:280 0.000119303 -64 *5646:213 *5660:217 0.000136676 -65 *5646:213 *5873:321 0.000388889 -66 *5646:232 *27122:S 2.01997e-05 -67 *5646:232 *28588:RESET_B 5.59209e-05 -68 *5646:232 *5660:217 0.000505335 -69 *5646:232 *5701:169 0.000345204 -70 *5646:232 *5764:274 0.000243909 -71 *5646:232 *5873:304 4.33438e-05 -72 *5646:232 *5879:74 2.41653e-05 -73 *5646:258 *26938:A0 6.30931e-05 -74 *5646:294 *5702:29 0.000126516 -75 *5646:294 *5768:169 0.00022266 -76 *5646:294 *5777:131 0.000216755 -77 *5646:294 *5777:160 7.43174e-05 -78 *5646:294 *6351:12 4.11218e-05 -79 *5646:311 *26961:A0 9.41642e-05 -80 *5646:311 *27722:A2 7.40571e-05 -81 *5646:311 *28713:D 0.000161585 -82 *5646:311 *5655:264 1.68854e-05 -83 *25082:A2 *5646:161 0.000135358 -84 *25082:C1 *5646:161 1.2276e-05 -85 *25088:A2 *5646:157 5.07227e-05 -86 *25097:A1 *5646:34 7.57827e-05 -87 *25144:B2 *5646:195 0.000207152 -88 *25145:A1 *5646:213 5.96974e-06 -89 *25145:A2 *5646:213 0.00011625 -90 *25145:B1 *5646:213 1.58163e-05 -91 *25145:B2 *5646:213 5.6164e-05 -92 *25148:B *5646:213 0.000413107 -93 *25148:C *5646:213 1.98839e-05 -94 *25175:B2 *5646:102 0.000302665 -95 *25245:A2 *5646:182 0.000102923 -96 *25269:A1 *5646:17 0.0037388 -97 *25295:A2 *5646:34 0.000861034 -98 *25300:B1 *5646:118 1.11756e-05 -99 *25326:A2 *27847:B1 0 -100 *25342:C1 *5646:258 0.000415814 -101 *25390:B2 *27847:B1 3.57366e-05 -102 *25395:B2 *5646:93 0.000175892 -103 *25410:A1 *5646:34 0.0004443 -104 *25410:B2 *5646:38 2.60984e-05 -105 *26961:A1 *5646:311 0.000633837 -106 *26978:S *5646:232 0.000157596 -107 *27065:S *5646:232 8.94556e-05 -108 *27110:A1 *5646:232 2.59093e-05 -109 *27317:B *5646:17 0.000137561 -110 *27607:A *5646:10 6.05161e-06 -111 *27622:B *5646:10 0.000145876 -112 *27633:A *5646:10 0.000187902 -113 *27661:A1 *5646:259 0.00142899 -114 *27661:B1 *5646:259 0.00179133 -115 *27675:B2 *5646:258 0.000334379 -116 *27697:A1 *5646:252 1.98839e-05 -117 *27697:A2 *5646:252 9.60939e-05 -118 *27702:B1 *5646:232 0.000186731 -119 *27722:A1 *5646:311 0.000137983 -120 *27722:B2 *5646:294 0.000248138 -121 *27740:B1 *5646:311 0.000175892 -122 *27749:A2 *5646:232 0.000422093 -123 *27749:B2 *5646:213 4.58194e-05 -124 *27749:B2 *5646:232 3.37161e-05 -125 *27773:A1 *27822:B1 2.62395e-05 -126 *27773:A1 *5646:311 1.90936e-05 -127 *27773:A2 *27822:B1 0.000114981 -128 *27773:B2 *5646:311 0 -129 *27822:A1 *5646:311 0.00031119 -130 *27822:B2 *27822:B1 0.00031059 -131 *27847:B2 *27847:B1 1.32056e-05 -132 *27874:A2 *27874:B1 3.99614e-06 -133 *27874:B2 *27874:B1 1.24368e-05 -134 *27876:B2 *5646:93 0.000536959 -135 *27881:B *5646:63 2.06178e-05 -136 *27881:B *5646:93 0.000219249 -137 *27944:A1 *5646:195 1.21258e-05 -138 *27944:A2 *5646:195 6.86792e-05 -139 *27944:B1 *5646:195 0.000555474 -140 *27945:A2 *5646:118 1.12506e-05 -141 *27958:A2 *5646:157 0.000201427 -142 *27966:A1 *5646:157 4.26759e-05 -143 *28476:D *5646:252 0.000240131 -144 *28580:D *5646:294 3.63775e-05 -145 *28628:D *5646:232 7.29712e-05 -146 *28670:D *5646:213 0.000262498 -147 *29075:A *5646:311 1.13412e-05 -148 *29192:A *5646:195 0.00011294 -149 *29238:A *5646:195 0.000127446 -150 *29358:A *5646:259 0.000175892 -151 *29820:A *5646:17 0.000522652 -152 *29821:A *5646:17 0.000154827 -153 *29832:A *5646:182 0.00012401 -154 *30176:A *5646:213 5.33005e-05 -155 *30192:A *5646:213 5.33005e-05 -156 *30808:A *5646:10 0.000136676 -157 *1218:38 *5646:34 0.000129778 -158 *1248:56 *5646:34 0.0001718 -159 *1257:46 *5646:34 0.000373067 -160 *1261:177 *5646:232 6.35819e-05 -161 *1286:27 *5646:232 0.00263959 -162 *1286:72 *5646:258 8.42415e-05 -163 *1327:19 *5646:161 0.00157965 -164 *1361:114 *5646:252 0.000254754 -165 *1362:28 *5646:182 0 -166 *1362:90 *5646:118 5.7811e-07 -167 *1399:81 *5646:161 0.00072923 -168 *1399:93 *5646:161 0 -169 *1399:93 *5646:163 7.05143e-06 -170 *1400:21 *5646:161 1.90936e-05 -171 *1435:34 *5646:102 0.0001334 -172 *1435:34 *5646:118 0.00037377 -173 *1435:76 *5646:182 0.000478012 -174 *1456:41 *5646:118 0.000548274 -175 *1463:42 *5646:195 1.01075e-05 -176 *1619:25 *5646:38 8.34418e-05 -177 *1619:25 *5646:63 2.06112e-05 -178 *1644:19 *27847:B1 2.59355e-05 -179 *1647:19 *5646:175 0.000342302 -180 *1647:19 *5646:182 7.79781e-06 -181 *1647:29 *5646:161 5.41797e-06 -182 *1680:17 *5646:235 5.4985e-05 -183 *1680:17 *5646:252 0.000177291 -184 *1722:21 *5646:34 0.000297775 -185 *1803:52 *5646:10 0.000122697 -186 *1832:41 *5646:93 0.000196745 -187 *1832:41 *5646:102 0.000558029 -188 *1853:38 *5646:294 0.00031605 -189 *1899:31 *5646:63 5.00194e-05 -190 *2757:21 *5646:294 0.000882384 -191 *2759:31 *5646:294 0.000204016 -192 *2759:111 *5646:232 2.09632e-05 -193 *2761:67 *5646:232 2.06112e-05 -194 *2763:196 *5646:252 5.23496e-05 -195 *2763:196 *5646:258 3.7143e-05 -196 *2763:196 *5646:267 0.000175845 -197 *2767:167 *27675:B1 5.49544e-05 -198 *2767:175 *5646:259 0.000686293 -199 *2771:84 *5646:258 5.89494e-05 -200 *2771:84 *5646:267 4.2985e-06 -201 *2773:118 *5646:232 9.32133e-05 -202 *2773:155 *5646:182 7.49166e-06 -203 *2773:155 *5646:195 0.00170326 -204 *2776:241 *5646:258 0 -205 *2781:51 *5646:17 0.000211731 -206 *2781:65 *5646:17 0.000175892 -207 *2788:93 *5646:252 1.94945e-05 -208 *2794:107 *5646:232 6.06387e-05 -209 *2848:33 *5646:17 0 -210 *2848:300 *5646:213 0.000216917 -211 *2852:199 *5646:93 1.90936e-05 -212 *2853:48 *5646:34 0.00102588 -213 *2859:34 *5646:34 0.000883492 -214 *2864:196 *5646:157 0.000259549 -215 *2865:152 *5646:157 0.000125355 -216 *2866:323 *5646:213 0.000175694 -217 *2866:323 *5646:232 1.59373e-05 -218 *2882:22 *5646:17 0.000179745 -219 *2882:64 *27874:B1 2.15613e-05 -220 *2888:22 *5646:17 0.000144373 -221 *2889:211 *5646:17 0.00219609 -222 *2895:316 *5646:232 0 -223 *2938:17 *5646:17 9.56313e-05 -224 *3148:18 *5646:17 0.000426978 -225 *3152:9 *5646:10 0.000100832 -226 *3152:17 *5646:10 3.77147e-05 -227 *3153:98 *27923:B1 3.68633e-05 -228 *3153:300 *5646:311 9.82436e-05 -229 *3153:320 *27675:B1 6.52967e-05 -230 *3153:320 *5646:294 0.000133417 -231 *3159:8 *5646:10 9.25014e-06 -232 *3165:216 *5646:17 7.52518e-05 -233 *3170:92 *5646:157 0.000440416 -234 *3170:92 *5646:161 0.000111243 -235 *3173:94 *5646:93 0.000231259 -236 *3173:110 *5646:102 0.000136535 -237 *3176:110 *5646:63 0.000137261 -238 *3176:111 *5646:38 0.000139913 -239 *3182:97 *5646:63 2.22043e-05 -240 *3182:97 *5646:93 0.000212627 -241 *3185:304 *5646:63 0.00135715 -242 *3185:320 *5646:102 1.28364e-05 -243 *3206:304 *5646:311 0.000177815 -244 *3206:306 *5646:259 0.00140812 -245 *3209:21 *5646:10 4.13595e-05 -246 *3216:199 *5646:161 0.000143468 -247 *3252:13 *5646:252 3.22745e-05 -248 *3320:16 *27822:B1 6.06291e-06 -249 *3413:25 *5646:195 5.55459e-05 -250 *3437:14 *5646:157 0.000339417 -251 *3437:14 *5646:182 5.80706e-06 -252 *3469:19 *5646:34 0.000152626 -253 *3471:10 *27923:B1 7.61504e-05 -254 *3471:10 *5646:118 7.6644e-05 -255 *3482:37 *5646:34 0.000151625 -256 *3484:10 *5646:195 0.000979057 -257 *3484:20 *5646:195 8.30088e-05 -258 *3503:19 *5646:38 2.95495e-05 -259 *3503:27 *5646:63 0.000602202 -260 *3503:28 *5646:118 9.91086e-05 -261 *3503:28 *5646:157 1.12323e-05 -262 *3506:19 *5646:34 0.000180675 -263 *3546:22 *5646:34 0.000271042 -264 *3547:25 *5646:34 0.000127953 -265 *3598:18 *5646:232 0.000602045 -266 *3704:14 *5646:252 0.00247209 -267 *3731:61 *5646:294 4.13496e-05 -268 *3731:61 *5646:311 8.43535e-06 -269 *3762:30 *5646:182 0.00271432 -270 *3762:42 *5646:118 9.15621e-06 -271 *3762:42 *5646:157 0.000539748 -272 *3776:42 *5646:182 0.000394309 -273 *3776:44 *5646:157 1.27529e-05 -274 *3776:44 *5646:161 0.0028991 -275 *3776:44 *5646:163 0.00027652 -276 *3776:44 *5646:175 4.21208e-05 -277 *3777:11 *5646:34 0.000686903 -278 *3777:51 *5646:34 0.000650041 -279 *3780:53 *5646:118 5.2908e-05 -280 *3796:124 *5646:294 0.000143567 -281 *3796:163 *5646:311 0.000142557 -282 *3796:173 *27822:B1 2.15192e-05 -283 *3820:79 *5646:17 8.77729e-05 -284 *3828:61 *5646:93 3.10885e-05 -285 *3830:71 *27898:B1 5.33005e-05 -286 *3830:71 *5646:93 4.4873e-05 -287 *3836:10 *5646:258 3.01877e-05 -288 *3836:24 *5646:232 4.00349e-05 -289 *3840:46 *5646:38 0.000203397 -290 *3841:25 *5646:63 3.77315e-05 -291 *3846:33 *5646:232 1.31821e-05 -292 *3857:74 *5646:157 0.000406005 -293 *3886:79 *5646:232 3.57548e-05 -294 *3907:27 *5646:258 0.000208341 -295 *3916:18 *5646:311 0 -296 *3924:53 *5646:232 0.000764482 -297 *3926:40 *5646:311 7.40571e-05 -298 *3931:26 *5646:93 3.34295e-05 -299 *3935:47 *5646:102 0.000301016 -300 *3951:15 *5646:294 4.34472e-05 -301 *3960:86 *27898:B1 2.22011e-05 -302 *3960:86 *5646:93 0.000943963 -303 *3961:45 *27923:B1 2.89016e-05 -304 *3961:64 *27923:B1 8.43535e-06 -305 *3963:21 *27675:B1 2.59355e-05 -306 *3963:42 *5646:267 6.14836e-06 -307 *3969:7 *5646:311 0.000183726 -308 *3970:40 *27847:B1 2.42843e-05 -309 *4012:39 *5646:34 0.000182854 -310 *4012:39 *5646:63 5.51657e-05 -311 *4013:26 *5646:118 0.000366919 -312 *4014:9 *5646:182 0.00011634 -313 *4014:24 *5646:163 0.00162197 -314 *4014:24 *5646:175 0.000135502 -315 *4024:23 *5646:259 6.57032e-05 -316 *4025:38 *5646:102 0.00220072 -317 *4029:24 *5646:232 3.51442e-05 -318 *4029:24 *5646:258 0.000135737 -319 *4030:27 *5646:294 0.000136958 -320 *4042:10 *5646:252 0.000860035 -321 *4120:11 *5646:252 0.000218734 -322 *4136:11 *5646:311 1.98839e-05 -323 *4502:21 *5646:258 0.000149636 -324 *5504:67 *5646:17 0.000274991 -325 *5584:145 *5646:232 1.37527e-05 -326 *5584:250 *5646:232 0.000135255 -327 *5593:33 *5646:258 1.13786e-05 -328 *5606:44 *5646:10 1.17968e-05 -329 *5606:51 *5646:10 0.00101493 -330 *5623:37 *5646:157 0.000137561 -331 *5627:251 *5646:294 2.06112e-05 -332 *5628:202 *5646:294 0.00148568 -333 *5629:89 *5646:102 0.00126717 -334 *5629:104 *5646:102 0.000331538 -335 *5629:166 *5646:232 0.000815917 -336 *5629:172 *5646:252 0.000393485 -337 *5634:52 *5646:63 2.01997e-05 -338 *5638:162 *5646:294 6.0372e-05 -339 *5640:13 *5646:10 0.000181381 -340 *5640:90 *5646:182 0.000391611 -341 *5640:235 *5646:258 7.68155e-05 -342 *5645:136 *5646:232 1.17968e-05 -*RES -1 *30808:X *5646:10 31.05 -2 *5646:10 *5646:17 47.575 -3 *5646:17 *5646:34 47.7515 -4 *5646:34 *5646:38 13.0357 -5 *5646:38 *27847:B1 15.1929 -6 *5646:38 *5646:63 17.3551 -7 *5646:63 *27874:B1 15.7286 -8 *5646:63 *5646:93 35.1607 -9 *5646:93 *5646:102 47.2589 -10 *5646:102 *5646:118 28.0587 -11 *5646:118 *27923:B1 15.7286 -12 *5646:118 *5646:157 48.2003 -13 *5646:157 *5646:161 39.7768 -14 *5646:161 *5646:163 21.6786 -15 *5646:163 *27947:B1 13.8 -16 *5646:163 *5646:175 14.3571 -17 *5646:175 *5646:182 48.3304 -18 *5646:182 *5646:195 43.8683 -19 *5646:195 *5646:213 41.5446 -20 *5646:213 *27749:B1 9.3 -21 *5646:213 *5646:232 48.5488 -22 *5646:232 *5646:235 5.03571 -23 *5646:235 *27697:B1 9.72857 -24 *5646:235 *5646:252 42.7939 -25 *5646:252 *5646:258 12.4443 -26 *5646:258 *5646:259 44.8929 -27 *5646:259 *27661:A2 9.3 -28 *5646:252 *5646:267 4.03353 -29 *5646:267 *27675:B1 15.9518 -30 *5646:267 *5646:294 39.2222 -31 *5646:294 *5646:311 49.1071 -32 *5646:311 *27773:B1 9.3 -33 *5646:311 *27822:B1 15.5321 -34 *5646:294 *27722:B1 9.3 -35 *5646:93 *27898:B1 9.83571 -*END - -*D_NET *5647 0.125339 -*CONN -*I *27834:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27783:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *6489:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27760:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27659:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27706:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27737:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27685:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27796:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27648:D I *D sky130_fd_sc_hd__or4_1 -*I *30809:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *27834:B1 8.32172e-05 -2 *27783:B1 0.000177827 -3 *6489:DIODE 0 -4 *27760:B1 0 -5 *27659:A2 0.000486732 -6 *27706:A2 6.57184e-05 -7 *27737:A2 9.00259e-05 -8 *27685:B1 0 -9 *27796:B1 0.000108229 -10 *27648:D 0 -11 *30809:X 0.000576154 -12 *5647:175 0.00391268 -13 *5647:159 0.0040693 -14 *5647:133 0.00412177 -15 *5647:125 0.00156638 -16 *5647:116 0.00366732 -17 *5647:109 0.00760924 -18 *5647:82 0.00433152 -19 *5647:70 0.00226258 -20 *5647:62 0.00570163 -21 *5647:59 0.00312141 -22 *5647:49 0.00354093 -23 *5647:41 0.00260742 -24 *5647:39 0.00299774 -25 *5647:18 0.00255567 -26 *5647:17 0.00179543 -27 *5647:10 0.00114831 -28 *27659:A2 *5716:50 0.000428507 -29 *27706:A2 *5820:31 9.41642e-05 -30 *27737:A2 *5862:14 3.44143e-05 -31 *27783:B1 *5703:42 8.25843e-06 -32 *27834:B1 *27834:A2 0.000186219 -33 *5647:10 *5649:8 0.000171375 -34 *5647:10 *5649:29 5.53359e-05 -35 *5647:17 *5654:9 0.000178503 -36 *5647:17 *5656:28 0.000135028 -37 *5647:41 *27202:A0 8.95905e-05 -38 *5647:41 *5652:109 0.000428742 -39 *5647:59 *27834:A2 0.000257619 -40 *5647:70 *5651:260 6.73209e-05 -41 *5647:70 *5651:275 0.000135028 -42 *5647:82 *5718:360 0.000254975 -43 *5647:82 *5759:18 8.69554e-05 -44 *5647:82 *5781:42 0.000413701 -45 *5647:116 *5652:204 8.6229e-06 -46 *5647:116 *5654:108 0.00117501 -47 *5647:116 *5777:208 4.88132e-05 -48 *5647:116 *5873:289 0.000748874 -49 *5647:125 *5702:29 0.000412627 -50 *5647:133 *27609:A2 0.000308665 -51 *5647:133 *27707:A2 0.000296913 -52 *5647:159 *27760:A2 9.60875e-05 -53 *5647:175 *27144:B 0.000184094 -54 *5647:175 *5777:208 2.51343e-06 -55 *5647:175 *5911:173 0.000135014 -56 *25010:B1 *5647:62 0.000507264 -57 *25057:A1 *5647:175 0.000105229 -58 *25057:A2 *5647:175 0.00097339 -59 *25071:B *5647:175 7.53109e-06 -60 *25232:A1 *5647:133 1.00375e-05 -61 *25380:A1 *5647:159 6.81083e-05 -62 *25380:B1 *5647:159 0.000592755 -63 *25728:A *5647:159 0 -64 *26899:A1 *5647:39 0.000196777 -65 *27166:S *5647:18 1.1594e-05 -66 *27384:A2 *5647:82 1.90936e-05 -67 *27466:A2 *5647:49 9.30833e-05 -68 *27473:B1 *5647:41 0.000716831 -69 *27650:A *5647:10 0.000215932 -70 *27659:A1 *27659:A2 1.42868e-05 -71 *27679:B1 *5647:125 0.000528755 -72 *27706:A1 *27706:A2 2.58896e-05 -73 *27709:A *5647:116 3.82242e-05 -74 *27737:A1 *27737:A2 5.33005e-05 -75 *27738:C1 *27737:A2 4.81747e-05 -76 *27760:B2 *27659:A2 9.11048e-06 -77 *27783:A1 *27783:B1 0.0001254 -78 *27783:B2 *27783:B1 4.87953e-05 -79 *27796:A2 *27796:B1 0 -80 *27796:B2 *27796:B1 5.33005e-05 -81 *27807:C1 *5647:18 0.000927113 -82 *27808:A1 *5647:41 0.000120982 -83 *27834:B2 *27834:B1 5.08678e-05 -84 *27834:B2 *5647:59 6.05161e-06 -85 *29277:A *5647:125 0.000215891 -86 *30434:A *5647:41 0.000171157 -87 *1185:175 *5647:82 0.000706601 -88 *1185:175 *5647:109 0.000286427 -89 *1256:173 *5647:159 0.00247845 -90 *1258:90 *5647:49 0.000252438 -91 *1262:220 *5647:39 1.77769e-05 -92 *1262:220 *5647:41 0.000104793 -93 *1262:224 *5647:39 0.00277789 -94 *1267:221 *5647:49 0.000489776 -95 *1269:134 *5647:116 7.95355e-05 -96 *1288:241 *5647:41 0.000173797 -97 *1288:264 *5647:41 0.000345931 -98 *1308:19 *5647:49 0.000150819 -99 *1339:24 *5647:10 0.00096708 -100 *1361:78 *5647:159 0.000135028 -101 *1367:46 *5647:41 0.00188514 -102 *1367:46 *5647:49 0.000523548 -103 *1512:11 *5647:62 1.58163e-05 -104 *1512:11 *5647:70 0.0021621 -105 *1512:11 *5647:82 8.15095e-05 -106 *1551:10 *5647:125 0.00112195 -107 *1551:10 *5647:133 4.75764e-05 -108 *1680:29 *5647:116 0.00100709 -109 *1862:10 *5647:159 0.000978889 -110 *1862:79 *5647:159 0.000231553 -111 *2760:109 *5647:70 0.000261168 -112 *2760:157 *5647:109 0.000136961 -113 *2767:66 *5647:59 0.0013816 -114 *2786:38 *5647:109 0.000708426 -115 *2786:87 *5647:59 0.000144038 -116 *2790:8 *5647:18 0.000185949 -117 *2791:233 *5647:159 0 -118 *2792:26 *5647:159 0.000210382 -119 *2794:183 *5647:41 0.000425397 -120 *2844:275 *5647:70 8.19726e-05 -121 *2844:275 *5647:82 0.000394347 -122 *2844:277 *5647:82 0.000282762 -123 *2845:286 *5647:82 0 -124 *2845:286 *5647:109 0 -125 *2848:242 *5647:82 0.000170117 -126 *2859:283 *5647:82 0.00150626 -127 *2859:331 *5647:41 0.000412005 -128 *2864:125 *5647:39 0.00269463 -129 *2864:321 *5647:125 0.000185037 -130 *2867:199 *5647:70 0.000143076 -131 *2867:222 *5647:70 0.000123605 -132 *2871:277 *5647:82 0.000328004 -133 *2877:253 *5647:49 0.0012355 -134 *2885:97 *5647:49 0.000281685 -135 *2892:209 *5647:49 0.000167946 -136 *3009:9 *5647:41 6.01314e-05 -137 *3164:222 *27796:B1 0.000118893 -138 *3170:245 *5647:109 0.000265189 -139 *3177:24 *5647:18 0.000569267 -140 *3177:35 *5647:18 0.00173414 -141 *3177:35 *5647:39 0.000160143 -142 *3177:72 *5647:62 0.000793029 -143 *3177:85 *5647:82 0.000234887 -144 *3179:42 *5647:18 0.00142282 -145 *3179:123 *5647:82 0.000204981 -146 *3192:9 *5647:10 0.000218404 -147 *3206:219 *5647:41 0.00127001 -148 *3206:229 *5647:41 0.00187731 -149 *3259:13 *5647:116 5.33005e-05 -150 *3293:14 *5647:82 0.00122983 -151 *3690:46 *5647:49 0.000133082 -152 *3699:15 *27659:A2 0.000721863 -153 *3703:10 *5647:70 0.000172722 -154 *3704:10 *5647:133 1.44868e-05 -155 *3704:10 *5647:159 2.06112e-05 -156 *3705:51 *27737:A2 4.75956e-06 -157 *3705:61 *27737:A2 1.19191e-05 -158 *3708:68 *5647:39 8.41284e-06 -159 *3773:24 *5647:18 4.31097e-05 -160 *3783:66 *5647:109 0.00112566 -161 *3799:36 *5647:18 0.00170866 -162 *3799:36 *5647:39 0.000115467 -163 *3800:46 *5647:41 9.97754e-05 -164 *3826:60 *5647:41 0.000398923 -165 *3865:38 *5647:18 4.98496e-06 -166 *3865:38 *5647:39 0.000432143 -167 *3865:38 *5647:41 1.51936e-05 -168 *3877:41 *27796:B1 5.22083e-05 -169 *3913:26 *5647:109 0.000759116 -170 *3913:33 *5647:109 0.00108851 -171 *3926:44 *27737:A2 4.04359e-05 -172 *3939:51 *5647:82 5.55763e-05 -173 *3939:63 *5647:82 6.7812e-05 -174 *3956:25 *5647:49 0.000153954 -175 *3963:42 *5647:125 0.000106304 -176 *3977:8 *5647:125 0.000713944 -177 *3977:15 *5647:125 0.000219462 -178 *3993:14 *5647:18 0.000630461 -179 *3993:14 *5647:39 0.000418295 -180 *3993:14 *5647:41 0.000683692 -181 *3998:17 *5647:159 0.000232041 -182 *4016:8 *5647:133 0.000183016 -183 *4016:8 *5647:159 4.22135e-06 -184 *4017:56 *5647:116 7.3384e-06 -185 *4094:17 *5647:125 1.11048e-05 -186 *4094:17 *5647:133 0.000756435 -187 *4094:20 *5647:125 0.00148804 -188 *4095:67 *5647:82 0 -189 *4096:25 *27659:A2 0 -190 *4109:20 *27659:A2 7.00299e-05 -191 *4125:53 *5647:49 0.000136676 -192 *4145:35 *5647:70 4.34338e-05 -193 *4149:57 *27783:B1 0.000136682 -194 *5584:269 *5647:175 4.72321e-05 -195 *5589:74 *5647:159 0.000302232 -196 *5592:25 *5647:17 0.000296159 -197 *5601:75 *5647:59 0.000268244 -198 *5601:83 *27834:B1 2.42516e-05 -199 *5601:83 *5647:59 0.000108497 -200 *5627:197 *5647:59 0.000151506 -201 *5628:189 *5647:116 0.000367988 -202 *5628:212 *5647:133 2.11419e-05 -203 *5628:212 *5647:159 2.83129e-05 -204 *5628:216 *5647:133 9.96264e-05 -205 *5630:161 *5647:70 0.000203235 -206 *5630:246 *5647:82 0.000130141 -207 *5630:307 *27659:A2 0.000554139 -208 *5631:88 *5647:125 1.17396e-05 -209 *5635:104 *5647:59 0.00014576 -210 *5645:39 *5647:18 0.000714898 -211 *5645:104 *5647:70 0.0010833 -*RES -1 *30809:X *5647:10 31.55 -2 *5647:10 *27648:D 9.3 -3 *5647:10 *5647:17 14.4821 -4 *5647:17 *5647:18 50.8214 -5 *5647:18 *27796:B1 16.4071 -6 *5647:18 *5647:39 44.9911 -7 *5647:39 *5647:41 64.6875 -8 *5647:41 *5647:49 41.0893 -9 *5647:49 *5647:59 43.1429 -10 *5647:59 *5647:62 14.8929 -11 *5647:62 *5647:70 49.2321 -12 *5647:70 *27685:B1 9.3 -13 *5647:62 *5647:82 28.8431 -14 *5647:82 *27737:A2 21.8191 -15 *5647:82 *5647:109 38.5096 -16 *5647:109 *5647:116 47.0179 -17 *5647:116 *5647:125 40.8839 -18 *5647:125 *5647:133 13.7232 -19 *5647:133 *27706:A2 15.175 -20 *5647:133 *5647:159 42.9518 -21 *5647:159 *27659:A2 34.2286 -22 *5647:159 *27760:B1 9.3 -23 *5647:109 *5647:175 42.4286 -24 *5647:175 *6489:DIODE 9.3 -25 *5647:175 *27783:B1 13.2286 -26 *5647:49 *27834:B1 11.4964 -*END - -*D_NET *5648 0.0187072 -*CONN -*I *25014:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30696:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 -*CAP -1 *25014:B2 0.00114289 -2 *30696:X 0.000298938 -3 *5648:8 0.00317972 -4 *5648:7 0.00233577 -5 *25014:B2 *25320:B2 2.32517e-05 -6 *5648:7 *5692:13 0.000235164 -7 *24849:A *25014:B2 0.000262504 -8 *25014:A2 *25014:B2 3.03567e-05 -9 *2767:34 *25014:B2 0.000103993 -10 *2772:177 *25014:B2 0.00022445 -11 *3558:224 *25014:B2 0.000420457 -12 *4069:187 *5648:8 0 -13 *4185:67 *5648:8 0.000970873 -14 *4186:58 *5648:8 0.00294731 -15 *4214:37 *5648:7 2.27951e-05 -16 *4214:38 *5648:8 0.00412603 -17 *4215:34 *5648:8 0.00114123 -18 *5586:138 *5648:7 0.0001399 -19 *5586:162 *5648:8 0.0011016 -*RES -1 *30696:X *5648:7 20.4964 -2 *5648:7 *5648:8 103.946 -3 *5648:8 *25014:B2 42.8714 -*END - -*D_NET *5649 0.167496 -*CONN -*I *27808:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27827:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27777:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27735:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *6491:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27678:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27655:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27753:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *6490:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27953:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27714:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27648:C I *D sky130_fd_sc_hd__or4_1 -*I *30810:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *27808:B1 0.000485624 -2 *27827:B1 0 -3 *27777:B1 0 -4 *27735:B1 0.00014564 -5 *6491:DIODE 8.66221e-05 -6 *27678:B1 0 -7 *27655:A2 0 -8 *27753:B1 1.36214e-05 -9 *6490:DIODE 0 -10 *27953:A2 0.00109681 -11 *27714:A2 0.00118973 -12 *27648:C 2.99504e-05 -13 *30810:X 0.000983267 -14 *5649:310 0.00136992 -15 *5649:290 0.00264624 -16 *5649:273 0.00183544 -17 *5649:249 0.00106104 -18 *5649:243 0.0034383 -19 *5649:233 0.00382733 -20 *5649:223 0.00154505 -21 *5649:220 0.00126414 -22 *5649:217 0.0020161 -23 *5649:176 0.00651082 -24 *5649:159 0.00557948 -25 *5649:157 0.000907879 -26 *5649:151 0.00396839 -27 *5649:137 0.00667429 -28 *5649:128 0.00463335 -29 *5649:120 0.00329283 -30 *5649:116 0.00272945 -31 *5649:99 0.0020699 -32 *5649:91 0.00229374 -33 *5649:82 0.00387913 -34 *5649:66 0.00260235 -35 *5649:55 0.00471402 -36 *5649:48 0.00254333 -37 *5649:29 0.00235859 -38 *5649:8 0.00211559 -39 *27648:C *5656:28 9.41642e-05 -40 *27714:A2 *27139:A0 0.000135028 -41 *27714:A2 *28701:D 1.24368e-05 -42 *27714:A2 *5694:254 0.000257619 -43 *5649:29 *5650:8 9.65075e-05 -44 *5649:48 *5650:8 0.000556055 -45 *5649:48 *5656:34 0.000762177 -46 *5649:66 *28701:D 0.000183591 -47 *5649:82 *5650:198 5.08484e-05 -48 *5649:82 *5656:34 0.000124878 -49 *5649:91 *27051:A1 0.000124677 -50 *5649:91 *29037:A 0.000513585 -51 *5649:99 *28623:D 0.000147211 -52 *5649:116 *5718:345 0.000129641 -53 *5649:116 *5829:113 0.000464471 -54 *5649:120 *26984:A0 2.84009e-05 -55 *5649:120 *28123:SET_B 0.000231684 -56 *5649:120 *28563:SET_B 0.000393058 -57 *5649:120 *5654:68 0 -58 *5649:120 *5718:345 0.000646204 -59 *5649:120 *5718:354 0 -60 *5649:137 *5819:10 1.00073e-05 -61 *5649:137 *6225:135 2.01997e-05 -62 *5649:176 *5656:153 0 -63 *5649:176 *5848:63 2.65052e-05 -64 *5649:217 *28684:RESET_B 0.000210918 -65 *5649:217 *5661:267 0.00010891 -66 *5649:233 *5748:10 9.71108e-05 -67 *5649:243 *27077:A0 5.31994e-05 -68 *5649:243 *27748:B1 4.87123e-05 -69 *5649:249 *26884:A0 2.06178e-05 -70 *5649:249 *27041:A0 0.000173797 -71 *5649:249 *5832:8 0.00045065 -72 *5649:310 *5656:310 4.65519e-05 -73 *24971:A2 *5649:8 8.13563e-05 -74 *24972:C1 *5649:8 0.00015234 -75 *24988:A2 *5649:116 0.000426563 -76 *25026:C1 *5649:99 0.000256361 -77 *25050:A1 *5649:290 2.42516e-05 -78 *25050:B1 *5649:290 0.000163364 -79 *25077:A2 *5649:176 0.000363124 -80 *25202:A1 *5649:233 0.00145598 -81 *25377:A1 *5649:176 0.000228948 -82 *27028:A1 *5649:249 0.000269258 -83 *27137:A1 *5649:217 0.000119704 -84 *27139:S *27714:A2 4.35597e-05 -85 *27176:A1 *5649:116 7.34839e-05 -86 *27339:B2 *5649:217 0.00021346 -87 *27412:A1 *5649:151 0.000115446 -88 *27426:B2 *5649:310 0 -89 *27427:A2 *5649:137 0 -90 *27427:A2 *5649:273 0.000116076 -91 *27428:A2 *5649:137 2.8491e-05 -92 *27428:A2 *5649:273 0.00038545 -93 *27438:A2 *5649:137 0 -94 *27442:C1 *5649:48 2.01997e-05 -95 *27443:B1 *5649:29 5.33072e-05 -96 *27453:A2 *5649:91 4.58194e-05 -97 *27453:B2 *5649:91 2.59355e-05 -98 *27612:C *5649:29 0.000188471 -99 *27638:B *5649:29 4.19624e-06 -100 *27647:B *5649:29 0.000176952 -101 *27649:C *5649:8 0.000547135 -102 *27649:C *5649:29 0.000136353 -103 *27650:A *5649:8 1.3142e-05 -104 *27650:A *5649:29 0.000334912 -105 *27655:B1 *5649:249 0.00108024 -106 *27656:C1 *5649:249 0.000137983 -107 *27676:A1 *5649:217 0.000172026 -108 *27677:A1 *5649:137 0.000220471 -109 *27677:A1 *5649:151 1.21258e-05 -110 *27677:B2 *5649:151 2.76645e-05 -111 *27678:A1 *5649:223 2.59355e-05 -112 *27678:A1 *5649:233 0.000129991 -113 *27679:B2 *5649:220 2.30116e-06 -114 *27679:C1 *5649:223 0.000168334 -115 *27679:C1 *5649:233 0.000137983 -116 *27682:B *5649:217 1.94945e-05 -117 *27682:C *5649:217 0.00164445 -118 *27714:A1 *27714:A2 7.48927e-06 -119 *27714:B1 *27714:A2 5.04841e-06 -120 *27735:A1 *27735:B1 5.33005e-05 -121 *27736:B2 *5649:128 0.00173151 -122 *27736:B2 *5649:137 9.14914e-05 -123 *27747:A2 *5649:220 1.01075e-05 -124 *27748:A1 *5649:243 0.000118986 -125 *27750:B1 *5649:243 2.35126e-05 -126 *27751:A2 *5649:217 3.11198e-05 -127 *27752:A1 *5649:151 2.45098e-05 -128 *27753:A1 *5649:176 5.42322e-05 -129 *27753:A2 *5649:157 5.13023e-05 -130 *27753:A2 *5649:159 1.98839e-05 -131 *27753:B2 *5649:157 1.74959e-05 -132 *27754:C1 *5649:157 0.000223388 -133 *27758:B2 *5649:220 0.00161559 -134 *27777:B2 *5649:310 2.50535e-05 -135 *27800:A2 *5649:29 0.000377869 -136 *27801:B1 *5649:29 0.00051979 -137 *27808:A1 *27808:B1 5.33005e-05 -138 *27810:A1 *5649:66 0.000158989 -139 *27811:B2 *5649:91 8.46644e-05 -140 *27818:B1 *5649:48 0.000175892 -141 *27946:A1 *27953:A2 0.000199058 -142 *27946:A2 *27953:A2 2.06112e-05 -143 *27946:B2 *27953:A2 0.000729974 -144 *27956:A *27953:A2 4.58194e-05 -145 *27956:B *27953:A2 1.03105e-05 -146 *28444:CLK *5649:176 0.000111243 -147 *28494:CLK *5649:243 0.000507411 -148 *28923:A *5649:137 0.000182608 -149 *29659:A *27714:A2 8.43535e-06 -150 *29659:A *5649:66 0.000133705 -151 *29862:A *5649:249 0.000158584 -152 *30037:A *5649:116 9.41642e-05 -153 *30113:A *27953:A2 0.000135028 -154 *30486:A *5649:120 0.000309701 -155 *74:11 *5649:48 4.65519e-05 -156 *1252:207 *27735:B1 0.000361764 -157 *1252:207 *5649:290 0.00290582 -158 *1256:113 *5649:217 1.94879e-05 -159 *1257:146 *5649:176 0.000180722 -160 *1267:49 *5649:176 2.10272e-05 -161 *1267:65 *5649:137 0.000339346 -162 *1267:163 *5649:137 0.00133105 -163 *1273:144 *5649:82 0.000339417 -164 *1274:126 *5649:120 6.52967e-05 -165 *1275:225 *5649:151 8.93791e-05 -166 *1277:188 *5649:116 6.26177e-05 -167 *1281:145 *5649:120 6.85608e-05 -168 *1284:49 *5649:176 0.000136517 -169 *1290:148 *5649:66 0.000180355 -170 *1327:28 *5649:176 0 -171 *1328:175 *5649:66 0.000137671 -172 *1328:179 *5649:91 6.09762e-05 -173 *1363:114 *27714:A2 8.18197e-05 -174 *1363:114 *5649:66 0.000208469 -175 *1368:37 *5649:91 0.000135908 -176 *1390:15 *5649:310 0.000138071 -177 *1399:93 *27953:A2 9.41642e-05 -178 *1430:90 *5649:176 0.000136517 -179 *1435:33 *27953:A2 0.000170263 -180 *1435:143 *5649:176 7.49993e-05 -181 *1439:49 *5649:176 8.78763e-05 -182 *1463:55 *5649:243 0.00113175 -183 *1463:67 *5649:233 9.7759e-06 -184 *1463:67 *5649:243 5.96516e-05 -185 *1469:34 *5649:176 0.000337934 -186 *1472:25 *5649:176 0.000236161 -187 *1487:18 *27953:A2 0.000300412 -188 *1490:102 *5649:120 7.04145e-05 -189 *1507:29 *5649:120 0.00074433 -190 *1510:8 *5649:128 0.000102658 -191 *1510:27 *5649:128 6.03923e-06 -192 *1562:45 *5649:151 4.58194e-05 -193 *1647:29 *27953:A2 1.40034e-05 -194 *1665:20 *27953:A2 3.17684e-05 -195 *1665:20 *5649:176 5.32506e-05 -196 *1672:32 *5649:176 3.67326e-05 -197 *1826:132 *5649:120 4.28365e-05 -198 *1826:144 *5649:120 0.000350666 -199 *2768:22 *27808:B1 0.000534652 -200 *2768:22 *5649:91 0.000523832 -201 *2775:156 *5649:176 6.89133e-05 -202 *2780:150 *5649:116 0.000135028 -203 *2786:89 *5649:99 0.00213576 -204 *2788:22 *5649:128 0.00010283 -205 *2791:139 *5649:55 3.00664e-05 -206 *2844:304 *5649:157 9.58126e-05 -207 *2845:247 *5649:128 0.00236638 -208 *2845:250 *5649:128 0.000782498 -209 *2845:250 *5649:273 0.00015045 -210 *2845:286 *5649:273 7.04467e-05 -211 *2845:392 *5649:55 4.01089e-05 -212 *2848:206 *5649:116 0.000356538 -213 *2848:206 *5649:120 2.78346e-05 -214 *2851:24 *5649:176 0.000216895 -215 *2853:236 *5649:128 0.000235947 -216 *2853:251 *5649:137 0.000258953 -217 *2856:294 *27808:B1 6.13772e-05 -218 *2860:209 *5649:120 0.00043895 -219 *2860:363 *5649:91 6.28248e-05 -220 *2861:39 *5649:66 0.000135846 -221 *2864:292 *5649:137 1.01804e-05 -222 *2866:39 *5649:91 4.87953e-05 -223 *2871:259 *5649:120 0.000329663 -224 *2872:376 *5649:310 0.000233124 -225 *2880:58 *5649:137 0 -226 *2880:108 *5649:116 0.00058715 -227 *2880:118 *5649:116 0 -228 *2888:258 *5649:223 5.74499e-06 -229 *2891:146 *5649:116 2.11419e-05 -230 *2891:147 *5649:116 2.75484e-05 -231 *2891:200 *5649:151 0.000124459 -232 *2892:280 *5649:217 9.41642e-05 -233 *2895:256 *5649:55 0.000277701 -234 *2938:6 *5649:116 5.31122e-05 -235 *3153:284 *5649:290 0.000139809 -236 *3153:284 *5649:310 0.00128646 -237 *3164:20 *5649:29 2.14474e-05 -238 *3164:346 *5649:233 9.87648e-05 -239 *3170:251 *5649:217 4.77147e-05 -240 *3173:158 *27753:B1 1.00733e-05 -241 *3173:158 *5649:159 0.000180951 -242 *3174:239 *5649:290 0.00095815 -243 *3176:230 *5649:55 0 -244 *3176:230 *5649:82 0 -245 *3179:42 *5649:29 0.000422997 -246 *3184:15 *5649:8 0.000804319 -247 *3185:22 *5649:29 3.15832e-05 -248 *3185:22 *5649:48 0.000559706 -249 *3185:24 *5649:55 0.00136579 -250 *3185:24 *5649:82 0.000250865 -251 *3185:164 *5649:82 0.000170956 -252 *3193:60 *27808:B1 6.13772e-05 -253 *3195:77 *5649:29 0.000428004 -254 *3197:85 *27953:A2 2.22618e-05 -255 *3206:180 *5649:29 0.000787266 -256 *3206:180 *5649:48 2.35985e-05 -257 *3206:199 *5649:82 0.00010308 -258 *3215:25 *27714:A2 0.00125716 -259 *3215:178 *5649:55 2.52241e-05 -260 *3215:218 *5649:151 0.000257034 -261 *3215:242 *5649:157 0.000237742 -262 *3227:8 *5649:217 2.03752e-05 -263 *3230:6 *5649:217 0.000394342 -264 *3230:6 *5649:220 0.00226847 -265 *3340:19 *5649:55 5.88248e-05 -266 *3340:19 *5649:82 0.000608405 -267 *3360:11 *5649:82 0.000130991 -268 *3389:20 *5649:176 0.00134302 -269 *3496:7 *27953:A2 1.34548e-05 -270 *3542:27 *27953:A2 1.94945e-05 -271 *3574:34 *5649:66 0.000112823 -272 *3576:57 *5649:176 0 -273 *3581:118 *5649:243 0.000204562 -274 *3622:7 *5649:137 9.41642e-05 -275 *3670:24 *5649:8 0.000125555 -276 *3679:55 *5649:137 0 -277 *3699:24 *5649:176 0.000226852 -278 *3729:44 *5649:151 2.48548e-05 -279 *3729:44 *5649:157 4.7486e-05 -280 *3770:48 *5649:137 0.000169454 -281 *3781:22 *5649:233 6.86792e-05 -282 *3784:79 *5649:176 4.66257e-05 -283 *3785:41 *5649:290 0.000257619 -284 *3787:56 *5649:99 2.44318e-05 -285 *3790:71 *5649:176 0.000729323 -286 *3820:106 *5649:137 7.27549e-05 -287 *3846:33 *5649:220 0.000130336 -288 *3846:34 *5649:217 0.000453561 -289 *3850:64 *5649:310 0.000194271 -290 *3851:54 *5649:29 0 -291 *3868:74 *5649:176 0.000337934 -292 *3887:60 *5649:120 0.000607337 -293 *3904:25 *5649:8 5.51502e-05 -294 *3904:36 *5649:8 6.7257e-05 -295 *3904:38 *5649:8 0.000271743 -296 *3913:33 *5649:273 0.000225106 -297 *3913:43 *5649:120 6.35819e-05 -298 *3916:20 *5649:290 0.00095815 -299 *3916:20 *5649:310 0.000444242 -300 *3952:57 *5649:128 0.000744274 -301 *3969:16 *5649:310 0.000197633 -302 *4017:56 *5649:220 1.90936e-05 -303 *4023:10 *27953:A2 2.09897e-05 -304 *4039:39 *5649:243 0.000100823 -305 *4054:17 *5649:220 0.000241399 -306 *4054:25 *5649:217 1.32511e-05 -307 *4068:16 *5649:137 5.00087e-06 -308 *4069:132 *5649:176 0.000754144 -309 *4070:39 *5649:243 6.05161e-06 -310 *4085:87 *5649:29 0.000106699 -311 *4085:87 *5649:48 0.000818838 -312 *4086:68 *5649:8 0.000497881 -313 *4086:68 *5649:29 0.000170561 -314 *4095:67 *5649:128 0.000458267 -315 *4095:67 *5649:273 0.000114652 -316 *4108:123 *5649:273 0.000225106 -317 *4122:28 *5649:243 0.00035936 -318 *4128:11 *5649:249 2.14658e-05 -319 *4128:18 *5649:249 4.87953e-05 -320 *4128:20 *5649:249 0.00300036 -321 *4138:62 *5649:116 0.000335194 -322 *4141:34 *5649:176 0.000468493 -323 *4150:33 *27808:B1 1.21258e-05 -324 *4150:33 *5649:91 1.55885e-05 -325 *5485:145 *5649:99 0.000882189 -326 *5485:145 *5649:116 0.000333486 -327 *5535:46 *5649:55 0.00137006 -328 *5535:46 *5649:82 0.000116155 -329 *5590:47 *5649:176 0.000111686 -330 *5590:50 *5649:176 0 -331 *5590:79 *5649:176 0 -332 *5590:87 *5649:151 8.02717e-05 -333 *5590:131 *5649:220 0.000326191 -334 *5592:25 *27648:C 9.41642e-05 -335 *5595:22 *5649:128 0.000120169 -336 *5595:57 *5649:310 0.000522539 -337 *5601:175 *5649:151 0.000116971 -338 *5605:60 *27714:A2 0.000450226 -339 *5605:116 *5649:176 1.02969e-05 -340 *5605:127 *5649:176 0.000180722 -341 *5608:42 *5649:8 0.00102729 -342 *5627:208 *5649:310 1.24157e-05 -343 *5627:216 *5649:310 0.000413446 -344 *5628:121 *5649:310 4.65519e-05 -345 *5630:246 *5649:128 5.62624e-06 -346 *5630:292 *5649:243 1.03245e-05 -347 *5632:53 *5649:120 0.000755294 -348 *5632:90 *27735:B1 0.000301016 -349 *5632:90 *5649:290 0.00190298 -350 *5632:131 *5649:151 3.37118e-05 -351 *5632:131 *5649:217 0.00024362 -352 *5632:161 *5649:151 0.000104896 -353 *5633:152 *5649:137 5.70696e-05 -354 *5635:101 *5649:99 0.000109153 -355 *5635:101 *5649:116 1.21258e-05 -356 *5635:187 *6491:DIODE 1.65169e-05 -357 *5635:187 *5649:223 5.41609e-05 -358 *5639:25 *5649:29 0.000219909 -359 *5640:167 *5649:243 0.000232362 -360 *5641:162 *5649:243 0.000137139 -361 *5641:243 *5649:220 4.85755e-05 -362 *5643:84 *27953:A2 0.00117347 -363 *5643:92 *27953:A2 0.000254697 -364 *5643:148 *5649:128 8.01895e-05 -365 *5643:269 *5649:243 0.000105471 -366 *5644:37 *5649:82 0.000231894 -367 *5647:10 *5649:8 0.000171375 -368 *5647:10 *5649:29 5.53359e-05 -*RES -1 *30810:X *5649:8 45.4607 -2 *5649:8 *27648:C 14.7464 -3 *5649:8 *5649:29 42.4554 -4 *5649:29 *5649:48 43.3303 -5 *5649:48 *5649:55 26.2457 -6 *5649:55 *5649:66 33.0765 -7 *5649:66 *27714:A2 32.8536 -8 *5649:55 *5649:82 7.781 -9 *5649:82 *5649:91 27.0268 -10 *5649:91 *5649:99 34.3571 -11 *5649:99 *5649:116 39.2411 -12 *5649:116 *5649:120 49.6779 -13 *5649:120 *5649:128 11.3514 -14 *5649:128 *5649:137 38.3425 -15 *5649:137 *5649:151 45.8348 -16 *5649:151 *5649:157 12.2009 -17 *5649:157 *5649:159 2.17857 -18 *5649:159 *5649:176 39.5651 -19 *5649:176 *27953:A2 43.5701 -20 *5649:159 *6490:DIODE 9.3 -21 *5649:157 *27753:B1 9.72857 -22 *5649:137 *5649:217 42.8571 -23 *5649:217 *5649:220 37.4107 -24 *5649:220 *5649:223 2.60714 -25 *5649:223 *5649:233 29.5 -26 *5649:233 *5649:243 40.036 -27 *5649:243 *5649:249 44.8125 -28 *5649:249 *27655:A2 9.3 -29 *5649:223 *27678:B1 9.3 -30 *5649:220 *6491:DIODE 10.2464 -31 *5649:128 *5649:273 15.4616 -32 *5649:273 *27735:B1 13.675 -33 *5649:273 *5649:290 49.2877 -34 *5649:290 *5649:310 41.8537 -35 *5649:310 *27777:B1 9.3 -36 *5649:99 *27827:B1 9.3 -37 *5649:82 *27808:B1 20.4168 -*END - -*D_NET *5650 0.199828 -*CONN -*I *27829:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27778:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27722:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27680:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27667:A2 I *D sky130_fd_sc_hd__a211o_1 -*I *27755:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27812:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27711:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27879:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27854:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27897:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27960:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27929:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *30811:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *27829:A2 0 -2 *27778:A2 0 -3 *27722:A2 0.00155924 -4 *27680:A2 0.000607994 -5 *27667:A2 0 -6 *27755:A2 0 -7 *27812:A2 0 -8 *27711:B1 4.95152e-05 -9 *27879:A2 0.00026598 -10 *27854:A2 0.000538235 -11 *27897:A2 0.000278314 -12 *27960:A2 0.000983591 -13 *27929:A2 8.08329e-05 -14 *30811:X 0 -15 *5650:352 0.0024535 -16 *5650:335 0.00220158 -17 *5650:329 0.00341657 -18 *5650:327 0.0025495 -19 *5650:304 0.0014557 -20 *5650:297 0.00507306 -21 *5650:285 0.00429191 -22 *5650:280 0.00178272 -23 *5650:268 0.00245864 -24 *5650:255 0.0028674 -25 *5650:250 0.0038795 -26 *5650:234 0.00589067 -27 *5650:231 0.00461304 -28 *5650:214 0.00339831 -29 *5650:198 0.00555884 -30 *5650:178 0.00358532 -31 *5650:133 0.00317325 -32 *5650:122 0.00355168 -33 *5650:80 0.00145462 -34 *5650:75 0.00303252 -35 *5650:67 0.003971 -36 *5650:51 0.00390578 -37 *5650:42 0.0023752 -38 *5650:31 0.00424927 -39 *5650:14 0.00411778 -40 *5650:8 0.00147993 -41 *5650:5 0.00110595 -42 *27722:A2 *5656:321 0.000926057 -43 *27854:A2 *5657:49 0.000301354 -44 *27897:A2 *5657:49 0.000219514 -45 *27897:A2 *5718:174 2.09897e-05 -46 *27960:A2 *28329:RESET_B 0.000310132 -47 *27960:A2 *5686:15 0.00026745 -48 *27960:A2 *5687:14 4.00349e-05 -49 *27960:A2 *5707:28 2.15258e-05 -50 *5650:14 *5755:51 0.000123295 -51 *5650:31 *27133:A0 1.00073e-05 -52 *5650:31 *27163:A0 0.000196301 -53 *5650:31 *5694:71 0.000170027 -54 *5650:31 *5694:251 0.00226222 -55 *5650:31 *5700:98 4.98104e-05 -56 *5650:51 *5656:77 0.0012586 -57 *5650:75 *28329:RESET_B 1.39711e-05 -58 *5650:75 *28379:RESET_B 0.000205656 -59 *5650:122 *5687:29 0.000823421 -60 *5650:122 *5691:10 1.10866e-05 -61 *5650:122 *5691:51 1.90936e-05 -62 *5650:133 *28156:RESET_B 0.00034598 -63 *5650:133 *5738:100 7.08964e-05 -64 *5650:198 *5656:34 2.04825e-05 -65 *5650:231 *5814:12 0.000198705 -66 *5650:234 *26984:A0 2.22891e-05 -67 *5650:250 *5778:190 0.000700287 -68 *5650:255 *6247:34 0.00260856 -69 *5650:268 *6280:18 0.000726221 -70 *5650:280 *27149:A0 1.90936e-05 -71 *5650:285 *27104:S 0.000163129 -72 *5650:297 *5704:18 0 -73 *5650:304 *5669:245 0.000167385 -74 *5650:304 *5715:44 4.11173e-05 -75 *5650:304 *5715:63 0.000967392 -76 *5650:304 *5909:17 0.000630365 -77 *5650:327 *5814:12 0.00022117 -78 *5650:329 *5660:189 0.000645023 -79 *5650:329 *5777:247 0.00158677 -80 *5650:329 *5862:14 8.51637e-06 -81 *5650:335 *5814:12 0.00283625 -82 *5650:335 *5879:98 6.06291e-05 -83 *5650:352 *28525:RESET_B 8.04753e-05 -84 *5650:352 *5774:62 6.36607e-05 -85 *5650:352 *5810:17 0.000262504 -86 *5650:352 *5873:281 2.04825e-05 -87 *6487:DIODE *5650:178 0.000257619 -88 *6487:DIODE *5650:198 2.05612e-05 -89 *24854:A *5650:31 1.99652e-05 -90 *25017:A1 *5650:31 0.000165156 -91 *25047:A2 *5650:329 1.94879e-05 -92 *25065:B2 *5650:329 0.000434435 -93 *25066:A1 *5650:329 0.0019873 -94 *25066:B2 *5650:329 4.8365e-05 -95 *25066:C1 *5650:329 0.000886653 -96 *25145:A2 *5650:285 3.10885e-05 -97 *25146:A2 *5650:280 9.97669e-05 -98 *25146:B2 *5650:285 9.61073e-06 -99 *25148:B *5650:285 4.78097e-05 -100 *25148:D *5650:285 0.000160131 -101 *25186:A2 *5650:335 7.69776e-06 -102 *25203:B1 *5650:285 6.27272e-06 -103 *25203:B1 *5650:297 8.42006e-05 -104 *25250:A2 *5650:268 1.58163e-05 -105 *25290:A2 *5650:250 0.000288012 -106 *25300:A1 *27879:A2 0.000135028 -107 *25357:A1 *5650:67 0.000578046 -108 *25357:A2 *5650:67 0.000291635 -109 *25357:B1 *5650:67 8.74106e-05 -110 *25357:B2 *5650:67 0.00172326 -111 *25376:B1 *5650:67 2.05612e-05 -112 *25403:A2 *5650:297 0.000337438 -113 *25561:A0 *5650:335 0.000257491 -114 *25900:A *5650:304 1.09026e-05 -115 *25935:A1 *5650:75 7.27549e-05 -116 *25935:S *5650:122 0.00122328 -117 *26984:A1 *5650:234 1.97756e-05 -118 *26984:S *5650:234 0.00129009 -119 *27064:A1 *5650:250 7.55769e-05 -120 *27163:S *5650:31 0.00014051 -121 *27336:A1 *27680:A2 0.000135028 -122 *27351:A2 *5650:250 2.37859e-05 -123 *27351:B1 *5650:250 0.000842518 -124 *27406:B1 *5650:285 2.53281e-05 -125 *27413:B2 *5650:280 0.000722964 -126 *27415:A1 *5650:280 9.32491e-05 -127 *27417:C1 *27680:A2 0.000260574 -128 *27417:C1 *5650:268 0.000684695 -129 *27422:C1 *5650:329 8.00806e-05 -130 *27465:A1 *5650:231 9.8426e-05 -131 *27667:B1 *5650:304 4.79842e-05 -132 *27667:C1 *5650:304 0.000778532 -133 *27680:B1 *27680:A2 7.95355e-05 -134 *27681:C1 *27680:A2 0.000180847 -135 *27692:A *5650:234 0.000197894 -136 *27711:A1 *27711:B1 0.00016641 -137 *27711:A1 *5650:51 5.33005e-05 -138 *27711:B2 *27711:B1 9.66756e-05 -139 *27711:C1 *27711:B1 5.33005e-05 -140 *27711:C1 *5650:51 0.000505335 -141 *27722:A1 *27722:A2 5.52238e-05 -142 *27733:A *27722:A2 0.000168491 -143 *27754:A2 *5650:268 2.87555e-06 -144 *27755:B1 *5650:285 2.07809e-05 -145 *27755:B2 *5650:285 3.33583e-05 -146 *27756:A1 *5650:285 1.05524e-05 -147 *27756:A2 *5650:280 3.39755e-05 -148 *27756:A2 *5650:285 0 -149 *27756:B2 *5650:285 3.54937e-05 -150 *27756:C1 *5650:285 8.00806e-05 -151 *27757:A1 *5650:268 0.000295164 -152 *27757:A1 *5650:280 0.000148189 -153 *27778:B1 *27722:A2 0.00011427 -154 *27779:C1 *5650:352 0.000135528 -155 *27812:A1 *5650:178 1.21258e-05 -156 *27812:A1 *5650:198 9.60939e-05 -157 *27812:B1 *5650:178 2.42516e-05 -158 *27812:B2 *5650:31 0.000165123 -159 *27812:B2 *5650:178 5.96516e-05 -160 *27829:B1 *5650:231 6.05161e-06 -161 *27855:C1 *27854:A2 0.000158853 -162 *27866:C *27854:A2 1.92905e-05 -163 *27880:C1 *27879:A2 2.89114e-05 -164 *27883:A1 *27854:A2 0.000324117 -165 *27900:B2 *27897:A2 6.57032e-05 -166 *27900:C1 *27854:A2 2.06112e-05 -167 *27903:C1 *27897:A2 2.84109e-05 -168 *27929:A1 *27929:A2 1.97527e-05 -169 *27929:B2 *27960:A2 0.000117037 -170 *27945:A1 *5650:67 0.00012831 -171 *27945:A1 *5650:75 0.00126385 -172 *27945:B1 *5650:75 0.000423607 -173 *27945:B2 *27929:A2 1.21258e-05 -174 *27945:B2 *27960:A2 0.000356352 -175 *27960:A1 *27960:A2 2.70161e-05 -176 *27960:B1 *27960:A2 1.21258e-05 -177 *27960:B2 *27960:A2 2.16819e-05 -178 *27960:C1 *27960:A2 0.000289705 -179 *28159:CLK *5650:122 0.000166538 -180 *28586:D *5650:304 8.9189e-05 -181 *28600:CLK *5650:329 0.000381845 -182 *28654:D *5650:250 0.000153038 -183 *28710:CLK *5650:280 0.000482909 -184 *29322:A *5650:304 0 -185 *29711:A *5650:31 5.33005e-05 -186 *29819:A *5650:304 0.000120402 -187 *30173:A *5650:250 6.96586e-05 -188 *30176:A *5650:280 5.33005e-05 -189 *30198:A *5650:352 0.000111921 -190 *30486:A *5650:234 2.43452e-05 -191 *1185:175 *5650:329 0.000148082 -192 *1225:100 *5650:280 7.81028e-05 -193 *1225:119 *5650:268 7.80759e-05 -194 *1247:43 *27960:A2 2.04825e-05 -195 *1252:178 *5650:214 0.000366667 -196 *1261:199 *5650:297 8.87109e-05 -197 *1262:160 *5650:51 0.00112363 -198 *1268:74 *5650:214 0 -199 *1269:98 *5650:280 0.000495492 -200 *1273:130 *5650:14 0.000129913 -201 *1281:125 *5650:250 0.000421878 -202 *1290:148 *5650:31 0.000603653 -203 *1302:16 *5650:198 0.000469732 -204 *1322:12 *5650:198 0.000350377 -205 *1361:29 *5650:250 3.90898e-05 -206 *1362:96 *5650:122 3.46899e-05 -207 *1368:37 *5650:198 0 -208 *1408:60 *27960:A2 0 -209 *1434:76 *5650:285 4.00349e-05 -210 *1439:37 *5650:75 0.00017754 -211 *1456:41 *5650:67 0.000984514 -212 *1456:41 *5650:75 0.000317305 -213 *1456:116 *5650:67 0.000164334 -214 *1467:27 *5650:280 7.6644e-05 -215 *1506:17 *5650:335 0.000184287 -216 *1659:34 *5650:250 0.000121501 -217 *1719:11 *5650:297 2.87385e-05 -218 *1844:26 *27879:A2 0.000175892 -219 *1844:26 *5650:122 9.41642e-05 -220 *1892:8 *5650:304 0.000177234 -221 *1897:19 *27897:A2 1.94945e-05 -222 *1897:31 *5650:122 0.000624365 -223 *2758:132 *5650:231 0.000200413 -224 *2758:132 *5650:327 0.00021192 -225 *2760:135 *5650:335 6.05161e-06 -226 *2761:53 *5650:335 0.000177162 -227 *2764:166 *5650:198 9.23226e-05 -228 *2764:209 *5650:198 0 -229 *2773:84 *5650:352 6.36172e-05 -230 *2774:110 *5650:250 0.0004987 -231 *2780:223 *5650:285 7.79511e-05 -232 *2780:228 *5650:297 9.69372e-05 -233 *2791:117 *5650:31 0.000100417 -234 *2791:139 *5650:198 0.000260821 -235 *2794:172 *5650:327 5.21937e-05 -236 *2844:190 *5650:198 0.000151868 -237 *2844:201 *5650:198 0.000431344 -238 *2845:80 *5650:133 0.000509389 -239 *2845:300 *5650:280 0.000129147 -240 *2852:161 *5650:285 2.50022e-05 -241 *2855:175 *5650:250 0 -242 *2856:294 *5650:198 0.000211203 -243 *2856:344 *5650:352 0.000146858 -244 *2866:36 *5650:14 0.00021772 -245 *2866:323 *5650:280 0.000302633 -246 *2871:175 *5650:8 0.000242144 -247 *2874:227 *5650:250 0 -248 *2875:344 *5650:329 0.00141053 -249 *2877:274 *5650:250 0 -250 *2879:118 *5650:255 0.000263592 -251 *2880:58 *27680:A2 2.13481e-06 -252 *2883:158 *5650:198 3.43378e-05 -253 *2889:221 *27854:A2 0.000583811 -254 *2889:221 *27897:A2 0.00044087 -255 *2893:26 *5650:268 1.37292e-05 -256 *2895:79 *5650:133 8.94491e-05 -257 *2920:8 *5650:42 0.00113931 -258 *2958:48 *5650:42 0.00114125 -259 *2970:25 *5650:268 9.77884e-05 -260 *2970:25 *5650:280 0.000136225 -261 *2998:8 *5650:329 1.54689e-05 -262 *3034:16 *5650:214 0.000198839 -263 *3034:16 *5650:231 9.58126e-05 -264 *3124:15 *5650:133 0 -265 *3129:20 *27879:A2 0.000141984 -266 *3170:191 *5650:198 0.000350377 -267 *3170:196 *5650:214 0.00182305 -268 *3174:225 *5650:231 0.000122414 -269 *3184:130 *27960:A2 0.000554746 -270 *3185:22 *5650:8 0.00176657 -271 *3185:24 *5650:8 0.000683201 -272 *3185:52 *27711:B1 5.83476e-06 -273 *3185:92 *5650:250 9.47303e-05 -274 *3185:118 *5650:280 0.000189597 -275 *3185:164 *5650:198 0 -276 *3193:59 *5650:198 0.000197015 -277 *3193:60 *5650:214 8.23597e-06 -278 *3206:115 *5650:51 0.000884216 -279 *3206:115 *5650:67 0.000172924 -280 *3206:125 *5650:67 8.34419e-05 -281 *3206:180 *5650:8 0.00315319 -282 *3209:59 *27854:A2 5.33005e-05 -283 *3209:103 *5650:80 0.000377461 -284 *3209:117 *27960:A2 0.000626225 -285 *3214:78 *5650:133 0 -286 *3215:218 *5650:268 0.000469772 -287 *3304:37 *5650:268 0.000183713 -288 *3312:19 *5650:297 0.00015586 -289 *3340:19 *5650:198 0.00121369 -290 *3370:18 *5650:214 1.94945e-05 -291 *3480:35 *5650:75 0.000429068 -292 *3503:28 *5650:75 0.000430639 -293 *3552:44 *5650:329 0 -294 *3552:44 *5650:335 0.00182853 -295 *3558:223 *5650:198 9.10327e-05 -296 *3565:10 *5650:335 6.07206e-05 -297 *3607:26 *5650:352 0.000154204 -298 *3619:14 *5650:329 0.000917474 -299 *3628:10 *5650:31 0.000233656 -300 *3628:12 *5650:31 0.000149488 -301 *3646:68 *5650:122 0.000134646 -302 *3667:53 *5650:67 9.44616e-05 -303 *3677:38 *5650:250 0.000389928 -304 *3680:32 *5650:297 7.05695e-05 -305 *3712:28 *5650:255 0.00260699 -306 *3718:83 *27722:A2 0.000939359 -307 *3718:107 *5650:234 0.0006747 -308 *3721:37 *5650:214 0.000577945 -309 *3725:10 *5650:304 0.000462574 -310 *3731:61 *27722:A2 0.000178813 -311 *3732:43 *5650:297 0.000179914 -312 *3733:31 *27722:A2 8.25843e-06 -313 *3733:31 *5650:352 0.000260574 -314 *3735:58 *5650:198 6.05161e-06 -315 *3735:71 *5650:231 3.22325e-05 -316 *3749:108 *5650:75 3.98942e-05 -317 *3749:108 *5650:80 2.1481e-05 -318 *3752:54 *5650:122 0.000551601 -319 *3752:54 *5650:133 0.00133858 -320 *3756:20 *5650:250 0.000391636 -321 *3758:41 *5650:280 0.000119924 -322 *3765:30 *5650:122 8.64053e-06 -323 *3765:30 *5650:133 0.00220648 -324 *3766:93 *5650:80 0.000374229 -325 *3779:59 *5650:133 0.000257329 -326 *3780:34 *27854:A2 9.11441e-05 -327 *3782:76 *5650:42 7.83587e-05 -328 *3782:98 *5650:42 3.17148e-05 -329 *3793:64 *27960:A2 6.956e-05 -330 *3796:68 *5650:42 9.91086e-05 -331 *3821:19 *5650:51 0.000426525 -332 *3824:12 *5650:329 0.000752396 -333 *3829:8 *5650:250 0.00095147 -334 *3829:67 *5650:250 6.29045e-05 -335 *3831:53 *5650:133 8.94491e-05 -336 *3847:64 *5650:51 0.00042481 -337 *3849:30 *5650:297 0 -338 *3850:24 *5650:14 0.000616523 -339 *3852:51 *5650:327 0.000615918 -340 *3870:52 *5650:122 0.000124875 -341 *3885:26 *5650:250 0.000658019 -342 *3886:67 *5650:250 4.37712e-06 -343 *3910:51 *27879:A2 0.000141984 -344 *3915:37 *5650:329 0.000148189 -345 *3916:39 *5650:31 0.000145239 -346 *3926:40 *27722:A2 0.000123405 -347 *3939:11 *5650:31 0.000148244 -348 *3939:102 *5650:352 0.000790113 -349 *3942:60 *5650:198 0 -350 *3943:24 *5650:198 1.90936e-05 -351 *3952:18 *5650:31 2.05715e-05 -352 *4023:28 *27854:A2 9.96717e-06 -353 *4025:75 *5650:285 2.13938e-05 -354 *4040:20 *5650:304 0.000547959 -355 *4054:44 *5650:250 6.99087e-05 -356 *4077:49 *5650:122 0.000130609 -357 *4082:80 *5650:31 0.000461561 -358 *4085:71 *5650:214 0.00182888 -359 *4085:73 *5650:198 0.000415393 -360 *4086:64 *5650:214 0.000622752 -361 *4086:68 *5650:198 0.00043421 -362 *4087:26 *27960:A2 2.28159e-05 -363 *4108:73 *5650:231 0.000754592 -364 *4108:75 *5650:231 1.81887e-05 -365 *4121:24 *5650:352 0.000148903 -366 *4130:103 *5650:122 2.11419e-05 -367 *4133:28 *5650:280 0.000321179 -368 *4137:32 *5650:214 0.000282295 -369 *4649:20 *5650:31 0.000220872 -370 *5485:147 *5650:327 1.19011e-05 -371 *5485:147 *5650:329 0.00137634 -372 *5583:112 *5650:31 0.000153379 -373 *5584:76 *5650:31 0.000153824 -374 *5596:7 *5650:42 0.00026486 -375 *5609:183 *5650:250 0.000197429 -376 *5609:189 *5650:250 0.000155877 -377 *5627:139 *5650:285 2.45401e-05 -378 *5628:150 *5650:285 4.26825e-05 -379 *5635:104 *5650:327 0.000647471 -380 *5635:104 *5650:329 0.000263943 -381 *5644:20 *5650:14 7.6231e-05 -382 *5644:53 *5650:231 1.94879e-05 -383 *5644:62 *5650:231 1.21371e-05 -384 *5644:94 *5650:329 0.000179869 -385 *5644:106 *27722:A2 0.000852342 -386 *5646:213 *5650:280 0.000119303 -387 *5646:311 *27722:A2 7.40571e-05 -388 *5649:29 *5650:8 9.65075e-05 -389 *5649:48 *5650:8 0.000556055 -390 *5649:82 *5650:198 5.08484e-05 -*RES -1 *30811:X *5650:5 13.8 -2 *5650:5 *5650:8 47.7321 -3 *5650:8 *5650:14 19.6071 -4 *5650:14 *5650:31 46.7944 -5 *5650:31 *5650:42 35.9904 -6 *5650:42 *5650:51 49.7679 -7 *5650:51 *5650:67 45.7411 -8 *5650:67 *5650:75 39.6875 -9 *5650:75 *5650:80 12.0714 -10 *5650:80 *27929:A2 15.0679 -11 *5650:80 *27960:A2 42.6923 -12 *5650:75 *5650:122 37.4464 -13 *5650:122 *5650:133 47.5477 -14 *5650:133 *27897:A2 19.5756 -15 *5650:133 *27854:A2 23.8995 -16 *5650:122 *27879:A2 23.3714 -17 *5650:42 *27711:B1 11.0679 -18 *5650:14 *5650:178 3.73214 -19 *5650:178 *27812:A2 9.3 -20 *5650:178 *5650:198 27.9987 -21 *5650:198 *5650:214 49.8302 -22 *5650:214 *5650:231 36.0714 -23 *5650:231 *5650:234 47.75 -24 *5650:234 *5650:250 49.5173 -25 *5650:250 *5650:255 42.1868 -26 *5650:255 *5650:268 38.2143 -27 *5650:268 *5650:280 48.7321 -28 *5650:280 *5650:285 12.9286 -29 *5650:285 *27755:A2 13.8 -30 *5650:285 *5650:297 18.7905 -31 *5650:297 *5650:304 49.3661 -32 *5650:304 *27667:A2 9.3 -33 *5650:255 *27680:A2 17.9786 -34 *5650:231 *5650:327 22.6339 -35 *5650:327 *5650:329 78.9018 -36 *5650:329 *5650:335 48.9464 -37 *5650:335 *5650:352 34.0893 -38 *5650:352 *27722:A2 49.0679 -39 *5650:352 *27778:A2 9.3 -40 *5650:214 *27829:A2 9.3 -*END - -*D_NET *5651 0.224775 -*CONN -*I *27739:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27658:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27760:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27783:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27834:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27685:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *6492:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6547:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27957:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27907:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27934:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27811:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27715:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27884:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27859:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *31017:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *27739:B1 5.48619e-05 -2 *27658:A2 0.00152928 -3 *27760:A2 3.34506e-05 -4 *27783:A2 4.88505e-05 -5 *27834:A2 0.000367056 -6 *27685:A2 0 -7 *6492:DIODE 0 -8 *6547:DIODE 0 -9 *27957:B1 5.1833e-05 -10 *27907:A2 0.000355974 -11 *27934:A2 0 -12 *27811:B1 0.00183068 -13 *27715:B1 0.000398401 -14 *27884:A2 0.000129735 -15 *27859:A2 0.000105152 -16 *31017:X 0.00284657 -17 *5651:419 0.00224381 -18 *5651:410 0.00426081 -19 *5651:400 0.00614264 -20 *5651:382 0.00267744 -21 *5651:378 0.0021922 -22 *5651:357 0.0032512 -23 *5651:326 0.00271671 -24 *5651:321 0.00260887 -25 *5651:311 0.00147504 -26 *5651:289 0.00272626 -27 *5651:284 0.00327081 -28 *5651:275 0.00215836 -29 *5651:260 0.00114016 -30 *5651:248 0.0012459 -31 *5651:189 0.00118319 -32 *5651:176 0.0035398 -33 *5651:158 0.00297458 -34 *5651:156 0.000680052 -35 *5651:150 0.00214055 -36 *5651:132 0.00677796 -37 *5651:131 0.00510731 -38 *5651:129 0.00362135 -39 *5651:98 0.00555982 -40 *5651:85 0.00366219 -41 *5651:76 0.00147669 -42 *5651:56 0.00232408 -43 *5651:47 0.00293974 -44 *5651:39 0.0035894 -45 *5651:21 0.00681793 -46 *5651:20 0.00550015 -47 *5651:13 0.00526927 -48 *27658:A2 *26924:A0 0.000736805 -49 *27658:A2 *5709:32 0.000181107 -50 *27658:A2 *5729:176 0.000273349 -51 *27859:A2 *5947:85 0.0002785 -52 *27907:A2 *5657:125 2.65037e-05 -53 *27907:A2 *5693:16 2.06112e-05 -54 *27907:A2 *5721:9 9.41642e-05 -55 *27907:A2 *5890:124 9.60939e-05 -56 *5651:13 *5700:256 5.74499e-06 -57 *5651:13 *5718:256 0.000298297 -58 *5651:20 *6306:116 6.54117e-05 -59 *5651:21 *5657:29 0.000822763 -60 *5651:21 *5657:38 0.00119166 -61 *5651:39 *5657:38 0.00065231 -62 *5651:76 *6036:27 0.000589407 -63 *5651:85 *5694:80 0 -64 *5651:98 *5694:80 0 -65 *5651:129 *6351:32 0.00222807 -66 *5651:150 *24901:B 0.000471172 -67 *5651:150 *24929:A 0.00056704 -68 *5651:150 *5656:174 0.00111582 -69 *5651:150 *5832:25 0.00099282 -70 *5651:150 *5832:27 0.000977337 -71 *5651:150 *5860:5 2.12087e-05 -72 *5651:156 *5653:97 0.000137983 -73 *5651:156 *5700:29 0.000111498 -74 *5651:156 *5729:252 0.000143188 -75 *5651:158 *5700:29 4.44369e-05 -76 *5651:176 *28990:A 0.000313514 -77 *5651:176 *5656:189 0.00080629 -78 *5651:189 *5657:125 1.51367e-05 -79 *5651:189 *5693:16 4.72742e-05 -80 *5651:189 *5699:10 9.25014e-06 -81 *5651:189 *5844:17 8.94491e-05 -82 *5651:248 *5663:69 3.52028e-05 -83 *5651:248 *6351:32 0.000883792 -84 *5651:284 *5926:72 0.000429939 -85 *5651:289 *5767:29 0.000970016 -86 *5651:311 *5767:41 0.000463591 -87 *5651:321 *5662:97 0.00020996 -88 *5651:321 *5680:168 0.000391253 -89 *5651:321 *5680:176 0.000470747 -90 *5651:326 *26945:A0 1.90936e-05 -91 *5651:326 *28703:RESET_B 0.000296889 -92 *5651:326 *5662:111 0.000110627 -93 *5651:357 *29052:A 0.000502541 -94 *5651:357 *5810:34 8.6229e-06 -95 *5651:378 *5800:11 0.000154281 -96 *5651:378 *5800:68 0.00105946 -97 *5651:400 *5701:113 0.00018372 -98 *5651:410 *5688:39 0.000303368 -99 *5651:410 *5708:25 3.55471e-05 -100 *5651:410 *5708:34 1.07858e-05 -101 *5651:419 *5689:81 0.00128071 -102 *25010:A1 *5651:289 1.09669e-05 -103 *25010:A2 *5651:289 1.81887e-05 -104 *25010:B1 *5651:289 1.07719e-05 -105 *25010:B2 *5651:289 3.89958e-05 -106 *25051:B1 *5651:378 0.000525924 -107 *25104:B1 *5651:132 0.00112125 -108 *25291:A1 *5651:132 0.00276864 -109 *25291:B1 *5651:132 0.00034188 -110 *25410:A1 *5651:39 0.000218409 -111 *25410:B1 *5651:39 0.00106452 -112 *25410:B2 *5651:39 0.000175498 -113 *25834:A *5651:76 5.035e-05 -114 *25867:A0 *5651:176 0.000511246 -115 *26865:A *5651:419 0.000337289 -116 *26881:A0 *27811:B1 6.10411e-05 -117 *26920:S *27658:A2 9.77264e-06 -118 *26943:A1 *5651:357 0.000221634 -119 *26973:A *5651:357 7.25746e-05 -120 *27038:A1 *5651:410 1.1152e-05 -121 *27113:S *5651:410 9.71197e-05 -122 *27152:S *5651:378 0.000416528 -123 *27353:C1 *5651:284 0.00140434 -124 *27364:A *5651:248 9.90819e-06 -125 *27364:C *5651:248 9.59948e-05 -126 *27374:B *5651:248 6.05161e-06 -127 *27374:C *5651:248 0.000763739 -128 *27490:B1 *5651:56 0.000212219 -129 *27499:B2 *27859:A2 0.000135989 -130 *27542:A1 *5651:56 0.00212964 -131 *27581:A *5651:176 0.000158871 -132 *27584:A *5651:176 0.000176792 -133 *27633:A *5651:13 5.99199e-06 -134 *27658:A1 *27658:A2 5.49995e-05 -135 *27685:B2 *5651:248 2.89114e-05 -136 *27685:B2 *5651:260 8.85532e-05 -137 *27715:A1 *27715:B1 4.26759e-05 -138 *27739:B2 *27739:B1 4.18895e-05 -139 *27739:B2 *5651:400 1.33343e-05 -140 *27760:A1 *5651:419 0.000139913 -141 *27761:C1 *27760:A2 4.58194e-05 -142 *27783:B2 *27783:A2 9.58126e-05 -143 *27787:A1 *5651:357 8.52692e-05 -144 *27787:A1 *5651:378 0.000420449 -145 *27789:A2 *5651:357 8.7935e-05 -146 *27790:C1 *5651:357 0.000120506 -147 *27811:A1 *27811:B1 3.54848e-05 -148 *27811:A2 *27811:B1 0.000485989 -149 *27811:B2 *27811:B1 0.000336029 -150 *27834:B1 *27834:A2 0.000186219 -151 *27860:A1 *5651:76 0.000536412 -152 *27860:C1 *5651:76 8.3e-05 -153 *27900:A2 *5651:47 0.000198253 -154 *27900:B1 *5651:47 2.89016e-05 -155 *27900:B2 *5651:47 0.000126408 -156 *27903:A2 *5651:47 0.000114596 -157 *27903:B1 *5651:47 0.000109361 -158 *27903:B2 *5651:47 6.05161e-06 -159 *27904:B *5651:47 3.37161e-05 -160 *27904:D *5651:47 0.00130199 -161 *27906:A1 *5651:39 0.000167013 -162 *27907:A1 *27907:A2 5.33005e-05 -163 *27908:B1 *27907:A2 0.000114537 -164 *27908:B1 *5651:189 1.21955e-05 -165 *27939:B *5651:189 8.72608e-05 -166 *27957:A1 *27957:B1 5.33005e-05 -167 *27957:A1 *5651:158 8.43535e-06 -168 *27957:A1 *5651:176 0.000270516 -169 *27957:B2 *5651:176 5.20669e-05 -170 *28076:RESET_B *27658:A2 8.08608e-06 -171 *28521:D *5651:311 0.000100823 -172 *28527:D *5651:357 7.53153e-05 -173 *28676:CLK *5651:400 0.000140933 -174 *28676:D *5651:400 3.05475e-05 -175 *28706:D *5651:410 4.58194e-05 -176 *28908:A *5651:378 0.000392495 -177 *29075:A *5651:378 1.93122e-05 -178 *29090:A *5651:378 0.000123126 -179 *29121:A *5651:410 7.02611e-05 -180 *29201:A *5651:400 5.52302e-05 -181 *29233:A *5651:400 3.80414e-05 -182 *29821:A *5651:21 0.000389444 -183 *30109:A *5651:21 9.58181e-05 -184 *30235:A *5651:150 0.000422728 -185 *30245:A *5651:189 2.66789e-06 -186 *30261:A *5651:410 0.000136951 -187 *30356:A *5651:176 0.000182278 -188 *30358:A *5651:189 0 -189 *30440:A *5651:410 5.21937e-05 -190 *30501:A *5651:357 0.000341154 -191 *31017:A *5651:13 2.59355e-05 -192 *1178:105 *5651:129 0.000636682 -193 *1185:175 *5651:284 0.0001052 -194 *1221:21 *5651:189 0.000113877 -195 *1223:15 *5651:150 0.000422722 -196 *1235:17 *5651:150 0.000415114 -197 *1248:120 *5651:150 9.36129e-05 -198 *1252:110 *27811:B1 0.000348426 -199 *1252:110 *5651:85 0.00176321 -200 *1252:110 *5651:98 0.000969607 -201 *1252:110 *5651:129 7.07984e-05 -202 *1262:172 *5651:129 9.11825e-07 -203 *1263:216 *5651:176 9.93737e-06 -204 *1266:24 *5651:150 9.82592e-05 -205 *1268:53 *5651:248 9.41642e-05 -206 *1275:15 *5651:132 2.54558e-06 -207 *1275:195 *5651:357 0.000151862 -208 *1277:161 *5651:129 0.000700869 -209 *1277:161 *5651:248 6.09762e-05 -210 *1277:176 *5651:248 0.000224487 -211 *1277:188 *5651:248 1.1257e-05 -212 *1280:6 *5651:150 1.01487e-05 -213 *1281:145 *5651:275 0.00012316 -214 *1334:11 *5651:289 0.000548307 -215 *1399:81 *5651:156 0.00022093 -216 *1409:6 *5651:176 0.000157164 -217 *1439:16 *5651:129 0 -218 *1470:20 *5651:56 0.00212772 -219 *1547:12 *5651:21 7.14912e-05 -220 *1547:36 *5651:39 0.000173518 -221 *1547:36 *5651:47 0.00022497 -222 *1647:46 *5651:156 0.000144903 -223 *1711:8 *5651:39 0.000165495 -224 *1826:251 *5651:410 2.22618e-05 -225 *1877:10 *5651:76 0.000504724 -226 *2754:18 *5651:85 0.000106334 -227 *2754:20 *5651:129 0.000239211 -228 *2758:105 *5651:129 2.04825e-05 -229 *2758:125 *5651:129 0.000600621 -230 *2760:91 *5651:248 7.83659e-05 -231 *2764:151 *5651:289 0.000100831 -232 *2764:151 *5651:311 0.000249313 -233 *2767:142 *5651:378 0.00200002 -234 *2767:167 *5651:378 0 -235 *2776:158 *5651:311 0.000137074 -236 *2776:231 *5651:400 0.000260574 -237 *2781:51 *5651:13 0.000639824 -238 *2781:51 *5651:20 6.16444e-05 -239 *2781:65 *5651:21 5.36e-05 -240 *2782:100 *5651:20 6.35864e-05 -241 *2784:162 *5651:400 0.000548269 -242 *2786:26 *5651:400 0.000196269 -243 *2788:158 *5651:378 0.000198849 -244 *2790:29 *5651:13 0 -245 *2791:117 *27811:B1 0.000305706 -246 *2792:102 *5651:311 7.65277e-05 -247 *2813:72 *5651:85 0.000817566 -248 *2823:86 *5651:13 6.39808e-05 -249 *2827:72 *5651:13 0 -250 *2848:178 *27811:B1 9.23367e-05 -251 *2852:17 *27715:B1 6.47756e-05 -252 *2852:28 *27715:B1 8.01176e-06 -253 *2856:270 *5651:85 0.00136408 -254 *2859:35 *5651:39 0.00133696 -255 *2859:199 *5651:275 0.000242872 -256 *2859:201 *5651:275 0.00048186 -257 *2859:214 *5651:275 4.32258e-05 -258 *2864:264 *5651:275 0.00180841 -259 *2866:296 *5651:289 0.000101545 -260 *2866:296 *5651:311 0.000587729 -261 *2872:224 *5651:311 0.000120829 -262 *2875:134 *5651:176 9.51694e-05 -263 *2875:196 *5651:85 0.000163019 -264 *2882:22 *5651:85 0.000636903 -265 *2882:96 *5651:56 0.000160834 -266 *2882:96 *5651:76 4.07433e-05 -267 *2882:303 *5651:326 2.06112e-05 -268 *2888:71 *5651:47 8.86091e-05 -269 *2892:186 *5651:284 0.00091279 -270 *2892:220 *5651:321 2.8046e-05 -271 *2895:172 *5651:176 0.000160631 -272 *2924:14 *5651:248 6.35158e-05 -273 *2978:36 *5651:129 0.000347647 -274 *2993:27 *5651:326 0.000162366 -275 *3065:10 *5651:56 0.000147834 -276 *3153:140 *5651:129 0.000634291 -277 *3164:56 *5651:21 0.00204933 -278 *3164:84 *5651:47 0.00159442 -279 *3164:295 *5651:321 0.00164231 -280 *3165:15 *5651:13 9.0902e-05 -281 *3168:25 *5651:13 0.000671556 -282 *3168:25 *5651:20 6.52667e-05 -283 *3170:152 *27715:B1 1.60335e-05 -284 *3170:152 *5651:85 0 -285 *3176:7 *5651:13 1.58163e-05 -286 *3176:24 *27811:B1 3.39888e-05 -287 *3177:72 *5651:284 0.000832666 -288 *3177:72 *5651:289 9.34559e-05 -289 *3184:95 *5651:98 0 -290 *3184:95 *5651:129 0 -291 *3185:341 *27957:B1 1.05731e-05 -292 *3185:341 *5651:158 5.33005e-05 -293 *3192:118 *5651:189 0.000645734 -294 *3194:129 *5651:76 0.000665754 -295 *3196:119 *5651:76 0.000666482 -296 *3197:115 *5651:176 0.000135028 -297 *3205:230 *5651:132 0.000671226 -298 *3206:232 *5651:321 0.000764393 -299 *3206:236 *5651:321 0.000468502 -300 *3206:236 *5651:326 0.00114711 -301 *3206:249 *5651:326 1.09026e-05 -302 *3206:256 *5651:378 0.000277158 -303 *3209:11 *5651:13 6.53083e-05 -304 *3212:94 *5651:56 0.000536772 -305 *3212:94 *5651:76 0.000700368 -306 *3259:25 *5651:129 0.00104724 -307 *3259:25 *5651:248 1.65738e-05 -308 *3293:34 *27811:B1 4.38296e-05 -309 *3309:13 *5651:419 1.81709e-05 -310 *3320:16 *5651:378 2.07158e-05 -311 *3335:14 *5651:357 0.00010614 -312 *3339:22 *5651:378 8.2675e-05 -313 *3394:18 *5651:47 0.000387803 -314 *3404:26 *5651:47 0.000120299 -315 *3457:28 *5651:39 0.000118545 -316 *3480:11 *5651:189 0.000144719 -317 *3537:35 *5651:378 3.59681e-05 -318 *3537:38 *5651:378 2.06112e-05 -319 *3582:8 *5651:400 0.000385532 -320 *3582:134 *5651:378 0.000506155 -321 *3599:11 *5651:400 0.000637195 -322 *3599:11 *5651:410 0.000282932 -323 *3599:16 *5651:410 0.00019867 -324 *3670:47 *27811:B1 0.000109389 -325 *3680:19 *5651:410 6.13757e-06 -326 *3688:17 *27907:A2 7.9923e-05 -327 *3688:25 *5651:189 3.48282e-05 -328 *3692:29 *5651:357 7.80929e-05 -329 *3694:32 *5651:378 1.01241e-05 -330 *3698:19 *27907:A2 0.000497644 -331 *3698:19 *5651:189 0.000305069 -332 *3700:40 *27907:A2 5.33005e-05 -333 *3701:32 *27907:A2 6.23147e-05 -334 *3705:22 *5651:382 4.51691e-05 -335 *3706:18 *27658:A2 0.000316158 -336 *3706:18 *5651:419 5.74562e-05 -337 *3706:22 *5651:419 2.61337e-05 -338 *3718:107 *5651:284 9.41642e-05 -339 *3736:25 *5651:76 1.92789e-05 -340 *3739:122 *5651:132 0.000128331 -341 *3757:75 *5651:378 0.000318263 -342 *3762:61 *5651:47 0.00031444 -343 *3779:45 *5651:47 5.43648e-05 -344 *3781:11 *5651:410 6.96405e-05 -345 *3783:47 *5651:400 0.000197977 -346 *3798:68 *5651:321 2.3488e-05 -347 *3800:58 *5651:321 0.000645734 -348 *3800:64 *5651:326 0.00119409 -349 *3800:67 *5651:326 0.000364159 -350 *3800:69 *5651:326 0.00118544 -351 *3800:69 *5651:357 0.000513903 -352 *3811:32 *5651:357 0 -353 *3811:32 *5651:378 0.000267223 -354 *3811:44 *5651:326 0.000166503 -355 *3811:44 *5651:357 0.000297276 -356 *3831:40 *5651:76 0.000288984 -357 *3832:49 *5651:176 0.000175892 -358 *3848:40 *5651:129 2.03455e-05 -359 *3848:40 *5651:248 0.000559698 -360 *3848:61 *5651:129 0.000158309 -361 *3858:19 *5651:176 7.90637e-05 -362 *3874:26 *5651:129 0.000177418 -363 *3882:47 *5651:189 0.000521531 -364 *3886:51 *27715:B1 7.0509e-05 -365 *3895:29 *27884:A2 0.000327064 -366 *3899:14 *5651:400 0.000271015 -367 *3907:108 *27658:A2 3.46801e-05 -368 *3938:40 *27715:B1 9.9988e-05 -369 *3939:102 *5651:378 2.06112e-05 -370 *3945:23 *5651:76 5.74499e-06 -371 *3959:8 *5651:410 5.52302e-05 -372 *3976:31 *5651:275 0.000171016 -373 *3976:55 *5651:275 0.000512645 -374 *3978:21 *5651:378 0.000115664 -375 *3978:21 *5651:382 3.08382e-06 -376 *3978:33 *27739:B1 1.98839e-05 -377 *3978:33 *5651:400 9.49595e-05 -378 *3991:38 *5651:284 0.000428217 -379 *3991:52 *5651:378 9.77021e-06 -380 *3993:14 *5651:326 0.000277893 -381 *4011:23 *27658:A2 0.000120368 -382 *4015:22 *5651:21 4.82947e-05 -383 *4026:37 *5651:156 4.08637e-05 -384 *4026:37 *5651:158 5.33005e-05 -385 *4076:21 *5651:410 0.000804938 -386 *4082:33 *5651:382 2.01525e-05 -387 *4085:40 *5651:289 0.000423607 -388 *4100:22 *5651:176 0.000159071 -389 *4101:28 *5651:56 0.000146316 -390 *4109:20 *27658:A2 0.000621998 -391 *4109:20 *5651:419 0.000170654 -392 *4123:9 *5651:357 5.1588e-05 -393 *4123:20 *5651:326 0.000524706 -394 *4123:33 *5651:326 0.000856238 -395 *4136:16 *5651:378 4.11173e-05 -396 *4140:66 *27884:A2 0.000328778 -397 *4141:21 *27658:A2 5.33005e-05 -398 *4141:30 *27658:A2 9.96487e-05 -399 *4142:26 *5651:189 3.43051e-05 -400 *4142:34 *5651:189 3.79901e-06 -401 *4145:35 *5651:260 3.26289e-05 -402 *4145:35 *5651:275 0.000191907 -403 *4146:56 *27715:B1 1.11775e-05 -404 *4148:23 *5651:419 8.27532e-05 -405 *4148:24 *5651:419 0.0016546 -406 *4149:34 *5651:357 0.000940667 -407 *4149:34 *5651:378 0.000144906 -408 *4186:20 *27715:B1 0.000280043 -409 *4502:21 *5651:410 0.000110763 -410 *4970:17 *5651:400 4.58194e-05 -411 *5467:18 *27811:B1 0.00286537 -412 *5504:67 *5651:13 2.13481e-06 -413 *5584:76 *27811:B1 0.000233373 -414 *5596:47 *5651:248 2.16755e-05 -415 *5600:120 *5651:129 0.000801073 -416 *5601:67 *5651:284 0.000300412 -417 *5601:83 *27834:A2 2.85192e-05 -418 *5603:28 *5651:284 0.000266102 -419 *5630:144 *5651:284 0.000177545 -420 *5631:25 *27811:B1 0.000355829 -421 *5633:41 *27811:B1 0.00011105 -422 *5642:126 *5651:321 0 -423 *5643:40 *5651:129 1.17921e-05 -424 *5646:17 *5651:21 0.00141869 -425 *5647:59 *27834:A2 0.000257619 -426 *5647:70 *5651:260 6.73209e-05 -427 *5647:70 *5651:275 0.000135028 -428 *5647:159 *27760:A2 9.60875e-05 -*RES -1 *31017:X *5651:13 28.9786 -2 *5651:13 *5651:20 9.16523 -3 *5651:20 *5651:21 66.6607 -4 *5651:21 *5651:39 47.7679 -5 *5651:39 *5651:47 47.4464 -6 *5651:47 *5651:56 43.625 -7 *5651:56 *27859:A2 16.5946 -8 *5651:56 *5651:76 49.5536 -9 *5651:76 *27884:A2 18.175 -10 *5651:13 *5651:85 11.1274 -11 *5651:85 *27715:B1 23.594 -12 *5651:85 *5651:98 2.17767 -13 *5651:98 *27811:B1 33.7943 -14 *5651:98 *5651:129 41.9223 -15 *5651:129 *5651:131 4.5 -16 *5651:131 *5651:132 62.1429 -17 *5651:132 *5651:150 46.5179 -18 *5651:150 *5651:156 18.0536 -19 *5651:156 *5651:158 3.41071 -20 *5651:158 *5651:176 49.0207 -21 *5651:176 *5651:189 24.1666 -22 *5651:189 *27934:A2 13.8 -23 *5651:189 *27907:A2 32.1214 -24 *5651:158 *27957:B1 10.5679 -25 *5651:156 *6547:DIODE 9.3 -26 *5651:129 *5651:248 48.2078 -27 *5651:248 *6492:DIODE 9.3 -28 *5651:248 *5651:260 4.39286 -29 *5651:260 *27685:A2 9.3 -30 *5651:260 *5651:275 36.25 -31 *5651:275 *5651:284 43.6071 -32 *5651:284 *5651:289 29.7857 -33 *5651:289 *27834:A2 20.0679 -34 *5651:289 *5651:311 21.3571 -35 *5651:311 *5651:321 37.7927 -36 *5651:321 *5651:326 49.8125 -37 *5651:326 *27783:A2 14.7464 -38 *5651:326 *5651:357 44.3243 -39 *5651:357 *5651:378 49.6154 -40 *5651:378 *5651:382 5.50893 -41 *5651:382 *5651:400 49.8929 -42 *5651:400 *5651:410 48.875 -43 *5651:410 *5651:419 39.3036 -44 *5651:419 *27760:A2 14.7464 -45 *5651:419 *27658:A2 49.8536 -46 *5651:382 *27739:B1 10.2464 -*END - -*D_NET *5652 0.127342 -*CONN -*I *27790:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27730:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27798:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27823:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27691:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27748:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *30812:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *27790:A2 4.78978e-05 -2 *27730:A2 2.96394e-05 -3 *27798:B1 6.15734e-05 -4 *27823:B1 0.000224247 -5 *27691:A2 0.000151324 -6 *27748:B1 0.000848273 -7 *30812:X 0 -8 *5652:204 0.00246825 -9 *5652:200 0.00432644 -10 *5652:186 0.00193573 -11 *5652:184 0.00154967 -12 *5652:166 0.00221774 -13 *5652:149 0.000831284 -14 *5652:143 0.0014875 -15 *5652:142 0.00149382 -16 *5652:128 0.00308833 -17 *5652:109 0.00393219 -18 *5652:95 0.00384628 -19 *5652:93 0.00273208 -20 *5652:61 0.00228388 -21 *5652:45 0.00306344 -22 *5652:27 0.00309017 -23 *5652:20 0.00256999 -24 *5652:12 0.00337966 -25 *5652:11 0.00300905 -26 *5652:4 0.000647593 -27 *27748:B1 *5704:18 6.7848e-05 -28 *27798:B1 *5680:168 3.78299e-05 -29 *5652:11 *6225:116 0.000241205 -30 *5652:12 *28475:SET_B 2.26973e-05 -31 *5652:20 *28475:SET_B 8.94491e-05 -32 *5652:27 *5836:78 0.000257619 -33 *5652:45 *5688:115 1.01524e-05 -34 *5652:45 *5836:284 4.86358e-05 -35 *5652:109 *5660:102 7.54868e-05 -36 *5652:109 *5863:163 0.0010269 -37 *5652:128 *5656:240 1.98541e-05 -38 *5652:128 *5662:52 0.000153078 -39 *5652:128 *5675:338 0.000360537 -40 *5652:128 *5829:116 0.000175892 -41 *5652:128 *5863:163 0.000185902 -42 *5652:143 *28521:RESET_B 0.000122934 -43 *5652:149 *5680:168 0.00017748 -44 *5652:166 *5767:197 0.000118963 -45 *5652:184 *28551:RESET_B 6.35864e-05 -46 *5652:184 *5703:42 0.000298081 -47 *5652:204 *5873:289 0.000192125 -48 *25042:A2 *5652:184 0.000163312 -49 *25059:A1 *5652:200 1.23876e-05 -50 *25059:A2 *5652:200 1.81887e-05 -51 *25059:B1 *5652:200 1.07719e-05 -52 *25059:B2 *5652:200 3.75081e-05 -53 *25071:C *5652:200 0.000714713 -54 *25111:A1 *5652:45 0.000131185 -55 *25249:B2 *5652:12 0.000130385 -56 *25249:C1 *5652:12 3.65485e-05 -57 *25249:C1 *5652:20 9.34324e-05 -58 *25256:A *5652:45 0.00054891 -59 *25256:B *5652:27 7.88288e-05 -60 *25256:B *5652:45 5.33005e-05 -61 *25352:A1 *5652:45 0.000505677 -62 *27343:B *27691:A2 3.11506e-05 -63 *27349:A1 *5652:12 2.84288e-05 -64 *27401:A2 *5652:61 0.000457127 -65 *27410:B1 *5652:61 1.55293e-05 -66 *27425:B2 *5652:184 5.77301e-05 -67 *27473:B1 *5652:95 0.000833898 -68 *27686:B2 *5652:12 0.000748276 -69 *27689:B1 *5652:12 0.000146474 -70 *27692:C *5652:12 0.00104792 -71 *27713:A2 *5652:93 4.97097e-05 -72 *27713:A2 *5652:95 0.00201669 -73 *27748:A1 *27748:B1 0.000186118 -74 *27763:A1 *5652:61 1.94879e-05 -75 *27763:C1 *5652:61 0 -76 *27765:B2 *27748:B1 2.35167e-05 -77 *27765:C1 *27748:B1 4.39649e-05 -78 *27766:D *27748:B1 0.000104016 -79 *27784:B2 *5652:184 7.94653e-05 -80 *27790:A1 *27790:A2 5.55213e-05 -81 *27790:B1 *27790:A2 3.51368e-05 -82 *27790:B1 *5652:200 0.000219711 -83 *27790:B2 *5652:200 0.000264647 -84 *27791:D *27790:A2 1.58686e-05 -85 *27791:D *5652:200 0.000624608 -86 *27799:C1 *27798:B1 0.000178425 -87 *27799:C1 *5652:149 3.30337e-05 -88 *27823:A1 *27823:B1 0.000142968 -89 *27823:B2 *27823:B1 5.33005e-05 -90 *27824:A2 *5652:142 0.000136682 -91 *27841:C *5652:143 0.000129868 -92 *28489:CLK *5652:95 4.10843e-05 -93 *28752:D *5652:12 8.0321e-05 -94 *29059:A *5652:45 0.000167762 -95 *29314:A *5652:184 6.7848e-05 -96 *29843:A *5652:27 5.49544e-05 -97 *30427:A *5652:95 9.60875e-05 -98 *30501:A *5652:184 2.16977e-05 -99 *1261:199 *5652:61 0.000177545 -100 *1266:64 *5652:12 0.000121503 -101 *1266:148 *5652:142 0.000135028 -102 *1268:74 *5652:128 0.000364641 -103 *1270:41 *5652:20 0.000114258 -104 *1276:76 *5652:45 0.000323094 -105 *1282:198 *5652:27 0.000198662 -106 *1282:205 *5652:27 0.00244788 -107 *1288:241 *5652:109 7.07155e-06 -108 *1293:220 *5652:11 0.00179134 -109 *1304:16 *5652:143 0.000510823 -110 *1304:28 *5652:143 1.11775e-05 -111 *1350:25 *5652:109 0 -112 *1414:10 *5652:61 2.04825e-05 -113 *1494:22 *5652:12 3.80966e-05 -114 *1549:24 *5652:20 0.000121805 -115 *1574:27 *5652:27 0.00365024 -116 *1574:27 *5652:45 8.43535e-06 -117 *1635:14 *5652:12 9.03127e-05 -118 *1650:16 *5652:12 4.1879e-05 -119 *1680:29 *5652:204 0.00250731 -120 *1697:44 *27730:A2 6.55493e-05 -121 *2759:120 *5652:27 0.000345311 -122 *2761:134 *5652:45 9.83388e-05 -123 *2764:169 *5652:93 0.000116519 -124 *2764:169 *5652:95 0.00171725 -125 *2765:18 *5652:200 4.46186e-06 -126 *2768:56 *5652:12 0.000281245 -127 *2768:220 *5652:184 0.00012501 -128 *2770:97 *5652:184 0.000158579 -129 *2775:160 *5652:45 2.68193e-05 -130 *2788:39 *5652:95 0.000587484 -131 *2855:311 *5652:128 0.0010087 -132 *2855:311 *5652:142 0.000192814 -133 *2859:331 *5652:109 0.000427156 -134 *2860:351 *5652:95 0.000998293 -135 *2866:70 *5652:11 0.00178941 -136 *2872:260 *5652:27 6.595e-05 -137 *2872:295 *5652:45 0.000380969 -138 *2882:206 *5652:20 0 -139 *2882:246 *5652:45 0.000366657 -140 *2886:295 *5652:45 0.00078377 -141 *2889:48 *5652:20 0.000552515 -142 *2891:236 *5652:61 0.000127706 -143 *2891:311 *5652:200 2.05938e-05 -144 *2904:11 *27691:A2 9.41642e-05 -145 *2964:15 *27748:B1 0.000337281 -146 *2964:15 *5652:61 0.000232325 -147 *2985:14 *5652:184 0.000104568 -148 *3164:304 *5652:184 4.86864e-05 -149 *3165:99 *5652:204 0.000221447 -150 *3170:191 *5652:95 6.57032e-05 -151 *3177:61 *5652:128 0.00101558 -152 *3177:61 *5652:142 0.000185287 -153 *3179:67 *5652:109 0 -154 *3179:67 *5652:128 0 -155 *3179:82 *5652:143 0.00130439 -156 *3242:6 *5652:12 0.00181984 -157 *3242:6 *5652:20 0.000108889 -158 *3314:26 *5652:45 0.000895076 -159 *3338:8 *5652:184 0.000286271 -160 *3378:24 *5652:184 0.000585272 -161 *3378:26 *5652:143 0.000837567 -162 *3378:26 *5652:166 3.67452e-05 -163 *3538:16 *5652:204 0 -164 *3664:16 *5652:12 0.000122091 -165 *3664:27 *5652:12 0.000209717 -166 *3664:48 *5652:12 3.49187e-05 -167 *3677:48 *5652:12 4.22135e-06 -168 *3706:35 *5652:45 0.000160692 -169 *3739:122 *5652:12 0.000647363 -170 *3786:25 *5652:166 0.000219711 -171 *3849:48 *5652:45 5.72865e-05 -172 *3872:79 *5652:12 0.00204178 -173 *3886:51 *5652:11 0.000242913 -174 *3886:67 *5652:12 0.000120376 -175 *3891:43 *5652:184 0.00133987 -176 *3891:58 *5652:166 0.00149511 -177 *3891:58 *5652:184 0.000102585 -178 *3891:66 *5652:143 0.00373562 -179 *3913:26 *5652:204 1.34261e-05 -180 *3956:17 *5652:95 9.18544e-05 -181 *3963:72 *27691:A2 4.13882e-05 -182 *3963:72 *5652:27 0.000166428 -183 *3963:87 *5652:27 0.000346879 -184 *3967:18 *5652:200 7.83587e-05 -185 *3977:16 *27691:A2 4.09646e-05 -186 *3977:24 *5652:12 0.000564114 -187 *3982:71 *5652:109 0.000154703 -188 *3982:71 *5652:128 2.63501e-05 -189 *3995:58 *5652:184 1.50738e-05 -190 *4039:39 *27748:B1 0.000357672 -191 *4049:38 *27748:B1 0.000100994 -192 *4063:35 *5652:45 8.58865e-05 -193 *4063:43 *5652:45 9.29477e-05 -194 *4069:162 *27730:A2 7.71303e-05 -195 *4069:162 *5652:204 0.000696636 -196 *4070:39 *27748:B1 0.000376343 -197 *4070:39 *5652:61 5.20232e-05 -198 *4070:44 *5652:61 0.00153492 -199 *4072:50 *5652:109 0.000720187 -200 *4073:22 *5652:143 0.000425519 -201 *4122:28 *27748:B1 0.000125235 -202 *4122:39 *5652:61 0.000917265 -203 *4125:24 *5652:149 7.94767e-05 -204 *4125:24 *5652:166 0.000113049 -205 *4135:70 *5652:45 1.14338e-05 -206 *4135:70 *5652:61 0.000876141 -207 *4146:79 *5652:12 0.00010452 -208 *5435:16 *5652:200 0.000676611 -209 *5587:44 *5652:45 2.89643e-06 -210 *5607:115 *5652:200 5.74499e-06 -211 *5627:251 *5652:204 9.25014e-06 -212 *5628:161 *5652:61 3.46894e-05 -213 *5628:189 *27730:A2 1.98839e-05 -214 *5628:189 *5652:204 0.00130169 -215 *5629:164 *5652:12 0.00160045 -216 *5629:166 *27691:A2 4.09646e-05 -217 *5629:166 *5652:12 0.000245656 -218 *5630:217 *5652:149 7.78226e-05 -219 *5630:217 *5652:166 0.000121018 -220 *5630:230 *5652:184 2.99773e-05 -221 *5630:292 *27748:B1 0.000824017 -222 *5639:114 *5652:128 0.000554695 -223 *5643:203 *5652:184 0.000844881 -224 *5643:250 *27748:B1 0.00045379 -225 *5643:250 *5652:61 0.00105776 -226 *5643:316 *5652:109 0.000652222 -227 *5643:316 *5652:128 0.000286844 -228 *5645:80 *5652:128 0.000197779 -229 *5645:104 *5652:12 0.000705972 -230 *5645:108 *5652:12 1.9336e-05 -231 *5645:119 *27691:A2 0.00015546 -232 *5645:199 *5652:143 5.74562e-05 -233 *5645:199 *5652:166 0.00153045 -234 *5645:220 *5652:166 2.20685e-05 -235 *5645:220 *5652:184 0.00149759 -236 *5647:41 *5652:109 0.000428742 -237 *5647:116 *5652:204 8.6229e-06 -238 *5649:243 *27748:B1 4.87123e-05 -*RES -1 *30812:X *5652:4 9.3 -2 *5652:4 *5652:11 34.4464 -3 *5652:11 *5652:12 81.9375 -4 *5652:12 *5652:20 12.5628 -5 *5652:20 *5652:27 49.2921 -6 *5652:27 *5652:45 39.4953 -7 *5652:45 *5652:61 46.4732 -8 *5652:61 *27748:B1 43.8357 -9 *5652:20 *27691:A2 19.508 -10 *5652:4 *5652:93 1.58036 -11 *5652:93 *5652:95 60.2946 -12 *5652:95 *5652:109 49.3214 -13 *5652:109 *5652:128 47.6306 -14 *5652:128 *27823:B1 17.2107 -15 *5652:128 *5652:142 12.9107 -16 *5652:142 *5652:143 50.2143 -17 *5652:143 *5652:149 11.8036 -18 *5652:149 *27798:B1 15.5679 -19 *5652:149 *5652:166 33.5179 -20 *5652:166 *5652:184 46.375 -21 *5652:184 *5652:186 4.5 -22 *5652:186 *5652:200 37.4464 -23 *5652:200 *5652:204 47 -24 *5652:204 *27730:A2 14.9518 -25 *5652:186 *27790:A2 10.5902 -*END - -*D_NET *5653 0.121948 -*CONN -*I *27885:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27860:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27686:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27935:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27908:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27964:A2 I *D sky130_fd_sc_hd__a211o_1 -*I *27628:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *30813:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *27885:A2 0.000140126 -2 *27860:A2 0.00143956 -3 *27686:A2 7.87953e-05 -4 *27935:A2 0.000145406 -5 *27908:A2 0.000642107 -6 *27964:A2 2.2024e-05 -7 *27628:B1 8.671e-05 -8 *30813:X 0.0002309 -9 *5653:171 0.00334267 -10 *5653:165 0.00298716 -11 *5653:146 0.00278112 -12 *5653:145 0.0045021 -13 *5653:104 0.00290955 -14 *5653:97 0.00490984 -15 *5653:70 0.00234158 -16 *5653:61 0.00345372 -17 *5653:41 0.00746557 -18 *5653:39 0.00418144 -19 *5653:31 0.000472386 -20 *5653:28 0.00192583 -21 *5653:19 0.0051114 -22 *5653:11 0.00373992 -23 *27860:A2 *5657:88 5.04371e-05 -24 *27908:A2 *5839:26 6.48906e-05 -25 *27908:A2 *5839:53 6.09427e-05 -26 *27908:A2 *5844:17 1.44039e-05 -27 *27935:A2 *5657:125 0.00011122 -28 *27964:A2 *5839:47 1.81774e-05 -29 *5653:19 *27818:C1 0.000362191 -30 *5653:19 *5694:80 0.000322152 -31 *5653:19 *6343:22 7.6644e-05 -32 *5653:70 *5729:252 0.0023134 -33 *5653:70 *5839:47 2.81672e-05 -34 *5653:97 *24890:A_N 0.000165418 -35 *5653:97 *27961:A2 0.000204768 -36 *5653:97 *5845:25 2.20282e-05 -37 *5653:97 *5857:18 5.18401e-05 -38 *5653:104 *5836:89 0.00018806 -39 *5653:104 *5836:104 0.000753894 -40 *5653:104 *5845:7 0.000795977 -41 *5653:145 *24906:A 2.57194e-05 -42 *5653:145 *5816:10 1.98839e-05 -43 *5653:145 *5845:7 4.08637e-05 -44 *5653:145 *5845:33 0.000837311 -45 *5653:145 *5867:30 8.58032e-05 -46 *5653:146 *26894:A0 0.000135028 -47 *5653:146 *26894:A1 0.000547852 -48 *5653:165 *5699:140 2.42516e-05 -49 *5653:171 *5699:128 0.000729818 -50 *5653:171 *5739:252 8.6229e-06 -51 *25104:C1 *5653:97 5.74499e-06 -52 *25191:B1 *5653:104 0.000112667 -53 *25835:A0 *27860:A2 2.48548e-05 -54 *25844:A1 *27908:A2 0.00014443 -55 *25873:A1 *5653:165 0.000179043 -56 *25873:S *5653:165 0.000759383 -57 *25873:S *5653:171 0.000832666 -58 *27489:A2 *27860:A2 0.000135242 -59 *27495:A1 *27860:A2 0.000100736 -60 *27517:C1 *27860:A2 0.001063 -61 *27565:B2 *27908:A2 7.19814e-05 -62 *27565:B2 *5653:104 7.12878e-05 -63 *27628:A1 *27628:B1 5.33005e-05 -64 *27628:A2 *27628:B1 1.98839e-05 -65 *27628:A2 *5653:39 3.57366e-05 -66 *27628:A2 *5653:41 4.10926e-05 -67 *27629:A2 *5653:28 6.28248e-05 -68 *27629:C1 *5653:31 0.000175892 -69 *27633:C *5653:19 0.000263247 -70 *27663:C *5653:19 0.000138939 -71 *27686:A1 *27686:A2 0.000123295 -72 *27795:A1 *5653:19 4.43628e-05 -73 *27860:A1 *27860:A2 0.000298795 -74 *27860:C1 *27860:A2 4.9287e-05 -75 *27872:A *5653:165 5.33005e-05 -76 *27885:A1 *27885:A2 0.000171737 -77 *27885:B1 *27860:A2 3.17148e-05 -78 *27885:B1 *5653:171 0.000136156 -79 *27885:B2 *27885:A2 0.000112286 -80 *27908:A1 *27908:A2 7.66879e-05 -81 *27925:C1 *5653:70 0.000283698 -82 *27935:A1 *27935:A2 9.11597e-05 -83 *27935:C1 *27908:A2 0.000339843 -84 *27945:A2 *5653:61 2.63501e-05 -85 *27961:A1 *5653:97 5.33005e-05 -86 *27961:B1 *5653:97 3.69047e-06 -87 *27964:A1 *27964:A2 5.33005e-05 -88 *27964:A1 *5653:70 9.41642e-05 -89 *27964:C1 *5653:70 0.000123194 -90 *29446:A *5653:145 4.96113e-05 -91 *29791:A *5653:145 0.000301016 -92 *30091:A *5653:104 0.000837304 -93 *30535:A *27935:A2 0.00011069 -94 *30813:A *5653:11 0.000135028 -95 *74:11 *5653:19 0.00117384 -96 *282:34 *5653:146 0.000343717 -97 *282:38 *5653:146 0.000187377 -98 *1223:15 *5653:145 0.00041605 -99 *1239:65 *5653:145 9.58126e-05 -100 *1250:43 *5653:31 6.05161e-06 -101 *1287:97 *27908:A2 7.66879e-05 -102 *1360:20 *5653:165 0.000280413 -103 *1363:98 *5653:28 0.000188983 -104 *1399:81 *5653:97 0.000336676 -105 *1422:52 *5653:104 0.00136292 -106 *1422:74 *5653:97 6.8646e-06 -107 *1430:59 *5653:61 0.000339774 -108 *1488:33 *27908:A2 0.000490604 -109 *1488:33 *5653:104 0.000199455 -110 *1490:61 *5653:19 0.000154769 -111 *1511:10 *5653:104 0.00277478 -112 *1511:10 *5653:145 0.000267731 -113 *1511:22 *5653:145 0.00128399 -114 *1511:39 *5653:146 0.00234952 -115 *1619:25 *27860:A2 3.2209e-06 -116 *1647:46 *5653:70 0.000462923 -117 *1713:13 *5653:165 0.000602643 -118 *1730:19 *5653:61 0.000112823 -119 *1864:29 *5653:70 2.06178e-05 -120 *1864:29 *5653:97 0.000187798 -121 *1864:36 *5653:61 0.000477944 -122 *1864:49 *5653:61 0.00212553 -123 *1878:8 *27908:A2 2.04558e-05 -124 *1887:31 *5653:171 0.00145616 -125 *2777:71 *5653:28 0.000103363 -126 *2844:153 *5653:19 0.000307966 -127 *2848:384 *5653:19 0.000404189 -128 *2852:181 *5653:28 0.00142524 -129 *2852:191 *5653:165 0.00110059 -130 *2856:196 *5653:70 0.000153171 -131 *2859:157 *5653:19 1.25084e-05 -132 *2859:161 *5653:19 2.72996e-05 -133 *2859:296 *5653:19 0.000244793 -134 *2860:158 *5653:28 5.68451e-05 -135 *2867:149 *5653:97 4.13617e-05 -136 *2874:158 *5653:19 4.83837e-05 -137 *2882:173 *5653:19 0.00159912 -138 *2885:35 *5653:19 1.14166e-05 -139 *2888:117 *5653:165 7.40526e-05 -140 *2888:212 *27686:A2 4.71964e-05 -141 *2892:65 *27860:A2 4.41457e-05 -142 *2917:26 *5653:28 0.000459485 -143 *3040:41 *5653:19 0.000191948 -144 *3073:14 *5653:171 0.000259273 -145 *3147:57 *5653:19 0.000419908 -146 *3147:68 *5653:19 0.000341243 -147 *3153:98 *5653:70 2.49484e-05 -148 *3153:124 *5653:19 0.000846396 -149 *3156:112 *27860:A2 0.00013182 -150 *3156:124 *27860:A2 0.000180659 -151 *3160:84 *5653:11 9.60875e-05 -152 *3165:192 *5653:19 5.99979e-05 -153 *3165:297 *27860:A2 0.000114602 -154 *3174:99 *5653:61 0.000245411 -155 *3178:147 *5653:39 0 -156 *3178:149 *5653:41 0.000399411 -157 *3185:320 *5653:61 0.000347289 -158 *3192:118 *27908:A2 1.55101e-05 -159 *3194:116 *27885:A2 5.33005e-05 -160 *3194:129 *27885:A2 9.41642e-05 -161 *3196:111 *27860:A2 0.000101691 -162 *3196:119 *27860:A2 0.0012662 -163 *3196:119 *5653:171 0.000210409 -164 *3197:99 *5653:104 0 -165 *3204:18 *5653:19 0.000437879 -166 *3205:83 *5653:61 2.83129e-05 -167 *3205:180 *5653:28 0.000837733 -168 *3236:13 *27686:A2 1.46576e-05 -169 *3305:33 *5653:41 0.0013281 -170 *3404:20 *27860:A2 0.00020489 -171 *3428:14 *27885:A2 3.58774e-05 -172 *3437:14 *5653:61 0.00259382 -173 *3441:14 *5653:61 0.000387471 -174 *3450:13 *27908:A2 0.000704936 -175 *3454:11 *27860:A2 1.42701e-05 -176 *3454:18 *27860:A2 4.8817e-05 -177 *3469:29 *5653:165 0.000188223 -178 *3480:23 *5653:97 0.000182188 -179 *3480:35 *5653:61 0.000347289 -180 *3503:28 *5653:61 0.00025254 -181 *3542:21 *5653:97 2.07158e-05 -182 *3594:13 *27860:A2 1.81709e-05 -183 *3594:13 *5653:171 2.18517e-05 -184 *3663:65 *5653:61 0.000192268 -185 *3666:119 *5653:19 0.000437501 -186 *3675:19 *27935:A2 9.05976e-06 -187 *3706:35 *5653:145 0.000171375 -188 *3713:94 *5653:104 8.27255e-05 -189 *3715:32 *5653:97 1.01417e-05 -190 *3724:27 *5653:171 0.000218404 -191 *3726:29 *5653:165 0.000221219 -192 *3762:42 *5653:70 0.000166812 -193 *3762:42 *5653:97 9.86094e-06 -194 *3796:62 *5653:28 0.000460082 -195 *3806:62 *5653:165 7.57673e-05 -196 *3820:91 *27686:A2 1.17968e-05 -197 *3844:59 *5653:41 9.41642e-05 -198 *3849:50 *5653:145 0.000170646 -199 *3855:21 *5653:28 0.000712609 -200 *3867:60 *5653:41 0.00295108 -201 *3867:60 *5653:61 0.0003872 -202 *3886:67 *5653:146 0.000879019 -203 *3945:54 *5653:165 0.00233608 -204 *3960:28 *27860:A2 0.000167762 -205 *4012:39 *5653:70 0.000125449 -206 *4035:36 *5653:97 0.000104383 -207 *4035:52 *5653:70 5.1588e-05 -208 *4035:52 *5653:97 0.000259967 -209 *4101:24 *5653:104 4.11218e-05 -210 *4104:23 *5653:104 1.89628e-05 -211 *4104:38 *5653:145 0.000119572 -212 *4104:49 *5653:145 0.000245684 -213 *4113:116 *5653:104 4.11218e-05 -214 *4126:85 *5653:61 0.00038021 -215 *4152:12 *27860:A2 8.84874e-05 -216 *4186:20 *5653:61 0.000136948 -217 *5493:25 *27860:A2 0.000104976 -218 *5598:49 *5653:19 5.93865e-05 -219 *5628:5 *5653:11 0.000341489 -220 *5629:108 *5653:70 1.66595e-05 -221 *5630:43 *27686:A2 7.13226e-06 -222 *5630:59 *5653:146 0.00170582 -223 *5638:16 *5653:19 7.94146e-05 -224 *5639:13 *5653:11 0.00056768 -225 *5640:64 *5653:97 0.000693181 -226 *5643:39 *5653:19 0.000239762 -227 *5646:157 *5653:70 0.000718712 -228 *5651:156 *5653:97 0.000137983 -*RES -1 *30813:X *5653:11 21.05 -2 *5653:11 *5653:19 47.9162 -3 *5653:19 *5653:28 47.0082 -4 *5653:28 *5653:31 9.14286 -5 *5653:31 *27628:B1 10.6571 -6 *5653:31 *5653:39 1.33929 -7 *5653:39 *5653:41 52.2857 -8 *5653:41 *5653:61 46.345 -9 *5653:61 *5653:70 49.3571 -10 *5653:70 *27964:A2 14.3357 -11 *5653:70 *5653:97 45.9821 -12 *5653:97 *5653:104 39.1349 -13 *5653:104 *27908:A2 28.7819 -14 *5653:104 *27935:A2 20.4823 -15 *5653:97 *5653:145 47.8036 -16 *5653:145 *5653:146 51.875 -17 *5653:146 *27686:A2 20.3937 -18 *5653:41 *5653:165 49.6429 -19 *5653:165 *5653:171 41.9643 -20 *5653:171 *27860:A2 49.1026 -21 *5653:171 *27885:A2 17.5589 -*END - -*D_NET *5654 0.120003 -*CONN -*I *27838:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27787:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27728:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27705:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27764:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27672:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27808:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27648:B I *D sky130_fd_sc_hd__or4_1 -*I *30814:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *27838:B1 0.000833233 -2 *27787:B1 0.00101347 -3 *27728:A2 3.31329e-05 -4 *27705:B1 0.000799148 -5 *27764:B1 0.00233704 -6 *27672:B1 0.000713542 -7 *27808:A2 0.000152589 -8 *27648:B 9.69991e-05 -9 *30814:X 0.0011952 -10 *5654:157 0.00358635 -11 *5654:131 0.00298244 -12 *5654:108 0.00310646 -13 *5654:99 0.00274057 -14 *5654:94 0.00298213 -15 *5654:88 0.00311546 -16 *5654:86 0.00309358 -17 *5654:68 0.00294546 -18 *5654:65 0.00222352 -19 *5654:55 0.0051439 -20 *5654:52 0.00702553 -21 *5654:29 0.00356272 -22 *5654:23 0.00260612 -23 *5654:21 0.00187731 -24 *5654:9 0.0015217 -25 *27648:B *5656:14 7.89231e-05 -26 *27672:B1 *27672:A2 0.000135028 -27 *27705:B1 *5910:42 0 -28 *27705:B1 *5910:47 0.00122045 -29 *27728:A2 *5781:12 1.90936e-05 -30 *27764:B1 *27764:A2 0.00138949 -31 *27764:B1 *5663:155 5.74499e-06 -32 *27764:B1 *5701:169 9.66977e-05 -33 *27787:B1 *5676:87 0.00127412 -34 *27838:B1 *27838:A2 2.06112e-05 -35 *27838:B1 *5663:48 0.000417571 -36 *5654:9 *5656:28 0.000374578 -37 *5654:52 *5656:240 9.34324e-05 -38 *5654:55 *5926:78 0.00034188 -39 *5654:65 *5663:50 0.000200431 -40 *5654:68 *26984:A0 0.000379382 -41 *5654:68 *5708:180 6.35819e-05 -42 *5654:68 *5708:209 0.000380703 -43 *5654:68 *5718:354 0.00179523 -44 *5654:86 *5718:354 0.00040224 -45 *5654:86 *5781:42 0.000184639 -46 *5654:88 *5781:12 0.00423908 -47 *5654:88 *5781:42 4.12469e-05 -48 *5654:99 *5655:232 2.32121e-05 -49 *5654:108 *5701:169 0.000162811 -50 *5654:108 *5910:47 1.94945e-05 -51 *5654:157 *5911:165 4.33374e-05 -52 *5654:157 *5911:173 5.2234e-05 -53 *25048:A1 *5654:157 0.000187616 -54 *25192:C1 *5654:65 0.000372409 -55 *25200:B2 *5654:65 9.17005e-05 -56 *27018:A *5654:157 2.79421e-05 -57 *27386:A2 *5654:86 0.000129723 -58 *27431:A1 *5654:157 1.24368e-05 -59 *27431:B1 *5654:131 9.23367e-05 -60 *27431:B1 *5654:157 0.00227462 -61 *27431:B2 *5654:157 9.66977e-05 -62 *27458:A2 *5654:52 0.000105594 -63 *27477:B2 *27838:B1 1.73088e-05 -64 *27478:C1 *5654:52 1.03072e-05 -65 *27479:B2 *5654:52 6.5887e-05 -66 *27615:C *5654:9 0.00025961 -67 *27646:B *5654:23 0.000385717 -68 *27647:C *27648:B 6.37567e-05 -69 *27647:C *5654:21 0.000280682 -70 *27647:C *5654:23 0.000163118 -71 *27672:A1 *27672:B1 3.97677e-05 -72 *27689:C1 *27672:B1 1.21258e-05 -73 *27699:A2 *5654:108 0.00141869 -74 *27705:A1 *27705:B1 1.58163e-05 -75 *27709:A *5654:108 0.000149797 -76 *27709:B *27705:B1 0.00103203 -77 *27728:A1 *27728:A2 7.56621e-05 -78 *27747:A1 *27764:B1 0.000257619 -79 *27747:A2 *27764:B1 0.000178786 -80 *27747:B1 *27764:B1 1.21258e-05 -81 *27787:A1 *27787:B1 0.000467849 -82 *27808:A1 *27808:A2 0.000505335 -83 *27838:A1 *27838:B1 6.05161e-06 -84 *28527:CLK *5654:157 6.57032e-05 -85 *28527:D *5654:157 2.15245e-05 -86 *30815:A *5654:9 2.65427e-05 -87 *890:19 *27787:B1 0.000470533 -88 *1178:158 *5654:88 5.58875e-06 -89 *1258:100 *5654:52 0.000103244 -90 *1261:227 *5654:94 4.37712e-06 -91 *1262:181 *5654:55 0.000554643 -92 *1281:145 *5654:68 1.73191e-05 -93 *1288:149 *27705:B1 0.000507686 -94 *1308:19 *5654:52 0.000348731 -95 *1385:16 *5654:23 0.000173056 -96 *1385:33 *5654:52 0.000197828 -97 *1490:102 *5654:65 0.00014183 -98 *1501:30 *5654:65 0.000337098 -99 *1507:25 *27672:B1 0.00107996 -100 *1514:13 *5654:131 4.18413e-05 -101 *1514:13 *5654:157 0.000252046 -102 *1527:6 *5654:65 0.00103054 -103 *1680:28 *5654:99 0.000256005 -104 *1826:154 *5654:68 0.000196269 -105 *1826:154 *5654:86 8.40351e-06 -106 *1826:170 *5654:88 1.5794e-05 -107 *2765:18 *5654:157 5.33334e-05 -108 *2768:22 *5654:52 8.94491e-05 -109 *2770:118 *5654:94 0.000463203 -110 *2770:118 *5654:131 0.000450086 -111 *2774:10 *5654:157 8.55871e-05 -112 *2792:104 *5654:52 0.000256137 -113 *2792:108 *5654:29 0.00200169 -114 *2792:108 *5654:52 0.000218002 -115 *2794:107 *5654:108 0.000285094 -116 *2844:201 *5654:52 0.000236767 -117 *2844:204 *5654:55 5.67737e-05 -118 *2844:220 *5654:55 0.000298247 -119 *2844:220 *5654:65 5.52238e-05 -120 *2845:362 *27838:B1 0.00050829 -121 *2845:362 *5654:55 0.00305727 -122 *2856:344 *5654:157 3.22692e-05 -123 *2867:226 *5654:86 0.000820274 -124 *2867:249 *5654:86 0.000536548 -125 *2871:277 *5654:86 8.84757e-05 -126 *2872:186 *5654:52 7.7488e-05 -127 *2872:187 *5654:52 1.90936e-05 -128 *2885:91 *5654:52 0.000339029 -129 *2888:177 *5654:52 0.000198909 -130 *2891:311 *5654:157 2.35042e-06 -131 *2936:11 *5654:65 0.000267814 -132 *3164:319 *5654:99 0.00134297 -133 *3164:346 *27764:B1 0.000345257 -134 *3165:27 *5654:23 0.00405315 -135 *3167:5 *5654:9 2.85321e-05 -136 *3167:39 *5654:9 0.000151119 -137 *3179:67 *27838:B1 0.000167468 -138 *3252:13 *5654:108 0.000175892 -139 *3283:8 *5654:88 0.00391502 -140 *3345:6 *5654:23 0.00340579 -141 *3629:18 *5654:52 0.000101444 -142 *3692:45 *5654:157 0.00166953 -143 *3694:41 *27787:B1 3.69047e-06 -144 *3694:63 *27787:B1 5.04841e-06 -145 *3696:12 *27838:B1 0.000135028 -146 *3759:16 *5654:157 1.32056e-05 -147 *3770:28 *27705:B1 0.000419916 -148 *3770:35 *27705:B1 4.154e-05 -149 *3770:35 *5654:108 1.0562e-05 -150 *3787:44 *5654:52 0.000222504 -151 *3798:99 *27787:B1 0.000378778 -152 *3800:29 *5654:52 0.000282023 -153 *3837:27 *5654:157 4.58194e-05 -154 *3864:63 *5654:29 0.00014854 -155 *3864:63 *5654:52 0.000549693 -156 *3878:43 *5654:29 0.00200278 -157 *3878:43 *5654:52 1.12323e-05 -158 *3904:38 *27648:B 2.07809e-05 -159 *3904:38 *5654:21 0.000280866 -160 *3904:38 *5654:23 0.000521578 -161 *3926:40 *27728:A2 1.90936e-05 -162 *3926:44 *5654:88 2.14378e-05 -163 *3926:48 *5654:86 5.05167e-05 -164 *3926:48 *5654:88 3.73407e-05 -165 *3942:60 *5654:23 0.000121549 -166 *3965:70 *5654:86 0.000131218 -167 *3967:18 *5654:157 4.38058e-05 -168 *3978:44 *5654:99 0.000141406 -169 *3979:19 *27764:B1 1.21258e-05 -170 *3979:32 *27764:B1 1.58163e-05 -171 *3982:74 *27838:B1 1.03816e-05 -172 *4017:56 *5654:108 5.74499e-06 -173 *4069:41 *5654:94 0 -174 *4082:70 *5654:94 0 -175 *4082:70 *5654:131 0 -176 *4095:33 *27787:B1 7.48301e-06 -177 *4137:16 *5654:157 0.000189201 -178 *4147:46 *5654:131 0 -179 *4150:33 *27808:A2 0.000505335 -180 *5592:25 *27648:B 5.33005e-05 -181 *5628:185 *5654:94 6.57893e-06 -182 *5630:144 *5654:86 0.000582694 -183 *5630:161 *5654:86 0.000715011 -184 *5635:173 *27728:A2 7.37323e-05 -185 *5635:187 *5654:99 0 -186 *5639:204 *27705:B1 0.000125006 -187 *5639:229 *27705:B1 3.33238e-05 -188 *5643:160 *5654:86 0.000991641 -189 *5643:160 *5654:88 0 -190 *5647:17 *5654:9 0.000178503 -191 *5647:116 *5654:108 0.00117501 -192 *5649:120 *5654:68 0 -*RES -1 *30814:X *5654:9 29.1393 -2 *5654:9 *27648:B 15.9786 -3 *5654:9 *5654:21 7.40179 -4 *5654:21 *5654:23 63.8125 -5 *5654:23 *5654:29 37.8214 -6 *5654:29 *27808:A2 18.8536 -7 *5654:29 *5654:52 25.3018 -8 *5654:52 *5654:55 46.9643 -9 *5654:55 *5654:65 40.375 -10 *5654:65 *5654:68 28 -11 *5654:68 *27672:B1 22.5857 -12 *5654:68 *5654:86 47.7232 -13 *5654:86 *5654:88 56.7679 -14 *5654:88 *5654:94 9.4003 -15 *5654:94 *5654:99 10.146 -16 *5654:99 *5654:108 34.9196 -17 *5654:108 *27764:B1 38.1929 -18 *5654:99 *27705:B1 39.2911 -19 *5654:94 *5654:131 4.5709 -20 *5654:131 *27728:A2 18.2279 -21 *5654:131 *5654:157 47.2651 -22 *5654:157 *27787:B1 36.4071 -23 *5654:52 *27838:B1 31.5321 -*END - -*D_NET *5655 0.141323 -*CONN -*I *27716:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27758:B1 I *D sky130_fd_sc_hd__a221o_4 -*I *27832:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27781:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27741:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27674:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27932:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27617:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27882:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27905:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27857:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27962:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *30815:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *27716:B1 0.0028238 -2 *27758:B1 7.03192e-05 -3 *27832:B1 0.00101698 -4 *27781:B1 0 -5 *27741:B1 0.000175104 -6 *27674:B1 2.04425e-05 -7 *27932:B1 0.000136021 -8 *27617:A2 3.19659e-06 -9 *27882:B1 9.68783e-05 -10 *27905:B1 0.000596203 -11 *27857:B1 0 -12 *27962:B1 0.000116291 -13 *30815:X 0.000675038 -14 *5655:267 0.00184033 -15 *5655:264 0.00291983 -16 *5655:238 0.00457173 -17 *5655:232 0.00546429 -18 *5655:217 0.00425658 -19 *5655:203 0.00244075 -20 *5655:201 0.0025225 -21 *5655:193 0.00211388 -22 *5655:182 0.00165933 -23 *5655:174 0.00245404 -24 *5655:163 0.00502327 -25 *5655:150 0.00319144 -26 *5655:130 0.0044395 -27 *5655:113 0.00263835 -28 *5655:96 0.00175443 -29 *5655:65 0.00168446 -30 *5655:36 0.00252957 -31 *5655:29 0.00358606 -32 *5655:16 0.00290389 -33 *27716:B1 *27129:A0 2.79421e-05 -34 *27832:B1 *5703:21 2.46999e-05 -35 *27905:B1 *6317:100 0.000121585 -36 *5655:16 *6225:114 9.54536e-05 -37 *5655:29 *5687:129 4.75956e-06 -38 *5655:29 *5700:137 0 -39 *5655:29 *5707:113 0 -40 *5655:29 *5981:45 7.67699e-05 -41 *5655:65 *5717:74 0.000264327 -42 *5655:65 *6317:100 9.22052e-06 -43 *5655:150 *5687:73 0.000135028 -44 *5655:201 *27346:B1 0.000829041 -45 *5655:201 *5748:16 1.09239e-05 -46 *5655:232 *5803:27 6.48939e-05 -47 *5655:238 *29053:A 0.00139686 -48 *5655:238 *5682:84 0 -49 *5655:238 *5800:19 0 -50 *5655:238 *5829:68 0.000752959 -51 *5655:264 *28125:RESET_B 0.000134714 -52 *5655:264 *5683:103 1.65169e-05 -53 *5655:264 *5774:137 0.000254042 -54 *25053:A1 *5655:267 0.00081345 -55 *25053:A2 *5655:264 1.90936e-05 -56 *25054:B2 *27832:B1 2.59355e-05 -57 *25054:B2 *5655:267 6.595e-05 -58 *25183:B2 *5655:174 4.65519e-05 -59 *25186:A2 *5655:232 0.00162835 -60 *25209:B1 *5655:150 0.000689927 -61 *25209:C1 *5655:150 7.93704e-05 -62 *25217:D *5655:150 0.000137548 -63 *25241:A1 *5655:65 6.03289e-05 -64 *25241:A2 *5655:65 5.96516e-05 -65 *25241:B1 *5655:65 2.59355e-05 -66 *25241:B2 *5655:65 5.33005e-05 -67 *25258:B1 *27716:B1 0.000928614 -68 *25258:B1 *5655:150 0.00187564 -69 *25258:B1 *5655:163 0.000494377 -70 *25266:C *5655:36 9.27892e-05 -71 *25266:C *5655:96 8.89037e-05 -72 *25266:C *5655:113 0.00019361 -73 *25375:A2 *5655:29 0.000769223 -74 *25391:A1 *27905:B1 0 -75 *25891:S *5655:113 0.000352308 -76 *27322:B2 *5655:113 0.000878725 -77 *27330:B *5655:16 0.000248138 -78 *27330:C *5655:16 0.0001399 -79 *27344:B2 *5655:201 5.0416e-05 -80 *27344:B2 *5655:203 1.53262e-05 -81 *27347:C1 *5655:201 0.000518579 -82 *27348:B1 *5655:193 0.000230437 -83 *27357:B2 *27716:B1 0.000132862 -84 *27376:B1 *5655:16 9.70328e-05 -85 *27614:A2 *5655:113 0.000295248 -86 *27617:A1 *27617:A2 1.46576e-05 -87 *27617:C1 *5655:113 0.000451848 -88 *27629:A2 *5655:130 0.001065 -89 *27636:A *5655:113 5.64118e-05 -90 *27636:A *5655:130 4.22135e-06 -91 *27674:A1 *27674:B1 2.59355e-05 -92 *27674:A1 *5655:182 4.87751e-05 -93 *27674:B2 *27674:B1 5.33005e-05 -94 *27674:B2 *5655:182 5.33005e-05 -95 *27699:A1 *5655:232 7.30885e-05 -96 *27699:B1 *5655:232 0.000242785 -97 *27740:A1 *5655:264 0.000467426 -98 *27741:A2 *27741:B1 1.85392e-05 -99 *27741:B2 *27741:B1 2.58896e-05 -100 *27773:A1 *5655:264 9.65816e-05 -101 *27781:B2 *5655:267 1.38323e-05 -102 *27822:A1 *5655:264 1.90936e-05 -103 *27822:A2 *5655:264 8.96266e-05 -104 *27822:B2 *5655:264 3.97794e-05 -105 *27832:A2 *27832:B1 0.000968098 -106 *27833:A2 *27832:B1 7.05143e-06 -107 *27833:B2 *27832:B1 0.000911566 -108 *27833:C1 *27832:B1 0.000755 -109 *27857:A1 *27905:B1 5.52148e-05 -110 *27857:A2 *27905:B1 0.000118776 -111 *27857:B2 *27905:B1 1.74719e-05 -112 *27858:C1 *27905:B1 0.00018172 -113 *27905:B2 *27905:B1 7.19302e-05 -114 *27905:C1 *27905:B1 1.27302e-05 -115 *27906:C1 *27905:B1 4.19624e-06 -116 *27932:A2 *27932:B1 1.00733e-05 -117 *27932:C1 *27932:B1 7.5509e-06 -118 *27962:A1 *27962:B1 5.30637e-06 -119 *27962:A2 *27962:B1 0 -120 *28125:CLK *5655:264 0.000142531 -121 *28546:D *5655:174 0.00013171 -122 *28629:CLK *27758:B1 5.01213e-05 -123 *28629:CLK *5655:217 9.87494e-05 -124 *28675:D *5655:217 0.000289407 -125 *28788:CLK *5655:29 0.000310524 -126 *28788:D *5655:29 0.00024992 -127 *28876:A *5655:29 2.4626e-05 -128 *29447:A *5655:150 2.84736e-05 -129 *29717:A *5655:113 7.40571e-05 -130 *30815:A *5655:16 9.90431e-05 -131 *282:21 *5655:36 2.3711e-05 -132 *282:21 *5655:96 1.9514e-05 -133 *1218:187 *5655:174 0.000202984 -134 *1227:26 *5655:150 0.000841341 -135 *1235:23 *5655:150 0.000276033 -136 *1237:17 *5655:29 0.000211835 -137 *1244:137 *5655:113 1.26641e-05 -138 *1244:174 *5655:174 0.000366401 -139 *1248:81 *5655:150 0 -140 *1250:43 *5655:150 2.63501e-05 -141 *1250:43 *5655:163 8.69554e-05 -142 *1256:31 *5655:130 0.000405477 -143 *1256:31 *5655:150 0.000126019 -144 *1257:46 *5655:150 8.44368e-05 -145 *1269:110 *5655:203 0.00015495 -146 *1269:213 *5655:201 7.6644e-05 -147 *1276:43 *5655:150 0.00060289 -148 *1291:31 *5655:130 1.60961e-05 -149 *1293:149 *5655:232 0.000259273 -150 *1328:140 *27716:B1 1.51029e-05 -151 *1393:11 *5655:232 0.000382744 -152 *1393:23 *5655:232 0.000633787 -153 *1419:24 *5655:182 7.2394e-05 -154 *1419:30 *5655:130 0.000405262 -155 *1419:30 *5655:174 1.85816e-05 -156 *1419:43 *5655:130 0.000777259 -157 *1420:22 *5655:36 0.000681813 -158 *1441:99 *27962:B1 7.14469e-05 -159 *1441:99 *5655:36 0.000119204 -160 *1455:55 *5655:65 6.12802e-05 -161 *1455:93 *5655:150 0.00013415 -162 *1485:23 *5655:36 0 -163 *1527:39 *5655:174 7.80167e-05 -164 *1536:27 *27716:B1 7.3528e-05 -165 *1588:18 *27962:B1 3.29037e-05 -166 *1588:18 *5655:36 5.42873e-05 -167 *1594:35 *5655:150 8.91941e-06 -168 *1603:13 *5655:150 0.000180066 -169 *1639:25 *27716:B1 0.000427955 -170 *1657:19 *27905:B1 0.000118086 -171 *1657:19 *5655:65 4.8071e-05 -172 *1680:28 *5655:232 0.000351621 -173 *1826:238 *5655:238 7.60332e-05 -174 *1834:84 *5655:150 0.00025249 -175 *1844:16 *5655:150 0.000112883 -176 *1890:6 *5655:113 0.000282895 -177 *2754:18 *27716:B1 0.000141039 -178 *2759:31 *5655:238 5.11957e-05 -179 *2759:31 *5655:264 9.77021e-06 -180 *2779:140 *5655:182 0.000582426 -181 *2782:106 *27716:B1 0.000141039 -182 *2786:112 *27716:B1 0.000971254 -183 *2788:168 *27741:B1 0.00010909 -184 *2815:59 *5655:16 0.00017754 -185 *2844:5 *5655:16 8.27532e-05 -186 *2844:18 *5655:16 0.000267377 -187 *2844:19 *5655:16 0.000177821 -188 *2844:27 *5655:65 5.74499e-06 -189 *2845:31 *27905:B1 5.49489e-05 -190 *2848:282 *5655:217 0.000208349 -191 *2850:50 *27962:B1 1.08131e-05 -192 *2855:139 *5655:150 0.000256421 -193 *2855:198 *5655:217 0 -194 *2858:36 *5655:16 6.90134e-05 -195 *2860:154 *5655:113 0.00188991 -196 *2860:158 *5655:113 7.88237e-05 -197 *2871:20 *5655:16 2.77289e-05 -198 *2871:20 *5655:29 7.80759e-05 -199 *2871:36 *5655:36 2.0612e-05 -200 *2871:39 *5655:65 0.000128896 -201 *2872:29 *27905:B1 2.12005e-05 -202 *2875:243 *5655:193 0.000111243 -203 *2875:302 *5655:203 0.0001326 -204 *2877:179 *5655:174 0.000143656 -205 *2878:25 *27905:B1 3.00936e-05 -206 *2886:26 *5655:29 0 -207 *2886:244 *5655:193 0.00169272 -208 *2886:244 *5655:201 0.000409522 -209 *2892:197 *5655:201 0.000568377 -210 *2895:13 *5655:16 0.000160345 -211 *2895:316 *5655:217 0.000153962 -212 *3153:313 *5655:264 3.3261e-05 -213 *3153:325 *5655:264 4.5178e-05 -214 *3156:49 *5655:29 0.000236895 -215 *3156:49 *5655:113 0.000214552 -216 *3156:59 *27905:B1 0.000183077 -217 *3160:33 *5655:29 0.000158552 -218 *3160:33 *5655:36 0.00231362 -219 *3164:56 *5655:113 4.26759e-05 -220 *3164:319 *5655:232 0.000452162 -221 *3164:346 *27758:B1 0.000137224 -222 *3164:346 *5655:217 0.000400759 -223 *3168:31 *5655:130 2.01997e-05 -224 *3183:167 *5655:150 8.17038e-05 -225 *3184:71 *27716:B1 0.000164658 -226 *3184:71 *5655:150 2.09331e-05 -227 *3184:71 *5655:163 6.46898e-05 -228 *3214:36 *27905:B1 3.72214e-05 -229 *3215:211 *5655:203 0.000292708 -230 *3220:44 *5655:150 1.14338e-05 -231 *3230:6 *27758:B1 0 -232 *3299:29 *5655:174 0.00130734 -233 *3306:6 *5655:174 0.00179767 -234 *3306:6 *5655:182 0.000208871 -235 *3425:20 *5655:36 0.00100906 -236 *3425:20 *5655:65 0.000107222 -237 *3568:168 *5655:65 6.90884e-05 -238 *3575:8 *5655:16 3.66327e-05 -239 *3598:18 *5655:217 4.53834e-05 -240 *3598:43 *5655:217 0.000891704 -241 *3662:79 *5655:150 0.000136733 -242 *3678:82 *5655:201 0.000359831 -243 *3686:135 *27617:A2 1.57155e-05 -244 *3686:135 *5655:174 8.00964e-05 -245 *3704:45 *5655:182 1.92905e-05 -246 *3717:30 *5655:232 0.000629077 -247 *3782:16 *5655:29 0.000648118 -248 *3782:26 *5655:65 0.000178425 -249 *3783:108 *5655:193 2.12071e-05 -250 *3783:108 *5655:201 0.00162197 -251 *3783:110 *5655:182 5.41794e-05 -252 *3783:110 *5655:193 0.00281734 -253 *3796:62 *27716:B1 0.00042967 -254 *3796:163 *5655:238 2.63501e-05 -255 *3834:24 *5655:174 0.000179439 -256 *3843:22 *5655:65 0.000508622 -257 *3846:34 *5655:217 0.000408289 -258 *3875:73 *5655:150 0 -259 *3887:91 *27741:B1 0.000243669 -260 *3898:32 *5655:217 5.4695e-05 -261 *3898:32 *5655:232 5.33005e-05 -262 *3898:41 *5655:203 2.23987e-05 -263 *3898:41 *5655:217 0.000475941 -264 *3899:26 *5655:232 0.000631032 -265 *3907:32 *5655:193 1.51623e-05 -266 *3907:32 *5655:201 2.0586e-05 -267 *3907:45 *5655:182 5.59013e-05 -268 *3907:45 *5655:193 0.00112715 -269 *3915:37 *5655:238 0.000544756 -270 *3916:18 *5655:264 2.74787e-05 -271 *3924:62 *5655:203 0.000890609 -272 *3924:64 *5655:203 0.000135558 -273 *3924:73 *5655:201 0.000563433 -274 *3924:73 *5655:203 0.00139943 -275 *3978:21 *5655:264 1.84523e-06 -276 *3978:109 *5655:264 0.000135363 -277 *3987:58 *27932:B1 3.62601e-05 -278 *3987:58 *5655:150 1.66787e-05 -279 *3987:58 *5655:174 6.14836e-06 -280 *3991:52 *5655:238 0.000317848 -281 *3996:21 *5655:65 0 -282 *4003:20 *5655:217 0.000236182 -283 *4019:14 *27832:B1 2.49484e-05 -284 *4058:23 *27832:B1 1.98839e-05 -285 *4062:22 *27882:B1 5.49995e-05 -286 *4062:27 *5655:96 1.54726e-05 -287 *4062:27 *5655:113 9.15205e-05 -288 *4115:30 *5655:174 0.000506361 -289 *4115:30 *5655:182 0.00104447 -290 *4115:47 *5655:130 0.000314488 -291 *4115:47 *5655:174 4.89354e-06 -292 *4119:24 *5655:217 0.000148911 -293 *4119:26 *5655:203 0.0037504 -294 *4119:26 *5655:217 0.000147559 -295 *4186:20 *27716:B1 0 -296 *5196:72 *5655:113 1.81805e-05 -297 *5196:72 *5655:130 2.21972e-05 -298 *5486:20 *5655:29 4.65158e-06 -299 *5486:31 *5655:113 0.000189853 -300 *5583:22 *5655:150 8.70471e-05 -301 *5583:71 *27882:B1 1.32552e-05 -302 *5587:130 *5655:232 9.94194e-05 -303 *5592:25 *5655:16 0.00110887 -304 *5592:45 *5655:29 0.000201696 -305 *5609:107 *5655:174 4.73891e-05 -306 *5632:161 *5655:203 0.000190135 -307 *5634:15 *5655:16 0.000807472 -308 *5634:95 *5655:113 0.000681281 -309 *5634:95 *5655:130 0.000266751 -310 *5635:15 *5655:29 0 -311 *5638:109 *5655:182 0.000177545 -312 *5638:169 *27741:B1 3.34609e-05 -313 *5638:195 *27832:B1 1.46576e-05 -314 *5638:195 *5655:264 0.000677633 -315 *5643:32 *5655:16 0.000146276 -316 *5644:158 *27716:B1 7.40745e-05 -317 *5646:311 *5655:264 1.68854e-05 -318 *5654:99 *5655:232 2.32121e-05 -*RES -1 *30815:X *5655:16 49.3179 -2 *5655:16 *5655:29 31.4391 -3 *5655:29 *5655:36 14.0367 -4 *5655:36 *27962:B1 15.8 -5 *5655:36 *5655:65 40.7321 -6 *5655:65 *27857:B1 9.3 -7 *5655:65 *27905:B1 38.925 -8 *5655:29 *5655:96 1.1875 -9 *5655:96 *27882:B1 15.175 -10 *5655:96 *5655:113 44.4286 -11 *5655:113 *27617:A2 13.9473 -12 *5655:113 *5655:130 14.6851 -13 *5655:130 *5655:150 47.444 -14 *5655:150 *27932:B1 16.0143 -15 *5655:130 *5655:163 0.9118 -16 *5655:163 *5655:174 37.5757 -17 *5655:174 *5655:182 27.0804 -18 *5655:182 *27674:B1 14.3357 -19 *5655:182 *5655:193 37.8482 -20 *5655:193 *5655:201 37.75 -21 *5655:201 *5655:203 49.9107 -22 *5655:203 *5655:217 33.9499 -23 *5655:217 *5655:232 46.1607 -24 *5655:232 *5655:238 13.1321 -25 *5655:238 *27741:B1 18.0768 -26 *5655:238 *5655:264 43.2694 -27 *5655:264 *5655:267 10.8214 -28 *5655:267 *27781:B1 9.3 -29 *5655:267 *27832:B1 40.7821 -30 *5655:217 *27758:B1 15.7464 -31 *5655:163 *27716:B1 49.0144 -*END - -*D_NET *5656 0.199737 -*CONN -*I *27648:A I *D sky130_fd_sc_hd__or4_1 -*I *27836:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27785:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27707:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27609:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27725:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27961:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27909:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27936:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27762:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27687:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27814:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *30816:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *27648:A 0 -2 *27836:A2 0.000141822 -3 *27785:A2 1.78179e-05 -4 *27707:A2 0.000290216 -5 *27609:A2 0.00137821 -6 *27725:A2 1.1624e-05 -7 *27961:A2 0.000126626 -8 *27909:A2 0.000479786 -9 *27936:A2 5.50548e-05 -10 *27762:A2 0.00038257 -11 *27687:A2 0 -12 *27814:A2 0 -13 *30816:X 0.00198974 -14 *5656:353 0.00280976 -15 *5656:350 0.00238258 -16 *5656:327 0.00174888 -17 *5656:321 0.00216278 -18 *5656:313 0.00210108 -19 *5656:310 0.00248091 -20 *5656:294 0.00275436 -21 *5656:281 0.0023953 -22 *5656:275 0.00380801 -23 *5656:261 0.00346545 -24 *5656:240 0.00522175 -25 *5656:189 0.00352616 -26 *5656:174 0.00408584 -27 *5656:173 0.00325451 -28 *5656:153 0.0024651 -29 *5656:137 0.00568256 -30 *5656:120 0.00619212 -31 *5656:116 0.00189301 -32 *5656:96 0.00259956 -33 *5656:89 0.00257487 -34 *5656:77 0.0036752 -35 *5656:68 0.00481151 -36 *5656:43 0.00278343 -37 *5656:40 0.00395222 -38 *5656:34 0.00180283 -39 *5656:33 0.00180745 -40 *5656:28 0.00122199 -41 *5656:14 0.00305632 -42 *27609:A2 *27146:A0 5.67624e-05 -43 *27609:A2 *5702:29 2.39925e-05 -44 *27609:A2 *5708:17 9.3927e-05 -45 *27609:A2 *5795:60 0.000175892 -46 *27707:A2 *5716:121 5.56205e-05 -47 *27836:A2 *5680:168 0.000177811 -48 *27836:A2 *5872:26 0.000100831 -49 *27836:A2 *5892:40 9.00185e-05 -50 *5656:14 *5714:13 0 -51 *5656:14 *6385:141 0.00013452 -52 *5656:43 *26964:B 1.24368e-05 -53 *5656:43 *5667:348 1.38323e-05 -54 *5656:43 *5926:103 8.43535e-06 -55 *5656:43 *5926:105 4.58194e-05 -56 *5656:68 *5658:31 0.000775765 -57 *5656:68 *5667:60 4.43053e-05 -58 *5656:68 *5667:348 2.61292e-05 -59 *5656:68 *5714:36 0.00025247 -60 *5656:68 *5926:103 0.000641148 -61 *5656:89 *5700:50 0 -62 *5656:89 *5700:55 0 -63 *5656:96 *26894:A1 0.000265227 -64 *5656:96 *5748:16 0.00133758 -65 *5656:116 *5890:68 0.00092452 -66 *5656:116 *5890:81 7.64598e-05 -67 *5656:120 *5890:68 4.10981e-05 -68 *5656:137 *5761:209 0.000206026 -69 *5656:137 *5890:68 1.29535e-05 -70 *5656:153 *28731:RESET_B 2.81372e-05 -71 *5656:153 *5761:209 0.000146488 -72 *5656:174 *24929:A 7.48005e-05 -73 *5656:174 *5667:25 0.00306187 -74 *5656:174 *5832:27 0.000118368 -75 *5656:174 *5860:5 5.33005e-05 -76 *5656:189 *5661:123 9.91562e-05 -77 *5656:189 *5661:133 0.000108385 -78 *5656:189 *5667:25 0.000135028 -79 *5656:189 *5856:19 0 -80 *5656:240 *5663:35 0.000248522 -81 *5656:240 *5679:19 0.000667073 -82 *5656:240 *5679:35 4.4316e-05 -83 *5656:240 *5863:163 8.74594e-05 -84 *5656:240 *5910:122 4.1694e-05 -85 *5656:261 *5847:44 0.000327999 -86 *5656:261 *5863:163 0 -87 *5656:261 *5872:25 0.000118353 -88 *5656:261 *5872:26 0.000967906 -89 *5656:261 *5910:114 1.88026e-05 -90 *5656:275 *27470:A2 7.46626e-05 -91 *5656:275 *5662:76 0.000139515 -92 *5656:275 *5847:44 0.000246701 -93 *5656:275 *5872:26 0.00116567 -94 *5656:275 *5873:60 0.000483189 -95 *5656:275 *5873:70 0.00104236 -96 *5656:275 *5873:220 0 -97 *5656:275 *5892:40 0.00133685 -98 *5656:275 *5910:107 4.65519e-05 -99 *5656:281 *5676:110 0.000321417 -100 *5656:294 *5683:223 0.000316165 -101 *5656:313 *5809:22 0.000691106 -102 *5656:313 *5819:20 0.000155293 -103 *5656:313 *5819:24 1.09026e-05 -104 *5656:321 *5819:24 0.00059018 -105 *5656:321 *5829:68 0 -106 *5656:327 *5781:12 0.000812452 -107 *5656:350 *25722:A0 4.34543e-05 -108 *5656:350 *26887:A0 0.000113056 -109 *5656:350 *5781:12 5.41794e-05 -110 *5656:350 *5910:42 2.15363e-05 -111 *5656:353 *28195:RESET_B 0.000593517 -112 *5656:353 *28500:RESET_B 0.000172889 -113 *24929:B *5656:173 4.22986e-05 -114 *24970:A2 *5656:240 0.000122429 -115 *24970:B1 *5656:240 4.00658e-06 -116 *24970:B2 *5656:240 0.000138671 -117 *25031:C1 *5656:34 0.000128146 -118 *25043:A1 *5656:281 0.000195562 -119 *25104:B2 *5656:189 0.000205983 -120 *25104:C1 *27961:A2 5.33005e-05 -121 *25118:C1 *5656:77 0.000456404 -122 *25163:A1 *5656:137 0.000108889 -123 *25163:A1 *5656:153 0.000165754 -124 *25191:A1 *27909:A2 0.000136093 -125 *25191:A1 *5656:189 9.78309e-05 -126 *25191:B1 *27909:A2 4.15592e-05 -127 *25196:B2 *5656:137 4.65519e-05 -128 *25232:A1 *27609:A2 1.52978e-05 -129 *25232:A1 *27707:A2 0.000148606 -130 *25232:A1 *5656:353 9.71197e-05 -131 *25256:A *5656:137 0.000216504 -132 *25317:B2 *5656:116 0.000539473 -133 *25377:C1 *5656:137 0 -134 *26893:A1 *5656:68 0 -135 *26921:S *5656:89 0.000136676 -136 *27146:A1 *27609:A2 0.000684023 -137 *27281:B1 *27762:A2 0 -138 *27281:B1 *5656:153 6.59591e-05 -139 *27332:A *5656:89 0.00190134 -140 *27381:C1 *5656:313 0.000283698 -141 *27389:A2 *5656:321 0.000766914 -142 *27392:A1 *5656:321 2.09826e-05 -143 *27401:B1 *5656:153 0.00081802 -144 *27410:B1 *27762:A2 0 -145 *27411:C1 *27762:A2 0.000118618 -146 *27420:A2 *5656:313 6.38844e-06 -147 *27420:A2 *5656:321 9.54798e-06 -148 *27436:B1 *5656:281 0.00200642 -149 *27437:D *5656:310 4.21517e-05 -150 *27449:A *5656:34 2.14378e-05 -151 *27470:A1 *5656:261 8.6229e-06 -152 *27470:A1 *5656:275 2.16118e-05 -153 *27478:C1 *5656:240 1.41203e-05 -154 *27479:B2 *5656:240 0.00063237 -155 *27560:B1 *5656:189 2.01997e-05 -156 *27573:A1 *5656:189 3.84202e-05 -157 *27584:A *5656:189 0.00018314 -158 *27609:B1 *27609:A2 7.41811e-05 -159 *27647:C *5656:14 0.000304881 -160 *27648:B *5656:14 7.89231e-05 -161 *27648:C *5656:28 9.41642e-05 -162 *27687:A1 *5656:116 0.000116595 -163 *27707:B2 *27707:A2 1.28809e-05 -164 *27707:C1 *27707:A2 6.24016e-05 -165 *27722:A2 *5656:321 0.000926057 -166 *27753:A1 *5656:153 0.000104976 -167 *27762:A1 *27762:A2 5.49489e-05 -168 *27775:B1 *5656:310 2.51343e-06 -169 *27775:B2 *5656:310 0.0001123 -170 *27785:B1 *27785:A2 1.73088e-05 -171 *27798:A1 *5656:281 0.000178425 -172 *27813:C1 *5656:34 0.000336922 -173 *27814:A1 *5656:43 0.000175892 -174 *27814:A1 *5656:68 1.98839e-05 -175 *27814:B2 *5656:68 0.000194369 -176 *27836:A1 *27836:A2 2.59355e-05 -177 *27836:B1 *27836:A2 4.10843e-05 -178 *27836:B2 *27836:A2 1.32056e-05 -179 *27936:B1 *27936:A2 8.99927e-05 -180 *27937:B2 *27936:A2 0 -181 *27937:C1 *27936:A2 2.286e-05 -182 *27939:C *27936:A2 5.90455e-05 -183 *27946:C1 *5656:189 0.00038135 -184 *27957:A1 *5656:189 0.000105316 -185 *27961:A1 *27961:A2 5.49544e-05 -186 *28195:D *5656:350 2.95726e-05 -187 *28490:D *5656:137 0 -188 *28500:CLK *5656:353 2.87555e-06 -189 *28500:D *5656:353 5.33005e-05 -190 *28561:CLK *5656:261 9.15842e-06 -191 *28568:CLK *5656:261 0.000166983 -192 *28572:CLK *27609:A2 6.57815e-05 -193 *28572:D *27609:A2 0.00021969 -194 *29313:A *5656:137 0.000121573 -195 *29781:A *27836:A2 8.43535e-06 -196 *1185:184 *5656:313 2.09826e-05 -197 *1223:15 *5656:174 0.00020489 -198 *1224:19 *5656:173 0.000713107 -199 *1225:33 *5656:68 0 -200 *1248:120 *5656:174 0.000803139 -201 *1258:100 *5656:240 0.000199833 -202 *1261:64 *5656:261 2.01997e-05 -203 *1262:172 *5656:68 0.000316431 -204 *1270:88 *5656:275 0 -205 *1272:155 *5656:275 0.000221155 -206 *1273:144 *5656:34 0.000899878 -207 *1273:156 *5656:34 0.000560143 -208 *1276:47 *5656:173 0.0001326 -209 *1282:174 *5656:137 0 -210 *1288:20 *5656:173 0.00158966 -211 *1315:10 *5656:275 0.000167626 -212 *1326:116 *5656:116 0.000136951 -213 *1362:79 *5656:189 4.65519e-05 -214 *1415:18 *5656:153 2.16719e-05 -215 *1416:97 *5656:96 9.33978e-07 -216 *1422:28 *27909:A2 0.000591283 -217 *1422:74 *27961:A2 8.38612e-05 -218 *1422:74 *5656:174 8.41592e-05 -219 *1422:74 *5656:189 0.000138706 -220 *1435:143 *5656:153 4.98055e-06 -221 *1476:238 *5656:137 9.65172e-05 -222 *1482:21 *5656:173 0.0001326 -223 *1485:39 *5656:173 3.9461e-05 -224 *1551:10 *27609:A2 0.000193678 -225 *1634:16 *5656:137 0.000820088 -226 *1657:70 *5656:137 0.000175745 -227 *1672:32 *5656:153 0 -228 *1685:40 *5656:189 0 -229 *1693:14 *5656:137 0.000148702 -230 *1864:29 *5656:189 0.000129108 -231 *2760:51 *5656:40 0.000175892 -232 *2763:69 *5656:240 0.00024845 -233 *2767:167 *5656:327 0.000133359 -234 *2768:22 *5656:240 0.000596565 -235 *2770:127 *5656:321 8.71137e-05 -236 *2773:8 *5656:261 0.00069051 -237 *2779:67 *5656:350 5.08855e-05 -238 *2785:10 *5656:310 2.04825e-05 -239 *2788:158 *5656:321 5.80069e-05 -240 *2827:37 *5656:14 0.000132691 -241 *2844:190 *5656:240 9.34324e-05 -242 *2844:318 *5656:153 1.6116e-05 -243 *2844:399 *5656:281 2.17146e-05 -244 *2855:121 *5656:189 0 -245 *2855:310 *5656:240 0.000437291 -246 *2856:279 *5656:34 0.000149421 -247 *2856:293 *5656:34 0.000806213 -248 *2859:162 *5656:68 1.05524e-05 -249 *2859:331 *5656:240 0.000123605 -250 *2860:363 *5656:68 0.000175892 -251 *2866:296 *5656:281 0.00176799 -252 *2866:311 *5656:310 0.00136981 -253 *2867:192 *5656:68 0 -254 *2872:376 *5656:313 2.04825e-05 -255 *2874:169 *5656:68 0.000138441 -256 *2875:134 *5656:189 9.76235e-05 -257 *2886:241 *5656:89 0.000135028 -258 *2978:36 *5656:77 8.96293e-05 -259 *2997:20 *5656:310 1.94879e-05 -260 *3119:16 *27909:A2 0.000218886 -261 *3119:16 *5656:189 0.000381834 -262 *3163:40 *5656:28 6.57032e-05 -263 *3164:295 *5656:294 0.000457545 -264 *3164:304 *5656:294 0.000572087 -265 *3167:39 *5656:28 0.000259318 -266 *3177:175 *5656:275 0.000169214 -267 *3194:19 *5656:14 0.00010137 -268 *3197:52 *5656:89 0.000952873 -269 *3203:6 *5656:28 0.00019236 -270 *3205:10 *5656:28 0.000189183 -271 *3206:115 *5656:77 0.00101506 -272 *3206:180 *5656:34 0.00324837 -273 *3206:199 *5656:40 0.000175892 -274 *3206:219 *5656:240 0.000162595 -275 *3206:249 *5656:294 0.000637864 -276 *3273:10 *5656:327 0.000391766 -277 *3273:10 *5656:350 2.26327e-05 -278 *3306:6 *5656:96 7.9529e-05 -279 *3306:6 *5656:116 1.03403e-05 -280 *3340:19 *5656:34 0.000113098 -281 *3360:11 *5656:34 2.81001e-05 -282 *3360:11 *5656:43 3.97677e-05 -283 *3360:11 *5656:68 5.33005e-05 -284 *3413:41 *5656:173 0.000127059 -285 *3413:41 *5656:189 0.000516713 -286 *3480:23 *27961:A2 6.8646e-06 -287 *3541:38 *27909:A2 0.000685199 -288 *3541:43 *27909:A2 4.2675e-05 -289 *3562:16 *27762:A2 0 -290 *3576:57 *5656:153 0 -291 *3630:67 *5656:261 0.000885601 -292 *3705:51 *5656:313 8.21461e-05 -293 *3706:35 *5656:120 0.000208374 -294 *3714:28 *5656:189 4.18594e-05 -295 *3715:32 *27961:A2 1.90936e-05 -296 *3716:14 *5656:116 0.000180229 -297 *3718:63 *27725:A2 5.52238e-05 -298 *3718:79 *5656:350 3.2687e-05 -299 *3718:83 *5656:313 0.000177948 -300 *3718:83 *5656:321 0.000507416 -301 *3718:89 *5656:313 2.96805e-05 -302 *3720:21 *5656:294 0.000109263 -303 *3720:21 *5656:310 1.98839e-05 -304 *3720:28 *5656:310 6.05161e-06 -305 *3721:50 *5656:40 3.17148e-05 -306 *3721:50 *5656:240 0.000172508 -307 *3721:68 *5656:40 0.000190554 -308 *3735:63 *5656:34 0.0019814 -309 *3741:68 *5656:189 5.56074e-05 -310 *3742:36 *5656:153 3.6335e-05 -311 *3766:71 *5656:189 2.63501e-05 -312 *3783:110 *5656:96 0.000544337 -313 *3784:36 *5656:153 0.000802408 -314 *3787:48 *5656:240 0.000114258 -315 *3798:98 *5656:281 0.000126716 -316 *3810:26 *27762:A2 0.000377539 -317 *3810:47 *27762:A2 0.000177313 -318 *3810:47 *5656:153 9.60657e-05 -319 *3822:32 *5656:68 1.94879e-05 -320 *3848:14 *5656:350 0.000111341 -321 *3849:50 *5656:120 0.000210088 -322 *3859:29 *5656:153 5.25337e-05 -323 *3875:118 *5656:153 0.0014834 -324 *3875:122 *5656:153 0.000106126 -325 *3889:62 *5656:261 0.00167556 -326 *3889:62 *5656:275 0.000298371 -327 *3891:65 *5656:281 0.00055631 -328 *3904:38 *5656:14 0.00101418 -329 *3910:73 *5656:189 0.000124676 -330 *3913:21 *5656:350 2.59355e-05 -331 *3913:25 *5656:350 2.59355e-05 -332 *3926:40 *5656:321 0.00015608 -333 *3926:40 *5656:327 1.4477e-06 -334 *3939:94 *5656:313 0.000130794 -335 *3943:20 *5656:28 0.00195338 -336 *3943:24 *5656:34 8.76367e-05 -337 *3946:18 *5656:68 0 -338 *3947:61 *27909:A2 0.0001014 -339 *3947:61 *5656:189 1.09611e-05 -340 *3959:63 *5656:153 0 -341 *3963:109 *5656:89 0.00063003 -342 *3981:53 *5656:33 8.55871e-05 -343 *3982:71 *5656:240 2.3608e-05 -344 *3989:68 *5656:77 0.000138721 -345 *3992:54 *5656:89 0.00067565 -346 *3993:14 *5656:240 0.00306539 -347 *3993:14 *5656:281 0.000130103 -348 *4035:36 *5656:189 0.0001274 -349 *4054:44 *5656:116 1.39841e-05 -350 *4065:53 *27909:A2 0.000175793 -351 *4066:45 *5656:189 6.40293e-05 -352 *4070:63 *5656:173 3.77315e-05 -353 *4082:42 *5656:321 0.000369987 -354 *4085:73 *5656:40 0.000216304 -355 *4085:73 *5656:240 0.000179921 -356 *4085:87 *5656:34 0.000621986 -357 *4115:30 *5656:96 0.000153558 -358 *4115:30 *5656:116 0.000309735 -359 *4122:39 *27762:A2 0 -360 *4122:39 *5656:153 0 -361 *4122:43 *5656:137 0 -362 *4123:33 *5656:310 4.21517e-05 -363 *4129:96 *5656:116 6.57032e-05 -364 *4219:11 *5656:294 0.000471184 -365 *4350:48 *5656:189 0.000202981 -366 *5129:32 *5656:28 0.00196053 -367 *5386:12 *5656:275 0 -368 *5584:115 *5656:116 0.000180079 -369 *5586:11 *27609:A2 0.00025781 -370 *5586:17 *27609:A2 1.57523e-05 -371 *5590:161 *5656:350 0.000892948 -372 *5590:161 *5656:353 0.000191306 -373 *5592:25 *5656:28 0.000107855 -374 *5607:13 *5656:116 0.000644975 -375 *5609:165 *5656:116 4.40586e-05 -376 *5609:236 *5656:310 0.000647578 -377 *5612:12 *5656:116 0.000175892 -378 *5614:76 *5656:189 0.000304536 -379 *5617:92 *5656:137 0 -380 *5628:121 *5656:310 0.00131668 -381 *5628:217 *27707:A2 3.69047e-06 -382 *5629:195 *27707:A2 0.000178847 -383 *5629:217 *27707:A2 9.41642e-05 -384 *5630:230 *5656:294 0.00052769 -385 *5631:26 *5656:68 0.00029153 -386 *5633:13 *5656:14 8.68574e-05 -387 *5634:140 *5656:116 0.000717213 -388 *5635:173 *5656:321 0.000945832 -389 *5638:104 *5656:77 0.000279046 -390 *5639:104 *5656:261 0.000228703 -391 *5639:147 *5656:261 0.000151984 -392 *5639:147 *5656:275 0.000138378 -393 *5640:267 *27707:A2 1.24368e-05 -394 *5641:204 *5656:350 0.000185061 -395 *5642:82 *5656:240 1.03797e-05 -396 *5642:82 *5656:261 0.000940148 -397 *5642:95 *5656:275 9.23784e-06 -398 *5642:126 *5656:310 0.00049961 -399 *5642:141 *5656:310 0.00224771 -400 *5642:158 *27762:A2 5.49489e-05 -401 *5643:71 *5656:116 0.00121648 -402 *5643:192 *5656:294 0.000136682 -403 *5644:106 *27725:A2 5.52238e-05 -404 *5644:106 *5656:321 0.000902871 -405 *5644:106 *5656:350 0.000137983 -406 *5647:17 *5656:28 0.000135028 -407 *5647:133 *27609:A2 0.000308665 -408 *5647:133 *27707:A2 0.000296913 -409 *5649:48 *5656:34 0.000762177 -410 *5649:82 *5656:34 0.000124878 -411 *5649:176 *5656:153 0 -412 *5649:310 *5656:310 4.65519e-05 -413 *5650:51 *5656:77 0.0012586 -414 *5650:198 *5656:34 2.04825e-05 -415 *5651:150 *5656:174 0.00111582 -416 *5651:176 *5656:189 0.00080629 -417 *5652:128 *5656:240 1.98541e-05 -418 *5653:97 *27961:A2 0.000204768 -419 *5654:9 *5656:28 0.000374578 -420 *5654:52 *5656:240 9.34324e-05 -*RES -1 *30816:X *5656:14 43.0305 -2 *5656:14 *5656:28 49.4643 -3 *5656:28 *5656:33 10.7679 -4 *5656:33 *5656:34 63.5714 -5 *5656:34 *5656:40 13.625 -6 *5656:40 *5656:43 6.67857 -7 *5656:43 *27814:A2 9.3 -8 *5656:43 *5656:68 39.455 -9 *5656:68 *5656:77 49.7162 -10 *5656:77 *5656:89 33.5276 -11 *5656:89 *5656:96 33.0179 -12 *5656:96 *27687:A2 13.8 -13 *5656:96 *5656:116 47.875 -14 *5656:116 *5656:120 6.63079 -15 *5656:120 *5656:137 38.4659 -16 *5656:137 *5656:153 48 -17 *5656:153 *27762:A2 21.8982 -18 *5656:120 *5656:173 20.8078 -19 *5656:173 *5656:174 30.5179 -20 *5656:174 *5656:189 26.7716 -21 *5656:189 *27936:A2 15.3 -22 *5656:189 *27909:A2 28.8536 -23 *5656:174 *27961:A2 21.6929 -24 *5656:40 *5656:240 44.136 -25 *5656:240 *5656:261 48.787 -26 *5656:261 *5656:275 36.3092 -27 *5656:275 *5656:281 48.6071 -28 *5656:281 *5656:294 35.6786 -29 *5656:294 *5656:310 41.6215 -30 *5656:310 *5656:313 14.0179 -31 *5656:313 *5656:321 48.8513 -32 *5656:321 *5656:327 17.949 -33 *5656:327 *27725:A2 14.3357 -34 *5656:327 *5656:350 36.1604 -35 *5656:350 *5656:353 19 -36 *5656:353 *27609:A2 39.4339 -37 *5656:353 *27707:A2 21.8134 -38 *5656:294 *27785:A2 9.72857 -39 *5656:275 *27836:A2 17.7643 -40 *5656:14 *27648:A 9.3 -*END - -*D_NET *5657 0.135652 -*CONN -*I *27345:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27366:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27322:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27512:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *27531:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *6494:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27590:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27558:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *6493:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27489:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *30817:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *27345:B1 0 -2 *27366:B1 0.000165086 -3 *27322:A2 3.96389e-05 -4 *27512:A2 0 -5 *27531:A2 4.57812e-05 -6 *6494:DIODE 0.000242201 -7 *27590:B1 2.18906e-05 -8 *27558:B1 0 -9 *6493:DIODE 0.000108778 -10 *27489:B1 0.000224841 -11 *30817:X 0.00264602 -12 *5657:241 0.00130045 -13 *5657:232 0.00316035 -14 *5657:206 0.00258597 -15 *5657:198 0.00236035 -16 *5657:197 0.00284951 -17 *5657:174 0.0010945 -18 *5657:168 0.00224898 -19 *5657:155 0.00158636 -20 *5657:132 0.00151796 -21 *5657:125 0.00272645 -22 *5657:114 0.00266503 -23 *5657:92 0.000108778 -24 *5657:90 0.00145506 -25 *5657:88 0.00333028 -26 *5657:51 0.00343647 -27 *5657:49 0.00664102 -28 *5657:38 0.00741441 -29 *5657:29 0.0025848 -30 *5657:14 0.00245263 -31 *5657:13 0.00280679 -32 *27366:B1 *5748:36 0.000421074 -33 *27590:B1 *27546:B1 5.4826e-05 -34 *5657:13 *27291:A 0.000102377 -35 *5657:13 *27599:A 0.00023367 -36 *5657:13 *5667:108 1.4185e-05 -37 *5657:14 *5700:122 8.78226e-05 -38 *5657:29 *28720:D 0.000463591 -39 *5657:29 *5667:312 0.000197137 -40 *5657:38 *26828:A0 5.49489e-05 -41 *5657:38 *5750:196 5.74499e-06 -42 *5657:49 *5661:64 0 -43 *5657:49 *5665:13 0.000112667 -44 *5657:88 *25675:A0 6.26435e-05 -45 *5657:88 *5727:281 3.468e-05 -46 *5657:114 *28139:RESET_B 9.39059e-05 -47 *5657:114 *28311:RESET_B 0.000107157 -48 *5657:114 *5741:78 0.000274627 -49 *5657:125 *28984:A 0.000315138 -50 *5657:125 *5693:16 1.90936e-05 -51 *5657:125 *5694:19 0.000375081 -52 *5657:132 *5890:6 7.97198e-05 -53 *5657:197 *28652:D 0.000355915 -54 *5657:197 *5687:114 7.04401e-05 -55 *5657:197 *5687:129 4.75277e-05 -56 *5657:197 *5700:118 0.000109651 -57 *5657:197 *5754:18 0.000134984 -58 *5657:198 *5700:77 5.51406e-05 -59 *5657:198 *5754:102 0.000187823 -60 *5657:232 *27694:C1 2.21728e-05 -61 *5657:241 *5658:85 0.000671745 -62 *25095:B *5657:38 0.000221219 -63 *25097:A1 *5657:49 0.000114161 -64 *25269:A1 *5657:197 0 -65 *25330:A2 *5657:38 2.31307e-05 -66 *25865:A0 *5657:125 0.000221216 -67 *26828:A1 *5657:38 0.000218601 -68 *26828:S *5657:38 5.49544e-05 -69 *26930:S *5657:206 4.1879e-05 -70 *27322:A1 *5657:29 0.000123116 -71 *27322:A1 *5657:38 1.58163e-05 -72 *27322:B1 *27322:A2 2.47631e-06 -73 *27322:B1 *5657:38 1.58163e-05 -74 *27322:B2 *27322:A2 0 -75 *27322:B2 *5657:38 0.000303362 -76 *27345:B2 *5657:241 0.000438732 -77 *27351:A2 *5657:232 0.000259355 -78 *27356:A2 *5657:198 6.27272e-06 -79 *27357:B2 *5657:198 8.62861e-05 -80 *27357:C1 *5657:198 0.000728187 -81 *27366:A2 *27366:B1 2.63504e-05 -82 *27366:B2 *27366:B1 0.000165339 -83 *27490:B1 *5657:155 0.00031728 -84 *27491:A1 *5657:168 0.00122017 -85 *27512:A1 *5657:174 4.22908e-05 -86 *27515:C *5657:174 1.92905e-05 -87 *27531:B1 *27531:A2 0.00015042 -88 *27531:B1 *5657:155 1.36251e-05 -89 *27531:B1 *5657:168 0.000311013 -90 *27532:C1 *27531:A2 2.89016e-05 -91 *27538:B2 *5657:174 0.0013277 -92 *27557:B1 *5657:90 5.61616e-05 -93 *27558:A2 *5657:90 5.83233e-05 -94 *27558:A2 *5657:114 0.000167961 -95 *27558:B2 *6493:DIODE 1.28809e-05 -96 *27558:C1 *5657:90 1.40893e-05 -97 *27563:A1 *5657:125 0.000272117 -98 *27565:B1 *5657:125 0 -99 *27671:A2 *5657:198 0.00101516 -100 *27717:A1 *5657:198 0.000111666 -101 *27854:A2 *5657:49 0.000301354 -102 *27860:A2 *5657:88 5.04371e-05 -103 *27865:C *5657:155 0.00068854 -104 *27884:B1 *5657:88 4.84427e-05 -105 *27897:A2 *5657:49 0.000219514 -106 *27907:A2 *5657:125 2.65037e-05 -107 *27908:B1 *5657:125 7.47029e-06 -108 *27935:A2 *5657:125 0.00011122 -109 *27953:B2 *6494:DIODE 0.000286046 -110 *27953:B2 *5657:132 9.56113e-05 -111 *28610:D *5657:38 1.90936e-05 -112 *28620:CLK *5657:198 0.0012551 -113 *29339:A *5657:38 8.60013e-05 -114 *29428:A *5657:38 0.000136951 -115 *30109:A *5657:38 9.41642e-05 -116 *30535:A *5657:125 0.000371864 -117 *30786:A *5657:38 0.000218404 -118 *408:20 *5657:88 3.43966e-05 -119 *408:20 *5657:90 0.000109184 -120 *1221:21 *5657:125 0.00135168 -121 *1225:23 *5657:232 0 -122 *1225:33 *5657:232 0 -123 *1252:61 *5657:197 0.000826818 -124 *1358:25 *5657:114 0.000109422 -125 *1396:64 *6493:DIODE 1.95719e-05 -126 *1396:64 *5657:90 2.04825e-05 -127 *1539:21 *5657:29 8.85532e-05 -128 *1547:12 *5657:29 3.69047e-06 -129 *1547:29 *5657:38 8.43535e-06 -130 *1547:36 *5657:38 0.0002431 -131 *1587:16 *5657:174 1.74795e-05 -132 *1603:36 *5657:88 0.000128972 -133 *1617:17 *5657:49 4.7485e-05 -134 *1665:29 *5657:132 0.00067765 -135 *1828:42 *5657:90 0 -136 *2787:62 *5657:13 0.000234246 -137 *2787:78 *5657:13 0.00027807 -138 *2794:141 *5657:241 0.00023743 -139 *2794:163 *5657:232 1.76039e-05 -140 *2813:72 *5657:13 0.000133359 -141 *2825:27 *5657:13 0.000583278 -142 *2825:78 *5657:13 0.000782567 -143 *2834:97 *27489:B1 2.06112e-05 -144 *2834:142 *5657:114 0.000279059 -145 *2839:38 *5657:29 0.000205462 -146 *2843:11 *5657:13 0.000185827 -147 *2843:56 *5657:13 6.13075e-05 -148 *2844:52 *5657:174 0.00087559 -149 *2845:22 *5657:13 0 -150 *2848:33 *5657:197 1.65216e-06 -151 *2852:11 *5657:13 0.00125883 -152 *2852:40 *5657:232 0.000103967 -153 *2852:61 *5657:232 0.000367398 -154 *2856:25 *5657:13 4.60645e-05 -155 *2859:34 *5657:38 0.000477985 -156 *2872:47 *5657:174 4.25213e-05 -157 *2872:112 *5657:88 2.07809e-05 -158 *2874:74 *5657:88 0.000838908 -159 *2874:212 *5657:232 0.000432729 -160 *2886:175 *5657:198 0.000252977 -161 *2886:188 *5657:198 0.00139968 -162 *2888:125 *5657:90 2.21972e-05 -163 *2888:212 *5657:232 1.81714e-05 -164 *2889:221 *5657:49 0.00115528 -165 *2891:24 *5657:197 0.000151129 -166 *2891:104 *5657:197 0.000228582 -167 *2891:104 *5657:198 0.000681851 -168 *2891:111 *5657:198 0.000119121 -169 *2891:138 *5657:232 6.49449e-05 -170 *2895:13 *5657:13 0.000271442 -171 *2895:97 *5657:49 7.09747e-05 -172 *2895:210 *5657:198 9.90819e-06 -173 *2913:8 *5657:241 0.00136992 -174 *2917:24 *5657:232 0.00035515 -175 *3055:16 *5657:174 0.000627855 -176 *3067:12 *5657:174 3.48705e-05 -177 *3101:29 *5657:49 9.08241e-05 -178 *3156:41 *5657:13 0 -179 *3164:56 *5657:38 0.00204962 -180 *3165:254 *5657:49 0 -181 *3168:83 *27489:B1 0.000446183 -182 *3168:83 *5657:51 8.28428e-05 -183 *3168:83 *5657:88 0.000964946 -184 *3168:108 *5657:88 0.00184312 -185 *3168:129 *5657:88 0.000671869 -186 *3185:264 *5657:13 0 -187 *3185:271 *5657:49 3.30035e-05 -188 *3192:60 *5657:49 5.05215e-05 -189 *3192:86 *5657:88 9.0625e-05 -190 *3192:107 *5657:88 8.47244e-05 -191 *3192:107 *5657:114 0.00158794 -192 *3196:111 *27489:B1 1.21859e-05 -193 *3197:31 *5657:29 4.33466e-05 -194 *3206:84 *5657:29 0.00014559 -195 *3209:37 *5657:29 0.000199592 -196 *3209:85 *5657:49 6.43118e-05 -197 *3212:94 *5657:155 0.000117911 -198 *3216:184 *5657:132 6.2127e-05 -199 *3306:6 *5657:241 9.77956e-05 -200 *3388:17 *5657:197 0.00173075 -201 *3556:20 *5657:49 4.88232e-05 -202 *3642:22 *5657:29 7.40839e-05 -203 *3643:13 *5657:198 0.000290986 -204 *3643:49 *5657:198 0.000389591 -205 *3688:31 *5657:114 0.000861455 -206 *3688:31 *5657:125 0.00220294 -207 *3688:35 *5657:114 0.00018919 -208 *3698:19 *5657:125 6.09762e-05 -209 *3698:40 *5657:88 2.75535e-05 -210 *3698:40 *5657:90 0.000160791 -211 *3698:40 *5657:114 0.000104782 -212 *3703:35 *5657:232 0.000876485 -213 *3716:12 *5657:241 9.95103e-05 -214 *3723:25 *5657:114 0.00032703 -215 *3724:39 *5657:174 7.72116e-05 -216 *3727:20 *5657:114 0 -217 *3730:42 *5657:232 0.00174412 -218 *3782:66 *5657:13 1.50809e-05 -219 *3782:98 *5657:206 0.000778547 -220 *3782:98 *5657:232 0.000482851 -221 *3793:38 *5657:174 0.000866712 -222 *3793:40 *5657:174 0.000464821 -223 *3796:70 *5657:232 0.000122492 -224 *3805:59 *5657:88 0.000607783 -225 *3820:79 *5657:198 0 -226 *3820:87 *5657:198 0.00142078 -227 *3820:87 *5657:206 2.17146e-05 -228 *3820:91 *5657:232 5.24029e-05 -229 *3834:57 *5657:206 0.000103267 -230 *3847:25 *5657:14 4.43698e-05 -231 *3847:35 *5657:14 0.000106387 -232 *3847:35 *5657:197 6.52047e-05 -233 *3847:35 *5657:198 0.000709832 -234 *3847:64 *5657:198 5.68312e-06 -235 *3856:26 *27531:A2 1.98839e-05 -236 *3870:52 *5657:90 1.19191e-05 -237 *3870:52 *5657:114 9.18765e-06 -238 *3871:94 *5657:132 0.000551332 -239 *3872:86 *5657:241 0.000932506 -240 *3875:63 *5657:38 0 -241 *3882:47 *5657:88 0.00106953 -242 *3882:70 *5657:174 0.000100823 -243 *3883:31 *5657:125 0.000137276 -244 *3905:39 *5657:49 0 -245 *3912:58 *5657:232 0.00258635 -246 *3912:66 *5657:206 0.00107647 -247 *3912:66 *5657:232 0.00047653 -248 *3912:82 *5657:198 4.10571e-05 -249 *3912:82 *5657:206 4.11218e-05 -250 *3919:46 *5657:174 8.64475e-05 -251 *3960:28 *5657:155 0.000154703 -252 *3984:73 *5657:125 0.000107807 -253 *4012:39 *5657:49 0.000961455 -254 *4065:107 *6493:DIODE 4.85033e-05 -255 *4065:107 *5657:90 7.2754e-05 -256 *4091:20 *5657:132 2.4227e-05 -257 *4130:58 *5657:49 0 -258 *4130:77 *5657:88 0 -259 *4130:80 *6493:DIODE 0.000221822 -260 *4133:108 *5657:198 7.05143e-06 -261 *4142:17 *5657:88 0.000862568 -262 *4152:50 *5657:88 0.000836965 -263 *4152:60 *5657:132 0.000128315 -264 *4350:48 *27590:B1 5.4826e-05 -265 *5063:15 *5657:174 0.000273269 -266 *5196:94 *5657:13 0.00126899 -267 *5386:11 *5657:88 2.50735e-05 -268 *5386:11 *5657:197 0.000135757 -269 *5448:26 *5657:29 0.000205462 -270 *5457:21 *27489:B1 6.63472e-05 -271 *5457:21 *5657:49 0.000274152 -272 *5457:21 *5657:51 1.76737e-05 -273 *5483:150 *5657:38 0.000221206 -274 *5504:67 *5657:29 5.01819e-05 -275 *5603:43 *5657:241 0.000118368 -276 *5603:52 *5657:241 5.49489e-05 -277 *5605:56 *5657:198 2.04825e-05 -278 *5609:137 *5657:198 4.22135e-06 -279 *5619:17 *5657:49 0.00041596 -280 *5620:76 *5657:38 4.08637e-05 -281 *5629:71 *5657:49 2.47739e-05 -282 *5629:132 *5657:14 0.000168939 -283 *5629:132 *5657:197 0.00062476 -284 *5641:38 *5657:232 0.000174261 -285 *5644:172 *5657:198 0.000593287 -286 *5646:17 *5657:197 1.47818e-06 -287 *5646:34 *5657:38 0.000880966 -288 *5651:21 *5657:29 0.000822763 -289 *5651:21 *5657:38 0.00119166 -290 *5651:39 *5657:38 0.00065231 -291 *5651:189 *5657:125 1.51367e-05 -*RES -1 *30817:X *5657:13 47.2479 -2 *5657:13 *5657:14 4.52679 -3 *5657:14 *5657:29 46.5714 -4 *5657:29 *5657:38 47.4375 -5 *5657:38 *5657:49 17.0102 -6 *5657:49 *5657:51 0.335267 -7 *5657:51 *27489:B1 18.2184 -8 *5657:51 *5657:88 47.1643 -9 *5657:88 *5657:90 7.10714 -10 *5657:90 *5657:92 4.5 -11 *5657:92 *6493:DIODE 11.8893 -12 *5657:92 *27558:B1 9.3 -13 *5657:90 *5657:114 45.4129 -14 *5657:114 *5657:125 46.1696 -15 *5657:125 *5657:132 26.0893 -16 *5657:132 *27590:B1 19.0321 -17 *5657:132 *6494:DIODE 12.3 -18 *5657:49 *5657:155 17.2269 -19 *5657:155 *27531:A2 10.6571 -20 *5657:155 *5657:168 20.6786 -21 *5657:168 *5657:174 41.3393 -22 *5657:174 *27512:A2 9.3 -23 *5657:29 *27322:A2 10.0321 -24 *5657:14 *5657:197 24.4153 -25 *5657:197 *5657:198 62.0536 -26 *5657:198 *5657:206 16.3482 -27 *5657:206 *27366:B1 18.0321 -28 *5657:206 *5657:232 45.5586 -29 *5657:232 *5657:241 42.4464 -30 *5657:241 *27345:B1 9.3 -*END - -*D_NET *5658 0.10784 -*CONN -*I *27453:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27420:B1 I *D sky130_fd_sc_hd__o21a_1 -*I *27392:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27407:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27368:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27346:B1 I *D sky130_fd_sc_hd__a221o_1 -*I *27315:B I *D sky130_fd_sc_hd__nand2_8 -*I *27475:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *30818:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *27453:B1 6.91933e-05 -2 *27420:B1 9.62835e-06 -3 *27392:A2 3.0538e-05 -4 *27407:A2 0.000847855 -5 *27368:B2 0.000756116 -6 *27346:B1 0.000646734 -7 *27315:B 3.88533e-05 -8 *27475:B1 0.000128814 -9 *30818:X 0.00141716 -10 *5658:160 0.00329596 -11 *5658:149 0.00324792 -12 *5658:133 0.00243047 -13 *5658:130 0.00129973 -14 *5658:124 0.00176347 -15 *5658:113 0.00248417 -16 *5658:107 0.00202751 -17 *5658:85 0.00427047 -18 *5658:80 0.00457019 -19 *5658:69 0.000763923 -20 *5658:44 0.00318984 -21 *5658:31 0.00361524 -22 *5658:12 0.00275749 -23 *5658:10 0.0028508 -24 *5658:7 0.0028343 -25 *27346:B1 *5660:166 0.000119205 -26 *27368:B2 *5692:41 0.000656625 -27 *27368:B2 *5692:47 2.24195e-05 -28 *27392:A2 *5810:77 5.1588e-05 -29 *27407:A2 *27209:A0 6.57032e-05 -30 *27407:A2 *27209:A1 0.000632952 -31 *27407:A2 *5879:44 6.57032e-05 -32 *27453:B1 *5926:103 7.83587e-05 -33 *5658:31 *5667:348 0 -34 *5658:31 *5757:134 9.2749e-05 -35 *5658:31 *5814:21 0.00022266 -36 *5658:44 *28649:RESET_B 0.000733926 -37 *5658:44 *28708:RESET_B 0.000373557 -38 *5658:44 *5660:134 0.000380148 -39 *5658:44 *5829:85 0.000169619 -40 *5658:44 *5926:72 0.00015702 -41 *5658:69 *5926:72 0.00108595 -42 *5658:107 *5680:209 8.40034e-05 -43 *5658:113 *5718:387 0.000106649 -44 *5658:113 *5767:29 0.000122472 -45 *5658:113 *5777:247 0.000209592 -46 *5658:113 *5777:259 0.000303568 -47 *5658:124 *27125:S 0.000955793 -48 *5658:124 *5683:166 0.0012236 -49 *5658:124 *5777:247 5.41794e-05 -50 *5658:130 *5683:106 3.72884e-05 -51 *5658:130 *5683:110 0.000531482 -52 *5658:149 *5810:17 0.000397276 -53 *5658:149 *5810:77 6.81083e-05 -54 *5658:160 *5701:169 0.000257619 -55 *24988:A2 *5658:44 0.000192996 -56 *25065:A1 *5658:113 0.0013201 -57 *25065:A1 *5658:124 9.99931e-06 -58 *25066:B1 *5658:107 0.0001587 -59 *25314:B2 *27407:A2 0.000243862 -60 *26893:A1 *5658:31 1.08895e-05 -61 *27060:A1 *5658:124 0.000166025 -62 *27141:S *5658:124 2.49484e-05 -63 *27346:A1 *27346:B1 3.63587e-05 -64 *27368:B1 *27368:B2 4.58194e-05 -65 *27392:A1 *27392:A2 1.46576e-05 -66 *27392:A1 *5658:160 5.33005e-05 -67 *27392:B2 *5658:160 6.26774e-06 -68 *27407:A1 *27407:A2 7.34187e-06 -69 *27438:B2 *5658:124 0.000194628 -70 *27445:A1 *5658:10 0 -71 *27452:A *5658:31 5.33005e-05 -72 *27454:A1 *5658:12 1.81805e-05 -73 *27475:A1 *27475:B1 9.41642e-05 -74 *27475:A2 *27475:B1 4.0012e-05 -75 *27476:C1 *5658:44 2.06178e-05 -76 *27603:A *5658:7 0.000136733 -77 *27603:B *5658:7 5.50052e-05 -78 *27604:A *5658:7 0.000135028 -79 *27616:A *5658:7 0.000216755 -80 *27637:C *5658:10 1.06172e-05 -81 *27814:B2 *5658:31 0.000178425 -82 *28734:D *5658:44 0.000150618 -83 *29653:A *5658:31 2.06178e-05 -84 *29665:A *27407:A2 0.00034188 -85 *30228:A *5658:113 9.41642e-05 -86 *30229:A *5658:113 5.58875e-06 -87 *30808:A *5658:10 1.90936e-05 -88 *30813:A *5658:10 0.000144684 -89 *1242:128 *5658:69 4.88132e-05 -90 *1246:111 *5658:124 0.00152346 -91 *1246:126 *27420:B1 5.52302e-05 -92 *1246:126 *5658:133 0.00279251 -93 *1260:118 *5658:124 0.000249568 -94 *1266:132 *27315:B 0.000135028 -95 *1363:126 *5658:31 4.98422e-05 -96 *1456:134 *27346:B1 9.87421e-06 -97 *1826:117 *5658:31 0.00011659 -98 *1826:117 *5658:44 0.00040372 -99 *2754:28 *5658:149 9.28617e-05 -100 *2769:31 *5658:133 0.00137977 -101 *2779:80 *27368:B2 0.000632598 -102 *2784:121 *27407:A2 0.000175892 -103 *2787:128 *5658:44 0.000669182 -104 *2787:128 *5658:69 0.000244015 -105 *2787:128 *5658:80 4.56437e-05 -106 *2790:29 *5658:7 1.04766e-05 -107 *2794:172 *5658:69 2.59355e-05 -108 *2844:265 *5658:44 0.000144876 -109 *2844:275 *5658:69 5.52238e-05 -110 *2848:384 *5658:10 1.0562e-05 -111 *2852:297 *5658:10 0.000190248 -112 *2853:202 *5658:44 4.11218e-05 -113 *2855:279 *5658:10 0.000134044 -114 *2856:364 *27407:A2 4.10843e-05 -115 *2856:364 *5658:160 3.98547e-05 -116 *2860:363 *27453:B1 9.25014e-06 -117 *2860:363 *5658:12 0.000770054 -118 *2866:34 *5658:10 0.000170646 -119 *2879:156 *5658:160 0.000747724 -120 *2880:11 *5658:69 4.28249e-05 -121 *2880:93 *5658:44 9.10435e-05 -122 *2882:303 *5658:130 0.000262498 -123 *2886:244 *27346:B1 0.000404735 -124 *2888:235 *27368:B2 0.000178847 -125 *2888:269 *5658:160 3.25552e-05 -126 *2888:291 *27392:A2 1.46576e-05 -127 *2888:291 *5658:160 8.43535e-06 -128 *2892:140 *27453:B1 5.62805e-05 -129 *2892:197 *27346:B1 0.000382424 -130 *2980:7 *27420:B1 4.27935e-05 -131 *2980:7 *5658:133 5.33005e-05 -132 *2997:20 *5658:124 0.000119155 -133 *2998:8 *5658:44 8.51213e-05 -134 *2998:8 *5658:69 7.83659e-05 -135 *2998:14 *5658:44 9.95912e-06 -136 *2998:16 *5658:31 0.000790038 -137 *2998:16 *5658:44 0.000246514 -138 *2998:30 *5658:31 8.34602e-05 -139 *3006:10 *5658:12 0.000148707 -140 *3040:19 *5658:44 0 -141 *3149:41 *5658:10 0.000160824 -142 *3150:93 *5658:7 4.23643e-05 -143 *3150:106 *5658:7 1.65914e-05 -144 *3155:7 *5658:7 0.000182239 -145 *3155:12 *5658:7 2.24804e-05 -146 *3156:7 *5658:7 5.33005e-05 -147 *3163:42 *5658:7 5.49995e-05 -148 *3163:56 *5658:10 5.0437e-05 -149 *3164:322 *5658:149 0.000113108 -150 *3165:6 *5658:10 0.000150618 -151 *3165:192 *5658:10 0.000677159 -152 *3168:11 *5658:7 3.30337e-05 -153 *3176:230 *5658:12 0.000283989 -154 *3176:234 *5658:113 0.000192003 -155 *3176:254 *5658:113 0.000180508 -156 *3185:48 *5658:31 4.52693e-05 -157 *3195:25 *5658:7 0.0011062 -158 *3195:56 *5658:7 0.00122004 -159 *3206:179 *5658:10 0.000965214 -160 *3215:196 *5658:113 0.000132297 -161 *3215:199 *5658:113 0.000298323 -162 *3215:286 *5658:130 3.34366e-05 -163 *3215:305 *5658:130 8.80161e-05 -164 *3347:12 *5658:10 4.8936e-05 -165 *3537:50 *5658:107 0.000160643 -166 *3537:73 *5658:31 8.04067e-05 -167 *3580:94 *5658:130 0.000129913 -168 *3581:138 *5658:160 2.98258e-05 -169 *3581:145 *5658:160 0.000777275 -170 *3668:34 *5658:113 0.00188481 -171 *3668:34 *5658:124 0.000157727 -172 *3668:49 *5658:124 0.000171368 -173 *3669:63 *5658:31 3.54176e-05 -174 *3694:77 *5658:124 0.00102383 -175 *3746:19 *5658:124 0.000545022 -176 *3822:18 *27368:B2 0.00020042 -177 *3822:18 *5658:149 0.000335564 -178 *3822:85 *5658:149 0.000185089 -179 *3825:37 *5658:10 0.000451846 -180 *3838:8 *5658:12 0.000142082 -181 *3838:10 *5658:12 0.000752663 -182 *3838:22 *5658:10 0.000907121 -183 *3838:22 *5658:12 0.00172415 -184 *3848:14 *27368:B2 0.000198705 -185 *3848:14 *5658:149 0.00092633 -186 *3901:27 *27407:A2 0.000138092 -187 *3907:32 *27346:B1 2.35985e-05 -188 *3963:42 *5658:160 7.6644e-05 -189 *3968:20 *5658:31 8.38091e-05 -190 *3978:44 *27392:A2 4.38621e-05 -191 *3978:44 *5658:149 0.000337104 -192 *3991:32 *5658:31 2.59045e-05 -193 *3991:34 *5658:31 0.000834967 -194 *3991:34 *5658:44 0.000251599 -195 *3991:34 *5658:69 6.91157e-05 -196 *3991:38 *5658:69 0.00108424 -197 *4120:30 *5658:160 7.83659e-05 -198 *4121:30 *5658:130 0.000729822 -199 *4121:62 *5658:130 4.53834e-05 -200 *4138:39 *5658:107 2.90908e-05 -201 *4138:62 *5658:44 0.000216639 -202 *4146:79 *5658:85 0.00127067 -203 *4927:15 *5658:31 0.000135028 -204 *5485:147 *5658:44 0.00013457 -205 *5582:31 *5658:85 9.33369e-05 -206 *5582:42 *27315:B 0.000137983 -207 *5582:42 *5658:85 0.00227091 -208 *5582:42 *5658:107 0.000785277 -209 *5601:37 *5658:44 0.000811976 -210 *5601:40 *5658:69 0.000101002 -211 *5601:40 *5658:80 2.61337e-05 -212 *5603:43 *5658:85 0.000686625 -213 *5604:63 *5658:31 0.000224308 -214 *5614:30 *5658:107 0.000161433 -215 *5631:26 *5658:31 2.26973e-05 -216 *5632:8 *27453:B1 0.000186312 -217 *5632:8 *5658:10 0.000267435 -218 *5632:8 *5658:12 0.00409821 -219 *5635:104 *5658:44 0.00013457 -220 *5655:201 *27346:B1 0.000829041 -221 *5656:68 *5658:31 0.000775765 -222 *5657:241 *5658:85 0.000671745 -*RES -1 *30818:X *5658:7 44.3179 -2 *5658:7 *5658:10 40.6875 -3 *5658:10 *5658:12 53.4018 -4 *5658:12 *5658:31 48.0831 -5 *5658:31 *5658:44 46.7302 -6 *5658:44 *27475:B1 15.5857 -7 *5658:44 *5658:69 40.0357 -8 *5658:69 *27315:B 15.1571 -9 *5658:69 *5658:80 5.23214 -10 *5658:80 *5658:85 49.9643 -11 *5658:85 *27346:B1 33.1929 -12 *5658:80 *5658:107 22.0424 -13 *5658:107 *5658:113 46.0446 -14 *5658:113 *5658:124 49.6607 -15 *5658:124 *5658:130 21.7321 -16 *5658:130 *5658:133 32.1429 -17 *5658:133 *27368:B2 32.925 -18 *5658:133 *5658:149 21.7321 -19 *5658:149 *5658:160 43.9643 -20 *5658:160 *27407:A2 25.6661 -21 *5658:149 *27392:A2 14.6795 -22 *5658:130 *27420:B1 9.83571 -23 *5658:12 *27453:B1 16.3536 -*END - -*D_NET *5659 0.0719172 -*CONN -*I *24974:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30697:X O *D sky130_fd_sc_hd__clkbuf_4 -*CAP -1 *24974:B2 6.9607e-05 -2 *30697:X 0.00073508 -3 *5659:48 0.00298818 -4 *5659:36 0.0052231 -5 *5659:34 0.00233461 -6 *5659:32 0.00198577 -7 *5659:30 0.00199215 -8 *5659:28 0.00210937 -9 *5659:26 0.00209836 -10 *5659:24 0.00214565 -11 *5659:22 0.00299525 -12 *5659:18 0.00157299 -13 *5659:15 0.00143303 -14 *5659:15 *26905:A0 0.00017309 -15 *5659:15 *5716:145 0.000747782 -16 *5659:18 *5800:108 0.000771992 -17 *5659:18 *6206:11 0.000257961 -18 *5659:24 *25320:B2 0.000458977 -19 *5659:24 *26971:A0 0.000205715 -20 *5659:24 *26989:A1 7.83587e-05 -21 *5659:24 *5679:107 2.71985e-05 -22 *5659:28 *28480:RESET_B 0 -23 *5659:28 *28560:RESET_B 0.000128154 -24 *5659:28 *5679:92 0.0022482 -25 *5659:28 *5679:98 0.000654698 -26 *5659:28 *5679:107 6.715e-05 -27 *5659:28 *5926:108 0.00201052 -28 *5659:28 *5926:110 0.00037571 -29 *5659:28 *5926:117 0.00131796 -30 *5659:28 *5936:138 0 -31 *5659:32 *28181:RESET_B 0 -32 *5659:32 *28219:RESET_B 8.11696e-05 -33 *5659:32 *5753:138 0.000140667 -34 *5659:32 *5755:113 0.000172477 -35 *5659:32 *5755:125 0.000250208 -36 *5659:32 *5926:117 1.27529e-05 -37 *5659:32 *5926:120 0.00107204 -38 *5659:32 *5926:122 0 -39 *5659:36 *26833:A0 0 -40 *5659:36 *27049:A1 3.57163e-05 -41 *5659:36 *28219:RESET_B 1.21404e-05 -42 *5659:48 *27224:B 8.74145e-05 -43 *5659:48 *28129:RESET_B 0.000432726 -44 *5659:48 *5753:32 9.60337e-06 -45 mgmt_gpio_out[9] *5659:24 3.32442e-05 -46 serial_data_1 *5659:36 0 -47 serial_load *5659:36 0 -48 serial_resetn *5659:36 0 -49 *24849:A *5659:24 0.00130235 -50 *24850:A *5659:24 1.27784e-05 -51 *24974:C1 *24974:B2 0.000148824 -52 *25033:B *5659:28 0.00115907 -53 *25702:A1 *5659:32 0 -54 *25704:A1 *5659:32 8.00806e-05 -55 *25710:A0 *5659:36 0.00018232 -56 *25752:S *5659:32 0.000527092 -57 *26905:S *5659:18 3.97209e-05 -58 *26975:A1 *5659:24 7.55769e-05 -59 *26980:S *5659:28 0.000177941 -60 *26989:S *5659:24 1.94879e-05 -61 *27017:A1 *5659:32 0.000508151 -62 *27232:A1 *24974:B2 0.000178087 -63 *28129:D *5659:48 0.000131887 -64 *29072:A *5659:24 0.000509472 -65 *29613:A *5659:36 0.00038649 -66 *29729:A *5659:24 0.000145407 -67 *30319:A *5659:36 6.39262e-05 -68 *30562:A *5659:36 0.000128161 -69 *30591:A *5659:48 0.000532537 -70 *30690:A *5659:36 1.85455e-05 -71 *30696:A *5659:18 6.71336e-05 -72 *30697:A *5659:15 0.000290784 -73 *30714:A *5659:32 3.58847e-05 -74 *30729:A *5659:28 0 -75 *30835:A *5659:24 0.000214006 -76 *30869:A *5659:24 0.000417911 -77 *30872:A *5659:24 0.000347354 -78 *30893:A *5659:32 0.00063224 -79 *30908:A *5659:24 0.000154762 -80 *30969:A *5659:48 0.000193057 -81 *12:20 *5659:48 0.000117523 -82 *529:11 *5659:32 0.000745436 -83 *1343:19 *5659:28 0.00220063 -84 *1357:10 *5659:28 0.000128727 -85 *1371:14 *5659:36 0.000951247 -86 *1371:19 *5659:32 0.000512466 -87 *1371:19 *5659:36 0 -88 *1371:25 *5659:32 0.000828585 -89 *1857:17 *5659:18 0.000451581 -90 *1857:17 *5659:22 0.0001181 -91 *1864:170 *5659:32 4.97121e-06 -92 *2761:188 *5659:22 0.00184071 -93 *2768:175 *5659:24 0.00160404 -94 *2768:190 *5659:24 0.0019165 -95 *2768:204 *5659:24 0.00127681 -96 *2770:46 *5659:24 0.000751283 -97 *2805:40 *5659:48 4.1879e-05 -98 *3679:89 *5659:22 0 -99 *3679:89 *5659:24 0.000367409 -100 *4688:15 *5659:24 2.06178e-05 -101 *5426:19 *5659:48 0.000472322 -102 *5433:29 *5659:22 0.000418926 -103 *5454:8 *5659:32 0.000268521 -104 *5461:18 *5659:32 1.52681e-05 -105 *5461:20 *5659:24 0.000335946 -106 *5461:20 *5659:28 0.00149466 -107 *5461:20 *5659:32 5.7123e-05 -108 *5461:26 *5659:24 0.000369873 -109 *5461:28 *5659:15 0.000274953 -110 *5461:28 *5659:18 0.000473004 -111 *5461:28 *5659:22 0.00255427 -112 *5461:28 *5659:24 0.000141771 -113 *5461:32 *5659:15 0.000590534 -114 *5465:37 *5659:32 0.000290175 -115 *5534:49 *5659:48 0.00023508 -116 *5539:33 *24974:B2 1.29898e-05 -117 *5570:23 *5659:48 0.000862462 -118 *5585:115 *24974:B2 1.73088e-05 -119 *5586:122 *5659:15 5.11642e-05 -120 *5586:122 *5659:18 0.000452225 -121 *5586:138 *5659:18 0.00042229 -122 *5592:10 *5659:32 0 -123 *5592:10 *5659:36 0 -*RES -1 *30697:X *5659:15 37.8223 -2 *5659:15 *5659:18 24.3393 -3 *5659:18 *5659:22 33.8571 -4 *5659:22 *5659:24 83.9464 -5 *5659:24 *5659:26 0.535714 -6 *5659:26 *5659:28 88.7321 -7 *5659:28 *5659:30 0.535714 -8 *5659:30 *5659:32 65.5357 -9 *5659:32 *5659:34 0.535714 -10 *5659:34 *5659:36 54.1696 -11 *5659:36 *5659:48 45.6068 -12 *5659:48 *24974:B2 16.1259 -*END - -*D_NET *5660 0.132719 -*CONN -*I *27472:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *6497:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27380:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27433:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27399:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27346:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27371:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *6495:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6496:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27455:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *30819:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *27472:B1 0 -2 *6497:DIODE 0 -3 *27380:B1 8.88685e-05 -4 *27433:B1 0.002468 -5 *27399:B1 0.000329315 -6 *27346:A2 0 -7 *27371:A2 0.000141874 -8 *6495:DIODE 0 -9 *6496:DIODE 0 -10 *27455:B1 0.0001186 -11 *30819:X 9.37988e-05 -12 *5660:217 0.00316052 -13 *5660:198 0.00512222 -14 *5660:189 0.00793348 -15 *5660:175 0.00418717 -16 *5660:166 0.00402954 -17 *5660:157 0.00606368 -18 *5660:137 0.00156281 -19 *5660:134 0.00369996 -20 *5660:123 0.00276172 -21 *5660:113 0.00182764 -22 *5660:105 0.00106836 -23 *5660:102 0.00192973 -24 *5660:75 0.0011114 -25 *5660:64 0.000518121 -26 *5660:52 0.00158402 -27 *5660:35 0.00248402 -28 *5660:23 0.00435039 -29 *5660:9 0.00679279 -30 *5660:8 0.00382035 -31 *27380:B1 *5926:72 9.10894e-05 -32 *27399:B1 *5832:8 0.000386575 -33 *27433:B1 *5662:111 0.00120836 -34 *27433:B1 *5905:86 3.77315e-05 -35 *5660:8 *27599:B 0.000214726 -36 *5660:23 *5663:19 0.000367786 -37 *5660:35 *5663:22 0.00178599 -38 *5660:52 *5663:27 0.00157608 -39 *5660:52 *5675:58 0.000107263 -40 *5660:52 *5863:163 0.00046282 -41 *5660:64 *5863:163 0.000121573 -42 *5660:64 *5936:15 9.58181e-05 -43 *5660:75 *5863:163 8.32242e-05 -44 *5660:102 *28757:RESET_B 1.39711e-05 -45 *5660:102 *5679:81 0 -46 *5660:102 *5863:163 0.000752482 -47 *5660:123 *28485:RESET_B 0.000467849 -48 *5660:134 *28649:D 0.000102545 -49 *5660:134 *5829:96 0.000167361 -50 *5660:134 *5926:72 0.00024013 -51 *5660:175 *5926:72 0.00160736 -52 *5660:189 *5777:247 0.000176806 -53 *5660:189 *5819:20 0.000158398 -54 *5660:198 *25190:A1 0.000247459 -55 *5660:198 *5662:116 0.000279393 -56 *5660:198 *5662:124 0.000558246 -57 *5660:217 *5662:124 0.00122934 -58 *5660:217 *5688:85 1.90936e-05 -59 *24988:A2 *5660:134 0.000519513 -60 *24990:C1 *5660:102 4.21517e-05 -61 *25052:A2 *27433:B1 0.000121868 -62 *25065:B2 *5660:189 0.000663867 -63 *25145:B1 *5660:217 0.000485815 -64 *25148:B *27399:B1 0.000527198 -65 *25148:B *5660:217 0.000604315 -66 *25148:C *5660:217 0.000190229 -67 *25200:A1 *5660:137 0.00138625 -68 *25200:A1 *5660:157 0.00043479 -69 *25200:B1 *5660:137 8.25843e-06 -70 *25200:B2 *5660:137 5.49489e-05 -71 *26984:A1 *5660:157 0.000267807 -72 *27065:S *5660:217 0.000257619 -73 *27087:S *27380:B1 6.10101e-05 -74 *27342:B2 *5660:166 3.03278e-05 -75 *27346:B1 *5660:166 0.000119205 -76 *27374:C *27371:A2 4.58194e-05 -77 *27380:B2 *27380:B1 1.80232e-05 -78 *27382:B1 *27380:B1 1.84865e-05 -79 *27422:A2 *5660:189 0.000302341 -80 *27429:B1 *27433:B1 0 -81 *27433:B2 *27433:B1 3.36484e-05 -82 *27437:D *27433:B1 6.69937e-05 -83 *27447:A1 *5660:35 0 -84 *27455:A1 *27455:B1 3.47783e-05 -85 *27455:B2 *27455:B1 3.09839e-05 -86 *27456:A2 *5660:64 4.75956e-06 -87 *27472:A1 *5660:105 0.000104237 -88 *27472:A1 *5660:113 0 -89 *27472:A2 *5660:105 0.000162863 -90 *27620:B *5660:9 0.000303972 -91 *27660:A *5660:9 0.000181796 -92 *27785:B2 *27433:B1 4.02038e-05 -93 *27796:A1 *5660:35 1.98839e-05 -94 *27796:A2 *5660:35 0.000178847 -95 *27797:B2 *5660:35 1.37051e-05 -96 *27803:A1 *5660:35 0.00018996 -97 *27804:D *5660:35 0 -98 *27826:B2 *5660:113 5.33005e-05 -99 *27826:B2 *5660:123 0.000314624 -100 *28516:D *27433:B1 3.94055e-05 -101 *28539:CLK *5660:166 0.000385366 -102 *28539:D *5660:166 5.67751e-05 -103 *28631:D *27433:B1 9.23367e-05 -104 *28631:D *5660:198 0.000691494 -105 *28899:A *5660:217 0.00175023 -106 *30111:A *5660:102 0.000302234 -107 *30433:A *5660:102 0.000301941 -108 *30466:A *5660:166 4.85033e-05 -109 *30865:A *5660:35 0 -110 *849:17 *27433:B1 0.000171277 -111 *1185:175 *5660:175 0.000181142 -112 *1250:234 *5660:123 1.02936e-05 -113 *1260:135 *27433:B1 2.1502e-05 -114 *1261:25 *5660:166 0.0014178 -115 *1265:142 *27433:B1 0.0010846 -116 *1265:142 *5660:198 0.00105865 -117 *1273:159 *5660:123 1.90936e-05 -118 *1290:186 *5660:52 0.000143592 -119 *1293:129 *5660:217 1.6886e-05 -120 *1304:39 *5660:35 0.000173803 -121 *1304:40 *5660:23 0.000276268 -122 *1350:25 *5660:102 4.19624e-06 -123 *1350:25 *5660:105 7.32756e-05 -124 *1354:16 *5660:64 6.05161e-06 -125 *1365:16 *27433:B1 0.000158538 -126 *1456:134 *5660:166 0.000233819 -127 *1469:21 *5660:217 0.000127355 -128 *1514:18 *5660:198 9.15304e-05 -129 *1826:117 *5660:134 0.000126716 -130 *2760:135 *27433:B1 0 -131 *2768:22 *5660:102 1.80912e-05 -132 *2786:80 *5660:189 0 -133 *2787:62 *5660:8 6.00128e-05 -134 *2788:139 *5660:217 2.06112e-05 -135 *2845:347 *5660:134 0.000429518 -136 *2848:206 *5660:157 0.0001052 -137 *2848:242 *5660:157 0.000103262 -138 *2848:282 *5660:217 9.04651e-06 -139 *2848:300 *27399:B1 0.000159071 -140 *2848:300 *5660:217 0.000206285 -141 *2855:219 *5660:198 0.000377066 -142 *2855:298 *5660:35 0.000112538 -143 *2864:156 *5660:123 0.00166367 -144 *2866:323 *5660:217 0.00050609 -145 *2867:249 *5660:189 0.000520294 -146 *2871:393 *5660:189 0 -147 *2874:192 *5660:134 0.000310692 -148 *2874:192 *5660:157 0.000128772 -149 *2874:321 *5660:157 0.00161388 -150 *2874:336 *5660:189 0.00035638 -151 *2875:322 *5660:189 0.000177596 -152 *2875:344 *5660:189 0.000114779 -153 *2877:234 *5660:157 0.000167277 -154 *2892:259 *5660:198 7.40633e-05 -155 *2892:266 *5660:198 8.55871e-05 -156 *2893:24 *5660:217 5.06547e-05 -157 *2970:19 *5660:217 4.58514e-05 -158 *2993:27 *27433:B1 0.000205661 -159 *3153:165 *5660:134 0.000874468 -160 *3153:165 *5660:157 0.00106197 -161 *3153:177 *5660:189 0.000141019 -162 *3164:222 *5660:35 0.000219711 -163 *3178:13 *5660:9 9.41642e-05 -164 *3179:46 *5660:35 3.59165e-05 -165 *3179:66 *5660:35 9.46374e-05 -166 *3179:67 *5660:52 0.00157368 -167 *3179:67 *5660:64 0 -168 *3179:67 *5660:102 0 -169 *3196:30 *5660:8 8.90144e-05 -170 *3205:235 *5660:134 0.000220764 -171 *3236:13 *27371:A2 0.000243092 -172 *3236:13 *5660:137 8.25275e-05 -173 *3340:19 *5660:123 0.000121573 -174 *3343:10 *5660:35 0 -175 *3552:30 *27399:B1 0.00038538 -176 *3682:50 *5660:35 0.000132548 -177 *3708:37 *5660:64 2.42516e-05 -178 *3708:68 *5660:64 4.04292e-05 -179 *3721:68 *5660:64 4.47727e-05 -180 *3735:71 *5660:123 5.10678e-05 -181 *3798:98 *27433:B1 0.000179156 -182 *3824:12 *5660:189 0.000125466 -183 *3826:52 *5660:35 1.61879e-05 -184 *3826:57 *5660:35 1.20054e-05 -185 *3849:48 *5660:217 4.88232e-05 -186 *3864:43 *5660:52 1.90243e-05 -187 *3877:26 *5660:23 0.000293921 -188 *3877:41 *5660:35 6.33204e-05 -189 *3887:60 *5660:157 0.000424633 -190 *3890:68 *27455:B1 1.46231e-05 -191 *3891:89 *5660:105 8.43535e-06 -192 *3891:89 *5660:113 6.89028e-05 -193 *3903:42 *5660:23 0.000126976 -194 *3939:51 *5660:157 0.00112984 -195 *3953:28 *5660:217 4.8817e-05 -196 *3956:10 *5660:102 4.80697e-05 -197 *3982:46 *5660:23 0.00107056 -198 *3982:71 *5660:52 0.000714729 -199 *3982:71 *5660:64 0.000422827 -200 *3982:71 *5660:75 7.3099e-05 -201 *3982:71 *5660:102 0.00144434 -202 *3991:34 *5660:134 0.00126242 -203 *3991:38 *27380:B1 9.96553e-05 -204 *3991:38 *5660:175 0.00160577 -205 *3991:38 *5660:189 0.000274226 -206 *3993:14 *5660:23 0 -207 *3994:13 *5660:23 0 -208 *4025:75 *5660:217 2.26147e-05 -209 *4043:69 *5660:217 2.59024e-05 -210 *4123:33 *27433:B1 6.53397e-05 -211 *4135:70 *5660:217 1.90936e-05 -212 *4145:21 *5660:166 2.18792e-05 -213 *4185:64 *5660:52 4.82947e-05 -214 *4186:34 *27455:B1 0.000264333 -215 *5196:95 *5660:9 0.00116869 -216 *5432:36 *27433:B1 0.000599685 -217 *5459:20 *5660:9 0.00220985 -218 *5467:18 *5660:52 0.000256189 -219 *5504:86 *5660:23 3.7529e-05 -220 *5504:86 *5660:35 0 -221 *5587:65 *5660:217 0.000238047 -222 *5601:37 *5660:134 0.000118715 -223 *5609:219 *5660:198 0.00139273 -224 *5609:219 *5660:217 0.000309921 -225 *5609:236 *5660:198 0.00117098 -226 *5632:90 *27433:B1 4.65519e-05 -227 *5635:147 *27433:B1 0.000101698 -228 *5639:124 *5660:137 2.13481e-06 -229 *5642:27 *5660:23 0 -230 *5642:34 *5660:23 0.000317967 -231 *5643:303 *5660:123 0.00216796 -232 *5645:47 *5660:35 0 -233 *5646:213 *27399:B1 0.000854987 -234 *5646:213 *5660:217 0.000136676 -235 *5646:232 *5660:217 0.000505335 -236 *5650:329 *5660:189 0.000645023 -237 *5652:109 *5660:102 7.54868e-05 -238 *5658:44 *5660:134 0.000380148 -*RES -1 *30819:X *5660:8 21.1571 -2 *5660:8 *5660:9 49 -3 *5660:9 *5660:23 37.2534 -4 *5660:23 *5660:35 43.469 -5 *5660:35 *5660:52 47.3743 -6 *5660:52 *5660:64 25.6071 -7 *5660:64 *27455:B1 16.8179 -8 *5660:64 *5660:75 1.03571 -9 *5660:75 *6496:DIODE 13.8 -10 *5660:75 *5660:102 41.875 -11 *5660:102 *5660:105 9.58929 -12 *5660:105 *5660:113 4.17857 -13 *5660:113 *5660:123 47.0179 -14 *5660:123 *5660:134 45.1071 -15 *5660:134 *5660:137 22.2857 -16 *5660:137 *6495:DIODE 9.3 -17 *5660:137 *27371:A2 12.4161 -18 *5660:134 *5660:157 44.4464 -19 *5660:157 *5660:166 49.7946 -20 *5660:166 *27346:A2 9.3 -21 *5660:157 *5660:175 30.7321 -22 *5660:175 *5660:189 33.8681 -23 *5660:189 *5660:198 44.7635 -24 *5660:198 *5660:217 47.2831 -25 *5660:217 *27399:B1 36.1214 -26 *5660:189 *27433:B1 33.3887 -27 *5660:175 *27380:B1 16.5321 -28 *5660:113 *6497:DIODE 9.3 -29 *5660:105 *27472:B1 9.3 -*END - -*D_NET *5661 0.148809 -*CONN -*I *27344:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27388:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27369:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27402:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27297:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *27487:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27579:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27546:B1 I *D sky130_fd_sc_hd__a221o_2 -*I *27565:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27516:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *30820:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *27344:A2 5.69958e-05 -2 *27388:A2 0.000728368 -3 *27369:A2 0.00119942 -4 *27402:A2 0 -5 *27297:B1 6.49034e-05 -6 *27487:A2 0.000112032 -7 *27579:A2 0.000351993 -8 *27546:B1 0.000725252 -9 *27565:A2 0.000158863 -10 *27516:A2 0.00086189 -11 *30820:X 0.00110513 -12 *5661:267 0.00369602 -13 *5661:245 0.00156342 -14 *5661:232 0.00485759 -15 *5661:220 0.0032868 -16 *5661:210 0.00312131 -17 *5661:196 0.00198753 -18 *5661:188 0.00211411 -19 *5661:187 0.00227074 -20 *5661:149 0.00148514 -21 *5661:133 0.00107228 -22 *5661:123 0.00206408 -23 *5661:117 0.00294275 -24 *5661:80 0.002907 -25 *5661:70 0.00282984 -26 *5661:64 0.00440253 -27 *5661:42 0.00462296 -28 *5661:21 0.00370904 -29 *5661:9 0.00187505 -30 *27369:A2 *5824:219 0.000494254 -31 *27369:A2 *5873:304 2.09826e-05 -32 *27388:A2 *5905:110 0.000200848 -33 *27516:A2 *25642:A0 0.000604081 -34 *27565:A2 *5866:17 5.03772e-05 -35 *5661:9 *5947:27 0.00140155 -36 *5661:9 *5947:35 0.0020085 -37 *5661:21 *5750:217 5.31266e-05 -38 *5661:64 *5738:130 0.00023611 -39 *5661:64 *5947:74 0.000369537 -40 *5661:70 *5892:145 0 -41 *5661:80 *25642:A0 0.000115773 -42 *5661:80 *5909:76 2.62977e-05 -43 *5661:117 *25645:A0 0.000113235 -44 *5661:117 *5909:62 0.000171997 -45 *5661:123 *25645:A0 0.000566728 -46 *5661:123 *5909:62 0.000224036 -47 *5661:133 *5856:19 6.663e-05 -48 *5661:133 *5866:17 4.45178e-05 -49 *5661:210 *26894:A0 1.4396e-05 -50 *5661:210 *28483:SET_B 0.000812271 -51 *5661:210 *5707:80 0.000267412 -52 *5661:232 *5708:80 0.000215989 -53 *5661:232 *5708:100 0.000953906 -54 *5661:232 *5795:82 0.000982737 -55 *5661:232 *5795:96 1.91937e-05 -56 *5661:245 *27140:A0 2.01997e-05 -57 *5661:245 *28683:SET_B 0.000115951 -58 *5661:245 *6247:18 7.92979e-05 -59 *5661:267 *27140:A0 1.14338e-05 -60 *5661:267 *6225:139 0.000336475 -61 *24889:A1 *27546:B1 0.000135217 -62 *25151:B1 *5661:117 3.98307e-05 -63 *25224:C *5661:196 0.00113133 -64 *25236:A2 *5661:210 2.06112e-05 -65 *25238:B2 *27369:A2 0.000648804 -66 *25258:B2 *5661:188 0.000363577 -67 *25307:C1 *27516:A2 6.42122e-06 -68 *25307:C1 *5661:117 2.63501e-05 -69 *25326:A2 *5661:64 0.000130033 -70 *25337:A2 *5661:210 0.00060947 -71 *25353:A *5661:42 4.31809e-05 -72 *25353:B *5661:42 0.000224774 -73 *25410:B2 *5661:64 4.00349e-05 -74 *25412:C *5661:64 6.05161e-06 -75 *25942:A *5661:42 5.08047e-05 -76 *27082:A1 *5661:21 2.04825e-05 -77 *27310:B *5661:9 2.12087e-05 -78 *27332:C *5661:21 0.000371175 -79 *27350:B2 *5661:220 8.03074e-05 -80 *27402:B2 *5661:245 3.58774e-05 -81 *27412:A2 *5661:245 0.000471809 -82 *27413:A1 *5661:245 0.000260574 -83 *27487:A1 *27487:A2 5.33005e-05 -84 *27487:A1 *5661:80 9.41642e-05 -85 *27516:A1 *27516:A2 0.000177545 -86 *27516:B1 *27516:A2 0.000150177 -87 *27517:C1 *27516:A2 2.79421e-05 -88 *27546:A2 *27546:B1 1.60368e-05 -89 *27546:B2 *27546:B1 7.49396e-05 -90 *27546:C1 *27546:B1 0.000122013 -91 *27560:B1 *5661:133 0.0004614 -92 *27566:A1 *5661:123 0.000937758 -93 *27566:B1 *5661:123 9.65182e-05 -94 *27566:B2 *5661:123 1.43864e-05 -95 *27590:B1 *27546:B1 5.4826e-05 -96 *27590:C1 *27546:B1 2.49484e-05 -97 *27619:A2 *5661:42 0.000343222 -98 *27862:B2 *5661:70 0.000192009 -99 *27884:B1 *27516:A2 0.000508825 -100 *27937:A2 *5661:133 2.69747e-05 -101 *27937:A2 *5661:149 0.000336726 -102 *27951:A2 *27579:A2 0.000169797 -103 *28389:D *5661:64 8.55871e-05 -104 *28492:D *5661:267 4.85033e-05 -105 *28857:A *5661:64 0.00103952 -106 *28926:A *5661:210 8.55222e-06 -107 *29874:A *5661:220 0 -108 *30274:A *5661:64 5.33005e-05 -109 *1244:129 *5661:187 5.13156e-05 -110 *1244:174 *5661:187 9.336e-05 -111 *1250:46 *5661:188 0.000861246 -112 *1250:72 *5661:21 0.000273318 -113 *1252:24 *5661:64 0.00016619 -114 *1252:26 *5661:64 2.03074e-05 -115 *1256:31 *5661:187 6.68431e-05 -116 *1262:80 *5661:245 0.000181022 -117 *1262:97 *27388:A2 8.48827e-06 -118 *1265:228 *5661:21 0.000753423 -119 *1266:104 *27344:A2 0.000132869 -120 *1266:104 *5661:232 0.000300495 -121 *1269:110 *5661:245 0.00133358 -122 *1269:117 *5661:267 0.001472 -123 *1271:104 *5661:42 4.65519e-05 -124 *1273:96 *5661:188 7.6644e-05 -125 *1276:21 *5661:42 2.62758e-05 -126 *1291:31 *5661:187 6.90381e-06 -127 *1326:57 *5661:9 0.00260379 -128 *1361:29 *5661:220 2.63501e-05 -129 *1395:29 *5661:64 5.28614e-05 -130 *1433:29 *5661:245 3.58774e-05 -131 *1437:29 *5661:70 0.000110332 -132 *1437:35 *5661:70 3.88819e-05 -133 *1488:24 *27565:A2 0.000238077 -134 *1557:16 *5661:245 4.18469e-05 -135 *1557:16 *5661:267 0.000376465 -136 *1657:40 *5661:42 0.000236783 -137 *1657:40 *5661:64 0.000167762 -138 *1660:31 *5661:232 2.85501e-05 -139 *1660:31 *5661:267 0 -140 *1691:25 *5661:196 6.94952e-05 -141 *1713:26 *5661:64 0.000172701 -142 *1723:27 *5661:21 0.000754713 -143 *1887:22 *5661:117 0.00020448 -144 *1899:13 *5661:64 0.000316829 -145 *2774:92 *5661:245 0.00034076 -146 *2778:155 *27369:A2 0.00117718 -147 *2779:96 *5661:232 0.000111243 -148 *2780:61 *5661:42 2.63501e-05 -149 *2781:87 *5661:21 0.000130813 -150 *2781:87 *5661:42 0.000312873 -151 *2784:59 *5661:21 5.70402e-05 -152 *2834:142 *5661:123 8.49755e-06 -153 *2844:318 *5661:245 0.000148911 -154 *2848:19 *5661:9 0.000135028 -155 *2848:33 *5661:9 9.41642e-05 -156 *2848:53 *5661:64 0.000251462 -157 *2849:97 *5661:9 0.000634178 -158 *2850:88 *5661:70 0.000898024 -159 *2850:121 *27546:B1 0.000328023 -160 *2852:142 *27369:A2 2.18792e-05 -161 *2852:212 *5661:64 7.2514e-05 -162 *2855:90 *5661:80 0.000319623 -163 *2859:242 *5661:232 0.000128441 -164 *2860:128 *5661:70 0.00102695 -165 *2860:128 *5661:80 0.000172196 -166 *2860:158 *5661:188 0.000121136 -167 *2860:164 *5661:188 0.00249434 -168 *2860:164 *5661:196 0.000844796 -169 *2860:259 *27388:A2 6.25786e-05 -170 *2860:261 *27388:A2 0.000384219 -171 *2860:261 *5661:267 8.69554e-05 -172 *2861:23 *5661:9 0.000136958 -173 *2865:115 *27516:A2 1.94879e-05 -174 *2865:126 *27516:A2 2.95495e-05 -175 *2865:126 *5661:117 3.75078e-05 -176 *2867:260 *5661:267 0.00132974 -177 *2875:69 *5661:70 0.000803061 -178 *2875:85 *5661:70 0.00014313 -179 *2875:275 *27344:A2 0.000109407 -180 *2875:275 *5661:232 0.000303376 -181 *2877:69 *5661:117 4.29471e-05 -182 *2877:87 *5661:117 0.000877617 -183 *2877:349 *27388:A2 6.6715e-05 -184 *2877:349 *5661:267 9.09604e-05 -185 *2879:67 *5661:210 0.00215874 -186 *2882:114 *5661:117 0.000602952 -187 *2889:254 *27516:A2 0.000234585 -188 *2891:24 *5661:21 9.77021e-06 -189 *2891:24 *5661:42 0.00219627 -190 *2891:177 *5661:220 0.000162171 -191 *2891:200 *5661:245 0.000441774 -192 *2894:87 *27516:A2 4.18305e-05 -193 *2894:87 *5661:80 0.000207175 -194 *2894:87 *5661:117 9.85535e-05 -195 *2894:138 *27579:A2 0.000101001 -196 *2894:138 *5661:149 0.000433266 -197 *2895:291 *27369:A2 0.000129624 -198 *2922:20 *27369:A2 0.000170924 -199 *2932:6 *5661:267 0.000338492 -200 *3081:8 *5661:80 0.000176211 -201 *3119:13 *27565:A2 2.98197e-05 -202 *3119:13 *5661:133 6.09762e-05 -203 *3119:16 *5661:123 3.484e-05 -204 *3153:38 *5661:64 0.000126031 -205 *3165:201 *5661:21 0.000225944 -206 *3165:201 *5661:42 0.000308885 -207 *3165:216 *5661:42 0.000154202 -208 *3168:31 *5661:187 4.88232e-05 -209 *3168:66 *5661:80 0.000627739 -210 *3174:29 *5661:21 1.80461e-05 -211 *3174:56 *5661:42 0.00185895 -212 *3185:92 *5661:220 0.00157612 -213 *3185:107 *5661:220 0.000131364 -214 *3185:304 *5661:64 0.00054657 -215 *3192:107 *5661:123 0 -216 *3197:125 *5661:123 0.00313569 -217 *3197:138 *5661:80 0.000103535 -218 *3197:138 *5661:117 0.00115926 -219 *3212:94 *27516:A2 4.82389e-05 -220 *3212:99 *27516:A2 8.09427e-05 -221 *3306:6 *27344:A2 2.06112e-05 -222 *3314:26 *5661:245 0.000150618 -223 *3389:28 *5661:64 0.00026607 -224 *3452:6 *5661:133 0.000612767 -225 *3452:6 *5661:149 0.000343635 -226 *3452:32 *5661:117 0.00102263 -227 *3457:10 *27516:A2 0.000177895 -228 *3506:19 *5661:64 9.34324e-05 -229 *3679:39 *27388:A2 1.46576e-05 -230 *3686:109 *5661:210 0.000276504 -231 *3712:22 *5661:232 8.90412e-05 -232 *3713:45 *27516:A2 0.000180788 -233 *3714:8 *5661:123 0.000251976 -234 *3714:28 *5661:123 0.00115188 -235 *3716:12 *27344:A2 2.06112e-05 -236 *3723:25 *5661:117 0.000107807 -237 *3724:34 *5661:70 4.38243e-05 -238 *3763:20 *27579:A2 0.000167619 -239 *3777:51 *5661:42 0.000107871 -240 *3783:89 *5661:267 0.000294782 -241 *3797:65 *5661:187 0.000365291 -242 *3797:91 *5661:220 2.5621e-05 -243 *3829:36 *5661:42 2.20319e-05 -244 *3835:38 *27388:A2 8.22793e-06 -245 *3846:34 *5661:267 0.000110632 -246 *3875:63 *5661:42 0 -247 *3892:75 *5661:70 0.00241631 -248 *3907:45 *27297:B1 2.2095e-05 -249 *3907:45 *5661:210 0.000216755 -250 *3907:51 *27297:B1 3.03071e-05 -251 *3907:63 *27297:B1 0 -252 *3927:44 *5661:245 0.000795984 -253 *3933:17 *5661:21 2.57615e-05 -254 *3950:25 *5661:220 0.000225312 -255 *3951:20 *27369:A2 1.94879e-05 -256 *3957:27 *27487:A2 1.21258e-05 -257 *3970:40 *5661:70 0.000213238 -258 *3978:65 *27369:A2 0.000502833 -259 *4012:39 *5661:64 0 -260 *4044:14 *5661:210 0.00025512 -261 *4044:14 *5661:220 0.00246312 -262 *4051:49 *5661:21 0.00011094 -263 *4051:54 *5661:188 0.00156644 -264 *4068:65 *5661:267 0.000177545 -265 *4069:66 *27388:A2 2.11419e-05 -266 *4080:44 *5661:232 0.00198365 -267 *4092:45 *27546:B1 0.000134669 -268 *4100:22 *27546:B1 6.27154e-05 -269 *4105:20 *27579:A2 0.000750939 -270 *4105:20 *5661:149 0.000847016 -271 *4132:38 *5661:220 0.000611426 -272 *4132:38 *5661:232 0 -273 *4152:50 *27516:A2 0.0001711 -274 *4350:31 *27546:B1 0.000561851 -275 *4350:48 *27546:B1 5.71335e-05 -276 *5215:12 *27546:B1 1.10171e-05 -277 *5457:21 *5661:64 0.000499987 -278 *5493:40 *5661:117 0.00169609 -279 *5500:57 *5661:64 4.15526e-05 -280 *5583:58 *5661:42 8.48485e-05 -281 *5589:14 *5661:210 0.000291156 -282 *5589:44 *27388:A2 9.4263e-06 -283 *5589:95 *27388:A2 0.000507866 -284 *5593:15 *27369:A2 5.52634e-05 -285 *5609:190 *5661:232 0.000256839 -286 *5624:18 *5661:64 0.000123929 -287 *5627:69 *5661:210 0.00107846 -288 *5627:75 *5661:220 0 -289 *5627:90 *5661:220 0.00123223 -290 *5629:71 *5661:64 3.42107e-06 -291 *5630:71 *27579:A2 0.000168278 -292 *5633:164 *5661:232 0.000257089 -293 *5634:52 *5661:64 8.2758e-06 -294 *5634:95 *5661:187 0.000174237 -295 *5635:50 *5661:188 0.00104911 -296 *5635:63 *5661:188 0.000335099 -297 *5646:34 *5661:64 0.00029168 -298 *5649:217 *5661:267 0.00010891 -299 *5656:189 *5661:123 9.91562e-05 -300 *5656:189 *5661:133 0.000108385 -301 *5657:49 *5661:64 0 -*RES -1 *30820:X *5661:9 47.6571 -2 *5661:9 *5661:21 25.2752 -3 *5661:21 *5661:42 49.254 -4 *5661:42 *5661:64 45.6385 -5 *5661:64 *5661:70 26.8878 -6 *5661:70 *5661:80 16.2333 -7 *5661:80 *27516:A2 38.3755 -8 *5661:80 *5661:117 48.8952 -9 *5661:117 *5661:123 46.9286 -10 *5661:123 *5661:133 15.6696 -11 *5661:133 *27565:A2 17.3536 -12 *5661:133 *5661:149 17.5179 -13 *5661:149 *27546:B1 35.1929 -14 *5661:149 *27579:A2 28.0679 -15 *5661:70 *27487:A2 10.9786 -16 *5661:21 *5661:187 12.0646 -17 *5661:187 *5661:188 49.4554 -18 *5661:188 *5661:196 29.5536 -19 *5661:196 *27297:B1 10.2643 -20 *5661:196 *5661:210 46.5 -21 *5661:210 *5661:220 45.5163 -22 *5661:220 *5661:232 42.3694 -23 *5661:232 *5661:245 47.2316 -24 *5661:245 *27402:A2 9.3 -25 *5661:232 *5661:267 44.0039 -26 *5661:267 *27369:A2 29.5834 -27 *5661:267 *27388:A2 19.5909 -28 *5661:220 *27344:A2 17.714 -*END - -*D_NET *5662 0.126693 -*CONN -*I *27433:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27359:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27399:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27286:B1 I *D sky130_fd_sc_hd__a221o_2 -*I *27380:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27470:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27455:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *30821:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *27433:A2 0.000447692 -2 *27359:A2 0.000167393 -3 *27399:A2 0 -4 *27286:B1 0.000934682 -5 *27380:A2 0.00161532 -6 *27470:A2 4.15001e-05 -7 *27455:A2 0 -8 *30821:X 4.24104e-05 -9 *5662:144 0.00372332 -10 *5662:124 0.00421358 -11 *5662:116 0.00286951 -12 *5662:111 0.00338356 -13 *5662:97 0.00446224 -14 *5662:76 0.0059516 -15 *5662:54 0.00246961 -16 *5662:52 0.0015819 -17 *5662:48 0.00190268 -18 *5662:43 0.00161547 -19 *5662:36 0.00239391 -20 *5662:35 0.00109922 -21 *5662:33 0.00340922 -22 *5662:15 0.00874143 -23 *5662:8 0.00537462 -24 *27359:A2 *5809:13 3.28686e-05 -25 *27380:A2 *5718:387 1.57834e-05 -26 *27433:A2 *6005:32 5.33614e-05 -27 *5662:8 *6318:32 9.96264e-05 -28 *5662:15 *5714:13 0.000770801 -29 *5662:15 *6385:141 0 -30 *5662:33 *28585:D 5.39552e-05 -31 *5662:33 *5678:158 0.000375355 -32 *5662:33 *5873:91 0.000831112 -33 *5662:43 *5847:74 0.000114089 -34 *5662:52 *5863:163 0.00302758 -35 *5662:76 *5680:176 0.00166137 -36 *5662:97 *5767:197 0.00011985 -37 *5662:97 *5767:201 0.000294839 -38 *5662:111 *5905:86 8.92267e-05 -39 *5662:144 *28710:RESET_B 0.00053998 -40 *24990:B1 *5662:43 1.19011e-05 -41 *24992:C1 *5662:48 7.07155e-06 -42 *24995:A *5662:43 2.39876e-05 -43 *25030:A1 *5662:36 2.41159e-05 -44 *26997:A1 *27433:A2 0.000387803 -45 *27087:S *27380:A2 0.000730163 -46 *27281:A1 *27286:B1 9.69276e-05 -47 *27281:B1 *27286:B1 0.000216755 -48 *27286:A1 *27286:B1 5.33005e-05 -49 *27286:C1 *27286:B1 0.000342302 -50 *27382:B1 *27380:A2 3.58774e-05 -51 *27399:A1 *27286:B1 1.21258e-05 -52 *27399:A1 *5662:144 7.37944e-05 -53 *27400:B1 *5662:124 0.000720624 -54 *27411:A2 *5662:144 9.44696e-06 -55 *27411:B1 *27286:B1 1.25377e-05 -56 *27411:B1 *5662:144 0.00014072 -57 *27429:B1 *5662:111 0 -58 *27433:B1 *5662:111 0.00120836 -59 *27470:A1 *27470:A2 1.55638e-05 -60 *27470:B1 *5662:52 1.07719e-05 -61 *27470:C1 *5662:52 6.05161e-06 -62 *27640:C *5662:15 7.37391e-05 -63 *27644:B *5662:15 0 -64 *27751:A1 *5662:144 4.03058e-05 -65 *27751:B2 *5662:144 6.26774e-06 -66 *27785:B2 *5662:111 6.21188e-05 -67 *27835:C1 *5662:76 0.000243993 -68 *27839:C1 *5662:52 0.000673516 -69 *28516:D *27433:A2 7.78042e-05 -70 *28631:D *5662:111 2.04825e-05 -71 *28631:D *5662:116 5.33005e-05 -72 *28710:CLK *5662:144 2.59355e-05 -73 *28899:A *5662:144 0.000118986 -74 *29903:A *27433:A2 0.00016752 -75 *30112:A *27433:A2 3.08382e-06 -76 *30465:A *5662:33 0.000116914 -77 *849:17 *5662:111 0.000257619 -78 *1235:163 *27286:B1 9.59532e-06 -79 *1258:90 *5662:76 1.14338e-05 -80 *1261:168 *27359:A2 0.000351482 -81 *1265:128 *5662:124 0.000253724 -82 *1290:201 *5662:36 0.000136126 -83 *1294:193 *5662:36 0.00108507 -84 *1294:193 *5662:43 3.27359e-05 -85 *1304:15 *27433:A2 9.41642e-05 -86 *1304:27 *5662:76 0.000151255 -87 *1304:28 *5662:52 0 -88 *1307:11 *5662:33 0.000241348 -89 *1317:29 *5662:15 1.56905e-05 -90 *1317:29 *5662:33 5.95009e-06 -91 *1317:30 *5662:36 0.00351998 -92 *1317:30 *5662:43 0.00245271 -93 *1318:14 *5662:43 0.00156093 -94 *1334:11 *5662:76 0.000122141 -95 *1342:26 *5662:33 0.000261151 -96 *1354:16 *5662:36 7.05143e-06 -97 *1367:18 *5662:33 0.000527255 -98 *1467:27 *5662:144 0.000347131 -99 *2767:62 *5662:76 0.00043536 -100 *2771:11 *27433:A2 0 -101 *2792:128 *5662:15 0.000189368 -102 *2834:20 *5662:15 0.000603462 -103 *2834:27 *5662:15 0.00182396 -104 *2844:318 *5662:144 9.66977e-05 -105 *2844:386 *27380:A2 0.0025986 -106 *2845:300 *5662:144 0.000650159 -107 *2848:300 *27286:B1 0.000219163 -108 *2855:219 *27359:A2 3.45225e-05 -109 *2864:12 *5662:15 0.000140933 -110 *2866:317 *5662:116 0.000175892 -111 *2866:321 *5662:116 0.00197713 -112 *2866:323 *5662:116 0.00049332 -113 *2866:323 *5662:124 0.00236657 -114 *2892:219 *5662:76 8.01783e-06 -115 *2892:220 *5662:76 0.000370288 -116 *2892:220 *5662:97 0.000243593 -117 *2892:259 *5662:116 0.0012443 -118 *2892:266 *5662:116 0.000177815 -119 *2892:280 *5662:124 3.14048e-05 -120 *2922:20 *27359:A2 4.28365e-05 -121 *2993:27 *27433:A2 4.96113e-05 -122 *3164:267 *5662:52 4.30608e-05 -123 *3164:281 *5662:52 0.000561209 -124 *3164:295 *5662:76 0.000103347 -125 *3164:295 *5662:97 0.00028732 -126 *3165:55 *5662:76 0.000232309 -127 *3179:67 *5662:52 0 -128 *3179:82 *5662:76 0.000146703 -129 *3215:199 *27380:A2 6.75683e-05 -130 *3378:26 *27433:A2 0.000173083 -131 *3378:26 *5662:97 0.00013098 -132 *3386:10 *5662:52 5.62887e-05 -133 *3386:22 *5662:52 0 -134 *3573:16 *5662:15 0.000682944 -135 *3678:60 *27359:A2 0.000150544 -136 *3720:19 *27433:A2 6.59107e-05 -137 *3722:23 *27470:A2 1.46576e-05 -138 *3722:23 *5662:52 4.74489e-06 -139 *3771:22 *27286:B1 1.90936e-05 -140 *3781:22 *5662:124 0.000190311 -141 *3798:40 *27380:A2 6.96559e-05 -142 *3798:98 *5662:111 8.67931e-05 -143 *3800:58 *5662:76 0.000243098 -144 *3800:69 *5662:111 0.000108912 -145 *3813:47 *5662:52 0.000397817 -146 *3825:37 *5662:15 0.000108511 -147 *3836:24 *5662:144 0.000120708 -148 *3868:74 *27286:B1 6.64099e-05 -149 *3876:38 *5662:97 0.0013801 -150 *3889:62 *5662:33 0.000967245 -151 *3889:66 *5662:33 0.000247681 -152 *3890:24 *5662:33 0.000400261 -153 *3890:47 *5662:36 0.000436026 -154 *3915:37 *5662:111 1.08359e-05 -155 *3965:35 *5662:116 0.000136958 -156 *3981:42 *5662:33 0.000141621 -157 *3993:14 *5662:76 0.000292059 -158 *3993:14 *5662:97 0.000757761 -159 *3995:44 *5662:36 1.62494e-05 -160 *3995:44 *5662:43 5.90443e-06 -161 *4007:6 *5662:36 0.00200318 -162 *4007:17 *5662:36 0.000234033 -163 *4044:13 *5662:124 0.000191836 -164 *4046:38 *5662:33 0.000761556 -165 *4073:22 *5662:76 0.000119087 -166 *4087:63 *27286:B1 6.59118e-05 -167 *4098:42 *5662:33 0.000220437 -168 *4108:36 *27380:A2 0.00296105 -169 *4125:24 *5662:76 5.26224e-05 -170 *4125:42 *5662:76 5.77685e-05 -171 *4125:53 *5662:52 1.26641e-05 -172 *4151:32 *5662:76 0.000147801 -173 *5442:63 *5662:15 1.4966e-05 -174 *5442:74 *5662:15 2.28598e-05 -175 *5492:12 *5662:33 0.000480295 -176 *5594:38 *5662:97 0.0001463 -177 *5601:128 *5662:43 0.000306334 -178 *5602:52 *5662:33 1.81805e-05 -179 *5602:53 *5662:33 0 -180 *5603:17 *5662:52 0.000137561 -181 *5604:91 *5662:48 1.8763e-05 -182 *5609:236 *5662:116 0.00112637 -183 *5628:66 *5662:33 0.000245079 -184 *5628:121 *5662:97 0 -185 *5628:121 *5662:111 3.84321e-05 -186 *5630:217 *5662:97 0.000110914 -187 *5630:230 *5662:97 0.00010283 -188 *5632:90 *5662:111 2.01997e-05 -189 *5635:147 *5662:111 0.000215967 -190 *5639:165 *27433:A2 7.63069e-05 -191 *5642:80 *5662:43 0.00235231 -192 *5642:82 *5662:43 0.00143055 -193 *5642:95 *27470:A2 7.46626e-05 -194 *5642:95 *5662:76 0.000183705 -195 *5642:126 *5662:97 0.00190671 -196 *5642:126 *5662:111 0.000589532 -197 *5642:141 *5662:111 0.0023109 -198 *5643:32 *5662:8 9.3812e-05 -199 *5644:94 *5662:111 4.33002e-05 -200 *5645:198 *5662:76 5.88101e-05 -201 *5645:220 *27433:A2 0.000163833 -202 *5645:220 *5662:97 0.000133417 -203 *5651:321 *5662:97 0.00020996 -204 *5651:326 *5662:111 0.000110627 -205 *5652:128 *5662:52 0.000153078 -206 *5656:275 *27470:A2 7.46626e-05 -207 *5656:275 *5662:76 0.000139515 -208 *5660:198 *5662:116 0.000279393 -209 *5660:198 *5662:124 0.000558246 -210 *5660:217 *5662:124 0.00122934 -*RES -1 *30821:X *5662:8 19.6393 -2 *5662:8 *5662:15 48.0731 -3 *5662:15 *5662:33 49.9399 -4 *5662:33 *5662:35 4.5 -5 *5662:35 *5662:36 45.9643 -6 *5662:36 *27455:A2 13.8 -7 *5662:36 *5662:43 51.7321 -8 *5662:43 *5662:48 12.4107 -9 *5662:48 *5662:52 45.6607 -10 *5662:52 *5662:54 4.5 -11 *5662:54 *27470:A2 14.983 -12 *5662:54 *5662:76 30.3486 -13 *5662:76 *27380:A2 33.9441 -14 *5662:76 *5662:97 15.9952 -15 *5662:97 *5662:111 32.0973 -16 *5662:111 *5662:116 34.3571 -17 *5662:116 *5662:124 47.125 -18 *5662:124 *5662:144 45.3036 -19 *5662:144 *27286:B1 35.9616 -20 *5662:144 *27399:A2 9.3 -21 *5662:116 *27359:A2 23.3089 -22 *5662:97 *27433:A2 34.3357 -*END - -*D_NET *5663 0.146492 -*CONN -*I *27806:A2 I *D sky130_fd_sc_hd__a221o_1 -*I *27787:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27739:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27704:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27764:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27947:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27672:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *27838:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *30822:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *27806:A2 0.000938408 -2 *27787:A2 6.40118e-05 -3 *27739:A2 3.39819e-05 -4 *27704:A2 6.52266e-05 -5 *27764:A2 0.00039303 -6 *27947:A2 0.00133196 -7 *27672:A2 4.29478e-05 -8 *27838:A2 8.1604e-06 -9 *30822:X 0.000752076 -10 *5663:222 0.0023388 -11 *5663:192 0.00403595 -12 *5663:179 0.00351102 -13 *5663:155 0.00182185 -14 *5663:138 0.00470717 -15 *5663:126 0.00333424 -16 *5663:125 0.00276517 -17 *5663:98 0.0032378 -18 *5663:87 0.00511691 -19 *5663:81 0.00457727 -20 *5663:69 0.00130023 -21 *5663:58 0.00158006 -22 *5663:50 0.00248543 -23 *5663:48 0.00209739 -24 *5663:35 0.00262993 -25 *5663:27 0.00352673 -26 *5663:22 0.00244898 -27 *5663:19 0.00259903 -28 *5663:5 0.00305576 -29 *27806:A2 *25702:A0 0.000181752 -30 *27806:A2 *5678:158 4.58194e-05 -31 *27947:A2 *5848:63 0.000433148 -32 *5663:27 *5678:71 2.63937e-05 -33 *5663:27 *5679:35 1.28958e-05 -34 *5663:27 *5679:46 0.000806576 -35 *5663:35 *5675:34 0.00109677 -36 *5663:35 *5679:40 0.00022134 -37 *5663:48 *5910:123 0.00015339 -38 *5663:50 *5910:123 0.00418057 -39 *5663:69 *5781:42 3.63095e-05 -40 *5663:69 *6351:32 1.50225e-05 -41 *5663:98 *5832:8 9.71108e-05 -42 *5663:125 *26966:A0 0.00018032 -43 *5663:125 *28540:RESET_B 2.11419e-05 -44 *5663:125 *5708:147 0.000277067 -45 *5663:125 *5764:146 0.000102534 -46 *5663:138 *5702:38 0 -47 *5663:138 *5759:18 0.000276142 -48 *5663:138 *5879:98 0.000135028 -49 *5663:179 *5759:18 0.000196613 -50 *5663:192 *5910:42 0.000173804 -51 *5663:222 *26923:A0 0.00035291 -52 *5663:222 *28687:RESET_B 5.25491e-05 -53 *5663:222 *5926:68 5.35901e-05 -54 *25023:A1 *5663:22 0 -55 *25023:A2 *5663:22 0.000332261 -56 *25055:C *27787:A2 0.000129688 -57 *25127:A *27947:A2 3.63775e-05 -58 *25127:B *27947:A2 3.97677e-05 -59 *25163:B2 *27947:A2 0.000175892 -60 *25190:B1 *5663:138 0 -61 *25230:B2 *5663:126 0.000208701 -62 *25322:A1 *5663:69 0.000261957 -63 *25333:A1 *5663:58 0.00135159 -64 *25340:C1 *5663:87 3.55471e-05 -65 *25344:B1 *5663:98 3.63775e-05 -66 *25631:S *5663:222 0.000292167 -67 *26978:S *5663:155 0.000195356 -68 *27057:A1 *5663:155 0.000140901 -69 *27057:S *5663:155 0.000253737 -70 *27114:S *5663:222 0.00101449 -71 *27202:S *5663:35 0.000111243 -72 *27335:B1 *5663:126 0.000856553 -73 *27341:B2 *5663:69 0.000120914 -74 *27343:D *5663:126 0.000196602 -75 *27359:C1 *5663:126 0.000607528 -76 *27370:B2 *5663:179 0.000861995 -77 *27383:B1 *5663:125 0.000149031 -78 *27479:B2 *5663:48 0.000134752 -79 *27606:B *5663:5 6.34436e-05 -80 *27650:D *5663:19 0.000295137 -81 *27672:A1 *27672:A2 0.000135028 -82 *27672:B1 *27672:A2 0.000135028 -83 *27672:B2 *5663:69 9.16782e-05 -84 *27685:B2 *5663:69 0.000210077 -85 *27699:A1 *5663:179 0.000111045 -86 *27742:B1 *5663:192 1.24368e-05 -87 *27742:B1 *5663:222 0.000241061 -88 *27742:C1 *5663:222 0.000323919 -89 *27747:A1 *5663:155 1.02821e-05 -90 *27747:A2 *5663:155 0.000412845 -91 *27747:B1 *5663:155 9.09669e-05 -92 *27758:B2 *5663:155 0.000136676 -93 *27758:C1 *5663:155 0.00058714 -94 *27764:A1 *27764:A2 1.04232e-05 -95 *27764:B1 *27764:A2 0.00138949 -96 *27764:B1 *5663:155 5.74499e-06 -97 *27796:A1 *5663:22 7.29712e-05 -98 *27797:C1 *5663:22 9.18765e-06 -99 *27802:A2 *5663:19 0.000100257 -100 *27838:B1 *27838:A2 2.06112e-05 -101 *27838:B1 *5663:48 0.000417571 -102 *28628:CLK *5663:155 0.000140793 -103 *28629:CLK *5663:155 1.71482e-05 -104 *28634:D *5663:87 0.000192579 -105 *28687:D *5663:222 7.95355e-05 -106 *28741:CLK *5663:27 9.94873e-05 -107 *28924:A *5663:81 0.000512888 -108 *29809:A *27806:A2 0.000123295 -109 *30508:A *5663:126 0.000328313 -110 *30882:A *27806:A2 1.46576e-05 -111 *244:65 *27806:A2 0.000191403 -112 *1178:123 *5663:50 4.22431e-05 -113 *1242:104 *5663:81 0.00107487 -114 *1242:104 *5663:87 0.000434391 -115 *1261:41 *5663:69 4.52328e-05 -116 *1261:163 *5663:126 0.00021869 -117 *1261:168 *5663:138 0.000831623 -118 *1269:117 *5663:126 0.000241961 -119 *1269:203 *5663:87 6.53301e-05 -120 *1270:33 *5663:87 0.000216755 -121 *1288:264 *5663:48 0.000180079 -122 *1293:149 *5663:155 5.10216e-05 -123 *1304:28 *5663:27 0.00158347 -124 *1304:39 *5663:27 0.000200642 -125 *1304:40 *5663:22 0.00297006 -126 *1307:23 *27806:A2 2.47186e-05 -127 *1326:65 *5663:22 0.000103253 -128 *1346:8 *5663:19 0.00076318 -129 *1361:114 *5663:179 1.81828e-05 -130 *1395:146 *27947:A2 2.11419e-05 -131 *1448:5 *27947:A2 5.33005e-05 -132 *1448:15 *27947:A2 0.000425531 -133 *1483:68 *27947:A2 0.000240164 -134 *1574:6 *5663:138 0.000157256 -135 *1680:28 *5663:179 0.00020286 -136 *1781:16 *5663:98 9.88255e-05 -137 *2754:20 *5663:69 8.92445e-05 -138 *2754:20 *5663:81 0.000132828 -139 *2759:43 *5663:192 1.19402e-05 -140 *2760:91 *5663:50 0.000957791 -141 *2761:67 *5663:155 0.000421074 -142 *2761:80 *5663:155 0.000265683 -143 *2763:169 *5663:222 4.67474e-05 -144 *2763:185 *27704:A2 0.000180764 -145 *2763:185 *5663:192 0.000588774 -146 *2772:46 *5663:192 0.000156477 -147 *2778:121 *5663:98 0.000196262 -148 *2784:134 *5663:192 0.000388045 -149 *2784:148 *5663:192 8.57023e-05 -150 *2784:162 *5663:192 0.000191115 -151 *2791:45 *5663:222 0.000132176 -152 *2827:44 *5663:5 0.000117543 -153 *2844:204 *5663:50 0.00293275 -154 *2844:220 *5663:50 0.00155225 -155 *2845:362 *5663:48 0.000219289 -156 *2845:362 *5663:50 0.000266112 -157 *2845:392 *5663:27 0.000112466 -158 *2855:298 *5663:22 7.48404e-06 -159 *2855:298 *5663:27 0.000160328 -160 *2855:310 *5663:35 0.00134603 -161 *2855:311 *5663:35 0.000268499 -162 *2859:199 *5663:58 0.00135088 -163 *2859:214 *5663:69 8.69554e-05 -164 *2877:349 *5663:126 0.00111844 -165 *2882:189 *5663:58 7.9908e-05 -166 *2882:276 *5663:126 0.00130308 -167 *2882:277 *5663:126 0.000398849 -168 *2882:277 *5663:138 1.17921e-05 -169 *2888:213 *5663:125 3.25078e-05 -170 *2888:213 *5663:126 0.000159886 -171 *2888:232 *5663:126 3.29495e-05 -172 *2888:232 *5663:138 0.000511142 -173 *2888:252 *5663:179 2.16715e-05 -174 *2894:303 *5663:69 9.09604e-05 -175 *2922:27 *5663:125 0.000942882 -176 *2958:34 *5663:125 0.000367373 -177 *3153:300 *5663:222 8.51829e-05 -178 *3158:11 *5663:5 0.000135028 -179 *3164:249 *5663:27 0.000271935 -180 *3164:258 *5663:35 0.000143652 -181 *3164:346 *5663:155 7.75544e-05 -182 *3165:99 *5663:179 0 -183 *3177:61 *5663:35 0.000137276 -184 *3177:117 *5663:138 0.000136682 -185 *3179:66 *5663:22 0.000154703 -186 *3179:67 *5663:22 5.69617e-05 -187 *3179:67 *5663:27 9.00987e-05 -188 *3179:67 *5663:48 8.44271e-06 -189 *3179:167 *5663:138 0.000680776 -190 *3179:167 *5663:179 0.000427202 -191 *3194:19 *5663:5 0.00140523 -192 *3206:219 *5663:35 6.07227e-05 -193 *3230:6 *5663:155 1.28259e-05 -194 *3291:17 *5663:222 9.25014e-06 -195 *3352:10 *27806:A2 7.13226e-06 -196 *3582:31 *27704:A2 3.18676e-05 -197 *3632:8 *5663:27 7.52134e-05 -198 *3678:18 *5663:179 0.000334028 -199 *3691:20 *5663:179 0.000224101 -200 *3691:34 *5663:126 3.52872e-05 -201 *3691:34 *5663:138 0.000294807 -202 *3696:12 *5663:48 1.98839e-05 -203 *3698:81 *5663:98 2.22451e-05 -204 *3700:72 *27947:A2 0.000693463 -205 *3703:14 *5663:69 8.03367e-05 -206 *3730:28 *5663:126 0.000139405 -207 *3748:60 *5663:35 0.000117341 -208 *3758:43 *5663:98 0.000197977 -209 *3770:28 *5663:192 0 -210 *3776:44 *27947:A2 0.00075375 -211 *3796:70 *5663:126 0.000620785 -212 *3796:79 *5663:126 0.00112632 -213 *3821:17 *5663:155 2.31791e-05 -214 *3821:19 *5663:155 0.000129175 -215 *3826:49 *5663:19 0.000161044 -216 *3829:7 *5663:87 4.00679e-05 -217 *3848:40 *5663:69 0.00127483 -218 *3868:74 *27947:A2 1.94945e-05 -219 *3877:26 *5663:19 0.000161619 -220 *3887:91 *5663:222 0.000141546 -221 *3891:89 *5663:35 0.00014854 -222 *3899:38 *5663:126 0.000144827 -223 *3903:26 *5663:19 0.000154703 -224 *3951:28 *5663:126 4.56535e-05 -225 *3963:60 *5663:138 0.000370995 -226 *3963:60 *5663:179 0.000186594 -227 *3976:31 *5663:69 8.92445e-05 -228 *3976:31 *5663:81 2.95642e-05 -229 *3976:55 *5663:81 0.000114316 -230 *3978:21 *27739:A2 1.00733e-05 -231 *3978:21 *5663:192 1.56e-05 -232 *3978:21 *5663:222 1.92789e-05 -233 *3978:33 *27739:A2 3.45371e-05 -234 *3978:33 *5663:192 0.00162933 -235 *3979:19 *27764:A2 0.000512891 -236 *3982:46 *5663:22 0 -237 *3982:56 *5663:22 0 -238 *3982:74 *27838:A2 2.06112e-05 -239 *3982:74 *5663:48 1.94879e-05 -240 *3993:14 *5663:19 0.000118239 -241 *4081:17 *27704:A2 1.58163e-05 -242 *4081:17 *5663:192 3.14163e-05 -243 *4081:18 *5663:179 0.00128038 -244 *4081:22 *5663:179 0.00045817 -245 *4081:34 *5663:138 0.0001326 -246 *4083:31 *27764:A2 0.00080512 -247 *4085:18 *27787:A2 0.000129688 -248 *4094:20 *5663:155 0.000154304 -249 *4095:33 *5663:222 8.55871e-05 -250 *4133:51 *5663:87 5.2064e-05 -251 *4133:77 *5663:98 0.000461937 -252 *4133:133 *5663:125 0.000393849 -253 *5423:8 *5663:27 1.90936e-05 -254 *5442:74 *27806:A2 0.00126824 -255 *5442:74 *5663:5 0.002591 -256 *5582:95 *5663:179 0.000305455 -257 *5584:115 *5663:87 0.000135028 -258 *5587:130 *5663:155 1.7754e-05 -259 *5593:15 *5663:138 0.000133781 -260 *5603:43 *5663:125 9.03127e-05 -261 *5604:91 *5663:35 0 -262 *5607:27 *27947:A2 4.65519e-05 -263 *5610:5 *5663:98 9.41642e-05 -264 *5610:21 *5663:98 0.000566415 -265 *5634:150 *5663:87 0.000126459 -266 *5634:150 *5663:98 0.00031209 -267 *5639:200 *5663:222 0.000753894 -268 *5639:229 *5663:179 2.06112e-05 -269 *5643:122 *5663:87 0.00157624 -270 *5643:148 *5663:81 0.000498838 -271 *5643:148 *5663:87 0.00116401 -272 *5643:316 *5663:48 0.000180073 -273 *5645:72 *5663:27 0.00177937 -274 *5645:72 *5663:35 0.000280152 -275 *5646:163 *27947:A2 0.000372956 -276 *5646:175 *27947:A2 5.80706e-06 -277 *5651:248 *5663:69 3.52028e-05 -278 *5654:65 *5663:50 0.000200431 -279 *5656:240 *5663:35 0.000248522 -280 *5660:23 *5663:19 0.000367786 -281 *5660:35 *5663:22 0.00178599 -282 *5660:52 *5663:27 0.00157608 -*RES -1 *30822:X *5663:5 34.4786 -2 *5663:5 *5663:19 28.8167 -3 *5663:19 *5663:22 40.7321 -4 *5663:22 *5663:27 47.4044 -5 *5663:27 *5663:35 17.2189 -6 *5663:35 *27838:A2 14.0768 -7 *5663:35 *5663:48 13.7232 -8 *5663:48 *5663:50 55.5714 -9 *5663:50 *5663:58 33.8214 -10 *5663:58 *5663:69 25.3915 -11 *5663:69 *27672:A2 15.1571 -12 *5663:69 *5663:81 17.25 -13 *5663:81 *5663:87 48.4107 -14 *5663:87 *5663:98 43.375 -15 *5663:98 *27947:A2 46.109 -16 *5663:81 *5663:125 36.3214 -17 *5663:125 *5663:126 55.375 -18 *5663:126 *5663:138 31.6835 -19 *5663:138 *5663:155 47.933 -20 *5663:155 *27764:A2 23.4071 -21 *5663:138 *5663:179 43.7869 -22 *5663:179 *27704:A2 11.0679 -23 *5663:179 *5663:192 41.8929 -24 *5663:192 *27739:A2 10.0321 -25 *5663:192 *5663:222 48.4442 -26 *5663:222 *27787:A2 15.5946 -27 *5663:5 *27806:A2 37.5545 -*END - -*D_NET *5664 0.0788044 -*CONN -*I *26600:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *26415:B1 I *D sky130_fd_sc_hd__o22ai_1 -*I *26429:A3 I *D sky130_fd_sc_hd__o32a_1 -*I *26412:B1 I *D sky130_fd_sc_hd__o22ai_1 -*I *26413:B1 I *D sky130_fd_sc_hd__o22ai_1 -*I *26423:B1 I *D sky130_fd_sc_hd__o22a_1 -*I *26440:B1 I *D sky130_fd_sc_hd__o22a_1 -*I *26214:A I *D sky130_fd_sc_hd__nor2_1 -*I *26260:B2 I *D sky130_fd_sc_hd__o22a_1 -*I *26265:B2 I *D sky130_fd_sc_hd__o221a_1 -*I *26264:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *26261:B1 I *D sky130_fd_sc_hd__o221a_1 -*I *26778:A1 I *D sky130_fd_sc_hd__a21oi_1 -*I *26700:B I *D sky130_fd_sc_hd__and2_2 -*I *26401:A2 I *D sky130_fd_sc_hd__a21oi_2 -*I *26411:A1 I *D sky130_fd_sc_hd__o21bai_2 -*I *26535:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *26269:A I *D sky130_fd_sc_hd__or2_1 -*I *26637:B I *D sky130_fd_sc_hd__or2_1 -*I *26420:A1_N I *D sky130_fd_sc_hd__a2bb2o_1 -*I *28961:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *26600:A1 0.000311095 -2 *26415:B1 0.00063855 -3 *26429:A3 0.000124895 -4 *26412:B1 3.61296e-05 -5 *26413:B1 0.00068728 -6 *26423:B1 8.07974e-05 -7 *26440:B1 0.000223768 -8 *26214:A 0 -9 *26260:B2 8.95887e-05 -10 *26265:B2 0.000312986 -11 *26264:B1 0 -12 *26261:B1 2.9518e-05 -13 *26778:A1 5.48627e-05 -14 *26700:B 0.000409911 -15 *26401:A2 0.00101268 -16 *26411:A1 0.000501704 -17 *26535:A1 0.000574378 -18 *26269:A 0.000449671 -19 *26637:B 0.000867855 -20 *26420:A1_N 6.47797e-05 -21 *28961:X 0.00011771 -22 *5664:313 0.00119902 -23 *5664:266 0.000601714 -24 *5664:260 0.00117084 -25 *5664:255 0.000654796 -26 *5664:251 0.00148683 -27 *5664:243 0.000912728 -28 *5664:209 0.000478102 -29 *5664:201 0.000594545 -30 *5664:182 0.00132508 -31 *5664:157 0.00115331 -32 *5664:139 0.00204639 -33 *5664:131 0.00310597 -34 *5664:117 0.00202529 -35 *5664:86 0.00418861 -36 *5664:53 0.00131775 -37 *5664:40 0.00227888 -38 *5664:33 0.00383074 -39 *5664:20 0.00166388 -40 *5664:10 0.00207335 -41 *26265:B2 *5722:189 9.35794e-06 -42 *26265:B2 *5722:202 8.25843e-06 -43 *26265:B2 *6169:92 0.000397508 -44 *26778:A1 *26778:A2 9.66977e-05 -45 *5664:86 *5722:112 0.000216755 -46 *5664:131 *26204:A 0.000100823 -47 *5664:131 *5722:131 0.00010096 -48 *5664:157 *26778:A2 8.33813e-05 -49 *5664:182 *26253:A 0.000289133 -50 *5664:182 *6169:90 2.00659e-05 -51 *5664:182 *6169:92 0.000834194 -52 *5664:201 *26207:A 5.33005e-05 -53 *5664:201 *26253:A 2.21254e-05 -54 *5664:201 *5722:202 0.000146071 -55 *5664:209 *5722:202 0.000199695 -56 *5664:313 *5722:167 4.00025e-05 -57 *5664:313 *6357:10 7.83659e-05 -58 *26206:B *5664:131 7.97875e-05 -59 *26206:B *5664:139 0.000197977 -60 *26207:B *26261:B1 5.63217e-05 -61 *26207:B *5664:201 3.02944e-05 -62 *26208:A2 *5664:10 0.000110341 -63 *26210:B *26265:B2 0.000127439 -64 *26216:A *26415:B1 0.000154423 -65 *26260:B1 *26260:B2 3.8624e-05 -66 *26260:B1 *5664:209 5.7661e-06 -67 *26261:B2 *5664:201 5.38444e-06 -68 *26261:C1 *5664:201 0.000182598 -69 *26264:A1 *26265:B2 2.13218e-05 -70 *26264:A2 *26265:B2 0.000139202 -71 *26269:B *26269:A 5.60962e-05 -72 *26352:B *5664:131 0.000140011 -73 *26413:A2 *26413:B1 5.52238e-05 -74 *26413:B2 *26413:B1 5.33005e-05 -75 *26418:A *5664:86 0.000302665 -76 *26418:C *5664:86 4.10926e-05 -77 *26420:A2_N *26420:A1_N 1.21436e-05 -78 *26421:B *26420:A1_N 9.60875e-05 -79 *26423:B2 *26423:B1 3.93247e-05 -80 *26429:B1 *26429:A3 0.000139907 -81 *26429:B2 *26429:A3 0.000108482 -82 *26437:B *5664:313 0 -83 *26437:C *26415:B1 0.0001827 -84 *26440:A2 *26440:B1 0.000610077 -85 *26440:B2 *26440:B1 0.000735624 -86 *26441:D_N *26413:B1 0.000132516 -87 *26510:A2 *5664:86 0 -88 *26511:B *5664:86 1.07719e-05 -89 *26514:C *5664:86 0.000469434 -90 *26539:D_N *5664:182 6.97269e-05 -91 *26540:B *26778:A1 0.00013921 -92 *26540:B *5664:157 9.41642e-05 -93 *26546:A *5664:131 5.52238e-05 -94 *26546:A *5664:139 0 -95 *26594:A1 *5664:86 0 -96 *26595:A *26420:A1_N 0 -97 *26596:B1 *5664:40 0.000961168 -98 *26601:A2 *5664:10 0.000175892 -99 *26608:B *26415:B1 0.000331708 -100 *26617:B *5664:86 0.000153008 -101 *26652:A2 *26637:B 9.76435e-06 -102 *26652:A2 *5664:40 0.000594655 -103 *26664:A2 *26401:A2 0.0004437 -104 *26665:C1 *5664:86 0.00124087 -105 *26668:C *26401:A2 0.000221628 -106 *26698:B1 *26269:A 0.000326934 -107 *26699:A2 *26269:A 5.33005e-05 -108 *26699:A2 *26535:A1 2.31791e-05 -109 *26699:A2 *5664:53 0.000977368 -110 *26713:B1 *5664:255 0.000218685 -111 *26719:A *5664:20 0.000106451 -112 *26719:B *5664:20 0.000107784 -113 *26767:A2_N *26420:A1_N 3.69047e-06 -114 *26767:B1 *5664:20 4.65519e-05 -115 *26770:C *26413:B1 0.00106843 -116 *26777:A *5664:139 0.000135028 -117 *26777:B *5664:139 1.0484e-05 -118 *26779:B *26700:B 2.84109e-05 -119 *26779:B *5664:139 0.000396864 -120 *26779:D_N *26700:B 0.000141734 -121 *26794:A *5664:266 0.000230219 -122 *26794:B *5664:260 0.00012401 -123 *26801:A *5664:131 5.33005e-05 -124 *26801:C_N *5664:131 1.02504e-05 -125 *26802:D *5664:243 2.89114e-05 -126 *373:44 *5664:40 2.79421e-05 -127 *373:44 *5664:86 0.000237076 -128 *1187:59 *5664:40 7.32272e-05 -129 *1393:124 *5664:209 0.000175512 -130 *1771:29 *5664:10 0.000108516 -131 *1771:29 *5664:20 0.000305586 -132 *1935:25 *26535:A1 0.000109494 -133 *1935:72 *26600:A1 1.98839e-05 -134 *1944:43 *5664:53 0.000124637 -135 *1950:101 *26401:A2 1.69115e-05 -136 *1952:51 *5664:86 0 -137 *1956:65 *26535:A1 0.000137775 -138 *1990:19 *5664:86 0.000137983 -139 *2005:92 *5664:260 0.000476081 -140 *2005:92 *5664:266 6.55187e-05 -141 *2008:12 *26411:A1 0.000109419 -142 *2009:33 *26411:A1 5.88389e-05 -143 *2019:94 *5664:86 6.53397e-05 -144 *2023:15 *5664:86 4.29471e-05 -145 *2024:65 *5664:86 0.000358261 -146 *2032:170 *5664:20 0.000150668 -147 *2032:170 *5664:33 0.000142797 -148 *2043:33 *26637:B 8.56752e-05 -149 *2043:58 *26637:B 0.000207366 -150 *2046:115 *5664:182 0.000125466 -151 *2047:43 *5664:157 0.000314804 -152 *2047:66 *26637:B 5.33005e-05 -153 *2060:42 *5664:131 0.000428498 -154 *2061:18 *26411:A1 0.00083094 -155 *2061:102 *26401:A2 0.00138373 -156 *2061:102 *26411:A1 0.000136951 -157 *2092:30 *26401:A2 0 -158 *2099:49 *5664:20 6.93507e-05 -159 *2099:49 *5664:33 0.000153047 -160 *2099:76 *5664:313 0.000308423 -161 *2104:118 *26415:B1 6.22321e-05 -162 *2104:143 *26261:B1 5.76905e-06 -163 *2104:143 *26423:B1 1.21258e-05 -164 *2126:27 *5664:86 0.000247112 -165 *2127:45 *26600:A1 0.000339346 -166 *2127:45 *5664:131 7.6644e-05 -167 *2128:32 *5664:131 0.00014617 -168 *2131:45 *5664:20 0.000113781 -169 *2133:135 *5664:20 0 -170 *2133:198 *5664:131 0.000295988 -171 *2133:198 *5664:139 0.000199691 -172 *2136:89 *5664:131 0.000416386 -173 *2141:134 *5664:20 0 -174 *2141:134 *5664:117 0 -175 *2141:144 *5664:131 0.000195547 -176 *2142:153 *5664:131 1.31516e-05 -177 *2149:110 *5664:10 8.55871e-05 -178 *2150:10 *5664:131 1.9643e-05 -179 *2151:22 *26535:A1 0.000242771 -180 *2151:22 *5664:53 0.00102988 -181 *2151:31 *5664:40 9.31595e-05 -182 *2155:117 *5664:243 4.58194e-05 -183 *2156:10 *5664:139 0.000137673 -184 *2157:141 *26415:B1 4.88546e-05 -185 *2157:141 *26429:A3 1.21258e-05 -186 *2165:14 *5664:131 0.000448083 -187 *2165:31 *5664:20 0.000133138 -188 *2179:27 *5664:182 0 -189 *2179:27 *5664:201 0 -190 *2185:24 *26440:B1 0.000146474 -191 *2185:24 *5664:266 0.000222892 -192 *2187:141 *5664:131 0.000623375 -193 *2190:29 *5664:260 2.82997e-06 -194 *2191:23 *5664:139 0.00021101 -195 *2201:23 *26440:B1 0.000148189 -196 *2201:23 *5664:255 0.000527728 -197 *2201:23 *5664:260 7.33494e-06 -198 *2201:23 *5664:266 0.000814239 -199 *2201:23 *5664:313 4.90803e-05 -200 *2204:69 *26778:A1 2.2351e-05 -201 *2204:85 *26260:B2 5.49544e-05 -202 *2204:85 *5664:209 0.000226581 -203 *2221:8 *5664:20 0.000116627 -204 *2223:8 *26401:A2 0.000171375 -205 *2223:13 *26401:A2 0.000198698 -206 *2234:48 *26535:A1 5.50154e-05 -207 *2250:51 *5664:131 0.000388045 -208 *2318:20 *5664:313 0 -209 *2345:8 *5664:86 4.11218e-05 -210 *2345:8 *5664:255 3.17203e-05 -211 *2348:8 *5664:20 0.000136133 -212 *2359:13 *5664:20 0.000206726 -213 *2436:17 *26411:A1 6.05161e-06 -214 *2476:7 *26535:A1 6.05161e-06 -215 *2483:14 *26401:A2 0.000225468 -216 *2485:24 *5664:20 0.000103077 -217 *2486:21 *5664:131 1.21258e-05 -218 *2486:21 *5664:139 7.34187e-06 -219 *2486:21 *5664:243 0.000210787 -220 *2486:21 *5664:251 4.08637e-05 -221 *2550:8 *5664:266 0.000267099 -222 *2557:25 *5664:86 0.000437473 -223 *2639:8 *26700:B 3.29284e-05 -224 *2653:6 *5664:260 0.000333999 -225 *2655:8 *5664:255 0.000805047 -226 *2655:8 *5664:313 5.66157e-05 -227 *2672:19 *26415:B1 0.000395391 -228 *2692:11 *26401:A2 0 -229 *2716:11 *5664:139 2.56723e-05 -230 *2718:19 *5664:251 0.000588543 -231 *2718:19 *5664:313 6.60863e-05 -232 *2738:7 *26415:B1 0.00059764 -233 *2738:7 *26429:A3 0.000386115 -234 *3510:60 *26637:B 0.000304534 -235 *3510:91 *5664:182 0.000265205 -236 *3510:108 *5664:182 0.000294323 -237 *4508:144 *5664:20 0.00052954 -238 *5007:48 *26411:A1 0.00088742 -239 *5545:28 *26413:B1 0.00026367 -240 *5564:35 *26265:B2 1.30241e-05 -241 *5564:40 *26265:B2 0.000253422 -242 *5564:40 *5664:157 0.000314804 -243 *5564:40 *5664:182 0.000746015 -244 *5566:52 *5664:209 0.000153047 -*RES -1 *28961:X *5664:10 20.4689 -2 *5664:10 *5664:20 14.0816 -3 *5664:20 *26420:A1_N 15.175 -4 *5664:20 *5664:33 6.44643 -5 *5664:33 *5664:40 20.6071 -6 *5664:40 *26637:B 20.4429 -7 *5664:40 *5664:53 17.6786 -8 *5664:53 *26269:A 19.6929 -9 *5664:53 *26535:A1 24.0857 -10 *5664:33 *5664:86 41.9774 -11 *5664:86 *26411:A1 33.7107 -12 *5664:86 *26401:A2 42.4964 -13 *5664:10 *5664:117 3.96773 -14 *5664:117 *5664:131 49.5893 -15 *5664:131 *5664:139 34.9286 -16 *5664:139 *26700:B 16.1393 -17 *5664:139 *5664:157 14.4464 -18 *5664:157 *26778:A1 11.0857 -19 *5664:157 *5664:182 49.0714 -20 *5664:182 *26261:B1 10.1036 -21 *5664:182 *5664:201 15.625 -22 *5664:201 *5664:209 14.9464 -23 *5664:209 *26264:B1 9.3 -24 *5664:209 *26265:B2 26.6036 -25 *5664:201 *26260:B2 11.0857 -26 *5664:131 *5664:243 5.53571 -27 *5664:243 *26214:A 9.3 -28 *5664:243 *5664:251 16.125 -29 *5664:251 *5664:255 17.125 -30 *5664:255 *5664:260 11.125 -31 *5664:260 *5664:266 11.4107 -32 *5664:266 *26440:B1 22.8536 -33 *5664:266 *26423:B1 15.175 -34 *5664:260 *26413:B1 37.1929 -35 *5664:255 *26412:B1 9.83571 -36 *5664:251 *5664:313 21.3036 -37 *5664:313 *26429:A3 13.1214 -38 *5664:313 *26415:B1 32.8 -39 *5664:117 *26600:A1 17.6214 -*END - -*D_NET *5665 0.0976898 -*CONN -*I *25942:B I *D sky130_fd_sc_hd__and2_2 -*I *26853:B I *D sky130_fd_sc_hd__nand2_1 -*I *26824:B I *D sky130_fd_sc_hd__nand2_1 -*I *25607:B I *D sky130_fd_sc_hd__and2_2 -*I *25753:B I *D sky130_fd_sc_hd__and2_1 -*I *25930:B I *D sky130_fd_sc_hd__nand2_4 -*I *25670:B I *D sky130_fd_sc_hd__nand2_2 -*I *25771:B I *D sky130_fd_sc_hd__nand2_4 -*I *25828:B I *D sky130_fd_sc_hd__and2_2 -*I *27153:B I *D sky130_fd_sc_hd__and2_2 -*I *28016:B I *D sky130_fd_sc_hd__nand2_2 -*I *25616:B I *D sky130_fd_sc_hd__and2_2 -*I *26817:B I *D sky130_fd_sc_hd__nand2_2 -*I *26808:B I *D sky130_fd_sc_hd__nand2_1 -*I *26811:B I *D sky130_fd_sc_hd__nand2_2 -*I *26834:B I *D sky130_fd_sc_hd__nand2_1 -*I *25759:B I *D sky130_fd_sc_hd__and2_1 -*I *25658:B I *D sky130_fd_sc_hd__and2_2 -*I *25906:B I *D sky130_fd_sc_hd__nand2_2 -*I *25918:B I *D sky130_fd_sc_hd__nand2_2 -*I *28962:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *25942:B 0.000189871 -2 *26853:B 0 -3 *26824:B 0 -4 *25607:B 0 -5 *25753:B 0.000319657 -6 *25930:B 6.50647e-05 -7 *25670:B 0.000871415 -8 *25771:B 0 -9 *25828:B 0.000123334 -10 *27153:B 0 -11 *28016:B 0 -12 *25616:B 0.000477329 -13 *26817:B 5.37345e-05 -14 *26808:B 5.70103e-05 -15 *26811:B 8.16076e-05 -16 *26834:B 0.000237595 -17 *25759:B 0.000558862 -18 *25658:B 0.000547819 -19 *25906:B 8.3133e-05 -20 *25918:B 0.00124835 -21 *28962:X 7.87976e-05 -22 *5665:285 0.000919223 -23 *5665:268 0.00279309 -24 *5665:219 0.00138182 -25 *5665:204 0.00192005 -26 *5665:164 0.000695028 -27 *5665:138 0.00109564 -28 *5665:131 0.00129727 -29 *5665:127 0.00259361 -30 *5665:108 0.00347728 -31 *5665:101 0.00131486 -32 *5665:97 0.000461498 -33 *5665:96 0.00168476 -34 *5665:76 0.00260776 -35 *5665:68 0.00186159 -36 *5665:66 0.00135882 -37 *5665:48 0.00125369 -38 *5665:31 0.00309921 -39 *5665:24 0.00475164 -40 *5665:14 0.00280558 -41 *5665:13 0.00201146 -42 *5665:6 0.000668637 -43 *25616:B *28420:RESET_B 0.000108077 -44 *25616:B *5730:84 0.000208747 -45 *25658:B *28835:RESET_B 0.000171376 -46 *25658:B *5731:20 8.78259e-05 -47 *25670:B *25673:A0 5.33005e-05 -48 *25753:B *29549:A 0 -49 *25906:B *5735:64 0.000105471 -50 *25918:B *6324:17 0.00101706 -51 *25918:B *6385:70 0.00102513 -52 *26808:B *26823:A0 9.67754e-05 -53 *26811:B *5979:21 3.43988e-06 -54 *26817:B *5778:245 6.09762e-05 -55 *26834:B *29533:A 4.15592e-05 -56 *26834:B *6313:17 3.03209e-05 -57 *5665:24 *5705:162 0.000313311 -58 *5665:24 *5711:67 0.000328545 -59 *5665:31 *25951:A1 0.000929133 -60 *5665:31 *5699:156 0.00179328 -61 *5665:31 *5737:77 0.000154953 -62 *5665:48 *6324:17 8.7338e-05 -63 *5665:66 *27505:A1 0.000234595 -64 *5665:66 *29549:A 0 -65 *5665:66 *5691:252 5.22686e-05 -66 *5665:66 *5738:172 2.11419e-05 -67 *5665:66 *6324:17 6.52967e-05 -68 *5665:76 *25109:A 0.000210729 -69 *5665:76 *5738:172 5.65345e-05 -70 *5665:96 *5731:57 0.000219711 -71 *5665:97 *28019:A0 4.33438e-05 -72 *5665:127 *28225:RESET_B 2.69437e-06 -73 *5665:127 *5685:205 0 -74 *5665:127 *5691:293 0 -75 *5665:127 *5691:298 0 -76 *5665:127 *5730:26 5.03922e-05 -77 *5665:127 *5730:32 2.96109e-05 -78 *5665:127 *5730:43 0.000110788 -79 *5665:127 *5730:49 4.92935e-05 -80 *5665:127 *5730:60 0.000112982 -81 *5665:127 *5738:8 4.39904e-05 -82 *5665:127 *5778:11 8.34352e-05 -83 *5665:131 *5730:11 0.000442852 -84 *5665:131 *5730:84 0.000136623 -85 *5665:138 *26823:A0 0.000304047 -86 *5665:138 *5979:21 0.000606783 -87 *5665:164 *5730:84 0.000394231 -88 *5665:204 *28019:A0 2.42445e-05 -89 *5665:204 *5778:31 0.000263862 -90 *5665:204 *5778:37 0 -91 *5665:268 *25951:A1 6.56019e-05 -92 *5665:285 *5675:235 0.00026573 -93 pll_trim[3] *25918:B 2.63769e-05 -94 *24947:B *5665:285 0.000513585 -95 *24968:A *5665:285 0 -96 *25080:B *5665:66 6.47405e-05 -97 *25097:A1 *5665:13 0.000316939 -98 *25105:A1 *5665:285 0 -99 *25105:B1 *5665:268 0.000257073 -100 *25121:A1 *25930:B 6.57032e-05 -101 *25150:B *5665:268 0.000132509 -102 *25268:A2 *5665:76 0.00011802 -103 *25282:C *5665:14 0.000155264 -104 *25301:A1 *5665:219 0.00040193 -105 *25359:B1 *5665:127 4.21517e-05 -106 *25398:A1 *5665:66 7.54233e-05 -107 *25408:B1 *5665:6 8.43579e-05 -108 *25408:B1 *5665:13 0.000735359 -109 *25614:S *5665:285 3.2923e-05 -110 *25615:A0 *5665:285 0.000263142 -111 *25673:A1 *25670:B 1.98839e-05 -112 *25753:A *25753:B 4.96113e-05 -113 *25759:A *25759:B 0.000143503 -114 *25760:S *5665:127 3.53313e-05 -115 *25763:S *5665:127 6.87975e-06 -116 *25764:A0 *25759:B 0.000313541 -117 *25830:A0 *5665:204 0.000136958 -118 *25906:A *25906:B 0.000216755 -119 *25906:A *5665:66 0.000374119 -120 *25931:S *25753:B 1.03483e-05 -121 *25931:S *5665:66 7.73922e-05 -122 *25931:S *5665:76 1.90936e-05 -123 *25942:A *25942:B 4.96113e-05 -124 *25947:A0 *25942:B 0.000137561 -125 *26817:A *25616:B 0.000105469 -126 *26853:A *5665:14 0.000354871 -127 *26853:A *5665:24 1.02504e-05 -128 *27508:A1 *5665:204 0.000146474 -129 *27508:A1 *5665:219 0.00018459 -130 *27511:A1 *25753:B 0.000175512 -131 *27866:B *5665:14 9.97552e-05 -132 *27869:A2 *25918:B 7.83587e-05 -133 *28113:D *5665:285 0.000319717 -134 *28162:D *25670:B 0.000170178 -135 *28225:D *25759:B 0.000177821 -136 *28236:D *25828:B 0.000142856 -137 *28369:CLK *25658:B 2.21517e-05 -138 *28417:CLK *26808:B 4.17702e-05 -139 *28418:D *26808:B 1.8791e-05 -140 *28418:D *5665:131 0.000185305 -141 *28425:D *25616:B 5.33005e-05 -142 *28448:D *5665:24 3.2554e-05 -143 *28716:D *5665:204 0.000137983 -144 *28833:D *5665:96 0.000431442 -145 *28834:CLK *5665:108 0.000270139 -146 *28834:D *5665:101 0.000117913 -147 *28834:D *5665:108 6.01472e-05 -148 *28835:CLK *25658:B 1.05524e-05 -149 *29175:A *25616:B 0.000422116 -150 *29175:A *5665:131 0.00011195 -151 *29216:A *5665:204 0.000295687 -152 *29217:A *25828:B 5.33005e-05 -153 *29241:A *5665:127 6.24451e-05 -154 *30007:A *5665:76 0.00024202 -155 *30573:A *5665:96 0.000178847 -156 *30833:A *5665:24 0.000615131 -157 *30924:A *5665:131 8.98988e-05 -158 *505:17 *5665:127 0 -159 *753:13 *26834:B 6.90348e-06 -160 *760:20 *26811:B 3.93019e-05 -161 *760:20 *26834:B 9.39059e-05 -162 *1239:14 *5665:6 2.73539e-05 -163 *1239:14 *5665:14 0 -164 *1240:47 *5665:285 0.00012401 -165 *1248:14 *5665:285 0.000125724 -166 *1252:24 *5665:13 3.69021e-05 -167 *1252:24 *5665:14 0.000892505 -168 *1252:26 *5665:6 5.09464e-05 -169 *1252:26 *5665:13 1.68854e-05 -170 *1253:112 *5665:285 0.000306311 -171 *1257:99 *5665:24 0.000511553 -172 *1263:122 *5665:31 0.000230035 -173 *1263:122 *5665:48 2.28222e-05 -174 *1264:41 *25616:B 5.33005e-05 -175 *1264:51 *5665:268 1.66787e-05 -176 *1294:19 *5665:285 0.000178847 -177 *1323:17 *25753:B 4.96113e-05 -178 *1327:116 *5665:31 0.000314636 -179 *1327:141 *5665:31 0.000487924 -180 *1327:141 *5665:268 0.000700515 -181 *1328:46 *5665:24 0.000230629 -182 *1363:62 *5665:76 0.00232386 -183 *1395:35 *5665:14 0.000501419 -184 *1395:61 *25930:B 0.000171495 -185 *1401:37 *5665:66 1.763e-05 -186 *1407:89 *5665:14 0.000162358 -187 *1421:56 *25670:B 2.34423e-05 -188 *1421:71 *25670:B 2.72449e-05 -189 *1427:24 *25670:B 0.00012401 -190 *1427:142 *25670:B 0.000755626 -191 *1441:14 *5665:268 0 -192 *1452:13 *5665:14 0.000542777 -193 *1452:57 *25658:B 2.46523e-05 -194 *1452:103 *5665:14 3.46866e-05 -195 *1453:17 *5665:268 0.000247821 -196 *1457:51 *5665:108 0.000111339 -197 *1457:80 *5665:204 0 -198 *1476:111 *25828:B 0.000415262 -199 *1504:16 *5665:268 0.000567093 -200 *1587:16 *25753:B 2.30116e-06 -201 *1600:41 *5665:24 2.0203e-05 -202 *1719:12 *5665:24 0.00029205 -203 *1722:21 *5665:14 0.00010856 -204 *1722:21 *5665:24 0 -205 *1723:27 *5665:6 2.26973e-05 -206 *1824:8 *5665:285 0.000424617 -207 *1824:10 *5665:285 0.000182743 -208 *1833:5 *25670:B 0.000306324 -209 *1865:8 *5665:66 0 -210 *1865:13 *5665:66 0 -211 *1866:8 *5665:127 0 -212 *1866:12 *5665:127 0 -213 *1895:13 *25918:B 5.48248e-05 -214 *1897:15 *25753:B 0.000106309 -215 *2744:6 *26811:B 4.45982e-06 -216 *2745:8 *26817:B 6.09762e-05 -217 *2745:8 *5665:127 0 -218 *2745:75 *5665:127 0 -219 *2789:25 *5665:204 0.000304845 -220 *2875:63 *25942:B 0.00034188 -221 *2888:71 *5665:13 4.04292e-05 -222 *2888:71 *5665:14 9.66219e-05 -223 *3067:12 *25753:B 9.50689e-05 -224 *3125:10 *25918:B 1.08691e-05 -225 *3170:51 *25942:B 4.61418e-05 -226 *3205:55 *5665:14 6.81895e-05 -227 *3568:49 *5665:127 3.29037e-05 -228 *3568:110 *5665:285 0.00030926 -229 *3653:15 *25759:B 0.000113275 -230 *3653:15 *5665:108 9.92016e-05 -231 *3653:52 *26808:B 5.50052e-05 -232 *3666:98 *25658:B 7.69776e-06 -233 *3671:28 *25658:B 5.52238e-05 -234 *3750:20 *25670:B 0 -235 *3750:20 *5665:219 0.000326586 -236 *3791:75 *25658:B 0.000121447 -237 *3792:75 *25918:B 1.69153e-05 -238 *3801:109 *5665:66 2.79405e-05 -239 *3804:14 *5665:108 0.000107227 -240 *3805:47 *5665:31 0.002472 -241 *3806:8 *5665:127 9.49984e-05 -242 *3814:6 *5665:108 1.85762e-05 -243 *3814:28 *5665:97 0 -244 *3814:28 *5665:101 0 -245 *3814:28 *5665:108 0.000189718 -246 *3815:26 *5665:127 0 -247 *3820:38 *5665:24 1.02941e-05 -248 *3827:9 *5665:66 6.00914e-05 -249 *3832:21 *5665:13 0.000198923 -250 *3832:33 *25942:B 1.98839e-05 -251 *3868:41 *5665:14 5.41794e-05 -252 *3875:51 *5665:268 0 -253 *3884:24 *5665:127 2.64077e-05 -254 *3884:88 *5665:66 0.000192669 -255 *3893:52 *25658:B 5.21676e-05 -256 *3893:52 *5665:76 5.99425e-05 -257 *3908:35 *25658:B 0.000710107 -258 *3910:28 *5665:76 0.000102481 -259 *3973:5 *5665:96 2.89114e-05 -260 *3973:10 *5665:204 0.000377557 -261 *3973:41 *5665:76 0.00026086 -262 *3973:41 *5665:96 0.00032036 -263 *3973:83 *5665:76 0.00115683 -264 *3983:24 *5665:97 5.58941e-05 -265 *3983:24 *5665:101 7.93424e-05 -266 *3983:24 *5665:204 0 -267 *4074:23 *25670:B 0.000222021 -268 *4075:7 *25828:B 0.000226167 -269 *4075:10 *5665:204 3.17148e-05 -270 *4075:10 *5665:219 3.17148e-05 -271 *4075:33 *5665:219 0.000161411 -272 *4088:65 *5665:14 2.70725e-06 -273 *4130:34 *25658:B 0.000118313 -274 *4130:34 *5665:76 9.87048e-05 -275 *4140:58 *5665:204 0.000139907 -276 *4140:61 *25670:B 0.000586014 -277 *4140:61 *5665:204 0.000134312 -278 *4140:61 *5665:219 5.31751e-05 -279 *4329:18 *5665:127 3.46591e-05 -280 *4337:16 *5665:131 0.000395944 -281 *4900:26 *5665:131 0.000109334 -282 *4944:29 *26817:B 5.33005e-05 -283 *4944:29 *5665:127 0.000129453 -284 *5000:14 *5665:131 0.000103335 -285 *5000:14 *5665:164 0.000122724 -286 *5050:25 *26811:B 6.09762e-05 -287 *5050:25 *26834:B 5.25192e-06 -288 *5052:46 *5665:66 0.000239381 -289 *5200:25 *25918:B 9.65182e-05 -290 *5203:27 *26811:B 2.06725e-05 -291 *5320:8 *25918:B 0.000436383 -292 *5320:8 *5665:48 9.47441e-05 -293 *5320:8 *5665:66 0.000278239 -294 *5461:7 *25670:B 2.42516e-05 -295 *5485:32 *25616:B 7.65745e-05 -296 *5485:32 *5665:127 0.000113628 -297 *5487:10 *5665:127 5.4709e-05 -298 *5487:63 *5665:164 2.10406e-05 -299 *5488:34 *26808:B 2.22594e-05 -300 *5488:34 *5665:131 5.58875e-06 -301 *5488:79 *5665:24 2.34372e-06 -302 *5488:86 *5665:24 0 -303 *5491:18 *25918:B 9.18636e-05 -304 *5493:14 *25616:B 9.92555e-05 -305 *5494:46 *25616:B 0.00128127 -306 *5494:46 *5665:164 0.000115139 -307 *5511:23 *5665:285 0 -308 *5518:33 *26817:B 5.52302e-05 -309 *5520:48 *25918:B 0.000388041 -310 *5532:15 *26834:B 6.75416e-05 -311 *5599:171 *25918:B 0.00055597 -312 *5619:17 *5665:13 0.000112667 -313 *5619:17 *5665:14 0.000291725 -314 *5619:22 *5665:14 0.00126539 -315 *5619:31 *5665:14 0.00128271 -316 *5619:31 *5665:24 0.000533097 -317 *5622:106 *5665:268 0.000307894 -318 *5657:49 *5665:13 0.000112667 -*RES -1 *28962:X *5665:6 15.7464 -2 *5665:6 *5665:13 17.0022 -3 *5665:13 *5665:14 46.7232 -4 *5665:14 *5665:24 20.8835 -5 *5665:24 *5665:31 9.4589 -6 *5665:31 *25918:B 45.8268 -7 *5665:31 *5665:48 8.31282 -8 *5665:48 *25906:B 15.9786 -9 *5665:48 *5665:66 26.6023 -10 *5665:66 *5665:68 0.535714 -11 *5665:68 *5665:76 15.4703 -12 *5665:76 *25658:B 27.6036 -13 *5665:76 *5665:96 17.3393 -14 *5665:96 *5665:97 1.94643 -15 *5665:97 *5665:101 5.92857 -16 *5665:101 *5665:108 19.6429 -17 *5665:108 *25759:B 27.3179 -18 *5665:108 *5665:127 39.0082 -19 *5665:127 *5665:131 10.0629 -20 *5665:131 *5665:138 19.1071 -21 *5665:138 *26834:B 17.8 -22 *5665:138 *26811:B 15.4429 -23 *5665:131 *26808:B 15.4786 -24 *5665:127 *5665:164 0.6956 -25 *5665:164 *26817:B 18.6296 -26 *5665:164 *25616:B 21.3017 -27 *5665:101 *28016:B 13.8 -28 *5665:97 *27153:B 13.8 -29 *5665:96 *5665:204 36.4643 -30 *5665:204 *25828:B 18.9964 -31 *5665:204 *5665:219 14.9286 -32 *5665:219 *25771:B 13.8 -33 *5665:219 *25670:B 37.5857 -34 *5665:68 *25930:B 15.5857 -35 *5665:66 *25753:B 20.7643 -36 *5665:24 *5665:268 20.6356 -37 *5665:268 *25607:B 9.3 -38 *5665:268 *5665:285 41.0714 -39 *5665:285 *26824:B 9.3 -40 *5665:14 *26853:B 13.8 -41 *5665:6 *25942:B 18.4607 -*END - -*D_NET *5666 0.12193 -*CONN -*I *25876:B I *D sky130_fd_sc_hd__and2_2 -*I *25798:B I *D sky130_fd_sc_hd__nand2_2 -*I *25640:B I *D sky130_fd_sc_hd__nand2_2 -*I *25664:B I *D sky130_fd_sc_hd__nand2_2 -*I *26837:B1 I *D sky130_fd_sc_hd__o211a_1 -*I *25694:C1 I *D sky130_fd_sc_hd__o221a_4 -*I *25954:B I *D sky130_fd_sc_hd__nand2_2 -*I *25936:B I *D sky130_fd_sc_hd__and2_2 -*I *28962:A I *D sky130_fd_sc_hd__buf_12 -*I *25834:B I *D sky130_fd_sc_hd__and2_2 -*I *25634:B I *D sky130_fd_sc_hd__and2_2 -*I *25870:B I *D sky130_fd_sc_hd__nand2_4 -*I *25652:B I *D sky130_fd_sc_hd__and2_2 -*I *25646:B I *D sky130_fd_sc_hd__and2_2 -*I *25864:B I *D sky130_fd_sc_hd__and2_2 -*I *28963:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *25876:B 0.000314098 -2 *25798:B 0.00029983 -3 *25640:B 0 -4 *25664:B 0 -5 *26837:B1 0.00132906 -6 *25694:C1 0 -7 *25954:B 0.000286383 -8 *25936:B 0.000261795 -9 *28962:A 0 -10 *25834:B 0 -11 *25634:B 0.00137687 -12 *25870:B 0.000135624 -13 *25652:B 0.00125469 -14 *25646:B 0.00207844 -15 *25864:B 0.000419663 -16 *28963:X 5.45197e-05 -17 *5666:291 0.000613929 -18 *5666:262 0.00146748 -19 *5666:250 0.00337347 -20 *5666:231 0.00181835 -21 *5666:219 0.00215195 -22 *5666:216 0.0031565 -23 *5666:202 0.00356269 -24 *5666:190 0.000806477 -25 *5666:180 0.000793178 -26 *5666:179 0.0029359 -27 *5666:138 0.00332002 -28 *5666:128 0.00483078 -29 *5666:124 0.00185465 -30 *5666:101 0.00333329 -31 *5666:77 0.00419898 -32 *5666:75 0.00396312 -33 *5666:55 0.00335588 -34 *5666:36 0.0047383 -35 *5666:6 0.00149649 -36 *25634:B *28995:A 0.000237913 -37 *25652:B *29025:A 0.000361371 -38 *25652:B *5706:63 0.000350954 -39 *25652:B *5728:151 0.00173763 -40 *25798:B *5743:74 0.000669597 -41 *25864:B *28997:A 6.7848e-05 -42 *25864:B *29002:A 0.000139478 -43 *25864:B *5713:24 5.33005e-05 -44 *25864:B *5909:61 0.000380655 -45 *25870:B *5909:62 2.21972e-05 -46 *25876:B *5838:31 0.000115752 -47 *5666:6 *5909:61 0 -48 *5666:36 *28963:A 9.58318e-06 -49 *5666:36 *29002:A 0.000178847 -50 *5666:36 *5712:10 9.91086e-05 -51 *5666:36 *5909:61 1.10632e-05 -52 *5666:55 *25826:A1 0.000512225 -53 *5666:55 *5719:98 1.61449e-05 -54 *5666:75 *5699:17 0.000568176 -55 *5666:75 *5743:122 0.000212784 -56 *5666:75 *5889:28 4.04292e-05 -57 *5666:77 *28717:RESET_B 3.68954e-05 -58 *5666:77 *5706:63 0.000873745 -59 *5666:101 *25675:A0 0.000149379 -60 *5666:101 *5728:172 4.65519e-05 -61 *5666:138 *28024:A 0 -62 *5666:138 *28024:B 9.85008e-05 -63 *5666:138 *5740:55 0.000111574 -64 *5666:179 *5892:145 6.67783e-05 -65 *5666:216 *5936:70 1.06297e-05 -66 *5666:231 *29509:A 5.56825e-05 -67 *5666:262 *25694:A2 0.000292721 -68 *6475:DIODE *25936:B 0.000175892 -69 *24809:A *25646:B 0.000309226 -70 *25072:A1 *25646:B 0.000137561 -71 *25073:A0 *25646:B 8.9719e-06 -72 *25073:S *25646:B 9.41642e-05 -73 *25136:A *5666:231 0.000188267 -74 *25151:A1 *25870:B 0.000136882 -75 *25160:B *25954:B 3.20696e-05 -76 *25160:B *5666:231 9.41642e-05 -77 *25180:B2 *25936:B 9.58181e-05 -78 *25307:A1 *5666:124 0.000304488 -79 *25326:B2 *5666:179 4.50149e-05 -80 *25396:A1 *5666:216 0.00150992 -81 *25398:B1 *25936:B 0.000123536 -82 *25408:B2 *5666:190 0.000345251 -83 *25408:B2 *5666:202 0.000175892 -84 *25413:C *26837:B1 4.25569e-05 -85 *25449:S *25646:B 0.000815415 -86 *25450:A2 *5666:55 7.21115e-05 -87 *25467:A1 *25652:B 9.63279e-05 -88 *25467:A1 *5666:101 9.23367e-05 -89 *25467:C1 *25652:B 9.94436e-05 -90 *25467:C1 *5666:77 6.04063e-05 -91 *25467:C1 *5666:101 0.000127214 -92 *25634:A *25634:B 0.000355009 -93 *25646:A *25646:B 0.000112829 -94 *25667:S *5666:180 0.000156931 -95 *25667:S *5666:190 1.24368e-05 -96 *25694:A1 *5666:262 4.82947e-05 -97 *25775:S *25798:B 0.000135028 -98 *25942:A *26837:B1 6.45145e-05 -99 *25945:S *25634:B 2.14658e-05 -100 *26837:A1 *26837:B1 2.9501e-05 -101 *26837:A2 *26837:B1 9.81246e-06 -102 *27487:B1 *5666:124 1.4867e-05 -103 *27522:A1 *5666:124 4.34543e-05 -104 *27544:A2 *5666:179 0.000104778 -105 *27850:A1 *5666:190 0.000188884 -106 *27905:C1 *5666:216 0.000252081 -107 *27906:C1 *5666:216 1.12406e-05 -108 *27924:A2 *5666:55 0.000388146 -109 *27924:A2 *5666:75 0.000286827 -110 *27939:A *5666:55 0.000101545 -111 *28131:D *25634:B 5.80706e-06 -112 *28137:D *5666:138 0.00025223 -113 *28164:D *5666:101 0.000986 -114 *28260:CLK *25876:B 8.39541e-05 -115 *28280:CLK *25652:B 0.000185722 -116 *28282:CLK *25646:B 9.41642e-05 -117 *28314:CLK *5666:36 2.16719e-05 -118 *29003:A *25864:B 0.000922416 -119 *29106:A *25652:B 0.000226279 -120 *29365:A *25652:B 5.33005e-05 -121 *29367:A *25634:B 0.000410305 -122 *30071:A *25954:B 4.58194e-05 -123 *30474:A *5666:55 4.70048e-05 -124 *30516:A *25652:B 5.49995e-05 -125 *30791:A *26837:B1 1.98839e-05 -126 *459:10 *5666:231 0.000162607 -127 *1207:11 *5666:55 4.19624e-06 -128 *1251:29 *5666:124 2.22731e-06 -129 *1263:41 *5666:262 4.96113e-05 -130 *1277:16 *5666:202 6.58722e-05 -131 *1277:16 *5666:250 0.000106674 -132 *1323:17 *25954:B 1.7053e-05 -133 *1324:37 *25798:B 0.000676907 -134 *1326:13 *26837:B1 4.00679e-05 -135 *1327:98 *5666:231 0.000131545 -136 *1360:27 *5666:190 0.000108437 -137 *1395:29 *5666:216 0.000834342 -138 *1399:19 *25864:B 9.71197e-05 -139 *1399:24 *25864:B 0.000627926 -140 *1400:59 *5666:190 0.000353703 -141 *1401:52 *5666:262 0.000407151 -142 *1401:95 *5666:262 0.000369751 -143 *1421:26 *5666:216 9.23689e-05 -144 *1427:94 *5666:216 0.000211178 -145 *1427:161 *25646:B 8.83695e-05 -146 *1427:168 *25646:B 5.33005e-05 -147 *1437:29 *5666:179 0.000963798 -148 *1440:56 *25652:B 0.00142103 -149 *1449:115 *5666:216 2.64886e-05 -150 *1456:82 *5666:75 0.000123231 -151 *1456:82 *5666:77 0.000314321 -152 *1457:111 *5666:262 0.000763058 -153 *1470:64 *5666:216 0.000666316 -154 *1470:90 *25870:B 0.000157768 -155 *1472:11 *25652:B 1.17199e-05 -156 *1472:11 *5666:101 0.000467103 -157 *1472:11 *5666:124 2.63501e-05 -158 *1476:10 *5666:36 0.000210223 -159 *1476:10 *5666:55 0.000306317 -160 *1476:21 *5666:36 0.000751381 -161 *1591:11 *5666:216 0.000302946 -162 *1624:55 *5666:231 0.000388154 -163 *1657:56 *5666:262 0.00213484 -164 *1659:31 *5666:216 0.00150821 -165 *1659:31 *5666:262 0.000756878 -166 *1723:27 *26837:B1 0.000371886 -167 *1723:27 *5666:250 0.000787879 -168 *1725:8 *5666:231 0.000102583 -169 *1759:11 *5666:55 0.000107754 -170 *1827:36 *25652:B 0.000106955 -171 *1829:16 *25646:B 0.00125579 -172 *1832:5 *5666:190 5.33005e-05 -173 *1872:31 *5666:77 0 -174 *1872:58 *5666:55 2.8046e-05 -175 *1875:29 *5666:55 0.000224752 -176 *1886:10 *25864:B 1.5424e-05 -177 *1887:22 *5666:101 8.69554e-05 -178 *1887:70 *5666:101 0.00028659 -179 *1887:70 *5666:124 0.000196281 -180 *2755:11 *5666:231 7.40571e-05 -181 *2850:88 *5666:124 0.000116155 -182 *2855:121 *5666:36 1.94945e-05 -183 *2859:95 *5666:124 0.00144018 -184 *2860:56 *25954:B 0.000178425 -185 *2865:57 *5666:216 0.000301016 -186 *2865:126 *5666:138 0.000137561 -187 *2867:49 *5666:190 0.00101029 -188 *2871:105 *5666:138 0.000305204 -189 *2871:105 *5666:179 0.000704416 -190 *2878:75 *5666:179 0.00071151 -191 *2880:215 *5666:179 0.00053427 -192 *2882:64 *5666:179 0.000841533 -193 *2895:79 *5666:262 8.77926e-05 -194 *3081:8 *5666:124 0.000489006 -195 *3081:8 *5666:128 0.000137912 -196 *3089:13 *5666:124 3.08381e-05 -197 *3165:314 *25798:B 4.34627e-05 -198 *3170:41 *26837:B1 1.46768e-06 -199 *3170:51 *26837:B1 0.000127337 -200 *3184:202 *25652:B 0.000155442 -201 *3196:145 *25798:B 4.00349e-05 -202 *3205:72 *5666:216 0 -203 *3206:48 *5666:216 7.67709e-05 -204 *3216:141 *5666:124 9.18765e-06 -205 *3216:142 *25864:B 0.000153089 -206 *3216:142 *5666:36 9.59532e-06 -207 *3457:28 *5666:190 0.000187305 -208 *3459:8 *5666:231 0.000120818 -209 *3459:23 *25936:B 9.58126e-05 -210 *3561:16 *5666:75 2.0283e-05 -211 *3570:79 *25652:B 0.000105377 -212 *3594:13 *5666:138 0.000105471 -213 *3626:68 *5666:55 1.94945e-05 -214 *3626:87 *25646:B 0.000342739 -215 *3637:29 *25876:B 0.000343526 -216 *3666:68 *5666:124 0.000120064 -217 *3685:66 *5666:36 0.000265031 -218 *3698:31 *5666:36 1.21859e-05 -219 *3710:38 *25634:B 4.02344e-05 -220 *3713:39 *5666:36 4.65519e-05 -221 *3723:25 *5666:101 2.85403e-05 -222 *3724:20 *25870:B 2.06112e-05 -223 *3749:46 *25634:B 0.000148196 -224 *3749:46 *5666:77 9.77167e-05 -225 *3749:46 *5666:138 0.000114759 -226 *3775:39 *5666:179 0.000222521 -227 *3780:34 *5666:179 4.33002e-05 -228 *3788:49 *5666:231 0.000187348 -229 *3790:57 *5666:202 6.57648e-05 -230 *3802:38 *5666:124 1.60636e-05 -231 *3830:36 *25876:B 0.000309993 -232 *3830:36 *5666:55 0.000549542 -233 *3854:10 *5666:231 7.55769e-05 -234 *3857:21 *25652:B 0.000184199 -235 *3858:19 *5666:55 0.000302588 -236 *3875:63 *26837:B1 6.30043e-05 -237 *3883:31 *5666:124 1.52525e-05 -238 *3892:75 *5666:179 0.000698113 -239 *3909:31 *5666:124 0.000142294 -240 *3909:31 *5666:128 0.000137912 -241 *3921:54 *5666:190 0.00035013 -242 *3921:54 *5666:202 0.000163455 -243 *3936:35 *5666:36 0.000265286 -244 *3944:25 *25634:B 0.00152384 -245 *3944:45 *5666:179 2.09897e-05 -246 *3984:73 *5666:36 0.000207976 -247 *4001:27 *5666:219 0.00060918 -248 *4001:27 *5666:231 0.000614019 -249 *4022:76 *5666:262 0.000364589 -250 *4064:37 *5666:77 0 -251 *4064:37 *5666:101 7.08649e-05 -252 *4064:81 *5666:101 0.000268484 -253 *4116:51 *5666:124 0.000197117 -254 *4127:32 *25652:B 0.000102692 -255 *4127:49 *25634:B 0.000148196 -256 *4127:49 *5666:138 0.00012501 -257 *4143:11 *5666:75 0.000112225 -258 *4156:60 *25646:B 0.000136958 -259 *4372:19 *25936:B 0.000218409 -260 *4487:24 *25876:B 0.000124518 -261 *5007:42 *5666:36 7.50601e-05 -262 *5253:28 *25652:B 0.00115195 -263 *5386:11 *5666:262 4.23326e-05 -264 *5458:31 *5666:180 9.60939e-05 -265 *5467:14 *5666:124 2.64034e-05 -266 *5491:44 *5666:202 6.7848e-05 -267 *5493:31 *5666:138 8.46523e-05 -268 *5498:54 *5666:216 0.000162875 -269 *5500:44 *25936:B 1.51489e-05 -270 *5545:30 *5666:75 0.000269016 -271 *5581:49 *5666:231 0.00101746 -272 *5591:53 *5666:216 0 -273 *5618:139 *25936:B 8.08603e-05 -274 *5622:26 *5666:262 0.000654414 -275 *5622:48 *5666:216 2.66789e-06 -276 *5624:28 *5666:219 0.000599662 -277 *5626:151 *26837:B1 0.000216755 -278 *5634:52 *26837:B1 0.000163823 -279 *5634:52 *5666:202 0.000135743 -280 *5634:52 *5666:250 0.00099388 -*RES -1 *28963:X *5666:6 14.3357 -2 *5666:6 *25864:B 27.9429 -3 *5666:6 *5666:36 38.6942 -4 *5666:36 *5666:55 47.1782 -5 *5666:55 *25646:B 46.8536 -6 *5666:36 *5666:75 23.3177 -7 *5666:75 *5666:77 4.2018 -8 *5666:77 *25652:B 48.5653 -9 *5666:77 *5666:101 7.2499 -10 *5666:101 *25870:B 20.5046 -11 *5666:101 *5666:124 21.6347 -12 *5666:124 *5666:128 6.44643 -13 *5666:128 *5666:138 29.1071 -14 *5666:138 *25634:B 46.2286 -15 *5666:138 *25834:B 13.8 -16 *5666:128 *5666:179 49.2519 -17 *5666:179 *5666:180 3 -18 *5666:180 *5666:190 27.0179 -19 *5666:190 *28962:A 9.3 -20 *5666:190 *5666:202 10.789 -21 *5666:202 *5666:216 48.2718 -22 *5666:216 *5666:219 12.8214 -23 *5666:219 *5666:231 46.9107 -24 *5666:231 *25936:B 15.6036 -25 *5666:219 *25954:B 14.2821 -26 *5666:202 *5666:250 1.7766 -27 *5666:250 *5666:262 49.2712 -28 *5666:262 *25694:C1 9.3 -29 *5666:250 *26837:B1 31.508 -30 *5666:180 *25664:B 9.3 -31 *5666:124 *25640:B 13.8 -32 *5666:75 *5666:291 3.41 -33 *5666:291 *25798:B 20.2395 -34 *5666:291 *25876:B 25.2725 -*END - -*D_NET *5667 0.162706 -*CONN -*I *26964:B I *D sky130_fd_sc_hd__nand2_8 -*I *26874:B I *D sky130_fd_sc_hd__and2_4 -*I *25888:B I *D sky130_fd_sc_hd__and2_2 -*I *26829:B I *D sky130_fd_sc_hd__and2_1 -*I *27036:B I *D sky130_fd_sc_hd__nand2_8 -*I *27081:B I *D sky130_fd_sc_hd__nand2_8 -*I *27159:B I *D sky130_fd_sc_hd__and2_4 -*I *27054:B I *D sky130_fd_sc_hd__nand2_8 -*I *27108:B I *D sky130_fd_sc_hd__nand2_8 -*I *27090:B I *D sky130_fd_sc_hd__and2_4 -*I *27135:B I *D sky130_fd_sc_hd__nand2_8 -*I *27045:B I *D sky130_fd_sc_hd__nand2_8 -*I *25948:B I *D sky130_fd_sc_hd__and2_2 -*I *26846:C1 I *D sky130_fd_sc_hd__o311a_1 -*I *25590:B I *D sky130_fd_sc_hd__and2_2 -*I *26838:B I *D sky130_fd_sc_hd__nand2_2 -*I *26849:B I *D sky130_fd_sc_hd__and2_4 -*I *25625:B I *D sky130_fd_sc_hd__nand2_8 -*I *27072:B I *D sky130_fd_sc_hd__nand2_8 -*I *28963:A I *D sky130_fd_sc_hd__buf_8 -*I *28964:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *26964:B 0.00190608 -2 *26874:B 0.000314896 -3 *25888:B 3.82418e-05 -4 *26829:B 0 -5 *27036:B 0 -6 *27081:B 0 -7 *27159:B 0 -8 *27054:B 0.000953391 -9 *27108:B 7.04927e-05 -10 *27090:B 0.000181629 -11 *27135:B 1.0656e-05 -12 *27045:B 5.83173e-05 -13 *25948:B 2.23854e-05 -14 *26846:C1 2.46213e-05 -15 *25590:B 0.00150948 -16 *26838:B 0.000151933 -17 *26849:B 0.000122811 -18 *25625:B 0.000232707 -19 *27072:B 0 -20 *28963:A 0.000415977 -21 *28964:X 0 -22 *5667:348 0.00427295 -23 *5667:312 0.0023919 -24 *5667:286 0.000940428 -25 *5667:277 0.00139271 -26 *5667:271 0.0012313 -27 *5667:260 0.00236019 -28 *5667:244 0.00355764 -29 *5667:230 0.00256082 -30 *5667:201 0.00302947 -31 *5667:182 0.00229004 -32 *5667:136 0.00204026 -33 *5667:131 0.00133722 -34 *5667:129 0.00181659 -35 *5667:122 0.0018484 -36 *5667:113 0.00168281 -37 *5667:111 0.00196212 -38 *5667:108 0.00418108 -39 *5667:86 0.00207859 -40 *5667:82 0.00200658 -41 *5667:68 0.00186064 -42 *5667:67 0.00172511 -43 *5667:60 0.00561455 -44 *5667:40 0.00413819 -45 *5667:25 0.0113745 -46 *5667:24 0.00943264 -47 *5667:4 0.000593896 -48 *25590:B *25613:A0 4.84486e-05 -49 *25590:B *26825:A0 0 -50 *25590:B *26839:A0 4.58194e-05 -51 *25590:B *5707:200 0.000249208 -52 *25590:B *6141:21 0.000189485 -53 *25625:B *5718:261 0.000303846 -54 *26838:B *5694:119 0.000148661 -55 *26846:C1 *25400:A1 9.60875e-05 -56 *26846:C1 *26846:A3 9.60875e-05 -57 *26849:B *5720:225 4.26759e-05 -58 *26849:B *5757:127 4.8817e-05 -59 *26874:B *25176:B2 2.94055e-05 -60 *26964:B *27202:A0 0.000259267 -61 *26964:B *5829:126 9.41642e-05 -62 *26964:B *5926:105 0.000541924 -63 *27045:B *28622:RESET_B 0.000145753 -64 *27054:B *6385:141 2.26973e-05 -65 *27090:B *6384:8 0.000137983 -66 *5667:25 *28507:D 4.58194e-05 -67 *5667:25 *5832:27 9.77264e-06 -68 *5667:40 *27694:C1 2.45938e-05 -69 *5667:60 *26896:A0 2.63501e-05 -70 *5667:67 *28722:D 0.000122814 -71 *5667:68 *5718:261 5.54204e-05 -72 *5667:68 *5718:270 0.000137312 -73 *5667:68 *5718:284 0.000433958 -74 *5667:86 *5717:179 1.90936e-05 -75 *5667:86 *5757:127 0.00111109 -76 *5667:108 *5757:109 0.000575915 -77 *5667:111 *5694:110 6.71966e-05 -78 *5667:111 *5717:60 9.65182e-05 -79 *5667:113 *29497:A 0.000186344 -80 *5667:113 *5694:110 8.8731e-06 -81 *5667:113 *5694:119 0.000517571 -82 *5667:113 *6314:37 0.00063429 -83 *5667:129 *27050:A1 0.000425959 -84 *5667:129 *28622:D 8.36572e-05 -85 *5667:129 *5700:174 8.73083e-05 -86 *5667:131 *28391:D 0.000347181 -87 *5667:131 *28622:D 0.00022266 -88 *5667:201 *6311:11 3.33349e-05 -89 *5667:201 *6311:62 0.000111532 -90 *5667:201 *6320:71 0.000156922 -91 *5667:201 *6384:8 0.000329429 -92 *5667:201 *6384:23 0.000170441 -93 *5667:201 *6384:39 0.000159446 -94 *5667:230 *25532:A 0 -95 *5667:230 *5675:213 5.7836e-06 -96 *5667:230 *5720:13 0.00013469 -97 *5667:230 *5720:31 4.35306e-05 -98 *5667:230 *5751:83 0.000260643 -99 *5667:230 *5926:152 0.000476417 -100 *5667:244 *6191:17 0 -101 *5667:260 *5970:27 8.97474e-05 -102 *5667:286 *28215:D 3.48139e-05 -103 *5667:286 *28608:RESET_B 0.000295851 -104 *5667:286 *5753:170 0.000116474 -105 *5667:312 *27161:A0 0.000301996 -106 *5667:312 *28761:D 2.59355e-05 -107 *5667:348 *26893:A0 0.000422888 -108 *24958:A *5667:25 0.000712609 -109 *24969:A1 *5667:244 7.97314e-05 -110 *24969:A2 *5667:244 7.00474e-05 -111 *24972:B1 *5667:244 0.000308456 -112 *24980:A2 *5667:286 0.000170654 -113 *24984:A2 *5667:271 0.000216077 -114 *24997:B *5667:244 0.000158586 -115 *25018:C1 *27108:B 0.000154836 -116 *25018:C1 *5667:244 0.000224333 -117 *25022:A2 *5667:244 0.000120708 -118 *25191:A2 *28963:A 7.44764e-05 -119 *25216:C1 *25590:B 0.000643462 -120 *25219:A1 *26838:B 5.50361e-05 -121 *25260:A1 *25590:B 0 -122 *25260:B1 *25590:B 3.59948e-05 -123 *25271:B2 *5667:113 1.21859e-05 -124 *25387:A1 *5667:131 7.80759e-05 -125 *25387:A1 *5667:136 4.00679e-05 -126 *26829:A *5667:271 8.19593e-05 -127 *26838:A *5667:129 9.17069e-06 -128 *26849:A *26849:B 6.27495e-05 -129 *26893:A1 *5667:348 0.000225753 -130 *27050:S *5667:129 4.87953e-05 -131 *27050:S *5667:131 9.46929e-05 -132 *27090:A *27090:B 7.44888e-05 -133 *27202:A1 *26964:B 0.000674731 -134 *27202:S *26964:B 4.58194e-05 -135 *27213:A2 *5667:201 0 -136 *27240:B *5667:182 0.000156843 -137 *27249:A0 *5667:113 0 -138 *27260:A2 *5667:201 8.25719e-05 -139 *27260:A3 *5667:201 0.00012501 -140 *27270:A2 *5667:201 0.000631606 -141 *27314:B *26874:B 2.79421e-05 -142 *27314:B *5667:86 0.000149469 -143 *27398:A1 *26838:B 2.91578e-05 -144 *27398:A1 *5667:113 4.19607e-05 -145 *27419:A1 *5667:129 6.05161e-06 -146 *27447:B1 *26874:B 6.81895e-05 -147 *27462:S *5667:230 0.000686809 -148 *27814:A1 *5667:348 0.000216755 -149 *27814:B2 *5667:348 0.000348635 -150 *27820:A2 *5667:82 7.60871e-05 -151 *28314:D *28963:A 0.00019678 -152 *28608:D *5667:286 0.000176226 -153 *28649:CLK *5667:40 6.74751e-05 -154 *28705:CLK *5667:230 1.33343e-05 -155 *28705:D *5667:230 3.08382e-06 -156 *28776:D *5667:113 0 -157 *28793:CLK *27090:B 2.44318e-05 -158 *28793:CLK *5667:230 0.000125443 -159 *28793:D *5667:230 0.000250568 -160 *29487:A *5667:230 0.000398116 -161 *29688:A *5667:129 5.33005e-05 -162 *29689:A *5667:131 0.000347187 -163 *29743:A *25590:B 0.000178333 -164 *29817:A *5667:131 0.000307343 -165 *29833:A *5667:111 4.28249e-05 -166 *29894:A *5667:312 0.000179469 -167 *29961:A *5667:286 0.000196269 -168 *30481:A *5667:286 0.000128146 -169 *30503:A *5667:271 0.000265453 -170 *30554:A *5667:136 0.00012501 -171 *30561:A *5667:277 0.000266479 -172 *30833:A *27054:B 0 -173 *30833:A *5667:260 0 -174 *30871:A *27054:B 0.000329761 -175 *30871:A *5667:260 0.000446182 -176 *30947:A *25590:B 0.000227179 -177 *30950:A *25590:B 5.33005e-05 -178 *369:17 *27090:B 3.07021e-05 -179 *1228:87 *5667:67 0.000136951 -180 *1240:96 *25590:B 0.000439316 -181 *1240:102 *25590:B 0.000140243 -182 *1240:130 *25590:B 9.41642e-05 -183 *1244:63 *5667:271 0.000137561 -184 *1248:120 *5667:25 0.000374359 -185 *1250:121 *5667:113 0.00012891 -186 *1250:121 *5667:129 0.000511661 -187 *1254:116 *25590:B 0.000386633 -188 *1254:116 *5667:136 0.00109564 -189 *1271:23 *5667:129 0.000367062 -190 *1271:23 *5667:131 0.000145593 -191 *1271:29 *5667:182 0.000432389 -192 *1271:29 *5667:201 0.000248873 -193 *1271:49 *5667:201 0.000712322 -194 *1277:98 *5667:230 2.21972e-05 -195 *1277:176 *5667:40 9.34324e-05 -196 *1277:188 *5667:40 0.00154261 -197 *1284:19 *5667:25 6.13757e-06 -198 *1285:11 *5667:25 2.79421e-05 -199 *1285:26 *5667:25 0.000110461 -200 *1285:26 *5667:40 0 -201 *1289:71 *27054:B 0 -202 *1307:23 *5667:271 0.000108545 -203 *1317:13 *5667:271 0.000210168 -204 *1339:24 *5667:286 9.32491e-05 -205 *1339:26 *5667:286 0.000517909 -206 *1358:19 *5667:60 1.8995e-06 -207 *1363:126 *5667:348 1.60961e-05 -208 *1422:55 *5667:25 0.000165123 -209 *1422:74 *5667:25 0.000140512 -210 *1455:12 *5667:136 0.000196276 -211 *1476:21 *28963:A 0.000552103 -212 *1490:82 *5667:286 0.00209174 -213 *1580:12 *25590:B 0.000242641 -214 *1580:12 *5667:136 0.000399817 -215 *1609:17 *5667:25 0.000548732 -216 *1624:16 *27108:B 5.41797e-06 -217 *1624:26 *27108:B 0.00014239 -218 *1624:26 *5667:244 0.0007216 -219 *1642:37 *5667:40 0.000104697 -220 *1815:18 *25590:B 0.000111529 -221 *1815:34 *25590:B 7.99289e-05 -222 *1826:85 *5667:260 9.59532e-06 -223 *1900:5 *5667:131 5.33005e-05 -224 *1900:26 *5667:131 9.71197e-05 -225 *1900:40 *25590:B 4.14835e-05 -226 *2758:8 *26874:B 0.000219289 -227 *2760:26 *5667:60 0.000590974 -228 *2760:98 *5667:25 0.000214196 -229 *2776:10 *5667:286 5.58941e-05 -230 *2776:109 *5667:286 0.000504624 -231 *2777:11 *5667:129 0.000139275 -232 *2777:94 *5667:348 4.8928e-05 -233 *2777:105 *5667:129 0.000279002 -234 *2777:105 *5667:182 0.000439197 -235 *2780:6 *5667:60 0.000105121 -236 *2780:150 *5667:60 7.55804e-05 -237 *2782:170 *5667:230 1.1152e-05 -238 *2787:113 *5667:68 0.000653794 -239 *2791:87 *5667:60 5.59013e-05 -240 *2791:102 *5667:60 2.23649e-05 -241 *2813:72 *5667:108 0 -242 *2817:11 *5667:182 0 -243 *2817:11 *5667:201 0 -244 *2827:37 *27054:B 2.11419e-05 -245 *2839:71 *27045:B 0.000145753 -246 *2841:5 *26874:B 7.27266e-05 -247 *2841:5 *5667:82 1.79118e-05 -248 *2844:246 *5667:25 5.96516e-05 -249 *2844:246 *5667:40 0.000914394 -250 *2845:22 *5667:111 4.18834e-05 -251 *2845:188 *5667:60 0 -252 *2845:211 *5667:60 0 -253 *2849:30 *5667:113 0 -254 *2850:177 *26849:B 4.6387e-05 -255 *2850:177 *5667:111 0.000355208 -256 *2850:177 *5667:312 3.24989e-05 -257 *2852:40 *5667:40 5.31355e-05 -258 *2855:12 *5667:312 0.000513156 -259 *2856:270 *5667:86 5.02755e-05 -260 *2860:209 *5667:60 0.000262028 -261 *2864:156 *5667:60 4.65519e-05 -262 *2872:163 *5667:67 0.000231098 -263 *2877:25 *26849:B 0.000210429 -264 *2880:108 *5667:60 9.60875e-05 -265 *2882:143 *5667:24 0.000233738 -266 *2882:185 *5667:348 0.000205418 -267 *2883:129 *25625:B 0.000344225 -268 *2889:22 *5667:108 0.00146921 -269 *2891:11 *26849:B 4.79414e-05 -270 *2891:11 *5667:86 0.000912138 -271 *2891:146 *5667:40 0.00245386 -272 *2891:147 *5667:60 9.41642e-05 -273 *2894:181 *5667:67 0.000479956 -274 *2894:237 *5667:40 1.46553e-05 -275 *2918:10 *5667:312 5.24684e-05 -276 *3020:15 *5667:230 0.00037316 -277 *3040:19 *5667:348 1.1257e-05 -278 *3041:8 *5667:244 1.17968e-05 -279 *3156:41 *5667:111 4.00349e-05 -280 *3183:40 *5667:68 0.00029899 -281 *3184:43 *5667:111 4.17933e-05 -282 *3185:48 *5667:348 7.91107e-05 -283 *3185:264 *5667:108 1.60335e-05 -284 *3185:264 *5667:312 0.000885727 -285 *3209:37 *5667:312 0.000196746 -286 *3250:17 *5667:40 5.58809e-05 -287 *3293:14 *5667:60 0.00161257 -288 *3293:34 *5667:348 5.00194e-05 -289 *3450:23 *28963:A 6.86792e-05 -290 *3450:23 *5667:24 6.42095e-05 -291 *3537:73 *5667:348 1.93542e-05 -292 *3569:51 *5667:113 0.00128062 -293 *3569:53 *5667:113 0.000558722 -294 *3569:55 *5667:113 0.00014297 -295 *3569:57 *5667:113 5.15925e-05 -296 *3569:61 *5667:113 0.000186691 -297 *3572:81 *5667:277 0 -298 *3572:95 *5667:277 0.000275541 -299 *3575:78 *27135:B 5.7836e-06 -300 *3639:17 *25590:B 4.22135e-06 -301 *3669:63 *5667:348 3.06068e-05 -302 *3676:17 *5667:25 0.000966651 -303 *3676:34 *5667:25 0.00162301 -304 *3796:62 *5667:312 0.000170241 -305 *3820:91 *5667:40 0.000157707 -306 *3826:26 *5667:244 5.43687e-05 -307 *3873:67 *5667:108 0.000180569 -308 *3886:47 *5667:111 1.93639e-05 -309 *3889:79 *5667:271 9.41642e-05 -310 *3899:40 *5667:40 0 -311 *3916:39 *5667:348 0.00020714 -312 *3936:35 *28963:A 0.000275357 -313 *3938:17 *5667:113 0.000380725 -314 *3938:25 *5667:113 0.000185501 -315 *3938:38 *5667:111 0.00027836 -316 *3938:38 *5667:113 0.00110982 -317 *3938:38 *5667:312 4.15161e-05 -318 *3939:21 *5667:67 0.000569457 -319 *3939:50 *5667:60 8.98081e-05 -320 *3939:50 *5667:67 0.000890243 -321 *3939:50 *5667:348 4.55864e-05 -322 *3957:22 *5667:24 0.000232016 -323 *3965:79 *5667:40 6.57603e-05 -324 *3965:79 *5667:60 0.000796784 -325 *3982:46 *5667:260 7.69776e-06 -326 *3989:5 *5667:312 4.00679e-05 -327 *3989:20 *5667:312 0.000315983 -328 *3995:26 *5667:271 8.22095e-05 -329 *4093:7 *5667:25 0.00015546 -330 *4146:54 *5667:40 1.39702e-05 -331 *4150:31 *26964:B 0.000538969 -332 *4152:60 *28963:A 0.000358756 -333 *4574:47 *26838:B 8.42091e-05 -334 *4574:47 *5667:113 4.41831e-05 -335 *4796:30 *5667:108 0.000521331 -336 *5129:30 *5667:244 0.000551812 -337 *5129:32 *5667:244 0.00052602 -338 *5505:42 *25590:B 6.5409e-05 -339 *5517:15 *25590:B 1.38992e-05 -340 *5528:27 *5667:277 0.000939585 -341 *5530:44 *27135:B 2.06112e-05 -342 *5584:13 *5667:25 6.57032e-05 -343 *5584:103 *5667:25 2.59355e-05 -344 *5598:17 *25625:B 0.000198975 -345 *5598:49 *26874:B 3.17163e-05 -346 *5598:49 *5667:86 5.74325e-05 -347 *5599:29 *5667:129 0.000237942 -348 *5599:29 *5667:182 0.000697221 -349 *5599:72 *5667:129 6.14578e-05 -350 *5599:273 *26874:B 0 -351 *5600:132 *5667:40 4.83837e-05 -352 *5601:7 *5667:25 1.90303e-05 -353 *5608:62 *5667:277 0.000268403 -354 *5625:15 *5667:271 0.000245197 -355 *5627:16 *26874:B 0.000149951 -356 *5632:44 *5667:40 4.73587e-05 -357 *5632:44 *5667:60 0.000152995 -358 *5640:16 *25625:B 0.000305554 -359 *5640:16 *5667:68 0.000448928 -360 *5640:18 *5667:60 0.000703915 -361 *5640:18 *5667:67 0.00283056 -362 *5640:18 *5667:68 5.2612e-05 -363 *5640:27 *5667:60 0.000569115 -364 *5656:43 *26964:B 1.24368e-05 -365 *5656:43 *5667:348 1.38323e-05 -366 *5656:68 *5667:60 4.43053e-05 -367 *5656:68 *5667:348 2.61292e-05 -368 *5656:174 *5667:25 0.00306187 -369 *5656:189 *5667:25 0.000135028 -370 *5657:13 *5667:108 1.4185e-05 -371 *5657:29 *5667:312 0.000197137 -372 *5658:31 *5667:348 0 -373 *5666:36 *28963:A 9.58318e-06 -*RES -1 *28964:X *5667:4 9.3 -2 *5667:4 *28963:A 30.2286 -3 *5667:4 *5667:24 13.5179 -4 *5667:24 *5667:25 111.018 -5 *5667:25 *5667:40 33.339 -6 *5667:40 *27072:B 9.3 -7 *5667:40 *5667:60 45.0235 -8 *5667:60 *5667:67 47.6696 -9 *5667:67 *5667:68 16.2143 -10 *5667:68 *25625:B 21.2821 -11 *5667:68 *5667:82 21.0357 -12 *5667:82 *5667:86 7.39291 -13 *5667:86 *26849:B 20.0698 -14 *5667:86 *5667:108 14.5869 -15 *5667:108 *5667:111 10.375 -16 *5667:111 *5667:113 40.8036 -17 *5667:113 *26838:B 17.4161 -18 *5667:113 *5667:122 3.41 -19 *5667:122 *5667:129 25.2587 -20 *5667:129 *5667:131 16.5536 -21 *5667:131 *5667:136 20.25 -22 *5667:136 *25590:B 48.9607 -23 *5667:136 *26846:C1 14.7464 -24 *5667:131 *25948:B 9.72857 -25 *5667:129 *27045:B 20.2464 -26 *5667:122 *5667:182 3.01113 -27 *5667:182 *27135:B 17.4868 -28 *5667:182 *5667:201 26.8008 -29 *5667:201 *27090:B 21.1036 -30 *5667:201 *5667:230 35.2752 -31 *5667:230 *27108:B 15.8982 -32 *5667:230 *5667:244 31.5171 -33 *5667:244 *27054:B 19.6237 -34 *5667:244 *5667:260 11.5489 -35 *5667:260 *27159:B 9.3 -36 *5667:260 *5667:271 17.9464 -37 *5667:271 *5667:277 23.5536 -38 *5667:277 *5667:286 43.7857 -39 *5667:286 *27081:B 9.3 -40 *5667:277 *27036:B 9.3 -41 *5667:271 *26829:B 9.3 -42 *5667:108 *5667:312 49.9911 -43 *5667:312 *25888:B 10.0321 -44 *5667:82 *26874:B 29.8714 -45 *5667:60 *5667:348 28.6196 -46 *5667:348 *26964:B 41.4786 -*END - -*D_NET *5668 0.0186223 -*CONN -*I *26937:B I *D sky130_fd_sc_hd__nand2_4 -*I *26910:B I *D sky130_fd_sc_hd__nand2_4 -*I *29218:A I *D sky130_fd_sc_hd__buf_12 -*I *28965:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *26937:B 0.000930126 -2 *26910:B 2.06112e-05 -3 *29218:A 0 -4 *28965:X 0.000192752 -5 *5668:50 0.00262573 -6 *5668:31 0.00335909 -7 *5668:11 0.00183563 -8 *26910:B *26910:A 3.99614e-06 -9 *26937:B *26941:A0 0.000180777 -10 *26937:B *5810:34 0.000226507 -11 *26937:B *5905:79 0.000121573 -12 *5668:11 *26871:S 5.33005e-05 -13 *5668:11 *27063:B 0.000346149 -14 *5668:31 *26871:S 0.000435832 -15 *5668:31 *26910:A 9.206e-05 -16 *5668:31 *27177:B 6.2589e-06 -17 *5668:31 *5695:183 1.76755e-05 -18 *5668:31 *5716:132 3.69697e-05 -19 *5668:31 *5820:15 5.48376e-05 -20 *5668:31 *5820:73 0.000181793 -21 *5668:31 *5911:8 4.98055e-06 -22 *5668:31 *5911:10 0.000114103 -23 *5668:31 *5914:29 0.000602243 -24 *5668:50 *26910:A 7.90925e-05 -25 *5668:50 *28687:RESET_B 0.000373823 -26 *5668:50 *5695:99 8.36715e-05 -27 *5668:50 *5800:11 8.83919e-05 -28 *26856:A *5668:31 5.87803e-05 -29 *26941:A1 *26937:B 2.44318e-05 -30 *27723:C1 *5668:31 3.69697e-05 -31 *27727:A1 *26937:B 0.00012303 -32 *27742:B1 *5668:50 8.60466e-05 -33 *28525:CLK *26937:B 6.81459e-05 -34 *28525:CLK *5668:50 0.000323605 -35 *28543:D *5668:50 0.000327294 -36 *28669:CLK *5668:50 4.29696e-05 -37 *28669:D *5668:50 0.000552117 -38 *29943:A *5668:50 0.000121358 -39 *29972:A *5668:11 0.000344225 -40 *1225:184 *5668:31 2.17809e-05 -41 *1286:103 *5668:11 5.33005e-05 -42 *1286:103 *5668:31 0.000423396 -43 *2756:10 *5668:31 8.05612e-05 -44 *2762:16 *5668:31 8.41037e-05 -45 *2763:185 *5668:50 9.06586e-05 -46 *2779:10 *5668:11 8.05708e-05 -47 *2856:344 *5668:50 0.000153598 -48 *3185:229 *5668:50 1.71615e-05 -49 *3215:305 *5668:50 4.34543e-05 -50 *3215:309 *5668:50 0.00118772 -51 *3538:14 *5668:31 0.000352373 -52 *3607:30 *5668:50 0.000225919 -53 *3694:69 *26937:B 5.86416e-05 -54 *3718:63 *5668:50 0.000138348 -55 *3731:45 *5668:50 4.8367e-05 -56 *3757:75 *5668:50 0.000308316 -57 *3770:21 *5668:50 0.000164539 -58 *3835:36 *5668:11 0.000165653 -59 *3991:38 *5668:50 0.000348071 -60 *3991:52 *5668:50 3.19339e-05 -61 *4030:18 *5668:31 6.10263e-05 -62 *4121:7 *26937:B 0.000125084 -63 *5644:106 *5668:31 0.000180764 -*RES -1 *28965:X *5668:11 24.4964 -2 *5668:11 *29218:A 9.3 -3 *5668:11 *5668:31 49.9286 -4 *5668:31 *26910:B 9.72857 -5 *5668:31 *5668:50 47.4107 -6 *5668:50 *26937:B 36.1929 -*END - -*D_NET *5669 0.1205 -*CONN -*I *25711:C1 I *D sky130_fd_sc_hd__o221a_4 -*I *25728:B I *D sky130_fd_sc_hd__nand2_8 -*I *25677:C1 I *D sky130_fd_sc_hd__o221a_4 -*I *26928:B I *D sky130_fd_sc_hd__nand2_8 -*I *27204:B I *D sky130_fd_sc_hd__nand2_8 -*I *26982:B I *D sky130_fd_sc_hd__nand2_8 -*I *27000:B I *D sky130_fd_sc_hd__nand2_8 -*I *25900:B I *D sky130_fd_sc_hd__nand2_2 -*I *25912:B I *D sky130_fd_sc_hd__nand2_2 -*I *25894:B I *D sky130_fd_sc_hd__and2_2 -*I *25777:B I *D sky130_fd_sc_hd__nand2_2 -*I *25924:B I *D sky130_fd_sc_hd__nand2_2 -*I *25882:B I *D sky130_fd_sc_hd__and2_1 -*I *25765:B I *D sky130_fd_sc_hd__nand2_2 -*I *26847:B I *D sky130_fd_sc_hd__nand2_1 -*I *25783:B I *D sky130_fd_sc_hd__and2_2 -*I *25846:B I *D sky130_fd_sc_hd__nand2_2 -*I *25840:B I *D sky130_fd_sc_hd__nand2_2 -*I *25858:B I *D sky130_fd_sc_hd__nand2_2 -*I *25822:B I *D sky130_fd_sc_hd__and2_2 -*I *28966:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *25711:C1 0 -2 *25728:B 0.000131445 -3 *25677:C1 0 -4 *26928:B 3.56013e-05 -5 *27204:B 0.000522728 -6 *26982:B 0.000396386 -7 *27000:B 0 -8 *25900:B 0 -9 *25912:B 1.99857e-05 -10 *25894:B 1.35541e-05 -11 *25777:B 0 -12 *25924:B 0.000576415 -13 *25882:B 2.23854e-05 -14 *25765:B 0.000210076 -15 *26847:B 0.00129412 -16 *25783:B 0 -17 *25846:B 1.98209e-05 -18 *25840:B 9.22431e-05 -19 *25858:B 0.000153914 -20 *25822:B 0.00115879 -21 *28966:X 0.000296231 -22 *5669:338 0.000733723 -23 *5669:320 0.00045533 -24 *5669:317 0.0018125 -25 *5669:312 0.00343126 -26 *5669:296 0.00236026 -27 *5669:278 0.00282485 -28 *5669:265 0.0026166 -29 *5669:254 0.00100289 -30 *5669:251 0.0008751 -31 *5669:248 0.00345211 -32 *5669:245 0.00374667 -33 *5669:232 0.000912238 -34 *5669:201 0.000595055 -35 *5669:173 0.00339939 -36 *5669:111 0.00230387 -37 *5669:101 0.00201048 -38 *5669:85 0.000981024 -39 *5669:81 0.00124221 -40 *5669:57 0.00158119 -41 *5669:48 0.00311816 -42 *5669:43 0.00111469 -43 *5669:39 0.000682189 -44 *5669:34 0.000762158 -45 *5669:30 0.00159861 -46 *5669:19 0.0025128 -47 *5669:7 0.00177976 -48 *25728:B *5715:32 0.000202816 -49 *25728:B *5914:64 0.000294799 -50 *25765:B *28233:RESET_B 0.000181796 -51 *25765:B *5697:23 0.000360102 -52 *25765:B *6354:8 0 -53 *25822:B *24869:B 0 -54 *25822:B *25859:S 0 -55 *25822:B *25860:A1 5.20298e-05 -56 *25822:B *5824:63 0.000185825 -57 *25840:B *5688:242 0.000137148 -58 *25924:B *25896:A1 2.14658e-05 -59 *25924:B *25927:A0 0.000713739 -60 *25924:B *25927:A1 0.000151506 -61 *26847:B *24941:A 0.000225781 -62 *26928:B *5911:53 8.66063e-05 -63 *26982:B *26944:A1 0.000117094 -64 *27204:B *27204:A 0.000146732 -65 *27204:B *5825:8 0.00014904 -66 *27204:B *5847:8 0.000221609 -67 *27204:B *5911:53 0.000271345 -68 *5669:7 *5824:142 0.000124158 -69 *5669:19 *25904:A1 0.00064671 -70 *5669:19 *28586:SET_B 0.000125724 -71 *5669:19 *5715:83 0.00138398 -72 *5669:19 *5729:35 0.000764627 -73 *5669:19 *5780:106 0.00010139 -74 *5669:19 *5824:142 3.19123e-05 -75 *5669:19 *5833:175 2.6949e-05 -76 *5669:30 *25897:A0 0.000299557 -77 *5669:30 *28361:D 0.000173083 -78 *5669:30 *5709:85 1.66422e-05 -79 *5669:30 *5798:10 0 -80 *5669:34 *5760:50 1.98839e-05 -81 *5669:39 *25770:S 0.000276846 -82 *5669:39 *25928:A0 5.34654e-05 -83 *5669:39 *28373:RESET_B 0.000544974 -84 *5669:39 *5824:5 2.59355e-05 -85 *5669:39 *5966:16 0.000125717 -86 *5669:43 *28373:RESET_B 0.000508712 -87 *5669:43 *5824:5 0.000270562 -88 *5669:48 *5709:102 7.95888e-05 -89 *5669:81 *28248:D 0.000219711 -90 *5669:81 *5808:36 5.39931e-05 -91 *5669:101 *28303:RESET_B 0.000100831 -92 *5669:101 *5715:137 0.000136951 -93 *5669:101 *5721:10 0.00124909 -94 *5669:111 *5688:242 0.00201801 -95 *5669:173 *25884:A0 5.49995e-05 -96 *5669:201 *25927:A0 5.33005e-05 -97 *5669:232 *25903:A1 0.000199698 -98 *5669:245 *25903:A1 0.000177941 -99 *5669:245 *5780:110 0 -100 *5669:245 *5909:17 0 -101 *5669:251 *28550:RESET_B 7.50601e-05 -102 *5669:251 *5715:32 0.000205883 -103 *5669:251 *5914:48 8.25098e-05 -104 *5669:251 *5914:64 0.000300064 -105 *5669:251 *6258:12 0.000127091 -106 *5669:265 *5708:17 0 -107 *5669:278 *5695:183 0.000292704 -108 *5669:278 *5820:15 0.000109578 -109 *5669:278 *5914:29 0.000341202 -110 *5669:296 *28464:RESET_B 5.33005e-05 -111 *5669:296 *5775:6 0.000141447 -112 *5669:296 *5775:21 8.44271e-06 -113 *5669:296 *5781:12 8.66107e-05 -114 *5669:296 *5820:85 1.54142e-05 -115 *5669:312 *26918:A1 0.000682081 -116 *5669:312 *5676:60 0.000268093 -117 *5669:312 *5676:68 2.44318e-05 -118 *5669:312 *5820:85 0.00106819 -119 *5669:312 *5820:93 4.08637e-05 -120 *5669:312 *5914:18 0.0015852 -121 *5669:317 *28509:D 2.56694e-05 -122 *5669:317 *28583:RESET_B 0 -123 *5669:317 *5825:8 0.000546194 -124 *5669:317 *5876:16 0.000175512 -125 *5669:317 *5911:71 0.000427892 -126 *5669:317 *6087:19 0.000133685 -127 *5669:338 *5825:8 0.000136169 -128 *25060:A1 *26982:B 0.000398809 -129 *25128:B *5669:81 1.38323e-05 -130 *25128:B *5669:85 5.52238e-05 -131 *25199:B1 *5669:30 0.000433075 -132 *25204:B1 *5669:57 0.00022117 -133 *25204:B1 *5669:81 0.000191163 -134 *25245:A1 *5669:173 4.39214e-05 -135 *25245:A2 *5669:173 0.000134132 -136 *25246:A2 *5669:173 0.000632216 -137 *25246:B1 *5669:173 0.00069558 -138 *25246:D1 *5669:173 0.000474902 -139 *25252:A1 *5669:81 0.000475627 -140 *25328:B1 *5669:81 1.33343e-05 -141 *25365:B1 *5669:19 5.97547e-05 -142 *25365:B1 *5669:30 0.000226128 -143 *25677:B1 *5669:254 0.000681021 -144 *25711:B2 *5669:248 0 -145 *25722:S *5669:278 5.33005e-05 -146 *25777:A *25924:B 9.60875e-05 -147 *25784:A0 *5669:85 9.22103e-06 -148 *25784:A0 *5669:101 2.77258e-05 -149 *25822:A *25822:B 0.000263734 -150 *25859:A1 *25822:B 0 -151 *25882:A *5669:43 9.71197e-05 -152 *25894:A *25894:B 4.18895e-05 -153 *25894:A *5669:34 5.52302e-05 -154 *25900:A *5669:232 0.000101546 -155 *25904:S *5669:19 2.14658e-05 -156 *26848:S *26847:B 7.74135e-05 -157 *26913:A1 *5669:278 0.000693567 -158 *26919:A *26982:B 0.000138815 -159 *27000:A *26982:B 0.000125547 -160 *27000:A *5669:320 0.000221212 -161 *27112:A1 *5669:278 0.000742528 -162 *27551:B1 *5669:81 5.33005e-05 -163 *27582:B1 *5669:81 0.000383166 -164 *27610:A1 *5669:265 0.000389077 -165 *27668:D *5669:245 8.00806e-05 -166 *27723:C1 *5669:296 9.56345e-05 -167 *27761:B1 *5669:248 0.000114577 -168 *27910:B2 *5669:101 5.28336e-05 -169 *28262:CLK *25822:B 7.62726e-05 -170 *28294:D *5669:111 1.90936e-05 -171 *28373:CLK *5669:39 4.18895e-05 -172 *28479:D *5669:317 0.000272944 -173 *28499:CLK *5669:265 3.34295e-05 -174 *28550:D *5669:251 0.000157465 -175 *28583:CLK *5669:317 0 -176 *28764:D *5669:317 0 -177 *28913:A *5669:317 0.00185944 -178 *28914:A *5669:317 0.000477388 -179 *29272:A *5669:317 0.000338351 -180 *29272:A *5669:338 2.41865e-05 -181 *29322:A *5669:245 0.000340582 -182 *29395:A *5669:248 0.0015904 -183 *29630:A *5669:296 0.000393521 -184 *29727:A *5669:30 0 -185 *29801:A *5669:48 0.000231332 -186 *29806:A *5669:173 8.55871e-05 -187 *29807:A *5669:48 0.000289735 -188 *29807:A *5669:57 0.000220219 -189 *29819:A *5669:245 9.11365e-06 -190 *29870:A *5669:296 0.000386984 -191 *29921:A *5669:312 5.33005e-05 -192 *30047:A *5669:85 5.71472e-05 -193 *30047:A *5669:101 0.000101011 -194 *30144:A *5669:317 0.000120383 -195 *30165:A *5669:48 0.000153201 -196 *30581:A *5669:312 0.000351156 -197 *244:65 *26982:B 0.000164625 -198 *791:11 *26982:B 0.000137983 -199 *791:11 *5669:320 0.000219289 -200 *1235:159 *26847:B 5.46911e-05 -201 *1269:86 *26847:B 4.29537e-05 -202 *1283:9 *5669:248 0.000158963 -203 *1415:27 *26847:B 0.000145988 -204 *1463:23 *5669:173 0.000135028 -205 *1464:69 *5669:39 8.01328e-05 -206 *1472:25 *5669:111 0.00110444 -207 *1473:56 *5669:81 0.000477392 -208 *1483:10 *5669:81 0.000763899 -209 *1518:15 *5669:101 0.000530836 -210 *1518:15 *5669:111 8.94556e-05 -211 *1627:10 *26847:B 1.28411e-05 -212 *1646:11 *5669:57 4.25809e-05 -213 *1665:29 *25822:B 0.000219711 -214 *1683:10 *5669:48 0.0011747 -215 *1683:10 *5669:57 0.000295845 -216 *1683:10 *5669:81 0.0010155 -217 *1834:9 *5669:254 9.41642e-05 -218 *1834:14 *5669:251 0.000281925 -219 *1863:7 *5669:278 0.000136958 -220 *1869:9 *25924:B 6.09963e-05 -221 *1869:9 *5669:201 1.98839e-05 -222 *1869:29 *5669:30 0.000153054 -223 *1870:7 *5669:57 1.56e-05 -224 *1870:7 *5669:81 2.59355e-05 -225 *1871:12 *5669:34 0.00114082 -226 *1871:12 *5669:39 9.25014e-06 -227 *1875:15 *25822:B 5.33005e-05 -228 *1892:16 *5669:19 1.17921e-05 -229 *1892:23 *5669:19 2.16719e-05 -230 *1894:8 *5669:19 5.33005e-05 -231 *1896:15 *25924:B 0.000260152 -232 *2762:16 *5669:278 0.000750629 -233 *2764:43 *5669:254 5.52238e-05 -234 *2772:85 *5669:232 0.000689224 -235 *2772:85 *5669:245 0.000377174 -236 *2773:155 *5669:19 0.000207871 -237 *2791:233 *5669:265 0.000196262 -238 *2792:65 *5669:312 0.000867483 -239 *2864:230 *5669:81 3.17148e-05 -240 *3165:343 *25822:B 0.000255803 -241 *3165:343 *25858:B 0.000219162 -242 *3179:205 *5669:248 4.24404e-05 -243 *3309:13 *5669:248 5.33005e-05 -244 *3538:76 *27204:B 0.000693242 -245 *3541:38 *25840:B 4.14686e-05 -246 *3541:68 *5669:30 0.000201663 -247 *3541:68 *5669:34 0.00114593 -248 *3541:68 *5669:39 0.000610592 -249 *3578:85 *5669:232 0.000290286 -250 *3581:118 *5669:19 7.37829e-05 -251 *3583:24 *25765:B 0.000141763 -252 *3583:24 *5669:48 8.05006e-05 -253 *3586:14 *5669:111 0.00015733 -254 *3608:21 *5669:296 0.000218679 -255 *3655:70 *5669:39 0.000264428 -256 *3687:26 *5669:101 3.14163e-05 -257 *3688:78 *5669:57 5.33005e-05 -258 *3688:78 *5669:81 1.54142e-05 -259 *3700:8 *5669:111 2.04825e-05 -260 *3700:40 *25840:B 5.71472e-05 -261 *3710:15 *25822:B 0.000117553 -262 *3713:130 *5669:173 0.000204998 -263 *3733:15 *5669:317 0 -264 *3742:36 *26847:B 9.04181e-05 -265 *3742:36 *5669:173 1.98839e-05 -266 *3763:14 *5669:173 9.35307e-05 -267 *3765:21 *5669:173 4.66972e-05 -268 *3765:28 *5669:173 3.82227e-06 -269 *3766:25 *25894:B 5.33005e-05 -270 *3766:25 *5669:34 9.41642e-05 -271 *3775:79 *5669:19 6.57032e-05 -272 *3891:113 *5669:317 5.53312e-05 -273 *3891:113 *5669:338 0.000148109 -274 *3947:15 *5669:48 0.000149379 -275 *3948:24 *5669:101 0.00134821 -276 *3948:64 *25765:B 0.000181796 -277 *4038:17 *25924:B 4.85033e-05 -278 *4043:54 *5669:265 7.50601e-05 -279 *4069:17 *26982:B 0.000332771 -280 *4077:16 *25924:B 3.03032e-05 -281 *4091:64 *5669:81 0.0001149 -282 *4142:34 *25840:B 3.47641e-06 -283 *4142:56 *25840:B 9.22222e-06 -284 *5111:13 *5669:43 1.39841e-05 -285 *5209:30 *25822:B 0.000559232 -286 *5209:30 *25858:B 0.000431519 -287 *5231:21 *5669:57 0 -288 *5301:40 *5669:39 5.68977e-06 -289 *5584:163 *5669:265 7.2754e-05 -290 *5593:36 *5669:265 4.94362e-05 -291 *5593:42 *5669:265 0.000700034 -292 *5628:217 *5669:265 0.00186656 -293 *5629:186 *5669:265 3.29169e-05 -294 *5630:307 *5669:248 0.000213399 -295 *5640:142 *5669:19 0 -296 *5640:264 *5669:278 0.00177705 -297 *5640:285 *5669:296 0.00153402 -298 *5641:204 *5669:278 0.00227853 -299 *5641:211 *5669:296 0.000905026 -300 *5650:304 *5669:245 0.000167385 -*RES -1 *28966:X *5669:7 13.0321 -2 *5669:7 *5669:19 43.1964 -3 *5669:19 *5669:30 34.4464 -4 *5669:30 *5669:34 20.1429 -5 *5669:34 *5669:39 17.9821 -6 *5669:39 *5669:43 11.1964 -7 *5669:43 *5669:48 19.3036 -8 *5669:48 *5669:57 13.8214 -9 *5669:57 *5669:81 49.75 -10 *5669:81 *5669:85 1.375 -11 *5669:85 *5669:101 39.0807 -12 *5669:101 *5669:111 19.8703 -13 *5669:111 *25822:B 46.2643 -14 *5669:111 *25858:B 19.3893 -15 *5669:101 *25840:B 19.2368 -16 *5669:85 *25846:B 9.72857 -17 *5669:57 *25783:B 9.3 -18 *5669:48 *5669:173 39.4643 -19 *5669:173 *26847:B 33.925 -20 *5669:43 *25765:B 20.8536 -21 *5669:39 *25882:B 9.72857 -22 *5669:34 *5669:201 5.03571 -23 *5669:201 *25924:B 22.7286 -24 *5669:201 *25777:B 9.3 -25 *5669:30 *25894:B 9.83571 -26 *5669:19 *25912:B 9.72857 -27 *5669:7 *5669:232 13.4286 -28 *5669:232 *25900:B 13.8 -29 *5669:232 *5669:245 21.6786 -30 *5669:245 *5669:248 39.3571 -31 *5669:248 *5669:251 16.4643 -32 *5669:251 *5669:254 12.0179 -33 *5669:254 *5669:265 40.6964 -34 *5669:265 *5669:278 49.4286 -35 *5669:278 *5669:296 44.1607 -36 *5669:296 *5669:312 46.125 -37 *5669:312 *5669:317 46.3214 -38 *5669:317 *5669:320 6.67857 -39 *5669:320 *27000:B 9.3 -40 *5669:320 *26982:B 29.4964 -41 *5669:312 *5669:338 9.78571 -42 *5669:338 *27204:B 33.3 -43 *5669:338 *26928:B 10.2464 -44 *5669:254 *25677:C1 9.3 -45 *5669:251 *25728:B 18.7821 -46 *5669:248 *25711:C1 9.3 -*END - -*D_NET *5670 0.00623427 -*CONN -*I *25381:A1 I *D sky130_fd_sc_hd__a221o_2 -*I *30698:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25381:A1 0.000239316 -2 *30698:X 0.00105785 -3 *5670:13 0.00129716 -4 *5670:13 *5716:141 0.00133137 -5 *5670:13 *5773:131 1.21365e-05 -6 *6418:DIODE *5670:13 9.41642e-05 -7 *6420:DIODE *5670:13 5.52238e-05 -8 *25381:A2 *25381:A1 1.57155e-05 -9 *826:27 *25381:A1 7.98777e-05 -10 *938:9 *5670:13 5.56943e-05 -11 *2776:212 *5670:13 0 -12 *4147:95 *5670:13 0.000815896 -13 *4206:29 *5670:13 0.00038907 -14 *4465:17 *25381:A1 0.000261933 -15 *4465:17 *5670:13 3.97677e-05 -16 *5461:28 *5670:13 0.000373421 -17 *5461:32 *5670:13 1.27625e-05 -18 *5586:122 *5670:13 0.000102911 -*RES -1 *30698:X *5670:13 46.6036 -2 *5670:13 *25381:A1 13.5321 -*END - -*D_NET *5671 0.0103006 -*CONN -*I *29207:A I *D sky130_fd_sc_hd__buf_8 -*I *30823:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *29207:A 0.000803454 -2 *30823:X 0.00217554 -3 *5671:7 0.002979 -4 *29207:A *5729:179 5.52238e-05 -5 *29207:A *5729:188 0.00109156 -6 *29207:A *5833:191 0.000774315 -7 *5671:7 *30823:A 0.000184728 -8 *5671:7 *5688:25 9.60939e-05 -9 *5671:7 *5891:92 0.000308859 -10 *5671:7 *5909:7 3.63775e-05 -11 *25676:B *29207:A 0.000674448 -12 *26969:A1 *5671:7 4.13595e-05 -13 *30315:A *5671:7 5.03772e-05 -14 *1257:173 *5671:7 0.000253232 -15 *4135:18 *29207:A 0.00077603 -*RES -1 *30823:X *5671:7 48.0143 -2 *5671:7 *29207:A 39.1036 -*END - -*D_NET *5672 0.0234094 -*CONN -*I *25535:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *27969:A2 I *D sky130_fd_sc_hd__o21a_1 -*I *25513:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *25512:B I *D sky130_fd_sc_hd__nand2_1 -*I *31018:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *25535:A2 7.29626e-05 -2 *27969:A2 0.000922616 -3 *25513:B1 0 -4 *25512:B 0.000384874 -5 *31018:X 0 -6 *5672:27 0.000966206 -7 *5672:10 0.00155771 -8 *5672:8 0.00182366 -9 *5672:5 0.0044738 -10 *5672:4 0.00277686 -11 *25512:B *25994:B1 6.05161e-06 -12 *25512:B *6378:28 0.000153047 -13 *27969:A2 *27969:B1 0.000139907 -14 *27969:A2 *29174:A 7.77652e-05 -15 *27969:A2 *5780:125 9.91086e-05 -16 *27969:A2 *6359:8 2.27416e-05 -17 *5672:5 *28203:D 4.55625e-05 -18 *5672:5 *5684:14 0.000399841 -19 *5672:8 *25593:A1 0 -20 *5672:8 *25595:A0 0 -21 *5672:8 *25595:S 0.000202835 -22 *5672:8 *29351:A 4.43698e-05 -23 *5672:8 *5729:92 0.000210198 -24 *5672:8 *5836:324 0.000158579 -25 *5672:8 *5878:12 0 -26 *5672:10 *5729:92 0.000244087 -27 *5672:27 *27969:B1 9.31209e-05 -28 *5672:27 *5729:92 0.000265385 -29 *24812:A *25512:B 0.000154762 -30 *25486:S *5672:8 7.46736e-05 -31 *25513:A2 *5672:27 0.000384117 -32 *25535:A1 *25535:A2 9.60939e-05 -33 *26315:A *5672:5 0.000460291 -34 *26455:A2 *5672:5 0.00151438 -35 *26564:A *5672:5 2.89114e-05 -36 *26564:B *5672:5 0.000426091 -37 *26565:C1 *5672:5 7.48301e-06 -38 *27969:A1 *27969:A2 4.72917e-05 -39 *27971:A3 *25512:B 0.000310292 -40 *27971:A3 *5672:27 3.01775e-05 -41 *27998:A0 *5672:8 0 -42 *28073:CLK *5672:8 0 -43 *28073:D *5672:8 0 -44 *28074:RESET_B *5672:8 0 -45 *28202:CLK *5672:5 0.000236756 -46 *28320:D *25512:B 0 -47 *28825:D *5672:8 0.000376596 -48 *28889:A *5672:5 0.0002282 -49 *29418:A *5672:8 1.88048e-05 -50 *29674:A *5672:5 9.90431e-05 -51 *1188:8 *5672:5 1.92905e-05 -52 *1771:89 *5672:8 5.34835e-05 -53 *1862:11 *27969:A2 0.00022459 -54 *2038:203 *5672:5 0.000243064 -55 *2157:25 *5672:5 0.000315158 -56 *3567:33 *5672:8 0.000570235 -57 *3567:33 *5672:10 0.000250915 -58 *3567:33 *5672:27 0.000263663 -59 *3567:84 *5672:8 0.000166122 -60 *5197:11 *5672:8 0.00136196 -61 *5545:11 *25512:B 0.000224072 -62 *5545:11 *5672:27 8.1579e-05 -*RES -1 *31018:X *5672:4 9.3 -2 *5672:4 *5672:5 55.1607 -3 *5672:5 *5672:8 46.5179 -4 *5672:8 *5672:10 3.16071 -5 *5672:10 *5672:27 16.7321 -6 *5672:27 *25512:B 27.3714 -7 *5672:27 *25513:B1 9.3 -8 *5672:10 *27969:A2 35.4964 -9 *5672:8 *25535:A2 15.1571 -*END - -*D_NET *5673 0.0913098 -*CONN -*I *26310:B I *D sky130_fd_sc_hd__or2_1 -*I *26296:B I *D sky130_fd_sc_hd__or2_1 -*I *26295:B I *D sky130_fd_sc_hd__nor2_2 -*I *26676:A2 I *D sky130_fd_sc_hd__o22a_1 -*I *26479:A2 I *D sky130_fd_sc_hd__o221a_1 -*I *26598:A2 I *D sky130_fd_sc_hd__a211oi_1 -*I *26645:B I *D sky130_fd_sc_hd__or3_1 -*I *26701:A2 I *D sky130_fd_sc_hd__o221a_1 -*I *26254:A I *D sky130_fd_sc_hd__or2_2 -*I *26532:B1 I *D sky130_fd_sc_hd__o22ai_1 -*I *26249:A I *D sky130_fd_sc_hd__nor2_1 -*I *26239:B1 I *D sky130_fd_sc_hd__o22a_1 -*I *26713:B2 I *D sky130_fd_sc_hd__o22a_1 -*I *26259:B I *D sky130_fd_sc_hd__nor2_1 -*I *26221:A2 I *D sky130_fd_sc_hd__a21oi_1 -*I *26244:B I *D sky130_fd_sc_hd__nor2_1 -*I *26237:B I *D sky130_fd_sc_hd__or2_2 -*I *26260:A2 I *D sky130_fd_sc_hd__o22a_1 -*I *26778:A2 I *D sky130_fd_sc_hd__a21oi_1 -*I *26544:A2 I *D sky130_fd_sc_hd__o221a_1 -*I *28967:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *26310:B 7.84036e-05 -2 *26296:B 0 -3 *26295:B 0.000674791 -4 *26676:A2 0.000128704 -5 *26479:A2 6.1728e-05 -6 *26598:A2 0.000934201 -7 *26645:B 0.00133195 -8 *26701:A2 2.3932e-05 -9 *26254:A 9.6467e-05 -10 *26532:B1 0.000165995 -11 *26249:A 0.000493724 -12 *26239:B1 0 -13 *26713:B2 0.00120105 -14 *26259:B 0.000243155 -15 *26221:A2 0 -16 *26244:B 1.91209e-05 -17 *26237:B 0.000790557 -18 *26260:A2 0.000132837 -19 *26778:A2 8.14332e-05 -20 *26544:A2 0.000377823 -21 *28967:X 0 -22 *5673:309 0.00155022 -23 *5673:300 0.00250903 -24 *5673:299 0.00297397 -25 *5673:281 0.00201563 -26 *5673:264 0.00171104 -27 *5673:251 0.00421327 -28 *5673:207 0.00432964 -29 *5673:126 0.00172734 -30 *5673:115 0.00054314 -31 *5673:102 0.000515803 -32 *5673:84 0.00103879 -33 *5673:82 0.000230677 -34 *5673:76 0.00116422 -35 *5673:66 0.000804498 -36 *5673:62 0.00042762 -37 *5673:60 0.000293262 -38 *5673:51 0.00111204 -39 *5673:40 0.00227159 -40 *5673:28 0.00324193 -41 *5673:17 0.00100966 -42 *5673:4 0.000486677 -43 *26249:A *5722:187 4.10304e-05 -44 *26259:B *29438:A 0 -45 *26713:B2 *6357:19 0.00153797 -46 *5673:251 *5782:86 0 -47 *5673:251 *6291:123 2.16755e-05 -48 *5673:264 *5782:46 0.00102283 -49 *5673:264 *5782:51 8.84874e-05 -50 *5673:281 *6291:54 0.000257619 -51 *25812:S *26237:B 0.000726458 -52 *26018:B *5673:299 0.000225609 -53 *26070:A *5673:300 5.52302e-05 -54 *26108:A *5673:264 0.000427983 -55 *26138:A *5673:300 0.000221641 -56 *26138:B *5673:300 9.41642e-05 -57 *26154:B *5673:300 0.000143813 -58 *26206:B *26701:A2 2.59355e-05 -59 *26217:B *5673:126 0.000391626 -60 *26221:B1 *5673:126 0.00132963 -61 *26229:B *5673:251 0.000666975 -62 *26239:A2 *5673:102 0.000136958 -63 *26241:A2 *5673:28 1.30327e-05 -64 *26241:A2 *5673:40 0.000136333 -65 *26242:D *26237:B 0.000368111 -66 *26242:D *5673:82 5.58941e-05 -67 *26242:D *5673:84 5.83304e-05 -68 *26243:A *5673:126 5.33005e-05 -69 *26243:B *5673:126 1.04232e-05 -70 *26249:B *26249:A 0.000221634 -71 *26251:A *26259:B 0.000405024 -72 *26251:A *26713:B2 4.49293e-05 -73 *26251:D *5673:115 6.09256e-05 -74 *26255:A_N *5673:82 3.45739e-05 -75 *26260:B1 *26260:A2 0.000267706 -76 *26260:B1 *5673:76 0.000135028 -77 *26345:A *26295:B 7.69776e-06 -78 *26419:A *26598:A2 9.54418e-05 -79 *26430:A2 *26598:A2 1.24368e-05 -80 *26431:B2 *5673:17 5.33005e-05 -81 *26452:A3 *5673:299 0.00012762 -82 *26461:A1 *5673:264 0.000100936 -83 *26470:B *5673:299 0.000190943 -84 *26479:B1 *26479:A2 2.59355e-05 -85 *26479:B2 *26479:A2 2.59355e-05 -86 *26481:A *5673:281 0.000430653 -87 *26540:B *26778:A2 4.10843e-05 -88 *26540:B *5673:51 7.95355e-05 -89 *26544:A1 *26544:A2 0.000259549 -90 *26544:B2 *26544:A2 3.45371e-05 -91 *26573:B1 *5673:281 0.000145164 -92 *26578:B *5673:309 9.79837e-05 -93 *26589:A *26713:B2 0 -94 *26595:B *5673:207 0.000151333 -95 *26596:A3 *5673:207 0.000218612 -96 *26601:A2 *5673:28 0.000301928 -97 *26601:A2 *5673:207 2.26918e-05 -98 *26606:A *26713:B2 0 -99 *26610:C_N *26713:B2 5.16852e-05 -100 *26645:A *26645:B 0.000178425 -101 *26646:B1 *26645:B 0.000304424 -102 *26676:A1 *26676:A2 9.41642e-05 -103 *26676:B2 *5673:299 0 -104 *26683:A *5673:309 0.000245031 -105 *26685:B *5673:309 0.000164919 -106 *26708:C1 *5673:51 3.34783e-05 -107 *26709:A1 *5673:40 0.00133421 -108 *26709:A2 *5673:40 0.000180524 -109 *26713:B1 *26713:B2 2.95746e-06 -110 *26715:C1 *26259:B 3.67257e-05 -111 *26716:A *26713:B2 0.000196908 -112 *26722:A1 *26713:B2 5.33978e-05 -113 *26752:D *5673:309 3.3536e-05 -114 *26776:A1 *5673:51 0.000135028 -115 *26776:B2 *26778:A2 9.41642e-05 -116 *26776:B2 *5673:51 0.000287976 -117 *26778:A1 *26778:A2 9.66977e-05 -118 *26783:B *5673:309 2.90252e-05 -119 *26783:C *5673:309 0.000107449 -120 *26784:C *5673:309 0.000194342 -121 *26805:B1 *5673:309 0.000196262 -122 *28967:A *26544:A2 2.47753e-05 -123 *30994:A *26645:B 3.47641e-06 -124 *1186:15 *5673:264 2.95726e-05 -125 *1862:28 *26598:A2 0.000168347 -126 *1874:35 *5673:251 0 -127 *1911:61 *5673:264 0.00018568 -128 *1911:61 *5673:281 0.000167495 -129 *1932:37 *5673:251 0.000332917 -130 *1942:179 *26295:B 8.25843e-06 -131 *1945:10 *5673:264 1.94945e-05 -132 *1945:47 *5673:264 0.000154703 -133 *1946:98 *5673:28 0.000251915 -134 *1946:98 *5673:251 6.62655e-05 -135 *1946:105 *26645:B 0.000209378 -136 *1946:131 *26598:A2 6.57032e-05 -137 *1950:22 *5673:300 9.60939e-05 -138 *1963:8 *5673:264 0.0014503 -139 *2006:78 *5673:300 0.000377653 -140 *2011:140 *26295:B 9.23805e-05 -141 *2011:140 *5673:309 0.000686774 -142 *2011:145 *5673:309 8.77778e-05 -143 *2012:17 *26295:B 0.000523798 -144 *2012:17 *5673:309 0.000294352 -145 *2022:101 *26295:B 4.53368e-05 -146 *2022:101 *5673:309 5.90443e-06 -147 *2040:127 *5673:309 6.37823e-06 -148 *2046:115 *26645:B 2.29009e-05 -149 *2046:154 *26645:B 0 -150 *2047:43 *5673:60 0.000572218 -151 *2047:43 *5673:62 0.000142797 -152 *2047:43 *5673:66 0.000435385 -153 *2047:119 *26295:B 4.98422e-05 -154 *2047:155 *5673:309 3.47641e-06 -155 *2049:10 *5673:28 0.000285489 -156 *2049:10 *5673:40 0.00186895 -157 *2056:28 *5673:309 3.14964e-05 -158 *2092:13 *26310:B 7.02611e-05 -159 *2092:13 *5673:300 6.05161e-06 -160 *2099:49 *5673:207 1.94945e-05 -161 *2105:31 *5673:251 0.000218019 -162 *2121:10 *5673:207 3.81909e-05 -163 *2121:10 *5673:251 0.000215635 -164 *2122:9 *5673:207 0.000100831 -165 *2125:48 *26598:A2 5.96516e-05 -166 *2127:27 *26645:B 0.000131766 -167 *2127:45 *5673:40 0.000408196 -168 *2128:10 *5673:251 0.000243611 -169 *2128:23 *5673:207 1.721e-05 -170 *2129:29 *5673:28 3.53786e-05 -171 *2129:29 *5673:207 0.000791953 -172 *2129:29 *5673:251 4.22135e-06 -173 *2131:7 *5673:264 0.000152763 -174 *2131:22 *5673:251 7.68507e-05 -175 *2131:22 *5673:264 0.00038697 -176 *2131:139 *5673:264 1.55485e-05 -177 *2131:152 *5673:281 7.6644e-05 -178 *2133:217 *5673:102 5.52302e-05 -179 *2133:217 *5673:115 0.000770975 -180 *2141:106 *5673:251 0.000125466 -181 *2141:134 *5673:207 0.000106126 -182 *2141:134 *5673:251 0.0015249 -183 *2142:26 *5673:40 0.000293473 -184 *2142:31 *5673:51 0.000401075 -185 *2142:69 *5673:60 0.000134168 -186 *2142:119 *26259:B 4.88637e-05 -187 *2142:119 *5673:115 6.09256e-05 -188 *2142:125 *26259:B 1.33343e-05 -189 *2142:153 *5673:40 0 -190 *2145:81 *5673:40 0.000153125 -191 *2145:81 *5673:51 0.000166776 -192 *2149:30 *5673:76 0.000318323 -193 *2149:30 *5673:82 0.000265016 -194 *2149:41 *5673:102 3.03304e-05 -195 *2151:56 *5673:40 0.000514966 -196 *2151:56 *5673:51 0.000762631 -197 *2152:37 *26532:B1 5.52302e-05 -198 *2152:202 *5673:102 1.58163e-05 -199 *2152:212 *5673:102 6.48868e-05 -200 *2152:212 *5673:115 4.43256e-05 -201 *2154:111 *26479:A2 8.43535e-06 -202 *2157:8 *5673:264 0.000248914 -203 *2157:8 *5673:281 6.44798e-06 -204 *2157:81 *5673:251 0.000165782 -205 *2157:84 *5673:207 1.5942e-05 -206 *2157:84 *5673:251 0.000276268 -207 *2159:11 *5673:115 0.000697703 -208 *2161:54 *26544:A2 8.57023e-05 -209 *2161:54 *5673:40 4.22135e-06 -210 *2161:63 *5673:51 4.4321e-05 -211 *2161:121 *26713:B2 0 -212 *2161:130 *26259:B 1.97381e-05 -213 *2161:130 *26713:B2 0.000347739 -214 *2161:130 *5673:126 4.27338e-05 -215 *2176:70 *5673:207 1.81709e-05 -216 *2178:24 *5673:126 0.000913824 -217 *2179:7 *26237:B 0.000101859 -218 *2183:29 *5673:40 0.000547274 -219 *2183:29 *5673:51 0.000694515 -220 *2183:33 *26237:B 0.000170289 -221 *2183:33 *5673:76 0.00030978 -222 *2183:33 *5673:82 0.000345915 -223 *2183:33 *5673:84 2.82057e-05 -224 *2187:22 *5673:264 1.20379e-05 -225 *2187:22 *5673:281 1.52978e-05 -226 *2190:9 *26249:A 0.000555487 -227 *2196:5 *26254:A 6.05161e-06 -228 *2204:69 *26778:A2 0.000175892 -229 *2204:85 *5673:66 5.84267e-05 -230 *2208:8 *5673:60 0.00057916 -231 *2208:8 *5673:62 0.00015134 -232 *2208:8 *5673:66 0.000643154 -233 *2220:69 *26645:B 3.51368e-05 -234 *2226:38 *26645:B 2.30116e-06 -235 *2226:62 *26479:A2 6.57032e-05 -236 *2237:11 *26295:B 0.000224314 -237 *2250:31 *26701:A2 2.59355e-05 -238 *2250:31 *5673:40 7.11388e-05 -239 *2315:29 *26713:B2 0 -240 *2345:8 *26713:B2 0.00031785 -241 *2357:13 *26598:A2 6.8445e-06 -242 *2484:20 *5673:251 0 -243 *2485:13 *26544:A2 0.000593389 -244 *2485:13 *5673:17 0.000103977 -245 *2485:13 *5673:28 0.000260377 -246 *2489:10 *5673:40 1.62489e-05 -247 *2489:10 *5673:51 0.000144498 -248 *2490:23 *5673:251 8.69554e-05 -249 *2495:5 *5673:299 0.000455576 -250 *2495:14 *5673:299 0.00115899 -251 *2514:17 *5673:300 0.000666008 -252 *2525:13 *5673:309 0.000185446 -253 *2529:8 *26713:B2 0 -254 *2534:37 *26713:B2 6.57032e-05 -255 *2546:18 *26713:B2 3.69949e-05 -256 *2582:28 *26645:B 5.23238e-05 -257 *2587:23 *5673:40 0.00010827 -258 *2615:10 *26676:A2 2.05612e-05 -259 *2615:10 *5673:299 0.00129518 -260 *2618:11 *5673:309 0.000145657 -261 *2638:14 *5673:40 1.18451e-05 -262 *2680:10 *26598:A2 0.000170062 -263 *2688:17 *5673:309 0.000324994 -264 *3510:21 *26598:A2 0.00071291 -265 *3510:41 *26598:A2 0.000300131 -266 *3510:90 *5673:51 0.000112577 -267 *3564:11 *26237:B 2.59355e-05 -268 *3564:14 *26237:B 5.66157e-05 -269 *4353:194 *5673:299 9.7659e-05 -270 *4508:65 *26676:A2 1.98839e-05 -271 *4508:116 *5673:251 1.71321e-05 -272 *4508:132 *5673:251 0.00103865 -273 *4508:144 *5673:251 0 -274 *4530:58 *5673:251 9.16782e-05 -275 *4530:72 *5673:251 0.000255725 -276 *5564:40 *5673:66 3.06406e-05 -277 *5664:157 *26778:A2 8.33813e-05 -*RES -1 *28967:X *5673:4 9.3 -2 *5673:4 *26544:A2 17.675 -3 *5673:4 *5673:17 6.67857 -4 *5673:17 *5673:28 20.3482 -5 *5673:28 *5673:40 41.4171 -6 *5673:40 *5673:51 25.1836 -7 *5673:51 *26778:A2 11.8893 -8 *5673:51 *5673:60 11.9107 -9 *5673:60 *5673:62 1.94643 -10 *5673:62 *5673:66 12.8214 -11 *5673:66 *26260:A2 11.8893 -12 *5673:66 *5673:76 13.625 -13 *5673:76 *5673:82 5.94643 -14 *5673:82 *5673:84 0.732143 -15 *5673:84 *26237:B 31.3536 -16 *5673:84 *5673:102 8.875 -17 *5673:102 *26244:B 9.72857 -18 *5673:102 *5673:115 19.0714 -19 *5673:115 *26221:A2 9.3 -20 *5673:115 *5673:126 19.1429 -21 *5673:126 *26259:B 20.0321 -22 *5673:126 *26713:B2 44.9071 -23 *5673:82 *26239:B1 13.8 -24 *5673:76 *26249:A 22.6929 -25 *5673:62 *26532:B1 15.9786 -26 *5673:60 *26254:A 15.175 -27 *5673:40 *26701:A2 14.3357 -28 *5673:28 *26645:B 27.2117 -29 *5673:17 *5673:207 11.2857 -30 *5673:207 *26598:A2 38.5857 -31 *5673:207 *5673:251 38.7028 -32 *5673:251 *5673:264 43.1518 -33 *5673:264 *26479:A2 15.1571 -34 *5673:264 *5673:281 23.9286 -35 *5673:281 *26676:A2 11.4786 -36 *5673:281 *5673:299 43.4286 -37 *5673:299 *5673:300 25.5893 -38 *5673:300 *5673:309 33.2273 -39 *5673:309 *26295:B 33.5041 -40 *5673:309 *26296:B 13.8 -41 *5673:300 *26310:B 10.6571 -*END - -*D_NET *5674 0.0133763 -*CONN -*I *27999:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28002:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28009:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28014:A2 I *D sky130_fd_sc_hd__a32o_1 -*I *28006:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27997:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27994:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27987:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27991:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30824:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *27999:B2 0.000104309 -2 *28002:B2 5.30957e-05 -3 *28009:B2 1.06546e-05 -4 *28014:A2 0.000790724 -5 *28006:B2 0 -6 *27997:B2 0.000161572 -7 *27994:B2 3.90522e-05 -8 *27987:B2 3.18747e-05 -9 *27991:B2 0.000254259 -10 *30824:X 4.96504e-05 -11 *5674:91 0.00115794 -12 *5674:76 0.000708184 -13 *5674:63 0.000434675 -14 *5674:62 0.000286263 -15 *5674:48 0.000327818 -16 *5674:38 0.000303161 -17 *5674:16 0.000787434 -18 *5674:8 0.000800809 -19 *27991:B2 *25852:A 0 -20 *27991:B2 *27987:A1 8.60291e-05 -21 *27997:B2 *6376:80 4.38968e-05 -22 *27997:B2 *6378:101 3.4323e-05 -23 *27997:B2 *6378:106 2.8266e-06 -24 *28014:A2 *27983:A1 5.52302e-05 -25 *28014:A2 *27983:B2 4.87953e-05 -26 *28014:A2 *5763:163 0 -27 *28014:A2 *6036:95 0 -28 *5674:8 *27988:B2 7.29712e-05 -29 *5674:16 *27987:A1 3.47641e-06 -30 *5674:16 *6378:62 0.000178884 -31 *5674:38 *6378:62 0.00010096 -32 *5674:38 *6378:90 0.000274288 -33 *5674:62 *6378:90 1.02976e-05 -34 *5674:62 *6378:101 1.3135e-05 -35 *5674:76 *28008:B2 3.73103e-05 -36 *5674:76 *6377:87 2.83129e-05 -37 *5674:76 *6378:106 7.12467e-05 -38 *5674:76 *6378:121 2.61036e-05 -39 *5674:91 *28008:B2 5.33172e-05 -40 *5674:91 *6376:138 9.16325e-06 -41 *27982:A *28014:A2 2.14658e-05 -42 *27982:B *28014:A2 0.00014285 -43 *27983:A2 *28014:A2 9.90367e-05 -44 *27983:B1 *28014:A2 6.94218e-05 -45 *27986:C *28014:A2 9.22103e-06 -46 *27987:A2 *5674:16 2.30116e-06 -47 *27987:B1 *5674:16 4.15526e-05 -48 *27988:A2 *5674:38 4.43256e-05 -49 *27988:B1 *27987:B2 2.89016e-05 -50 *27988:B1 *5674:16 0.000184592 -51 *27988:C1 *5674:16 2.44073e-05 -52 *27990:A2 *27997:B2 1.38106e-05 -53 *27990:B1 *5674:16 5.33005e-05 -54 *27991:A2 *28014:A2 0.000312222 -55 *27991:B1 *27991:B2 1.38106e-05 -56 *27991:C1 *27991:B2 3.9582e-05 -57 *27994:A2 *28002:B2 7.54996e-05 -58 *27994:A2 *5674:62 0.000213842 -59 *27994:B1 *27994:B2 5.04841e-06 -60 *27994:C1 *27997:B2 2.96504e-05 -61 *27996:A2 *28014:A2 0 -62 *27997:B1 *27997:B2 1.28809e-05 -63 *28000:A2 *5674:76 0.000294705 -64 *28000:C1 *27999:B2 8.72671e-05 -65 *28000:C1 *5674:63 6.84559e-05 -66 *28000:C1 *5674:76 8.52859e-05 -67 *28002:A2 *5674:76 0.000127279 -68 *28002:A2 *5674:91 0.000178306 -69 *28002:B1 *28002:B2 1.15124e-05 -70 *28003:C1 *5674:76 0.000137561 -71 *28006:A2 *5674:91 0.000294733 -72 *28006:B1 *5674:76 0.000481701 -73 *28006:C1 *5674:76 1.66679e-05 -74 *28009:A2 *28014:A2 1.89507e-06 -75 *28009:C1 *5674:91 5.05027e-05 -76 *28013:B1 *28014:A2 0 -77 *28014:B1 *28014:A2 8.68008e-05 -78 *28014:B2 *28014:A2 0 -79 *29673:A *27991:B2 8.42576e-05 -80 *30367:A *28014:A2 4.16383e-05 -81 *30632:A *27999:B2 0.000161458 -82 *30632:A *5674:63 7.64863e-05 -83 *30632:A *5674:76 8.19176e-05 -84 *30661:A *27987:B2 7.25327e-05 -85 *287:27 *27997:B2 0 -86 *288:10 *27991:B2 3.82227e-06 -87 *290:21 *5674:76 2.23233e-05 -88 *291:18 *5674:76 3.30259e-05 -89 *292:21 *28009:B2 1.403e-05 -90 *3523:16 *27997:B2 1.02504e-05 -91 *3530:15 *28014:A2 0 -92 *3532:10 *28014:A2 0 -93 *4586:37 *27999:B2 2.89016e-05 -94 *4586:37 *5674:8 1.68854e-05 -95 *4652:20 *27999:B2 1.61703e-05 -96 *4774:19 *5674:38 0.000136958 -97 *4774:19 *5674:48 5.52238e-05 -98 *4774:19 *5674:62 0.000564322 -99 *4785:17 *5674:91 0.000136877 -100 *4797:11 *5674:91 0.000144773 -101 *4808:25 *28002:B2 9.41642e-05 -102 *4841:27 *27994:B2 1.38106e-05 -103 *4841:27 *5674:38 4.17014e-05 -104 *4841:27 *5674:48 1.37831e-05 -105 *4841:27 *5674:62 1.56e-05 -106 *4885:31 *27999:B2 5.52238e-05 -107 *4885:31 *5674:8 2.06178e-05 -108 *4919:27 *28009:B2 2.84269e-05 -109 *4963:15 *28014:A2 0.000578695 -110 *5019:29 *28014:A2 0 -*RES -1 *30824:X *5674:8 19.3357 -2 *5674:8 *5674:16 11.9643 -3 *5674:16 *27991:B2 18.608 -4 *5674:16 *27987:B2 14.7643 -5 *5674:8 *5674:38 5.89286 -6 *5674:38 *27994:B2 10.1616 -7 *5674:38 *5674:48 0.535714 -8 *5674:48 *27997:B2 21.2866 -9 *5674:48 *5674:62 9.96429 -10 *5674:62 *5674:63 1.03571 -11 *5674:63 *5674:76 16.9091 -12 *5674:76 *28006:B2 9.3 -13 *5674:76 *5674:91 12.9464 -14 *5674:91 *28014:A2 40.2821 -15 *5674:91 *28009:B2 14.0857 -16 *5674:63 *28002:B2 15.175 -17 *5674:62 *27999:B2 16.5857 -*END - -*D_NET *5675 0.1385 -*CONN -*I *27026:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *26981:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27017:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25709:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25752:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27167:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27053:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27044:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27062:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *6498:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27143:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25606:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25615:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25624:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27116:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27098:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25633:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27089:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26882:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *26900:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27176:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28968:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *27026:A1 0.000747947 -2 *26981:A0 0 -3 *27017:A0 0 -4 *25709:A1 4.36033e-05 -5 *25752:A0 0.000205598 -6 *27167:A1 0 -7 *27053:A0 0 -8 *27044:A0 0.000948563 -9 *27062:A0 0 -10 *6498:DIODE 0.000134343 -11 *27143:A0 1.92319e-05 -12 *25606:A1 0.000140591 -13 *25615:A1 2.13113e-05 -14 *25624:A1 0 -15 *27116:A0 0 -16 *27098:A1 0.000474983 -17 *25633:A0 0.000848301 -18 *27089:A0 2.66361e-05 -19 *26882:A1 0 -20 *26900:A0 2.2403e-05 -21 *27176:A0 0.000703355 -22 *28968:X 0 -23 *5675:338 0.00225828 -24 *5675:284 0.000300738 -25 *5675:281 0.00157068 -26 *5675:245 0.00342707 -27 *5675:244 0.00449384 -28 *5675:235 0.00268236 -29 *5675:223 0.00394139 -30 *5675:213 0.00409616 -31 *5675:191 0.00213997 -32 *5675:187 0.00144869 -33 *5675:149 0.00144138 -34 *5675:147 0.000721285 -35 *5675:145 0.00166365 -36 *5675:140 0.00100244 -37 *5675:132 0.00229732 -38 *5675:123 0.00194077 -39 *5675:119 0.000787789 -40 *5675:99 0.000629133 -41 *5675:97 0.00142022 -42 *5675:86 0.00197026 -43 *5675:79 0.00271256 -44 *5675:67 0.00352316 -45 *5675:58 0.0108374 -46 *5675:36 0.00517412 -47 *5675:34 0.00228195 -48 *5675:13 0.00517452 -49 *5675:4 0.0020856 -50 *25606:A1 *6070:13 4.00349e-05 -51 *27026:A1 *5756:119 0.000234054 -52 *27044:A0 *27252:B 4.60232e-06 -53 *27089:A0 *28672:RESET_B 5.50052e-05 -54 *27098:A1 *25063:A1 9.90367e-05 -55 *27098:A1 *27224:A 0.000172873 -56 *27098:A1 *28129:RESET_B 8.90989e-05 -57 *27098:A1 *5752:35 0.000153304 -58 *27176:A0 *28968:A 2.89114e-05 -59 *5675:34 *5872:19 0.000215921 -60 *5675:58 *5863:163 0.000158318 -61 *5675:86 *5936:6 0.000556688 -62 *5675:97 *5678:106 6.8646e-06 -63 *5675:97 *5753:81 9.0573e-05 -64 *5675:97 *5753:83 0.000189441 -65 *5675:97 *5753:85 0.000438642 -66 *5675:97 *5755:125 0.000421374 -67 *5675:97 *6150:18 7.04599e-05 -68 *5675:119 *28215:RESET_B 0.000256513 -69 *5675:119 *28672:RESET_B 4.17702e-05 -70 *5675:119 *5753:85 9.15842e-06 -71 *5675:119 *5753:87 0.000124012 -72 *5675:132 *26831:A1 0.000139177 -73 *5675:132 *5694:217 0 -74 *5675:132 *5707:260 0.000125095 -75 *5675:140 *5707:260 0.000221473 -76 *5675:145 *5752:56 0.000174813 -77 *5675:145 *5752:66 5.46303e-05 -78 *5675:147 *28129:RESET_B 0.000243666 -79 *5675:147 *5752:35 7.13188e-05 -80 *5675:147 *5752:46 0.000152154 -81 *5675:147 *5752:56 0.000167703 -82 *5675:149 *28129:RESET_B 0.000119407 -83 *5675:149 *5752:35 3.86993e-05 -84 *5675:213 *27217:A 6.4212e-06 -85 *5675:213 *29544:A 6.07474e-05 -86 *5675:213 *5680:257 0.000201779 -87 *5675:213 *5751:107 5.80409e-05 -88 *5675:213 *5926:152 8.83682e-05 -89 *5675:213 *5926:171 0.000808082 -90 *5675:223 *29544:A 0.000985305 -91 *5675:223 *5718:11 9.54798e-06 -92 *5675:223 *5944:17 0.00144474 -93 *5675:223 *6063:27 0.000941837 -94 *5675:235 *5944:17 0.000509595 -95 *5675:235 *6142:14 0.000385737 -96 *5675:245 *25612:A1 0.000428893 -97 *5675:245 *29649:A 0.000487114 -98 *5675:245 *6334:39 0.00019656 -99 *5675:338 *5829:116 0.000369085 -100 *5675:338 *5863:163 1.64247e-05 -101 *24973:A1 *25633:A0 0.000176186 -102 *24988:B2 *5675:13 8.43535e-06 -103 *24990:A1 *5675:58 8.72374e-05 -104 *24990:A2 *5675:34 0 -105 *24990:C1 *5675:58 8.92267e-05 -106 *25015:A2 *27044:A0 0 -107 *25015:A2 *5675:281 0 -108 *25044:A1 *5675:223 0.000803051 -109 *25406:B2 *5675:245 9.85599e-06 -110 *25592:A0 *5675:245 0.000135028 -111 *25592:S *5675:245 0.000163265 -112 *25604:A0 *5675:223 0.000321882 -113 *25606:S *25606:A1 1.65142e-05 -114 *25615:A0 *5675:235 1.50444e-05 -115 *25709:A0 *25709:A1 5.33005e-05 -116 *25710:A0 *25752:A0 0.000462336 -117 *25710:A0 *5675:132 9.60939e-05 -118 *26900:A1 *26900:A0 5.65955e-05 -119 *26900:A1 *5675:58 0.000251121 -120 *26981:A1 *27026:A1 9.41642e-05 -121 *26981:S *27026:A1 5.52302e-05 -122 *27017:A1 *5675:86 0.000257619 -123 *27017:S *5675:86 5.03772e-05 -124 *27017:S *5675:97 1.02821e-05 -125 *27062:A1 *5675:284 0.000184745 -126 *27089:S *5675:97 9.58557e-05 -127 *27089:S *5675:119 1.32293e-05 -128 *27098:A0 *27098:A1 5.71472e-05 -129 *27098:S *27098:A1 0.000108214 -130 *27167:A0 *5675:132 9.98662e-05 -131 *27167:A0 *5675:140 5.65955e-05 -132 *27167:S *5675:132 0.000139202 -133 *27176:A1 *27176:A0 2.28598e-05 -134 *27267:A *5675:281 1.61405e-05 -135 *27267:A *5675:284 5.49544e-05 -136 *27267:B *27044:A0 2.6809e-05 -137 *27267:B *5675:281 5.25192e-06 -138 *27275:A *5675:223 1.80461e-05 -139 *27828:A2 *5675:13 0.00015546 -140 *27828:B2 *5675:13 2.84269e-05 -141 *27828:C1 *5675:13 0.000137983 -142 *28100:D *5675:244 3.06123e-05 -143 *28113:CLK *5675:245 5.50052e-05 -144 *28181:CLK *5675:119 0.000148273 -145 *28219:D *5675:123 9.01334e-05 -146 *28633:D *27044:A0 3.92854e-05 -147 *28665:D *27098:A1 3.69949e-05 -148 *28680:D *5675:147 0.000148189 -149 *28704:CLK *5675:284 0.000120708 -150 *28741:CLK *5675:67 1.05952e-05 -151 *28741:D *5675:67 0.000278374 -152 *29183:A *5675:244 3.75959e-05 -153 *29507:A *5675:235 6.09762e-05 -154 *29598:A *5675:119 0.000177294 -155 *29916:A *5675:132 5.33005e-05 -156 *30038:A *27176:A0 0.000347785 -157 *30042:A *27026:A1 6.80116e-05 -158 *30042:A *5675:338 0.000175892 -159 *30111:A *26900:A0 9.66977e-05 -160 *30111:A *5675:58 0.000139215 -161 *30285:A *5675:140 0.000309266 -162 *30285:A *5675:145 5.52302e-05 -163 *30296:A *27098:A1 0.000122221 -164 *30300:A *25633:A0 6.69154e-05 -165 *30303:A *5675:149 5.5056e-05 -166 *30320:A *25752:A0 1.72894e-05 -167 *30322:A *5675:191 0.000148189 -168 *30347:A *6498:DIODE 0.000183713 -169 *30347:A *5675:284 0.000139907 -170 *30381:A *27026:A1 0.000127781 -171 *30460:A *5675:97 0.000364967 -172 *30493:A *5675:147 0.000312743 -173 *30510:A *5675:281 7.83587e-05 -174 *30561:A *25752:A0 7.3237e-05 -175 *30561:A *5675:123 4.29366e-05 -176 *30561:A *5675:132 4.58194e-05 -177 *30613:A *5675:213 3.7143e-05 -178 *30635:A *25633:A0 4.72217e-05 -179 *30934:A *5675:245 0.00013656 -180 *244:57 *27098:A1 0.000123029 -181 *244:65 *27026:A1 0.000222313 -182 *457:17 *25606:A1 2.48326e-05 -183 *527:16 *5675:97 7.69776e-06 -184 *770:24 *5675:223 0.000221439 -185 *1178:18 *5675:223 0 -186 *1240:130 *5675:223 7.03632e-05 -187 *1240:137 *5675:213 1.94054e-05 -188 *1245:92 *5675:223 0.00103975 -189 *1245:116 *5675:213 0.00047551 -190 *1245:116 *5675:223 0.000303241 -191 *1245:142 *5675:213 0.000366729 -192 *1254:40 *5675:223 0.00142323 -193 *1258:100 *5675:34 0.000342225 -194 *1261:101 *27026:A1 6.71327e-05 -195 *1261:101 *5675:338 0.000289244 -196 *1264:92 *5675:213 0.000209539 -197 *1264:116 *5675:191 0.000426091 -198 *1264:116 *5675:213 0.000135846 -199 *1264:116 *5675:281 0.00024732 -200 *1270:114 *5675:97 0.00105632 -201 *1271:49 *27044:A0 4.19624e-06 -202 *1273:130 *5675:67 0.00166552 -203 *1273:265 *27143:A0 1.21258e-05 -204 *1273:265 *5675:191 3.14048e-05 -205 *1274:172 *27026:A1 4.91832e-05 -206 *1277:98 *5675:191 0.000135519 -207 *1277:98 *5675:213 0 -208 *1277:98 *5675:281 7.89868e-05 -209 *1288:229 *27176:A0 6.59233e-05 -210 *1288:229 *5675:13 0.00318174 -211 *1288:229 *5675:338 0.000424029 -212 *1290:53 *5675:223 0.00190248 -213 *1290:89 *5675:223 0.000194821 -214 *1304:28 *5675:34 0.000110498 -215 *1339:10 *27044:A0 0.000627887 -216 *1347:31 *5675:97 0.00130649 -217 *1498:49 *5675:245 4.88315e-05 -218 *1624:26 *5675:187 0.000204016 -219 *1798:19 *5675:213 3.62863e-05 -220 *1824:38 *5675:235 1.58163e-05 -221 *1824:38 *5675:244 0.000372148 -222 *2746:5 *5675:235 0.000127728 -223 *2748:9 *5675:132 0.000204129 -224 *2760:69 *26900:A0 2.12087e-05 -225 *2763:108 *5675:338 0.00038021 -226 *2776:139 *27026:A1 0.000177009 -227 *2776:144 *27026:A1 0.000831237 -228 *2778:35 *6498:DIODE 9.46929e-05 -229 *2778:203 *6498:DIODE 6.05161e-06 -230 *2778:203 *5675:284 1.38323e-05 -231 *2781:21 *5675:97 4.75428e-05 -232 *2781:38 *5675:97 9.02385e-06 -233 *2798:25 *5675:223 6.91755e-05 -234 *2800:18 *5675:213 9.99101e-05 -235 *2824:9 *27044:A0 0.000149543 -236 *2824:28 *6498:DIODE 2.59355e-05 -237 *2835:15 *5675:284 0.000261196 -238 *2855:298 *5675:67 1.65169e-05 -239 *2856:293 *5675:67 6.13757e-06 -240 *2874:190 *27176:A0 1.39726e-05 -241 *3040:41 *5675:213 0.000431379 -242 *3041:15 *27143:A0 5.33005e-05 -243 *3041:15 *5675:191 9.41642e-05 -244 *3163:20 *27044:A0 5.33005e-05 -245 *3164:249 *5675:58 2.08375e-05 -246 *3164:249 *5675:67 6.96562e-05 -247 *3179:67 *5675:34 0.000312949 -248 *3179:67 *5675:58 3.26486e-05 -249 *3179:67 *5675:67 0.000114626 -250 *3634:63 *5675:132 0.000322453 -251 *3634:70 *5675:123 0.00022117 -252 *3634:70 *5675:132 0.000165254 -253 *3634:82 *5675:119 0.000742967 -254 *3634:82 *5675:123 0.000148911 -255 *3648:24 *5675:244 7.70035e-05 -256 *3682:21 *27026:A1 0.000324971 -257 *3735:58 *5675:67 8.85712e-05 -258 *3748:11 *5675:86 0.000354791 -259 *3748:15 *5675:86 0.000154354 -260 *3796:14 *5675:140 0.000194684 -261 *3796:23 *5675:140 2.59355e-05 -262 *3800:13 *5675:140 0.000193833 -263 *3825:14 *27044:A0 0 -264 *3825:14 *5675:281 9.25014e-06 -265 *3825:37 *27044:A0 0 -266 *3851:31 *5675:213 0.000183334 -267 *3865:17 *5675:119 8.22025e-05 -268 *3878:49 *5675:34 0.00034394 -269 *3903:26 *5675:140 0.000616094 -270 *3904:12 *25633:A0 0.000184325 -271 *3904:21 *5675:140 0.000117974 -272 *3956:10 *5675:34 3.78791e-05 -273 *3982:17 *25633:A0 6.12668e-05 -274 *3982:56 *5675:58 0.000194537 -275 *3982:71 *5675:58 0.000207056 -276 *3995:9 *5675:132 0.000347174 -277 *4008:11 *5675:13 0.000118144 -278 *4020:7 *5675:67 9.41642e-05 -279 *4574:20 *27098:A1 1.44566e-05 -280 *4685:8 *5675:145 0 -281 *4685:8 *5675:147 0 -282 *4881:17 *25606:A1 0.000140254 -283 *5421:10 *5675:245 0.00110871 -284 *5426:19 *27098:A1 6.72397e-05 -285 *5461:18 *5675:97 1.5381e-05 -286 *5461:20 *5675:79 0.000509849 -287 *5468:25 *5675:119 0.000101863 -288 *5468:25 *5675:123 2.1527e-05 -289 *5468:31 *5675:79 0.000958184 -290 *5491:30 *5675:245 3.5097e-05 -291 *5504:49 *5675:223 0.000528957 -292 *5504:49 *5675:235 0.00134557 -293 *5506:7 *5675:245 0.00018283 -294 *5517:18 *5675:235 6.25524e-05 -295 *5523:96 *5675:213 0.000402498 -296 *5528:27 *5675:132 6.8646e-06 -297 *5529:31 *5675:97 0.00156082 -298 *5529:31 *5675:132 0.00111143 -299 *5530:32 *6498:DIODE 2.05612e-05 -300 *5530:32 *5675:281 0.000279362 -301 *5531:18 *27044:A0 0.000420535 -302 *5531:18 *5675:281 4.64346e-05 -303 *5536:11 *5675:187 0.00182419 -304 *5539:17 *5675:213 0.000348872 -305 *5539:17 *5675:223 1.12713e-05 -306 *5570:11 *27098:A1 1.69961e-05 -307 *5583:151 *5675:86 0.000132208 -308 *5601:119 *5675:34 2.10787e-05 -309 *5602:21 *5675:187 0 -310 *5602:100 *27026:A1 0.000192912 -311 *5609:33 *5675:223 0.000230924 -312 *5631:35 *5675:13 4.97097e-05 -313 *5633:60 *5675:13 0.000672167 -314 *5642:57 *5675:79 9.73548e-05 -315 *5643:316 *5675:34 0 -316 *5652:128 *5675:338 0.000360537 -317 *5660:52 *5675:58 0.000107263 -318 *5663:35 *5675:34 0.00109677 -319 *5665:285 *5675:235 0.00026573 -320 *5667:230 *5675:213 5.7836e-06 -*RES -1 *28968:X *5675:4 9.3 -2 *5675:4 *27176:A0 18.2643 -3 *5675:4 *5675:13 31.75 -4 *5675:13 *5675:34 49.1113 -5 *5675:34 *5675:36 4.5 -6 *5675:36 *26900:A0 10.2464 -7 *5675:36 *5675:58 32.0618 -8 *5675:58 *5675:67 47.0357 -9 *5675:67 *26882:A1 9.3 -10 *5675:58 *5675:79 49.7143 -11 *5675:79 *5675:86 23.3393 -12 *5675:86 *5675:97 49.7321 -13 *5675:97 *5675:99 4.5 -14 *5675:99 *27089:A0 9.83571 -15 *5675:99 *5675:119 22.1964 -16 *5675:119 *5675:123 9.17857 -17 *5675:123 *5675:132 44.0536 -18 *5675:132 *5675:140 25.4821 -19 *5675:140 *5675:145 10.7768 -20 *5675:145 *5675:147 15.4554 -21 *5675:147 *5675:149 3.16071 -22 *5675:149 *25633:A0 36.8536 -23 *5675:149 *27098:A1 25.6393 -24 *5675:147 *27116:A0 13.8 -25 *5675:145 *5675:187 9.74967 -26 *5675:187 *5675:191 11.1875 -27 *5675:191 *5675:213 49.5465 -28 *5675:213 *5675:223 28.5176 -29 *5675:223 *5675:235 21.4363 -30 *5675:235 *5675:244 23.0179 -31 *5675:244 *5675:245 43.6607 -32 *5675:245 *25624:A1 9.3 -33 *5675:235 *25615:A1 9.72857 -34 *5675:223 *25606:A1 19.9689 -35 *5675:191 *27143:A0 9.83571 -36 *5675:187 *5675:281 17.0625 -37 *5675:281 *5675:284 9.55357 -38 *5675:284 *6498:DIODE 12.3 -39 *5675:284 *27062:A0 9.3 -40 *5675:281 *27044:A0 33.5857 -41 *5675:140 *27053:A0 9.3 -42 *5675:132 *27167:A1 9.3 -43 *5675:123 *25752:A0 14.3536 -44 *5675:119 *25709:A1 14.3357 -45 *5675:86 *27017:A0 9.3 -46 *5675:13 *5675:338 36.3571 -47 *5675:338 *26981:A0 9.3 -48 *5675:338 *27026:A1 40.6392 -*END - -*D_NET *5676 0.0944038 -*CONN -*I *26864:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27134:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27071:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27194:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27203:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27185:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26945:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27125:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26972:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27035:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26909:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26990:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26891:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26963:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26927:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26936:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26999:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26918:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27152:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26954:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *28969:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *26864:A0 0.00150809 -2 *27134:A0 0 -3 *27071:A0 0 -4 *27194:A0 0.000356877 -5 *27203:A0 0 -6 *27185:A0 0.000436415 -7 *26945:A0 0.00109626 -8 *27125:A0 0.00161314 -9 *26972:A0 0.000333071 -10 *27035:A0 0.000110462 -11 *26909:A0 0 -12 *26990:A0 7.70325e-05 -13 *26891:A0 0.000293228 -14 *26963:A0 0.000249737 -15 *26927:A0 1.71915e-05 -16 *26936:A0 0 -17 *26999:A0 0 -18 *26918:A0 0 -19 *27152:A0 0 -20 *26954:A1 0.000300796 -21 *28969:X 0 -22 *5676:307 0.00192849 -23 *5676:292 0.00102132 -24 *5676:264 0.000903522 -25 *5676:184 0.00118076 -26 *5676:179 0.000981859 -27 *5676:172 0.000734931 -28 *5676:154 0.00178811 -29 *5676:137 0.00257704 -30 *5676:131 0.00141818 -31 *5676:127 0.000315074 -32 *5676:125 0.0014038 -33 *5676:110 0.00219113 -34 *5676:95 0.00564164 -35 *5676:87 0.00579842 -36 *5676:80 0.00293825 -37 *5676:68 0.00193899 -38 *5676:60 0.00166343 -39 *5676:47 0.00106331 -40 *5676:26 0.0032763 -41 *5676:23 0.00150801 -42 *5676:20 0.000924358 -43 *5676:4 0.000376447 -44 *26864:A0 *26862:A1 0 -45 *26864:A0 *28454:D 9.41642e-05 -46 *26864:A0 *28454:RESET_B 1.75989e-05 -47 *26864:A0 *5880:24 5.68722e-05 -48 *26864:A0 *6241:9 0.000700633 -49 *26864:A0 *6252:13 0.00048939 -50 *26864:A0 *6285:21 3.99227e-05 -51 *26927:A0 *5767:55 4.17433e-05 -52 *26945:A0 *5824:286 0.000261122 -53 *26945:A0 *6004:15 0.000627419 -54 *26954:A1 *28969:A 0.000136958 -55 *26954:A1 *5682:11 9.60875e-05 -56 *26954:A1 *5683:43 0.00038907 -57 *26954:A1 *5926:66 0.000379504 -58 *26954:A1 *6201:11 5.33005e-05 -59 *27125:A0 *27125:S 1.21258e-05 -60 *27185:A0 *27203:A1 0.000258024 -61 *27194:A0 *5912:54 4.70078e-05 -62 *5676:20 *5682:11 5.33005e-05 -63 *5676:20 *5683:43 4.27935e-05 -64 *5676:26 *5814:10 8.14485e-05 -65 *5676:26 *5814:12 6.28109e-05 -66 *5676:47 *5814:12 0.000378042 -67 *5676:68 *26918:A1 0.000425953 -68 *5676:87 *5862:26 0.000106316 -69 *5676:110 *5680:168 9.41642e-05 -70 *5676:110 *5767:178 0.000404237 -71 *5676:154 *5767:55 0.000343534 -72 *5676:154 *5863:163 0.000153047 -73 *5676:172 *5767:56 6.51946e-05 -74 *5676:172 *5767:60 4.46186e-06 -75 *5676:172 *5767:125 0.000163915 -76 *5676:292 *5814:10 0 -77 *5676:307 *28454:RESET_B 8.44656e-05 -78 *5676:307 *6224:18 4.00349e-05 -79 *24821:A *26864:A0 0 -80 *24829:A *26864:A0 0.000372854 -81 *24829:A *5676:307 1.31653e-05 -82 *24831:A *26864:A0 0 -83 *24853:A *5676:125 4.00679e-05 -84 *25042:A2 *26945:A0 0.000105302 -85 *25051:B1 *5676:87 0.000228694 -86 *25054:A2 *5676:80 0.00119037 -87 *25054:A2 *5676:264 0.000443564 -88 *25054:B1 *5676:80 0 -89 *25062:A1 *5676:95 0.000308768 -90 *25070:C *5676:95 0.000103363 -91 *26936:S *5676:154 8.90371e-05 -92 *26963:A1 *26963:A0 0.000126713 -93 *26963:A1 *5676:184 0.00014705 -94 *26963:S *26963:A0 8.43535e-06 -95 *26972:A1 *26972:A0 0.000277095 -96 *26972:A1 *27035:A0 0.000135028 -97 *26990:A1 *26990:A0 9.41642e-05 -98 *26998:S *5676:110 1.98839e-05 -99 *26999:A1 *5676:125 0.000549154 -100 *27125:A1 *27125:A0 0.00031266 -101 *27152:S *5676:47 2.79421e-05 -102 *27203:S *27185:A0 2.27189e-05 -103 *27784:B2 *5676:87 0.000643225 -104 *27784:B2 *5676:95 0.00100853 -105 *27787:B1 *5676:87 0.00127412 -106 *27791:A *5676:87 0.000513042 -107 *27791:D *5676:87 5.18717e-05 -108 *27793:A1 *27125:A0 6.05161e-06 -109 *27798:A1 *5676:110 4.59261e-05 -110 *27822:B2 *5676:60 0.000192773 -111 *27836:B1 *5676:110 0.000197758 -112 *28125:D *5676:47 0.000258582 -113 *28481:D *26891:A0 0.000150618 -114 *28527:CLK *5676:87 0.000420324 -115 *28555:CLK *26891:A0 0.000556523 -116 *28576:CLK *5676:110 6.05161e-06 -117 *28577:D *5676:110 5.33005e-05 -118 *28577:D *5676:125 5.36e-05 -119 *28609:D *5676:125 6.57032e-05 -120 *28639:CLK *27194:A0 0.000283257 -121 *28639:CLK *5676:23 0.000104534 -122 *29072:A *5676:125 3.69047e-06 -123 *29086:A *5676:87 0.000147722 -124 *29630:A *5676:26 4.5147e-05 -125 *29630:A *5676:47 2.06178e-05 -126 *29898:A *5676:26 9.87365e-05 -127 *29939:A *26864:A0 6.42932e-05 -128 *29955:A *5676:307 0.000136572 -129 *29956:A *26864:A0 9.25014e-06 -130 *29956:A *5676:307 3.76295e-05 -131 *29978:A *26954:A1 9.58632e-05 -132 *29979:A *26954:A1 2.8266e-06 -133 *30043:A *26891:A0 5.83233e-05 -134 *30043:A *5676:184 2.59355e-05 -135 *30046:A *5676:184 4.87953e-05 -136 *30053:A *5676:110 2.42516e-05 -137 *30057:A *5676:154 0.000128331 -138 *30057:A *5676:172 1.92789e-05 -139 *30060:A *26972:A0 4.58194e-05 -140 *30063:A *5676:137 6.43196e-05 -141 *30064:A *26927:A0 2.59355e-05 -142 *30064:A *5676:154 6.94952e-05 -143 *30077:A *26945:A0 0.000181914 -144 *30153:A *5676:87 2.22594e-05 -145 *30712:A *5676:292 0.00038878 -146 *30712:A *5676:307 3.39147e-05 -147 *244:65 *5676:137 0.000270094 -148 *785:21 *26864:A0 0.000263255 -149 *1083:15 *27194:A0 0.00018372 -150 *1225:203 *5676:264 0.000284708 -151 *1242:135 *27125:A0 7.6644e-05 -152 *1265:149 *5676:80 0 -153 *1265:161 *5676:26 1.90936e-05 -154 *1274:141 *5676:137 0.000271809 -155 *1288:157 *5676:87 0 -156 *1315:10 *5676:154 0.000171375 -157 *1364:19 *5676:87 0.000289763 -158 *1367:53 *5676:154 9.99092e-05 -159 *1391:7 *5676:154 6.05161e-06 -160 *1835:84 *26864:A0 7.48301e-06 -161 *2759:31 *5676:80 9.01672e-05 -162 *2764:129 *5676:154 0.00129393 -163 *2767:62 *26963:A0 6.05161e-06 -164 *2767:142 *5676:80 5.00194e-05 -165 *2767:167 *5676:47 7.69776e-06 -166 *2770:27 *5676:125 0.000411294 -167 *2770:27 *5676:127 6.91157e-05 -168 *2770:27 *5676:131 0.000453097 -169 *2772:45 *5676:80 4.65519e-05 -170 *2772:164 *5676:125 0.000359912 -171 *2772:164 *5676:127 7.90803e-05 -172 *2772:164 *5676:131 0.000453104 -173 *2773:8 *5676:154 0.000173097 -174 *2776:158 *5676:154 6.06291e-05 -175 *2779:48 *5676:307 0.000251754 -176 *2791:20 *5676:60 0.000191248 -177 *2792:62 *5676:26 1.90936e-05 -178 *2792:78 *5676:87 0.000775615 -179 *2792:85 *5676:87 0.000280103 -180 *2792:95 *5676:87 0.0001326 -181 *2792:96 *5676:95 0.000866373 -182 *2792:96 *5676:110 0.000317602 -183 *2844:399 *5676:95 0.000801374 -184 *2844:399 *5676:110 0.000315894 -185 *2856:325 *5676:87 0 -186 *2861:51 *5676:87 0.000106316 -187 *2866:296 *5676:95 0.000169731 -188 *2866:307 *5676:95 0.000329518 -189 *2872:355 *26945:A0 4.65519e-05 -190 *2882:303 *26945:A0 0.000186339 -191 *2895:281 *5676:95 0 -192 *3165:85 *5676:87 2.01997e-05 -193 *3215:309 *5676:47 0.000280703 -194 *3333:17 *26945:A0 0.000384392 -195 *3340:6 *27125:A0 7.83659e-05 -196 *3537:35 *26954:A1 8.12055e-05 -197 *3537:35 *5676:20 5.41794e-05 -198 *3538:76 *27185:A0 7.49387e-06 -199 *3538:76 *5676:264 0.000115141 -200 *3558:251 *26891:A0 0.00010326 -201 *3565:10 *5676:47 9.82436e-05 -202 *3580:57 *5676:154 0.000359211 -203 *3582:44 *26954:A1 1.98839e-05 -204 *3614:19 *5676:87 0.000485583 -205 *3618:8 *5676:172 0.000417891 -206 *3705:14 *26954:A1 0.000126718 -207 *3786:57 *5676:125 0.000220357 -208 *3796:163 *5676:47 9.41642e-05 -209 *3798:68 *5676:95 9.34324e-05 -210 *3798:98 *5676:95 0.000137423 -211 *3798:99 *5676:87 0.000140146 -212 *3891:65 *5676:110 0.000525842 -213 *3900:99 *5676:47 3.34493e-05 -214 *3902:24 *5676:87 0.000117673 -215 *3902:32 *5676:87 0.000728952 -216 *3926:29 *5676:292 0 -217 *3939:104 *26864:A0 4.30584e-06 -218 *3939:104 *5676:26 0.00201483 -219 *3939:104 *5676:47 1.90936e-05 -220 *3939:104 *5676:307 0.000183794 -221 *3965:22 *5676:47 0.00114952 -222 *3965:29 *5676:47 4.65356e-05 -223 *3993:14 *5676:80 0 -224 *3993:14 *5676:87 0 -225 *4004:16 *5676:20 0.000146474 -226 *4004:16 *5676:292 0.000381306 -227 *4006:51 *5676:87 0 -228 *4033:8 *5676:80 0.00121647 -229 *4033:8 *5676:264 0.000754431 -230 *4056:53 *26864:A0 8.14928e-05 -231 *4056:53 *5676:20 4.56594e-05 -232 *4056:53 *5676:292 0.000721444 -233 *4056:53 *5676:307 4.15526e-05 -234 *4085:27 *27125:A0 0.00030308 -235 *4123:20 *26945:A0 1.90936e-05 -236 *4124:59 *5676:125 2.17473e-05 -237 *4138:18 *5676:26 0.000724909 -238 *4147:106 *5676:179 0.000185304 -239 *4147:106 *5676:184 0.000459684 -240 *4149:57 *5676:87 0.0002076 -241 *4149:77 *27125:A0 1.36687e-05 -242 *5452:16 *26864:A0 0.000238798 -243 *5461:26 *5676:179 0.00019384 -244 *5461:26 *5676:184 0.000457962 -245 *5462:55 *26864:A0 0.000182266 -246 *5528:53 *26891:A0 0.000313044 -247 *5528:55 *5676:125 0.000338676 -248 *5607:115 *5676:87 0.000100474 -249 *5614:13 *5676:184 3.45453e-05 -250 *5629:229 *5676:47 0 -251 *5637:43 *5676:87 0 -252 *5637:43 *5676:95 0 -253 *5638:195 *5676:80 0.000537426 -254 *5641:211 *5676:60 0.00114822 -255 *5651:326 *26945:A0 1.90936e-05 -256 *5656:281 *5676:110 0.000321417 -257 *5669:312 *5676:60 0.000268093 -258 *5669:312 *5676:68 2.44318e-05 -*RES -1 *28969:X *5676:4 9.3 -2 *5676:4 *26954:A1 28.05 -3 *5676:4 *5676:20 6.98214 -4 *5676:20 *5676:23 7.5 -5 *5676:23 *5676:26 31.3393 -6 *5676:26 *5676:47 41.5666 -7 *5676:47 *27152:A0 9.3 -8 *5676:26 *5676:60 32.3214 -9 *5676:60 *26918:A0 9.3 -10 *5676:60 *5676:68 9.14286 -11 *5676:68 *5676:80 31.3475 -12 *5676:80 *5676:87 48.6379 -13 *5676:87 *5676:95 22.6755 -14 *5676:95 *5676:110 29.5357 -15 *5676:110 *26999:A0 9.3 -16 *5676:110 *5676:125 33.0714 -17 *5676:125 *5676:127 1.03571 -18 *5676:127 *5676:131 10.3929 -19 *5676:131 *5676:137 19.5714 -20 *5676:137 *5676:154 48.375 -21 *5676:154 *26936:A0 9.3 -22 *5676:137 *26927:A0 9.83571 -23 *5676:131 *5676:172 18.2321 -24 *5676:172 *5676:179 9.75 -25 *5676:179 *5676:184 16.2679 -26 *5676:184 *26963:A0 12.3 -27 *5676:184 *26891:A0 28.8 -28 *5676:179 *26990:A0 14.7464 -29 *5676:172 *26909:A0 9.3 -30 *5676:127 *27035:A0 15.1571 -31 *5676:125 *26972:A0 19.6929 -32 *5676:95 *27125:A0 41.3357 -33 *5676:87 *26945:A0 34.6284 -34 *5676:68 *5676:264 17.6429 -35 *5676:264 *27185:A0 15.1929 -36 *5676:264 *27203:A0 9.3 -37 *5676:23 *27194:A0 16.55 -38 *5676:20 *5676:292 14.1429 -39 *5676:292 *27071:A0 13.8 -40 *5676:292 *5676:307 14.0845 -41 *5676:307 *27134:A0 13.8 -42 *5676:307 *26864:A0 49.2464 -*END - -*D_NET *5677 0.000961416 -*CONN -*I *29925:A I *D sky130_fd_sc_hd__buf_6 -*I *28970:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *29925:A 0.000169671 -2 *28970:X 0.000169671 -3 *29925:A *5893:81 0 -4 *29925:A *5897:13 0.000271345 -5 *28450:CLK *29925:A 0.00014285 -6 *30710:A *29925:A 6.87574e-05 -7 *3603:164 *29925:A 0.000139122 -*RES -1 *28970:X *29925:A 32.1357 -*END - -*D_NET *5678 0.0935863 -*CONN -*I *27007:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27088:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27034:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27211:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27166:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27106:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27052:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27142:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25604:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *26843:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27079:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27061:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27097:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27115:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25632:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27016:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26899:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27070:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26881:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27133:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28971:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *27007:A0 0 -2 *27088:A0 8.2383e-05 -3 *27034:A0 0 -4 *27211:A0 9.3488e-05 -5 *27166:A1 0 -6 *27106:A0 0.000247469 -7 *27052:A0 0 -8 *27142:A0 0 -9 *25604:A1 0.000307337 -10 *26843:A0 0 -11 *27079:A0 0.00111341 -12 *27061:A0 3.42917e-05 -13 *27097:A1 0.000404085 -14 *27115:A0 0.000278576 -15 *25632:A0 0.000459817 -16 *27016:A0 0 -17 *26899:A0 0 -18 *27070:A0 0 -19 *26881:A1 0 -20 *27133:A0 0.000466926 -21 *28971:X 6.88338e-05 -22 *5678:267 0.00163371 -23 *5678:247 0.00283202 -24 *5678:224 0.00261906 -25 *5678:216 0.000651577 -26 *5678:204 0.00108298 -27 *5678:183 0.00097379 -28 *5678:181 0.0011178 -29 *5678:176 0.00197778 -30 *5678:158 0.00292042 -31 *5678:141 0.00148213 -32 *5678:129 0.000984067 -33 *5678:113 0.00119604 -34 *5678:111 0.000815413 -35 *5678:106 0.000932674 -36 *5678:97 0.000891956 -37 *5678:85 0.000889862 -38 *5678:81 0.00167044 -39 *5678:71 0.00296716 -40 *5678:47 0.00312715 -41 *5678:18 0.000945457 -42 *5678:11 0.00127259 -43 *5678:7 0.0021512 -44 *25604:A1 *28393:D 6.64705e-05 -45 *25604:A1 *5707:200 7.52178e-05 -46 *25604:A1 *6070:13 2.6269e-05 -47 *25604:A1 *6142:14 0.000223675 -48 *25632:A0 *5970:18 0.000803618 -49 *27061:A0 *5713:208 7.48091e-05 -50 *27079:A0 *25523:C 8.54768e-06 -51 *27079:A0 *27217:A 0.00028279 -52 *27079:A0 *5680:257 0 -53 *27079:A0 *5720:13 0 -54 *27079:A0 *5751:83 0.000708452 -55 *27079:A0 *5926:152 4.41233e-05 -56 *27079:A0 *6380:46 0.00015673 -57 *27079:A0 *6380:58 0.000176154 -58 *27079:A0 *6381:101 0.000141768 -59 *27079:A0 *6385:139 4.03318e-05 -60 *27088:A0 *6150:18 5.22045e-05 -61 *27115:A0 *27461:A1 9.4995e-05 -62 *27133:A0 *5757:134 0.000595612 -63 *27211:A0 *27211:S 1.07719e-05 -64 *5678:7 *5936:25 2.79421e-05 -65 *5678:18 *5757:134 0.00078477 -66 *5678:71 *28757:RESET_B 6.89988e-05 -67 *5678:71 *5679:35 1.09116e-05 -68 *5678:71 *5755:192 6.20441e-06 -69 *5678:85 *5755:92 0.000647619 -70 *5678:141 *5753:53 2.63501e-05 -71 *5678:141 *5873:91 0.000109831 -72 *5678:158 *5873:94 7.69776e-06 -73 *5678:158 *5873:106 9.43938e-05 -74 *5678:176 *24983:B2 5.02373e-06 -75 *5678:176 *5970:27 6.71391e-06 -76 *5678:176 *5970:43 0.000824573 -77 *5678:183 *27461:A1 0.000125459 -78 *5678:216 *27233:A 1.78394e-05 -79 *5678:216 *27252:A 0.0001399 -80 *5678:216 *5713:208 4.59075e-05 -81 *5678:216 *5751:148 0.000262082 -82 *5678:216 *6330:17 1.6591e-05 -83 *5678:247 *27233:A 0.00168701 -84 *5678:247 *27252:A 2.64807e-05 -85 *5678:247 *28441:RESET_B 8.58829e-05 -86 *5678:247 *5713:203 0.000656145 -87 *5678:247 *6320:17 7.60881e-05 -88 *5678:247 *6385:139 4.05179e-06 -89 *5678:267 *25261:A1 1.21258e-05 -90 *5678:267 *27092:A0 0.00073856 -91 *5678:267 *28627:SET_B 0.000441995 -92 *5678:267 *5707:178 0.000484518 -93 *5678:267 *5707:200 0.000478626 -94 *5678:267 *5707:222 0.00022459 -95 *5678:267 *5746:31 0.000303376 -96 *5678:267 *6320:17 0.000241925 -97 *24981:A2 *5678:106 0.000135028 -98 *24983:A2 *5678:176 3.06878e-06 -99 *24984:C1 *25632:A0 0.000177815 -100 *24991:A2 *5678:181 0.00015851 -101 *25015:A2 *27079:A0 0 -102 *25015:A2 *5678:216 0.000289908 -103 *25015:A2 *5678:247 0 -104 *25017:A1 *27133:A0 0.000304482 -105 *25022:A1 *5678:176 4.2303e-05 -106 *25022:A1 *5678:181 0.000222666 -107 *25032:A *5678:81 0.00055513 -108 *25521:B1 *5678:247 0.000258648 -109 *25604:A0 *25604:A1 0.000175892 -110 *26843:A1 *5678:247 0.000132879 -111 *26843:A1 *5678:267 2.23337e-05 -112 *26899:A1 *5678:47 0 -113 *26899:A1 *5678:71 0.000235543 -114 *27007:A1 *5678:106 0.000455533 -115 *27007:S *5678:97 4.00679e-05 -116 *27017:S *5678:97 5.33005e-05 -117 *27034:S *5678:111 0.000151318 -118 *27061:A1 *27061:A0 9.90367e-05 -119 *27061:A1 *5678:216 0.000215102 -120 *27061:S *5678:247 6.24939e-05 -121 *27070:A1 *5678:11 0.000336275 -122 *27070:S *5678:11 1.02936e-05 -123 *27070:S *5678:18 0.000158902 -124 *27079:S *27079:A0 5.52238e-05 -125 *27097:A0 *27097:A1 5.71472e-05 -126 *27142:A1 *5678:204 0.000600191 -127 *27166:A0 *5678:129 0.000125153 -128 *27166:S *5678:129 1.02132e-05 -129 *27166:S *5678:141 1.2828e-05 -130 *27214:A1 *5678:247 5.33811e-05 -131 *27229:A *27079:A0 9.71057e-05 -132 *27262:B *5678:247 0.00310589 -133 *27462:A0 *27079:A0 3.92854e-05 -134 *27802:A2 *5678:129 4.32309e-05 -135 *27806:A2 *5678:158 4.58194e-05 -136 *27844:A0 *5678:247 0.00030824 -137 *27844:A0 *5678:267 1.69961e-05 -138 *27844:S *5678:267 0.000183713 -139 *28520:D *5678:85 1.53472e-05 -140 *28593:CLK *5678:85 5.33005e-05 -141 *28593:CLK *5678:97 0.000135028 -142 *28624:CLK *5678:176 0.00019009 -143 *28640:CLK *27133:A0 0.000119662 -144 *28640:CLK *5678:18 0.000792603 -145 *28705:CLK *27097:A1 0.000145239 -146 *28705:D *27097:A1 9.41642e-05 -147 *28725:CLK *27106:A0 0.000177596 -148 *28725:D *27106:A0 7.95355e-05 -149 *28757:CLK *5678:71 3.39603e-05 -150 *29487:A *27079:A0 3.93986e-05 -151 *29839:A *25604:A1 0.000190172 -152 *30092:A *5678:111 3.37161e-05 -153 *30092:A *5678:113 0.000508511 -154 *30308:A *27106:A0 6.71327e-05 -155 *30393:A *5678:11 2.42516e-05 -156 *30417:A *5678:47 0.000136676 -157 *30454:A *5678:247 1.21289e-05 -158 *30454:A *5678:267 0.000161389 -159 *30470:A *27115:A0 9.60875e-05 -160 *30493:A *27097:A1 4.8035e-05 -161 *30522:A *5678:216 1.8781e-05 -162 *1103:24 *27079:A0 2.26312e-05 -163 *1218:154 *5678:181 0.000157023 -164 *1218:154 *5678:183 2.7729e-05 -165 *1244:53 *5678:247 0.000402028 -166 *1262:224 *5678:71 2.04825e-05 -167 *1270:114 *5678:106 0.000138358 -168 *1278:41 *5678:216 0.000110316 -169 *1300:8 *27115:A0 2.60158e-05 -170 *1317:30 *27211:A0 0.000124083 -171 *1317:30 *5678:71 0 -172 *1317:30 *5678:129 0 -173 *1339:24 *5678:158 0.000259186 -174 *1367:18 *5678:158 0.000116309 -175 *1368:37 *5678:11 0.00035838 -176 *1368:37 *5678:47 0.000350002 -177 *1385:15 *27115:A0 0.000247216 -178 *1385:16 *27115:A0 0.000193234 -179 *1385:16 *5678:181 0.000779772 -180 *1385:16 *5678:183 0.000751385 -181 *1536:13 *5678:267 7.70626e-05 -182 *1815:19 *25604:A1 2.59355e-05 -183 *1826:46 *5678:247 0.00185055 -184 *1864:150 *5678:71 4.76401e-05 -185 *2760:51 *5678:47 0.00130442 -186 *2760:51 *5678:71 4.61529e-05 -187 *2760:69 *5678:71 0.000202161 -188 *2772:196 *5678:81 0.000462483 -189 *2772:196 *5678:85 0.000108511 -190 *2773:17 *5678:85 5.52238e-05 -191 *2773:17 *5678:97 8.17274e-05 -192 *2775:50 *5678:85 0.00167098 -193 *2777:105 *5678:176 5.33005e-05 -194 *2777:105 *5678:181 0.000222666 -195 *2782:183 *27115:A0 4.94195e-05 -196 *2787:17 *5678:204 2.14757e-05 -197 *2787:17 *5678:216 0.000149885 -198 *2798:60 *5678:247 0.00028317 -199 *2799:18 *27079:A0 5.33005e-05 -200 *2811:15 *27061:A0 2.14757e-05 -201 *2859:313 *5678:47 0.000198839 -202 *2889:166 *5678:47 0.000216755 -203 *2998:30 *27133:A0 4.33733e-05 -204 *3009:9 *5678:71 1.94879e-05 -205 *3164:249 *5678:71 4.65519e-05 -206 *3215:178 *5678:47 0.000303368 -207 *3348:17 *5678:129 2.84109e-05 -208 *3352:10 *5678:129 0 -209 *3352:10 *5678:158 0.000258982 -210 *3682:48 *5678:71 1.09611e-05 -211 *3708:37 *5678:81 0.000719684 -212 *3773:19 *5678:113 2.70242e-05 -213 *3773:19 *5678:129 9.67621e-05 -214 *3800:27 *5678:176 0 -215 *3826:57 *5678:71 3.70515e-05 -216 *3864:23 *5678:85 0.000745692 -217 *3865:17 *27106:A0 0.000145258 -218 *3878:43 *5678:176 0.00032787 -219 *3916:39 *5678:11 0.00035838 -220 *3916:39 *5678:47 0.00035753 -221 *3929:34 *27133:A0 0.00017721 -222 *3943:9 *5678:183 0.000384139 -223 *3982:46 *5678:129 0.000336109 -224 *3982:46 *5678:141 6.62925e-05 -225 *3995:26 *25632:A0 4.53515e-05 -226 *3995:26 *5678:129 0 -227 *3995:26 *5678:158 0.000496623 -228 *3995:32 *5678:113 5.96516e-05 -229 *3995:32 *5678:129 6.05161e-06 -230 *4007:6 *27211:A0 0.000126439 -231 *4007:6 *5678:158 0.000290279 -232 *4046:18 *5678:71 0.000722258 -233 *4124:116 *5678:81 0.000373691 -234 *4150:65 *5678:81 0.00051407 -235 *4334:15 *5678:111 2.14757e-05 -236 *4334:15 *5678:113 0.000701417 -237 *4352:19 *5678:247 8.40344e-05 -238 *5486:22 *5678:267 0.000907345 -239 *5526:16 *25604:A1 0.000228791 -240 *5528:33 *5678:97 0.000649854 -241 *5528:33 *5678:106 0.000369471 -242 *5528:33 *5678:111 0.000879365 -243 *5529:31 *5678:106 0.000754276 -244 *5536:11 *5678:247 3.76833e-05 -245 *5539:33 *27079:A0 0.000206875 -246 *5583:178 *5678:176 0.00124466 -247 *5592:24 *5678:158 0.000257619 -248 *5599:39 *5678:267 0.000304394 -249 *5601:128 *5678:81 0.00038043 -250 *5602:52 *25632:A0 9.60808e-05 -251 *5602:52 *5678:158 0.00182455 -252 *5602:53 *5678:81 0.000316828 -253 *5602:53 *5678:85 0.000235402 -254 *5608:71 *5678:97 0.000649854 -255 *5608:71 *5678:106 0.000367523 -256 *5608:71 *5678:111 0.000881087 -257 *5639:90 *5678:71 0.000168521 -258 *5650:31 *27133:A0 1.00073e-05 -259 *5662:33 *5678:158 0.000375355 -260 *5663:27 *5678:71 2.63937e-05 -261 *5675:97 *5678:106 6.8646e-06 -*RES -1 *28971:X *5678:7 14.7464 -2 *5678:7 *5678:11 17.1071 -3 *5678:11 *5678:18 18.375 -4 *5678:18 *27133:A0 24.4071 -5 *5678:18 *26881:A1 13.8 -6 *5678:11 *27070:A0 9.3 -7 *5678:7 *5678:47 31.2321 -8 *5678:47 *26899:A0 9.3 -9 *5678:47 *5678:71 40.6119 -10 *5678:71 *5678:81 31.7902 -11 *5678:81 *5678:85 26.5625 -12 *5678:85 *27016:A0 9.3 -13 *5678:85 *5678:97 20.0357 -14 *5678:97 *5678:106 29.6786 -15 *5678:106 *5678:111 17.2143 -16 *5678:111 *5678:113 12.0357 -17 *5678:113 *5678:129 21.3571 -18 *5678:129 *5678:141 13.3005 -19 *5678:141 *5678:158 48.3214 -20 *5678:158 *25632:A0 23.0679 -21 *5678:158 *5678:176 41.3393 -22 *5678:176 *5678:181 16.8214 -23 *5678:181 *5678:183 9.83929 -24 *5678:183 *27115:A0 20.675 -25 *5678:183 *27097:A1 20.4964 -26 *5678:181 *5678:204 12.4286 -27 *5678:204 *5678:216 21.9821 -28 *5678:216 *27061:A0 10.6571 -29 *5678:216 *5678:224 4.5 -30 *5678:224 *27079:A0 36.675 -31 *5678:224 *5678:247 23.9487 -32 *5678:247 *26843:A0 9.3 -33 *5678:247 *5678:267 47.9464 -34 *5678:267 *25604:A1 22.1571 -35 *5678:204 *27142:A0 9.3 -36 *5678:176 *27052:A0 9.3 -37 *5678:141 *27106:A0 18.175 -38 *5678:129 *27166:A1 9.3 -39 *5678:113 *27211:A0 20.4786 -40 *5678:111 *27034:A0 9.3 -41 *5678:106 *27088:A0 14.7464 -42 *5678:97 *27007:A0 9.3 -*END - -*D_NET *5679 0.0441739 -*CONN -*I *27151:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26926:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26980:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26971:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26962:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26890:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27175:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26935:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27184:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27202:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28972:X O *D sky130_fd_sc_hd__clkbuf_4 -*CAP -1 *27151:A0 4.21597e-05 -2 *26926:A0 2.96825e-05 -3 *26980:A0 0 -4 *26971:A0 0.000119067 -5 *26962:A0 0.000930742 -6 *26890:A0 8.91412e-05 -7 *27175:A0 0.00025468 -8 *26935:A0 0.00131796 -9 *27184:A0 0.000708078 -10 *27202:A0 0.000121519 -11 *28972:X 0.000238159 -12 *5679:107 0.00109381 -13 *5679:98 0.000303781 -14 *5679:95 0.000255076 -15 *5679:92 0.00159783 -16 *5679:81 0.00272659 -17 *5679:46 0.00232511 -18 *5679:40 0.0024619 -19 *5679:35 0.00355784 -20 *5679:19 0.00209969 -21 *5679:8 0.00179232 -22 *27202:A0 *5926:105 7.95355e-05 -23 *5679:19 *28623:RESET_B 8.93791e-05 -24 *5679:19 *5756:60 0.000212502 -25 *5679:92 *28480:RESET_B 0.00036705 -26 mgmt_gpio_out[7] *5679:92 6.20635e-05 -27 *6617:DIODE *27151:A0 9.58126e-05 -28 *25020:C1 *5679:92 0.000180764 -29 *26890:A1 *26890:A0 5.33005e-05 -30 *26890:S *26890:A0 1.98839e-05 -31 *26926:A1 *5679:92 0.000149279 -32 *26964:B *27202:A0 0.000259267 -33 *26980:A1 *5679:95 5.33005e-05 -34 *27151:A1 *5679:8 0.000262956 -35 *27175:S *27175:A0 0.000135028 -36 *27812:A1 *27175:A0 0.000136676 -37 *28741:CLK *5679:46 0.000499454 -38 *30397:A *5679:92 0.000219711 -39 *30398:A *5679:81 9.20635e-06 -40 *30398:A *5679:92 0.000119068 -41 *30867:A *5679:92 5.56679e-05 -42 *30869:A *26962:A0 4.58194e-05 -43 *1185:72 *5679:8 0.000150293 -44 *1185:72 *5679:19 0.000170654 -45 *1246:91 *5679:8 0.000459992 -46 *1262:220 *27202:A0 9.41642e-05 -47 *1273:156 *5679:19 0.00033899 -48 *1293:220 *5679:8 7.19508e-05 -49 *1304:28 *5679:40 0.000243098 -50 *1304:39 *5679:40 2.88665e-05 -51 *1304:39 *5679:46 0.000186856 -52 *1357:10 *5679:92 0.000613097 -53 *1864:150 *5679:19 0 -54 *2763:124 *5679:92 5.87097e-05 -55 *2768:22 *5679:19 5.39236e-05 -56 *2768:22 *5679:35 0.000155907 -57 *2768:22 *5679:81 0.000122084 -58 *2776:117 *26935:A0 7.83587e-05 -59 *2788:39 *27151:A0 9.83442e-05 -60 *2855:298 *5679:46 0.000267814 -61 *2855:310 *5679:40 9.44056e-05 -62 *2861:39 *5679:19 0.000385699 -63 *2883:158 *5679:19 0.000256969 -64 *2883:168 *5679:19 0.00097214 -65 *2885:82 *5679:19 0.000179156 -66 *3164:249 *27175:A0 0.000278502 -67 *3164:249 *5679:40 0.00214676 -68 *3164:249 *5679:46 8.94491e-05 -69 *3179:67 *5679:81 0.000157331 -70 *3340:19 *5679:19 1.60253e-05 -71 *3682:48 *5679:35 0.000120245 -72 *3682:50 *5679:46 1.81709e-05 -73 *3695:26 *5679:81 0.00109555 -74 *3760:12 *5679:8 2.52319e-05 -75 *3826:57 *5679:19 3.56854e-05 -76 *3826:57 *5679:35 8.9784e-05 -77 *3826:60 *27202:A0 9.11697e-05 -78 *3916:34 *5679:8 9.25014e-06 -79 *3916:34 *5679:19 0.000165911 -80 *4124:116 *26935:A0 3.92757e-05 -81 *5461:20 *26971:A0 0.000380677 -82 *5461:20 *5679:98 0.00065399 -83 *5461:20 *5679:107 0.000116121 -84 *5589:166 *5679:8 0.000110073 -85 *5589:180 *26935:A0 0.00167415 -86 *5589:180 *27184:A0 0.000870574 -87 *5604:63 *5679:8 0.000136676 -88 *5606:94 *5679:92 2.59355e-05 -89 *5627:162 *27151:A0 0.000180951 -90 *5644:45 *5679:19 0.000338379 -91 *5647:41 *27202:A0 8.95905e-05 -92 *5656:240 *5679:19 0.000667073 -93 *5656:240 *5679:35 4.4316e-05 -94 *5659:24 *26971:A0 0.000205715 -95 *5659:24 *5679:107 2.71985e-05 -96 *5659:28 *5679:92 0.0022482 -97 *5659:28 *5679:98 0.000654698 -98 *5659:28 *5679:107 6.715e-05 -99 *5660:102 *5679:81 0 -100 *5663:27 *5679:35 1.28958e-05 -101 *5663:27 *5679:46 0.000806576 -102 *5663:35 *5679:40 0.00022134 -103 *5678:71 *5679:35 1.09116e-05 -*RES -1 *28972:X *5679:8 21.9607 -2 *5679:8 *5679:19 31.4717 -3 *5679:19 *27202:A0 20.9868 -4 *5679:19 *5679:35 1.18283 -5 *5679:35 *5679:40 12.4067 -6 *5679:40 *5679:46 18.3597 -7 *5679:46 *27184:A0 18.05 -8 *5679:46 *26935:A0 35.8893 -9 *5679:40 *27175:A0 19.2873 -10 *5679:35 *5679:81 22.6818 -11 *5679:81 *5679:92 48.2321 -12 *5679:92 *5679:95 5.44643 -13 *5679:95 *5679:98 12.8214 -14 *5679:98 *26890:A0 15.1571 -15 *5679:98 *5679:107 1.64286 -16 *5679:107 *26962:A0 24.7464 -17 *5679:107 *26971:A0 18.7821 -18 *5679:95 *26980:A0 9.3 -19 *5679:81 *26926:A0 9.83571 -20 *5679:8 *27151:A0 15.5679 -*END - -*D_NET *5680 0.219441 -*CONN -*I *25690:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *26863:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27193:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26917:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26872:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25724:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27043:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26998:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27025:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *28971:A I *D sky130_fd_sc_hd__buf_6 -*I *6570:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25623:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25751:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25707:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *6501:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6502:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6548:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25614:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *28972:A I *D sky130_fd_sc_hd__clkbuf_4 -*I *26989:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26908:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26944:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27124:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25742:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28973:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *25690:A1 0.000150579 -2 *26863:A0 3.75241e-05 -3 *27193:A0 0 -4 *26917:A0 0.000104021 -5 *26872:A0 6.41574e-05 -6 *25724:A1 0 -7 *27043:A0 0 -8 *26998:A0 8.92664e-05 -9 *27025:A1 0.000110338 -10 *28971:A 0 -11 *6570:DIODE 0 -12 *25623:A1 0 -13 *25751:A0 0 -14 *25707:A1 0.00020024 -15 *6501:DIODE 2.98436e-05 -16 *6502:DIODE 3.0164e-05 -17 *6548:DIODE 0.000129885 -18 *25614:A1 0 -19 *28972:A 0 -20 *26989:A0 5.22884e-05 -21 *26908:A0 0 -22 *26944:A0 5.34408e-05 -23 *27124:A0 7.21947e-05 -24 *25742:A0 0.000166653 -25 *28973:X 2.94474e-05 -26 *5680:371 0.000589327 -27 *5680:360 0.000482434 -28 *5680:358 0.000830313 -29 *5680:352 0.00184133 -30 *5680:348 0.00180895 -31 *5680:343 0.00399153 -32 *5680:322 0.00349605 -33 *5680:320 0.000677982 -34 *5680:308 0.0025279 -35 *5680:282 0.00208032 -36 *5680:278 0.00348258 -37 *5680:276 0.00500498 -38 *5680:257 0.00613108 -39 *5680:241 0.00694158 -40 *5680:239 0.00299881 -41 *5680:232 0.00129557 -42 *5680:217 0.000780523 -43 *5680:215 0.00159058 -44 *5680:213 0.00183924 -45 *5680:209 0.0019527 -46 *5680:196 0.00313375 -47 *5680:176 0.00559313 -48 *5680:168 0.00271276 -49 *5680:147 0.00212262 -50 *5680:134 0.000558556 -51 *5680:129 0.00125786 -52 *5680:115 0.00132491 -53 *5680:106 0.0030649 -54 *5680:89 0.006557 -55 *5680:77 0.0043386 -56 *5680:66 0.00232654 -57 *5680:54 0.00193328 -58 *5680:52 0.00126171 -59 *5680:40 0.00158439 -60 *5680:30 0.00235578 -61 *5680:18 0.00215414 -62 *5680:5 0.000390202 -63 *6502:DIODE *6081:7 9.80173e-05 -64 *25742:A0 *5926:63 0 -65 *26872:A0 *26872:A1 6.05161e-06 -66 *26917:A0 *5709:327 7.22574e-05 -67 *26944:A0 *26944:S 9.41642e-05 -68 *26944:A0 *28529:RESET_B 9.41642e-05 -69 *26944:A0 *5766:196 1.98839e-05 -70 *27025:A1 *27025:A0 5.33005e-05 -71 *27124:A0 *27124:S 2.14757e-05 -72 *5680:5 *5828:17 0.0001399 -73 *5680:5 *5897:13 0.0001399 -74 *5680:18 *5828:17 0.000227532 -75 *5680:18 *5893:81 7.88542e-05 -76 *5680:18 *5897:13 0.000428274 -77 *5680:30 *26862:A1 1.90936e-05 -78 *5680:30 *28177:D 0.000973017 -79 *5680:30 *28451:RESET_B 0.000345563 -80 *5680:30 *5683:29 0.000336114 -81 *5680:30 *5893:81 0.00206398 -82 *5680:40 *25739:A0 0.000208334 -83 *5680:40 *5701:58 2.30116e-06 -84 *5680:40 *6222:14 0.000123987 -85 *5680:52 *27124:S 0 -86 *5680:52 *28536:RESET_B 2.04825e-05 -87 *5680:52 *5682:264 1.27529e-05 -88 *5680:52 *5775:128 0 -89 *5680:52 *6217:20 0 -90 *5680:66 *25724:A0 7.50814e-05 -91 *5680:66 *27150:A1 0.000146283 -92 *5680:66 *27192:A0 0.000105233 -93 *5680:66 *28748:RESET_B 0 -94 *5680:66 *5870:19 0 -95 *5680:66 *5905:16 0.000768417 -96 *5680:66 *5921:8 0.000233996 -97 *5680:77 *25724:A0 0.00017788 -98 *5680:77 *29054:A 0.000117416 -99 *5680:77 *5820:85 8.56851e-05 -100 *5680:77 *5905:16 0.000122657 -101 *5680:89 *27040:A0 2.42516e-05 -102 *5680:89 *5696:21 2.05612e-05 -103 *5680:89 *5905:16 7.69776e-06 -104 *5680:106 *5800:84 0.000302718 -105 *5680:106 *5801:19 6.34336e-06 -106 *5680:115 *5766:196 8.25114e-05 -107 *5680:115 *5910:80 2.09897e-05 -108 *5680:129 *25061:B2 7.02611e-05 -109 *5680:129 *26944:S 0 -110 *5680:129 *28511:RESET_B 0.000127439 -111 *5680:129 *28529:RESET_B 5.58941e-05 -112 *5680:129 *5766:197 0.000174805 -113 *5680:129 *5910:88 7.02611e-05 -114 *5680:129 *5911:115 0.000414564 -115 *5680:147 *27043:A1 6.86792e-05 -116 *5680:147 *28496:D 0.000167368 -117 *5680:147 *5910:88 0.000523799 -118 *5680:168 *5767:178 9.58126e-05 -119 *5680:196 *28568:RESET_B 9.59532e-06 -120 *5680:209 *5777:259 5.6876e-05 -121 *5680:213 *5777:259 0.000434061 -122 *5680:232 *5936:25 4.27437e-05 -123 *5680:239 *5714:36 0.00125182 -124 *5680:239 *5936:25 2.59355e-05 -125 *5680:241 *5714:16 0.00285295 -126 *5680:241 *5714:29 0.000724562 -127 *5680:241 *6343:22 0.000125731 -128 *5680:257 *5714:13 4.91498e-05 -129 *5680:257 *5720:13 1.53632e-05 -130 *5680:257 *5926:152 5.28368e-06 -131 *5680:257 *6330:17 7.40571e-05 -132 *5680:257 *6331:13 5.4061e-05 -133 *5680:257 *6385:139 0 -134 *5680:276 *24819:A 0.000699195 -135 *5680:276 *27225:A1 8.6229e-06 -136 *5680:276 *5720:87 9.23883e-05 -137 *5680:276 *5720:97 0.00047834 -138 *5680:278 *28103:D 0.000467638 -139 *5680:278 *5944:17 4.58976e-05 -140 *5680:278 *5945:18 0.00172076 -141 *5680:308 *25598:A1 0.000669248 -142 *5680:308 *29028:A 0.000487467 -143 *5680:308 *5691:201 0.000446057 -144 *5680:308 *5745:16 6.42095e-05 -145 *5680:343 *28380:RESET_B 1.18064e-05 -146 *5680:343 *29577:A 2.07761e-05 -147 *5680:343 *5699:156 0.000212255 -148 *5680:358 *25773:A0 0.00014285 -149 *5680:358 *6081:7 0.000161263 -150 *5680:360 *6081:7 0.0002083 -151 *5680:371 *6014:26 0.000245356 -152 pll_trim[6] *5680:320 0.000164552 -153 pll_trim[9] *5680:320 1.94945e-05 -154 *24827:A *5680:52 0.00013124 -155 *24832:A *5680:30 7.48679e-06 -156 *24832:A *5680:40 0.000679002 -157 *24986:A2 *5680:215 0.000822049 -158 *24987:C1 *5680:215 3.57844e-05 -159 *25013:A2 *5680:196 0 -160 *25014:A2 *5680:196 0.000902175 -161 *25017:C1 *5680:241 0.000870372 -162 *25044:A1 *5680:276 0.00200058 -163 *25381:A2 *5680:106 0.00170395 -164 *25381:B2 *5680:115 1.94945e-05 -165 *25385:A1 *5680:343 2.33059e-05 -166 *25401:A1 *5680:276 2.3917e-05 -167 *25401:A1 *5680:278 1.01708e-06 -168 *25401:A2 *5680:278 1.39726e-05 -169 *25534:B2 *5680:257 0.000107313 -170 *25556:A0 *5680:371 0.000320521 -171 *25594:A0 *5680:308 2.59355e-05 -172 *25594:S *5680:308 5.52302e-05 -173 *25598:A0 *5680:308 0.00035893 -174 *25614:S *5680:282 1.98839e-05 -175 *25614:S *5680:308 0.000702221 -176 *25623:S *6548:DIODE 0.000141975 -177 *25623:S *5680:320 1.98839e-05 -178 *25623:S *5680:322 6.57914e-05 -179 *25696:S *5680:371 0.000425923 -180 *25708:A1 *6501:DIODE 2.59355e-05 -181 *25708:A1 *25707:A1 4.43256e-05 -182 *25708:A1 *5680:371 0.000127478 -183 *25742:A1 *25742:A0 0.0003698 -184 *25773:A1 *5680:358 0.000294914 -185 *25918:A *5680:343 4.25661e-05 -186 *25938:S *5680:343 4.32957e-05 -187 *26946:A *5680:77 0.0008308 -188 *26989:S *5680:196 9.46912e-05 -189 *26995:A1 *5680:89 0.000105667 -190 *26998:S *26998:A0 6.05161e-06 -191 *27004:S *5680:89 9.0145e-05 -192 *27078:S *5680:257 0.000425357 -193 *27079:A0 *5680:257 0 -194 *27124:A1 *27124:A0 9.60875e-05 -195 *27124:A1 *5680:52 0.000154501 -196 *27216:B *5680:276 0.00157042 -197 *27256:A2 *5680:257 0.000342539 -198 *27442:B2 *5680:241 1.17968e-05 -199 *27460:A2 *5680:241 9.0175e-05 -200 *27460:B1 *5680:241 6.057e-07 -201 *27460:B2 *5680:241 0 -202 *27474:A *5680:215 2.04825e-05 -203 *27798:A1 *5680:168 0.000178425 -204 *27798:B1 *5680:168 3.78299e-05 -205 *27799:C1 *5680:168 3.86706e-05 -206 *27811:B2 *5680:232 5.203e-05 -207 *27833:B1 *5680:89 0.000158584 -208 *27836:A1 *5680:168 0.000603936 -209 *27836:A2 *5680:168 0.000177811 -210 *27836:B2 *5680:168 4.86391e-05 -211 *27869:B2 *5680:320 2.42516e-05 -212 *27889:B1 *5680:352 0.000424029 -213 *28101:CLK *5680:308 5.52302e-05 -214 *28110:CLK *5680:308 4.87854e-05 -215 *28112:CLK *5680:308 3.80079e-05 -216 *28170:CLK *5680:106 0.000360669 -217 *28190:D *5680:115 4.65519e-05 -218 *28236:D *5680:352 5.95659e-05 -219 *28236:D *5680:358 0.00015732 -220 *28450:CLK *5680:18 0 -221 *28452:D *5680:30 4.6025e-06 -222 *28460:D *5680:147 0.000263524 -223 *28589:CLK *5680:106 0.000344039 -224 *28617:CLK *5680:257 0.000226852 -225 *28793:D *5680:257 3.89943e-05 -226 *28910:A *5680:52 0.000393949 -227 *29071:A *5680:147 0.000178847 -228 *29085:A *5680:30 4.00658e-06 -229 *29153:A *5680:30 0.00228825 -230 *29153:A *5680:40 0.000205478 -231 *29154:A *26863:A0 5.52302e-05 -232 *29179:A *5680:358 0.000268409 -233 *29219:A *5680:352 3.57366e-05 -234 *29219:A *5680:358 0.00047969 -235 *29430:A *5680:320 3.24986e-05 -236 *29430:A *5680:343 7.05329e-05 -237 *29734:A *6502:DIODE 3.14048e-05 -238 *29734:A *5680:360 1.92905e-05 -239 *29912:A *5680:52 0.000212297 -240 *29939:A *5680:30 0.000753749 -241 *30068:A *5680:308 0.000277652 -242 *30144:A *5680:89 0.000610077 -243 *30178:A *5680:89 9.60939e-05 -244 *30427:A *5680:232 0.000240484 -245 *30523:A *5680:257 0.000162451 -246 *30710:A *5680:18 0.000114655 -247 *457:17 *5680:278 0.000316103 -248 *460:11 *5680:343 0 -249 *472:8 *6548:DIODE 5.71472e-05 -250 *472:8 *5680:343 7.03062e-05 -251 *473:29 *5680:320 0 -252 *554:20 *5680:30 0.00167861 -253 *714:23 *5680:343 0.00181567 -254 *770:24 *5680:276 0.000589853 -255 *770:24 *5680:278 0.000817351 -256 *793:13 *5680:147 4.27437e-05 -257 *1083:15 *5680:40 0 -258 *1185:52 *5680:257 2.14879e-05 -259 *1185:72 *5680:232 0.000358654 -260 *1252:184 *5680:209 1.39702e-05 -261 *1258:90 *5680:176 0.000424466 -262 *1258:90 *5680:196 0.000761078 -263 *1258:100 *5680:196 0.000815848 -264 *1264:41 *5680:343 3.77315e-05 -265 *1266:132 *27025:A1 1.54142e-05 -266 *1266:132 *5680:209 3.13644e-05 -267 *1266:182 *5680:209 9.5559e-05 -268 *1269:264 *5680:196 2.04825e-05 -269 *1270:88 *5680:196 1.57178e-05 -270 *1271:49 *5680:257 1.70865e-05 -271 *1275:164 *5680:106 0.000287 -272 *1275:164 *5680:129 0.000108889 -273 *1293:220 *5680:232 0.000135028 -274 *1334:22 *5680:196 1.90936e-05 -275 *1363:62 *5680:343 2.996e-06 -276 *1368:23 *5680:257 0 -277 *1368:59 *5680:209 3.03967e-05 -278 *1407:69 *5680:343 9.24192e-05 -279 *1490:166 *5680:129 0.000461061 -280 *1490:166 *5680:134 0.000409896 -281 *1498:34 *5680:308 0.000158228 -282 *1498:34 *5680:320 0.00023733 -283 *1717:14 *5680:276 5.95527e-06 -284 *1717:14 *5680:278 2.44318e-05 -285 *1796:8 *5680:257 0.00065927 -286 *1800:14 *5680:276 0.00025675 -287 *1815:49 *5680:308 0.000346029 -288 *1815:51 *5680:308 0.000549501 -289 *1844:68 *5680:371 8.5811e-05 -290 *1853:77 *5680:106 0.00159704 -291 *1853:94 *5680:129 0.00089661 -292 *2756:93 *5680:40 0.000139515 -293 *2759:147 *5680:106 0.000115122 -294 *2764:94 *5680:129 0.00152763 -295 *2764:99 *5680:129 0.000336788 -296 *2764:151 *5680:209 0 -297 *2767:18 *5680:129 0.000710453 -298 *2767:20 *5680:147 9.72247e-05 -299 *2767:118 *5680:129 4.73471e-05 -300 *2768:22 *5680:232 0.000115214 -301 *2770:53 *5680:196 0.000328108 -302 *2771:57 *5680:89 0.00107494 -303 *2772:152 *5680:106 9.29142e-05 -304 *2773:45 *5680:147 0.00017309 -305 *2773:60 *5680:106 5.63597e-05 -306 *2776:177 *5680:147 1.02821e-05 -307 *2776:177 *5680:168 0.00034381 -308 *2778:35 *5680:257 6.25005e-05 -309 *2782:170 *5680:257 9.42015e-05 -310 *2791:275 *5680:66 0.000774923 -311 *2792:65 *5680:77 9.41642e-05 -312 *2792:78 *5680:89 5.84075e-05 -313 *2823:26 *5680:257 0.000152711 -314 *2824:14 *5680:257 0.000176742 -315 *2832:116 *5680:241 0.000217421 -316 *2832:129 *5680:241 0.000162825 -317 *2832:147 *5680:241 0.000383743 -318 *2852:228 *5680:343 7.02188e-05 -319 *2864:45 *5680:348 0.000290544 -320 *2864:58 *5680:348 0.000434391 -321 *2885:58 *5680:241 0.000116857 -322 *3019:8 *5680:257 9.818e-05 -323 *3019:21 *5680:257 0 -324 *3040:19 *5680:215 0.000489219 -325 *3040:41 *5680:257 0 -326 *3104:13 *5680:308 4.3143e-05 -327 *3145:32 *5680:343 0.000345218 -328 *3150:38 *5680:241 0.000133254 -329 *3160:97 *5680:241 2.04825e-05 -330 *3165:55 *5680:209 0.000349578 -331 *3168:11 *5680:241 0.00011541 -332 *3170:201 *5680:209 1.1257e-05 -333 *3173:50 *5680:343 8.07879e-05 -334 *3173:81 *5680:343 0.000550727 -335 *3196:59 *5680:348 0.00276498 -336 *3196:67 *5680:352 0.00222362 -337 *3205:270 *5680:209 0.000180259 -338 *3206:229 *5680:196 0.000115364 -339 *3206:232 *5680:176 0.0001326 -340 *3206:236 *5680:168 0.000530747 -341 *3206:236 *5680:176 0.000324469 -342 *3215:196 *5680:209 1.87955e-05 -343 *3215:196 *5680:213 0.000317768 -344 *3215:196 *5680:215 0.000192551 -345 *3381:13 *5680:168 4.00679e-05 -346 *3386:22 *5680:196 3.77315e-05 -347 *3572:64 *5680:257 0.000126794 -348 *3573:46 *5680:276 6.91215e-06 -349 *3607:98 *5680:77 0.000417887 -350 *3607:98 *5680:89 1.0562e-05 -351 *3609:30 *5680:30 1.57541e-05 -352 *3609:30 *5680:40 8.50795e-06 -353 *3609:82 *5680:52 0 -354 *3612:49 *5680:106 0.00029823 -355 *3636:16 *5680:257 0 -356 *3639:33 *5680:278 2.97829e-05 -357 *3639:33 *5680:282 0.000325916 -358 *3639:33 *5680:308 8.86413e-05 -359 *3670:14 *5680:241 0.000369284 -360 *3670:24 *5680:241 0.000175454 -361 *3670:47 *5680:232 0.000584556 -362 *3670:54 *5680:232 0.00015806 -363 *3718:27 *5680:89 0.000281946 -364 *3718:47 *5680:89 0.000349584 -365 *3757:13 *5680:106 4.79932e-05 -366 *3780:23 *5680:343 4.18505e-05 -367 *3783:10 *5680:106 0.000292701 -368 *3783:41 *5680:77 0.00014183 -369 *3783:41 *5680:89 0.000248267 -370 *3789:32 *5680:348 0.00157081 -371 *3792:75 *5680:343 0.00121734 -372 *3798:15 *5680:213 0.000102566 -373 *3798:15 *5680:215 0.00450388 -374 *3800:46 *5680:196 0.00011365 -375 *3800:64 *5680:168 0.000149911 -376 *3806:39 *5680:348 8.95656e-05 -377 *3806:39 *5680:352 0.00305647 -378 *3811:24 *5680:89 4.38243e-05 -379 *3827:21 *5680:343 0.000226357 -380 *3827:24 *5680:343 0.00135568 -381 *3839:13 *5680:52 0.000833177 -382 *3839:13 *5680:54 0.000739159 -383 *3839:13 *5680:66 1.90936e-05 -384 *3845:47 *5680:343 0.000152242 -385 *3874:102 *25742:A0 0.000394694 -386 *3965:130 *5680:66 4.03814e-05 -387 *3968:20 *5680:232 0.000576577 -388 *3968:20 *5680:239 0.00130823 -389 *3968:20 *5680:241 0.000279398 -390 *3968:22 *5680:241 0.000222416 -391 *3991:71 *5680:89 0.00156621 -392 *3993:14 *5680:176 0.00213341 -393 *3993:14 *5680:196 0.00157849 -394 *4017:33 *5680:77 4.13595e-05 -395 *4095:83 *26998:A0 4.02038e-05 -396 *4137:14 *5680:52 0.000613194 -397 *4137:14 *5680:54 0.00074566 -398 *4137:14 *5680:66 1.90936e-05 -399 *4229:27 *25690:A1 3.35959e-05 -400 *4229:27 *5680:18 7.13664e-05 -401 *4229:27 *5680:30 0.00041664 -402 *4372:35 *5680:320 0.000559367 -403 *4393:9 *5680:106 0.000664696 -404 *4618:21 *5680:343 3.82172e-05 -405 *5149:22 *5680:320 1.19309e-05 -406 *5173:14 *5680:30 6.84089e-05 -407 *5175:25 *25690:A1 5.52238e-05 -408 *5181:22 *5680:30 2.22674e-05 -409 *5181:22 *5680:40 7.69776e-06 -410 *5430:12 *5680:343 0 -411 *5446:10 *25690:A1 2.88045e-05 -412 *5449:16 *5680:30 1.91371e-05 -413 *5461:26 *5680:196 1.90936e-05 -414 *5483:120 *5680:308 0.000585238 -415 *5483:120 *5680:320 0.000630685 -416 *5483:130 *5680:308 0.000252238 -417 *5485:102 *5680:343 0 -418 *5499:31 *5680:308 0.000139405 -419 *5512:50 *5680:278 6.75805e-05 -420 *5519:49 *5680:308 0.000216334 -421 *5520:48 *5680:343 7.87395e-05 -422 *5521:73 *5680:320 7.94957e-05 -423 *5522:32 *5680:343 4.83837e-05 -424 *5523:36 *5680:343 0.000366065 -425 *5523:41 *5680:343 0 -426 *5528:55 *5680:134 0.000409896 -427 *5534:25 *5680:276 0.00119927 -428 *5570:23 *5680:276 1.33741e-05 -429 *5582:42 *27025:A1 5.33005e-05 -430 *5582:42 *5680:209 0.000576136 -431 *5582:42 *5680:213 3.17148e-05 -432 *5586:84 *5680:106 0.000198698 -433 *5588:63 *25690:A1 0 -434 *5589:166 *5680:215 0.00250069 -435 *5602:21 *5680:257 4.40366e-05 -436 *5603:24 *5680:209 0.00179881 -437 *5604:63 *5680:232 6.86693e-05 -438 *5609:33 *5680:276 1.94879e-05 -439 *5614:30 *5680:209 0.000296394 -440 *5629:229 *5680:89 0.000404073 -441 *5630:182 *5680:176 6.90381e-06 -442 *5631:13 *5680:241 7.6021e-05 -443 *5633:41 *5680:215 0.000407754 -444 *5633:41 *5680:232 0.000653671 -445 *5633:41 *5680:239 2.2565e-05 -446 *5633:41 *5680:241 0.00329987 -447 *5633:47 *5680:215 3.67064e-05 -448 *5651:321 *5680:168 0.000391253 -449 *5651:321 *5680:176 0.000470747 -450 *5652:149 *5680:168 0.00017748 -451 *5658:107 *5680:209 8.40034e-05 -452 *5662:76 *5680:176 0.00166137 -453 *5675:213 *5680:257 0.000201779 -454 *5676:110 *5680:168 9.41642e-05 -*RES -1 *28973:X *5680:5 10.6571 -2 *5680:5 *25742:A0 23.5857 -3 *5680:5 *5680:18 11.4375 -4 *5680:18 *5680:30 24.7232 -5 *5680:30 *5680:40 21.316 -6 *5680:40 *27124:A0 10.6571 -7 *5680:40 *5680:52 26.3214 -8 *5680:52 *5680:54 9.83929 -9 *5680:54 *5680:66 21.8861 -10 *5680:66 *5680:77 30.1429 -11 *5680:77 *5680:89 49.7478 -12 *5680:89 *5680:106 48.8817 -13 *5680:106 *5680:115 13.4477 -14 *5680:115 *26944:A0 10.6571 -15 *5680:115 *5680:129 47.0357 -16 *5680:129 *5680:134 14.2857 -17 *5680:134 *26908:A0 9.3 -18 *5680:134 *5680:147 20.5893 -19 *5680:147 *5680:168 43.6607 -20 *5680:168 *5680:176 15.6476 -21 *5680:176 *5680:196 47.7733 -22 *5680:196 *26989:A0 14.3357 -23 *5680:176 *5680:209 12.6154 -24 *5680:209 *5680:213 8.59821 -25 *5680:213 *5680:215 58.6696 -26 *5680:215 *5680:217 4.5 -27 *5680:217 *28972:A 9.3 -28 *5680:217 *5680:232 33.6429 -29 *5680:232 *5680:239 22.6964 -30 *5680:239 *5680:241 79.5089 -31 *5680:241 *5680:257 48.2679 -32 *5680:257 *5680:276 27.6594 -33 *5680:276 *5680:278 44.2768 -34 *5680:278 *5680:282 4.03571 -35 *5680:282 *25614:A1 9.3 -36 *5680:282 *5680:308 49.8715 -37 *5680:308 *5680:320 32.5439 -38 *5680:320 *5680:322 1.35714 -39 *5680:322 *6548:DIODE 11.8893 -40 *5680:322 *5680:343 49.7825 -41 *5680:343 *5680:348 31.9554 -42 *5680:348 *5680:352 35.1518 -43 *5680:352 *5680:358 18.3393 -44 *5680:358 *5680:360 2.17857 -45 *5680:360 *6502:DIODE 10.2464 -46 *5680:360 *5680:371 21.5714 -47 *5680:371 *6501:DIODE 9.83571 -48 *5680:371 *25707:A1 12.3 -49 *5680:358 *25751:A0 9.3 -50 *5680:320 *25623:A1 9.3 -51 *5680:278 *6570:DIODE 9.3 -52 *5680:232 *28971:A 9.3 -53 *5680:209 *27025:A1 15.1571 -54 *5680:168 *26998:A0 15.1571 -55 *5680:147 *27043:A0 9.3 -56 *5680:77 *25724:A1 9.3 -57 *5680:66 *26872:A0 14.7643 -58 *5680:54 *26917:A0 15.1571 -59 *5680:52 *27193:A0 13.8 -60 *5680:30 *26863:A0 14.3357 -61 *5680:18 *25690:A1 16.4339 -*END - -*D_NET *5681 0.034074 -*CONN -*I *25320:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30699:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *25320:A1 0.00111989 -2 *30699:X 5.00925e-05 -3 *5681:27 0.00196619 -4 *5681:16 0.0024095 -5 *5681:14 0.00244846 -6 *5681:10 0.00215442 -7 *5681:7 0.00131924 -8 *5681:14 *25712:A1 0.000156947 -9 *5681:14 *5773:100 1.24381e-05 -10 *5681:16 *28549:RESET_B 0.000356609 -11 *5681:16 *5766:29 1.90936e-05 -12 *5681:27 *28553:RESET_B 0.000100823 -13 *5681:27 *5836:40 0.000177934 -14 mgmt_gpio_out[9] *25320:A1 5.90967e-05 -15 *6515:DIODE *25320:A1 1.99644e-05 -16 *24848:A *25320:A1 0.000820967 -17 *25014:B1 *25320:A1 1.46624e-05 -18 *25320:A2 *25320:A1 4.25675e-05 -19 *25320:C1 *25320:A1 0 -20 *25721:A1 *5681:16 1.90936e-05 -21 *26909:A1 *5681:27 7.83587e-05 -22 *27040:S *5681:10 0.000770691 -23 *28170:CLK *5681:10 0 -24 *28192:D *5681:16 0.000128154 -25 *28553:D *5681:27 1.90303e-05 -26 *28555:D *25320:A1 3.27319e-05 -27 *29901:A *5681:14 2.45034e-05 -28 *29901:A *5681:16 2.31837e-05 -29 *29903:A *5681:16 0.000392432 -30 *30046:A *5681:27 0.000290279 -31 *30362:A *5681:10 0 -32 *30699:A *5681:10 0 -33 *30875:A *5681:16 9.30833e-05 -34 *793:13 *5681:16 0.000552708 -35 *1258:123 *25320:A1 6.54117e-05 -36 *1638:18 *25320:A1 0.000139913 -37 *2759:147 *5681:10 0.00152098 -38 *2759:147 *5681:14 1.43864e-05 -39 *2759:149 *5681:14 0.00214202 -40 *2759:149 *5681:16 0.00107804 -41 *2759:168 *25320:A1 0.000868332 -42 *2764:89 *5681:10 0 -43 *2769:10 *5681:14 0.000552528 -44 *2769:66 *5681:16 0.0043789 -45 *2769:66 *5681:27 0.00209021 -46 *2769:98 *25320:A1 0.000112674 -47 *2770:46 *25320:A1 0.000156027 -48 *2772:152 *5681:16 0.000171412 -49 *2773:60 *5681:14 0.000104702 -50 *2776:212 *5681:10 0.000677678 -51 *2776:212 *5681:14 0.000938446 -52 *3679:89 *5681:27 9.41642e-05 -53 *3690:17 *25320:A1 0 -54 *3770:8 *5681:10 2.1527e-05 -55 *3770:67 *5681:10 2.7814e-05 -56 *3783:10 *5681:10 7.79781e-06 -57 *3783:150 *5681:10 0.000759127 -58 *4072:8 *5681:16 0.000148903 -59 *4072:29 *5681:16 0.000395961 -60 *4072:32 *25320:A1 0.00016102 -61 *4124:43 *5681:16 0.000196262 -62 *4147:95 *5681:14 9.41254e-05 -63 *4147:97 *5681:14 0 -64 *4147:97 *5681:27 0.000597976 -65 *4147:106 *5681:27 0.000447016 -66 *4393:9 *5681:10 0.000327594 -67 *5586:165 *25320:A1 5.65514e-05 -68 *5588:106 *5681:7 5.33334e-05 -69 *5625:8 *25320:A1 0 -*RES -1 *30699:X *5681:7 14.7464 -2 *5681:7 *5681:10 38.3036 -3 *5681:10 *5681:14 29.0268 -4 *5681:14 *5681:16 57.0625 -5 *5681:16 *5681:27 47.1429 -6 *5681:27 *25320:A1 41.5879 -*END - -*D_NET *5682 0.0650213 -*CONN -*I *27183:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27201:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26979:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26952:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *26916:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27123:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27033:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26907:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26988:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26943:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26889:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27210:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27006:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27114:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25631:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27024:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27015:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26961:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27192:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27069:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28974:X O *D sky130_fd_sc_hd__buf_4 -*CAP -1 *27183:A0 0.000444922 -2 *27201:A0 0.000458992 -3 *26979:A0 0.000231318 -4 *26952:A1 0 -5 *26916:A0 0.000669279 -6 *27123:A0 0.00010608 -7 *27033:A0 0.000171193 -8 *26907:A0 0 -9 *26988:A0 0.00132467 -10 *26943:A0 9.57299e-05 -11 *26889:A0 5.05865e-05 -12 *27210:A0 0.0001658 -13 *27006:A0 2.99504e-05 -14 *27114:A0 0 -15 *25631:A0 0 -16 *27024:A1 0.000112895 -17 *27015:A0 0.000846175 -18 *26961:A0 5.39274e-05 -19 *27192:A0 0.000114671 -20 *27069:A0 0.000372591 -21 *28974:X 0.00056068 -22 *5682:264 0.00112954 -23 *5682:254 0.000508724 -24 *5682:251 0.000804638 -25 *5682:197 0.00208886 -26 *5682:180 0.00184386 -27 *5682:158 0.000536078 -28 *5682:141 0.00117546 -29 *5682:132 0.0011003 -30 *5682:126 0.000410861 -31 *5682:120 0.00150353 -32 *5682:114 0.00100605 -33 *5682:102 0.00066655 -34 *5682:86 0.00100247 -35 *5682:84 0.00178842 -36 *5682:63 0.00256758 -37 *5682:44 0.00253024 -38 *5682:28 0.00134997 -39 *5682:26 0.000699611 -40 *5682:11 0.00108274 -41 *26889:A0 *5875:13 0.000222666 -42 *26916:A0 *28196:D 3.98401e-05 -43 *26943:A0 *5696:77 9.08134e-05 -44 *26943:A0 *5911:165 0.000181403 -45 *26979:A0 *5775:124 5.69689e-05 -46 *26979:A0 *5775:128 0 -47 *26988:A0 *28567:RESET_B 0.000219289 -48 *26988:A0 *5873:31 0.000210277 -49 *27006:A0 *6086:23 9.41642e-05 -50 *27015:A0 *28525:RESET_B 0.000229329 -51 *27015:A0 *5683:106 0.000789544 -52 *27015:A0 *5774:62 0.000250998 -53 *27024:A1 *5905:79 3.33e-05 -54 *27069:A0 *5905:16 0 -55 *27069:A0 *5905:18 0 -56 *27069:A0 *5912:54 1.21258e-05 -57 *27183:A0 *5881:26 0.000376466 -58 *27192:A0 *27150:A1 1.92789e-05 -59 *27192:A0 *28748:RESET_B 0.000139022 -60 *27201:A0 *6270:11 0.000733688 -61 *27210:A0 *5875:13 0.000311568 -62 *5682:11 *5683:43 4.13496e-05 -63 *5682:11 *5775:60 0.0001863 -64 *5682:11 *5881:33 0.000261386 -65 *5682:11 *6277:9 4.0342e-06 -66 *5682:26 *5775:60 0.000187951 -67 *5682:26 *5881:33 2.14757e-05 -68 *5682:26 *5905:16 0 -69 *5682:28 *5905:16 0 -70 *5682:44 *25724:A0 0 -71 *5682:44 *28196:RESET_B 3.47641e-06 -72 *5682:44 *28748:RESET_B 0.000110234 -73 *5682:44 *5683:96 0.000237226 -74 *5682:44 *5775:6 4.0509e-05 -75 *5682:44 *5775:21 0.00032936 -76 *5682:44 *5775:23 8.23597e-06 -77 *5682:44 *5776:20 0.000748234 -78 *5682:63 *28196:D 3.30352e-05 -79 *5682:63 *28196:RESET_B 7.22199e-05 -80 *5682:63 *5914:27 0.000218685 -81 *5682:84 *26923:A0 5.00194e-05 -82 *5682:84 *5683:106 8.19176e-05 -83 *5682:84 *5695:110 0.000409527 -84 *5682:84 *5800:19 3.09819e-05 -85 *5682:86 *5683:106 0.000118982 -86 *5682:126 *5862:35 8.23119e-05 -87 *5682:141 *26923:A0 0.000183713 -88 *5682:141 *5800:78 7.47077e-05 -89 *5682:141 *5847:12 0.000601992 -90 *5682:158 *5847:12 6.09762e-05 -91 *5682:158 *5892:6 0.000450745 -92 *5682:197 *5696:77 0.000255764 -93 *5682:197 *5911:165 0.000412607 -94 *5682:251 *28537:D 0.000437768 -95 *5682:251 *5881:26 0.000177821 -96 *5682:251 *6201:11 8.37664e-05 -97 *5682:254 *5775:78 1.46731e-05 -98 *5682:264 *28536:RESET_B 1.90936e-05 -99 *5682:264 *5775:78 5.7836e-06 -100 *5682:264 *5775:103 0.000108735 -101 *5682:264 *5775:109 0 -102 *5682:264 *6270:11 0.000310298 -103 *25048:A1 *27033:A0 5.42764e-05 -104 *25055:A *5682:126 8.38362e-05 -105 *25631:A1 *5682:132 0.000467849 -106 *25631:S *5682:126 4.83263e-05 -107 *25631:S *5682:132 4.35421e-05 -108 *25725:S *5682:44 3.39451e-05 -109 *26907:A1 *26988:A0 0.00012076 -110 *26946:A *5682:84 5.17417e-06 -111 *26952:S *27183:A0 5.52302e-05 -112 *26952:S *5682:251 0.000205344 -113 *26952:S *5682:254 0.000123295 -114 *26954:A1 *5682:11 9.60875e-05 -115 *27006:A1 *27006:A0 9.41642e-05 -116 *27015:A1 *27015:A0 0.000181637 -117 *27015:A1 *27024:A1 0.000116371 -118 *27015:S *27015:A0 4.32443e-05 -119 *27024:A0 *27024:A1 2.89016e-05 -120 *27033:S *27033:A0 8.6229e-06 -121 *27069:A1 *27069:A0 0.000111699 -122 *27069:S *27069:A0 0.000139907 -123 *27114:A1 *5682:141 0.000888982 -124 *27114:S *5682:141 2.89016e-05 -125 *27183:A1 *27183:A0 3.57366e-05 -126 *27192:A1 *5682:44 0.000122689 -127 *27784:A2 *26988:A0 1.94879e-05 -128 *27784:C1 *26988:A0 0 -129 *27790:A1 *5682:197 2.56811e-05 -130 *28503:CLK *26916:A0 5.33334e-05 -131 *28503:D *26916:A0 7.27282e-05 -132 *28567:D *26988:A0 3.42301e-05 -133 *28599:D *5682:114 4.85033e-05 -134 *28639:CLK *5682:11 9.31011e-05 -135 *28758:CLK *27183:A0 0.000227532 -136 *29065:A *5682:102 0.000135028 -137 *29066:A *27015:A0 1.98839e-05 -138 *29081:A *5682:197 6.96796e-05 -139 *29421:A *27201:A0 0.000447745 -140 *29602:A *5682:44 5.84348e-05 -141 *29870:A *5682:44 0 -142 *29897:A *5682:251 0.000230704 -143 *29966:A *27201:A0 0.000426345 -144 *29966:A *5682:264 0.000312222 -145 *30577:A *27192:A0 5.33005e-05 -146 *940:17 *27033:A0 2.84109e-05 -147 *940:17 *5682:114 6.05161e-06 -148 *940:17 *5682:120 1.90936e-05 -149 *972:21 *27069:A0 0.00043289 -150 *1273:181 *5682:120 6.74324e-05 -151 *1364:19 *5682:180 0 -152 *1826:238 *5682:84 0.000584577 -153 *2759:31 *5682:84 0.000108808 -154 *2761:38 *26988:A0 0.000140784 -155 *2761:38 *5682:197 5.33005e-05 -156 *2763:25 *26988:A0 3.43071e-05 -157 *2763:169 *5682:141 0.000121388 -158 *2764:94 *26988:A0 4.04359e-05 -159 *2765:18 *27015:A0 2.42516e-05 -160 *2766:32 *5682:11 8.43535e-06 -161 *2766:32 *5682:26 7.6644e-05 -162 *2766:32 *5682:251 0.001127 -163 *2767:142 *5682:158 0.000208334 -164 *2769:30 *26988:A0 0.000198535 -165 *2769:187 *26979:A0 0.000146464 -166 *2769:209 *26979:A0 1.10866e-05 -167 *2770:97 *26988:A0 0.000301883 -168 *2771:22 *5682:141 0.000250608 -169 *2771:36 *5682:141 0.000134052 -170 *2771:36 *5682:158 0.000229139 -171 *2772:45 *5682:158 0.000211829 -172 *2774:29 *27024:A1 5.33005e-05 -173 *2775:14 *26988:A0 3.67949e-05 -174 *2791:20 *26916:A0 0.000230626 -175 *2856:344 *27015:A0 0.000175892 -176 *3185:229 *27015:A0 4.32309e-05 -177 *3185:229 *5682:84 7.57358e-05 -178 *3185:229 *5682:86 0.00011197 -179 *3206:256 *26943:A0 6.67716e-05 -180 *3215:309 *26961:A0 4.34627e-05 -181 *3215:309 *5682:63 0.000379506 -182 *3537:35 *5682:11 9.41642e-05 -183 *3607:26 *27015:A0 0.000132147 -184 *3607:98 *5682:63 7.10717e-05 -185 *3608:59 *5682:26 0.000148196 -186 *3608:59 *5682:28 0.00028402 -187 *3608:66 *5682:26 2.31791e-05 -188 *3609:82 *5682:264 0.000176005 -189 *3707:13 *26988:A0 4.04359e-05 -190 *3718:63 *5682:63 0.000554033 -191 *3733:31 *5682:102 0.00026353 -192 *3733:31 *5682:114 0.000682942 -193 *3733:31 *5682:120 0.000873032 -194 *3733:31 *5682:180 0.000610695 -195 *3757:75 *5682:63 4.11218e-05 -196 *3757:75 *5682:84 6.92474e-05 -197 *3770:21 *5682:63 0.00027745 -198 *3796:163 *26961:A0 4.87854e-05 -199 *3837:11 *27069:A0 0.000102545 -200 *3837:11 *5682:26 0.000315158 -201 *3876:62 *5682:141 9.08427e-05 -202 *3915:19 *27123:A0 0.000136958 -203 *3926:29 *5682:11 0.000148189 -204 *3930:55 *26916:A0 0.000124649 -205 *3939:104 *27069:A0 0.000108824 -206 *3965:22 *26961:A0 4.00349e-05 -207 *3965:22 *5682:63 0.000572917 -208 *3980:20 *5682:180 0.000306589 -209 *3993:14 *5682:180 0.00121744 -210 *3995:62 *5682:197 0.000430254 -211 *4017:33 *5682:63 0.000145403 -212 *4056:53 *5682:11 0.000146474 -213 *4086:16 *5682:126 0.000135028 -214 *4095:33 *5682:84 4.65519e-05 -215 *4124:19 *26988:A0 4.28922e-05 -216 *4137:14 *5682:254 0.000169449 -217 *4137:14 *5682:264 0.00071147 -218 *4137:16 *26916:A0 6.02288e-05 -219 *4137:16 *5682:120 1.95625e-05 -220 *4149:34 *26943:A0 6.46107e-05 -221 *4214:20 *26988:A0 8.07927e-05 -222 *4239:17 *5682:197 0.000333762 -223 *4817:18 *27069:A0 5.66157e-05 -224 *5084:20 *26988:A0 0.000148045 -225 *5586:84 *26889:A0 0.00021023 -226 *5586:84 *27210:A0 0.000221218 -227 *5587:169 *26988:A0 0.00045061 -228 *5627:237 *27024:A1 0.000183912 -229 *5639:165 *26988:A0 0 -230 *5639:199 *5682:114 0.000226256 -231 *5639:199 *5682:120 0.000881264 -232 *5639:199 *5682:180 0.000588331 -233 *5640:285 *26916:A0 7.81389e-05 -234 *5643:203 *5682:197 0.000429472 -235 *5646:311 *26961:A0 9.41642e-05 -236 *5655:238 *5682:84 0 -237 *5676:20 *5682:11 5.33005e-05 -238 *5680:52 *5682:264 1.27529e-05 -239 *5680:66 *27192:A0 0.000105233 -*RES -1 *28974:X *5682:11 30.7643 -2 *5682:11 *27069:A0 27.5143 -3 *5682:11 *5682:26 10.1607 -4 *5682:26 *5682:28 5.28571 -5 *5682:28 *27192:A0 16.8893 -6 *5682:28 *5682:44 26.1429 -7 *5682:44 *5682:63 34.7232 -8 *5682:63 *26961:A0 15.3268 -9 *5682:63 *5682:84 18.2146 -10 *5682:84 *5682:86 1.64286 -11 *5682:86 *27015:A0 30.7643 -12 *5682:86 *5682:102 7.08929 -13 *5682:102 *27024:A1 21.3893 -14 *5682:102 *5682:114 9.98214 -15 *5682:114 *5682:120 10.2823 -16 *5682:120 *5682:126 10.8654 -17 *5682:126 *25631:A0 9.3 -18 *5682:126 *5682:132 5.46429 -19 *5682:132 *27114:A0 9.3 -20 *5682:132 *5682:141 25.375 -21 *5682:141 *27006:A0 14.7464 -22 *5682:141 *5682:158 18.5838 -23 *5682:158 *27210:A0 13.2643 -24 *5682:158 *26889:A0 11.4786 -25 *5682:120 *5682:180 10.4437 -26 *5682:180 *26943:A0 18.3932 -27 *5682:180 *5682:197 21.634 -28 *5682:197 *26988:A0 37.8819 -29 *5682:197 *26907:A0 9.3 -30 *5682:114 *27033:A0 21.3536 -31 *5682:84 *27123:A0 15.1571 -32 *5682:44 *26916:A0 30.3357 -33 *5682:26 *5682:251 17.3571 -34 *5682:251 *5682:254 6.75 -35 *5682:254 *26952:A1 13.8 -36 *5682:254 *5682:264 17.6429 -37 *5682:264 *26979:A0 22.9786 -38 *5682:264 *27201:A0 21.7464 -39 *5682:251 *27183:A0 18.7821 -*END - -*D_NET *5683 0.102624 -*CONN -*I *26862:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28974:A I *D sky130_fd_sc_hd__buf_4 -*I *27174:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26934:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27105:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27096:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *26925:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26997:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26898:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26970:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27141:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27042:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26880:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27087:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27060:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27132:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27150:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25722:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *26871:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25688:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *28975:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *26862:A0 0.000547205 -2 *28974:A 6.35394e-05 -3 *27174:A0 3.68669e-05 -4 *26934:A0 0.000682529 -5 *27105:A0 0 -6 *27096:A1 0.000667248 -7 *26925:A0 0.000497852 -8 *26997:A0 0 -9 *26898:A0 4.36033e-05 -10 *26970:A0 0 -11 *27141:A0 0 -12 *27042:A0 8.18014e-05 -13 *26880:A1 0.000727717 -14 *27087:A0 0 -15 *27060:A0 0.0010314 -16 *27132:A0 0.000181726 -17 *27150:A0 0 -18 *25722:A1 0.00052759 -19 *26871:A0 2.82867e-05 -20 *25688:A1 0.000821986 -21 *28975:X 0 -22 *5683:299 0.00113181 -23 *5683:286 0.00133767 -24 *5683:266 0.00140292 -25 *5683:244 0.00129984 -26 *5683:231 0.00088407 -27 *5683:223 0.00136047 -28 *5683:207 0.00113291 -29 *5683:184 0.00158772 -30 *5683:169 0.000808611 -31 *5683:166 0.00111416 -32 *5683:139 0.00290577 -33 *5683:134 0.0026927 -34 *5683:110 0.00215606 -35 *5683:106 0.00182169 -36 *5683:103 0.00188517 -37 *5683:96 0.00146519 -38 *5683:83 0.00167457 -39 *5683:57 0.0017023 -40 *5683:43 0.00233234 -41 *5683:32 0.00192549 -42 *5683:29 0.0028612 -43 *5683:4 0.0019632 -44 *25688:A1 *28177:D 3.69697e-05 -45 *25688:A1 *5771:50 0.000325128 -46 *25688:A1 *5893:46 1.30798e-05 -47 *25688:A1 *5927:14 3.47641e-06 -48 *25722:A1 *25722:A0 0.00049207 -49 *25722:A1 *5820:15 0.000121418 -50 *25722:A1 *6274:8 3.69861e-05 -51 *26862:A0 *25739:A0 0.00125162 -52 *26862:A0 *5695:252 4.63017e-05 -53 *26862:A0 *5880:24 6.98957e-05 -54 *26925:A0 *28461:D 5.74499e-06 -55 *26925:A0 *5824:286 0.000222524 -56 *26925:A0 *5847:43 3.75305e-05 -57 *26934:A0 *5954:15 0.000238053 -58 *27060:A0 *5702:147 2.04825e-05 -59 *28974:A *6277:9 2.79421e-05 -60 *5683:29 *25743:A0 5.52238e-05 -61 *5683:29 *28451:RESET_B 0.00091585 -62 *5683:29 *5695:252 0.00052331 -63 *5683:29 *5709:290 9.27826e-05 -64 *5683:29 *5879:237 0.00113591 -65 *5683:29 *5893:46 1.5424e-05 -66 *5683:29 *5926:63 0.000490328 -67 *5683:32 *26860:A0 5.05056e-05 -68 *5683:32 *28453:RESET_B 6.87975e-06 -69 *5683:32 *28454:RESET_B 0 -70 *5683:32 *5926:66 0 -71 *5683:32 *6277:9 0.000815025 -72 *5683:32 *6284:17 6.09762e-05 -73 *5683:43 *28969:A 6.27058e-05 -74 *5683:43 *5775:41 0.000536187 -75 *5683:43 *5775:50 0.00018459 -76 *5683:57 *26871:S 0.00192111 -77 *5683:57 *5695:67 0.00026353 -78 *5683:83 *5905:16 0.000281871 -79 *5683:96 *27150:A1 5.00635e-05 -80 *5683:96 *28711:D 1.55475e-05 -81 *5683:96 *5776:20 0.000106224 -82 *5683:103 *5774:146 0.000212201 -83 *5683:106 *28525:RESET_B 8.47011e-05 -84 *5683:106 *5905:16 0.000386502 -85 *5683:134 *5702:147 0.000162207 -86 *5683:166 *27125:S 0.000121402 -87 *5683:266 *28575:RESET_B 4.25184e-05 -88 *5683:266 *5879:112 0 -89 *5683:266 *5892:39 0.000316932 -90 *5683:299 *5863:161 0 -91 *5683:299 *5911:115 2.11419e-05 -92 *5683:299 *5953:11 0.000144787 -93 *24835:A *5683:29 9.42368e-05 -94 *25062:A1 *5683:134 1.00298e-06 -95 *25062:B2 *27060:A0 1.65183e-05 -96 *25065:A1 *5683:166 0.00103907 -97 *25629:S *5683:106 0.00017987 -98 *25688:S *25688:A1 1.04232e-05 -99 *25725:S *5683:96 0.000388755 -100 *25725:S *5683:103 0.000321498 -101 *26954:A1 *5683:43 0.00038907 -102 *26970:A1 *5683:231 0.00056049 -103 *26970:A1 *5683:286 6.8529e-05 -104 *26970:A1 *5683:299 9.83797e-05 -105 *26991:A *26934:A0 6.57032e-05 -106 *26997:A1 *26925:A0 4.08637e-05 -107 *26997:A1 *5683:266 0.000135028 -108 *26997:S *26925:A0 3.69047e-06 -109 *27015:A0 *5683:106 0.000789544 -110 *27060:A1 *27060:A0 0.00020153 -111 *27087:A1 *5683:184 4.84159e-05 -112 *27087:S *5683:169 5.33005e-05 -113 *27087:S *5683:184 8.17274e-05 -114 *27096:A0 *27096:A1 2.17474e-05 -115 *27096:A0 *5683:299 0.000189498 -116 *27126:A *5683:57 0.000157409 -117 *27126:A *5683:83 0.000177204 -118 *27132:A1 *27132:A0 0.00059044 -119 *27132:A1 *5683:134 0.000218685 -120 *27132:S *27132:A0 2.6269e-05 -121 *27141:S *27060:A0 2.59355e-05 -122 *27141:S *5683:139 0.000462024 -123 *27141:S *5683:166 0.000194398 -124 *27141:S *5683:207 8.55871e-05 -125 *27141:S *5683:223 4.96113e-05 -126 *27150:S *5683:83 1.58163e-05 -127 *27150:S *5683:96 8.43535e-06 -128 *27174:S *27174:A0 9.60875e-05 -129 *27174:S *5683:96 5.7616e-05 -130 *27429:A2 *5683:134 4.65211e-05 -131 *27429:B1 *5683:134 0.00106114 -132 *27438:B2 *5683:166 0.000994729 -133 *27786:A1 *26925:A0 1.90936e-05 -134 *27786:A2 *5683:266 0.000370405 -135 *28177:CLK *25688:A1 0 -136 *28471:D *26880:A1 5.01453e-05 -137 *28671:CLK *27096:A1 1.32056e-05 -138 *28689:CLK *5683:184 0.00131806 -139 *28693:CLK *5683:32 3.79828e-05 -140 *28709:CLK *5683:57 9.60939e-05 -141 *28748:D *5683:83 2.22891e-05 -142 *29153:A *26862:A0 0.00126625 -143 *29153:A *5683:29 0.000339573 -144 *29154:A *26862:A0 1.24368e-05 -145 *29318:A *5683:96 7.06378e-05 -146 *29329:A *5683:96 0.000125318 -147 *29602:A *5683:96 0.000122657 -148 *29704:A *27096:A1 0.000437492 -149 *29948:A *5683:96 7.45591e-05 -150 *29952:A *27174:A0 2.14757e-05 -151 *29970:A *5683:57 0.000154305 -152 *29973:A *5683:32 3.21387e-05 -153 *29979:A *5683:32 3.7369e-05 -154 *29979:A *5683:43 8.85834e-05 -155 *30114:A *5683:266 2.48222e-05 -156 *30148:A *5683:223 5.69386e-05 -157 *30172:A *26880:A1 0.000181879 -158 *30198:A *5683:106 3.06406e-05 -159 *30229:A *5683:184 1.21258e-05 -160 *30559:A *5683:29 6.30898e-05 -161 *30875:A *5683:134 0.00033099 -162 *61:14 *5683:29 0.00237761 -163 *554:20 *5683:29 7.69372e-05 -164 *785:21 *26862:A0 2.28499e-05 -165 *849:17 *5683:266 6.44941e-05 -166 *1018:16 *5683:32 3.11031e-05 -167 *1225:181 *26871:A0 2.77143e-05 -168 *1225:181 *5683:57 0.00128454 -169 *1246:104 *26880:A1 0.000873194 -170 *1265:149 *5683:134 0.000653282 -171 *1274:126 *26880:A1 0 -172 *1274:137 *26880:A1 0.000194271 -173 *1286:103 *26871:A0 9.80173e-05 -174 *1286:103 *5683:57 1.02821e-05 -175 *1286:117 *5683:57 4.66711e-05 -176 *1378:36 *5683:134 0.00121654 -177 *1383:13 *27096:A1 1.9774e-05 -178 *1826:178 *27060:A0 0.000643257 -179 *1826:226 *5683:106 0.000636587 -180 *2756:66 *5683:32 8.19176e-05 -181 *2766:32 *5683:43 0.000304394 -182 *2771:11 *26934:A0 7.27549e-05 -183 *2771:11 *5683:244 0 -184 *2771:11 *5683:266 0 -185 *2771:11 *5683:299 7.82911e-05 -186 *2771:171 *26934:A0 2.93638e-05 -187 *2779:67 *25722:A1 0.000751042 -188 *2782:147 *27096:A1 5.52238e-05 -189 *2785:10 *27060:A0 0.000162641 -190 *2785:12 *5683:106 0.00312454 -191 *2785:12 *5683:110 1.59636e-05 -192 *2791:20 *5683:96 0.000319422 -193 *2791:45 *5683:103 1.48555e-05 -194 *2793:14 *5683:57 0.000650915 -195 *2872:376 *5683:134 0.000120885 -196 *2874:359 *5683:134 0 -197 *2882:303 *5683:134 8.56856e-05 -198 *2891:288 *27132:A0 0.000633233 -199 *2891:288 *5683:134 0.000453482 -200 *2891:311 *5683:134 3.06511e-05 -201 *2980:10 *5683:134 0.000229374 -202 *2997:20 *5683:166 1.53191e-05 -203 *3153:284 *5683:134 4.65519e-05 -204 *3153:313 *5683:103 0.000441724 -205 *3165:85 *5683:106 0.000478376 -206 *3174:239 *5683:134 0.000160994 -207 *3176:254 *5683:184 0.000147837 -208 *3179:244 *5683:266 6.65101e-05 -209 *3185:229 *5683:106 1.10978e-05 -210 *3206:293 *5683:106 0.000108353 -211 *3215:196 *26880:A1 4.58194e-05 -212 *3215:199 *5683:166 0.00104489 -213 *3215:305 *5683:110 0.00048643 -214 *3367:43 *5683:134 3.90709e-05 -215 *3580:94 *5683:134 0.00011556 -216 *3608:12 *5683:83 0.000171027 -217 *3608:14 *5683:83 0.000124529 -218 *3615:12 *5683:299 0.000151156 -219 *3681:17 *5683:244 5.35289e-05 -220 *3705:7 *5683:43 9.71197e-05 -221 *3705:14 *5683:43 1.52978e-05 -222 *3705:14 *5683:57 4.78056e-05 -223 *3746:22 *27060:A0 0.000236317 -224 *3783:41 *5683:96 1.01241e-05 -225 *3783:41 *5683:103 2.20608e-05 -226 *3785:16 *27042:A0 7.43578e-06 -227 *3800:64 *5683:223 9.58181e-05 -228 *3861:40 *25722:A1 0.000187328 -229 *3887:97 *5683:32 0 -230 *3978:21 *5683:103 0.000274294 -231 *3978:21 *5683:106 2.29133e-05 -232 *3978:109 *5683:103 9.80173e-05 -233 *4004:16 *5683:43 0.000720776 -234 *4085:27 *5683:223 0.000124868 -235 *4086:28 *5683:223 0.00012316 -236 *4107:17 *5683:266 2.74273e-05 -237 *4107:26 *27060:A0 8.25843e-06 -238 *4121:24 *5683:106 0 -239 *4121:30 *5683:106 8.03141e-05 -240 *4121:62 *5683:166 0.000217552 -241 *4125:24 *27096:A1 3.6337e-05 -242 *4134:6 *5683:32 0.000608317 -243 *4134:6 *5683:43 0.000215163 -244 *4134:6 *5683:57 0.000100831 -245 *4136:8 *5683:96 8.34705e-05 -246 *4149:72 *5683:223 0.000382744 -247 *4219:11 *26934:A0 6.8445e-06 -248 *4219:11 *5683:223 2.12005e-05 -249 *4219:11 *5683:231 8.43535e-06 -250 *4219:11 *5683:286 1.96616e-05 -251 *4231:14 *5683:29 4.68604e-05 -252 *4357:21 *26925:A0 7.6644e-05 -253 *4360:11 *26898:A0 5.33005e-05 -254 *4360:11 *26934:A0 0.000304869 -255 *5172:41 *25688:A1 6.63007e-05 -256 *5452:16 *26862:A0 5.92291e-05 -257 *5462:33 *5683:29 0.000565232 -258 *5462:55 *5683:32 9.45051e-05 -259 *5586:51 *27174:A0 0.000137983 -260 *5588:27 *25688:A1 2.27416e-05 -261 *5588:45 *25688:A1 0.000203925 -262 *5589:123 *5683:299 4.04359e-05 -263 *5600:205 *5683:223 0.000175892 -264 *5604:120 *26880:A1 0.000633227 -265 *5627:208 *5683:134 0.000152572 -266 *5631:36 *26880:A1 4.78056e-06 -267 *5631:47 *26880:A1 0.000861561 -268 *5631:47 *5683:184 0.00131564 -269 *5637:43 *5683:134 0.000289783 -270 *5639:165 *5683:244 0.000381415 -271 *5639:165 *5683:266 0.000262366 -272 *5643:192 *5683:223 0.000673668 -273 *5645:235 *5683:106 0.000228535 -274 *5655:264 *5683:103 1.65169e-05 -275 *5656:294 *5683:223 0.000316165 -276 *5658:124 *5683:166 0.0012236 -277 *5658:130 *5683:106 3.72884e-05 -278 *5658:130 *5683:110 0.000531482 -279 *5676:20 *5683:43 4.27935e-05 -280 *5680:30 *5683:29 0.000336114 -281 *5682:11 *5683:43 4.13496e-05 -282 *5682:44 *5683:96 0.000237226 -283 *5682:84 *5683:106 8.19176e-05 -284 *5682:86 *5683:106 0.000118982 -*RES -1 *28975:X *5683:4 9.3 -2 *5683:4 *25688:A1 31.3357 -3 *5683:4 *5683:29 27.1085 -4 *5683:29 *5683:32 27.0618 -5 *5683:32 *5683:43 33.4286 -6 *5683:43 *5683:57 40.2143 -7 *5683:57 *26871:A0 10.2464 -8 *5683:57 *25722:A1 33.6571 -9 *5683:43 *5683:83 18.3571 -10 *5683:83 *27150:A0 9.3 -11 *5683:83 *5683:96 27.4286 -12 *5683:96 *5683:103 17.6071 -13 *5683:103 *5683:106 48.1518 -14 *5683:106 *5683:110 11.4732 -15 *5683:110 *27132:A0 15.5857 -16 *5683:110 *5683:134 37.466 -17 *5683:134 *5683:139 9.71429 -18 *5683:139 *27060:A0 36.6214 -19 *5683:139 *5683:166 48.4788 -20 *5683:166 *5683:169 5.03571 -21 *5683:169 *27087:A0 9.3 -22 *5683:169 *5683:184 31.1071 -23 *5683:184 *26880:A1 39.4964 -24 *5683:184 *27042:A0 10.2464 -25 *5683:134 *5683:207 1.76786 -26 *5683:207 *27141:A0 9.3 -27 *5683:207 *5683:223 28.2857 -28 *5683:223 *26970:A0 9.3 -29 *5683:223 *5683:231 6.30357 -30 *5683:231 *5683:244 12.0536 -31 *5683:244 *26898:A0 14.3357 -32 *5683:244 *5683:266 23.087 -33 *5683:266 *26997:A0 9.3 -34 *5683:266 *26925:A0 24.925 -35 *5683:231 *5683:286 2.60714 -36 *5683:286 *5683:299 19.25 -37 *5683:299 *27096:A1 26.9786 -38 *5683:299 *27105:A0 9.3 -39 *5683:286 *26934:A0 19.7286 -40 *5683:96 *27174:A0 15.1571 -41 *5683:32 *28974:A 14.7464 -42 *5683:29 *26862:A0 21.3552 -*END - -*D_NET *5684 0.00973307 -*CONN -*I *29362:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28976:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *29362:A 0.000124731 -2 *28976:X 5.9615e-05 -3 *5684:14 0.00263609 -4 *5684:8 0.00257097 -5 *29362:A *5690:7 0.000465114 -6 *29362:A *5926:9 0.000841894 -7 *5684:8 *29429:A 4.57445e-05 -8 *25734:S *5684:14 6.57032e-05 -9 *27985:A1 *5684:14 0 -10 *27995:A0 *5684:8 2.84009e-05 -11 *28202:D *5684:14 0.000117543 -12 *28889:A *5684:14 2.47833e-05 -13 *29596:A *5684:14 0.000216755 -14 *1862:93 *5684:14 0.00182108 -15 *1862:95 *5684:14 0.000127113 -16 *3523:16 *5684:8 3.51442e-05 -17 *3578:56 *5684:14 1.2634e-05 -18 *4211:5 *5684:14 0.0001399 -19 *5672:5 *5684:14 0.000399841 -*RES -1 *28976:X *5684:8 19.9429 -2 *5684:8 *5684:14 43.5179 -3 *5684:14 *29362:A 17.2286 -*END - -*D_NET *5685 0.13614 -*CONN -*I *25645:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25675:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25947:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25893:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25959:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25953:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25941:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25758:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *26822:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25621:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25923:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25911:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28021:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25833:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25764:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *26816:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25663:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25600:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25612:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25669:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28977:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *25645:A0 0.000826121 -2 *25675:A0 0.00149244 -3 *25947:A1 0 -4 *25893:A1 0.00140475 -5 *25959:A0 0.000180091 -6 *25953:A1 0.00112858 -7 *25941:A1 0 -8 *25758:A1 0.000170162 -9 *26822:A0 0 -10 *25621:A1 0.000913878 -11 *25923:A0 0.000112365 -12 *25911:A0 0.00139555 -13 *28021:A0 0 -14 *25833:A1 0.000731617 -15 *25764:A1 3.92359e-05 -16 *26816:A0 9.58142e-05 -17 *25663:A1 8.81566e-05 -18 *25600:A1 0.000754392 -19 *25612:A1 0.000985629 -20 *25669:A0 6.94032e-05 -21 *28977:X 5.34873e-05 -22 *5685:333 0.00492683 -23 *5685:218 0.00203287 -24 *5685:205 0.00253426 -25 *5685:183 0.00207992 -26 *5685:173 0.00192051 -27 *5685:163 0.0024489 -28 *5685:151 0.00112457 -29 *5685:146 0.00137447 -30 *5685:144 0.000325948 -31 *5685:142 0.00198291 -32 *5685:126 0.0012709 -33 *5685:122 0.00223194 -34 *5685:112 0.00183392 -35 *5685:76 0.00274906 -36 *5685:66 0.00223369 -37 *5685:62 0.0041378 -38 *5685:58 0.0029581 -39 *5685:55 0.00345381 -40 *5685:52 0.00279396 -41 *5685:39 0.00281609 -42 *5685:35 0.00214697 -43 *5685:12 0.00117111 -44 *5685:9 0.00279495 -45 *25600:A1 *27593:A2 2.33059e-05 -46 *25600:A1 *5698:179 0.0011555 -47 *25600:A1 *5926:204 0.000262504 -48 *25600:A1 *6385:32 0.000358067 -49 *25612:A1 *28110:RESET_B 8.39516e-05 -50 *25621:A1 *25919:A0 3.2419e-05 -51 *25645:A0 *5909:62 0.000508657 -52 *25758:A1 *27505:A1 0.000111466 -53 *25764:A1 *6225:17 5.52238e-05 -54 *25833:A1 *6014:20 0 -55 *25893:A1 *5711:186 2.11419e-05 -56 *25911:A0 *5705:162 0.000243022 -57 *25911:A0 *6323:10 2.06178e-05 -58 *25923:A0 *6225:27 5.33005e-05 -59 *25953:A1 *25953:A0 5.33005e-05 -60 *5685:35 *5687:58 0.00071472 -61 *5685:52 *5717:74 7.58841e-05 -62 *5685:66 *5705:162 0.000407381 -63 *5685:76 *5705:169 0 -64 *5685:122 *29601:A 4.38267e-05 -65 *5685:126 *27505:A1 3.79922e-05 -66 *5685:126 *6324:17 5.08079e-05 -67 *5685:126 *6385:70 0.000303725 -68 *5685:126 *6385:73 3.05035e-05 -69 *5685:151 *25661:A1 8.6545e-06 -70 *5685:151 *28151:RESET_B 0.00030911 -71 *5685:151 *5698:109 8.21056e-05 -72 *5685:151 *5705:122 3.29904e-05 -73 *5685:173 *28418:RESET_B 7.64101e-05 -74 *5685:173 *29057:A 0.000186142 -75 *5685:173 *5710:103 0.000415633 -76 *5685:173 *5730:14 3.59097e-05 -77 *5685:173 *5730:26 0.000127579 -78 *5685:173 *5730:32 4.88385e-05 -79 *5685:173 *5730:43 4.44749e-05 -80 *5685:173 *5778:16 2.68544e-05 -81 *5685:173 *5778:31 7.82198e-05 -82 *5685:173 *5778:219 0.000615776 -83 *5685:183 *5710:103 0.000240263 -84 *5685:183 *5730:60 1.21317e-05 -85 *5685:183 *5778:31 3.53311e-05 -86 *5685:205 *5778:31 0.00102324 -87 *5685:218 *28366:RESET_B 0.000371335 -88 *5685:333 *28159:RESET_B 0.000130824 -89 *5685:333 *5691:16 5.68625e-05 -90 *5685:333 *5691:51 5.30957e-05 -91 *5685:333 *5744:119 8.83723e-05 -92 pad_flash_io1_oeb *5685:173 0 -93 pll90_sel[0] *25621:A1 8.96973e-05 -94 pll_dco_ena *5685:173 0.000159935 -95 pll_trim[12] *25612:A1 0.000307758 -96 reset *5685:173 0.000163062 -97 *25132:B2 *5685:122 0.000536382 -98 *25179:B2 *5685:35 0.000879829 -99 *25244:B1 *25669:A0 9.66977e-05 -100 *25244:B1 *5685:35 0.000422796 -101 *25270:A1 *25953:A1 0.000210093 -102 *25275:C *25893:A1 0.00125665 -103 *25275:D *25893:A1 0.000177815 -104 *25295:B1 *5685:52 6.69871e-05 -105 *25300:B1 *5685:12 6.05744e-05 -106 *25300:B1 *5685:333 2.0587e-05 -107 *25339:A2 *25959:A0 0.000221675 -108 *25390:B2 *5685:39 0.000355265 -109 *25399:A2 *25621:A1 2.11419e-05 -110 *25399:B2 *25923:A0 4.60513e-05 -111 *25400:B2 *5685:126 1.98839e-05 -112 *25400:B2 *5685:142 1.29654e-05 -113 *25406:B2 *25612:A1 1.98839e-05 -114 *25461:A1 *25675:A0 6.30118e-05 -115 *25461:A2 *25675:A0 3.05874e-05 -116 *25467:A1 *25675:A0 0.00013949 -117 *25467:C1 *25675:A0 0.00026367 -118 *25617:A0 *5685:142 0.000889705 -119 *25617:A0 *5685:144 0.000123548 -120 *25668:S *5685:9 5.33005e-05 -121 *25668:S *5685:12 0.000166374 -122 *25669:A1 *5685:12 0.000121701 -123 *25754:S *5685:126 0 -124 *25774:A1 *25675:A0 0.000733541 -125 *25833:S *25833:A1 6.74911e-05 -126 *25893:A0 *25893:A1 2.84026e-05 -127 *25923:S *25621:A1 0.000191701 -128 *25941:A0 *5685:112 0.000135028 -129 *25941:A0 *5685:122 5.33005e-05 -130 *25941:S *5685:122 8.43535e-06 -131 *25959:A1 *25959:A0 8.58914e-05 -132 *26822:A1 *5685:126 5.33005e-05 -133 *26822:A1 *5685:142 5.52238e-05 -134 *26822:S *5685:126 8.17274e-05 -135 *26822:S *5685:142 0.000365164 -136 *27527:B1 *25758:A1 0.000133407 -137 *27527:B1 *5685:126 0.000104707 -138 *27901:A1 *5685:52 0.0008072 -139 *27916:B *5685:52 7.72966e-05 -140 *27916:C *5685:52 0.000669902 -141 *28019:A1 *5685:205 6.54117e-05 -142 *28110:CLK *25612:A1 1.02821e-05 -143 *28110:D *25612:A1 0.000349111 -144 *28150:D *5685:151 4.6025e-06 -145 *28285:D *5685:205 5.23057e-05 -146 *28288:CLK *25833:A1 0.000445984 -147 *28365:D *5685:142 0.000130239 -148 *28365:D *5685:144 0.000447761 -149 *28365:D *5685:146 0.000314062 -150 *28365:D *5685:151 0.000980572 -151 *28390:D *25600:A1 0.000222583 -152 *28390:D *25612:A1 0.000132862 -153 *28421:D *5685:173 0.000698716 -154 *29166:A *5685:205 0.000606109 -155 *29183:A *25600:A1 7.69776e-06 -156 *29385:A *5685:205 2.89016e-05 -157 *29994:A *25833:A1 0 -158 *30031:A *5685:163 0.000238761 -159 *30034:A *5685:218 0.000107313 -160 *30087:A *5685:163 0.000757229 -161 *30353:A *25893:A1 1.51029e-05 -162 *30833:A *25953:A1 9.03286e-05 -163 *30833:A *5685:66 2.10152e-05 -164 *30926:A *5685:173 2.06112e-05 -165 *30934:A *25612:A1 1.94945e-05 -166 *460:33 *5685:142 0.000293428 -167 *460:33 *5685:151 0.000181097 -168 *510:26 *5685:9 5.47343e-05 -169 *510:26 *5685:333 2.22043e-05 -170 *699:22 *5685:151 4.94974e-05 -171 *755:18 *26816:A0 0 -172 *759:16 *5685:142 6.80108e-05 -173 *1218:62 *5685:55 0.000130765 -174 *1263:62 *25953:A1 0 -175 *1281:30 *5685:55 8.83488e-05 -176 *1294:64 *5685:122 3.30337e-05 -177 *1323:16 *25959:A0 1.90076e-05 -178 *1327:116 *5685:76 4.65519e-05 -179 *1327:116 *5685:122 0.00118961 -180 *1360:20 *5685:35 0.000374337 -181 *1362:152 *25911:A0 0.000355153 -182 *1408:97 *5685:76 1.36662e-05 -183 *1430:65 *5685:35 0.000376051 -184 *1430:165 *5685:122 3.67437e-05 -185 *1440:38 *5685:35 3.48083e-05 -186 *1441:14 *25953:A1 0 -187 *1442:14 *5685:39 0.00090815 -188 *1452:27 *5685:122 0.000455946 -189 *1452:35 *5685:122 0.00154725 -190 *1453:17 *5685:122 6.05161e-06 -191 *1457:51 *25911:A0 0.000271154 -192 *1457:55 *5685:205 8.5819e-05 -193 *1457:80 *5685:205 0.000976467 -194 *1470:30 *5685:52 0.000174837 -195 *1470:90 *5685:333 0.00288598 -196 *1498:34 *25612:A1 0.000123259 -197 *1594:23 *25893:A1 0.000187207 -198 *1603:36 *25675:A0 0.000146532 -199 *1612:8 *5685:52 5.11553e-05 -200 *1613:6 *5685:39 0.002688 -201 *1616:8 *5685:66 0.000729862 -202 *1616:8 *5685:76 1.90936e-05 -203 *1616:46 *5685:173 0.000134271 -204 *1644:19 *5685:39 4.27935e-05 -205 *1644:19 *5685:52 0.000161158 -206 *1657:19 *25959:A0 1.48029e-05 -207 *1671:24 *5685:142 2.95746e-06 -208 *1671:52 *25621:A1 5.4315e-05 -209 *1715:18 *5685:126 8.51512e-05 -210 *1715:18 *5685:142 6.62161e-05 -211 *1722:21 *5685:76 0.000659626 -212 *1725:8 *5685:52 0.000785122 -213 *1748:20 *25645:A0 4.64974e-05 -214 *1824:38 *25600:A1 3.32386e-05 -215 *1828:42 *25675:A0 5.6467e-05 -216 *1831:38 *5685:151 0.000115139 -217 *1832:41 *5685:35 7.33024e-05 -218 *1866:8 *5685:205 0.000193654 -219 *1866:12 *5685:205 0.000158278 -220 *1887:70 *5685:333 0.000274067 -221 *1888:39 *25675:A0 0.000153369 -222 *1893:14 *25911:A0 2.06178e-05 -223 *1895:36 *25621:A1 0.000269025 -224 *1895:45 *25621:A1 0.000163689 -225 *2778:66 *25893:A1 0.000249247 -226 *2834:142 *25645:A0 3.47641e-06 -227 *2872:112 *25675:A0 5.00802e-05 -228 *2872:112 *5685:333 0.00010085 -229 *2875:69 *5685:39 6.13772e-05 -230 *2882:135 *25675:A0 3.22831e-05 -231 *3104:13 *25612:A1 0 -232 *3125:10 *5685:126 3.57584e-05 -233 *3145:32 *25600:A1 0.000797702 -234 *3145:32 *25612:A1 0.000134768 -235 *3170:51 *5685:52 1.00073e-05 -236 *3173:35 *25893:A1 0.00117325 -237 *3173:94 *5685:9 2.09136e-05 -238 *3173:94 *5685:12 3.89262e-05 -239 *3173:94 *5685:333 1.55254e-05 -240 *3173:110 *5685:333 4.08082e-05 -241 *3185:320 *5685:35 7.33024e-05 -242 *3192:107 *25645:A0 0 -243 *3197:138 *25645:A0 0.000695909 -244 *3212:44 *25893:A1 5.66262e-05 -245 *3212:44 *5685:55 0.000186084 -246 *3452:32 *25645:A0 2.23541e-05 -247 *3457:28 *5685:52 0.00085193 -248 *3459:8 *5685:52 0.000894717 -249 *3460:21 *25953:A1 8.47295e-05 -250 *3460:21 *5685:66 0.000337523 -251 *3646:20 *5685:333 3.0577e-05 -252 *3648:22 *25600:A1 2.06178e-05 -253 *3650:38 *25758:A1 0 -254 *3666:52 *5685:9 1.98839e-05 -255 *3711:34 *25675:A0 4.89117e-05 -256 *3713:45 *25645:A0 0.000805919 -257 *3723:31 *25675:A0 3.47641e-06 -258 *3749:105 *25645:A0 0.000107157 -259 *3778:77 *5685:52 0.000593292 -260 *3780:53 *5685:333 0.000140621 -261 *3790:53 *25893:A1 1.94945e-05 -262 *3791:10 *25621:A1 3.95113e-05 -263 *3791:75 *5685:218 0.000453387 -264 *3791:148 *25600:A1 0.00028398 -265 *3792:33 *5685:142 0.000436483 -266 *3792:33 *5685:144 0.000478348 -267 *3792:33 *5685:146 0.000338226 -268 *3792:33 *5685:151 0.000164322 -269 *3792:51 *5685:183 7.35637e-05 -270 *3802:58 *5685:333 0.000251581 -271 *3804:14 *25663:A1 0 -272 *3804:14 *5685:151 0 -273 *3805:28 *5685:163 9.61096e-05 -274 *3805:47 *5685:122 0.000136727 -275 *3806:8 *5685:205 0 -276 *3806:25 *5685:205 0 -277 *3828:61 *5685:333 1.72915e-05 -278 *3853:45 *25959:A0 0.000149832 -279 *3857:37 *5685:333 0.000589407 -280 *3857:49 *5685:333 0 -281 *3875:51 *25953:A1 0 -282 *3880:50 *5685:55 0.000607106 -283 *3884:24 *25621:A1 0.000321278 -284 *3884:53 *5685:142 0.00147759 -285 *3892:18 *5685:151 5.57893e-05 -286 *3918:16 *5685:218 1.49057e-05 -287 *3918:83 *5685:142 0.00013247 -288 *3971:59 *5685:39 0.000395935 -289 *4064:37 *25675:A0 0.000132869 -290 *4064:81 *25675:A0 0.000682988 -291 *4100:22 *5685:333 0.000249737 -292 *4131:29 *25833:A1 1.40034e-05 -293 *4131:29 *5685:205 0.000651967 -294 *4139:53 *25893:A1 9.79328e-05 -295 *4139:53 *5685:55 0.00210243 -296 *4185:30 *25675:A0 0.000108955 -297 *4256:11 *26816:A0 3.10819e-05 -298 *4263:9 *5685:122 9.60337e-06 -299 *4265:8 *5685:122 1.90936e-05 -300 *4331:27 *5685:183 0.000126999 -301 *4337:16 *5685:173 6.51414e-05 -302 *5011:12 *25923:A0 0.000104976 -303 *5017:14 *25911:A0 0.000769089 -304 *5036:25 *5685:76 0.000478501 -305 *5037:11 *25612:A1 0.00030749 -306 *5052:46 *25911:A0 8.03058e-05 -307 *5063:17 *5685:205 0.000163519 -308 *5074:15 *25911:A0 0 -309 *5075:31 *5685:173 0.000529363 -310 *5078:20 *5685:163 0.000643775 -311 *5079:20 *5685:173 3.47641e-06 -312 *5079:20 *5685:183 2.49719e-05 -313 *5125:19 *5685:205 0 -314 *5342:19 *25621:A1 9.23805e-05 -315 *5483:120 *25612:A1 4.32818e-05 -316 *5488:79 *5685:66 1.4867e-05 -317 *5489:41 *5685:218 0.000530587 -318 *5489:45 *5685:218 0.000253497 -319 *5490:39 *25663:A1 0.000107843 -320 *5490:39 *5685:151 0.000279577 -321 *5491:18 *25612:A1 7.3897e-05 -322 *5491:30 *25612:A1 0.00038713 -323 *5491:30 *5685:66 0.000756613 -324 *5491:30 *5685:76 2.04825e-05 -325 *5494:46 *25621:A1 4.5539e-05 -326 *5496:16 *5685:173 4.1879e-05 -327 *5496:40 *26816:A0 4.39823e-05 -328 *5497:39 *25621:A1 0.000233183 -329 *5498:54 *5685:76 0.000151271 -330 *5500:41 *25612:A1 4.09488e-06 -331 *5501:23 *5685:122 0.000518242 -332 *5510:59 *5685:142 5.58004e-06 -333 *5522:56 *25953:A1 3.97677e-05 -334 *5583:22 *5685:35 0.000467849 -335 *5591:63 *5685:52 9.22222e-06 -336 *5605:10 *25953:A1 0.000208379 -337 *5614:97 *5685:333 0.000110642 -338 *5618:47 *25953:A1 0.000382245 -339 *5619:58 *25911:A0 0.000139828 -340 *5623:83 *5685:39 5.83233e-05 -341 *5624:94 *25911:A0 0.000962409 -342 *5625:60 *25959:A0 3.02799e-05 -343 *5657:88 *25675:A0 6.26435e-05 -344 *5661:117 *25645:A0 0.000113235 -345 *5661:123 *25645:A0 0.000566728 -346 *5665:127 *5685:205 0 -347 *5666:101 *25675:A0 0.000149379 -348 *5675:245 *25612:A1 0.000428893 -*RES -1 *28977:X *5685:9 15.175 -2 *5685:9 *5685:12 7.96429 -3 *5685:12 *25669:A0 10.2464 -4 *5685:12 *5685:35 45.75 -5 *5685:35 *5685:39 40.6786 -6 *5685:39 *5685:52 49.6518 -7 *5685:52 *5685:55 6.95223 -8 *5685:55 *5685:58 4.84193 -9 *5685:58 *5685:62 6.3334 -10 *5685:62 *5685:66 15.6614 -11 *5685:66 *5685:76 13.5132 -12 *5685:76 *25612:A1 44.7854 -13 *5685:76 *25600:A1 22.5373 -14 *5685:66 *5685:112 5.85714 -15 *5685:112 *5685:122 23.853 -16 *5685:122 *5685:126 12.2054 -17 *5685:126 *5685:142 14.4114 -18 *5685:142 *5685:144 0.767667 -19 *5685:144 *5685:146 0.551467 -20 *5685:146 *5685:151 9.17066 -21 *5685:151 *25663:A1 15.2911 -22 *5685:151 *5685:163 8.8128 -23 *5685:163 *5685:173 49.0268 -24 *5685:173 *26816:A0 19.9429 -25 *5685:163 *5685:183 5.13393 -26 *5685:183 *25764:A1 14.3357 -27 *5685:183 *5685:205 45.8929 -28 *5685:205 *25833:A1 28.6929 -29 *5685:146 *5685:218 19.4725 -30 *5685:218 *28021:A0 13.8 -31 *5685:218 *25911:A0 25.3399 -32 *5685:144 *25923:A0 19.2368 -33 *5685:142 *25621:A1 38.1118 -34 *5685:126 *26822:A0 9.3 -35 *5685:122 *25758:A1 17.1125 -36 *5685:112 *25941:A1 9.3 -37 *5685:62 *25953:A1 23.0851 -38 *5685:58 *25959:A0 22.1788 -39 *5685:55 *25893:A1 28.6464 -40 *5685:39 *25947:A1 9.3 -41 *5685:9 *5685:333 34.2127 -42 *5685:333 *25675:A0 34.1779 -43 *5685:333 *25645:A0 46.0611 -*END - -*D_NET *5686 0.0499442 -*CONN -*I *25875:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25935:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25881:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25839:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25869:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25845:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25803:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25827:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25639:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25776:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27158:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25657:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25651:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *28978:X O *D sky130_fd_sc_hd__clkbuf_4 -*CAP -1 *25875:A0 0 -2 *25935:A0 0 -3 *25881:A1 3.18873e-05 -4 *25839:A1 3.54071e-05 -5 *25869:A1 0.000693803 -6 *25845:A0 0.000172736 -7 *25803:A0 0.000680795 -8 *25827:A1 0.000256568 -9 *25639:A1 0.00021487 -10 *25776:A0 0.000456341 -11 *27158:A1 0.000338742 -12 *25657:A1 0.00125115 -13 *25651:A1 5.1491e-05 -14 *28978:X 0.00055482 -15 *5686:185 0.000835672 -16 *5686:136 0.00161496 -17 *5686:118 0.00145626 -18 *5686:105 0.00121799 -19 *5686:100 0.00216933 -20 *5686:77 0.00156124 -21 *5686:76 0.000271774 -22 *5686:61 0.000791703 -23 *5686:43 0.00166399 -24 *5686:40 0.000777083 -25 *5686:38 0.000153166 -26 *5686:35 0.00289376 -27 *5686:17 0.00379551 -28 *5686:15 0.000711245 -29 *25639:A1 *25639:A0 0.000607115 -30 *25639:A1 *30781:A 9.90431e-05 -31 *25651:A1 *5838:31 9.71197e-05 -32 *25657:A1 *24868:B 5.20359e-06 -33 *25657:A1 *28238:SET_B 0.000143279 -34 *25803:A0 *5719:86 0.000634259 -35 *25803:A0 *5778:49 0 -36 *25803:A0 *5824:63 9.25014e-06 -37 *25869:A1 *5743:135 0.000116417 -38 *25869:A1 *5743:147 1.59145e-05 -39 *25881:A1 *5838:31 5.33005e-05 -40 *27158:A1 *28292:RESET_B 0.000181796 -41 *5686:15 *28329:RESET_B 0.000112429 -42 *5686:35 *5743:74 0.000524093 -43 *5686:35 *5909:62 2.18863e-05 -44 *5686:43 *5838:31 0.000634259 -45 *5686:100 *24895:B 0.000421074 -46 *5686:118 *25639:A0 3.92854e-05 -47 *5686:185 *6036:46 0.00124686 -48 *25416:B2 *5686:35 0.000454809 -49 *25450:A2 *5686:118 6.30931e-05 -50 *25657:S *25657:A1 1.92789e-05 -51 *25776:S *25657:A1 0.000319962 -52 *25842:S *25845:A0 0.000137983 -53 *25874:S *5686:35 0.0012089 -54 *25875:A1 *5686:15 0.000119208 -55 *25875:S *5686:15 8.96428e-05 -56 *25875:S *5686:17 0.000339346 -57 *25875:S *5686:35 0.000274581 -58 *25880:S *5686:61 0.000114759 -59 *25880:S *5686:76 0.000193833 -60 *25880:S *5686:100 0.000690684 -61 *25881:S *25881:A1 4.08637e-05 -62 *27158:A0 *27158:A1 5.33005e-05 -63 *27564:B2 *5686:35 0.00119613 -64 *27566:A1 *5686:35 0.000188415 -65 *27566:B1 *5686:35 9.96717e-06 -66 *27566:B2 *5686:35 0.000131291 -67 *27587:A1 *5686:35 9.41642e-05 -68 *27587:A2 *5686:35 0.000539057 -69 *27921:A2 *5686:100 0.000126439 -70 *27925:B2 *5686:185 0.000592082 -71 *27960:A2 *5686:15 0.00026745 -72 *28092:RESET_B *27158:A1 4.75237e-05 -73 *28260:CLK *5686:38 0.00020522 -74 *28312:CLK *25869:A1 0.000148303 -75 *28312:CLK *5686:136 0.000403351 -76 *28314:CLK *25869:A1 5.52238e-05 -77 *29454:A *5686:100 3.69047e-06 -78 *29907:A *5686:118 3.64113e-05 -79 *29910:A *5686:100 0.000236849 -80 *30253:A *27158:A1 2.44318e-05 -81 *30255:A *25869:A1 2.59355e-05 -82 *30344:A *5686:17 0.000163455 -83 *30344:A *5686:35 5.33005e-05 -84 *30344:A *5686:185 9.91086e-05 -85 *400:14 *27158:A1 0.000178847 -86 *740:19 *5686:35 1.70641e-05 -87 *1214:11 *25827:A1 0.000190917 -88 *1247:25 *5686:185 4.58194e-05 -89 *1324:37 *5686:35 0.000110093 -90 *1396:45 *5686:61 0.000358865 -91 *1396:45 *5686:76 1.91414e-05 -92 *1396:45 *5686:100 0.000212466 -93 *1427:149 *5686:100 0.000126439 -94 *1427:161 *25639:A1 0.000201641 -95 *1427:161 *5686:105 3.98431e-05 -96 *1456:64 *5686:35 3.15439e-05 -97 *1829:34 *25657:A1 0.000244387 -98 *1868:49 *25657:A1 0.000442074 -99 *1872:31 *5686:35 4.65519e-05 -100 *1875:15 *25827:A1 9.41642e-05 -101 *1875:29 *25827:A1 0.000125547 -102 *1875:29 *5686:118 0 -103 *1888:27 *25881:A1 1.24368e-05 -104 *1888:27 *5686:38 0.000111377 -105 *1888:27 *5686:61 7.90803e-05 -106 *1888:58 *5686:61 0.000694225 -107 *2850:94 *5686:35 0.000170661 -108 *2855:103 *5686:35 6.47471e-05 -109 *2855:121 *5686:35 0.000155679 -110 *2871:110 *5686:35 0.000144024 -111 *2871:116 *5686:35 1.53191e-05 -112 *2872:134 *5686:185 0.000165754 -113 *2886:102 *5686:185 3.41046e-05 -114 *3165:314 *5686:100 0.000267107 -115 *3165:322 *5686:100 5.66157e-05 -116 *3165:322 *5686:105 0.000196048 -117 *3165:343 *5686:118 1.53472e-05 -118 *3192:118 *25869:A1 0.000243635 -119 *3196:145 *5686:100 0.000261234 -120 *3450:13 *25869:A1 0.000120475 -121 *3558:187 *5686:15 3.06878e-06 -122 *3558:189 *5686:15 8.25843e-06 -123 *3561:19 *25776:A0 0.000593395 -124 *3561:19 *25839:A1 5.33005e-05 -125 *3561:19 *5686:77 0.000216755 -126 *3561:19 *5686:100 2.40107e-05 -127 *3570:86 *25657:A1 6.86792e-05 -128 *3570:97 *25657:A1 2.44318e-05 -129 *3616:122 *25657:A1 0.000120954 -130 *3626:68 *5686:118 0 -131 *3626:70 *5686:118 0 -132 *3637:40 *25881:A1 5.41794e-05 -133 *3637:40 *5686:38 2.31791e-05 -134 *3637:40 *5686:61 1.82809e-05 -135 *3687:42 *5686:185 0.00107728 -136 *3697:33 *25845:A0 0.000167511 -137 *3711:16 *5686:118 0.000184398 -138 *3711:21 *5686:118 0.00021584 -139 *3728:5 *5686:15 9.38921e-05 -140 *3728:20 *5686:15 3.69047e-06 -141 *3736:63 *5686:35 0.000171375 -142 *3739:36 *5686:35 5.98644e-05 -143 *3858:19 *25845:A0 0.000137983 -144 *3858:19 *5686:118 0.000113183 -145 *3871:17 *25657:A1 0.00025351 -146 *3871:32 *5686:100 2.79421e-05 -147 *3962:35 *5686:15 6.34436e-05 -148 *4087:26 *5686:15 2.00751e-05 -149 *4103:40 *25845:A0 0.000333335 -150 *4113:98 *5686:35 0.000507861 -151 *4127:75 *5686:35 0.000177651 -152 *4143:8 *5686:76 0.000148903 -153 *5611:27 *25803:A0 0.000333493 -154 *5611:27 *5686:105 5.33005e-05 -155 *5611:27 *5686:118 0.000208001 -156 *5623:13 *5686:61 0.000101136 -157 *5623:37 *5686:185 9.60875e-05 -158 *5626:19 *5686:35 0.000509791 -*RES -1 *28978:X *5686:15 28.3179 -2 *5686:15 *5686:17 3.41071 -3 *5686:17 *5686:35 47.8939 -4 *5686:35 *5686:38 6.41893 -5 *5686:38 *5686:40 0.535714 -6 *5686:40 *5686:43 10.7857 -7 *5686:43 *25651:A1 10.2464 -8 *5686:43 *25657:A1 39.2464 -9 *5686:40 *5686:61 11.9643 -10 *5686:61 *27158:A1 19.7107 -11 *5686:61 *5686:76 7.05357 -12 *5686:76 *5686:77 2.17857 -13 *5686:77 *25776:A0 15.175 -14 *5686:77 *5686:100 47.4464 -15 *5686:100 *5686:105 6.42857 -16 *5686:105 *25639:A1 15.9964 -17 *5686:105 *5686:118 17.2321 -18 *5686:118 *25827:A1 19.2643 -19 *5686:118 *25803:A0 24.4607 -20 *5686:100 *5686:136 9.16071 -21 *5686:136 *25845:A0 24.0321 -22 *5686:136 *25869:A1 29.1036 -23 *5686:76 *25839:A1 9.83571 -24 *5686:38 *25881:A1 15.0679 -25 *5686:17 *5686:185 41.1607 -26 *5686:185 *25935:A0 9.3 -27 *5686:15 *25875:A0 9.3 -*END - -*D_NET *5687 0.0993155 -*CONN -*I *28978:A I *D sky130_fd_sc_hd__clkbuf_4 -*I *28977:A I *D sky130_fd_sc_hd__buf_8 -*I *25703:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *6549:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25749:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27164:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27182:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26839:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27095:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27050:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26879:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *28979:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *28978:A 0 -2 *28977:A 0.000121704 -3 *25703:A1 0.000122376 -4 *6549:DIODE 2.39476e-05 -5 *25749:A0 0.000135987 -6 *27164:A1 0.000417923 -7 *27182:A0 0 -8 *26839:A0 0.00156456 -9 *27095:A1 0.00016583 -10 *27050:A0 9.14861e-05 -11 *26879:A1 0 -12 *28979:X 0.000313213 -13 *5687:228 0.000288508 -14 *5687:225 0.000714868 -15 *5687:221 0.00435919 -16 *5687:217 0.00413695 -17 *5687:212 0.00307611 -18 *5687:166 0.00281148 -19 *5687:153 0.00218004 -20 *5687:144 0.0012187 -21 *5687:142 0.00107027 -22 *5687:129 0.00276336 -23 *5687:114 0.00819371 -24 *5687:87 0.00439175 -25 *5687:73 0.00394517 -26 *5687:58 0.00482664 -27 *5687:37 0.00282124 -28 *5687:29 0.00154464 -29 *5687:14 0.00139451 -30 *25703:A1 *5936:6 0.000192214 -31 *25749:A0 *25703:A0 3.53079e-05 -32 *25749:A0 *6017:11 2.59355e-05 -33 *26839:A0 *27075:A0 0.000117159 -34 *26839:A0 *27095:A0 0.000303368 -35 *26839:A0 *5858:23 0.000895746 -36 *27050:A0 *27050:A1 0.000114587 -37 *27095:A1 *27095:A0 3.18676e-05 -38 *27095:A1 *5945:18 5.33005e-05 -39 *27164:A1 *28723:D 0.000555481 -40 *5687:14 *5707:28 4.1879e-05 -41 *5687:29 *28329:RESET_B 0.00042702 -42 *5687:29 *5691:51 0.000268081 -43 *5687:29 *5694:31 0.000177545 -44 *5687:37 *25668:A0 0.000325297 -45 *5687:37 *5691:16 0.000240028 -46 *5687:87 *26879:A0 2.89114e-05 -47 *5687:114 *26879:A0 7.43578e-06 -48 *5687:114 *5707:100 0 -49 *5687:114 *5754:102 1.99901e-06 -50 *5687:114 *5757:109 0 -51 *5687:129 *27179:A1 0.000199622 -52 *5687:129 *28612:D 5.58875e-06 -53 *5687:129 *5757:109 0 -54 *5687:129 *5873:142 0 -55 *5687:129 *5981:45 4.1879e-05 -56 *5687:142 *5873:142 2.84026e-05 -57 *5687:153 *27047:A1 0.000492235 -58 *5687:153 *27050:A1 0.000161878 -59 *5687:153 *5707:172 0.00107891 -60 *5687:153 *5707:177 3.17148e-05 -61 *5687:166 *29622:A 1.14483e-05 -62 *5687:166 *6332:12 0 -63 *5687:166 *6332:55 2.83284e-06 -64 *5687:221 *28182:D 5.49544e-05 -65 *5687:228 *5936:6 0.00018783 -66 *25007:A1 *5687:217 0.000681021 -67 *25007:B2 *5687:212 0.000431864 -68 *25088:A2 *5687:29 1.94879e-05 -69 *25179:B2 *5687:58 0.000132201 -70 *25211:A2 *5687:58 0 -71 *25214:A2 *5687:73 0.000237187 -72 *25214:B1 *5687:73 1.64271e-05 -73 *25214:B2 *5687:73 1.28809e-05 -74 *25216:B2 *26839:A0 3.18501e-05 -75 *25216:C1 *26839:A0 6.31629e-05 -76 *25244:B1 *5687:58 0.00133615 -77 *25258:B1 *5687:87 0.000213173 -78 *25263:A2 *5687:142 0.000222584 -79 *25263:A2 *5687:144 0.000454741 -80 *25263:A2 *5687:153 0.000753141 -81 *25283:B *5687:73 0.000473033 -82 *25321:A2 *5687:129 0.000231825 -83 *25324:A1 *5687:87 8.8707e-05 -84 *25324:A1 *5687:114 9.58692e-05 -85 *25336:A2 *5687:73 0.000105211 -86 *25414:C *5687:73 5.75573e-05 -87 *25590:B *26839:A0 4.58194e-05 -88 *25626:A1 *5687:166 0.000146075 -89 *25668:S *28977:A 0.000204318 -90 *25668:S *5687:37 0.000301094 -91 *25935:S *5687:37 2.00756e-05 -92 *27050:S *27050:A0 9.71197e-05 -93 *27050:S *5687:166 3.06511e-05 -94 *27182:S *5687:142 3.04972e-05 -95 *27445:A2 *5687:212 5.69386e-05 -96 *27445:B1 *5687:212 0.000216982 -97 *27530:B2 *5687:37 0.000582273 -98 *27618:C *5687:212 5.33005e-05 -99 *27634:A1 *5687:73 9.41642e-05 -100 *27671:A1 *5687:114 6.18373e-05 -101 *27759:B1 *5687:129 0.000162866 -102 *27768:B2 *5687:114 0.000343166 -103 *27926:B1 *5687:58 2.7883e-05 -104 *27960:A2 *5687:14 4.00349e-05 -105 *27960:B2 *5687:14 5.27506e-05 -106 *27960:C1 *5687:14 5.33005e-05 -107 *28182:CLK *5687:221 2.79421e-05 -108 *28787:D *5687:114 4.20209e-05 -109 *28794:D *5687:166 0.000326446 -110 *28800:CLK *5687:114 1.44355e-05 -111 *29821:A *5687:129 1.90936e-05 -112 *29878:A *5687:153 7.32272e-05 -113 *29881:A *5687:166 0.000401364 -114 *30227:A *5687:58 5.51716e-05 -115 *30287:A *26839:A0 8.6229e-06 -116 *30343:A *5687:29 0.000171375 -117 *30864:A *5687:225 0.000342302 -118 *348:16 *26839:A0 2.57552e-06 -119 *510:26 *5687:37 0.000337102 -120 *1185:54 *5687:212 0.000121573 -121 *1228:61 *5687:114 0.000126716 -122 *1237:27 *5687:129 0.00128273 -123 *1240:130 *26839:A0 2.83425e-05 -124 *1242:206 *5687:114 0.000645408 -125 *1245:51 *5687:114 0 -126 *1245:92 *26839:A0 2.02794e-05 -127 *1250:43 *5687:87 0.000138232 -128 *1252:61 *5687:129 8.16211e-05 -129 *1256:31 *5687:129 0.000280067 -130 *1263:36 *5687:58 7.59802e-06 -131 *1277:33 *5687:58 1.28049e-05 -132 *1282:68 *5687:153 0.00013795 -133 *1290:48 *5687:153 1.18064e-05 -134 *1294:95 *5687:58 0.000853585 -135 *1328:98 *5687:142 0.000155969 -136 *1328:98 *5687:144 0.000217509 -137 *1328:98 *5687:153 0.000234504 -138 *1328:114 *5687:142 0.000131143 -139 *1328:115 *5687:129 1.66687e-05 -140 *1328:140 *5687:114 0 -141 *1358:25 *5687:14 0.000372069 -142 *1401:95 *5687:58 0.00127023 -143 *1409:6 *5687:14 0.000102806 -144 *1409:6 *5687:29 0.000514948 -145 *1420:40 *5687:58 9.20139e-05 -146 *1421:100 *5687:73 0.000141224 -147 *1446:20 *5687:87 7.04456e-05 -148 *1449:84 *5687:73 0.000139701 -149 *1480:17 *5687:58 0 -150 *1536:13 *5687:153 1.0267e-05 -151 *1536:27 *5687:87 0.00084891 -152 *1536:27 *5687:129 4.09393e-05 -153 *1550:34 *5687:129 2.71873e-05 -154 *1550:34 *5687:212 0 -155 *1563:17 *5687:58 0.000132219 -156 *1597:11 *5687:73 9.41642e-05 -157 *1603:15 *5687:29 8.55871e-05 -158 *1653:47 *5687:73 4.12921e-05 -159 *1691:14 *5687:87 4.58835e-05 -160 *1691:24 *5687:87 1.16258e-05 -161 *1717:25 *27050:A0 3.68799e-05 -162 *1717:25 *5687:153 0.000238536 -163 *1832:41 *5687:58 0.000259267 -164 *1864:178 *6549:DIODE 5.33005e-05 -165 *1864:178 *25749:A0 0.0001399 -166 *1897:31 *5687:37 1.06328e-05 -167 *2758:37 *5687:114 0.000345218 -168 *2777:11 *5687:153 0.000193118 -169 *2781:65 *5687:129 0.000748041 -170 *2839:71 *5687:166 0.000176347 -171 *2847:37 *5687:212 0.000150618 -172 *2847:50 *5687:212 8.84757e-05 -173 *2850:37 *5687:129 3.71084e-05 -174 *2850:37 *5687:142 9.92332e-05 -175 *2853:24 *5687:212 0 -176 *2855:285 *5687:217 0.000394975 -177 *2855:285 *5687:221 0.000608696 -178 *2864:28 *5687:129 0 -179 *2867:25 *5687:212 0.00057008 -180 *2870:65 *5687:114 0 -181 *2875:46 *5687:129 9.48557e-05 -182 *2875:46 *5687:212 0.000646385 -183 *2879:61 *5687:87 0.000369763 -184 *2886:164 *5687:114 1.8995e-06 -185 *2886:164 *5687:129 0 -186 *2889:22 *5687:114 0 -187 *2891:11 *5687:114 1.61706e-05 -188 *2891:24 *5687:114 0.000248994 -189 *2891:24 *5687:129 6.86284e-05 -190 *2891:104 *5687:114 0.00039099 -191 *2893:64 *5687:73 0.00033139 -192 *3019:8 *5687:212 0.000123295 -193 *3145:14 *5687:58 0.000143738 -194 *3158:36 *5687:144 2.06112e-05 -195 *3160:26 *5687:129 0 -196 *3165:192 *5687:212 0.00101218 -197 *3170:5 *5687:212 0.000897432 -198 *3170:152 *5687:212 2.71796e-05 -199 *3176:175 *5687:58 4.01089e-05 -200 *3178:157 *5687:58 0.000130582 -201 *3183:167 *5687:73 0.000178425 -202 *3184:130 *5687:14 1.58163e-05 -203 *3185:264 *5687:129 1.90936e-05 -204 *3206:27 *5687:129 0.000144243 -205 *3215:57 *5687:58 0 -206 *3299:29 *5687:87 2.59024e-05 -207 *3388:17 *5687:114 0.000505241 -208 *3461:26 *5687:14 0 -209 *3482:19 *5687:58 0.000129022 -210 *3544:9 *5687:73 9.41642e-05 -211 *3575:12 *5687:212 7.80413e-05 -212 *3638:64 *5687:166 0 -213 *3662:34 *5687:58 2.52905e-05 -214 *3662:79 *5687:58 0.000128021 -215 *3662:79 *5687:73 0.000300213 -216 *3666:52 *5687:37 0.000233558 -217 *3666:68 *5687:37 4.63219e-05 -218 *3765:30 *5687:14 3.26628e-05 -219 *3765:30 *5687:29 0.000810232 -220 *3783:110 *5687:87 0.000128565 -221 *3797:35 *5687:129 0.000160175 -222 *3797:35 *5687:142 5.87119e-05 -223 *3797:35 *5687:153 1.55559e-05 -224 *3818:32 *5687:29 0.000610573 -225 *3820:18 *5687:153 1.02821e-05 -226 *3820:79 *5687:114 0.000137113 -227 *3830:95 *5687:87 0.000105202 -228 *3832:43 *5687:58 3.14967e-05 -229 *3847:25 *26839:A0 0.000120421 -230 *3847:64 *5687:114 5.29004e-05 -231 *3860:38 *5687:114 0 -232 *3860:38 *5687:129 3.78063e-05 -233 *3860:38 *5687:212 1.92036e-05 -234 *3870:52 *5687:29 0.00012316 -235 *3872:43 *5687:114 0.000108872 -236 *3896:86 *5687:58 9.41642e-05 -237 *3939:33 *5687:73 2.91131e-05 -238 *3981:53 *5687:221 0.00217127 -239 *3992:28 *5687:87 0.000359392 -240 *4023:18 *5687:58 1.06693e-05 -241 *4077:49 *5687:29 0.000272417 -242 *4130:103 *5687:29 1.94945e-05 -243 *4352:35 *27050:A0 9.41642e-05 -244 *4796:20 *27095:A1 0.000225375 -245 *4796:20 *5687:166 0.000619703 -246 *5217:14 *5687:58 3.57652e-05 -247 *5448:21 *5687:166 0 -248 *5465:10 *5687:225 1.92905e-05 -249 *5467:14 *5687:114 4.37155e-05 -250 *5481:6 *5687:153 0.00029193 -251 *5486:20 *5687:129 0 -252 *5535:37 *5687:217 0.000102327 -253 *5583:156 *25703:A1 0.000353288 -254 *5583:156 *5687:228 0.000362389 -255 *5591:16 *5687:129 2.44286e-05 -256 *5591:36 *5687:129 0.000646699 -257 *5592:64 *5687:166 0.000106607 -258 *5592:78 *27095:A1 5.6494e-05 -259 *5592:78 *5687:166 8.829e-05 -260 *5599:273 *5687:212 5.45758e-05 -261 *5600:86 *5687:73 0.000255713 -262 *5604:34 *5687:73 0.000141619 -263 *5614:97 *5687:37 0.000130525 -264 *5629:20 *5687:129 0.000227678 -265 *5650:122 *5687:29 0.000823421 -266 *5655:29 *5687:129 4.75956e-06 -267 *5655:150 *5687:73 0.000135028 -268 *5657:197 *5687:114 7.04401e-05 -269 *5657:197 *5687:129 4.75277e-05 -270 *5685:35 *5687:58 0.00071472 -*RES -1 *28979:X *5687:14 23.8507 -2 *5687:14 *5687:29 43.7857 -3 *5687:29 *5687:37 19.0536 -4 *5687:37 *5687:58 47.6906 -5 *5687:58 *5687:73 48.8571 -6 *5687:73 *5687:87 30.8713 -7 *5687:87 *26879:A1 9.3 -8 *5687:87 *5687:114 37.9775 -9 *5687:114 *5687:129 49.5918 -10 *5687:129 *5687:142 13.3571 -11 *5687:142 *5687:144 6.19643 -12 *5687:144 *5687:153 34.9286 -13 *5687:153 *27050:A0 11.8893 -14 *5687:153 *5687:166 28.9643 -15 *5687:166 *27095:A1 17.4964 -16 *5687:166 *26839:A0 44.3714 -17 *5687:144 *27182:A0 13.8 -18 *5687:142 *27164:A1 19.2643 -19 *5687:114 *5687:212 48.4432 -20 *5687:212 *5687:217 12.0357 -21 *5687:217 *5687:221 45.9375 -22 *5687:221 *5687:225 11.4018 -23 *5687:225 *5687:228 9.17857 -24 *5687:228 *25749:A0 11.8893 -25 *5687:228 *6549:DIODE 9.83571 -26 *5687:225 *25703:A1 18.4786 -27 *5687:37 *28977:A 11.4786 -28 *5687:14 *28978:A 13.8 -*END - -*D_NET *5688 0.118133 -*CONN -*I *27113:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26996:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27149:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27173:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27086:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27059:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27131:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27104:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27032:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25917:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25782:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25899:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25770:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25929:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25788:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25851:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25863:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25887:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *26969:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26951:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *28980:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *27113:A0 0.000107713 -2 *26996:A0 1.24817e-05 -3 *27149:A0 3.48589e-05 -4 *27173:A0 0.00036656 -5 *27086:A0 0 -6 *27059:A0 0 -7 *27131:A0 0 -8 *27104:A0 0 -9 *27032:A0 0.000313722 -10 *25917:A0 0 -11 *25782:A0 0.000351749 -12 *25899:A1 0 -13 *25770:A0 0.000223228 -14 *25929:A0 0.000993748 -15 *25788:A1 0.000156385 -16 *25851:A0 0 -17 *25863:A0 0 -18 *25887:A1 0.000195075 -19 *26969:A0 0 -20 *26951:A1 0.000201315 -21 *28980:X 0.000193537 -22 *5688:349 0.00203416 -23 *5688:325 0.00255991 -24 *5688:320 0.0013188 -25 *5688:242 0.00323473 -26 *5688:225 0.00432621 -27 *5688:213 0.00164409 -28 *5688:205 0.00162747 -29 *5688:181 0.00158612 -30 *5688:176 0.00136844 -31 *5688:173 0.00141877 -32 *5688:158 0.00160168 -33 *5688:143 0.00292924 -34 *5688:125 0.00265126 -35 *5688:123 0.00151544 -36 *5688:115 0.00250192 -37 *5688:97 0.00420957 -38 *5688:85 0.00263102 -39 *5688:65 0.00123699 -40 *5688:53 0.00194507 -41 *5688:44 0.00173312 -42 *5688:39 0.00236781 -43 *5688:25 0.00359979 -44 *5688:10 0.0017236 -45 *25782:A0 *6036:52 0.000103041 -46 *25788:A1 *25785:A0 9.60939e-05 -47 *25929:A0 *25926:A0 0.000139907 -48 *25929:A0 *25926:A1 0.000301438 -49 *25929:A0 *28374:RESET_B 0.000385083 -50 *25929:A0 *5878:14 4.78056e-05 -51 *27173:A0 *5709:182 7.40839e-05 -52 *5688:10 *30035:A 9.93955e-05 -53 *5688:10 *5836:312 0.000185118 -54 *5688:25 *5689:62 0.000122532 -55 *5688:25 *5689:70 0.000200192 -56 *5688:25 *5689:81 0.000294936 -57 *5688:25 *5763:97 1.80039e-05 -58 *5688:25 *5833:191 1.86382e-05 -59 *5688:25 *5891:92 1.97695e-05 -60 *5688:39 *24932:A 5.33005e-05 -61 *5688:39 *5891:92 0.00110385 -62 *5688:44 *6247:16 0.000106615 -63 *5688:53 *25203:A1 1.07719e-05 -64 *5688:53 *25630:A1 0.000312743 -65 *5688:53 *26978:A1 0.000259895 -66 *5688:53 *6247:16 0.000663486 -67 *5688:53 *6247:18 0.00189399 -68 *5688:65 *6247:18 4.93432e-05 -69 *5688:97 *5792:40 0.000138793 -70 *5688:97 *5836:284 3.31789e-05 -71 *5688:97 *6258:12 0.000105887 -72 *5688:97 *6280:17 0.000602302 -73 *5688:115 *5836:284 4.99259e-05 -74 *5688:123 *5891:18 0.000147552 -75 *5688:143 *28347:D 0.000180777 -76 *5688:143 *28364:RESET_B 4.12921e-05 -77 *5688:143 *5715:83 0.000170048 -78 *5688:143 *5833:16 0.000176622 -79 *5688:158 *5719:32 0.000338351 -80 *5688:158 *6352:31 0.000108118 -81 *5688:173 *5721:20 1.08359e-05 -82 *5688:173 *5758:183 0.000356906 -83 *5688:173 *5760:45 2.34204e-05 -84 *5688:173 *5780:79 0.000338351 -85 *5688:173 *5824:84 0.00012798 -86 *5688:173 *6036:46 7.05982e-05 -87 *5688:176 *5824:8 0.000215441 -88 *5688:205 *28336:D 2.38501e-05 -89 *5688:205 *5715:125 0.000507011 -90 *5688:213 *28246:D 0.000100823 -91 *5688:213 *5721:10 0 -92 *5688:213 *5761:147 0.000150618 -93 *5688:225 *25851:S 6.05161e-06 -94 *5688:225 *5721:10 0.000952085 -95 *5688:225 *5761:131 8.47793e-05 -96 *5688:225 *5761:147 0 -97 *5688:225 *5824:37 0.000833109 -98 *5688:225 *5841:11 3.97677e-05 -99 *5688:242 *28306:D 0 -100 *5688:242 *5797:11 3.91575e-05 -101 *5688:242 *5841:11 7.02611e-05 -102 *5688:349 *28630:RESET_B 3.40413e-05 -103 *5688:349 *28654:RESET_B 9.60875e-05 -104 *5688:349 *5764:36 0.00030267 -105 *5688:349 *6280:18 0.000806511 -106 *6618:DIODE *27173:A0 0.000212077 -107 *24932:B *5688:39 8.6871e-05 -108 *25083:A1 *5688:85 0.000184778 -109 *25146:A2 *5688:97 2.05803e-05 -110 *25147:A2 *27149:A0 9.58126e-05 -111 *25147:A2 *5688:85 1.94879e-05 -112 *25198:A1 *5688:242 0.000178847 -113 *25203:B1 *5688:53 5.02687e-06 -114 *25203:B2 *5688:53 3.8274e-05 -115 *25203:B2 *5688:65 0.000173757 -116 *25240:A2 *5688:325 0.000136682 -117 *25251:D *5688:320 4.26825e-05 -118 *25251:D *5688:325 0.000412687 -119 *25366:A2 *27032:A0 0.000303542 -120 *25840:B *5688:242 0.000137148 -121 *25885:S *25887:A1 0.000301438 -122 *25885:S *5688:181 0.000137983 -123 *25887:A0 *5688:205 1.84127e-05 -124 *25895:A0 *5688:158 0.000196635 -125 *25895:S *5688:158 0.000405581 -126 *25896:S *5688:158 5.7616e-05 -127 *25917:S *5688:125 0.00010096 -128 *25917:S *5688:143 0.000306317 -129 *26258:C *5688:242 0.000100215 -130 *26587:A *5688:158 2.8046e-05 -131 *26951:S *5688:10 0 -132 *26969:A1 *5688:25 0.000347181 -133 *26969:A1 *5688:39 1.21258e-05 -134 *26969:S *5688:39 3.14138e-05 -135 *26996:S *5688:65 5.7661e-06 -136 *27086:S *5688:349 0.000136682 -137 *27104:A1 *5688:123 0.000301016 -138 *27113:S *5688:44 2.81861e-05 -139 *27131:A1 *5688:115 6.05161e-06 -140 *27400:B1 *27149:A0 9.77423e-05 -141 *27400:B2 *5688:85 0.0002938 -142 *27400:B2 *5688:97 0.000136165 -143 *27402:B2 *5688:320 5.28985e-05 -144 *27753:A1 *5688:115 6.16439e-05 -145 *27756:A2 *5688:97 0.000128212 -146 *27910:B2 *5688:242 0.000102886 -147 *28249:D *5688:213 0.000125055 -148 *28347:CLK *5688:158 0.000136958 -149 *28349:D *5688:158 6.05161e-06 -150 *28364:CLK *5688:143 0.000758955 -151 *28522:D *5688:44 9.25014e-06 -152 *28522:D *5688:53 0.000334602 -153 *28654:D *5688:349 9.78604e-05 -154 *28686:CLK *5688:65 4.46186e-06 -155 *28710:D *5688:85 0.000425688 -156 *28710:D *5688:97 0.00013563 -157 *29059:A *5688:320 0.000131357 -158 *29336:A *5688:143 0.000216755 -159 *29341:A *5688:173 0.000164038 -160 *29341:A *5688:176 6.81247e-05 -161 *29573:A *5688:158 6.57032e-05 -162 *29726:A *5688:173 0.000175119 -163 *29727:A *5688:143 0.0003482 -164 *29741:A *5688:181 4.87854e-05 -165 *29807:A *5688:205 5.49995e-05 -166 *30047:A *5688:242 0.000108538 -167 *30115:A *5688:173 0.000319141 -168 *30183:A *5688:143 5.52302e-05 -169 *30184:A *26951:A1 0.000142273 -170 *30184:A *5688:10 2.60793e-05 -171 *30184:A *5688:25 4.96113e-05 -172 *30211:A *5688:65 3.23957e-05 -173 *30211:A *5688:85 1.65169e-05 -174 *30223:A *5688:205 0.000307985 -175 *30316:A *5688:25 2.89114e-05 -176 *30552:A *5688:242 0 -177 *1225:100 *5688:349 0.000385421 -178 *1225:149 *5688:39 0.000207284 -179 *1256:89 *5688:349 0 -180 *1256:96 *5688:97 0 -181 *1256:154 *5688:53 0.00076086 -182 *1260:81 *5688:349 2.30116e-06 -183 *1261:199 *27032:A0 1.39841e-05 -184 *1265:112 *5688:349 6.60111e-05 -185 *1267:65 *5688:97 0.000554107 -186 *1271:174 *5688:97 0 -187 *1282:198 *5688:349 0.00017309 -188 *1287:184 *5688:325 9.46843e-05 -189 *1406:38 *5688:349 0.00014293 -190 *1422:20 *5688:225 0.000959234 -191 *1422:20 *5688:242 0.000116131 -192 *1434:20 *5688:205 0.000246064 -193 *1435:143 *5688:115 0.000357964 -194 *1464:38 *25929:A0 9.60939e-05 -195 *1472:25 *5688:242 0.000756922 -196 *1626:27 *5688:97 0.000506409 -197 *1659:34 *5688:53 0.000192889 -198 *1700:6 *5688:85 0.000173063 -199 *1719:12 *5688:115 0.000273034 -200 *1862:34 *5688:242 0 -201 *1873:21 *25929:A0 0.000139009 -202 *2483:45 *5688:205 0.000121438 -203 *2483:45 *5688:213 0.000195475 -204 *2768:107 *5688:39 2.93419e-05 -205 *2771:97 *5688:65 2.28598e-05 -206 *2771:104 *5688:65 0.000133932 -207 *2771:104 *5688:85 1.21258e-05 -208 *2773:155 *5688:143 0.000168333 -209 *2775:129 *27032:A0 0.000296108 -210 *2775:132 *5688:123 0.00112153 -211 *2775:173 *5688:349 0 -212 *2784:121 *5688:53 0.00118824 -213 *2788:139 *5688:85 0.000317427 -214 *2791:209 *27173:A0 0.000185981 -215 *2791:209 *5688:320 0.000127359 -216 *2871:354 *5688:97 0.000113877 -217 *2872:295 *5688:115 1.64148e-05 -218 *2877:299 *5688:97 5.69098e-05 -219 *2877:299 *5688:349 8.89316e-05 -220 *2882:246 *5688:97 1.48639e-05 -221 *2882:246 *5688:115 0.000239748 -222 *2882:246 *5688:320 0.000778532 -223 *2882:246 *5688:349 9.22109e-05 -224 *2886:295 *5688:115 0.000150305 -225 *2886:295 *5688:325 9.41642e-05 -226 *2886:295 *5688:349 0.000260152 -227 *2889:65 *27173:A0 0.000382744 -228 *2891:212 *5688:85 6.28248e-05 -229 *2893:24 *5688:85 1.14232e-05 -230 *2893:26 *5688:349 8.94846e-05 -231 *2970:25 *27149:A0 1.90936e-05 -232 *3305:8 *5688:320 3.40596e-05 -233 *3305:8 *5688:325 0.000412906 -234 *3541:38 *5688:242 0.000729189 -235 *3553:26 *5688:213 6.14499e-05 -236 *3564:11 *5688:225 9.60939e-05 -237 *3564:11 *5688:242 0.000137983 -238 *3578:27 *5688:10 0 -239 *3583:24 *5688:158 0.000472264 -240 *3585:46 *5688:213 0.00015733 -241 *3589:71 *5688:10 5.27732e-05 -242 *3589:78 *5688:25 0.000107974 -243 *3589:79 *5688:25 4.40513e-06 -244 *3593:51 *27032:A0 5.41794e-05 -245 *3655:17 *25929:A0 0.000555465 -246 *3655:18 *5688:176 8.32242e-05 -247 *3655:18 *5688:181 0.000164554 -248 *3655:20 *5688:181 0.000187019 -249 *3655:24 *5688:181 9.25014e-06 -250 *3655:70 *5688:176 0.000317984 -251 *3655:100 *5688:173 2.26973e-05 -252 *3656:104 *5688:158 0.000272672 -253 *3688:78 *25788:A1 0.000111511 -254 *3688:78 *5688:213 4.59075e-05 -255 *3700:40 *5688:242 6.87574e-05 -256 *3701:19 *5688:242 0 -257 *3712:14 *5688:39 0.000505099 -258 *3712:22 *5688:53 0.00026562 -259 *3713:119 *5688:242 5.87047e-05 -260 *3719:18 *5688:85 3.81319e-05 -261 *3753:5 *5688:173 3.67142e-05 -262 *3753:75 *25770:A0 0.000165123 -263 *3753:75 *5688:173 1.21258e-05 -264 *3758:41 *5688:97 0.00186245 -265 *3762:8 *5688:176 0.000317737 -266 *3763:10 *5688:205 6.57032e-05 -267 *3771:22 *5688:123 0.000688723 -268 *3775:20 *5688:176 2.94501e-05 -269 *3775:28 *5688:176 0.000201031 -270 *3775:28 *5688:181 0.000360109 -271 *3775:28 *5688:205 0.000129185 -272 *3784:54 *5688:115 0.000163099 -273 *3784:79 *5688:115 5.2079e-05 -274 *3790:102 *5688:123 0.000407121 -275 *3809:32 *5688:53 2.13481e-06 -276 *3836:10 *5688:39 0.000922352 -277 *3849:48 *5688:115 4.65475e-05 -278 *3862:8 *5688:349 6.04862e-05 -279 *3862:21 *5688:349 2.09897e-05 -280 *3888:12 *5688:115 0.00117812 -281 *3901:79 *5688:115 8.92267e-05 -282 *3927:19 *5688:115 4.58725e-05 -283 *3927:20 *5688:115 0.000100493 -284 *3947:15 *5688:205 0.00073727 -285 *3948:23 *25788:A1 0.000135028 -286 *3948:23 *5688:213 0.0001898 -287 *3948:64 *25782:A0 0.000198691 -288 *3948:64 *5688:158 0.000101545 -289 *3948:64 *5688:173 0.00062922 -290 *3966:37 *5688:85 2.11419e-05 -291 *4012:22 *5688:115 6.34672e-05 -292 *4025:60 *5688:125 0.000134865 -293 *4043:58 *5688:85 0.000215549 -294 *4043:70 *5688:85 1.60861e-05 -295 *4076:21 *27113:A0 2.28499e-05 -296 *4076:21 *5688:39 0.000222666 -297 *4076:21 *5688:44 2.13215e-05 -298 *4078:16 *25929:A0 5.01117e-05 -299 *4087:20 *5688:173 0 -300 *4087:22 *5688:176 4.97121e-06 -301 *4088:39 *5688:115 0.000327619 -302 *4092:21 *5688:205 4.51641e-05 -303 *4092:21 *5688:213 5.03772e-05 -304 *4128:24 *5688:115 0.00117983 -305 *4132:33 *5688:25 6.39808e-05 -306 *4133:32 *5688:97 0.000202142 -307 *4133:46 *5688:349 0.000122334 -308 *4135:18 *5688:25 0.00182367 -309 *4135:70 *5688:115 1.60961e-05 -310 *4141:34 *27032:A0 0.000617789 -311 *4142:56 *5688:242 0.000127965 -312 *4143:72 *5688:225 5.66157e-05 -313 *4148:24 *5688:25 0.000798495 -314 *4350:95 *5688:125 0.000216755 -315 *4502:21 *27113:A0 9.41642e-05 -316 *5231:21 *5688:205 0.000700612 -317 *5587:44 *5688:320 0.000886669 -318 *5590:98 *5688:65 0.000510804 -319 *5600:61 *27032:A0 0.000267831 -320 *5628:150 *26996:A0 4.08637e-05 -321 *5628:150 *5688:65 0.00016827 -322 *5628:150 *5688:85 0.000385277 -323 *5634:170 *5688:225 0.000157137 -324 *5642:172 *5688:123 0.00034188 -325 *5642:172 *5688:125 0.000510636 -326 *5650:280 *27149:A0 1.90936e-05 -327 *5651:410 *5688:39 0.000303368 -328 *5652:45 *5688:115 1.01524e-05 -329 *5660:217 *5688:85 1.90936e-05 -330 *5669:111 *5688:242 0.00201801 -331 *5671:7 *5688:25 9.60939e-05 -*RES -1 *28980:X *5688:10 23.2286 -2 *5688:10 *26951:A1 11.8893 -3 *5688:10 *5688:25 44.5357 -4 *5688:25 *26969:A0 9.3 -5 *5688:25 *5688:39 49.4286 -6 *5688:39 *5688:44 2.30357 -7 *5688:44 *5688:53 48.75 -8 *5688:53 *5688:65 20.9107 -9 *5688:65 *5688:85 23.9525 -10 *5688:85 *5688:97 13.2888 -11 *5688:97 *5688:115 42.4028 -12 *5688:115 *5688:123 27.75 -13 *5688:123 *5688:125 12.0357 -14 *5688:125 *5688:143 42.9821 -15 *5688:143 *5688:158 39.8929 -16 *5688:158 *5688:173 32.125 -17 *5688:173 *5688:176 12.8214 -18 *5688:176 *5688:181 10.5357 -19 *5688:181 *25887:A1 12.7107 -20 *5688:181 *5688:205 46.8214 -21 *5688:205 *5688:213 20.3929 -22 *5688:213 *5688:225 40.1071 -23 *5688:225 *5688:242 47.8007 -24 *5688:242 *25863:A0 13.8 -25 *5688:225 *25851:A0 9.3 -26 *5688:213 *25788:A1 12.7107 -27 *5688:176 *25929:A0 39.9964 -28 *5688:173 *25770:A0 12.7107 -29 *5688:158 *25899:A1 9.3 -30 *5688:143 *25782:A0 19.3536 -31 *5688:125 *25917:A0 9.3 -32 *5688:123 *27032:A0 29.3179 -33 *5688:123 *27104:A0 9.3 -34 *5688:115 *27131:A0 9.3 -35 *5688:97 *5688:320 12.8956 -36 *5688:320 *5688:325 14.3214 -37 *5688:325 *27059:A0 9.3 -38 *5688:325 *5688:349 43.5315 -39 *5688:349 *27086:A0 9.3 -40 *5688:320 *27173:A0 20.9429 -41 *5688:85 *27149:A0 18.4332 -42 *5688:65 *26996:A0 9.83571 -43 *5688:44 *27113:A0 15.1571 -*END - -*D_NET *5689 0.0599382 -*CONN -*I *26933:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27068:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26897:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27191:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26924:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27005:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27041:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25905:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27023:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27014:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27077:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26888:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26906:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26942:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26987:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26960:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25733:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28981:X O *D sky130_fd_sc_hd__buf_4 -*CAP -1 *26933:A0 0.0001109 -2 *27068:A0 0.00127377 -3 *26897:A0 8.6201e-05 -4 *27191:A0 0.000206362 -5 *26924:A0 0.000276105 -6 *27005:A0 0.000478247 -7 *27041:A0 6.05281e-05 -8 *25905:A0 0.00137787 -9 *27023:A1 0.00149269 -10 *27014:A0 0 -11 *27077:A0 0.00125924 -12 *26888:A0 0.000729766 -13 *26906:A0 3.96549e-05 -14 *26942:A0 0 -15 *26987:A0 0 -16 *26960:A0 0.000231136 -17 *25733:A0 0 -18 *28981:X 0.000557337 -19 *5689:190 0.00165815 -20 *5689:165 0.00170306 -21 *5689:117 0.001004 -22 *5689:106 0.00191037 -23 *5689:104 0.000719118 -24 *5689:102 0.00078244 -25 *5689:96 0.00110156 -26 *5689:85 0.000552271 -27 *5689:81 0.00142521 -28 *5689:70 0.00152567 -29 *5689:62 0.00062553 -30 *5689:58 0.000396791 -31 *5689:56 0.00185722 -32 *5689:37 0.000263797 -33 *5689:36 0.000769479 -34 *5689:33 0.00121535 -35 *5689:30 0.00192249 -36 *5689:5 0.00164396 -37 *25905:A0 *28241:RESET_B 1.15172e-05 -38 *26933:A0 *28518:RESET_B 9.88232e-05 -39 *26960:A0 *28530:SET_B 6.05161e-06 -40 *27023:A1 *5824:150 0.000726417 -41 *27041:A0 *5832:8 9.24299e-05 -42 *27191:A0 *5795:19 0.000239957 -43 *5689:5 *28981:A 0.000827576 -44 *5689:30 *28981:A 0.00117538 -45 *5689:30 *6036:107 0.000271352 -46 *5689:30 *6192:13 0.000568992 -47 *5689:36 *28518:RESET_B 0.000274855 -48 *5689:36 *5763:125 2.94103e-05 -49 *5689:36 *5763:138 5.36451e-05 -50 *5689:37 *28530:SET_B 5.03772e-05 -51 *5689:56 *28530:SET_B 0.000139019 -52 *5689:56 *5715:17 0.00034654 -53 *5689:56 *5833:191 3.34783e-05 -54 *5689:58 *5833:191 0.000174751 -55 *5689:62 *5833:191 0.000261675 -56 *5689:70 *5795:19 5.10039e-05 -57 *5689:190 *5762:105 6.26593e-05 -58 *25140:B2 *27023:A1 8.6229e-06 -59 *25605:A1 *5689:30 0.00022266 -60 *25733:A1 *5689:30 0.000280039 -61 *25905:A1 *25905:A0 3.97677e-05 -62 *25905:S *25905:A0 0.000266479 -63 *26897:A1 *27068:A0 3.06878e-06 -64 *26906:S *5689:117 0.000182605 -65 *26920:S *26924:A0 2.36643e-05 -66 *26924:A1 *26924:A0 0.000693415 -67 *26942:A1 *5689:85 0.000211652 -68 *26956:A1 *5689:30 0 -69 *26960:A1 *26960:A0 5.65955e-05 -70 *26960:S *26960:A0 9.99853e-05 -71 *27014:A1 *27023:A1 0.000192628 -72 *27409:D *27077:A0 1.90936e-05 -73 *27605:A2 *5689:165 0 -74 *27658:A2 *26924:A0 0.000736805 -75 *27766:B *5689:96 0.00038571 -76 *27766:B *5689:165 1.90936e-05 -77 *27766:C *26888:A0 4.08666e-05 -78 *28494:D *26906:A0 5.49489e-05 -79 *28494:D *5689:117 0.000137463 -80 *28550:CLK *5689:85 0.00023624 -81 *28743:CLK *5689:81 0.000194914 -82 *28747:CLK *26924:A0 5.33005e-05 -83 *29292:A *25905:A0 5.96516e-05 -84 *29349:A *5689:30 0.000553142 -85 *29418:A *5689:5 0.000653884 -86 *29418:A *5689:30 0.00093504 -87 *30209:A *27191:A0 8.95645e-05 -88 *30231:A *26960:A0 3.08664e-05 -89 *30267:A *27068:A0 5.71472e-05 -90 *30293:A *26888:A0 0.00031216 -91 *30329:A *27005:A0 9.0145e-05 -92 *30340:A *5689:117 0.000180959 -93 *1258:14 *27023:A1 1.90936e-05 -94 *1292:81 *27077:A0 0.000298851 -95 *2760:179 *27068:A0 0.000123901 -96 *2763:219 *26924:A0 3.55279e-05 -97 *2766:101 *26897:A0 9.20581e-06 -98 *2767:175 *5689:81 0.000306324 -99 *2770:156 *5689:56 0.000468528 -100 *2772:77 *5689:85 9.71197e-05 -101 *2772:77 *5689:96 5.33005e-05 -102 *2773:139 *27023:A1 0.000545162 -103 *2773:150 *27023:A1 8.43535e-06 -104 *2773:150 *5689:165 1.92789e-05 -105 *2775:117 *25905:A0 0.000426985 -106 *2775:117 *5689:190 0.000942203 -107 *2780:228 *27077:A0 2.22043e-05 -108 *2780:259 *27023:A1 2.04825e-05 -109 *2780:272 *27068:A0 0.00115035 -110 *2864:328 *27023:A1 0.000136958 -111 *2964:15 *26888:A0 0 -112 *3179:205 *27023:A1 0.000301438 -113 *3309:13 *5689:81 9.91086e-05 -114 *3578:75 *25905:A0 0.00107185 -115 *3578:75 *5689:102 0 -116 *3578:75 *5689:190 0.000672966 -117 *3578:85 *25905:A0 0.000243239 -118 *3581:118 *27077:A0 0 -119 *3581:118 *5689:165 1.57685e-05 -120 *3589:9 *5689:56 8.05006e-05 -121 *3589:79 *5689:70 0.000331898 -122 *3589:79 *5689:81 3.14003e-05 -123 *3589:135 *26933:A0 2.89016e-05 -124 *3680:19 *5689:85 1.27784e-05 -125 *3706:9 *5689:56 2.97074e-05 -126 *3706:9 *5689:58 1.97381e-05 -127 *3706:22 *5689:81 0.000630892 -128 *3745:8 *27023:A1 1.04707e-05 -129 *3745:26 *27023:A1 0.000235264 -130 *3758:25 *27023:A1 0 -131 *4070:12 *5689:106 6.00853e-05 -132 *4070:12 *5689:117 6.15192e-05 -133 *4076:48 *27077:A0 6.25537e-05 -134 *4096:32 *26888:A0 0.000497655 -135 *4096:32 *5689:104 0 -136 *4096:32 *5689:106 0 -137 *4096:32 *5689:117 4.04873e-05 -138 *4109:14 *26933:A0 0.000196269 -139 *4109:14 *5689:36 0.000687481 -140 *4109:36 *26888:A0 0.000107263 -141 *4109:36 *5689:85 0.000872194 -142 *4109:36 *5689:165 8.67067e-05 -143 *4122:8 *5689:85 7.23483e-05 -144 *4122:8 *5689:96 0.00038571 -145 *4122:8 *5689:165 2.06112e-05 -146 *4132:33 *5689:58 0.000125674 -147 *4132:33 *5689:62 0.000201855 -148 *4141:21 *5689:30 4.61329e-05 -149 *4148:10 *5689:56 0.000111382 -150 *4148:10 *5689:81 0.000523611 -151 *4148:24 *5689:81 1.08359e-05 -152 *4246:11 *26960:A0 0.000168216 -153 *4246:11 *5689:37 2.77258e-05 -154 *4246:11 *5689:56 1.02821e-05 -155 *5462:21 *5689:33 0.00126364 -156 *5585:8 *27023:A1 1.90936e-05 -157 *5607:50 *26888:A0 2.9334e-05 -158 *5630:292 *5689:102 0.000288009 -159 *5630:292 *5689:104 0.000158096 -160 *5630:292 *5689:106 0.000557111 -161 *5630:292 *5689:117 6.30931e-05 -162 *5641:162 *27077:A0 0.000683688 -163 *5649:243 *27077:A0 5.31994e-05 -164 *5649:249 *27041:A0 0.000173797 -165 *5651:419 *5689:81 0.00128071 -166 *5688:25 *5689:62 0.000122532 -167 *5688:25 *5689:70 0.000200192 -168 *5688:25 *5689:81 0.000294936 -*RES -1 *28981:X *5689:5 23.8 -2 *5689:5 *25733:A0 9.3 -3 *5689:5 *5689:30 38.3214 -4 *5689:30 *5689:33 16.5357 -5 *5689:33 *5689:36 13.4286 -6 *5689:36 *5689:37 0.946429 -7 *5689:37 *26960:A0 14.7643 -8 *5689:37 *26987:A0 9.3 -9 *5689:36 *5689:56 17.3929 -10 *5689:56 *5689:58 4.07143 -11 *5689:58 *5689:62 11 -12 *5689:62 *5689:70 10.7857 -13 *5689:70 *5689:81 39.1964 -14 *5689:81 *5689:85 16.8036 -15 *5689:85 *26942:A0 9.3 -16 *5689:85 *5689:96 14.5179 -17 *5689:96 *5689:102 11.3929 -18 *5689:102 *5689:104 2.09821 -19 *5689:104 *5689:106 7.41071 -20 *5689:106 *5689:117 18.5446 -21 *5689:117 *26906:A0 9.83571 -22 *5689:117 *26888:A0 40.3893 -23 *5689:106 *27077:A0 23.983 -24 *5689:104 *5689:165 12.5398 -25 *5689:165 *27014:A0 9.3 -26 *5689:165 *27023:A1 38.5949 -27 *5689:102 *5689:190 13.6607 -28 *5689:190 *25905:A0 33.9786 -29 *5689:190 *27041:A0 20.55 -30 *5689:96 *27005:A0 14.3536 -31 *5689:70 *26924:A0 22.9607 -32 *5689:62 *27191:A0 13.9429 -33 *5689:58 *26897:A0 14.7464 -34 *5689:56 *27068:A0 31.7286 -35 *5689:33 *26933:A0 16.8893 -*END - -*D_NET *5690 0.0123068 -*CONN -*I *30424:A I *D sky130_fd_sc_hd__buf_6 -*I *28982:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *30424:A 0.000396663 -2 *28982:X 2.23854e-05 -3 *5690:7 0.00272962 -4 *5690:5 0.00235534 -5 *30424:A *25544:S 0.000174753 -6 *30424:A *25687:A0 0.000325698 -7 *5690:5 *28982:A 0 -8 *5690:5 *6364:32 0 -9 *5690:7 *25731:A0 1.98839e-05 -10 *5690:7 *25734:A0 2.07491e-05 -11 *5690:7 *29463:A 0.000222666 -12 *5690:7 *5769:187 0.000957624 -13 *5690:7 *5926:9 0.000445066 -14 *5690:7 *5926:35 0.000889329 -15 *5690:7 *6364:32 5.52238e-05 -16 *25687:A1 *30424:A 0.000481562 -17 *25731:S *5690:7 0.000137983 -18 *27996:A2 *5690:7 0.000101144 -19 *28013:B1 *5690:7 0.000154027 -20 *28014:B1 *5690:7 0.000315158 -21 *29099:A *5690:7 5.49489e-05 -22 *29362:A *5690:7 0.000465114 -23 *29596:A *5690:7 0.000482594 -24 *30755:A *30424:A 0 -25 *30755:A *5690:7 2.95726e-05 -26 *247:17 *30424:A 0.000522715 -27 *1180:25 *30424:A 1.02821e-05 -28 *5019:50 *5690:7 0.000183027 -29 *5233:17 *5690:7 0.000393943 -30 *5397:23 *30424:A 0.000359716 -*RES -1 *28982:X *5690:5 9.72857 -2 *5690:5 *5690:7 54.75 -3 *5690:7 *30424:A 32.0679 -*END - -*D_NET *5691 0.141137 -*CONN -*I *25958:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25757:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25910:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28020:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25946:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25732:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25832:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25662:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *26821:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26815:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25763:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25940:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25598:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25620:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25922:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25611:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25892:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25644:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25934:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25668:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28983:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *25958:A0 0 -2 *25757:A1 0 -3 *25910:A0 0 -4 *28020:A0 8.75179e-05 -5 *25946:A1 0.000724507 -6 *25732:A0 0.000641895 -7 *25832:A1 2.9238e-05 -8 *25662:A1 0 -9 *26821:A0 0 -10 *26815:A0 0.000128818 -11 *25763:A1 0.000105351 -12 *25940:A1 0.000214882 -13 *25598:A1 0.00031582 -14 *25620:A1 0 -15 *25922:A0 0.000531837 -16 *25611:A1 0 -17 *25892:A1 0.000125866 -18 *25644:A0 0 -19 *25934:A0 0.000124706 -20 *25668:A0 0.000111257 -21 *28983:X 0 -22 *5691:343 0.00169387 -23 *5691:341 0.00169626 -24 *5691:325 0.00255597 -25 *5691:298 0.00112411 -26 *5691:293 0.00170295 -27 *5691:284 0.00166567 -28 *5691:276 0.00260917 -29 *5691:269 0.00213665 -30 *5691:260 0.0020811 -31 *5691:252 0.00183719 -32 *5691:229 0.00237061 -33 *5691:201 0.00299445 -34 *5691:190 0.00378821 -35 *5691:176 0.0022104 -36 *5691:160 0.00243961 -37 *5691:157 0.00297122 -38 *5691:149 0.00365232 -39 *5691:139 0.00194174 -40 *5691:109 0.00157789 -41 *5691:108 0.00152174 -42 *5691:93 0.00589508 -43 *5691:61 0.00182501 -44 *5691:51 0.00330573 -45 *5691:16 0.000534552 -46 *5691:10 0.0023298 -47 *5691:4 0.00540515 -48 *25598:A1 *25594:A1 0.000269428 -49 *25922:A0 *5738:31 0.000249222 -50 *25946:A1 *5705:16 0.00137951 -51 *25946:A1 *5705:22 0.000136279 -52 *25946:A1 *5705:266 0.00018077 -53 *25946:A1 *5710:22 0 -54 *26815:A0 *5705:67 0.00011333 -55 *5691:10 *5694:31 0.000543665 -56 *5691:10 *5694:42 0.000370729 -57 *5691:51 *28379:RESET_B 0.000293873 -58 *5691:93 *27100:A0 0.00107295 -59 *5691:93 *5694:42 0.000546198 -60 *5691:93 *5936:70 0.000648024 -61 *5691:139 *5869:32 0.00032963 -62 *5691:149 *25958:A1 9.60939e-05 -63 *5691:149 *28398:D 5.49489e-05 -64 *5691:149 *5861:69 4.65779e-05 -65 *5691:149 *5861:75 0 -66 *5691:149 *5869:32 0.00121647 -67 *5691:157 *25957:A0 1.11775e-05 -68 *5691:157 *28398:D 0.000384397 -69 *5691:157 *28448:RESET_B 0.000172629 -70 *5691:160 *6225:61 0.000468746 -71 *5691:176 *29509:A 6.13729e-05 -72 *5691:176 *29581:A 5.88072e-05 -73 *5691:176 *29601:A 0 -74 *5691:176 *6225:61 9.71526e-05 -75 *5691:176 *6346:15 4.00563e-05 -76 *5691:190 *5698:165 6.57032e-05 -77 *5691:190 *5926:204 0.000264542 -78 *5691:190 *5926:221 4.04683e-05 -79 *5691:201 *5737:124 0.000566745 -80 *5691:201 *6385:44 0.000442852 -81 *5691:201 *6385:56 0.000715736 -82 *5691:229 *6225:61 0.000235284 -83 *5691:252 *5699:156 0.00011563 -84 *5691:252 *5705:162 0.000841763 -85 *5691:252 *6324:17 5.58296e-05 -86 *5691:269 *28835:RESET_B 0.000135247 -87 *5691:269 *5738:59 0.000390584 -88 *5691:325 *5705:44 0.000151333 -89 *5691:341 *28141:RESET_B 0.000226021 -90 *5691:341 *5705:36 0.000835759 -91 *5691:341 *5705:44 0.00014576 -92 *5691:341 *5733:117 1.08359e-05 -93 *5691:341 *5733:126 0.000913629 -94 *5691:341 *5733:137 0.00130857 -95 *5691:341 *5778:39 0 -96 pll_div[1] *5691:298 1.18647e-05 -97 pll_trim[12] *5691:190 0 -98 *25097:A1 *5691:108 2.63501e-05 -99 *25132:B2 *25940:A1 6.87812e-05 -100 *25133:C1 *5691:93 2.78265e-05 -101 *25210:B2 *5691:51 2.01997e-05 -102 *25210:B2 *5691:93 0.000142979 -103 *25265:A1 *5691:139 0.000357099 -104 *25265:C1 *5691:108 0.000245795 -105 *25266:B *5691:108 0.000179578 -106 *25302:A2 *5691:93 0.00158354 -107 *25389:C1 *25892:A1 6.09762e-05 -108 *25389:C1 *5691:109 0.000101271 -109 *25392:B2 *5691:341 8.67877e-05 -110 *25406:C1 *5691:190 0.000113917 -111 *25416:A2 *5691:61 3.28686e-05 -112 *25416:B2 *5691:61 0.000141447 -113 *25437:A1 *5691:61 7.49387e-06 -114 *25594:A0 *25598:A1 5.52238e-05 -115 *25594:A0 *5691:190 0 -116 *25598:A0 *25598:A1 5.49489e-05 -117 *25659:S *5691:293 1.22506e-05 -118 *25662:A0 *5691:298 0.000944559 -119 *25662:S *5691:293 0.000510318 -120 *25662:S *5691:298 1.02504e-05 -121 *25732:S *25732:A0 0.000218679 -122 *25757:A0 *5691:252 4.27935e-05 -123 *25757:A0 *5691:260 5.33005e-05 -124 *25773:A1 *5691:341 0.000142317 -125 *25832:S *25832:A1 5.33005e-05 -126 *25892:A0 *25892:A1 4.87953e-05 -127 *25910:A1 *5691:260 0.000129913 -128 *25910:S *5691:260 5.33005e-05 -129 *25918:A *5691:201 2.01997e-05 -130 *25934:A1 *25934:A0 3.23206e-05 -131 *25934:S *25934:A0 6.57032e-05 -132 *25935:S *5691:16 0.000191681 -133 *25940:A0 *25940:A1 5.33005e-05 -134 *25940:A0 *5691:229 2.05917e-05 -135 *25943:S *25946:A1 0.000348057 -136 *25944:S *25946:A1 5.52238e-05 -137 *25958:S *5691:149 1.98839e-05 -138 *26815:A1 *26815:A0 5.52238e-05 -139 *26815:S *26815:A0 4.75955e-05 -140 *26834:A *26815:A0 9.61553e-05 -141 *27556:A1 *25934:A0 2.05612e-05 -142 *27571:B1 *5691:201 0.000388989 -143 *27619:A2 *5691:108 3.43568e-05 -144 *27619:A2 *5691:109 1.25477e-05 -145 *27880:B2 *5691:93 0.000178903 -146 *27894:A1 *5691:160 9.8928e-05 -147 *27894:A1 *5691:176 0.000100771 -148 *27929:B2 *5691:51 0 -149 *27968:A1 *5691:176 7.72843e-05 -150 *28021:A1 *5691:269 0.000194147 -151 *28021:A1 *5691:276 1.90936e-05 -152 *28117:CLK *5691:201 2.04825e-05 -153 *28139:D *5691:61 0.000185849 -154 *28140:D *5691:341 2.53176e-05 -155 *28153:D *5691:293 3.72472e-05 -156 *28160:D *25946:A1 0.000146066 -157 *28161:CLK *25732:A0 0.000536146 -158 *28161:D *25946:A1 6.74911e-05 -159 *28236:D *5691:341 1.46717e-05 -160 *28343:D *5691:109 0.00011294 -161 *28390:D *5691:190 4.87854e-05 -162 *28395:D *5691:157 0.000352022 -163 *28448:D *5691:157 0.000467426 -164 *28808:D *5691:176 7.41148e-05 -165 *28812:D *5691:176 0.000507012 -166 *28836:D *5691:284 0.000164635 -167 *28950:A *5691:190 0.000252871 -168 *29150:A *5691:157 6.05161e-06 -169 *29177:A *5691:252 0.000121613 -170 *29178:A *5691:341 5.26091e-05 -171 *29211:A *5691:260 3.45337e-05 -172 *29211:A *5691:269 0.000292475 -173 *29380:A *5691:139 0.00019806 -174 *29387:A *25940:A1 0.000377487 -175 *29387:A *5691:252 0.000146882 -176 *29992:A *5691:157 6.595e-05 -177 *29995:A *5691:260 0.000571874 -178 *30029:A *5691:325 0.000417633 -179 *30029:A *5691:341 0.000161403 -180 *30068:A *5691:190 9.68479e-05 -181 *450:10 *5691:190 0.000232584 -182 *451:12 *25598:A1 0.00014285 -183 *472:8 *5691:252 5.00841e-06 -184 *505:17 *5691:293 0 -185 *506:24 *5691:293 0 -186 *510:26 *25668:A0 0.000175892 -187 *714:23 *5691:252 2.31868e-05 -188 *740:19 *5691:61 0.000219711 -189 *1139:12 *5691:176 8.6229e-06 -190 *1141:20 *5691:176 0.000285563 -191 *1243:67 *5691:149 0.00074721 -192 *1243:69 *5691:149 0.000473571 -193 *1244:141 *5691:108 0.0008352 -194 *1248:81 *5691:93 0.000216092 -195 *1253:98 *5691:93 0 -196 *1257:99 *5691:229 0.000937897 -197 *1264:17 *5691:229 8.69554e-05 -198 *1264:22 *5691:229 0.000300495 -199 *1264:41 *5691:201 0.0014019 -200 *1271:122 *5691:108 0.000837123 -201 *1273:14 *5691:149 0.000110011 -202 *1289:49 *5691:149 0.000146592 -203 *1326:35 *5691:108 3.51909e-06 -204 *1326:35 *5691:109 6.30931e-05 -205 *1326:56 *5691:109 7.636e-05 -206 *1360:20 *5691:93 0.000135968 -207 *1362:152 *5691:284 9.30158e-05 -208 *1395:84 *5691:269 2.95216e-05 -209 *1408:26 *5691:260 0.000717532 -210 *1411:97 *5691:93 0.000220436 -211 *1427:8 *5691:341 0 -212 *1430:36 *5691:284 0.000133628 -213 *1430:36 *5691:325 0.000316377 -214 *1430:165 *5691:176 1.90936e-05 -215 *1441:115 *5691:93 4.8817e-05 -216 *1449:101 *5691:93 0.00026878 -217 *1471:37 *5691:229 0.000451195 -218 *1498:13 *25922:A0 0.000317082 -219 *1498:13 *5691:201 0.00060627 -220 *1590:15 *5691:149 0.000307343 -221 *1594:23 *5691:93 0.000121376 -222 *1600:17 *28020:A0 1.90303e-05 -223 *1624:76 *5691:93 0.000474335 -224 *1653:47 *5691:108 4.51403e-05 -225 *1670:20 *5691:108 2.06178e-05 -226 *1700:65 *5691:108 0.00011313 -227 *1721:14 *5691:157 0.000453809 -228 *1730:19 *5691:61 0.000572141 -229 *1730:41 *5691:61 5.33005e-05 -230 *1824:40 *5691:190 1.02821e-05 -231 *1827:11 *25946:A1 0 -232 *1828:47 *5691:61 3.10309e-05 -233 *1865:8 *5691:252 0.000179108 -234 *1876:8 *5691:341 7.85979e-05 -235 *1876:12 *5691:341 0.000106575 -236 *1895:13 *25922:A0 6.71253e-05 -237 *1895:19 *25922:A0 0.000141036 -238 *1897:31 *25668:A0 0.00014388 -239 *1897:31 *5691:16 5.55405e-05 -240 *1899:42 *25946:A1 2.74198e-05 -241 *1901:41 *5691:157 1.98839e-05 -242 *2745:75 *5691:298 9.25014e-06 -243 *2845:109 *5691:51 0.000171671 -244 *2845:127 *5691:61 0.000342302 -245 *2855:32 *5691:139 8.94556e-05 -246 *2864:40 *5691:139 9.41642e-05 -247 *2880:197 *5691:51 0 -248 *2886:34 *5691:109 7.83659e-05 -249 *2886:102 *5691:61 3.97677e-05 -250 *2888:59 *5691:108 9.90561e-05 -251 *3082:13 *5691:260 0.000356495 -252 *3103:17 *5691:201 0.000214773 -253 *3129:20 *5691:51 0.000424351 -254 *3170:83 *5691:93 1.08359e-05 -255 *3178:157 *5691:93 0.000605011 -256 *3196:52 *5691:139 9.58181e-05 -257 *3205:72 *5691:93 0.000632622 -258 *3206:27 *5691:139 0.000300052 -259 *3214:36 *5691:139 0.000138421 -260 *3215:71 *5691:93 0.000108684 -261 *3314:41 *5691:108 0.000280565 -262 *3425:20 *5691:139 0.000104976 -263 *3460:21 *5691:229 0.000143102 -264 *3460:21 *5691:252 4.79393e-05 -265 *3469:29 *5691:93 0.000135968 -266 *3473:19 *5691:93 4.37712e-06 -267 *3482:19 *5691:93 4.33002e-05 -268 *3506:19 *5691:93 0.00301244 -269 *3547:12 *5691:108 2.00975e-05 -270 *3547:12 *5691:109 4.62813e-05 -271 *3547:25 *5691:109 3.5703e-05 -272 *3646:5 *5691:10 9.41642e-05 -273 *3646:68 *5691:10 6.54117e-05 -274 *3648:98 *5691:157 0 -275 *3653:14 *5691:293 0.000116529 -276 *3661:31 *5691:229 4.38296e-05 -277 *3662:43 *5691:93 0.000761583 -278 *3663:10 *25940:A1 0.000135028 -279 *3688:35 *5691:61 0.000119179 -280 *3710:38 *25946:A1 0.000340781 -281 *3752:39 *25934:A0 0.000175892 -282 *3765:30 *5691:10 8.49829e-05 -283 *3776:54 *5691:93 2.18881e-05 -284 *3793:25 *5691:284 8.6229e-06 -285 *3802:58 *5691:51 0.000177177 -286 *3814:6 *5691:284 1.08413e-05 -287 *3814:28 *5691:284 7.52092e-05 -288 *3814:28 *5691:325 0.000124695 -289 *3815:26 *5691:284 3.15253e-05 -290 *3815:26 *5691:293 0.00055253 -291 *3816:43 *5691:139 0.000626336 -292 *3816:51 *5691:108 0.000114095 -293 *3819:16 *5691:61 6.57032e-05 -294 *3828:61 *5691:10 9.41642e-05 -295 *3831:28 *25946:A1 2.79421e-05 -296 *3834:33 *5691:108 0.00019192 -297 *3841:55 *5691:93 8.2758e-06 -298 *3844:25 *5691:149 8.25843e-06 -299 *3844:25 *5691:157 5.84403e-05 -300 *3857:49 *5691:16 4.1547e-05 -301 *3857:49 *5691:51 0.000131039 -302 *3868:32 *5691:139 8.94556e-05 -303 *3879:9 *5691:341 0.000319013 -304 *3879:18 *5691:341 5.21676e-05 -305 *3884:24 *5691:298 9.99644e-06 -306 *3906:7 *5691:341 0 -307 *3909:12 *5691:269 0.000125355 -308 *3918:41 *5691:269 2.88381e-05 -309 *3918:41 *5691:276 9.60337e-06 -310 *3923:32 *5691:51 2.01997e-05 -311 *3972:44 *25892:A1 2.45832e-05 -312 *3972:44 *5691:109 0.000313086 -313 *4036:40 *5691:51 0.000424351 -314 *4053:24 *5691:139 0.000144556 -315 *4077:49 *5691:51 0.000298811 -316 *4126:85 *5691:61 0.00203923 -317 *4130:34 *5691:325 0.000118267 -318 *4139:28 *5691:325 0.000114587 -319 *4160:98 *5691:61 9.83388e-05 -320 *4332:25 *25922:A0 3.11088e-05 -321 *4380:8 *5691:176 0.000306731 -322 *4381:19 *5691:201 0.000140137 -323 *4617:23 *5691:229 4.79843e-05 -324 *4618:21 *5691:252 0.000269591 -325 *4801:19 *5691:284 0.000654762 -326 *4950:25 *25763:A1 0.000177815 -327 *4950:25 *5691:284 0.000178425 -328 *4952:14 *5691:139 0.000668991 -329 *5011:12 *5691:284 6.25555e-05 -330 *5011:12 *5691:293 5.79914e-05 -331 *5011:20 *5691:201 7.69776e-06 -332 *5074:15 *5691:276 0.000932409 -333 *5279:29 *5691:160 9.02021e-05 -334 *5386:11 *5691:93 0.00182863 -335 *5430:12 *5691:276 0.00170182 -336 *5483:18 *25922:A0 0.000201832 -337 *5483:96 *25922:A0 6.12434e-05 -338 *5483:120 *5691:201 0.00069379 -339 *5483:145 *5691:109 0.000190622 -340 *5483:151 *5691:108 0.000648967 -341 *5489:28 *5691:298 1.10866e-05 -342 *5491:97 *26815:A0 0.00022459 -343 *5501:9 *5691:190 0 -344 *5510:34 *25922:A0 1.59885e-05 -345 *5518:62 *5691:190 9.83894e-05 -346 *5519:49 *5691:201 0.000175551 -347 *5520:48 *5691:176 6.35515e-05 -348 *5522:52 *5691:157 0.00052441 -349 *5523:41 *25940:A1 0.000373953 -350 *5523:41 *5691:252 0.00023056 -351 *5599:125 *5691:160 0.00022686 -352 *5599:125 *5691:176 0.000377424 -353 *5614:88 *5691:51 2.36714e-05 -354 *5614:97 *5691:16 2.83129e-05 -355 *5618:142 *5691:252 5.33005e-05 -356 *5618:142 *5691:260 0.000642697 -357 *5619:31 *5691:157 0.000266672 -358 *5621:69 *5691:93 0.000117018 -359 *5624:94 *5691:252 9.78326e-05 -360 *5650:122 *5691:10 1.10866e-05 -361 *5650:122 *5691:51 1.90936e-05 -362 *5665:66 *5691:252 5.22686e-05 -363 *5665:127 *5691:293 0 -364 *5665:127 *5691:298 0 -365 *5680:308 *25598:A1 0.000669248 -366 *5680:308 *5691:201 0.000446057 -367 *5685:333 *5691:16 5.68625e-05 -368 *5685:333 *5691:51 5.30957e-05 -369 *5687:29 *5691:51 0.000268081 -370 *5687:37 *25668:A0 0.000325297 -371 *5687:37 *5691:16 0.000240028 -*RES -1 *28983:X *5691:4 9.3 -2 *5691:4 *5691:10 18.2582 -3 *5691:10 *5691:16 7.2113 -4 *5691:16 *25668:A0 17.5143 -5 *5691:16 *25934:A0 16.3893 -6 *5691:10 *5691:51 33.9604 -7 *5691:51 *5691:61 45 -8 *5691:61 *25644:A0 9.3 -9 *5691:4 *5691:93 49.612 -10 *5691:93 *5691:108 39.5405 -11 *5691:108 *5691:109 11.6607 -12 *5691:109 *25892:A1 15.6304 -13 *5691:109 *5691:139 36.9478 -14 *5691:139 *5691:149 38.1429 -15 *5691:149 *5691:157 47.8929 -16 *5691:157 *5691:160 12.3661 -17 *5691:160 *5691:176 32.8694 -18 *5691:176 *25611:A1 9.3 -19 *5691:176 *5691:190 31.5357 -20 *5691:190 *5691:201 24.6572 -21 *5691:201 *25922:A0 28.175 -22 *5691:201 *25620:A1 9.3 -23 *5691:190 *25598:A1 22.55 -24 *5691:160 *5691:229 9.4614 -25 *5691:229 *25940:A1 20.2911 -26 *5691:229 *5691:252 19.7525 -27 *5691:252 *5691:260 27.8214 -28 *5691:260 *5691:269 26.4107 -29 *5691:269 *5691:276 9.88232 -30 *5691:276 *5691:284 21.5804 -31 *5691:284 *25763:A1 11.0679 -32 *5691:284 *5691:293 18.5893 -33 *5691:293 *5691:298 17.9821 -34 *5691:298 *26815:A0 22.1214 -35 *5691:298 *26821:A0 9.3 -36 *5691:293 *25662:A1 13.8 -37 *5691:276 *5691:325 27.6161 -38 *5691:325 *25832:A1 14.3357 -39 *5691:325 *5691:341 46.3929 -40 *5691:341 *5691:343 4.64286 -41 *5691:343 *25732:A0 21.4786 -42 *5691:343 *25946:A1 40.5857 -43 *5691:269 *28020:A0 14.7464 -44 *5691:260 *25910:A0 9.3 -45 *5691:252 *25757:A1 9.3 -46 *5691:149 *25958:A0 9.3 -*END - -*D_NET *5692 0.0426139 -*CONN -*I *25243:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *6537:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *30700:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *25243:A1 0 -2 *6537:DIODE 0.000249398 -3 *30700:X 0.000128042 -4 *5692:47 0.00230605 -5 *5692:41 0.00385389 -6 *5692:31 0.00345261 -7 *5692:17 0.0034775 -8 *5692:13 0.00270463 -9 *5692:8 0.00446368 -10 *5692:7 0.00370922 -11 *5692:8 *26873:S 0.000344657 -12 *5692:8 *5800:85 0.000432395 -13 *5692:8 *5800:90 0.000507925 -14 *5692:13 *5800:108 0.000177821 -15 *5692:17 *25715:A0 0.00113517 -16 *5692:17 *5928:20 0.000482247 -17 *5692:17 *5929:17 0.000179053 -18 *5692:31 *28695:RESET_B 2.58757e-05 -19 *5692:31 *5819:20 0.000124677 -20 *5692:41 *25190:A1 0.000193862 -21 *5692:41 *5819:20 0.00034381 -22 *5692:47 *27110:A0 0.000981451 -23 *25243:B1 *6537:DIODE 0.000180066 -24 *25243:C1 *6537:DIODE 4.56405e-05 -25 *25713:A1 *5692:17 5.25675e-05 -26 *25713:S *5692:17 0.000510824 -27 *26945:A1 *5692:17 9.41642e-05 -28 *27110:A1 *5692:47 0.000297333 -29 *27368:B1 *5692:47 0.000175892 -30 *27368:B2 *5692:41 0.000656625 -31 *27368:B2 *5692:47 2.24195e-05 -32 *27369:C1 *5692:41 5.33005e-05 -33 *27394:B *5692:41 0.000423607 -34 *27776:A2 *5692:31 0.000133695 -35 *27776:B1 *5692:31 2.05612e-05 -36 *28695:D *5692:31 4.87854e-05 -37 *30076:A *5692:17 0.000481282 -38 *30234:A *5692:31 6.05161e-06 -39 *534:25 *5692:17 0.000161871 -40 *1185:184 *5692:41 0.000183144 -41 *1258:33 *6537:DIODE 1.02936e-05 -42 *1258:33 *5692:47 2.99822e-05 -43 *1258:49 *5692:47 3.42273e-05 -44 *1514:16 *5692:41 0.000192154 -45 *1855:11 *5692:13 9.23525e-05 -46 *1855:11 *5692:17 1.81887e-05 -47 *2779:80 *5692:47 3.18881e-05 -48 *2784:112 *5692:47 8.43535e-06 -49 *2788:16 *5692:41 0.000678072 -50 *2848:369 *5692:31 0.000128411 -51 *2874:359 *5692:31 3.23658e-05 -52 *2888:235 *5692:41 0.000137983 -53 *2888:235 *5692:47 2.28499e-05 -54 *2985:14 *5692:17 0.00137385 -55 *3378:24 *5692:17 0.000135028 -56 *3537:47 *5692:31 0.000128412 -57 *3565:24 *5692:31 0.000189421 -58 *3692:8 *5692:8 0.000438746 -59 *3731:109 *5692:8 0.00146271 -60 *3796:177 *5692:8 0.0013237 -61 *3850:64 *5692:31 1.02821e-05 -62 *3924:53 *5692:47 3.30337e-05 -63 *3928:5 *5692:31 4.58194e-05 -64 *4006:51 *5692:31 6.86792e-05 -65 *4069:187 *5692:8 0.00101771 -66 *4095:57 *5692:41 0.00010289 -67 *5586:138 *5692:13 1.21289e-05 -68 *5609:245 *5692:31 8.08022e-05 -69 *5631:71 *5692:31 0.000504731 -70 *5635:165 *5692:31 0.00121183 -71 *5648:7 *5692:13 0.000235164 -*RES -1 *30700:X *5692:7 15.5679 -2 *5692:7 *5692:8 100 -3 *5692:8 *5692:13 15.5268 -4 *5692:13 *5692:17 37.8973 -5 *5692:17 *5692:31 41.0402 -6 *5692:31 *5692:41 43.4464 -7 *5692:41 *5692:47 26.9643 -8 *5692:47 *6537:DIODE 12.7286 -9 *5692:47 *25243:A1 9.3 -*END - -*D_NET *5693 0.0403706 -*CONN -*I *25844:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25802:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25880:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25826:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25868:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25775:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25874:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27157:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25674:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25650:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25656:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25638:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25838:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *28984:X O *D sky130_fd_sc_hd__clkbuf_4 -*CAP -1 *25844:A0 3.33764e-05 -2 *25802:A0 0.000106555 -3 *25880:A1 0 -4 *25826:A1 0.000844824 -5 *25868:A1 0.000742611 -6 *25775:A0 0.000100041 -7 *25874:A0 9.84421e-05 -8 *27157:A1 0.000384889 -9 *25674:A0 0.000501465 -10 *25650:A1 2.37855e-05 -11 *25656:A1 0.000322379 -12 *25638:A1 0.000447318 -13 *25838:A1 0.00013541 -14 *28984:X 0.000587206 -15 *5693:97 0.00136192 -16 *5693:87 0.000886729 -17 *5693:82 0.0013614 -18 *5693:74 0.00104362 -19 *5693:72 0.000310259 -20 *5693:70 0.00114664 -21 *5693:56 0.0023466 -22 *5693:37 0.00115634 -23 *5693:32 0.00135389 -24 *5693:25 0.000528393 -25 *5693:20 0.0010402 -26 *5693:16 0.00121366 -27 *25638:A1 *5742:102 0 -28 *25674:A0 *28163:RESET_B 2.04644e-05 -29 *25838:A1 *25838:A0 3.97677e-05 -30 *25868:A1 *28313:RESET_B 2.47753e-05 -31 *25868:A1 *28718:RESET_B 0.000139478 -32 *27157:A1 *28330:RESET_B 0.000141101 -33 *5693:16 *28984:A 0.000168581 -34 *5693:16 *5836:104 0 -35 *5693:20 *25803:A1 0.000333558 -36 *5693:20 *25859:A0 0.000318107 -37 *5693:25 *28333:RESET_B 7.48301e-06 -38 *5693:25 *5741:171 9.91086e-05 -39 *5693:32 *25880:A0 5.33005e-05 -40 *5693:37 *25838:A0 8.00806e-05 -41 *5693:56 *24895:B 4.09477e-05 -42 *5693:56 *25838:A0 1.98839e-05 -43 *5693:56 *25842:A0 0.000302674 -44 *5693:70 *25842:A0 0.000538669 -45 *5693:70 *25879:A1 0.000135028 -46 *5693:70 *5699:17 0.000685612 -47 *5693:70 *5742:332 0.000347181 -48 *5693:97 *25824:A1 0.000877612 -49 *5693:97 *5743:60 8.19176e-05 -50 *24870:B1 *25656:A1 6.42095e-05 -51 *25450:A2 *25826:A1 0.000603168 -52 *25514:A *5693:87 7.95355e-05 -53 *25514:B *5693:87 9.41642e-05 -54 *25638:A0 *25638:A1 5.93521e-05 -55 *25650:S *5693:87 9.60875e-05 -56 *25675:S *25674:A0 0.000557771 -57 *25775:S *5693:70 0.000118052 -58 *25775:S *5693:72 7.46506e-05 -59 *25802:A1 *5693:20 3.25078e-05 -60 *25802:A1 *5693:25 1.76039e-05 -61 *25826:S *25826:A1 5.33005e-05 -62 *25838:S *25838:A1 9.41642e-05 -63 *25868:A0 *25868:A1 2.59355e-05 -64 *25874:A1 *25874:A0 2.42516e-05 -65 *25874:S *25874:A0 1.24368e-05 -66 *25880:S *5693:25 1.56e-05 -67 *25880:S *5693:32 0.000311249 -68 *25880:S *5693:37 0.00093532 -69 *27907:A2 *5693:16 2.06112e-05 -70 *27934:B1 *5693:16 3.91734e-05 -71 *27935:C1 *5693:16 5.33005e-05 -72 *27939:A *5693:25 0.000166416 -73 *28167:RESET_B *25656:A1 0.000135028 -74 *28328:D *27157:A1 0.000260574 -75 *28330:D *5693:82 7.40571e-05 -76 *29363:A *5693:87 0.000117024 -77 *30080:A *25802:A0 6.73175e-05 -78 *30245:A *5693:16 9.72793e-05 -79 *30252:A *5693:70 2.14658e-05 -80 *30263:A *25868:A1 9.83159e-05 -81 *30360:A *27157:A1 0.000116285 -82 *374:18 *27157:A1 0.000301343 -83 *402:13 *5693:97 0 -84 *408:20 *5693:97 4.49626e-05 -85 *437:23 *25638:A1 0.000110513 -86 *623:22 *25638:A1 0.00052627 -87 *1207:11 *25826:A1 3.05576e-05 -88 *1209:13 *5693:25 0.000397924 -89 *1209:13 *5693:32 9.41642e-05 -90 *1396:40 *25826:A1 2.98296e-05 -91 *1448:60 *25844:A0 1.07719e-05 -92 *1448:60 *5693:16 7.50757e-05 -93 *1448:60 *5693:20 5.62854e-05 -94 *1738:106 *5693:87 7.96236e-05 -95 *1738:106 *5693:97 2.89016e-05 -96 *1741:13 *5693:82 0.000474808 -97 *1744:112 *25874:A0 8.25843e-06 -98 *1757:23 *25826:A1 7.66995e-05 -99 *1759:11 *25826:A1 1.0562e-05 -100 *1795:19 *5693:87 8.43535e-06 -101 *1830:55 *25638:A1 8.17909e-05 -102 *1830:55 *25656:A1 9.86586e-05 -103 *1833:43 *25674:A0 2.94956e-05 -104 *1872:45 *5693:70 0.000743408 -105 *1872:58 *5693:70 0.000169457 -106 *1877:66 *27157:A1 0 -107 *1877:66 *5693:70 0.00105352 -108 *1877:66 *5693:72 9.08166e-05 -109 *1877:66 *5693:74 1.17968e-05 -110 *3164:150 *5693:70 8.44271e-06 -111 *3196:143 *25674:A0 0.000721073 -112 *3196:143 *5693:82 3.787e-05 -113 *3561:16 *25868:A1 0.000222244 -114 *3637:96 *25868:A1 0.000322531 -115 *3637:96 *5693:70 4.58194e-05 -116 *3637:111 *5693:56 2.03842e-05 -117 *3637:116 *5693:37 0.000245134 -118 *3637:116 *5693:56 6.57032e-05 -119 *3637:125 *5693:37 9.97648e-05 -120 *3637:134 *5693:32 0.00037972 -121 *3637:134 *5693:37 0.000103457 -122 *3697:31 *5693:20 0 -123 *3697:33 *5693:20 0 -124 *3697:33 *5693:25 0 -125 *3697:33 *5693:56 8.2275e-05 -126 *3698:19 *5693:16 2.83129e-05 -127 *3702:26 *5693:16 0.000595406 -128 *3710:28 *5693:97 8.34352e-05 -129 *3711:34 *25674:A0 0.000172168 -130 *3711:34 *5693:82 8.44271e-06 -131 *3727:7 *27157:A1 9.41642e-05 -132 *3749:7 *27157:A1 9.41642e-05 -133 *3749:105 *27157:A1 0.000162641 -134 *3830:36 *5693:70 0.000199858 -135 *3830:36 *5693:72 0.000268754 -136 *3830:36 *5693:74 0.000184788 -137 *3830:36 *5693:82 0.000390856 -138 *3871:50 *5693:56 2.11419e-05 -139 *3871:73 *5693:32 5.39232e-05 -140 *3935:28 *27157:A1 0.000135028 -141 *3935:32 *27157:A1 0.000102327 -142 *4103:44 *5693:16 7.07773e-05 -143 *4113:25 *5693:74 0.000169639 -144 *4113:25 *5693:82 0.00038927 -145 *4118:29 *5693:16 3.98517e-05 -146 *4127:14 *25638:A1 0.000393442 -147 *4127:75 *25638:A1 0.000298197 -148 *4127:75 *25656:A1 0.000198374 -149 *4142:26 *25868:A1 0.000123288 -150 *4142:34 *5693:16 0 -151 *4143:41 *5693:16 8.69554e-05 -152 *4160:98 *25775:A0 0.000139478 -153 *4194:111 *5693:87 0.00071791 -154 *4194:111 *5693:97 5.33005e-05 -155 *4195:36 *5693:87 5.74499e-06 -156 *4560:14 *25638:A1 0.000135028 -157 *5007:42 *5693:16 6.87203e-05 -158 *5545:30 *5693:20 2.18733e-05 -159 *5545:30 *5693:25 0.000123496 -160 *5611:27 *25826:A1 9.34324e-05 -161 *5651:189 *5693:16 4.72742e-05 -162 *5657:125 *5693:16 1.90936e-05 -163 *5666:55 *25826:A1 0.000512225 -*RES -1 *28984:X *5693:16 32.0516 -2 *5693:16 *5693:20 16.1607 -3 *5693:20 *5693:25 13.6071 -4 *5693:25 *5693:32 10.9643 -5 *5693:32 *5693:37 16.8929 -6 *5693:37 *25838:A1 11.4964 -7 *5693:37 *5693:56 19.9107 -8 *5693:56 *5693:70 41.5357 -9 *5693:70 *5693:72 3.76786 -10 *5693:72 *5693:74 2.55357 -11 *5693:74 *5693:82 20.2679 -12 *5693:82 *5693:87 12.1429 -13 *5693:87 *5693:97 14.6036 -14 *5693:97 *25638:A1 26.5232 -15 *5693:97 *25656:A1 19.0946 -16 *5693:87 *25650:A1 9.72857 -17 *5693:82 *25674:A0 26.9071 -18 *5693:74 *27157:A1 33.1036 -19 *5693:72 *25874:A0 15.1571 -20 *5693:70 *25775:A0 15.1571 -21 *5693:56 *25868:A1 29.6393 -22 *5693:32 *25826:A1 24.0522 -23 *5693:25 *25880:A1 9.3 -24 *5693:20 *25802:A0 15.1571 -25 *5693:16 *25844:A0 9.83571 -*END - -*D_NET *5694 0.140971 -*CONN -*I *28984:A I *D sky130_fd_sc_hd__clkbuf_4 -*I *26896:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27139:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27163:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *26878:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *6550:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25701:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25748:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26833:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27049:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26840:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25952:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *28983:A I *D sky130_fd_sc_hd__buf_8 -*I *28985:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *28984:A 0.000408702 -2 *26896:A0 0.00101155 -3 *27139:A0 4.09699e-05 -4 *27163:A1 0.000677342 -5 *26878:A1 0.00010375 -6 *6550:DIODE 4.58822e-05 -7 *25701:A1 0.000360758 -8 *25748:A0 0 -9 *26833:A1 0.00071721 -10 *27049:A0 0 -11 *26840:A0 9.40753e-05 -12 *25952:A1 0.00133573 -13 *28983:A 0 -14 *28985:X 4.43698e-05 -15 *5694:283 0.00177589 -16 *5694:254 0.000813021 -17 *5694:251 0.00186906 -18 *5694:217 0.00159795 -19 *5694:195 0.00248938 -20 *5694:189 0.000784676 -21 *5694:183 0.00273551 -22 *5694:171 0.00363411 -23 *5694:133 0.00245427 -24 *5694:119 0.00421448 -25 *5694:110 0.00279614 -26 *5694:94 0.00217182 -27 *5694:80 0.00369355 -28 *5694:71 0.00419704 -29 *5694:57 0.00285888 -30 *5694:43 0.00380531 -31 *5694:42 0.00238238 -32 *5694:31 0.00236758 -33 *5694:19 0.00505446 -34 *5694:7 0.00360716 -35 *25701:A1 *25701:A0 0.000528353 -36 *25701:A1 *25702:A0 0.000135028 -37 *25701:A1 *28181:D 0.000364967 -38 *25701:A1 *5926:122 1.00375e-05 -39 *25701:A1 *6112:8 4.08637e-05 -40 *25952:A1 *5718:70 7.46146e-05 -41 *25952:A1 *5745:61 3.64169e-05 -42 *25952:A1 *5746:132 0.000410969 -43 *25952:A1 *5926:186 0.000931091 -44 *26840:A0 *6345:13 0.000195137 -45 *26840:A0 *6350:26 1.94945e-05 -46 *26896:A0 *5748:36 0.000128331 -47 *5694:7 *28985:A 4.10926e-05 -48 *5694:7 *5719:86 9.68602e-05 -49 *5694:19 *5707:28 0.000117384 -50 *5694:19 *5741:106 0.000222805 -51 *5694:19 *5741:118 6.50032e-05 -52 *5694:71 *5700:98 9.11825e-07 -53 *5694:80 *28469:D 0.000139783 -54 *5694:94 *28469:D 0.000186432 -55 *5694:94 *28761:D 2.04825e-05 -56 *5694:94 *5700:237 3.98333e-05 -57 *5694:94 *6306:116 0.000452848 -58 *5694:110 *27291:A 6.09762e-05 -59 *5694:110 *28761:D 4.87617e-05 -60 *5694:110 *29497:A 3.72834e-05 -61 *5694:119 *27093:A0 4.72244e-05 -62 *5694:119 *27277:A_N 4.04292e-05 -63 *5694:119 *27397:A2 0 -64 *5694:119 *27418:A1 3.89532e-05 -65 *5694:119 *29497:A 8.84659e-05 -66 *5694:119 *29504:A 0 -67 *5694:119 *5720:182 0.000112715 -68 *5694:119 *5720:191 0 -69 *5694:119 *5720:205 3.4323e-06 -70 *5694:133 *29538:A 4.02355e-05 -71 *5694:133 *6311:43 7.69776e-06 -72 *5694:133 *6314:37 0 -73 *5694:133 *6319:42 0 -74 *5694:133 *6345:13 1.90936e-05 -75 *5694:133 *6350:35 0.000293784 -76 *5694:171 *25528:A_N 0.000436963 -77 *5694:171 *25528:B 0.000116587 -78 *5694:171 *28791:RESET_B 0.000108747 -79 *5694:183 *28633:RESET_B 0.000124859 -80 *5694:183 *6385:139 0.000220028 -81 *5694:195 *27049:A1 2.42662e-05 -82 *5694:195 *5926:136 0.000910869 -83 *5694:217 *25702:A0 5.33005e-05 -84 *5694:217 *5926:122 0.00171946 -85 *5694:217 *5926:136 0.000140933 -86 *5694:217 *6112:8 5.33005e-05 -87 *5694:283 *26893:A0 4.65519e-05 -88 *24991:A1 *5694:183 6.15061e-05 -89 *24991:A2 *5694:183 1.59935e-05 -90 *24991:B1 *5694:183 0.00012035 -91 *24991:B2 *5694:183 5.81579e-05 -92 *25179:B1 *5694:43 2.42516e-05 -93 *25179:B2 *5694:43 0.000483872 -94 *25210:B2 *5694:42 0.000259267 -95 *25219:A1 *5694:119 4.43081e-05 -96 *25224:B *5694:57 7.29646e-05 -97 *25228:A2 *5694:94 5.41794e-05 -98 *25261:A2 *25952:A1 0.00165752 -99 *25284:B *5694:43 0.000135028 -100 *25748:S *25701:A1 5.52302e-05 -101 *25865:A0 *5694:19 0.000491314 -102 *26830:A0 *26833:A1 9.69119e-06 -103 *26833:S *26833:A1 5.52238e-05 -104 *26838:A *5694:119 0.000113256 -105 *26838:B *5694:119 0.000148661 -106 *26840:S *26840:A0 6.42095e-05 -107 *26896:S *26896:A0 0.000259549 -108 *27049:S *6550:DIODE 1.21258e-05 -109 *27049:S *5694:183 0.000197799 -110 *27049:S *5694:189 0.000386121 -111 *27049:S *5694:195 9.60939e-05 -112 *27139:S *27139:A0 0.000122591 -113 *27139:S *5694:254 9.41642e-05 -114 *27163:S *27163:A1 3.57488e-05 -115 *27240:B *5694:119 3.76653e-05 -116 *27240:B *5694:133 9.80649e-05 -117 *27240:B *5694:171 9.62747e-05 -118 *27260:A1 *5694:171 0.000130247 -119 *27260:B1 *5694:171 5.47476e-05 -120 *27267:B *5694:183 6.5937e-05 -121 *27291:B *5694:110 5.17787e-05 -122 *27419:S *5694:171 4.47218e-05 -123 *27563:A1 *5694:19 0.000279546 -124 *27585:A2 *5694:19 0.000154703 -125 *27714:A1 *5694:71 0.000125355 -126 *27714:A2 *27139:A0 0.000135028 -127 *27714:A2 *5694:254 0.000257619 -128 *27717:A1 *5694:71 1.72506e-05 -129 *27717:A1 *5694:251 5.2747e-05 -130 *27844:A0 *5694:133 0 -131 *27908:B1 *28984:A 2.5206e-05 -132 *27934:A1 *28984:A 0 -133 *27935:B1 *28984:A 5.74581e-06 -134 *27935:B1 *5694:19 0.00014394 -135 *27935:C1 *28984:A 8.25843e-06 -136 *28158:D *5694:43 0.000210415 -137 *28219:D *5694:217 8.47011e-05 -138 *28633:D *5694:183 0.000172146 -139 *28721:CLK *27163:A1 6.81459e-05 -140 *28767:CLK *5694:133 0.000107754 -141 *28791:D *5694:133 0.000176471 -142 *29772:A *5694:183 0.000481276 -143 *29790:A *5694:217 9.34004e-05 -144 *29798:A *26833:A1 5.71472e-05 -145 *29820:A *5694:94 0.000110238 -146 *29829:A *5694:119 0 -147 *29833:A *5694:110 3.38322e-05 -148 *29879:A *25952:A1 0.00119529 -149 *29961:A *25701:A1 1.54142e-05 -150 *30245:A *28984:A 2.06112e-05 -151 *30285:A *5694:183 5.84731e-05 -152 *30347:A *5694:183 0.000173097 -153 *30509:A *5694:183 0.000137983 -154 *30561:A *5694:217 0.000248486 -155 *30818:A *5694:110 2.02794e-05 -156 *282:15 *25952:A1 0.000128784 -157 *762:14 *25952:A1 8.6229e-06 -158 *977:15 *25952:A1 0.000250818 -159 *977:15 *5694:133 0.000179429 -160 *1221:21 *5694:19 0.00135379 -161 *1250:122 *5694:171 0.00328601 -162 *1252:146 *26896:A0 0.000172734 -163 *1256:40 *5694:94 8.60466e-05 -164 *1264:56 *25952:A1 0.000517433 -165 *1264:83 *25952:A1 0.00129142 -166 *1273:246 *25952:A1 0.000248873 -167 *1273:246 *5694:133 0.00020546 -168 *1279:75 *5694:43 0.000260574 -169 *1291:39 *26878:A1 0.000137983 -170 *1317:13 *5694:183 5.71472e-05 -171 *1358:19 *26896:A0 7.18024e-05 -172 *1363:114 *5694:254 5.19659e-05 -173 *1371:19 *25701:A1 0.000552693 -174 *1408:36 *5694:31 0.00135456 -175 *1470:90 *5694:43 8.43535e-06 -176 *1476:42 *5694:31 6.88091e-05 -177 *1490:26 *26896:A0 0.000108103 -178 *1545:28 *5694:31 0.000135937 -179 *1547:12 *5694:94 9.67203e-05 -180 *1603:10 *5694:43 0.000135028 -181 *1603:15 *5694:31 0.000108093 -182 *1603:15 *5694:42 0.000161941 -183 *1642:14 *5694:57 7.14469e-05 -184 *1717:14 *25952:A1 0.000129586 -185 *1826:117 *5694:283 0 -186 *2748:20 *26833:A1 0 -187 *2760:26 *26896:A0 0.00117663 -188 *2777:94 *5694:283 2.59024e-05 -189 *2777:119 *5694:183 9.41642e-05 -190 *2780:6 *26896:A0 0.000162607 -191 *2782:92 *5694:94 0.000108508 -192 *2782:92 *5694:110 0.000208928 -193 *2782:100 *5694:94 4.98055e-06 -194 *2787:78 *5694:110 8.74121e-05 -195 *2792:145 *5694:119 1.84044e-05 -196 *2813:58 *5694:110 3.31656e-05 -197 *2817:33 *5694:119 0 -198 *2831:17 *5694:133 4.22135e-06 -199 *2835:15 *5694:183 2.12521e-05 -200 *2841:17 *5694:94 0.000301438 -201 *2842:38 *5694:110 1.81128e-05 -202 *2845:22 *5694:110 0.000334515 -203 *2845:109 *5694:31 0 -204 *2845:188 *5694:283 0 -205 *2850:164 *5694:110 0.000202841 -206 *2852:28 *5694:80 0.00153779 -207 *2853:107 *5694:31 4.75956e-06 -208 *2854:16 *5694:57 0.000101545 -209 *2859:128 *5694:31 0.000160692 -210 *2860:26 *5694:119 8.23597e-06 -211 *2864:156 *26896:A0 0.000151393 -212 *2871:20 *5694:119 0.000166194 -213 *2872:134 *5694:19 1.09611e-05 -214 *2872:134 *5694:31 0.00256347 -215 *2872:163 *26896:A0 0.00131713 -216 *2872:163 *5694:251 4.43299e-05 -217 *2872:163 *5694:283 0.000904177 -218 *2879:27 *5694:80 4.28066e-05 -219 *2879:27 *5694:94 3.44914e-05 -220 *2880:197 *5694:31 0 -221 *2889:22 *5694:80 0.000433526 -222 *2892:16 *5694:119 9.91086e-05 -223 *2920:8 *5694:71 1.90936e-05 -224 *2958:48 *5694:71 2.04825e-05 -225 *3041:25 *5694:133 6.33321e-05 -226 *3115:31 *5694:19 5.06072e-05 -227 *3153:98 *5694:42 0.000326378 -228 *3156:41 *5694:110 4.1879e-05 -229 *3170:152 *5694:80 1.71566e-05 -230 *3174:29 *5694:94 8.19779e-05 -231 *3176:62 *5694:43 0.000417735 -232 *3182:16 *5694:94 4.22135e-06 -233 *3182:27 *5694:80 0.000272505 -234 *3182:27 *5694:94 0.000262616 -235 *3184:43 *5694:110 0.000321252 -236 *3184:43 *5694:119 0.000143604 -237 *3184:53 *5694:80 0.000102579 -238 *3184:95 *5694:80 0.000606516 -239 *3184:146 *5694:19 0.000556458 -240 *3184:169 *5694:19 5.4689e-05 -241 *3192:118 *5694:19 0.00231166 -242 *3206:13 *5694:110 0.000462822 -243 *3209:102 *5694:31 3.10819e-05 -244 *3215:50 *5694:57 0.00112125 -245 *3293:14 *5694:283 0.000171234 -246 *3293:34 *5694:283 9.51343e-06 -247 *3461:26 *5694:19 0.00076151 -248 *3547:12 *5694:57 0.000103267 -249 *3569:51 *5694:119 0.00126794 -250 *3569:53 *5694:119 0.000550692 -251 *3569:55 *5694:119 0.000135305 -252 *3569:57 *5694:119 4.35621e-05 -253 *3569:61 *5694:119 0.000188345 -254 *3569:73 *5694:119 2.3826e-05 -255 *3634:63 *5694:217 0 -256 *3634:70 *5694:217 0 -257 *3646:5 *5694:31 1.98839e-05 -258 *3646:9 *5694:31 0.000168089 -259 *3663:73 *5694:42 0.000322546 -260 *3666:40 *5694:43 0.000444879 -261 *3666:52 *5694:43 5.74499e-06 -262 *3675:13 *28984:A 0.00022817 -263 *3675:13 *5694:7 5.49489e-05 -264 *3713:39 *5694:19 2.35126e-05 -265 *3723:80 *5694:19 1.19021e-05 -266 *3752:39 *5694:31 4.26825e-05 -267 *3782:76 *5694:71 0.000148196 -268 *3796:36 *5694:171 0.00069698 -269 *3796:68 *5694:71 0.000146474 -270 *3818:32 *5694:31 0.000381858 -271 *3822:56 *5694:71 0.00128667 -272 *3822:57 *5694:57 0.000253764 -273 *3826:5 *5694:183 0.000136951 -274 *3826:26 *5694:183 0.000646068 -275 *3857:49 *5694:31 0.000443626 -276 *3872:9 *25952:A1 0.000250498 -277 *3873:6 *5694:119 0 -278 *3873:67 *5694:119 0 -279 *3882:47 *5694:19 0.000100843 -280 *3886:26 *5694:119 0 -281 *3938:40 *5694:94 0.00131777 -282 *3939:21 *5694:251 4.26759e-05 -283 *3939:21 *5694:283 0.000160478 -284 *3939:50 *5694:283 0.000752454 -285 *3946:18 *5694:283 4.79414e-05 -286 *3991:29 *27163:A1 0.000991429 -287 *4035:59 *5694:42 6.81704e-05 -288 *4055:33 *5694:94 0.00131436 -289 *4118:29 *28984:A 0.000140933 -290 *4185:42 *5694:43 0.00481759 -291 *4185:60 *5694:71 0.000999077 -292 *4186:26 *27163:A1 0.000995533 -293 *4574:47 *26840:A0 1.94945e-05 -294 *4574:47 *5694:133 0.000152036 -295 *4685:23 *5694:171 0.000359163 -296 *5310:18 *25952:A1 0.000132721 -297 *5459:12 *5694:189 0.000103974 -298 *5467:14 *5694:80 0 -299 *5468:25 *5694:217 0.000330349 -300 *5485:121 *25952:A1 3.33848e-05 -301 *5528:27 *26833:A1 0 -302 *5528:27 *5694:217 0 -303 *5529:9 *26833:A1 0 -304 *5529:9 *5694:217 0.000222885 -305 *5529:22 *5694:217 0.000572925 -306 *5530:6 *26833:A1 0.000105591 -307 *5530:20 *26833:A1 2.26327e-05 -308 *5530:58 *5694:94 0.000160456 -309 *5530:58 *5694:110 0.000286222 -310 *5584:76 *5694:57 8.60252e-05 -311 *5584:76 *5694:71 0.000150721 -312 *5584:76 *5694:251 0.000942309 -313 *5592:24 *25701:A1 0.000162138 -314 *5599:8 *5694:171 6.46173e-05 -315 *5599:29 *5694:119 0.000407591 -316 *5599:29 *5694:171 0.000312594 -317 *5602:41 *5694:189 0.000105689 -318 *5605:56 *5694:57 0.000136676 -319 *5605:60 *5694:71 0.000259273 -320 *5640:18 *26896:A0 1.43349e-05 -321 *5640:27 *26896:A0 0.000696345 -322 *5643:40 *5694:80 5.23496e-05 -323 *5650:31 *5694:71 0.000170027 -324 *5650:31 *5694:251 0.00226222 -325 *5651:85 *5694:80 0 -326 *5651:98 *5694:80 0 -327 *5653:19 *5694:80 0.000322152 -328 *5657:125 *28984:A 0.000315138 -329 *5657:125 *5694:19 0.000375081 -330 *5667:60 *26896:A0 2.63501e-05 -331 *5667:111 *5694:110 6.71966e-05 -332 *5667:113 *5694:110 8.8731e-06 -333 *5667:113 *5694:119 0.000517571 -334 *5675:132 *5694:217 0 -335 *5687:29 *5694:31 0.000177545 -336 *5691:10 *5694:31 0.000543665 -337 *5691:10 *5694:42 0.000370729 -338 *5691:93 *5694:42 0.000546198 -339 *5693:16 *28984:A 0.000168581 -*RES -1 *28985:X *5694:7 15.1571 -2 *5694:7 *5694:19 46.6672 -3 *5694:19 *5694:31 30.5764 -4 *5694:31 *28983:A 9.3 -5 *5694:31 *5694:42 22.5357 -6 *5694:42 *5694:43 50.6429 -7 *5694:43 *5694:57 42.2054 -8 *5694:57 *5694:71 37.9592 -9 *5694:71 *5694:80 20.3301 -10 *5694:80 *5694:94 49.8077 -11 *5694:94 *5694:110 22.927 -12 *5694:110 *5694:119 45.5339 -13 *5694:119 *5694:133 23.5347 -14 *5694:133 *25952:A1 37.2733 -15 *5694:133 *26840:A0 19.6832 -16 *5694:119 *5694:171 47.8094 -17 *5694:171 *5694:183 44.3214 -18 *5694:183 *5694:189 14.1607 -19 *5694:189 *27049:A0 9.3 -20 *5694:189 *5694:195 10.3929 -21 *5694:195 *26833:A1 30.8 -22 *5694:195 *5694:217 43.8571 -23 *5694:217 *25748:A0 9.3 -24 *5694:217 *25701:A1 31.4071 -25 *5694:183 *6550:DIODE 9.83571 -26 *5694:80 *26878:A1 15.1571 -27 *5694:71 *5694:251 7.74879 -28 *5694:251 *5694:254 7.08929 -29 *5694:254 *27163:A1 34.8893 -30 *5694:254 *27139:A0 10.6571 -31 *5694:251 *5694:283 26.4113 -32 *5694:283 *26896:A0 49.0554 -33 *5694:7 *28984:A 22.55 -*END - -*D_NET *5695 0.0795232 -*CONN -*I *27076:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25739:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26860:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27130:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26950:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27172:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26986:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27190:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27208:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26914:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26887:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27112:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25629:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26923:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27103:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27058:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27148:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27121:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27067:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27199:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28986:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *27076:A0 0 -2 *25739:A0 0.00300478 -3 *26860:A0 0.000291831 -4 *27130:A0 0 -5 *26950:A1 5.0079e-05 -6 *27172:A0 0.000870402 -7 *26986:A0 6.18369e-05 -8 *27190:A0 7.67356e-05 -9 *27208:A0 0 -10 *26914:A0 5.41313e-05 -11 *26887:A0 0.000379947 -12 *27112:A0 3.91849e-05 -13 *25629:A0 0.000123427 -14 *26923:A0 0.0023308 -15 *27103:A0 0 -16 *27058:A0 0 -17 *27148:A0 0 -18 *27121:A0 0 -19 *27067:A0 0 -20 *27199:A0 0.000118618 -21 *28986:X 0 -22 *5695:252 0.0038176 -23 *5695:240 0.00060061 -24 *5695:213 0.000138573 -25 *5695:186 0.000633579 -26 *5695:183 0.00121238 -27 *5695:133 0.00219558 -28 *5695:124 0.00280445 -29 *5695:110 0.00394103 -30 *5695:99 0.00176703 -31 *5695:80 0.00214154 -32 *5695:67 0.00140048 -33 *5695:55 0.00126882 -34 *5695:50 0.00068308 -35 *5695:48 0.00118654 -36 *5695:46 0.000334335 -37 *5695:43 0.000417776 -38 *5695:37 0.00038293 -39 *5695:25 0.000612592 -40 *5695:16 0.00168068 -41 *5695:4 0.00145884 -42 *25629:A0 *5905:16 4.11218e-05 -43 *25739:A0 *25739:S 0.000126639 -44 *25739:A0 *5898:17 0.000653264 -45 *25739:A0 *5925:10 0 -46 *25739:A0 *6286:16 0.00030215 -47 *26860:A0 *6253:13 0.000140933 -48 *26887:A0 *28477:RESET_B 0.000347522 -49 *26887:A0 *5795:181 2.96942e-05 -50 *26887:A0 *5795:188 0.000145753 -51 *26914:A0 *5820:73 5.64954e-05 -52 *26923:A0 *5873:281 0 -53 *26923:A0 *5892:6 2.06178e-05 -54 *26950:A1 *5824:170 2.79421e-05 -55 *26950:A1 *6278:11 5.33005e-05 -56 *26986:A0 *5912:54 8.43535e-06 -57 *27112:A0 *5820:15 1.39726e-05 -58 *27172:A0 *28533:D 0.000206635 -59 *27172:A0 *28533:RESET_B 8.79458e-05 -60 *27172:A0 *6279:29 2.14658e-05 -61 *27190:A0 *5912:54 9.93135e-05 -62 *27190:A0 *5912:67 5.03772e-05 -63 *27199:A0 *28986:A 5.33005e-05 -64 *27199:A0 *5702:16 0.000532665 -65 *5695:16 *26857:A0 9.0573e-05 -66 *5695:16 *28645:D 2.79421e-05 -67 *5695:16 *5702:16 3.8274e-05 -68 *5695:25 *26857:A0 5.48376e-05 -69 *5695:25 *27076:A1 2.14658e-05 -70 *5695:37 *28645:D 0.000310292 -71 *5695:37 *5772:100 9.54536e-05 -72 *5695:37 *5772:112 0.000166426 -73 *5695:37 *6261:17 0.000218685 -74 *5695:43 *27121:A1 0.000348213 -75 *5695:43 *28645:D 5.33005e-05 -76 *5695:43 *5772:112 1.54142e-05 -77 *5695:46 *5771:157 0.000251169 -78 *5695:48 *5771:157 0.000120547 -79 *5695:50 *5771:142 0.000190644 -80 *5695:50 *5771:157 2.15339e-05 -81 *5695:67 *27208:A1 0.000214845 -82 *5695:67 *5781:10 0 -83 *5695:67 *5863:32 6.94441e-06 -84 *5695:67 *5926:68 0.000139543 -85 *5695:80 *5820:85 1.01912e-05 -86 *5695:80 *5926:68 0.00047439 -87 *5695:99 *5926:68 0.000530337 -88 *5695:110 *5800:11 6.39803e-05 -89 *5695:110 *5800:19 0.000385948 -90 *5695:124 *29053:A 2.90006e-05 -91 *5695:124 *5829:149 0.000170871 -92 *5695:124 *5926:68 0.000341107 -93 *5695:133 *5829:76 0.000559455 -94 *5695:183 *5820:15 2.67767e-05 -95 *5695:183 *5820:73 1.76039e-05 -96 *5695:183 *5829:68 0.000177815 -97 *5695:183 *5914:27 0.000159627 -98 *5695:183 *5914:29 1.74352e-05 -99 *5695:186 *5820:15 3.98662e-05 -100 *5695:240 *27121:A1 0.000251087 -101 *5695:252 *27121:A1 4.27935e-05 -102 *5695:252 *5781:10 0.000611335 -103 *24824:A *25739:A0 8.55276e-05 -104 *24833:A *26860:A0 6.20091e-06 -105 *25051:B1 *5695:110 2.61244e-05 -106 *25186:B2 *5695:133 9.82592e-05 -107 *25194:B1 *5695:133 7.74578e-05 -108 *25629:S *25629:A0 7.2394e-05 -109 *25631:S *26923:A0 0.00046599 -110 *25685:A1 *25739:A0 0.000229456 -111 *26860:S *26860:A0 0.000163309 -112 *26862:A0 *25739:A0 0.00125162 -113 *26862:A0 *5695:252 4.63017e-05 -114 *26946:A *5695:99 4.29471e-05 -115 *26946:A *5695:110 6.45257e-06 -116 *26976:A1 *5695:25 0.000434813 -117 *26976:A1 *5695:37 8.43535e-06 -118 *27058:A1 *5695:133 0.000630607 -119 *27112:A1 *26887:A0 5.6197e-05 -120 *27112:S *27112:A0 4.87953e-05 -121 *27114:S *26923:A0 0.000531784 -122 *27126:A *5695:67 0.000166761 -123 *27723:A2 *5695:80 0.000236171 -124 *27724:A1 *5695:186 0.000385059 -125 *27742:A1 *5695:124 8.1777e-05 -126 *27742:A1 *5695:133 0.00024892 -127 *28125:D *5695:99 9.41642e-05 -128 *28196:CLK *5695:183 5.33005e-05 -129 *28691:D *5695:16 0.0010857 -130 *29085:A *25739:A0 0.000771724 -131 *29153:A *25739:A0 0.000632624 -132 *29410:A *25739:A0 6.48686e-05 -133 *29414:A *5695:67 0.000135028 -134 *29970:A *5695:67 9.41642e-05 -135 *29974:A *26986:A0 0.00022266 -136 *29974:A *27190:A0 0.000357054 -137 *29975:A *27172:A0 0.000348207 -138 *29981:A *26986:A0 9.46929e-05 -139 *30006:A *25739:A0 5.52238e-05 -140 *30026:A *5695:99 0.00050829 -141 *30415:A *26887:A0 7.74018e-05 -142 *30559:A *25739:A0 0.0013049 -143 *30559:A *5695:252 0.000573099 -144 *30863:A *25739:A0 3.9004e-05 -145 *61:14 *5695:252 1.89507e-06 -146 *62:10 *26860:A0 1.89507e-06 -147 *554:20 *26860:A0 0 -148 *778:15 *5695:16 0 -149 *778:15 *5695:25 4.8347e-06 -150 *889:22 *5695:25 0.00014183 -151 *970:16 *5695:46 6.09762e-05 -152 *970:16 *5695:252 0 -153 *978:14 *5695:25 7.97063e-05 -154 *978:14 *5695:37 0.000260574 -155 *978:14 *5695:252 0.000311347 -156 *1018:16 *5695:43 0.000172745 -157 *1018:16 *5695:240 6.05161e-06 -158 *1026:19 *5695:240 9.31011e-05 -159 *1026:19 *5695:252 2.59355e-05 -160 *1514:13 *5695:133 7.69776e-06 -161 *1835:90 *25739:A0 0.000340114 -162 *1853:38 *25629:A0 6.31055e-05 -163 *1853:38 *5695:99 4.79414e-05 -164 *1853:64 *26923:A0 4.88901e-05 -165 *2756:66 *26860:A0 2.68526e-05 -166 *2756:72 *25739:A0 0.000104974 -167 *2756:93 *25739:A0 0.000372104 -168 *2759:31 *25629:A0 4.88898e-05 -169 *2763:169 *26923:A0 0.000273549 -170 *2766:14 *5695:80 0.000410741 -171 *2770:127 *5695:67 0.000466515 -172 *2771:22 *26923:A0 4.19624e-06 -173 *2784:162 *5695:124 0.000715986 -174 *2786:136 *5695:67 0.000358977 -175 *2791:163 *26923:A0 0.00116167 -176 *2888:291 *5695:124 3.65038e-05 -177 *3153:300 *26923:A0 2.41653e-05 -178 *3165:85 *5695:133 0.000161726 -179 *3185:229 *26923:A0 1.93754e-05 -180 *3185:229 *5695:110 2.56981e-05 -181 *3215:309 *5695:80 0 -182 *3215:309 *5695:99 0 -183 *3291:17 *5695:124 0.000339782 -184 *3291:17 *5695:133 1.08691e-05 -185 *3582:44 *5695:55 0.000136727 -186 *3582:121 *5695:110 6.58294e-06 -187 *3604:8 *5695:55 0 -188 *3604:92 *5695:50 0 -189 *3604:110 *5695:37 0 -190 *3607:22 *5695:110 9.25025e-05 -191 *3607:26 *5695:110 2.39876e-05 -192 *3607:76 *5695:110 2.1599e-05 -193 *3607:98 *25629:A0 8.45492e-06 -194 *3607:98 *5695:99 4.11218e-05 -195 *3692:45 *5695:133 4.11725e-05 -196 *3692:47 *5695:133 0.000139416 -197 *3705:22 *5695:133 4.22135e-06 -198 *3733:31 *26923:A0 0.00208632 -199 *3757:75 *5695:110 0 -200 *3770:21 *5695:99 0.000167375 -201 *3822:85 *5695:133 0.000127806 -202 *3822:104 *5695:133 0.000421074 -203 *3848:13 *5695:37 9.85424e-06 -204 *3848:14 *26887:A0 0.000222548 -205 *3848:95 *5695:37 1.89507e-06 -206 *3887:17 *5695:133 0.000576109 -207 *3913:16 *5695:46 0 -208 *3913:16 *5695:50 1.77757e-05 -209 *3913:21 *5695:50 0.000170654 -210 *3913:21 *5695:55 0.000708118 -211 *3915:19 *5695:124 9.58487e-05 -212 *3924:18 *27199:A0 0.000570226 -213 *3926:29 *5695:80 0.000483582 -214 *3939:102 *5695:110 0.000449602 -215 *3939:102 *5695:124 4.29471e-05 -216 *3991:52 *5695:99 3.34295e-05 -217 *3991:71 *25629:A0 9.67519e-05 -218 *3991:71 *5695:99 0.000318491 -219 *4030:18 *26914:A0 0.000123295 -220 *4030:18 *5695:55 0.000247063 -221 *4030:18 *5695:183 0.000145625 -222 *4056:18 *5695:67 0.000284807 -223 *4095:33 *26923:A0 0.00054697 -224 *4134:6 *5695:133 1.45299e-05 -225 *4803:18 *26860:A0 6.57032e-05 -226 *4854:17 *5695:46 0.000155558 -227 *4854:17 *5695:48 5.53591e-05 -228 *4854:17 *5695:50 4.09312e-05 -229 *4924:17 *25739:A0 0.000498731 -230 *5179:16 *25739:A0 0.00112202 -231 *5451:23 *26860:A0 0 -232 *5462:55 *25739:A0 3.36755e-05 -233 *5544:11 *5695:16 4.84847e-05 -234 *5544:11 *5695:240 2.24195e-05 -235 *5584:194 *5695:67 9.90367e-05 -236 *5584:194 *5695:80 8.17274e-05 -237 *5607:82 *5695:133 0.000363198 -238 *5627:237 *5695:124 0.000220039 -239 *5627:251 *5695:124 0.000173073 -240 *5631:71 *5695:133 0.000176049 -241 *5635:165 *5695:133 0.000245185 -242 *5638:195 *5695:99 6.11577e-05 -243 *5639:168 *26923:A0 9.55721e-06 -244 *5639:199 *26923:A0 0.000103756 -245 *5639:199 *5695:110 0.000127934 -246 *5639:199 *5695:124 4.00349e-05 -247 *5640:267 *27112:A0 0.000140933 -248 *5640:267 *5695:186 0.000862196 -249 *5645:235 *5695:124 4.50843e-05 -250 *5645:235 *5695:133 0.000163727 -251 *5656:350 *26887:A0 0.000113056 -252 *5663:222 *26923:A0 0.00035291 -253 *5668:31 *5695:183 1.76755e-05 -254 *5668:50 *5695:99 8.36715e-05 -255 *5669:278 *5695:183 0.000292704 -256 *5680:40 *25739:A0 0.000208334 -257 *5682:84 *26923:A0 5.00194e-05 -258 *5682:84 *5695:110 0.000409527 -259 *5682:141 *26923:A0 0.000183713 -260 *5683:29 *5695:252 0.00052331 -261 *5683:32 *26860:A0 5.05056e-05 -262 *5683:57 *5695:67 0.00026353 -*RES -1 *28986:X *5695:4 9.3 -2 *5695:4 *27199:A0 15.175 -3 *5695:4 *5695:16 22.8214 -4 *5695:16 *5695:25 11.5536 -5 *5695:25 *27067:A0 9.3 -6 *5695:25 *5695:37 16.5357 -7 *5695:37 *27121:A0 9.3 -8 *5695:37 *5695:43 3.82143 -9 *5695:43 *5695:46 9.48214 -10 *5695:46 *5695:48 1.64286 -11 *5695:48 *5695:50 5.58929 -12 *5695:50 *5695:55 15.0893 -13 *5695:55 *5695:67 37.6607 -14 *5695:67 *27148:A0 9.3 -15 *5695:67 *5695:80 18.375 -16 *5695:80 *5695:99 28.2877 -17 *5695:99 *5695:110 18.4866 -18 *5695:110 *5695:124 29.6291 -19 *5695:124 *5695:133 48.7679 -20 *5695:133 *27058:A0 9.3 -21 *5695:124 *27103:A0 13.8 -22 *5695:110 *26923:A0 30.7961 -23 *5695:99 *25629:A0 18.6253 -24 *5695:80 *5695:183 24.375 -25 *5695:183 *5695:186 12.8393 -26 *5695:186 *27112:A0 10.6571 -27 *5695:186 *26887:A0 27.8357 -28 *5695:183 *26914:A0 15.4429 -29 *5695:55 *27208:A0 9.3 -30 *5695:50 *5695:213 4.5 -31 *5695:213 *27190:A0 12.7107 -32 *5695:213 *26986:A0 11.4786 -33 *5695:48 *27172:A0 24.6036 -34 *5695:46 *26950:A1 14.7464 -35 *5695:43 *5695:240 2.58929 -36 *5695:240 *27130:A0 9.3 -37 *5695:240 *5695:252 17.8307 -38 *5695:252 *26860:A0 22.7904 -39 *5695:252 *25739:A0 44.6403 -40 *5695:16 *27076:A0 13.8 -*END - -*D_NET *5696 0.0440711 -*CONN -*I *25684:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27181:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27004:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27040:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27031:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26959:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26977:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27022:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27013:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26941:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26905:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26995:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28987:X O *D sky130_fd_sc_hd__clkbuf_4 -*CAP -1 *25684:A1 0.00119573 -2 *27181:A0 0.000956809 -3 *27004:A0 0 -4 *27040:A0 0.000203596 -5 *27031:A0 0.000435551 -6 *26959:A0 0 -7 *26977:A0 0.00036884 -8 *27022:A1 6.53187e-05 -9 *27013:A0 0 -10 *26941:A0 0.000101593 -11 *26905:A0 0.000676592 -12 *26995:A0 0 -13 *28987:X 0 -14 *5696:77 0.00362157 -15 *5696:59 0.00351998 -16 *5696:44 0.000866426 -17 *5696:42 0.000382029 -18 *5696:39 0.000759138 -19 *5696:27 0.000439034 -20 *5696:26 0.00108689 -21 *5696:21 0.000802863 -22 *5696:17 0.000339844 -23 *5696:11 0.00128894 -24 *5696:6 0.00222615 -25 *5696:5 0.00139242 -26 *25684:A1 *5847:8 0.000573689 -27 *25684:A1 *5892:6 0 -28 *25684:A1 *6228:10 0.000110199 -29 *26941:A0 *5810:17 0.000137983 -30 *26941:A0 *5810:34 1.5424e-05 -31 *27022:A1 *28197:D 6.57032e-05 -32 *5696:6 *5847:8 0.000223687 -33 *5696:6 *5892:6 0 -34 *5696:11 *5776:64 6.6289e-05 -35 *5696:11 *5847:8 0.00103993 -36 *5696:11 *5847:12 0.000805583 -37 *5696:26 *28197:D 0.000103974 -38 *5696:26 *5773:155 9.65171e-05 -39 *5696:26 *5773:164 3.60349e-05 -40 *5696:39 *28509:RESET_B 4.70821e-05 -41 *5696:42 *28197:D 0.000284443 -42 *5696:77 *5773:23 1.90936e-05 -43 *5696:77 *5801:19 0.000135334 -44 *5696:77 *5847:12 4.99283e-06 -45 *5696:77 *5873:5 0.000428493 -46 *5696:77 *5873:26 0.000136951 -47 *5696:77 *5911:165 0.000286115 -48 *6419:DIODE *26977:A0 0.00022266 -49 *24824:A *25684:A1 9.0145e-05 -50 *25194:A2 *5696:77 0.000359465 -51 *25381:A2 *26905:A0 0.00021356 -52 *25381:A2 *5696:26 0.000833046 -53 *25381:A2 *5696:42 0.000330086 -54 *25381:A2 *5696:44 0.000421481 -55 *25381:C1 *5696:77 0.000549154 -56 *25684:A0 *25684:A1 5.71472e-05 -57 *25727:S *5696:39 0.000112667 -58 *26919:A *5696:77 5.12344e-05 -59 *26937:B *26941:A0 0.000180777 -60 *26941:A1 *26941:A0 0.000303362 -61 *26943:A0 *5696:77 9.08134e-05 -62 *26977:S *26977:A0 6.05161e-06 -63 *26995:S *5696:11 9.80173e-05 -64 *26995:S *5696:17 8.76257e-05 -65 *27004:S *5696:21 0.000178847 -66 *27004:S *5696:26 0.00099877 -67 *27013:A1 *5696:77 0.000230677 -68 *27013:S *26905:A0 0.00010047 -69 *27013:S *5696:44 2.09413e-05 -70 *27013:S *5696:77 5.33005e-05 -71 *27031:S *5696:77 1.46617e-05 -72 *27040:A1 *27040:A0 0.0005993 -73 *27040:A1 *5696:21 0.000309266 -74 *27040:S *27040:A0 0.000304394 -75 *27181:A1 *27181:A0 0.000506284 -76 *28170:CLK *5696:26 0.000451148 -77 *28197:CLK *27022:A1 6.05161e-06 -78 *28738:D *27181:A0 0.00129019 -79 *29093:A *5696:6 5.29648e-05 -80 *29093:A *5696:11 6.04069e-05 -81 *29416:A *27181:A0 8.25843e-06 -82 *29813:A *27181:A0 8.91726e-05 -83 *30144:A *5696:17 1.21289e-05 -84 *30168:A *5696:11 0.000225307 -85 *30357:A *5696:77 9.54798e-06 -86 *68:11 *25684:A1 0 -87 *244:65 *5696:77 0.000372244 -88 *244:166 *27031:A0 0.000219711 -89 *244:166 *5696:27 0.000216755 -90 *244:172 *27031:A0 0.000379583 -91 *890:10 *26977:A0 0.000383661 -92 *890:10 *5696:39 6.44562e-05 -93 *1835:90 *25684:A1 0.000175512 -94 *1853:77 *5696:39 0.000209801 -95 *2764:89 *5696:26 1.08359e-05 -96 *2764:92 *5696:39 0.000313458 -97 *2765:18 *5696:77 6.77479e-05 -98 *2773:60 *26905:A0 4.11218e-05 -99 *2775:99 *5696:77 0.000135737 -100 *2856:325 *5696:77 4.2077e-05 -101 *2891:311 *5696:77 1.8995e-06 -102 *3612:6 *5696:26 7.83587e-05 -103 *3718:27 *5696:17 0.000362972 -104 *3718:27 *5696:21 4.58194e-05 -105 *3718:147 *5696:42 1.50087e-05 -106 *3718:147 *5696:44 0.000131261 -107 *3718:147 *5696:77 0.000303965 -108 *3744:5 *26905:A0 0.000301527 -109 *3744:20 *5696:77 0.000174127 -110 *3770:11 *5696:11 9.60939e-05 -111 *3770:11 *5696:17 0.000860301 -112 *3770:11 *5696:21 1.5424e-05 -113 *3783:24 *5696:11 0.000125724 -114 *3891:7 *5696:77 9.83442e-05 -115 *3967:18 *5696:77 0.000138171 -116 *4045:18 *5696:11 0.0011874 -117 *4069:17 *5696:77 0.00021084 -118 *4095:11 *26977:A0 6.26276e-05 -119 *4095:11 *5696:39 1.33343e-05 -120 *4455:11 *27022:A1 4.58194e-05 -121 *4465:17 *26905:A0 1.36251e-05 -122 *4743:10 *26905:A0 1.69115e-05 -123 *4781:15 *25684:A1 0.00088361 -124 *5191:15 *27181:A0 0.00018372 -125 *5435:16 *5696:77 0 -126 *5461:28 *26905:A0 0.00017309 -127 *5586:84 *5696:26 1.30327e-05 -128 *5595:69 *5696:77 0.000470988 -129 *5637:43 *5696:77 6.45416e-05 -130 *5645:235 *5696:77 0 -131 *5659:15 *26905:A0 0.00017309 -132 *5680:89 *27040:A0 2.42516e-05 -133 *5680:89 *5696:21 2.05612e-05 -134 *5682:197 *5696:77 0.000255764 -*RES -1 *28987:X *5696:5 13.8 -2 *5696:5 *5696:6 5.28571 -3 *5696:6 *5696:11 42 -4 *5696:11 *26995:A0 9.3 -5 *5696:11 *5696:17 8.33929 -6 *5696:17 *5696:21 3.83929 -7 *5696:21 *5696:26 27.0357 -8 *5696:26 *5696:27 2.17857 -9 *5696:27 *5696:39 16.2321 -10 *5696:39 *5696:42 8.875 -11 *5696:42 *5696:44 5.58929 -12 *5696:44 *26905:A0 33.7821 -13 *5696:44 *5696:59 4.5 -14 *5696:59 *5696:77 49.8179 -15 *5696:77 *26941:A0 12.7107 -16 *5696:59 *27013:A0 9.3 -17 *5696:42 *27022:A1 15.1571 -18 *5696:39 *26977:A0 17.7821 -19 *5696:27 *26959:A0 9.3 -20 *5696:26 *27031:A0 15.175 -21 *5696:21 *27040:A0 15.175 -22 *5696:17 *27004:A0 9.3 -23 *5696:6 *27181:A0 41.3179 -24 *5696:5 *25684:A1 41.6393 -*END - -*D_NET *5697 0.0150332 -*CONN -*I *29880:A I *D sky130_fd_sc_hd__buf_8 -*I *28988:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *29880:A 0 -2 *28988:X 0.00192235 -3 *5697:23 0.0011846 -4 *5697:16 0.00146615 -5 *5697:11 0.00220389 -6 *5697:11 *25497:A 0.000263524 -7 *5697:11 *25497:B 5.33005e-05 -8 *5697:11 *28988:A 9.60875e-05 -9 *5697:11 *5729:41 6.8646e-06 -10 *5697:11 *5729:60 2.8266e-06 -11 *5697:11 *5778:122 4.92096e-05 -12 *5697:11 *5823:32 0.000137983 -13 *5697:23 *5760:32 0.00058528 -14 *5697:23 *5780:61 1.18064e-05 -15 *5697:23 *6354:8 3.4323e-05 -16 *25765:B *5697:23 0.000360102 -17 *25896:S *5697:23 6.95205e-05 -18 *26553:A1 *5697:11 4.00908e-05 -19 *26587:A *5697:16 0.000159313 -20 *26587:A *5697:23 0.00125648 -21 *28243:CLK *5697:11 0.000529176 -22 *28850:A *5697:11 0.000307902 -23 *29337:A *5697:23 0.000321257 -24 *29801:A *5697:23 7.48091e-05 -25 *1867:16 *5697:23 0.000375211 -26 *1884:56 *5697:11 0.000188984 -27 *2100:29 *5697:16 0.000385716 -28 *2613:13 *5697:23 0.000388153 -29 *3581:26 *5697:16 3.29297e-05 -30 *3581:28 *5697:16 0.000220985 -31 *3581:33 *5697:16 0.00046656 -32 *3581:33 *5697:23 0.000543924 -33 *3583:24 *5697:23 0 -34 *3592:50 *5697:11 0.000122943 -35 *3656:8 *5697:23 0.000200406 -36 *3656:84 *5697:23 0.000325965 -37 *3656:93 *5697:23 0.000371601 -38 *3656:104 *5697:23 9.62638e-05 -39 *5111:13 *5697:23 0.000176669 -*RES -1 *28988:X *5697:11 46.0679 -2 *5697:11 *5697:16 14.0982 -3 *5697:16 *5697:23 46.6518 -4 *5697:23 *29880:A 9.3 -*END - -*D_NET *5698 0.112236 -*CONN -*I *25667:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25933:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27156:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25673:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25945:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25637:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25649:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25762:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *26820:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26814:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25661:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25756:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25957:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25596:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25610:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25921:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25619:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25831:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *28019:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25909:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28989:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *25667:A0 0 -2 *25933:A0 3.78758e-05 -3 *27156:A1 0 -4 *25673:A0 8.82063e-05 -5 *25945:A1 0.000859653 -6 *25637:A1 0.000299523 -7 *25649:A1 0 -8 *25762:A1 0.000104805 -9 *26820:A0 0 -10 *26814:A0 0.000185267 -11 *25661:A1 0.000390201 -12 *25756:A1 0.000866956 -13 *25957:A0 0.000889923 -14 *25596:A1 0.000354712 -15 *25610:A1 0 -16 *25921:A0 0 -17 *25619:A1 0 -18 *25831:A1 9.98641e-05 -19 *28019:A0 0.000533038 -20 *25909:A0 0.000742636 -21 *28989:X 0.000209551 -22 *5698:310 0.00177893 -23 *5698:292 0.00150752 -24 *5698:285 0.00126428 -25 *5698:256 0.00178397 -26 *5698:203 0.00203376 -27 *5698:179 0.00261663 -28 *5698:165 0.00271404 -29 *5698:156 0.0039258 -30 *5698:143 0.00228539 -31 *5698:114 0.0018524 -32 *5698:109 0.00204429 -33 *5698:106 0.00333564 -34 *5698:90 0.00143105 -35 *5698:87 0.00270461 -36 *5698:78 0.00155036 -37 *5698:60 0.000629661 -38 *5698:55 0.0017611 -39 *5698:36 0.00378067 -40 *5698:30 0.00143794 -41 *5698:26 0.00171195 -42 *5698:9 0.00183864 -43 *25661:A1 *28151:RESET_B 0.000262217 -44 *25756:A1 *28798:RESET_B 2.82057e-05 -45 *25756:A1 *29577:A 0 -46 *25756:A1 *5734:175 0.00010948 -47 *25756:A1 *6323:10 0.000971699 -48 *25762:A1 *6225:17 0.000136676 -49 *25909:A0 *5711:47 5.94896e-06 -50 *25909:A0 *5735:8 0.000175892 -51 *25909:A0 *6323:10 0.000211588 -52 *25945:A1 *25836:A1 0.000196269 -53 *25957:A0 *28397:SET_B 0.000164282 -54 *25957:A0 *5738:223 0.000311946 -55 *26814:A0 *5730:11 7.69776e-06 -56 *26814:A0 *5778:219 0.000139215 -57 *5698:9 *28989:A 4.08637e-05 -58 *5698:9 *5699:140 0.000262498 -59 *5698:26 *5718:174 0.00188942 -60 *5698:36 *6323:10 0.000392211 -61 *5698:55 *28018:A0 0.000207237 -62 *5698:60 *5711:47 0.000135028 -63 *5698:87 *28018:A0 0.000158893 -64 *5698:87 *5711:39 0.000136958 -65 *5698:87 *5731:61 1.49615e-05 -66 *5698:90 *5705:44 0 -67 *5698:106 *5705:44 0 -68 *5698:106 *5705:48 0.00017773 -69 *5698:114 *28151:RESET_B 5.20817e-05 -70 *5698:114 *5730:142 0 -71 *5698:156 *29533:A 0.000562739 -72 *5698:156 *29577:A 0 -73 *5698:165 *27593:A2 0.00105236 -74 *5698:165 *29577:A 0.000111641 -75 *5698:165 *5926:221 0.000204854 -76 *5698:179 *5705:169 2.59024e-05 -77 *5698:179 *6385:32 0.000229915 -78 *5698:256 *5705:48 0.000195507 -79 *5698:256 *5778:219 0.000488692 -80 *5698:285 *5705:22 0.000100555 -81 *5698:285 *5705:26 0 -82 *5698:285 *5733:117 0 -83 *5698:292 *25635:A1 0.000171368 -84 *5698:292 *5705:22 0.000194105 -85 *5698:310 *25635:A1 0.000188741 -86 *5698:310 *28160:RESET_B 1.58163e-05 -87 *5698:310 *5705:16 0.000134014 -88 *5698:310 *5705:22 0.000133005 -89 *5698:310 *5733:157 9.1025e-05 -90 pll_div[3] *5698:114 0 -91 pll_div[3] *5698:143 3.21438e-05 -92 pll_sel[0] *5698:156 0.000435557 -93 *25267:B2 *28019:A0 0.000244716 -94 *25267:B2 *5698:55 0.000147125 -95 *25270:A1 *5698:203 0.000722311 -96 *25279:A2 *5698:26 6.99087e-05 -97 *25279:B2 *5698:36 0.000125616 -98 *25311:A1 *25957:A0 8.55871e-05 -99 *25341:B2 *5698:179 0.000168476 -100 *25359:A2 *5698:156 0.00149026 -101 *25359:A2 *5698:165 7.35577e-05 -102 *25393:C1 *5698:26 0.000373137 -103 *25406:A2 *5698:179 0.000126742 -104 *25546:A0 *25945:A1 0.00013073 -105 *25594:A0 *5698:179 4.75956e-06 -106 *25600:A1 *5698:179 0.0011555 -107 *25610:A0 *5698:165 2.59355e-05 -108 *25610:A0 *5698:179 6.57032e-05 -109 *25617:A0 *5698:109 0.000486541 -110 *25618:S *5698:114 0.000128813 -111 *25618:S *5698:256 0.000267137 -112 *25619:S *5698:114 0.000164174 -113 *25619:S *5698:143 7.52065e-05 -114 *25648:S *5698:285 0.00022123 -115 *25661:S *25661:A1 5.52302e-05 -116 *25663:S *5698:114 0.00036034 -117 *25667:S *5698:9 0.000497806 -118 *25670:B *25673:A0 5.33005e-05 -119 *25831:S *25831:A1 2.89016e-05 -120 *25832:A0 *5698:90 0 -121 *25832:S *5698:87 0.000175892 -122 *25836:A0 *25945:A1 6.05161e-06 -123 *25907:A1 *5698:55 0.000183444 -124 *25909:A1 *25909:A0 5.52238e-05 -125 *25909:S *25909:A0 5.04589e-05 -126 *25921:S *5698:156 0 -127 *25945:S *25945:A1 3.93247e-05 -128 *26817:A *5698:156 0.000611782 -129 *27540:A *5698:26 2.393e-05 -130 *27541:A2 *5698:26 1.89939e-05 -131 *27541:B1 *5698:26 0.000117234 -132 *27548:A1 *25933:A0 2.37302e-05 -133 *27548:A1 *5698:36 0.000389748 -134 *27576:A2 *5698:36 8.51637e-06 -135 *27576:C1 *5698:36 0.000584854 -136 *27855:B2 *5698:26 0.0008903 -137 *27897:A1 *5698:26 1.3409e-05 -138 *27919:A2 *5698:203 9.25014e-06 -139 *28018:A1 *5698:55 0.000181381 -140 *28021:A1 *5698:55 0.000222238 -141 *28098:CLK *5698:179 8.6229e-06 -142 *28113:CLK *25596:A1 7.25013e-05 -143 *28141:D *5698:285 0.000441534 -144 *28150:D *5698:109 0.000121075 -145 *28284:CLK *25831:A1 9.90431e-05 -146 *28284:CLK *5698:90 7.98494e-05 -147 *28284:CLK *5698:106 4.38128e-05 -148 *28284:D *5698:106 0.00069211 -149 *28285:D *5698:285 0.000128154 -150 *28355:D *5698:55 0.000180777 -151 *28358:D *5698:55 0.000184745 -152 *28365:D *5698:114 1.448e-05 -153 *28375:D *25909:A0 0.000211588 -154 *28375:D *5698:36 0.000152246 -155 *28377:D *5698:30 7.74068e-05 -156 *28390:D *5698:165 8.61109e-05 -157 *28390:D *5698:179 0.000136958 -158 *28395:D *25957:A0 0.000167247 -159 *28398:CLK *25957:A0 0.000339346 -160 *28418:D *5698:256 0.000576973 -161 *28425:D *5698:143 0.000606412 -162 *28716:CLK *5698:87 0.000236871 -163 *28716:D *5698:87 0.000351604 -164 *28832:CLK *25661:A1 8.17748e-05 -165 *29150:A *25957:A0 4.22135e-06 -166 *29193:A *5698:106 0.000339573 -167 *29309:A *5698:256 0.000253076 -168 *29326:A *25957:A0 6.53397e-05 -169 *29442:A *5698:90 4.84486e-05 -170 *29442:A *5698:106 0.000420539 -171 *29518:A *25596:A1 0 -172 *30015:A *5698:106 9.25014e-06 -173 *30029:A *5698:90 0 -174 *30068:A *5698:179 2.09897e-05 -175 *30081:A *5698:55 0.000221822 -176 *30082:A *5698:55 5.52238e-05 -177 *30571:A *28019:A0 8.3e-05 -178 *30572:A *5698:55 9.92409e-05 -179 *30919:A *5698:114 7.74068e-05 -180 *30920:A *5698:156 0.000235266 -181 *460:33 *25661:A1 2.14658e-05 -182 *467:18 *5698:256 8.60609e-05 -183 *506:24 *5698:109 0.00147595 -184 *564:19 *28019:A0 0.00022459 -185 *565:17 *25756:A1 0.000175892 -186 *568:21 *5698:106 0.000301737 -187 *570:26 *5698:106 0 -188 *571:19 *5698:106 8.79856e-06 -189 *572:19 *5698:106 6.67967e-05 -190 *699:22 *25661:A1 0.00029649 -191 *699:22 *5698:109 0.00189165 -192 *701:29 *5698:256 0.000522756 -193 *755:18 *5698:256 0.000202762 -194 *1139:12 *5698:165 1.33846e-05 -195 *1182:43 *25945:A1 2.22618e-05 -196 *1227:44 *25957:A0 2.2628e-05 -197 *1240:49 *5698:179 2.02078e-05 -198 *1250:187 *25957:A0 0.000122606 -199 *1264:41 *5698:143 0.000264428 -200 *1281:30 *25957:A0 0.000340995 -201 *1395:61 *5698:55 0.000865852 -202 *1408:28 *5698:36 0 -203 *1427:142 *25945:A1 0.000600018 -204 *1430:29 *28019:A0 0.000245378 -205 *1455:11 *5698:203 0.000145327 -206 *1457:51 *28019:A0 0.000251754 -207 *1498:49 *25596:A1 0.000233756 -208 *1504:16 *5698:203 0.00197169 -209 *1600:9 *25831:A1 0.000307349 -210 *1600:9 *5698:106 0.000137983 -211 *1600:41 *25957:A0 0.000768426 -212 *1616:23 *5698:165 4.00349e-05 -213 *1616:34 *5698:156 0.00157843 -214 *1616:34 *5698:165 0.000204202 -215 *1671:24 *25756:A1 0 -216 *1721:10 *5698:203 6.5919e-05 -217 *1721:14 *25957:A0 1.02366e-05 -218 *1721:14 *5698:203 2.52223e-05 -219 *1815:34 *25596:A1 4.11173e-05 -220 *1815:34 *5698:203 0.000202165 -221 *1824:38 *5698:179 2.05612e-05 -222 *1824:40 *5698:165 0.000669211 -223 *1824:40 *5698:179 4.08637e-05 -224 *1829:50 *5698:292 0.000322521 -225 *1829:50 *5698:310 0.000950647 -226 *1829:56 *5698:285 3.90513e-05 -227 *1829:56 *5698:292 0.000623692 -228 *1831:26 *5698:114 0.000141711 -229 *1831:38 *5698:109 2.3695e-05 -230 *1833:43 *25945:A1 0.00108018 -231 *1876:8 *5698:285 0 -232 *1876:12 *5698:285 0 -233 *1876:13 *5698:87 0.000228558 -234 *2743:12 *5698:256 0.000469291 -235 *2745:24 *5698:256 1.41885e-05 -236 *2745:33 *5698:256 0.000200561 -237 *2789:8 *28019:A0 0.00016634 -238 *2789:8 *5698:78 0.000145411 -239 *2845:37 *5698:26 8.81577e-05 -240 *2845:45 *5698:26 0.000140424 -241 *2867:49 *5698:9 2.05612e-05 -242 *2867:49 *5698:26 7.65812e-05 -243 *2867:51 *5698:26 8.55871e-05 -244 *3096:15 *5698:26 6.09121e-05 -245 *3099:17 *5698:26 0.000399206 -246 *3103:17 *5698:36 0 -247 *3129:10 *5698:26 0 -248 *3129:10 *5698:30 0 -249 *3145:32 *5698:165 0.0025364 -250 *3173:35 *25957:A0 1.90936e-05 -251 *3191:47 *25957:A0 9.82592e-05 -252 *3571:53 *5698:36 0 -253 *3571:55 *25756:A1 0 -254 *3571:60 *25756:A1 0 -255 *3584:13 *5698:310 3.69047e-06 -256 *3648:107 *25957:A0 1.52978e-05 -257 *3652:87 *5698:114 0 -258 *3652:97 *5698:114 0 -259 *3653:26 *5698:106 5.278e-05 -260 *3653:52 *5698:256 0.000169917 -261 *3653:54 *5698:256 1.94945e-05 -262 *3653:56 *5698:256 5.05056e-05 -263 *3654:6 *5698:285 0.000565193 -264 *3654:13 *5698:285 9.91086e-05 -265 *3654:18 *5698:90 0.000131491 -266 *3654:18 *5698:285 9.72343e-05 -267 *3654:20 *5698:90 0.000100969 -268 *3671:75 *5698:36 0.000194881 -269 *3671:77 *5698:36 0.000446239 -270 *3672:21 *25909:A0 0.000402495 -271 *3736:51 *5698:26 7.4602e-05 -272 *3750:20 *25945:A1 0.000121556 -273 *3779:26 *5698:55 0.000125547 -274 *3779:45 *5698:26 0.000427566 -275 *3779:45 *5698:30 1.06693e-05 -276 *3791:148 *5698:179 0.000149549 -277 *3793:25 *25661:A1 5.03772e-05 -278 *3804:58 *28019:A0 8.34352e-05 -279 *3804:58 *5698:55 0.000145411 -280 *3806:25 *5698:106 1.81709e-05 -281 *3843:51 *5698:26 4.01691e-05 -282 *3866:11 *25637:A1 0.000130718 -283 *3875:51 *5698:203 0.00117948 -284 *3884:53 *25756:A1 0.000542899 -285 *3893:8 *25661:A1 0.000104377 -286 *3893:41 *5698:106 0.000342068 -287 *3905:11 *5698:310 5.52238e-05 -288 *3973:10 *28019:A0 2.95642e-05 -289 *3973:10 *5698:78 0.000136788 -290 *3973:41 *5698:60 0.000136958 -291 *3983:24 *25661:A1 0.000215563 -292 *3983:24 *28019:A0 0.000537934 -293 *3983:24 *5698:87 0.00012401 -294 *4074:11 *5698:292 0.000146376 -295 *4129:34 *5698:90 9.44396e-05 -296 *4130:37 *5698:55 0.000184944 -297 *4139:28 *5698:55 0.000294616 -298 *4139:28 *5698:87 0.000307336 -299 *4258:16 *5698:106 5.28139e-05 -300 *4276:17 *5698:256 1.59371e-05 -301 *4310:10 *25596:A1 0.000427432 -302 *4310:10 *5698:203 0.000342793 -303 *4337:16 *26814:A0 4.08007e-05 -304 *4944:29 *5698:143 9.80173e-05 -305 *5002:18 *5698:106 2.76139e-05 -306 *5017:14 *28019:A0 0.000226513 -307 *5041:54 *5698:106 3.64479e-05 -308 *5052:19 *5698:203 2.14757e-05 -309 *5160:22 *26814:A0 0 -310 *5313:11 *25756:A1 7.18192e-05 -311 *5458:31 *5698:9 0.000210104 -312 *5458:31 *5698:26 0.000426141 -313 *5461:7 *25673:A0 1.65169e-05 -314 *5461:7 *5698:310 9.30313e-05 -315 *5483:44 *5698:114 9.46146e-05 -316 *5485:52 *5698:256 0.000139087 -317 *5485:102 *5698:165 0.000128569 -318 *5490:11 *5698:114 5.59382e-05 -319 *5490:39 *28019:A0 1.23184e-06 -320 *5490:39 *5698:114 0.000339858 -321 *5493:14 *25661:A1 5.20437e-05 -322 *5509:10 *25596:A1 0 -323 *5618:6 *25957:A0 0.000186405 -324 *5618:8 *25957:A0 6.8646e-06 -325 *5618:10 *25957:A0 7.79781e-06 -326 *5622:79 *5698:203 9.60875e-05 -327 *5665:97 *28019:A0 4.33438e-05 -328 *5665:204 *28019:A0 2.42445e-05 -329 *5685:151 *25661:A1 8.6545e-06 -330 *5685:151 *5698:109 8.21056e-05 -331 *5691:157 *25957:A0 1.11775e-05 -332 *5691:190 *5698:165 6.57032e-05 -*RES -1 *28989:X *5698:9 15.7286 -2 *5698:9 *5698:26 49.6489 -3 *5698:26 *5698:30 5.99107 -4 *5698:30 *5698:36 34.0714 -5 *5698:36 *25909:A0 24.9964 -6 *5698:36 *5698:55 45.7143 -7 *5698:55 *5698:60 10.3571 -8 *5698:60 *28019:A0 33.7773 -9 *5698:60 *5698:78 6.44643 -10 *5698:78 *5698:87 35.5179 -11 *5698:87 *5698:90 12.2143 -12 *5698:90 *25831:A1 12.3 -13 *5698:90 *5698:106 31.8447 -14 *5698:106 *5698:109 6.4838 -15 *5698:109 *5698:114 28.2225 -16 *5698:114 *25619:A1 9.3 -17 *5698:114 *5698:143 22.3571 -18 *5698:143 *25921:A0 9.3 -19 *5698:143 *5698:156 23.0749 -20 *5698:156 *5698:165 23.5443 -21 *5698:165 *25610:A1 9.3 -22 *5698:165 *5698:179 16.8995 -23 *5698:179 *25596:A1 22.1482 -24 *5698:179 *5698:203 49.0089 -25 *5698:203 *25957:A0 49.425 -26 *5698:156 *25756:A1 32.2375 -27 *5698:109 *25661:A1 24.3769 -28 *5698:106 *5698:256 46.3839 -29 *5698:256 *26814:A0 20.9964 -30 *5698:256 *26820:A0 9.3 -31 *5698:106 *25762:A1 15.1571 -32 *5698:87 *5698:285 22.6429 -33 *5698:285 *25649:A1 13.8 -34 *5698:285 *5698:292 13.1786 -35 *5698:292 *25637:A1 17.9429 -36 *5698:292 *5698:310 24.0179 -37 *5698:310 *25945:A1 41.3179 -38 *5698:310 *25673:A0 10.6571 -39 *5698:78 *27156:A1 9.3 -40 *5698:30 *25933:A0 9.83571 -41 *5698:9 *25667:A0 9.3 -*END - -*D_NET *5699 0.0751504 -*CONN -*I *25867:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25801:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28989:A I *D sky130_fd_sc_hd__buf_6 -*I *25951:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25939:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25873:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25643:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25837:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25655:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25774:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25825:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25879:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *28990:X O *D sky130_fd_sc_hd__buf_4 -*CAP -1 *25867:A1 2.76874e-05 -2 *25801:A0 4.18136e-05 -3 *28989:A 1.49752e-05 -4 *25951:A1 0.0012582 -5 *25939:A1 5.18506e-05 -6 *25873:A0 0 -7 *25643:A0 0.000286726 -8 *25837:A1 0 -9 *25655:A1 0.000617944 -10 *25774:A0 0 -11 *25825:A1 0.000308174 -12 *25879:A1 0.000173426 -13 *28990:X 0.000114253 -14 *5699:156 0.00288646 -15 *5699:147 0.00348917 -16 *5699:145 0.00250694 -17 *5699:140 0.00172736 -18 *5699:128 0.00234755 -19 *5699:106 0.00294557 -20 *5699:91 0.00191703 -21 *5699:66 0.0016924 -22 *5699:45 0.00041447 -23 *5699:41 0.00206449 -24 *5699:26 0.00185049 -25 *5699:17 0.00160317 -26 *5699:10 0.00113427 -27 *25643:A0 *6036:38 0.000205454 -28 *25655:A1 *28326:RESET_B 2.51837e-05 -29 *25655:A1 *5743:33 6.95688e-05 -30 *25825:A1 *5742:102 1.76755e-05 -31 *25825:A1 *5742:111 0.000123861 -32 *25879:A1 *5742:332 0.000192619 -33 *25951:A1 *28808:RESET_B 0.000219711 -34 *5699:10 *28990:A 9.66977e-05 -35 *5699:10 *5839:26 8.12645e-05 -36 *5699:17 *25842:A0 4.00222e-05 -37 *5699:17 *28293:RESET_B 0.000131643 -38 *5699:17 *5741:171 0.000785146 -39 *5699:17 *5889:28 1.77525e-05 -40 *5699:26 *5889:28 0.000269965 -41 *5699:41 *28330:RESET_B 0.000299865 -42 *5699:41 *5742:111 9.41642e-05 -43 *5699:41 *5743:95 8.51784e-05 -44 *5699:45 *5742:111 0.000351162 -45 *5699:106 *28022:A 5.22559e-05 -46 *5699:147 *5718:121 0.000367313 -47 *5699:156 *5933:17 0.000956237 -48 *5699:156 *6054:21 0.000134464 -49 *25279:A2 *5699:147 0.000175963 -50 *25400:A2 *25951:A1 2.04825e-05 -51 *25416:B2 *5699:41 0.000319548 -52 *25472:B1 *5699:106 0.00075475 -53 *25655:A0 *25655:A1 0.000443083 -54 *25774:A1 *5699:41 8.36572e-05 -55 *25774:A1 *5699:45 0.000309266 -56 *25867:A0 *5699:10 0.000125731 -57 *25873:A1 *5699:140 0.000633415 -58 *25873:S *5699:128 0.0022371 -59 *25873:S *5699:140 1.54142e-05 -60 *25874:S *5699:41 0.00016573 -61 *25876:A *5699:26 2.06178e-05 -62 *25879:S *25879:A1 5.33005e-05 -63 *25949:A0 *25951:A1 0.000197337 -64 *25949:S *25951:A1 0.000326371 -65 *25951:A0 *25951:A1 5.49544e-05 -66 *27487:B1 *5699:128 4.58194e-05 -67 *27529:A1 *5699:106 0 -68 *27548:A1 *5699:147 4.09646e-05 -69 *27852:B1 *5699:147 0.000170496 -70 *27883:A1 *5699:147 1.48981e-05 -71 *27913:A1 *5699:106 0.000107586 -72 *27924:A1 *5699:17 0.00026288 -73 *27924:A2 *5699:17 0.000336412 -74 *28163:CLK *25825:A1 5.52238e-05 -75 *28163:D *5699:66 0.000529608 -76 *28298:D *5699:17 1.02504e-05 -77 *28326:CLK *25655:A1 5.52302e-05 -78 *28326:D *25655:A1 7.16452e-05 -79 *28330:CLK *5699:41 0.000125246 -80 *29363:A *5699:41 0.000119179 -81 *29987:A *5699:147 0.000109774 -82 *30243:A *5699:26 6.89785e-05 -83 *30243:A *5699:41 8.18331e-05 -84 *30252:A *5699:17 9.41642e-05 -85 *30327:A *5699:66 0.00011333 -86 *30358:A *5699:10 3.43988e-06 -87 *374:18 *5699:41 0.000104173 -88 *406:8 *25655:A1 0.000142376 -89 *406:8 *5699:66 0.000220276 -90 *471:12 *5699:147 7.1461e-05 -91 *495:15 *25825:A1 3.47641e-06 -92 *499:10 *25655:A1 0.000150171 -93 *714:23 *5699:156 0.000566046 -94 *1263:88 *25939:A1 5.33005e-05 -95 *1263:122 *5699:156 6.42122e-06 -96 *1396:83 *5699:106 5.27061e-05 -97 *1427:143 *5699:41 0.000171415 -98 *1427:143 *5699:66 0 -99 *1453:17 *25951:A1 0.0014 -100 *1453:17 *5699:156 0.000326147 -101 *1471:12 *25951:A1 0.000149924 -102 *1739:34 *5699:41 2.06112e-05 -103 *1748:20 *5699:66 1.30914e-05 -104 *1748:20 *5699:106 0 -105 *1830:23 *25655:A1 5.33005e-05 -106 *1877:66 *5699:41 0.000101307 -107 *1887:31 *5699:128 0.000339346 -108 *1898:49 *25939:A1 2.59355e-05 -109 *2882:114 *5699:106 2.83129e-05 -110 *2889:254 *25643:A0 0.000115096 -111 *2889:254 *5699:106 0.000366845 -112 *2894:87 *25643:A0 0.00027717 -113 *3129:20 *5699:140 0.00148479 -114 *3145:14 *5699:145 0.00116001 -115 *3145:14 *5699:147 0.00102649 -116 *3164:150 *5699:17 0.000177679 -117 *3165:312 *5699:66 9.09957e-05 -118 *3165:314 *5699:41 0.000799932 -119 *3165:314 *5699:66 8.48485e-05 -120 *3191:54 *5699:147 7.4246e-05 -121 *3192:118 *5699:10 2.14378e-05 -122 *3411:32 *5699:147 8.55679e-05 -123 *3569:92 *25951:A1 0.000562836 -124 *3662:19 *5699:147 0 -125 *3676:5 *5699:17 0.00014183 -126 *3676:17 *25867:A1 9.41642e-05 -127 *3676:17 *5699:17 0.000251275 -128 *3710:28 *25825:A1 7.40526e-05 -129 *3713:45 *25643:A0 0.000113381 -130 *3713:45 *5699:106 0.000774269 -131 *3723:31 *5699:106 0.000276158 -132 *3723:34 *25643:A0 0.000203544 -133 *3789:14 *5699:147 0.000875397 -134 *3789:20 *5699:147 0.000303536 -135 *3827:21 *5699:147 0.000130377 -136 *3831:83 *5699:41 0 -137 *3910:43 *5699:147 0.00110535 -138 *3910:50 *5699:147 0.000460264 -139 *3910:51 *5699:140 0.00148479 -140 *3935:28 *5699:41 7.40571e-05 -141 *3935:32 *5699:41 2.89016e-05 -142 *4023:28 *5699:145 0.00101957 -143 *4064:12 *5699:66 0.000136751 -144 *4066:33 *25879:A1 0.000236944 -145 *4066:33 *5699:17 4.43256e-05 -146 *4088:79 *5699:147 0.000174573 -147 *4113:25 *5699:41 0 -148 *4117:32 *25867:A1 3.14078e-05 -149 *4117:32 *5699:17 0.000343049 -150 *4126:39 *5699:106 0.000109742 -151 *4126:84 *5699:41 0.000331699 -152 *4127:32 *5699:66 0.000396486 -153 *4139:53 *5699:147 0.000146457 -154 *4142:9 *5699:106 0 -155 *4164:153 *25655:A1 0.000699885 -156 *4186:20 *5699:106 0.00173234 -157 *4380:8 *25939:A1 6.47471e-05 -158 *4487:24 *25801:A0 3.44143e-05 -159 *5074:15 *5699:156 3.99136e-05 -160 *5154:10 *25951:A1 0.000344 -161 *5200:10 *5699:147 0.000190804 -162 *5253:28 *5699:41 0.000278042 -163 *5430:12 *5699:156 7.2249e-05 -164 *5458:31 *28989:A 5.33005e-05 -165 *5458:31 *5699:140 0.000260574 -166 *5467:14 *25643:A0 7.48751e-05 -167 *5488:79 *5699:156 0.000592925 -168 *5506:24 *25951:A1 0.000239722 -169 *5545:30 *5699:17 0.000137053 -170 *5545:50 *5699:26 0.000841684 -171 *5545:50 *5699:41 0.000395885 -172 *5583:11 *25655:A1 0.000135028 -173 *5583:11 *5699:91 0.000689875 -174 *5583:11 *5699:106 0.000135028 -175 *5599:125 *25939:A1 6.30931e-05 -176 *5624:58 *25951:A1 0.000141301 -177 *5624:63 *25951:A1 0.000226822 -178 *5651:189 *5699:10 9.25014e-06 -179 *5653:165 *5699:140 2.42516e-05 -180 *5653:171 *5699:128 0.000729818 -181 *5665:31 *25951:A1 0.000929133 -182 *5665:31 *5699:156 0.00179328 -183 *5665:268 *25951:A1 6.56019e-05 -184 *5666:75 *5699:17 0.000568176 -185 *5680:343 *5699:156 0.000212255 -186 *5691:252 *5699:156 0.00011563 -187 *5693:70 *25879:A1 0.000135028 -188 *5693:70 *5699:17 0.000685612 -189 *5698:9 *28989:A 4.08637e-05 -190 *5698:9 *5699:140 0.000262498 -*RES -1 *28990:X *5699:10 21.4964 -2 *5699:10 *5699:17 40.6071 -3 *5699:17 *25879:A1 14.3536 -4 *5699:17 *5699:26 15.8571 -5 *5699:26 *5699:41 46.9643 -6 *5699:41 *5699:45 3.83929 -7 *5699:45 *25825:A1 22.4607 -8 *5699:45 *25774:A0 9.3 -9 *5699:41 *5699:66 24 -10 *5699:66 *25655:A1 35.3 -11 *5699:66 *5699:91 6.69643 -12 *5699:91 *25837:A1 9.3 -13 *5699:91 *5699:106 27.3925 -14 *5699:106 *25643:A0 25.2958 -15 *5699:106 *5699:128 31.0714 -16 *5699:128 *25873:A0 9.3 -17 *5699:128 *5699:140 38.9643 -18 *5699:140 *5699:145 20.7946 -19 *5699:145 *5699:147 51.7321 -20 *5699:147 *5699:156 15.6381 -21 *5699:156 *25939:A1 18.6296 -22 *5699:156 *25951:A1 38.9649 -23 *5699:140 *28989:A 9.83571 -24 *5699:26 *25801:A0 14.3357 -25 *5699:10 *25867:A1 10.2464 -*END - -*D_NET *5700 0.152082 -*CONN -*I *6551:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27066:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27147:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *6552:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *26877:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27162:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27129:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25699:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *26832:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25747:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27207:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27084:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27039:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25891:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27102:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27138:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26841:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27075:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27093:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27048:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27030:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28990:A I *D sky130_fd_sc_hd__buf_4 -*I *28991:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *6551:DIODE 0 -2 *27066:A0 0.000122165 -3 *27147:A0 9.66194e-05 -4 *6552:DIODE 8.31973e-05 -5 *26877:A1 1.69531e-05 -6 *27162:A1 0.00143891 -7 *27129:A0 3.73731e-05 -8 *25699:A1 0.000189629 -9 *26832:A1 0.000974376 -10 *25747:A0 0 -11 *27207:A0 0.000212489 -12 *27084:A0 0 -13 *27039:A0 0 -14 *25891:A1 0.000481016 -15 *27102:A0 0.00033692 -16 *27138:A0 0.00099153 -17 *26841:A0 0 -18 *27075:A0 0.00103598 -19 *27093:A1 0 -20 *27048:A0 0 -21 *27030:A0 2.95455e-05 -22 *28990:A 0.000413979 -23 *28991:X 0 -24 *5700:353 0.000179024 -25 *5700:329 0.000974012 -26 *5700:308 0.002085 -27 *5700:271 0.00244845 -28 *5700:269 0.00199317 -29 *5700:260 0.00379743 -30 *5700:256 0.00410511 -31 *5700:250 0.00197298 -32 *5700:237 0.00212822 -33 *5700:174 0.00267425 -34 *5700:159 0.00209395 -35 *5700:149 0.00220667 -36 *5700:137 0.00207522 -37 *5700:132 0.00211805 -38 *5700:122 0.00114279 -39 *5700:118 0.000834825 -40 *5700:114 0.00119358 -41 *5700:111 0.00129791 -42 *5700:100 0.00111804 -43 *5700:98 0.00233961 -44 *5700:83 0.0022526 -45 *5700:77 0.00120577 -46 *5700:55 0.00307487 -47 *5700:50 0.00823985 -48 *5700:29 0.0106442 -49 *5700:28 0.00616167 -50 *5700:4 0.0021506 -51 *25891:A1 *25891:A0 0.000631303 -52 *26832:A1 *26832:A0 0.000400155 -53 *27066:A0 *27066:A1 5.52302e-05 -54 *27075:A0 *27075:A1 0.000334199 -55 *27075:A0 *6350:26 0.000227539 -56 *27138:A0 *28700:D 5.52238e-05 -57 *27162:A1 *27162:A0 1.83053e-05 -58 *27207:A0 *27207:A1 7.57673e-05 -59 *5700:28 *5832:27 0.00230692 -60 *5700:29 *24901:B 0.000202631 -61 *5700:29 *24915:B 0.000188798 -62 *5700:29 *5868:27 0.000135028 -63 *5700:50 *24959:B 0.00024619 -64 *5700:50 *26894:A0 8.04637e-05 -65 *5700:50 *5713:336 0.000102879 -66 *5700:50 *5936:125 3.38659e-05 -67 *5700:50 *6269:12 0.000120211 -68 *5700:55 *5707:100 0.000137113 -69 *5700:55 *5713:62 0 -70 *5700:55 *5713:84 0 -71 *5700:77 *5707:100 0.000316081 -72 *5700:83 *27048:A1 0.000339346 -73 *5700:111 *27354:A2 0.000237456 -74 *5700:111 *29488:A 0.000127439 -75 *5700:111 *29508:A 0.000114847 -76 *5700:122 *28652:RESET_B 1.94945e-05 -77 *5700:137 *27179:A1 8.69554e-05 -78 *5700:137 *5707:113 0.000208948 -79 *5700:137 *5981:45 0 -80 *5700:149 *29031:A 6.80387e-06 -81 *5700:159 *27093:A0 5.72966e-05 -82 *5700:174 *27093:A0 0.000200547 -83 *5700:174 *5757:31 0.00153633 -84 *5700:174 *5757:43 0.000641477 -85 *5700:174 *6320:17 1.90936e-05 -86 *5700:237 *5757:109 1.8995e-06 -87 *5700:250 *27296:A 0.000139907 -88 *5700:260 *25747:A1 1.21258e-05 -89 *5700:260 *28656:RESET_B 4.27935e-05 -90 *5700:269 *25747:A1 2.59355e-05 -91 *5700:271 *27049:A1 0 -92 *5700:308 *27048:A1 0.000177545 -93 *5700:353 *5707:91 1.14338e-05 -94 mgmt_gpio_oeb[0] *5700:271 2.49459e-05 -95 mgmt_gpio_oeb[1] *5700:271 1.49084e-05 -96 *24891:A *5700:29 4.00679e-05 -97 *24915:A *5700:50 3.06878e-06 -98 *24923:A *5700:29 0.000251627 -99 *24929:B *5700:29 0.000120604 -100 *24959:A *5700:50 5.74499e-06 -101 *25002:B *5700:29 2.12087e-05 -102 *25107:A1 *5700:29 6.57032e-05 -103 *25269:A1 *5700:118 4.38953e-05 -104 *25277:A1 *5700:77 0.000388038 -105 *25277:A1 *5700:83 0.000367773 -106 *25277:A1 *5700:308 8.17274e-05 -107 *25321:A1 *5700:114 0.000630329 -108 *25606:A0 *27075:A0 0.00018077 -109 *25710:S *5700:271 0 -110 *26839:A0 *27075:A0 0.000117159 -111 *27039:S *5700:122 3.36833e-05 -112 *27070:S *5700:308 0.000201127 -113 *27088:A1 *5700:269 0.000368955 -114 *27147:S *27147:A0 0.000175892 -115 *27244:A3 *5700:174 9.08232e-05 -116 *27317:B *27207:A0 1.3142e-05 -117 *27332:A *5700:50 0 -118 *27348:B1 *5700:50 3.73112e-05 -119 *27373:A2 *5700:329 2.04825e-05 -120 *27561:A2 *5700:28 9.60939e-05 -121 *27574:A2 *5700:28 0.000283688 -122 *27683:A1 *5700:50 0.000274008 -123 *27695:A2 *5700:111 1.81709e-05 -124 *27715:A1 *27162:A1 3.14163e-05 -125 *27715:A2 *27162:A1 1.58163e-05 -126 *27716:A1 *27162:A1 0.000139986 -127 *27716:B1 *27129:A0 2.79421e-05 -128 *27716:C1 *27162:A1 3.63775e-05 -129 *27717:A1 *5700:98 9.34324e-05 -130 *27759:A1 *27102:A0 9.59532e-06 -131 *27759:A1 *5700:132 0.0005236 -132 *27759:A2 *5700:132 9.41642e-05 -133 *27759:B2 *5700:132 0.000345474 -134 *27807:A1 *5700:260 0.000893487 -135 *27807:A2 *5700:260 5.74499e-06 -136 *27807:B1 *5700:260 2.59355e-05 -137 *27807:B2 *5700:256 0.000802756 -138 *27807:B2 *5700:260 0.000128096 -139 *27807:C1 *5700:260 2.10145e-06 -140 *27957:A1 *5700:29 0.000547852 -141 *28185:D *5700:271 7.48356e-05 -142 *28594:CLK *5700:50 5.7661e-06 -143 *28620:CLK *5700:77 0.000241899 -144 *28656:CLK *5700:260 4.58194e-05 -145 *28660:CLK *27138:A0 8.6765e-05 -146 *28660:CLK *5700:149 2.8922e-05 -147 *28774:D *5700:174 0.000235971 -148 *28874:A *5700:149 0.000234521 -149 *29723:A *26877:A1 5.33005e-05 -150 *29754:A *27066:A0 5.23164e-05 -151 *29776:A *27162:A1 2.1768e-05 -152 *30255:A *28990:A 0.000111102 -153 *30287:A *27075:A0 2.61321e-05 -154 *30356:A *28990:A 0.000180348 -155 *30690:A *5700:271 0 -156 *30702:A *5700:271 0.00129498 -157 *31017:A *5700:256 2.59355e-05 -158 *557:11 *5700:260 2.1006e-05 -159 *977:15 *27075:A0 0.00116903 -160 *1182:15 *5700:111 0.000164083 -161 *1228:73 *5700:149 0.000406906 -162 *1237:39 *5700:250 3.88748e-05 -163 *1241:8 *5700:50 0.000135028 -164 *1245:68 *5700:149 0.000115174 -165 *1245:68 *5700:159 0.00034138 -166 *1245:92 *27075:A0 1.90936e-05 -167 *1250:232 *5700:353 4.75671e-06 -168 *1252:52 *27138:A0 5.5525e-06 -169 *1252:146 *27147:A0 0.000219711 -170 *1252:232 *27138:A0 8.95281e-05 -171 *1256:76 *5700:50 0 -172 *1260:43 *5700:50 0 -173 *1265:69 *5700:50 3.68027e-05 -174 *1271:162 *5700:50 6.81895e-05 -175 *1273:246 *27075:A0 7.77751e-05 -176 *1285:11 *5700:50 0.000141079 -177 *1291:31 *5700:111 7.85273e-05 -178 *1294:170 *5700:250 0.00106468 -179 *1327:19 *5700:29 0.000591366 -180 *1328:140 *27129:A0 9.58126e-05 -181 *1399:81 *5700:29 0.000128911 -182 *1416:89 *5700:50 0.000245378 -183 *1490:61 *5700:250 4.13496e-05 -184 *1527:39 *5700:77 0.000709551 -185 *1639:25 *5700:98 9.77143e-06 -186 *1693:22 *5700:29 2.89979e-05 -187 *1864:187 *5700:269 0.000127746 -188 *2751:14 *5700:174 0 -189 *2751:16 *5700:174 0.000134077 -190 *2751:20 *5700:174 1.55485e-05 -191 *2758:90 *26877:A1 5.33005e-05 -192 *2758:90 *5700:308 0.000132058 -193 *2758:105 *5700:308 3.06466e-05 -194 *2758:105 *5700:329 4.66078e-05 -195 *2768:50 *5700:50 0.000305422 -196 *2777:71 *5700:98 0.000191402 -197 *2779:121 *5700:50 0.00015285 -198 *2779:132 *5700:50 1.14338e-05 -199 *2779:140 *27066:A0 9.71197e-05 -200 *2779:161 *27066:A0 0.000163455 -201 *2779:161 *5700:55 0.00014833 -202 *2781:51 *5700:118 0.000155436 -203 *2781:51 *5700:237 0.00102903 -204 *2782:92 *27207:A0 2.14378e-05 -205 *2782:100 *27207:A0 0.000244079 -206 *2782:100 *5700:250 0.00026374 -207 *2788:25 *6552:DIODE 4.58194e-05 -208 *2788:25 *27147:A0 5.33005e-05 -209 *2788:28 *27147:A0 5.55449e-05 -210 *2788:28 *5700:329 6.48025e-05 -211 *2788:39 *5700:329 0.000260512 -212 *2790:77 *5700:137 1.12577e-05 -213 *2839:38 *5700:132 8.83549e-05 -214 *2839:38 *5700:137 0.000743359 -215 *2842:38 *27207:A0 0.000123205 -216 *2842:41 *27207:A0 0.000208922 -217 *2842:43 *27207:A0 5.29459e-05 -218 *2842:43 *5700:250 0.000270641 -219 *2845:22 *25891:A1 0.00104482 -220 *2845:161 *5700:250 0.000178847 -221 *2848:191 *5700:329 0.000123484 -222 *2849:30 *27138:A0 4.19624e-06 -223 *2850:179 *5700:98 4.00349e-05 -224 *2852:28 *5700:98 0.000376234 -225 *2855:175 *5700:50 0 -226 *2855:279 *5700:256 0.000306156 -227 *2859:22 *5700:250 0.00050829 -228 *2860:26 *27138:A0 0.000419741 -229 *2860:184 *5700:353 4.88232e-05 -230 *2864:28 *27102:A0 8.01249e-05 -231 *2864:28 *5700:137 4.56253e-05 -232 *2866:17 *5700:250 0.00184758 -233 *2867:184 *5700:308 0.000498968 -234 *2867:192 *5700:329 0.000171028 -235 *2870:43 *27030:A0 6.52967e-05 -236 *2870:43 *5700:55 4.65519e-05 -237 *2870:43 *5700:77 6.92539e-05 -238 *2874:32 *5700:132 6.07797e-05 -239 *2875:243 *5700:50 4.54205e-05 -240 *2878:16 *25891:A1 7.49387e-06 -241 *2879:67 *5700:50 5.99199e-06 -242 *2886:26 *27102:A0 0.000197137 -243 *2886:26 *5700:137 0.000247079 -244 *2886:164 *5700:118 0.00018827 -245 *2886:164 *5700:237 0.000109274 -246 *2886:241 *5700:50 0.000130975 -247 *2918:10 *5700:111 0.000352923 -248 *2920:8 *5700:98 4.00349e-05 -249 *2938:6 *27147:A0 9.15621e-06 -250 *2938:6 *5700:308 4.22416e-05 -251 *2938:6 *5700:329 0.000634122 -252 *2958:48 *5700:98 0.000951423 -253 *2958:48 *5700:100 0.000148903 -254 *2958:48 *5700:111 0.00108404 -255 *2958:54 *5700:111 0.000884109 -256 *2978:22 *5700:50 0.000269608 -257 *2978:36 *5700:174 1.63164e-05 -258 *3153:17 *5700:111 0.000177821 -259 *3160:26 *5700:132 5.31122e-05 -260 *3165:15 *5700:256 0.000658387 -261 *3170:19 *5700:111 0.000175892 -262 *3174:29 *5700:237 0 -263 *3176:8 *5700:256 0.000307871 -264 *3178:43 *27138:A0 0.000152942 -265 *3178:43 *5700:149 0.0001292 -266 *3182:9 *5700:250 4.08637e-05 -267 *3182:16 *5700:250 0.00206412 -268 *3184:43 *27138:A0 0.000276459 -269 *3184:53 *5700:111 0.00023828 -270 *3185:341 *5700:29 1.21258e-05 -271 *3191:37 *5700:159 2.99966e-05 -272 *3197:115 *28990:A 6.20091e-06 -273 *3205:210 *5700:55 0 -274 *3214:21 *25891:A1 0.000669211 -275 *3216:142 *28990:A 0.000242566 -276 *3293:34 *5700:329 5.00194e-05 -277 *3388:17 *5700:149 0.000814716 -278 *3413:51 *5700:50 1.67404e-05 -279 *3572:20 *5700:132 0.000195775 -280 *3664:48 *5700:50 0 -281 *3765:30 *5700:28 0.000282093 -282 *3782:75 *5700:98 0.000728273 -283 *3782:75 *5700:100 0.000141375 -284 *3782:75 *5700:111 0.000936179 -285 *3794:86 *5700:77 0.000316931 -286 *3796:60 *5700:174 0.000296663 -287 *3796:62 *5700:114 0.000630329 -288 *3820:69 *5700:149 0.000230248 -289 *3820:69 *5700:159 0.000386138 -290 *3820:77 *5700:122 0.000175204 -291 *3820:79 *5700:118 2.80507e-05 -292 *3820:79 *5700:122 0.000318646 -293 *3847:25 *27075:A0 0 -294 *3847:25 *27102:A0 2.06112e-05 -295 *3847:25 *5700:122 0 -296 *3847:64 *5700:77 0.000356584 -297 *3848:61 *5700:77 8.68437e-05 -298 *3848:61 *5700:83 8.25843e-06 -299 *3858:19 *28990:A 0.00101847 -300 *3864:5 *5700:260 0.000137571 -301 *3864:23 *5700:260 0.000100697 -302 *3872:39 *5700:149 2.71935e-05 -303 *3872:79 *5700:50 0.000104194 -304 *3873:67 *5700:237 1.1984e-05 -305 *3873:73 *5700:111 0.000679576 -306 *3900:60 *27030:A0 1.90936e-05 -307 *3913:52 *27147:A0 6.99044e-05 -308 *3913:52 *5700:308 0.000164585 -309 *3913:52 *5700:329 0.0016444 -310 *3938:17 *27138:A0 0.00024777 -311 *3963:109 *5700:55 0.000154071 -312 *3992:53 *5700:50 0.000135449 -313 *3992:53 *5700:55 0.000137113 -314 *4015:10 *5700:137 0.00012501 -315 *4015:22 *5700:132 9.54536e-05 -316 *4015:22 *5700:137 0.000581009 -317 *4015:31 *27030:A0 2.49484e-05 -318 *4015:37 *5700:353 2.06112e-05 -319 *4043:70 *5700:50 7.14742e-05 -320 *4054:66 *5700:50 4.14491e-05 -321 *4059:11 *5700:260 6.24758e-05 -322 *4106:37 *5700:50 0.000156792 -323 *4117:32 *5700:28 0.000591666 -324 *4561:9 *5700:271 0.00113887 -325 *4907:40 *5700:159 0.00016793 -326 *5486:20 *5700:132 5.1588e-05 -327 *5504:67 *5700:256 0.00167508 -328 *5530:20 *26832:A1 0.000440705 -329 *5535:14 *27075:A0 0.000168224 -330 *5582:20 *5700:50 0 -331 *5583:112 *5700:98 0.000127359 -332 *5583:112 *5700:308 0.000406752 -333 *5583:134 *5700:308 0.000204713 -334 *5583:156 *25699:A1 3.4879e-05 -335 *5583:165 *25699:A1 2.60984e-05 -336 *5583:165 *5700:269 7.22594e-05 -337 *5583:165 *5700:271 0.00108107 -338 *5583:170 *5700:271 0.000776132 -339 *5587:17 *5700:50 3.79527e-05 -340 *5592:10 *5700:271 0 -341 *5599:39 *5700:174 0.000182732 -342 *5599:72 *5700:174 4.80197e-05 -343 *5599:247 *5700:174 0.000108353 -344 *5604:57 *27066:A0 0.000274687 -345 *5609:137 *5700:77 0 -346 *5625:8 *5700:269 0.000686015 -347 *5625:8 *5700:271 0.00226616 -348 *5625:14 *5700:271 0.000136164 -349 *5627:69 *5700:50 0.000103203 -350 *5629:132 *5700:118 6.52967e-05 -351 *5629:132 *5700:122 0.000553548 -352 *5632:5 *5700:250 2.61099e-05 -353 *5635:15 *27102:A0 6.98512e-06 -354 *5635:15 *5700:149 1.07995e-05 -355 *5638:33 *27162:A1 0.000288885 -356 *5638:62 *27162:A1 6.46079e-05 -357 *5643:39 *27207:A0 0 -358 *5643:66 *5700:50 0.000130975 -359 *5644:158 *5700:98 4.54962e-05 -360 *5644:186 *5700:50 0.000177072 -361 *5644:186 *5700:353 2.06112e-05 -362 *5646:17 *5700:122 8.6051e-05 -363 *5650:31 *5700:98 4.98104e-05 -364 *5651:13 *5700:256 5.74499e-06 -365 *5651:156 *5700:29 0.000111498 -366 *5651:158 *5700:29 4.44369e-05 -367 *5651:176 *28990:A 0.000313514 -368 *5655:29 *5700:137 0 -369 *5656:89 *5700:50 0 -370 *5656:89 *5700:55 0 -371 *5657:14 *5700:122 8.78226e-05 -372 *5657:197 *5700:118 0.000109651 -373 *5657:198 *5700:77 5.51406e-05 -374 *5667:129 *5700:174 8.73083e-05 -375 *5694:71 *5700:98 9.11825e-07 -376 *5694:94 *5700:237 3.98333e-05 -377 *5699:10 *28990:A 9.66977e-05 -*RES -1 *28991:X *5700:4 9.3 -2 *5700:4 *28990:A 31.4429 -3 *5700:4 *5700:28 40.6964 -4 *5700:28 *5700:29 51.0536 -5 *5700:29 *5700:50 35.8017 -6 *5700:50 *5700:55 7.2687 -7 *5700:55 *27030:A0 18.0939 -8 *5700:55 *5700:77 37.0036 -9 *5700:77 *27048:A0 9.3 -10 *5700:77 *5700:83 3.82143 -11 *5700:83 *5700:98 32.6186 -12 *5700:98 *5700:100 1.94643 -13 *5700:100 *5700:111 43.4286 -14 *5700:111 *5700:114 11.8832 -15 *5700:114 *5700:118 5.13366 -16 *5700:118 *5700:122 17.0714 -17 *5700:122 *5700:132 25.2679 -18 *5700:132 *5700:137 14.8173 -19 *5700:137 *5700:149 18.8624 -20 *5700:149 *5700:159 17.4189 -21 *5700:159 *27093:A1 9.3 -22 *5700:159 *5700:174 45.7679 -23 *5700:174 *27075:A0 41.2464 -24 *5700:174 *26841:A0 9.3 -25 *5700:149 *27138:A0 20.5041 -26 *5700:137 *27102:A0 18.2545 -27 *5700:132 *25891:A1 27.0679 -28 *5700:122 *27039:A0 9.3 -29 *5700:118 *27084:A0 13.8 -30 *5700:114 *5700:237 5.1866 -31 *5700:237 *27207:A0 19.2375 -32 *5700:237 *5700:250 48.0625 -33 *5700:250 *5700:256 25.9643 -34 *5700:256 *5700:260 40.3929 -35 *5700:260 *25747:A0 9.3 -36 *5700:260 *5700:269 15.3036 -37 *5700:269 *5700:271 54.4643 -38 *5700:271 *26832:A1 27.0679 -39 *5700:269 *25699:A1 15.9786 -40 *5700:100 *27129:A0 14.7464 -41 *5700:98 *27162:A1 32.4429 -42 *5700:83 *5700:308 29.0714 -43 *5700:308 *26877:A1 14.3357 -44 *5700:308 *5700:329 35.9762 -45 *5700:329 *6552:DIODE 14.7464 -46 *5700:329 *27147:A0 17.0143 -47 *5700:50 *5700:353 11.6798 -48 *5700:353 *27066:A0 13.1393 -49 *5700:353 *6551:DIODE 9.3 -*END - -*D_NET *5701 0.0672474 -*CONN -*I *26850:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *26895:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27189:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26922:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26967:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27180:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26913:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26994:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27111:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27003:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26886:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26940:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27198:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27057:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25628:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27171:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26976:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *6553:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25738:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26859:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26985:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28992:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 *26850:A1 0.000687711 -2 *26895:A0 0 -3 *27189:A0 0.000801414 -4 *26922:A0 0.000108544 -5 *26967:A0 1.69531e-05 -6 *27180:A0 0.000726996 -7 *26913:A0 3.01226e-05 -8 *26994:A0 1.37021e-05 -9 *27111:A0 0.000695282 -10 *27003:A0 7.9737e-05 -11 *26886:A0 0 -12 *26940:A0 0.00010375 -13 *27198:A0 9.08396e-05 -14 *27057:A0 0 -15 *25628:A0 0.000312216 -16 *27171:A0 2.56926e-05 -17 *26976:A0 0 -18 *6553:DIODE 0 -19 *25738:A0 0.000324269 -20 *26859:A0 0.000242199 -21 *26985:A0 0.00063171 -22 *28992:X 0 -23 *5701:254 0.000687711 -24 *5701:192 0.0012211 -25 *5701:169 0.000827872 -26 *5701:149 0.00106553 -27 *5701:147 0.000486086 -28 *5701:128 0.000415252 -29 *5701:126 0.000733188 -30 *5701:116 0.000900646 -31 *5701:113 0.0014851 -32 *5701:101 0.000810747 -33 *5701:95 0.000550286 -34 *5701:91 0.00123413 -35 *5701:89 0.000471003 -36 *5701:87 0.00050721 -37 *5701:85 0.00110432 -38 *5701:83 0.000407081 -39 *5701:61 0.00239401 -40 *5701:58 0.00442839 -41 *5701:29 0.00425487 -42 *5701:9 0.00270114 -43 *5701:5 0.000689514 -44 *26859:A0 *5771:157 0.000452799 -45 *26859:A0 *6262:14 0.000452799 -46 *26913:A0 *5914:29 5.52302e-05 -47 *26922:A0 *5795:163 0.00014465 -48 *26985:A0 *5893:10 0.000307615 -49 *27180:A0 *5795:50 5.59013e-05 -50 *27180:A0 *5863:77 3.07555e-05 -51 *5701:9 *5771:30 0.000160877 -52 *5701:29 *5771:30 0.000342296 -53 *5701:29 *5830:16 0.000391507 -54 *5701:29 *5879:237 0 -55 *5701:29 *5903:11 0.000214798 -56 *5701:29 *5926:63 0.00100315 -57 *5701:58 *28693:RESET_B 0.000836729 -58 *5701:58 *28697:D 0.000204854 -59 *5701:58 *5775:128 8.16656e-05 -60 *5701:58 *5830:16 0.00157261 -61 *5701:58 *5870:8 3.48013e-05 -62 *5701:58 *5903:11 0.000230213 -63 *5701:58 *6011:17 0.00053066 -64 *5701:58 *6222:14 8.6229e-06 -65 *5701:58 *6223:17 9.25014e-06 -66 *5701:58 *6224:18 0.000618899 -67 *5701:61 *5903:11 0.000111451 -68 *5701:61 *5906:15 0.000120211 -69 *5701:83 *5771:18 0.000279724 -70 *5701:83 *5771:30 0.000137224 -71 *5701:85 *5771:18 0.000101545 -72 *5701:85 *5881:43 2.14378e-05 -73 *5701:87 *5759:18 0.000102503 -74 *5701:101 *5914:29 0.000135028 -75 *5701:113 *5702:29 0.000195555 -76 *5701:113 *5768:169 0.000375993 -77 *5701:126 *5824:153 0.000353404 -78 *24827:A *5701:58 0.000181796 -79 *25314:A2 *5701:169 3.81755e-05 -80 *26850:A0 *26850:A1 5.52302e-05 -81 *26850:S *26850:A1 0.000136958 -82 *26886:A1 *5701:116 2.14757e-05 -83 *26895:A1 *26850:A1 0.000114457 -84 *26922:A1 *26922:A0 9.84673e-05 -85 *26922:S *26922:A0 2.14757e-05 -86 *26967:S *26967:A0 5.33005e-05 -87 *26967:S *5701:101 0.000193639 -88 *26976:A1 *26985:A0 1.00733e-05 -89 *26976:A1 *5701:9 7.24613e-05 -90 *26976:S *5701:9 2.06178e-05 -91 *26979:A1 *5701:58 7.40571e-05 -92 *27171:A1 *27171:A0 6.05161e-06 -93 *27171:A1 *5701:126 1.33343e-05 -94 *27171:A1 *5701:128 0.000103977 -95 *27675:B1 *5701:113 0 -96 *27764:B1 *5701:169 9.66977e-05 -97 *28691:D *26985:A0 9.25014e-06 -98 *29105:A *26850:A1 0.000782379 -99 *29115:A *27189:A0 0.000201763 -100 *29125:A *26922:A0 0.000389651 -101 *29125:A *5701:85 0.000238055 -102 *29125:A *5701:87 0.000445285 -103 *29157:A *5701:29 1.18451e-05 -104 *29162:A *5701:61 2.89016e-05 -105 *29197:A *27198:A0 8.46507e-06 -106 *29205:A *5701:126 6.05161e-06 -107 *29223:A *5701:126 2.59355e-05 -108 *29283:A *5701:91 5.94639e-05 -109 *30005:A *25738:A0 6.74911e-05 -110 *30006:A *25738:A0 0 -111 *30009:A *5701:58 0.000963816 -112 *30084:A *5701:95 3.97543e-05 -113 *30160:A *5701:9 1.21289e-05 -114 *30350:A *5701:61 0.000210233 -115 *778:15 *26850:A1 0.000118921 -116 *889:22 *26985:A0 1.5424e-05 -117 *889:22 *5701:9 0.000181381 -118 *889:22 *5701:29 0.000523325 -119 *1083:15 *5701:58 7.69776e-06 -120 *1826:238 *5701:113 0.00011327 -121 *2759:111 *5701:169 4.25668e-05 -122 *2760:164 *5701:83 6.50734e-05 -123 *2760:164 *5701:85 0.000370894 -124 *2760:164 *5701:87 0.000458369 -125 *2760:164 *5701:89 0.000397939 -126 *2760:164 *5701:91 0.000418354 -127 *2760:164 *5701:95 0.000587913 -128 *2763:196 *5701:113 0.000225287 -129 *2766:79 *26985:A0 0.000435839 -130 *2766:79 *5701:29 9.25014e-06 -131 *2767:167 *25628:A0 5.33433e-05 -132 *2767:167 *5701:113 2.09897e-05 -133 *2768:96 *5701:101 0.000133412 -134 *2769:209 *5701:29 0 -135 *2769:227 *26985:A0 0.000476702 -136 *2769:227 *5701:29 0 -137 *2771:84 *26994:A0 5.33005e-05 -138 *2771:84 *5701:113 0.000375519 -139 *2774:31 *26940:A0 0.000137983 -140 *2776:241 *27111:A0 0.000920697 -141 *2776:241 *5701:116 0.000466547 -142 *2776:241 *5701:192 0.000586288 -143 *2788:97 *25628:A0 3.90513e-05 -144 *2791:169 *5701:126 8.46644e-05 -145 *2791:169 *5701:128 8.40933e-05 -146 *2791:173 *27171:A0 5.49544e-05 -147 *2791:173 *5701:128 4.17433e-05 -148 *2792:13 *27180:A0 0.00127168 -149 *2792:26 *27180:A0 9.41642e-05 -150 *2794:107 *5701:169 0.000440347 -151 *2879:156 *5701:169 0.000259542 -152 *3153:320 *5701:113 6.77541e-05 -153 *3164:333 *5701:169 2.06178e-05 -154 *3206:304 *25628:A0 7.6696e-05 -155 *3226:8 *25628:A0 0.00067688 -156 *3257:13 *5701:95 5.33786e-05 -157 *3599:16 *25628:A0 0.000558984 -158 *3678:18 *27003:A0 7.6644e-05 -159 *3691:8 *5701:89 0 -160 *3691:8 *5701:91 0 -161 *3691:8 *5701:95 0 -162 *3691:12 *27003:A0 3.92854e-05 -163 *3835:26 *26985:A0 2.28499e-05 -164 *3846:8 *5701:169 0.000410417 -165 *3874:91 *5701:83 9.1309e-05 -166 *3874:91 *5701:101 0.000328773 -167 *3874:91 *5701:113 8.95055e-05 -168 *3874:102 *5701:9 0.000261235 -169 *3874:102 *5701:29 0.000189634 -170 *3874:102 *5701:83 4.52555e-05 -171 *3899:14 *27003:A0 5.33005e-05 -172 *3913:106 *5701:58 0.000197764 -173 *3924:34 *26985:A0 0.000148112 -174 *3926:15 *5701:58 0.000472921 -175 *3930:22 *5701:58 0.000315165 -176 *3930:23 *5701:58 1.54142e-05 -177 *3963:42 *5701:113 8.22793e-06 -178 *4002:18 *25628:A0 6.78781e-05 -179 *4003:20 *5701:169 0.00029715 -180 *4029:16 *27189:A0 0.000788278 -181 *4029:24 *5701:126 0.000351689 -182 *4054:8 *5701:147 0.0006246 -183 *4054:8 *5701:149 0.000363842 -184 *4054:8 *5701:169 2.06178e-05 -185 *4230:17 *5701:29 5.44309e-05 -186 *4593:11 *25738:A0 0.000217177 -187 *4875:18 *26850:A1 7.48301e-06 -188 *4883:13 *26985:A0 0.000478626 -189 *5544:5 *5701:58 0.00082132 -190 *5584:178 *26967:A0 5.33005e-05 -191 *5590:131 *5701:147 0.000630787 -192 *5590:131 *5701:149 0.000371742 -193 *5590:131 *5701:169 9.65172e-05 -194 *5629:217 *26994:A0 4.17433e-05 -195 *5629:217 *5701:113 0.000136682 -196 *5639:229 *5701:126 0.000218679 -197 *5641:204 *5701:95 0.000112777 -198 *5646:232 *5701:169 0.000345204 -199 *5651:400 *5701:113 0.00018372 -200 *5654:108 *5701:169 0.000162811 -201 *5658:160 *5701:169 0.000257619 -202 *5680:40 *5701:58 2.30116e-06 -*RES -1 *28992:X *5701:5 13.8 -2 *5701:5 *5701:9 13.9821 -3 *5701:9 *26985:A0 32.4964 -4 *5701:9 *5701:29 17.1267 -5 *5701:29 *26859:A0 23.5582 -6 *5701:29 *5701:58 45.8875 -7 *5701:58 *5701:61 28.8571 -8 *5701:61 *25738:A0 23.3536 -9 *5701:61 *6553:DIODE 9.3 -10 *5701:9 *26976:A0 9.3 -11 *5701:5 *5701:83 6.53571 -12 *5701:83 *5701:85 4.98214 -13 *5701:85 *5701:87 6.19643 -14 *5701:87 *5701:89 5.28571 -15 *5701:89 *5701:91 5.58929 -16 *5701:91 *5701:95 12.2143 -17 *5701:95 *5701:101 14.7321 -18 *5701:101 *5701:113 32.6071 -19 *5701:113 *5701:116 6.19643 -20 *5701:116 *5701:126 18.875 -21 *5701:126 *5701:128 2.17857 -22 *5701:128 *27171:A0 9.83571 -23 *5701:128 *25628:A0 29.0857 -24 *5701:126 *5701:147 12.8214 -25 *5701:147 *5701:149 4.98214 -26 *5701:149 *5701:169 43.375 -27 *5701:169 *27057:A0 9.3 -28 *5701:149 *27198:A0 14.7464 -29 *5701:147 *26940:A0 15.1571 -30 *5701:116 *26886:A0 9.3 -31 *5701:113 *5701:192 5.875 -32 *5701:192 *27003:A0 19.8714 -33 *5701:192 *27111:A0 18.4607 -34 *5701:101 *26994:A0 9.83571 -35 *5701:95 *26913:A0 9.83571 -36 *5701:91 *27180:A0 36.8 -37 *5701:89 *26967:A0 14.3357 -38 *5701:87 *26922:A0 17.6214 -39 *5701:85 *27189:A0 25.0143 -40 *5701:83 *5701:254 4.5 -41 *5701:254 *26895:A0 9.3 -42 *5701:254 *26850:A1 20.8 -*END - -*D_NET *5702 0.102026 -*CONN -*I *25682:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *6554:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27021:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *26868:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25716:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *26931:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27120:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26904:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26958:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27012:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28992:A I *D sky130_fd_sc_hd__clkbuf_8 -*I *28993:X O *D sky130_fd_sc_hd__buf_4 -*CAP -1 *25682:A1 0 -2 *6554:DIODE 0.000136778 -3 *27021:A1 9.95279e-05 -4 *26868:A0 0 -5 *25716:A1 0.000197802 -6 *26931:A0 0 -7 *27120:A0 2.99504e-05 -8 *26904:A0 0.000241021 -9 *26958:A0 0.000561042 -10 *27012:A0 0.000268535 -11 *28992:A 5.67867e-05 -12 *28993:X 0.000592562 -13 *5702:214 0.00144515 -14 *5702:212 0.0033324 -15 *5702:202 0.00492646 -16 *5702:160 0.00116326 -17 *5702:147 0.00415206 -18 *5702:135 0.00485703 -19 *5702:84 0.00219401 -20 *5702:72 0.00227188 -21 *5702:67 0.000598509 -22 *5702:64 0.00214777 -23 *5702:58 0.000787191 -24 *5702:38 0.00241469 -25 *5702:29 0.00535823 -26 *5702:27 0.00362753 -27 *5702:18 0.00034515 -28 *5702:16 0.0037489 -29 *25716:A1 *28460:RESET_B 0.000125717 -30 *25716:A1 *5766:43 0.00027338 -31 *5702:16 *28556:RESET_B 1.80461e-05 -32 *5702:16 *28986:A 5.33005e-05 -33 *5702:16 *5893:10 0 -34 *5702:18 *28556:RESET_B 8.70877e-05 -35 *5702:18 *5772:78 7.37102e-05 -36 *5702:18 *5893:10 0 -37 *5702:29 *28476:RESET_B 0.000372109 -38 *5702:29 *29050:A 0.000586109 -39 *5702:29 *5772:78 0.000137443 -40 *5702:38 *28588:RESET_B 1.61822e-05 -41 *5702:38 *28629:RESET_B 8.07268e-05 -42 *5702:38 *5759:18 0 -43 *5702:38 *5824:213 6.08478e-05 -44 *5702:84 *28475:SET_B 1.2758e-05 -45 *5702:84 *5764:127 0.000114779 -46 *5702:147 *28575:RESET_B 4.90148e-05 -47 *5702:147 *5863:161 2.06112e-05 -48 *5702:160 *28462:RESET_B 0.000153047 -49 *5702:160 *5766:136 0.00019299 -50 *5702:160 *5911:115 2.28598e-05 -51 *5702:202 *28987:A 0.000108525 -52 *5702:202 *5772:83 1.97725e-05 -53 *5702:202 *5824:170 0.00162701 -54 *5702:202 *5926:66 0 -55 *5702:202 *6259:38 0.000399848 -56 *5702:202 *6277:9 0.000204602 -57 *5702:212 *28987:A 0.000420106 -58 *5702:212 *6217:20 9.25014e-06 -59 *5702:214 *28987:A 0.000231396 -60 *6422:DIODE *5702:135 6.43196e-05 -61 *24824:A *5702:214 9.58126e-05 -62 *24832:A *5702:212 3.08351e-05 -63 *25062:A1 *5702:147 0 -64 *25093:A2 *26904:A0 5.47065e-05 -65 *25093:A2 *5702:72 9.30682e-05 -66 *25186:A2 *5702:38 0.00030017 -67 *25190:C1 *5702:58 0.000264606 -68 *25232:A1 *5702:29 0.000348656 -69 *25237:A1 *5702:135 2.22618e-05 -70 *25255:A1 *5702:29 1.11775e-05 -71 *25682:A0 *5702:214 0.000613026 -72 *25682:S *6554:DIODE 3.1889e-05 -73 *25682:S *5702:214 0.000258915 -74 *25683:A1 *6554:DIODE 8.53409e-05 -75 *25720:S *5702:160 0.000157938 -76 *26868:A1 *25716:A1 0.000666208 -77 *26922:S *5702:29 3.17163e-05 -78 *26931:S *5702:160 1.98839e-05 -79 *27021:A0 *27021:A1 9.41642e-05 -80 *27021:A0 *5702:64 9.46451e-05 -81 *27021:A0 *5702:135 0.000163184 -82 *27057:S *5702:38 2.39966e-05 -83 *27060:A0 *5702:147 2.04825e-05 -84 *27199:A0 *5702:16 0.000532665 -85 *27353:A2 *26958:A0 0.00040143 -86 *27353:C1 *26958:A0 8.25843e-06 -87 *27421:B1 *5702:147 0.000366317 -88 *27422:B2 *5702:147 0.00087815 -89 *27436:A2 *5702:147 5.05964e-05 -90 *27609:A2 *5702:29 2.39925e-05 -91 *27675:B1 *5702:29 8.74121e-05 -92 *27679:B1 *5702:29 0.000527668 -93 *27697:A1 *5702:29 9.23223e-05 -94 *27697:A2 *5702:38 0.00148092 -95 *27697:A2 *5702:58 0.000157459 -96 *27698:B2 *5702:29 9.50088e-05 -97 *27698:C1 *5702:29 7.14469e-05 -98 *27707:A1 *5702:29 0.000144871 -99 *27707:B1 *5702:29 5.59013e-05 -100 *27707:C1 *5702:29 8.00806e-05 -101 *28508:D *5702:29 0 -102 *28536:CLK *5702:212 0.000137983 -103 *28547:D *5702:84 0.000111218 -104 *28559:D *5702:212 0.000667651 -105 *28572:D *5702:29 0.000301491 -106 *28684:D *5702:135 3.44143e-05 -107 *28688:CLK *5702:202 5.98502e-05 -108 *28691:D *5702:16 0.000266479 -109 *28918:A *5702:147 0.00171442 -110 *28918:A *5702:160 0.00038021 -111 *29082:A *5702:202 0.000346692 -112 *29157:A *5702:16 0.000126264 -113 *29912:A *5702:212 9.41642e-05 -114 *29957:A *5702:202 5.0539e-05 -115 *29967:A *5702:202 3.47641e-06 -116 *30388:A *5702:135 0.00034188 -117 *30389:A *5702:64 5.49544e-05 -118 *866:20 *5702:202 0.00105415 -119 *974:20 *5702:202 0.000903938 -120 *1024:19 *5702:16 0.00138951 -121 *1252:207 *26904:A0 1.54142e-05 -122 *1256:113 *27120:A0 9.41642e-05 -123 *1260:118 *5702:135 0.00349212 -124 *1266:64 *5702:84 0.000741908 -125 *1267:80 *5702:58 0.000309014 -126 *1271:211 *27120:A0 9.41642e-05 -127 *1286:26 *5702:38 0.000129572 -128 *1286:26 *5702:72 0.000154703 -129 *1286:26 *5702:84 0.000173158 -130 *1288:127 *5702:29 0 -131 *1551:10 *5702:29 0.000279373 -132 *1556:23 *5702:135 0.000505335 -133 *1556:26 *5702:84 0.00206682 -134 *1574:21 *5702:84 0.00172961 -135 *1853:19 *5702:29 2.11419e-05 -136 *2759:111 *27012:A0 1.61863e-05 -137 *2759:119 *5702:58 0.000314985 -138 *2760:135 *5702:147 0.000167383 -139 *2760:174 *5702:29 2.59093e-05 -140 *2761:53 *5702:38 2.82126e-05 -141 *2761:98 *5702:58 2.07038e-05 -142 *2761:168 *26904:A0 0.000225424 -143 *2761:168 *5702:84 2.4461e-05 -144 *2763:196 *5702:29 0.000121503 -145 *2766:57 *5702:202 9.0519e-05 -146 *2768:205 *25716:A1 1.31516e-05 -147 *2768:205 *5702:160 8.33559e-05 -148 *2768:220 *5702:160 0.000238741 -149 *2771:84 *5702:29 0 -150 *2779:26 *5702:202 0 -151 *2784:88 *27012:A0 6.13706e-05 -152 *2784:133 *5702:29 0.00014576 -153 *2786:147 *5702:202 0.000387403 -154 *2792:95 *5702:147 5.13086e-05 -155 *2794:86 *5702:202 0.000343953 -156 *2848:282 *5702:38 2.23242e-05 -157 *2853:251 *26904:A0 0.000109809 -158 *2853:251 *5702:72 0.000136676 -159 *2853:251 *5702:84 1.14166e-05 -160 *2855:198 *27012:A0 3.77315e-05 -161 *2855:198 *5702:38 0.000170689 -162 *2860:315 *5702:135 0.00258094 -163 *2864:321 *5702:29 0.000185641 -164 *2866:307 *5702:147 1.09611e-05 -165 *2871:298 *5702:84 0.000303304 -166 *2872:260 *5702:84 9.09249e-05 -167 *2889:48 *5702:84 0.000458962 -168 *2892:242 *5702:147 6.3426e-05 -169 *2895:281 *5702:147 6.90381e-06 -170 *2907:13 *26958:A0 0.000136958 -171 *2907:13 *5702:84 1.40849e-05 -172 *2917:16 *26958:A0 0.000178847 -173 *3153:284 *5702:147 3.3297e-05 -174 *3153:320 *5702:29 2.49484e-05 -175 *3164:295 *5702:147 5.60653e-05 -176 *3170:251 *27012:A0 8.55871e-05 -177 *3241:10 *27012:A0 1.43824e-05 -178 *3603:129 *5702:16 0.000353521 -179 *3608:89 *5702:202 4.46186e-06 -180 *3609:77 *5702:212 7.32955e-05 -181 *3617:7 *5702:160 0.000467849 -182 *3677:38 *5702:84 0.000576942 -183 *3679:89 *25716:A1 0.000131247 -184 *3681:11 *5702:147 2.47495e-06 -185 *3694:28 *5702:212 0.000135028 -186 *3704:6 *5702:18 4.1331e-06 -187 *3704:6 *5702:29 0 -188 *3704:10 *5702:29 0 -189 *3704:14 *5702:38 0.000176806 -190 *3746:22 *5702:147 1.90936e-05 -191 *3756:13 *5702:67 0.000342806 -192 *3756:13 *5702:72 0.000950507 -193 *3756:18 *26958:A0 0.000227673 -194 *3756:18 *5702:84 0.000129259 -195 *3808:8 *5702:29 0.000145753 -196 *3808:13 *5702:29 0.000352398 -197 *3820:105 *5702:84 0.00012384 -198 *3821:17 *5702:29 8.66204e-05 -199 *3821:19 *5702:67 0.000335795 -200 *3821:19 *5702:72 0.000950894 -201 *3835:11 *5702:202 0.000261956 -202 *3835:26 *5702:202 0.000633664 -203 *3837:43 *5702:147 0.000291539 -204 *3839:7 *5702:202 0.00022459 -205 *3839:7 *5702:212 0.000724573 -206 *3847:87 *26958:A0 0.000231317 -207 *3847:87 *5702:38 0.000192889 -208 *3874:91 *5702:29 0 -209 *3899:24 *5702:29 0 -210 *3912:35 *5702:84 0.000347092 -211 *3915:37 *5702:135 0.000591242 -212 *3916:14 *5702:212 7.55804e-05 -213 *3924:18 *5702:16 0.000346743 -214 *3963:42 *5702:29 2.41224e-05 -215 *3963:60 *5702:38 1.65417e-05 -216 *3977:16 *27021:A1 4.04292e-05 -217 *3977:16 *5702:64 6.53397e-05 -218 *4003:27 *27012:A0 1.37631e-05 -219 *4003:27 *5702:38 1.45467e-05 -220 *4016:8 *5702:29 0.000483712 -221 *4017:82 *5702:212 5.515e-05 -222 *4017:82 *5702:214 0.000186765 -223 *4094:20 *5702:29 4.86866e-05 -224 *4094:20 *5702:38 0.000113064 -225 *4110:16 *5702:147 4.19624e-06 -226 *4120:20 *5702:29 0.000576527 -227 *4120:30 *5702:29 0.00117695 -228 *4252:9 *5702:202 3.61629e-06 -229 *4403:20 *5702:29 0.000146474 -230 *4671:11 *5702:147 0.00171606 -231 *4671:11 *5702:160 4.08637e-05 -232 *4884:17 *28992:A 9.41642e-05 -233 *5364:13 *5702:202 5.65833e-05 -234 *5433:29 *5702:160 4.56494e-05 -235 *5582:56 *5702:84 0.000122343 -236 *5582:89 *5702:38 0.000171395 -237 *5582:95 *5702:38 3.27887e-05 -238 *5586:152 *25716:A1 2.82057e-05 -239 *5586:152 *5702:160 0.000577325 -240 *5587:102 *5702:29 0.000307525 -241 *5587:102 *5702:38 0.00032148 -242 *5588:98 *5702:214 0.000268403 -243 *5595:57 *5702:147 0 -244 *5628:212 *5702:29 1.01912e-05 -245 *5629:166 *27021:A1 3.1412e-05 -246 *5629:166 *5702:64 6.46107e-05 -247 *5631:88 *5702:29 1.94945e-05 -248 *5637:43 *5702:147 6.97723e-05 -249 *5645:104 *5702:84 1.60961e-05 -250 *5645:136 *5702:38 4.83837e-05 -251 *5646:294 *5702:29 0.000126516 -252 *5647:125 *5702:29 0.000412627 -253 *5663:138 *5702:38 0 -254 *5683:134 *5702:147 0.000162207 -255 *5695:16 *5702:16 3.8274e-05 -256 *5701:113 *5702:29 0.000195555 -*RES -1 *28993:X *5702:16 35.9696 -2 *5702:16 *5702:18 4.52679 -3 *5702:18 *28992:A 14.7464 -4 *5702:18 *5702:27 0.535714 -5 *5702:27 *5702:29 83.7589 -6 *5702:29 *5702:38 15.6773 -7 *5702:38 *27012:A0 20.0169 -8 *5702:38 *5702:58 11.6792 -9 *5702:58 *5702:64 9.63393 -10 *5702:64 *5702:67 9.17857 -11 *5702:67 *5702:72 18.4286 -12 *5702:72 *5702:84 49.3519 -13 *5702:84 *26958:A0 28.8439 -14 *5702:72 *26904:A0 14.5143 -15 *5702:67 *27120:A0 14.7464 -16 *5702:64 *5702:135 48.0893 -17 *5702:135 *5702:147 39.1502 -18 *5702:147 *26931:A0 9.3 -19 *5702:147 *5702:160 30.3571 -20 *5702:160 *25716:A1 22.6571 -21 *5702:160 *26868:A0 13.8 -22 *5702:58 *27021:A1 15.3268 -23 *5702:16 *5702:202 46.985 -24 *5702:202 *5702:212 38.9464 -25 *5702:212 *5702:214 26.8214 -26 *5702:214 *6554:DIODE 12.3179 -27 *5702:214 *25682:A1 9.3 -*END - -*D_NET *5703 0.0377674 -*CONN -*I *25190:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30701:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *25190:A1 0.000899827 -2 *30701:X 0.000963448 -3 *5703:45 0.0025144 -4 *5703:42 0.0035769 -5 *5703:21 0.00368741 -6 *5703:13 0.00326373 -7 *5703:7 0.00250208 -8 *25190:A1 *5873:289 4.28628e-05 -9 *25190:A1 *5879:98 0.000135028 -10 *5703:7 *5881:13 0.000141729 -11 *5703:13 *27203:A1 6.05161e-06 -12 *5703:13 *5829:221 9.84729e-05 -13 *5703:13 *5863:11 5.49544e-05 -14 *5703:13 *5881:26 0 -15 *5703:13 *5925:17 0 -16 *5703:13 *5925:26 0.000803681 -17 *5703:21 *27185:A1 0.000148196 -18 *5703:42 *5810:47 9.91086e-05 -19 *24824:A *5703:13 0.000513387 -20 *25190:A2 *25190:A1 7.56621e-05 -21 *25201:B2 *5703:45 0.000791803 -22 *26973:A *5703:42 9.00864e-05 -23 *27203:S *5703:21 0.000148911 -24 *27378:B1 *25190:A1 9.41918e-05 -25 *27425:B1 *5703:45 0.000201831 -26 *27425:B2 *5703:42 3.17148e-05 -27 *27430:A1 *5703:42 0.000100831 -28 *27727:B1 *25190:A1 7.70113e-05 -29 *27783:B1 *5703:42 8.25843e-06 -30 *27783:B2 *5703:42 0.000324524 -31 *27784:C1 *5703:42 0.000218409 -32 *27789:A2 *5703:42 9.83721e-06 -33 *27791:A *5703:45 0.000177815 -34 *27791:B *5703:42 7.40757e-05 -35 *27832:A2 *5703:21 0.00015853 -36 *27832:B1 *5703:21 2.46999e-05 -37 *27832:B2 *5703:21 0.00132705 -38 *27833:A1 *5703:21 0.00100888 -39 *27833:A2 *5703:21 0.000200641 -40 *28679:D *5703:21 0.000245969 -41 *28742:CLK *5703:21 0.000217958 -42 *30501:A *5703:42 6.25562e-05 -43 *30538:A *5703:7 0.000168752 -44 *30701:A *5703:7 1.58163e-05 -45 *30719:A *5703:7 4.25631e-05 -46 *31010:A *25190:A1 0.000184444 -47 *1246:119 *5703:45 0.000301438 -48 *1275:195 *5703:42 3.77315e-05 -49 *1393:11 *5703:45 0.00387097 -50 *1514:16 *25190:A1 0.000189281 -51 *1514:18 *25190:A1 0.00014064 -52 *2769:167 *5703:21 0.000550676 -53 *2769:176 *5703:21 0.00052621 -54 *2794:37 *5703:13 0.000418176 -55 *2891:288 *25190:A1 7.55769e-05 -56 *2892:259 *25190:A1 8.47107e-05 -57 *3164:304 *5703:42 7.8246e-06 -58 *3338:8 *5703:21 3.81754e-05 -59 *3565:5 *5703:45 5.33005e-05 -60 *3565:10 *5703:45 0.000386121 -61 *3707:40 *5703:42 4.08637e-05 -62 *3733:31 *5703:21 4.65519e-05 -63 *3876:38 *5703:42 0.00169169 -64 *3991:72 *5703:21 6.45084e-05 -65 *3993:14 *5703:42 1.0359e-05 -66 *4017:20 *5703:13 0 -67 *4019:14 *5703:21 0 -68 *4021:12 *5703:21 0.000127251 -69 *4095:57 *25190:A1 0.000276592 -70 *4107:31 *25190:A1 3.69047e-06 -71 *4134:9 *5703:45 9.41642e-05 -72 *4136:60 *5703:13 6.94623e-05 -73 *4222:21 *5703:21 0.000163065 -74 *5187:15 *5703:13 0 -75 *5587:130 *25190:A1 1.90936e-05 -76 *5587:139 *5703:45 0.00116273 -77 *5628:185 *25190:A1 0.000790542 -78 *5628:189 *25190:A1 0.000205072 -79 *5639:199 *5703:21 4.73891e-05 -80 *5652:184 *5703:42 0.000298081 -81 *5660:198 *25190:A1 0.000247459 -82 *5692:41 *25190:A1 0.000193862 -*RES -1 *30701:X *5703:7 25.8357 -2 *5703:7 *5703:13 39.875 -3 *5703:13 *5703:21 49.3591 -4 *5703:21 *5703:42 38.6334 -5 *5703:42 *5703:45 49.8036 -6 *5703:45 *25190:A1 40.3 -*END - -*D_NET *5704 0.0285346 -*CONN -*I *29969:A I *D sky130_fd_sc_hd__buf_4 -*I *28994:X O *D sky130_fd_sc_hd__buf_4 -*CAP -1 *29969:A 0.00146933 -2 *28994:X 0 -3 *5704:18 0.00364906 -4 *5704:6 0.00420431 -5 *5704:5 0.00202458 -6 *29969:A *5833:11 7.32173e-05 -7 *5704:6 *28562:SET_B 0.000185899 -8 *5704:6 *28747:RESET_B 5.10612e-05 -9 *5704:6 *5792:28 0.000464924 -10 *5704:6 *6280:6 0.00527606 -11 *5704:18 *5792:28 0.00190708 -12 *5704:18 *5824:147 7.40571e-05 -13 *26947:A0 *5704:6 0.000195562 -14 *27077:A1 *5704:18 7.83587e-05 -15 *27404:A2 *5704:18 2.01997e-05 -16 *27408:C1 *5704:18 3.97677e-05 -17 *27409:D *5704:18 5.33005e-05 -18 *27415:A1 *5704:18 2.22043e-05 -19 *27748:B1 *5704:18 6.7848e-05 -20 *27750:A2 *5704:18 0.000320562 -21 *27764:B2 *5704:18 3.54188e-05 -22 *27765:B2 *5704:18 4.8817e-05 -23 *28574:CLK *5704:18 2.47761e-06 -24 *30188:A *29969:A 0.000136676 -25 *30189:A *29969:A 0.000178847 -26 *30328:A *5704:6 0.000116481 -27 *1261:199 *5704:18 0.000153024 -28 *1698:25 *5704:18 3.3297e-05 -29 *2770:142 *5704:6 5.59013e-05 -30 *2780:223 *5704:18 0.000595142 -31 *2893:24 *5704:18 0.000341013 -32 *3215:254 *29969:A 7.02611e-05 -33 *3680:32 *5704:18 7.05319e-05 -34 *3686:67 *29969:A 0.000958996 -35 *3686:72 *29969:A 0.000112621 -36 *3693:22 *5704:18 0.000363882 -37 *3732:11 *5704:6 0.000494399 -38 *3738:28 *29969:A 4.13595e-05 -39 *3849:30 *5704:18 0.000116942 -40 *4024:23 *5704:6 0.00203341 -41 *4043:58 *5704:18 0.000342191 -42 *4102:12 *5704:6 0 -43 *4122:28 *5704:18 5.78416e-05 -44 *4135:45 *5704:18 1.00756e-05 -45 *4350:116 *5704:6 7.83659e-05 -46 *5627:142 *29969:A 0.0014813 -47 *5644:250 *29969:A 0.000427944 -48 *5650:297 *5704:18 0 -*RES -1 *28994:X *5704:5 13.8 -2 *5704:5 *5704:6 68.7321 -3 *5704:6 *5704:18 45.8721 -4 *5704:18 *29969:A 48.4429 -*END - -*D_NET *5705 0.0789869 -*CONN -*I *25836:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25944:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25730:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25672:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25773:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28018:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25830:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25660:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *26855:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25594:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25609:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25908:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25755:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25920:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25761:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *26810:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26819:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25618:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *26813:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25648:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *28995:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *25836:A1 0.000146463 -2 *25944:A1 0 -3 *25730:A0 0.000571494 -4 *25672:A0 0.000257488 -5 *25773:A0 3.45471e-05 -6 *28018:A0 0.00113225 -7 *25830:A1 0.000200841 -8 *25660:A1 0 -9 *26855:A0 0.000457723 -10 *25594:A1 0.000186544 -11 *25609:A1 0.000641481 -12 *25908:A0 0.00014114 -13 *25755:A1 0 -14 *25920:A0 4.37578e-05 -15 *25761:A1 1.86394e-05 -16 *26810:A0 0 -17 *26819:A0 0.00082748 -18 *25618:A1 8.38881e-05 -19 *26813:A0 0.000304376 -20 *25648:A1 3.65713e-05 -21 *28995:X 7.64158e-05 -22 *5705:266 0.00106478 -23 *5705:227 0.00192603 -24 *5705:169 0.00326065 -25 *5705:162 0.0057998 -26 *5705:148 0.00322673 -27 *5705:139 0.00146983 -28 *5705:122 0.00222776 -29 *5705:109 0.00132745 -30 *5705:96 0.000455762 -31 *5705:67 0.00139592 -32 *5705:52 0.000979158 -33 *5705:48 0.000729693 -34 *5705:44 0.00167133 -35 *5705:36 0.00242281 -36 *5705:26 0.00108928 -37 *5705:22 0.00132611 -38 *5705:16 0.00175499 -39 *5705:14 0.00166999 -40 *5705:5 0.000838932 -41 *25609:A1 *5737:154 6.78078e-05 -42 *25730:A0 *6069:42 0.000380202 -43 *25730:A0 *6069:44 0.000237456 -44 *25830:A1 *5710:76 0.000153163 -45 *25830:A1 *5710:78 6.85604e-05 -46 *25830:A1 *5902:28 0.000480441 -47 *26819:A0 *29571:A 0.000284407 -48 *26855:A0 *6225:79 0.000183477 -49 *5705:5 *28995:A 1.92905e-05 -50 *5705:5 *6036:27 0.000137983 -51 *5705:14 *28387:SET_B 0.000213665 -52 *5705:14 *28995:A 0.000102327 -53 *5705:22 *25635:A1 0.000122173 -54 *5705:26 *5732:13 7.6644e-05 -55 *5705:169 *5737:154 0.000277655 -56 *5705:169 *5737:164 8.66199e-05 -57 *5705:227 *28714:RESET_B 3.39935e-05 -58 pll_trim[14] *25609:A1 0.00014285 -59 pll_trim[15] *25609:A1 0.000213617 -60 *25106:B *5705:44 0.000164253 -61 *25341:B2 *5705:169 4.65519e-05 -62 *25359:B1 *26819:A0 8.55079e-05 -63 *25399:A1 *5705:139 1.15281e-05 -64 *25399:B1 *5705:139 0.000181931 -65 *25399:B2 *5705:122 0.00011594 -66 *25399:B2 *5705:139 0.000114665 -67 *25598:A1 *25594:A1 0.000269428 -68 *25609:A0 *25609:A1 0.000199657 -69 *25609:S *25609:A1 4.58349e-05 -70 *25617:A0 *5705:122 0.000104394 -71 *25635:A0 *5705:22 8.00806e-05 -72 *25637:S *5705:22 0 -73 *25648:A0 *25648:A1 5.71472e-05 -74 *25648:A0 *5705:36 0.000547019 -75 *25660:A0 *5705:109 0.00115919 -76 *25730:A1 *25730:A0 5.52302e-05 -77 *25755:A0 *5705:148 6.1112e-05 -78 *25761:S *5705:109 0.000520509 -79 *25773:A1 *25773:A0 9.90367e-05 -80 *25773:S *5705:22 0.000346733 -81 *25832:A0 *5705:227 0.000221641 -82 *25908:A1 *25908:A0 0.000136951 -83 *25911:A0 *5705:162 0.000243022 -84 *25944:S *5705:266 0.000272054 -85 *25945:A1 *25836:A1 0.000196269 -86 *25946:A1 *5705:16 0.00137951 -87 *25946:A1 *5705:22 0.000136279 -88 *25946:A1 *5705:266 0.00018077 -89 *26811:A *26819:A0 4.37451e-05 -90 *26813:S *26813:A0 0.00029791 -91 *26815:A0 *5705:67 0.00011333 -92 *26815:S *26813:A0 1.90936e-05 -93 *26815:S *5705:67 2.10523e-05 -94 *26818:A1 *5705:67 9.93846e-05 -95 *26821:S *5705:67 3.55731e-05 -96 *26854:A1 *26855:A0 2.73126e-05 -97 *28018:A1 *28018:A0 0.000257226 -98 *28099:CLK *25609:A1 8.18698e-05 -99 *28161:D *25672:A0 0.000348207 -100 *28223:D *5705:139 0.000760063 -101 *28223:D *5705:148 4.38128e-05 -102 *28226:D *5705:44 0.000116414 -103 *28226:D *5705:48 0.000301802 -104 *28284:D *5705:44 0 -105 *28365:D *5705:139 1.92905e-05 -106 *28366:D *5705:109 1.69961e-05 -107 *28387:D *5705:14 5.56825e-05 -108 *28435:CLK *25618:A1 8.19176e-05 -109 *28435:CLK *5705:67 1.83518e-05 -110 *28714:CLK *5705:36 3.34366e-05 -111 *28714:CLK *5705:44 0.000160396 -112 *28714:CLK *5705:227 0.000317779 -113 *28714:D *5705:227 0.000117553 -114 *28832:CLK *5705:122 3.47641e-06 -115 *29176:A *5705:139 8.68976e-05 -116 *29177:A *5705:162 3.90307e-05 -117 *29179:A *5705:22 6.42338e-05 -118 *29265:A *5705:162 2.43094e-05 -119 *29309:A *26813:A0 5.52302e-05 -120 *29393:A *5705:22 0 -121 *29442:A *5705:44 0 -122 *29449:A *5705:14 0.000101545 -123 *29449:A *5705:16 0.000250193 -124 *30029:A *5705:44 4.00121e-05 -125 *30833:A *26855:A0 0.000172889 -126 *30833:A *5705:162 0.000214459 -127 *30934:A *25609:A1 8.43894e-06 -128 *30938:A *25609:A1 0.000507959 -129 *30940:A *25609:A1 4.54886e-05 -130 *30940:A *5705:169 9.38228e-05 -131 *460:33 *5705:122 0.000329811 -132 *505:17 *5705:52 5.47833e-05 -133 *505:17 *5705:67 1.90936e-05 -134 *572:19 *5705:44 4.64349e-05 -135 *572:19 *5705:48 9.61073e-06 -136 *699:22 *5705:122 0.000394994 -137 *719:17 *25730:A0 5.52302e-05 -138 *721:24 *25730:A0 0.000150442 -139 *755:18 *26819:A0 1.15058e-05 -140 *1257:99 *26855:A0 5.14757e-05 -141 *1323:17 *25830:A1 9.41642e-05 -142 *1328:46 *26855:A0 9.85535e-05 -143 *1328:46 *5705:162 4.23326e-05 -144 *1328:46 *5705:169 0.0013111 -145 *1362:136 *5705:162 4.21632e-05 -146 *1427:8 *5705:36 0.000432538 -147 *1427:8 *5705:44 3.50805e-05 -148 *1427:142 *5705:5 4.18895e-05 -149 *1427:142 *5705:14 0.000136958 -150 *1430:43 *28018:A0 2.48109e-05 -151 *1430:43 *5705:227 2.20729e-05 -152 *1457:35 *5705:162 0.000695598 -153 *1715:18 *5705:139 0.000137983 -154 *1722:21 *5705:169 0 -155 *1827:11 *5705:22 0 -156 *1829:50 *5705:16 0 -157 *1831:26 *5705:122 7.13611e-05 -158 *1833:43 *25836:A1 1.31516e-05 -159 *1864:70 *25730:A0 0.000516409 -160 *1868:21 *5705:22 0.000188624 -161 *2743:12 *5705:52 0 -162 *2744:36 *26819:A0 1.60474e-05 -163 *2745:24 *5705:67 6.87975e-06 -164 *2745:33 *25618:A1 5.66971e-05 -165 *2745:33 *26819:A0 9.85599e-06 -166 *3145:32 *5705:169 0.000155623 -167 *3460:21 *5705:162 5.27998e-05 -168 *3568:49 *5705:109 0.00116112 -169 *3568:52 *5705:109 0.000307365 -170 *3568:56 *25761:A1 1.98839e-05 -171 *3568:56 *5705:109 0.000314139 -172 *3568:59 *5705:26 0 -173 *3584:8 *5705:16 9.83148e-05 -174 *3584:13 *5705:16 6.26559e-05 -175 *3584:117 *5705:16 1.5942e-05 -176 *3651:8 *5705:139 1.76771e-05 -177 *3651:10 *5705:139 0.000140283 -178 *3653:22 *5705:44 4.99212e-05 -179 *3653:26 *5705:44 2.9208e-05 -180 *3653:122 *5705:44 0.00109083 -181 *3672:8 *5705:148 0.000303362 -182 *3672:8 *5705:162 0.000132091 -183 *3710:38 *5705:14 4.8936e-05 -184 *3710:38 *5705:16 1.08359e-05 -185 *3789:9 *5705:148 0.000143409 -186 *3789:9 *5705:162 4.44929e-05 -187 *3801:21 *5705:122 7.69776e-06 -188 *3830:15 *5705:14 5.33005e-05 -189 *3831:28 *5705:266 8.25843e-06 -190 *3841:13 *5705:5 4.47653e-05 -191 *3893:8 *5705:122 2.03044e-05 -192 *3919:12 *28018:A0 1.69115e-05 -193 *3986:26 *26855:A0 0 -194 *3996:8 *5705:169 0.000132938 -195 *4074:11 *5705:22 0.00033763 -196 *4129:34 *5705:44 0 -197 *4131:29 *25830:A1 9.41642e-05 -198 *4139:28 *28018:A0 0.000110763 -199 *4140:66 *25836:A1 0 -200 *4257:15 *5705:44 0.000194286 -201 *4258:16 *5705:44 7.9475e-05 -202 *4331:27 *5705:48 5.26224e-05 -203 *4332:24 *26819:A0 8.6229e-06 -204 *4332:25 *25618:A1 5.33005e-05 -205 *4371:19 *5705:162 4.37712e-06 -206 *4946:14 *5705:139 0.000360798 -207 *4964:17 *25920:A0 2.84109e-05 -208 *4964:17 *5705:122 0.000147807 -209 *4968:26 *25761:A1 5.33005e-05 -210 *4968:26 *5705:109 8.60719e-05 -211 *4969:15 *5705:44 7.14469e-05 -212 *5011:12 *5705:122 0.00118461 -213 *5026:35 *26813:A0 7.43578e-06 -214 *5026:35 *5705:48 2.07105e-05 -215 *5040:13 *25609:A1 0 -216 *5042:5 *26819:A0 5.33005e-05 -217 *5050:25 *26819:A0 0.000180951 -218 *5074:15 *5705:162 0.00272394 -219 *5126:11 *5705:139 0.000816923 -220 *5203:27 *26819:A0 0.000512954 -221 *5430:12 *26855:A0 8.01841e-05 -222 *5458:14 *5705:16 9.46737e-05 -223 *5484:64 *5705:139 0.000370489 -224 *5488:64 *5705:139 3.45981e-05 -225 *5493:18 *28018:A0 0.000304592 -226 *5506:24 *5705:169 0.0002327 -227 *5522:32 *5705:162 9.23367e-05 -228 *5523:68 *25609:A1 0.00134267 -229 *5532:15 *26819:A0 0.000202371 -230 *5624:94 *5705:162 4.06733e-05 -231 *5665:24 *5705:162 0.000313311 -232 *5680:358 *25773:A0 0.00014285 -233 *5685:66 *5705:162 0.000407381 -234 *5685:76 *5705:169 0 -235 *5685:151 *5705:122 3.29904e-05 -236 *5691:252 *5705:162 0.000841763 -237 *5691:325 *5705:44 0.000151333 -238 *5691:341 *5705:36 0.000835759 -239 *5691:341 *5705:44 0.00014576 -240 *5698:55 *28018:A0 0.000207237 -241 *5698:87 *28018:A0 0.000158893 -242 *5698:90 *5705:44 0 -243 *5698:106 *5705:44 0 -244 *5698:106 *5705:48 0.00017773 -245 *5698:179 *5705:169 2.59024e-05 -246 *5698:256 *5705:48 0.000195507 -247 *5698:285 *5705:22 0.000100555 -248 *5698:285 *5705:26 0 -249 *5698:292 *5705:22 0.000194105 -250 *5698:310 *5705:16 0.000134014 -251 *5698:310 *5705:22 0.000133005 -*RES -1 *28995:X *5705:5 11.4786 -2 *5705:5 *5705:14 14.7321 -3 *5705:14 *5705:16 18.3393 -4 *5705:16 *5705:22 25.1786 -5 *5705:22 *5705:26 10.6964 -6 *5705:26 *25648:A1 9.83571 -7 *5705:26 *5705:36 21.0179 -8 *5705:36 *5705:44 25.3036 -9 *5705:44 *5705:48 10.0714 -10 *5705:48 *5705:52 2.9375 -11 *5705:52 *26813:A0 19.5054 -12 *5705:52 *5705:67 7.14286 -13 *5705:67 *25618:A1 15.5232 -14 *5705:67 *26819:A0 30.7333 -15 *5705:48 *26810:A0 13.8 -16 *5705:44 *5705:96 4.5 -17 *5705:96 *25761:A1 9.83571 -18 *5705:96 *5705:109 18.7679 -19 *5705:109 *5705:122 22.8836 -20 *5705:122 *25920:A0 9.83571 -21 *5705:122 *5705:139 37.7857 -22 *5705:139 *25755:A1 9.3 -23 *5705:139 *5705:148 9.10714 -24 *5705:148 *25908:A0 15.5679 -25 *5705:148 *5705:162 17.1295 -26 *5705:162 *5705:169 21.2185 -27 *5705:169 *25609:A1 36.9071 -28 *5705:169 *25594:A1 16.3893 -29 *5705:162 *26855:A0 24.0442 -30 *5705:109 *25660:A1 9.3 -31 *5705:36 *5705:227 13.8036 -32 *5705:227 *25830:A1 25.4429 -33 *5705:227 *28018:A0 34.4071 -34 *5705:22 *25773:A0 15.1571 -35 *5705:16 *25672:A0 17.2107 -36 *5705:14 *5705:266 13.1607 -37 *5705:266 *25730:A0 31.0857 -38 *5705:266 *25944:A1 9.3 -39 *5705:5 *25836:A1 21.1571 -*END - -*D_NET *5706 0.105439 -*CONN -*I *25866:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27155:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25800:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25642:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25666:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25956:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25938:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25932:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25872:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25878:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *28995:A I *D sky130_fd_sc_hd__buf_6 -*I *25636:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25697:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25654:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25746:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25824:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25842:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28996:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *25866:A1 0.000142324 -2 *27155:A1 9.8193e-05 -3 *25800:A0 0.000323311 -4 *25642:A0 0.00156455 -5 *25666:A0 5.45333e-05 -6 *25956:A0 0 -7 *25938:A1 0 -8 *25932:A0 1.78458e-05 -9 *25872:A0 0.000196046 -10 *25878:A1 9.32458e-05 -11 *28995:A 0.000855589 -12 *25636:A1 6.47133e-05 -13 *25697:A1 0.000265298 -14 *25654:A1 0.00026486 -15 *25746:A0 7.26651e-05 -16 *25824:A1 0.000994071 -17 *25842:A0 0.00060893 -18 *28996:X 0.000205807 -19 *5706:242 0.00245157 -20 *5706:219 0.00160055 -21 *5706:200 0.00423872 -22 *5706:196 0.0023186 -23 *5706:195 0.00269431 -24 *5706:188 0.00149851 -25 *5706:176 0.00127962 -26 *5706:170 0.00250348 -27 *5706:159 0.00420663 -28 *5706:118 0.00104106 -29 *5706:96 0.000530158 -30 *5706:88 0.00101412 -31 *5706:82 0.00113681 -32 *5706:81 0.000273967 -33 *5706:78 0.00118926 -34 *5706:65 0.00150926 -35 *5706:63 0.00210578 -36 *5706:38 0.00436991 -37 *5706:27 0.00211779 -38 *5706:16 0.00173163 -39 *5706:8 0.00114806 -40 *25642:A0 *5909:76 0.000623364 -41 *25654:A1 *5739:170 6.63476e-05 -42 *25842:A0 *28293:RESET_B 0.000129513 -43 *25842:A0 *5741:171 0.000343898 -44 *25872:A0 *5712:52 1.92712e-05 -45 *27155:A1 *5838:31 0.000306505 -46 *28995:A *28387:SET_B 1.98839e-05 -47 *28995:A *5712:52 8.73466e-05 -48 *28995:A *5727:202 2.34204e-05 -49 *5706:8 *5707:9 0.000135028 -50 *5706:8 *5707:28 0.000137983 -51 *5706:8 *5742:332 0.000175892 -52 *5706:38 *5728:205 0.000500448 -53 *5706:78 *28331:RESET_B 4.70821e-05 -54 *5706:81 *5712:52 8.01164e-05 -55 *5706:118 *5712:52 0.000412176 -56 *5706:170 *5717:108 0.000142389 -57 *5706:170 *5717:117 3.4323e-06 -58 *5706:176 *5718:183 2.45832e-05 -59 *5706:219 *6054:21 0.000831706 -60 *5706:219 *6225:48 0.000211098 -61 *6609:DIODE *25746:A0 9.60875e-05 -62 *6609:DIODE *5706:88 1.24368e-05 -63 *25244:C1 *5706:176 6.30931e-05 -64 *25272:B2 *5706:242 0.000266301 -65 *25304:A1 *5706:78 0.000138881 -66 *25305:C1 *5706:188 2.40107e-05 -67 *25307:B2 *25642:A0 1.90936e-05 -68 *25310:A2 *5706:242 0.000101137 -69 *25326:A2 *5706:196 0.00118991 -70 *25326:B1 *5706:196 0.000317922 -71 *25339:A1 *5706:242 0.000720568 -72 *25400:B2 *5706:219 6.08608e-05 -73 *25491:A *5706:63 1.90936e-05 -74 *25491:A *5706:65 0.000268709 -75 *25491:A *5706:78 4.00349e-05 -76 *25634:B *28995:A 0.000237913 -77 *25636:A0 *25636:A1 0.000183726 -78 *25636:A0 *28995:A 0.000170385 -79 *25636:S *25636:A1 4.27935e-05 -80 *25641:A1 *25642:A0 5.33005e-05 -81 *25652:B *5706:63 0.000350954 -82 *25837:A0 *5706:63 0.000137556 -83 *25842:A1 *25842:A0 7.83659e-05 -84 *25866:A0 *25866:A1 0.000175892 -85 *25866:A0 *5706:8 1.69961e-05 -86 *25878:A0 *25878:A1 5.33005e-05 -87 *25938:S *5706:219 0.000274517 -88 *25956:S *5706:242 3.97677e-05 -89 *27155:A0 *27155:A1 2.84026e-05 -90 *27494:A1 *5706:196 0.000291323 -91 *27516:A2 *25642:A0 0.000604081 -92 *27518:A *5706:170 5.33005e-05 -93 *27519:A1 *25932:A0 1.34631e-05 -94 *27519:A1 *5706:188 0.000262223 -95 *27519:B2 *5706:170 2.45832e-05 -96 *27548:A1 *5706:196 2.06178e-05 -97 *27872:A *5706:188 1.91414e-05 -98 *27874:B2 *25666:A0 9.58181e-05 -99 *27874:B2 *5706:188 0.000137983 -100 *27878:A1 *5706:200 8.22771e-05 -101 *27878:A1 *5706:219 6.5919e-05 -102 *27880:A1 *5706:188 4.15666e-05 -103 *27880:B2 *5706:188 0.000140123 -104 *27881:D *5706:188 0.000221413 -105 *27881:D *5706:195 0.000346592 -106 *27884:B1 *25642:A0 5.51778e-05 -107 *27892:B2 *5706:196 6.09476e-05 -108 *27900:A2 *5706:196 5.00841e-06 -109 *28069:RESET_B *25654:A1 5.52238e-05 -110 *28131:D *28995:A 3.50062e-05 -111 *28331:D *5706:78 6.77953e-05 -112 *28387:D *28995:A 0.000396898 -113 *28718:D *25842:A0 2.12484e-05 -114 *28718:D *5706:16 5.08999e-05 -115 *28718:D *5706:27 0.000205421 -116 *28885:A *5706:88 6.12335e-05 -117 *28906:A *5706:88 2.89114e-05 -118 *29108:A *25697:A1 0.000273926 -119 *29177:A *5706:219 4.01689e-05 -120 *29449:A *28995:A 0.000127439 -121 *30252:A *25842:A0 0.000423611 -122 *30403:A *5706:118 0.000196104 -123 *402:13 *25824:A1 0 -124 *408:20 *25824:A1 0.00193099 -125 *408:20 *5706:38 0.000347073 -126 *472:8 *5706:219 2.19302e-05 -127 *1235:52 *5706:242 7.83659e-05 -128 *1251:29 *5706:176 0.000792119 -129 *1264:163 *5706:196 0.00205175 -130 *1264:163 *5706:200 6.47249e-05 -131 *1264:163 *5706:219 0.000984514 -132 *1323:5 *5706:242 0.000421074 -133 *1358:25 *5706:16 0.00170953 -134 *1401:49 *5706:242 0.00114304 -135 *1430:59 *25642:A0 0.000266501 -136 *1430:59 *5706:159 0.000957689 -137 *1430:59 *5706:176 0.000792733 -138 *1452:27 *5706:242 0.00011071 -139 *1471:95 *25642:A0 1.90936e-05 -140 *1471:121 *5706:242 2.06178e-05 -141 *1476:57 *5706:170 1.52978e-05 -142 *1476:75 *5706:170 0.00126673 -143 *1504:16 *5706:242 7.6644e-05 -144 *1603:47 *25824:A1 5.33005e-05 -145 *1619:25 *5706:196 1.36825e-05 -146 *1622:17 *25932:A0 5.33005e-05 -147 *1622:17 *5706:188 0.000126064 -148 *1671:24 *5706:219 0.000392305 -149 *1748:20 *5706:63 1.09611e-05 -150 *1769:16 *5706:170 5.52302e-05 -151 *1769:17 *25878:A1 0.000219711 -152 *1769:17 *5706:82 0.000181796 -153 *1769:17 *5706:88 0.00026129 -154 *1778:16 *25654:A1 5.33005e-05 -155 *1795:19 *25824:A1 5.52238e-05 -156 *1810:36 *25654:A1 0 -157 *1827:36 *25636:A1 1.24368e-05 -158 *1829:48 *25872:A0 7.40526e-05 -159 *1829:48 *28995:A 0.000993255 -160 *1829:48 *5706:81 0.000240221 -161 *1833:43 *5706:78 7.55769e-05 -162 *1844:66 *25654:A1 0.000387432 -163 *1862:36 *25654:A1 0.000158874 -164 *1862:36 *25697:A1 0.000194086 -165 *1864:89 *5706:88 0.000207274 -166 *1897:22 *5706:188 5.33005e-05 -167 *1897:31 *5706:188 0.000124521 -168 *1901:21 *5706:242 0.000135028 -169 *1901:35 *5706:242 0.000218685 -170 *2789:27 *5706:170 2.50479e-05 -171 *2855:121 *5706:8 6.56256e-05 -172 *2855:121 *5706:16 1.90936e-05 -173 *2860:56 *5706:242 0.00109457 -174 *2860:64 *5706:242 5.24988e-05 -175 *2872:41 *5706:196 0.000177033 -176 *2874:101 *5706:38 2.05803e-05 -177 *2878:92 *5706:170 1.48369e-05 -178 *2894:87 *25642:A0 2.46668e-05 -179 *3156:124 *25642:A0 0.000816282 -180 *3164:146 *5706:27 0.000223828 -181 *3164:146 *5706:38 0.00064821 -182 *3164:150 *25842:A0 2.79355e-05 -183 *3165:254 *5706:196 8.65688e-05 -184 *3168:66 *25642:A0 0.00010435 -185 *3168:83 *25642:A0 0.000512067 -186 *3173:94 *5706:188 0 -187 *3173:94 *5706:195 0 -188 *3178:62 *5706:196 1.08565e-05 -189 *3178:62 *5706:200 0.000152945 -190 *3178:69 *5706:200 8.77729e-05 -191 *3178:69 *5706:219 0.000125868 -192 *3182:97 *5706:196 0.000291948 -193 *3184:146 *25842:A0 8.6229e-06 -194 *3184:146 *5706:16 0.00171738 -195 *3184:146 *5706:27 2.30116e-06 -196 *3184:202 *5706:63 0.000147029 -197 *3192:60 *5706:196 8.89913e-05 -198 *3197:138 *25642:A0 0.000568863 -199 *3197:152 *25642:A0 9.3313e-05 -200 *3209:59 *5706:196 0.000114793 -201 *3215:104 *5706:188 5.95318e-05 -202 *3215:112 *5706:188 0.000605873 -203 *3215:112 *5706:195 0.000391462 -204 *3216:142 *5706:8 7.25338e-05 -205 *3216:142 *5706:16 1.90936e-05 -206 *3414:20 *5706:63 4.33865e-05 -207 *3421:10 *5706:196 0 -208 *3503:19 *5706:196 0.000398307 -209 *3570:50 *25872:A0 5.75147e-05 -210 *3570:50 *5706:78 0.000342302 -211 *3594:7 *5706:170 1.15172e-05 -212 *3605:44 *25697:A1 4.82865e-05 -213 *3637:29 *27155:A1 4.38942e-05 -214 *3637:59 *27155:A1 4.30357e-05 -215 *3661:31 *5706:242 0.000137427 -216 *3672:45 *5706:196 4.21103e-05 -217 *3685:20 *5706:38 0.000248569 -218 *3697:33 *25842:A0 0 -219 *3697:33 *5706:27 0.000196278 -220 *3697:33 *5706:38 0.00028844 -221 *3710:28 *5706:118 1.36825e-05 -222 *3710:38 *28995:A 0 -223 *3710:38 *5706:118 0.000187966 -224 *3711:34 *25824:A1 8.60225e-05 -225 *3711:34 *5706:78 7.40526e-05 -226 *3713:45 *25642:A0 9.00639e-05 -227 *3737:19 *25800:A0 0.00019259 -228 *3739:36 *5706:38 0.000173803 -229 *3741:27 *5706:27 0.00010798 -230 *3749:105 *5706:38 6.11997e-05 -231 *3750:5 *5706:78 8.02709e-05 -232 *3750:11 *5706:78 1.21258e-05 -233 *3763:25 *5706:170 5.33005e-05 -234 *3788:49 *5706:196 0.000160143 -235 *3805:59 *25642:A0 0.00111959 -236 *3805:59 *5706:38 0.000547097 -237 *3830:70 *25642:A0 2.12357e-05 -238 *3832:37 *5706:188 0.000702178 -239 *3840:46 *5706:196 0.000114416 -240 *3841:13 *28995:A 0.000814402 -241 *3853:45 *5706:242 0.000109026 -242 *3867:44 *5706:188 5.58941e-05 -243 *3870:18 *25824:A1 0.000394111 -244 *3870:18 *5706:38 0.00090205 -245 *3870:34 *5706:38 0.000306231 -246 *3882:47 *5706:38 8.33331e-06 -247 *3970:40 *5706:196 2.06112e-05 -248 *3986:40 *5706:242 7.69776e-06 -249 *4010:21 *5706:219 1.19011e-05 -250 *4010:26 *5706:219 1.44954e-05 -251 *4035:60 *5706:196 0.000847378 -252 *4066:33 *5706:8 0.000229332 -253 *4103:18 *5706:170 7.04329e-05 -254 *4126:39 *5706:159 0.000294094 -255 *4127:75 *25824:A1 6.43424e-05 -256 *4130:77 *25642:A0 0.000122836 -257 *4153:62 *5706:195 0.000515461 -258 *4159:56 *5706:38 4.96679e-06 -259 *4165:122 *5706:63 7.59402e-06 -260 *4186:20 *25642:A0 5.29336e-05 -261 *4186:20 *5706:159 0.000147134 -262 *4371:19 *5706:219 0.00121913 -263 *4372:35 *5706:219 0.000940626 -264 *4487:24 *5706:38 0.000183227 -265 *4617:23 *5706:219 5.33005e-05 -266 *4747:10 *25654:A1 0.000456985 -267 *4747:10 *25697:A1 6.98302e-05 -268 *5007:31 *5706:38 0.00052351 -269 *5007:31 *5706:63 0.000241552 -270 *5007:31 *5706:65 0.000265306 -271 *5007:31 *5706:78 4.18834e-05 -272 *5136:11 *25824:A1 6.09762e-05 -273 *5386:11 *25642:A0 8.83488e-05 -274 *5523:36 *5706:219 0.000331679 -275 *5523:41 *5706:219 1.32771e-05 -276 *5599:158 *5706:219 1.8726e-05 -277 *5625:52 *5706:242 0.000428493 -278 *5625:60 *5706:242 5.45241e-05 -279 *5646:38 *5706:196 0.000159532 -280 *5646:63 *5706:196 1.24469e-05 -281 *5661:80 *25642:A0 0.000115773 -282 *5666:77 *5706:63 0.000873745 -283 *5693:56 *25842:A0 0.000302674 -284 *5693:70 *25842:A0 0.000538669 -285 *5693:97 *25824:A1 0.000877612 -286 *5699:17 *25842:A0 4.00222e-05 -287 *5705:5 *28995:A 1.92905e-05 -288 *5705:14 *28995:A 0.000102327 -*RES -1 *28996:X *5706:8 19.4786 -2 *5706:8 *5706:16 10.7586 -3 *5706:16 *25842:A0 30.2018 -4 *5706:16 *5706:27 8.16964 -5 *5706:27 *5706:38 25.3634 -6 *5706:38 *25824:A1 22.2627 -7 *5706:38 *5706:63 17.1881 -8 *5706:63 *5706:65 3.61607 -9 *5706:65 *5706:78 29.7232 -10 *5706:78 *5706:81 7.66071 -11 *5706:81 *5706:82 1.76786 -12 *5706:82 *5706:88 12.375 -13 *5706:88 *25746:A0 10.2464 -14 *5706:88 *5706:96 4.5 -15 *5706:96 *25654:A1 23.2643 -16 *5706:96 *25697:A1 19.7286 -17 *5706:82 *5706:118 14.2857 -18 *5706:118 *25636:A1 11.0679 -19 *5706:118 *28995:A 43.4786 -20 *5706:81 *25878:A1 11.4786 -21 *5706:78 *25872:A0 16.6036 -22 *5706:65 *5706:159 5.04247 -23 *5706:159 *5706:170 48.9093 -24 *5706:170 *5706:176 10.1381 -25 *5706:176 *25932:A0 9.83571 -26 *5706:176 *5706:188 27.0714 -27 *5706:188 *5706:195 19.3393 -28 *5706:195 *5706:196 57.7946 -29 *5706:196 *5706:200 3.85714 -30 *5706:200 *5706:219 49.5982 -31 *5706:219 *25938:A1 9.3 -32 *5706:200 *5706:242 44.3957 -33 *5706:242 *25956:A0 9.3 -34 *5706:188 *25666:A0 14.7464 -35 *5706:159 *25642:A0 37.5751 -36 *5706:63 *25800:A0 17.6214 -37 *5706:27 *27155:A1 16.8 -38 *5706:8 *25866:A1 15.5679 -*END - -*D_NET *5707 0.120504 -*CONN -*I *26876:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *26930:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27161:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27179:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *6503:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *26831:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *6556:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27056:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26844:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27092:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *26826:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27047:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25950:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25890:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *26894:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26921:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28996:A I *D sky130_fd_sc_hd__buf_6 -*I *28997:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *26876:A1 0.00011755 -2 *26930:A0 5.53351e-05 -3 *27161:A1 0 -4 *27179:A0 6.03378e-05 -5 *6503:DIODE 0 -6 *26831:A1 0.0002183 -7 *6556:DIODE 0 -8 *27056:A0 0 -9 *26844:A0 0 -10 *27092:A1 0 -11 *26826:A0 0 -12 *27047:A0 0 -13 *25950:A1 0.000786238 -14 *25890:A1 0.000375389 -15 *26894:A0 0.00119185 -16 *26921:A0 0.000210389 -17 *28996:A 0 -18 *28997:X 0.000397397 -19 *5707:260 0.00254843 -20 *5707:251 0.00494046 -21 *5707:244 0.00474743 -22 *5707:222 0.00103411 -23 *5707:200 0.00122166 -24 *5707:178 0.00131569 -25 *5707:177 0.00185758 -26 *5707:172 0.00126626 -27 *5707:162 0.00283737 -28 *5707:156 0.000243174 -29 *5707:138 0.000808727 -30 *5707:137 0.000996714 -31 *5707:128 0.00110848 -32 *5707:113 0.00156932 -33 *5707:103 0.00251709 -34 *5707:100 0.00322531 -35 *5707:91 0.00212124 -36 *5707:80 0.00177918 -37 *5707:44 0.00181149 -38 *5707:41 0.00226538 -39 *5707:29 0.00629259 -40 *5707:28 0.00697232 -41 *5707:9 0.00158904 -42 *25890:A1 *25890:A0 0.000473446 -43 *25950:A1 *25950:A0 0.000226507 -44 *25950:A1 *5745:124 6.86693e-05 -45 *25950:A1 *5970:51 1.21289e-05 -46 *26876:A1 *26876:A0 5.33005e-05 -47 *26894:A0 *28483:SET_B 0.000183108 -48 *27179:A0 *27179:A1 5.52238e-05 -49 *5707:9 *28047:A 0.000186892 -50 *5707:9 *5713:24 7.58516e-05 -51 *5707:80 *28467:SET_B 0.000552109 -52 *5707:100 *28604:RESET_B 0.000105689 -53 *5707:100 *5754:168 0.000168939 -54 *5707:137 *25263:B2 0.000211946 -55 *5707:138 *27047:A1 5.71472e-05 -56 *5707:156 *27047:A1 0.000205344 -57 *5707:177 *28659:D 0.000412134 -58 *5707:178 *25261:A1 0.000104134 -59 *5707:200 *25261:A1 0.000561608 -60 *5707:200 *25952:A0 0.000358304 -61 *5707:200 *26825:A0 0 -62 *5707:200 *28393:D 7.35637e-05 -63 *5707:200 *6141:21 0.000265445 -64 *5707:222 *25261:A1 0.000113083 -65 *5707:222 *27092:A0 4.13496e-05 -66 *5707:222 *5746:8 3.07555e-05 -67 *5707:222 *5746:21 0.000195089 -68 *5707:222 *5747:37 2.56723e-05 -69 *5707:222 *6009:23 1.90936e-05 -70 *5707:244 *28773:SET_B 0.000225609 -71 *5707:244 *28774:RESET_B 0.000265025 -72 *5707:244 *5749:54 0.00114416 -73 *5707:251 *6191:17 0.000600365 -74 *5707:251 *6310:26 0.00014051 -75 *24985:A1 *5707:251 1.53743e-05 -76 *24985:A1 *5707:260 7.77751e-05 -77 *24985:A2 *5707:251 0 -78 *24985:B1 *5707:251 3.11502e-05 -79 *24985:B2 *5707:251 7.83587e-05 -80 *25009:A2 *5707:251 0.000239144 -81 *25015:B1 *5707:251 5.33005e-05 -82 *25015:B2 *5707:251 8.73799e-06 -83 *25259:C1 *5707:100 0.000471992 -84 *25259:C1 *5707:103 1.10856e-05 -85 *25261:B2 *5707:200 7.70134e-06 -86 *25263:A2 *5707:162 0.000161433 -87 *25263:A2 *5707:244 2.83129e-05 -88 *25277:B2 *5707:100 8.52838e-05 -89 *25291:A1 *26921:A0 0.000424029 -90 *25337:A2 *26894:A0 0.0002925 -91 *25590:B *5707:200 0.000249208 -92 *25604:A0 *5707:222 0.00046001 -93 *25604:A1 *5707:200 7.52178e-05 -94 *25866:A0 *5707:9 0.000136951 -95 *25866:A0 *5707:28 4.08637e-05 -96 *25890:S *25890:A1 5.33005e-05 -97 *25950:S *25950:A1 5.52302e-05 -98 *25952:S *5707:200 0.000265453 -99 *26825:S *5707:200 6.71327e-05 -100 *27019:S *26894:A0 7.53529e-06 -101 *27046:A1 *5707:137 1.8338e-05 -102 *27046:S *5707:137 0.000179809 -103 *27091:A0 *5707:113 9.9974e-05 -104 *27095:S *5707:178 5.33005e-05 -105 *27167:A0 *5707:260 0.000686869 -106 *27241:A1 *5707:244 3.97677e-05 -107 *27258:B *5707:251 5.45582e-05 -108 *27292:B2 *5707:29 2.34317e-05 -109 *27292:B2 *5707:41 6.27434e-05 -110 *27305:B *5707:41 0.000216755 -111 *27564:C1 *5707:28 6.74279e-05 -112 *27960:A2 *5707:28 2.15258e-05 -113 *28780:CLK *5707:244 0.000140933 -114 *28780:CLK *5707:251 0.00016801 -115 *28859:A *5707:9 0.00034199 -116 *28926:A *26894:A0 0.000133417 -117 *29111:A *5707:178 0.000184745 -118 *29140:A *5707:29 9.0036e-05 -119 *29562:A *5707:222 0.000175212 -120 *29743:A *5707:200 0.000140773 -121 *29865:A *26930:A0 9.31209e-05 -122 *29872:A *26921:A0 5.52302e-05 -123 *29878:A *5707:172 1.39726e-05 -124 *29894:A *5707:103 0.000106231 -125 *29894:A *5707:113 8.6229e-06 -126 *30662:A *5707:222 0.000354985 -127 *30833:A *5707:162 0 -128 *30833:A *5707:244 0 -129 *30871:A *5707:244 2.36291e-06 -130 *244:50 *5707:222 1.39841e-05 -131 *282:34 *26894:A0 0.000108511 -132 *282:34 *5707:44 0.00111317 -133 *775:23 *5707:222 1.39726e-05 -134 *1244:128 *5707:113 0.000153803 -135 *1245:76 *5707:222 0.000102564 -136 *1250:232 *5707:91 0.000157557 -137 *1260:20 *5707:29 2.79421e-05 -138 *1260:43 *26894:A0 1.19751e-05 -139 *1264:83 *5707:177 4.38243e-05 -140 *1265:40 *5707:41 0.000141944 -141 *1271:16 *25950:A1 0.000265385 -142 *1271:70 *25950:A1 0.000665676 -143 *1275:16 *5707:44 0.000111082 -144 *1275:54 *26894:A0 4.96451e-05 -145 *1277:70 *5707:244 0.000380023 -146 *1277:138 *5707:100 0.00142819 -147 *1277:138 *5707:103 0.000295931 -148 *1277:161 *5707:100 8.40344e-05 -149 *1279:11 *5707:29 6.43196e-05 -150 *1290:23 *5707:100 0.000627598 -151 *1290:34 *5707:103 7.86906e-05 -152 *1290:34 *5707:113 0.000113994 -153 *1290:42 *5707:113 0.000782878 -154 *1290:42 *5707:137 8.25089e-05 -155 *1290:48 *5707:172 0.000556561 -156 *1290:48 *5707:177 0.000133587 -157 *1290:53 *5707:177 3.17101e-05 -158 *1293:217 *5707:91 0.000110948 -159 *1328:115 *5707:103 0.000257773 -160 *1328:115 *5707:113 0.000152094 -161 *1358:25 *5707:28 0.00150455 -162 *1399:24 *5707:9 0.000164591 -163 *1423:54 *5707:9 1.24548e-05 -164 *1423:66 *5707:9 0.000125047 -165 *1446:10 *5707:138 2.14658e-05 -166 *1446:10 *5707:156 0.000105471 -167 *1482:13 *5707:29 0.000135028 -168 *1511:39 *26894:A0 0.000135028 -169 *1550:11 *25950:A1 0.00118809 -170 *1550:11 *5707:137 0.000232768 -171 *1550:11 *5707:138 5.71472e-05 -172 *1582:25 *5707:113 6.78252e-05 -173 *1631:13 *5707:29 0.000260574 -174 *1717:25 *5707:172 9.41642e-05 -175 *1815:34 *5707:200 0.000202846 -176 *1900:40 *5707:200 0.000307336 -177 *2746:5 *5707:200 1.98839e-05 -178 *2758:37 *5707:103 0.000537309 -179 *2777:26 *5707:137 3.22325e-05 -180 *2780:94 *5707:222 2.59093e-05 -181 *2782:27 *5707:178 5.33005e-05 -182 *2784:54 *5707:162 0.00020093 -183 *2790:58 *5707:103 0.0015433 -184 *2790:58 *5707:113 7.10552e-05 -185 *2790:77 *5707:113 0.00146432 -186 *2790:77 *5707:137 0.000315663 -187 *2813:11 *5707:244 5.91336e-05 -188 *2814:13 *5707:251 0.000685637 -189 *2817:52 *5707:244 1.32704e-05 -190 *2828:10 *5707:251 0.000174326 -191 *2834:31 *25890:A1 4.35421e-05 -192 *2834:31 *27179:A0 0.000256992 -193 *2834:31 *5707:128 0.000115552 -194 *2839:33 *5707:128 9.41642e-05 -195 *2839:33 *5707:137 6.20855e-06 -196 *2851:36 *5707:41 0.000141944 -197 *2855:175 *26894:A0 0.000563256 -198 *2856:157 *5707:41 0.000549251 -199 *2856:163 *5707:29 7.48301e-06 -200 *2856:163 *5707:41 3.07998e-05 -201 *2856:176 *5707:29 4.44369e-05 -202 *2860:184 *5707:91 4.58762e-05 -203 *2864:176 *5707:41 2.52304e-05 -204 *2864:176 *5707:80 0.000461937 -205 *2864:182 *5707:41 0.000135028 -206 *2870:21 *5707:41 9.41642e-05 -207 *2870:43 *5707:100 0.000431389 -208 *2870:65 *5707:100 6.48511e-05 -209 *2872:134 *5707:28 4.88232e-05 -210 *2879:67 *26894:A0 2.19298e-05 -211 *2886:26 *5707:113 0.000517095 -212 *3184:124 *5707:29 0.000892266 -213 *3184:130 *5707:29 0.00319946 -214 *3184:146 *5707:28 0.000344964 -215 *3184:169 *5707:28 0.000253937 -216 *3196:42 *25890:A1 0.000844936 -217 *3196:42 *27179:A0 7.84272e-05 -218 *3196:42 *5707:128 0.00031652 -219 *3205:27 *5707:113 8.90826e-05 -220 *3209:117 *5707:28 9.85424e-06 -221 *3216:53 *25890:A1 2.42516e-05 -222 *3412:41 *5707:200 4.35336e-05 -223 *3452:6 *5707:9 3.68021e-05 -224 *3461:26 *5707:28 0 -225 *3540:8 *26894:A0 0.000101805 -226 *3540:8 *5707:44 0.00111488 -227 *3724:70 *5707:9 6.64291e-05 -228 *3752:22 *5707:28 9.25014e-06 -229 *3794:19 *5707:162 0.000201332 -230 *3794:86 *5707:91 0.000288966 -231 *3794:86 *5707:100 0.000976773 -232 *3794:86 *5707:103 0.00011981 -233 *3796:23 *5707:260 0.000351496 -234 *3797:21 *5707:172 0.000250993 -235 *3797:35 *5707:172 0.000180771 -236 *3799:7 *5707:260 0.000178099 -237 *3834:57 *26930:A0 0.000177821 -238 *3871:50 *5707:9 7.57673e-05 -239 *3900:60 *5707:100 2.30116e-06 -240 *3972:24 *25950:A1 0.00111409 -241 *3995:9 *5707:260 1.98839e-05 -242 *4015:26 *5707:103 0.00304525 -243 *4015:31 *5707:100 0 -244 *4015:37 *5707:91 0.000204208 -245 *4015:37 *5707:100 0.000150457 -246 *4044:14 *5707:80 0.000265705 -247 *4066:33 *5707:28 0.000109132 -248 *4087:26 *5707:28 5.53021e-05 -249 *4113:104 *5707:28 6.53397e-05 -250 *4133:85 *5707:29 0.00012783 -251 *4186:20 *5707:100 2.28246e-05 -252 *4574:47 *5707:244 0 -253 *4740:33 *5707:222 0.000333946 -254 *5448:21 *5707:137 0.000684162 -255 *5448:26 *5707:137 6.27173e-05 -256 *5481:6 *5707:177 0.00148314 -257 *5486:22 *5707:222 0.000434367 -258 *5505:41 *5707:200 9.60939e-05 -259 *5521:41 *5707:222 0.000563302 -260 *5522:85 *5707:260 3.69047e-06 -261 *5581:25 *5707:244 0.000230586 -262 *5599:72 *5707:244 5.2617e-05 -263 *5599:91 *5707:244 0.000256054 -264 *5625:29 *25950:A1 0.000806567 -265 *5625:33 *25950:A1 0.000304394 -266 *5627:75 *26894:A0 0.000184037 -267 *5635:72 *5707:100 0.000267256 -268 *5636:10 *25950:A1 8.79057e-05 -269 *5643:58 *26876:A1 6.13757e-06 -270 *5643:58 *5707:80 9.33978e-07 -271 *5644:186 *5707:91 0.000282334 -272 *5644:186 *5707:100 0.000268122 -273 *5653:146 *26894:A0 0.000135028 -274 *5655:29 *5707:113 0 -275 *5661:210 *26894:A0 1.4396e-05 -276 *5661:210 *5707:80 0.000267412 -277 *5675:132 *26831:A1 0.000139177 -278 *5675:132 *5707:260 0.000125095 -279 *5675:140 *5707:260 0.000221473 -280 *5678:267 *5707:178 0.000484518 -281 *5678:267 *5707:200 0.000478626 -282 *5678:267 *5707:222 0.00022459 -283 *5687:14 *5707:28 4.1879e-05 -284 *5687:114 *5707:100 0 -285 *5687:153 *5707:172 0.00107891 -286 *5687:153 *5707:177 3.17148e-05 -287 *5694:19 *5707:28 0.000117384 -288 *5700:50 *26894:A0 8.04637e-05 -289 *5700:55 *5707:100 0.000137113 -290 *5700:77 *5707:100 0.000316081 -291 *5700:137 *5707:113 0.000208948 -292 *5700:353 *5707:91 1.14338e-05 -293 *5706:8 *5707:9 0.000135028 -294 *5706:8 *5707:28 0.000137983 -*RES -1 *28997:X *5707:9 29.1929 -2 *5707:9 *28996:A 9.3 -3 *5707:9 *5707:28 26.5851 -4 *5707:28 *5707:29 69.9688 -5 *5707:29 *5707:41 20.2455 -6 *5707:41 *5707:44 19.1964 -7 *5707:44 *26921:A0 18.0321 -8 *5707:44 *26894:A0 38.8294 -9 *5707:41 *5707:80 28.3214 -10 *5707:80 *5707:91 18.7439 -11 *5707:91 *5707:100 49.9522 -12 *5707:100 *5707:103 48.0082 -13 *5707:103 *5707:113 34.874 -14 *5707:113 *25890:A1 19.8357 -15 *5707:113 *5707:128 4.26786 -16 *5707:128 *5707:137 28.9107 -17 *5707:137 *5707:138 0.946429 -18 *5707:138 *25950:A1 46.8 -19 *5707:138 *27047:A0 9.3 -20 *5707:137 *5707:156 2.17857 -21 *5707:156 *5707:162 10.8786 -22 *5707:162 *5707:172 23.4546 -23 *5707:172 *5707:177 28.8571 -24 *5707:177 *5707:178 4.64286 -25 *5707:178 *5707:200 45.125 -26 *5707:200 *26826:A0 9.3 -27 *5707:178 *27092:A1 9.3 -28 *5707:177 *5707:222 42.875 -29 *5707:222 *26844:A0 9.3 -30 *5707:172 *27056:A0 9.3 -31 *5707:162 *5707:244 27.0852 -32 *5707:244 *5707:251 43.0893 -33 *5707:251 *5707:260 36.0357 -34 *5707:260 *6556:DIODE 9.3 -35 *5707:260 *26831:A1 13.1214 -36 *5707:156 *6503:DIODE 9.3 -37 *5707:128 *27179:A0 11.8893 -38 *5707:103 *27161:A1 13.8 -39 *5707:91 *26930:A0 15.5679 -40 *5707:80 *26876:A1 10.6571 -*END - -*D_NET *5708 0.0910491 -*CONN -*I *27074:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27128:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27188:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27170:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27146:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27038:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27110:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27065:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27137:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27119:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26993:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26885:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26966:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26975:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25627:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26984:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27197:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26957:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27101:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27020:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *28998:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *27074:A0 0.000632181 -2 *27128:A0 0.000456876 -3 *27188:A0 0.000161359 -4 *27170:A0 0 -5 *27146:A0 6.58518e-05 -6 *27038:A0 0 -7 *27110:A0 0.000427611 -8 *27065:A0 6.70188e-05 -9 *27137:A0 0.000300034 -10 *27119:A0 0 -11 *26993:A0 0.000100364 -12 *26885:A0 0 -13 *26966:A0 0.000246045 -14 *26975:A0 0 -15 *25627:A0 0.000401122 -16 *26984:A0 0.000241569 -17 *27197:A0 0.000873542 -18 *26957:A0 0 -19 *27101:A0 0.000147539 -20 *27020:A1 0.000272463 -21 *28998:X 0 -22 *5708:278 0.00141551 -23 *5708:211 0.00195285 -24 *5708:209 0.00453875 -25 *5708:180 0.000673667 -26 *5708:179 0.00339956 -27 *5708:164 0.00306008 -28 *5708:147 0.0017612 -29 *5708:141 0.00190017 -30 *5708:117 0.0022003 -31 *5708:100 0.00182811 -32 *5708:86 0.00120361 -33 *5708:80 0.00160892 -34 *5708:58 0.00176563 -35 *5708:56 0.00100859 -36 *5708:54 0.000784257 -37 *5708:46 0.00106325 -38 *5708:34 0.00185609 -39 *5708:25 0.00208555 -40 *5708:17 0.00127493 -41 *5708:9 0.00127209 -42 *5708:5 0.000673353 -43 *25627:A0 *5892:74 9.41642e-05 -44 *26984:A0 *28563:SET_B 9.40245e-05 -45 *27101:A0 *27101:S 5.63843e-05 -46 *27128:A0 *5769:114 0.000189863 -47 *27128:A0 *5769:126 0.000136754 -48 *27128:A0 *5769:135 5.01213e-05 -49 *27188:A0 *5896:17 1.74352e-05 -50 *27197:A0 *5764:156 2.75036e-05 -51 *27197:A0 *5892:74 0.000962302 -52 *5708:9 *5725:17 0 -53 *5708:9 *5769:45 0.000168503 -54 *5708:9 *5769:53 5.5764e-05 -55 *5708:9 *5770:30 5.94805e-05 -56 *5708:9 *5820:46 5.33005e-05 -57 *5708:17 *5725:17 9.26316e-05 -58 *5708:17 *5820:46 0.000140933 -59 *5708:17 *5863:77 0.000117662 -60 *5708:17 *5863:98 0.000181525 -61 *5708:17 *5881:54 0 -62 *5708:25 *28611:SET_B 0.000137686 -63 *5708:34 *28126:D 5.97623e-05 -64 *5708:34 *5795:70 1.84726e-05 -65 *5708:34 *5810:97 0.000341801 -66 *5708:34 *5863:98 0.00155988 -67 *5708:34 *5879:58 0.000394681 -68 *5708:34 *5879:66 5.59013e-05 -69 *5708:46 *25203:A1 0.000175892 -70 *5708:46 *5879:66 4.11218e-05 -71 *5708:54 *27122:A1 9.67203e-05 -72 *5708:54 *28686:D 9.41642e-05 -73 *5708:80 *27140:A0 0.000108633 -74 *5708:80 *5795:82 0.000205263 -75 *5708:100 *5795:96 0.00129224 -76 *5708:117 *27101:S 0.000417459 -77 *5708:117 *5748:15 5.52238e-05 -78 *5708:141 *27101:S 2.67281e-05 -79 *5708:141 *28539:SET_B 1.4477e-06 -80 *5708:141 *5873:232 8.93691e-05 -81 *5708:164 *28539:SET_B 2.01997e-05 -82 *5708:211 *5892:57 0.00208224 -83 *5708:278 *30479:A 0.000316069 -84 *5708:278 *5769:45 0.000235765 -85 *5708:278 *5769:108 0.000200413 -86 *5708:278 *5769:114 0.000103253 -87 *6608:DIODE *5708:179 0.000191851 -88 *25093:A2 *5708:80 0.000105471 -89 *25249:A2 *5708:141 2.79509e-06 -90 *25249:B1 *5708:141 6.4045e-05 -91 *25249:B2 *5708:141 9.52522e-06 -92 *25249:C1 *5708:141 0.000425309 -93 *25315:C1 *5708:34 9.82379e-05 -94 *25340:A1 *5708:80 0.000572336 -95 *26885:A1 *5708:117 0.000340995 -96 *26885:A1 *5708:141 1.21258e-05 -97 *26885:S *5708:141 1.97695e-05 -98 *26957:S *5708:147 3.99614e-06 -99 *26958:S *5708:164 6.05161e-06 -100 *26966:A1 *26966:A0 0.000426141 -101 *26966:S *26966:A0 1.98839e-05 -102 *26993:S *26993:A0 0.000175892 -103 *27020:S *27020:A1 7.20336e-05 -104 *27038:A1 *5708:25 0.000135028 -105 *27119:A1 *5708:58 0.000122933 -106 *27119:A1 *5708:80 9.54798e-06 -107 *27197:A1 *27197:A0 9.58181e-05 -108 *27342:C1 *5708:164 1.90936e-05 -109 *27351:B1 *27197:A0 0.000141 -110 *27351:B1 *5708:179 0.000643175 -111 *27371:C1 *5708:164 8.63692e-05 -112 *27413:A2 *5708:58 0.00013585 -113 *27413:A2 *5708:80 0.000190656 -114 *27414:B2 *27137:A0 0.000145239 -115 *27414:B2 *5708:58 0.000121418 -116 *27468:A1 *5708:211 0.000170061 -117 *27468:A2 *5708:211 0.000216755 -118 *27468:B1 *5708:211 5.33005e-05 -119 *27471:C *5708:211 2.08411e-05 -120 *27609:A2 *27146:A0 5.67624e-05 -121 *27609:A2 *5708:17 9.3927e-05 -122 *28499:CLK *5708:17 5.96827e-05 -123 *28611:D *5708:25 1.76241e-05 -124 *28667:D *5708:117 0.000461937 -125 *28728:D *5708:9 0 -126 *28899:A *27065:A0 9.83442e-05 -127 *29214:A *5708:211 0.000971958 -128 *29307:A *5708:54 1.90303e-05 -129 *29458:A *27074:A0 9.23437e-05 -130 *29672:A *5708:46 3.51442e-05 -131 *30419:A *27101:A0 4.20621e-05 -132 *30459:A *5708:9 0 -133 *30512:A *5708:117 4.85033e-05 -134 *30512:A *5708:141 9.41642e-05 -135 *1258:49 *27110:A0 9.60875e-05 -136 *1258:123 *5708:211 0.00221571 -137 *1261:11 *5708:117 2.42516e-05 -138 *1261:25 *5708:141 3.99173e-05 -139 *1266:64 *5708:141 9.41642e-05 -140 *1266:76 *5708:141 8.17274e-05 -141 *1266:148 *5708:211 0.000719935 -142 *1267:65 *5708:80 3.76491e-05 -143 *1281:145 *26984:A0 0.000100688 -144 *1281:145 *5708:180 5.43318e-05 -145 *1281:145 *5708:209 0.000375721 -146 *1286:26 *5708:141 6.59103e-06 -147 *1286:72 *5708:25 8.84757e-05 -148 *1286:168 *5708:147 0.000670363 -149 *1286:168 *5708:164 9.41642e-05 -150 *1292:85 *5708:34 0.00025637 -151 *1361:45 *5708:34 0.000476926 -152 *1361:45 *5708:46 9.60939e-05 -153 *1516:21 *5708:147 0.000354894 -154 *1516:21 *5708:164 2.05612e-05 -155 *1523:7 *5708:46 4.10926e-05 -156 *1562:19 *5708:46 9.60875e-05 -157 *1633:16 *5708:46 0.000188513 -158 *1633:16 *5708:54 0.000838364 -159 *1633:29 *5708:54 2.30116e-06 -160 *1633:29 *5708:56 7.57798e-05 -161 *1633:45 *5708:80 0.000318174 -162 *1638:36 *27197:A0 0.000122602 -163 *1638:36 *5708:179 0.000186457 -164 *1660:31 *5708:80 0.000266723 -165 *1680:17 *5708:34 1.09026e-05 -166 *1826:117 *5708:179 0.000173451 -167 *2761:80 *5708:34 0.000284475 -168 *2774:99 *5708:80 4.51691e-05 -169 *2774:99 *5708:86 0.000257947 -170 *2779:80 *27110:A0 0.000217133 -171 *2779:96 *5708:80 0.000539201 -172 *2780:272 *27074:A0 0.000104829 -173 *2784:112 *27110:A0 0.000177815 -174 *2791:233 *5708:9 7.55759e-05 -175 *2856:314 *5708:211 0.000124245 -176 *2859:214 *5708:164 5.59827e-05 -177 *2866:270 *5708:164 0.000187218 -178 *2872:260 *26966:A0 0.000467004 -179 *2875:275 *5708:80 0 -180 *2877:313 *5708:141 0.000161433 -181 *2877:349 *5708:141 1.27971e-05 -182 *2883:250 *5708:56 0.000346266 -183 *2883:250 *5708:58 0.000726738 -184 *2886:295 *27020:A1 0.000194533 -185 *2886:295 *5708:100 0.000286925 -186 *2888:212 *27197:A0 8.63902e-05 -187 *2889:65 *5708:80 9.69115e-05 -188 *2891:200 *5708:80 0.000227157 -189 *2894:237 *5708:164 0.000173013 -190 *2894:303 *5708:164 0.00134521 -191 *2905:14 *5708:164 0.000110498 -192 *2922:20 *26966:A0 3.17148e-05 -193 *2922:27 *26966:A0 2.8046e-05 -194 *2958:34 *26966:A0 0.000261161 -195 *2958:34 *5708:147 0.000281817 -196 *3162:18 *5708:46 8.0573e-05 -197 *3162:18 *5708:54 0.00051415 -198 *3162:18 *5708:56 0.000606839 -199 *3162:18 *5708:58 2.26973e-05 -200 *3162:20 *5708:58 0.000248842 -201 *3162:20 *5708:80 6.59723e-05 -202 *3162:20 *5708:86 0.000257947 -203 *3164:281 *5708:211 0.000175046 -204 *3177:121 *27137:A0 0.00024398 -205 *3538:16 *25627:A0 7.72916e-05 -206 *3558:227 *5708:211 7.80417e-05 -207 *3558:231 *5708:211 0.000263524 -208 *3601:32 *5708:17 1.78353e-05 -209 *3601:50 *5708:9 0.000188369 -210 *3601:50 *5708:17 3.66984e-05 -211 *3690:61 *5708:209 3.15436e-05 -212 *3690:61 *5708:211 7.20217e-06 -213 *3690:63 *5708:209 0.00299008 -214 *3703:13 *25627:A0 0.000171881 -215 *3712:22 *5708:80 4.89544e-06 -216 *3797:101 *5708:58 3.22834e-05 -217 *3797:101 *5708:80 1.44868e-05 -218 *3846:34 *5708:117 2.61337e-05 -219 *3848:40 *5708:164 0.000299955 -220 *3899:40 *27197:A0 8.44353e-05 -221 *3907:6 *27128:A0 0.000182778 -222 *3907:6 *5708:278 1.57821e-05 -223 *3907:16 *5708:9 4.04996e-05 -224 *3907:16 *5708:278 6.27379e-05 -225 *3907:27 *5708:9 0.000635629 -226 *3907:27 *5708:25 0.000140062 -227 *3913:50 *25627:A0 7.40526e-05 -228 *3924:73 *5708:117 5.58941e-05 -229 *4044:13 *27137:A0 9.60939e-05 -230 *4069:89 *5708:141 6.28203e-05 -231 *4080:41 *5708:34 0.00126907 -232 *4080:41 *5708:46 1.45616e-05 -233 *4080:41 *5708:54 0.000116963 -234 *4080:44 *5708:80 0.000205263 -235 *4080:44 *5708:100 0.000174011 -236 *4086:35 *5708:211 0.000165385 -237 *4115:10 *5708:34 0.000131374 -238 *4132:33 *27074:A0 0 -239 *4446:13 *27128:A0 5.52302e-05 -240 *4509:13 *27128:A0 0.000126669 -241 *4699:9 *27188:A0 0.00018077 -242 *5593:36 *5708:17 0.000615745 -243 *5593:36 *5708:25 3.36554e-05 -244 *5600:151 *5708:164 0.000273174 -245 *5609:165 *27197:A0 0.000221219 -246 *5630:264 *5708:58 0.00013359 -247 *5638:136 *5708:25 0.000604463 -248 *5640:235 *5708:34 0.000410163 -249 *5641:180 *5708:17 0.000455199 -250 *5649:120 *26984:A0 2.84009e-05 -251 *5650:234 *26984:A0 2.22891e-05 -252 *5651:410 *5708:25 3.55471e-05 -253 *5651:410 *5708:34 1.07858e-05 -254 *5654:68 *26984:A0 0.000379382 -255 *5654:68 *5708:180 6.35819e-05 -256 *5654:68 *5708:209 0.000380703 -257 *5661:232 *5708:80 0.000215989 -258 *5661:232 *5708:100 0.000953906 -259 *5663:125 *26966:A0 0.00018032 -260 *5663:125 *5708:147 0.000277067 -261 *5669:265 *5708:17 0 -262 *5692:47 *27110:A0 0.000981451 -*RES -1 *28998:X *5708:5 13.8 -2 *5708:5 *5708:9 17.9107 -3 *5708:9 *5708:17 26.8214 -4 *5708:17 *5708:25 20.7321 -5 *5708:25 *5708:34 47.3214 -6 *5708:34 *5708:46 23.3929 -7 *5708:46 *5708:54 21.4286 -8 *5708:54 *5708:56 8.32143 -9 *5708:56 *5708:58 11.9643 -10 *5708:58 *5708:80 43.0602 -11 *5708:80 *5708:86 13.1964 -12 *5708:86 *27020:A1 13.0321 -13 *5708:86 *5708:100 29.125 -14 *5708:100 *27101:A0 11.925 -15 *5708:100 *5708:117 22.7143 -16 *5708:117 *5708:141 25.8294 -17 *5708:141 *5708:147 15.6518 -18 *5708:147 *26957:A0 9.3 -19 *5708:147 *5708:164 20.1315 -20 *5708:164 *27197:A0 22.677 -21 *5708:164 *5708:179 5.65503 -22 *5708:179 *5708:180 0.883929 -23 *5708:180 *26984:A0 19.7286 -24 *5708:180 *25627:A0 28.1929 -25 *5708:179 *5708:209 40.5804 -26 *5708:209 *5708:211 47.7679 -27 *5708:211 *26975:A0 9.3 -28 *5708:141 *26966:A0 22.0589 -29 *5708:117 *26885:A0 9.3 -30 *5708:80 *26993:A0 11.0679 -31 *5708:58 *27119:A0 13.8 -32 *5708:56 *27137:A0 19.675 -33 *5708:54 *27065:A0 14.7464 -34 *5708:46 *27110:A0 24.7286 -35 *5708:25 *27038:A0 9.3 -36 *5708:17 *27146:A0 10.2464 -37 *5708:9 *27170:A0 9.3 -38 *5708:5 *5708:278 6.80357 -39 *5708:278 *27188:A0 15.9786 -40 *5708:278 *27128:A0 24.7107 -41 *5708:278 *27074:A0 22.0969 -*END - -*D_NET *5709 0.131507 -*CONN -*I *28998:A I *D sky130_fd_sc_hd__buf_6 -*I *25680:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25737:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26858:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25914:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25896:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25926:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27011:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27083:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27029:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26903:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27002:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25884:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25767:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25785:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25848:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25860:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25779:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25902:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26948:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *28999:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *28998:A 0 -2 *25680:A1 0.000113271 -3 *25737:A0 8.59501e-05 -4 *26858:A0 0 -5 *25914:A0 7.51602e-05 -6 *25896:A1 0.00149005 -7 *25926:A0 9.9383e-05 -8 *27011:A0 5.26023e-05 -9 *27083:A0 0.000354417 -10 *27029:A0 0.000162359 -11 *26903:A0 0.000444217 -12 *27002:A0 0.000313744 -13 *25884:A1 0 -14 *25767:A0 0.000140274 -15 *25785:A1 0.000239196 -16 *25848:A0 0 -17 *25860:A0 0.00120262 -18 *25779:A0 0.000655722 -19 *25902:A0 0.000159291 -20 *26948:A1 5.27598e-05 -21 *28999:X 0.000268989 -22 *5709:332 0.00141544 -23 *5709:327 0.00234979 -24 *5709:312 0.00500876 -25 *5709:290 0.00186251 -26 *5709:275 0.00606298 -27 *5709:272 0.00306117 -28 *5709:263 0.003299 -29 *5709:254 0.00261818 -30 *5709:187 0.000914874 -31 *5709:182 0.00389038 -32 *5709:159 0.00378896 -33 *5709:155 0.00158064 -34 *5709:112 0.0021325 -35 *5709:107 0.00188246 -36 *5709:102 0.00224481 -37 *5709:94 0.00180693 -38 *5709:91 0.00196731 -39 *5709:87 0.000815909 -40 *5709:85 0.00252619 -41 *5709:79 0.00105833 -42 *5709:76 0.00158087 -43 *5709:50 0.00399798 -44 *5709:38 0.00342028 -45 *5709:32 0.0023824 -46 *5709:20 0.00376996 -47 *5709:10 0.000761354 -48 *25680:A1 *25736:S 4.66511e-05 -49 *25680:A1 *25737:A1 0.000201127 -50 *25680:A1 *5893:113 0.000179656 -51 *25737:A0 *25737:A1 9.60875e-05 -52 *25767:A0 *28405:RESET_B 5.33005e-05 -53 *25767:A0 *5780:8 0.000173804 -54 *25785:A1 *25785:A0 5.52302e-05 -55 *25785:A1 *5839:63 0.000128409 -56 *25860:A0 *25859:A0 0.000190651 -57 *25860:A0 *25860:S 3.23206e-05 -58 *25860:A0 *25861:A0 0.000438405 -59 *25860:A0 *28294:RESET_B 0 -60 *25860:A0 *28299:RESET_B 0 -61 *25860:A0 *29012:A 0.000533981 -62 *25860:A0 *5715:162 0.000262432 -63 *25860:A0 *5839:11 0.000103267 -64 *25860:A0 *5841:13 0.000266479 -65 *25860:A0 *5841:22 1.19402e-05 -66 *25896:A1 *5824:11 0.000161326 -67 *25896:A1 *5824:23 4.5966e-05 -68 *25896:A1 *5833:23 5.74499e-06 -69 *25896:A1 *5833:40 4.00679e-05 -70 *25902:A0 *25902:A1 8.85631e-05 -71 *26948:A1 *5914:80 0.000223558 -72 *5709:10 *28999:A 0.000318774 -73 *5709:10 *5715:17 0.000359529 -74 *5709:20 *28999:A 0.000852814 -75 *5709:20 *5914:80 0.000126566 -76 *5709:32 *28999:A 8.1932e-05 -77 *5709:32 *5729:176 6.57032e-05 -78 *5709:32 *5763:79 8.43535e-06 -79 *5709:32 *5832:8 0.000120644 -80 *5709:50 *28614:RESET_B 0.000135028 -81 *5709:76 *5762:14 5.01537e-05 -82 *5709:76 *5762:27 0.000120006 -83 *5709:76 *5878:14 0.00193995 -84 *5709:79 *5760:119 0.000150625 -85 *5709:79 *5760:138 0.000384995 -86 *5709:79 *5798:10 0.000392211 -87 *5709:85 *5824:90 0.00015134 -88 *5709:87 *5758:63 0.000401868 -89 *5709:91 *5758:63 9.30022e-05 -90 *5709:91 *5758:65 0.000268981 -91 *5709:91 *5758:75 0.000149904 -92 *5709:94 *25884:A0 0.00062772 -93 *5709:94 *28337:D 5.75147e-05 -94 *5709:94 *29531:A 0.000305285 -95 *5709:102 *25884:A0 5.33005e-05 -96 *5709:112 *5841:11 0.000403637 -97 *5709:112 *5841:13 8.36572e-05 -98 *5709:155 *28337:D 1.15281e-05 -99 *5709:182 *27011:A1 6.78243e-05 -100 *5709:254 *28534:RESET_B 0.000219464 -101 *5709:254 *5763:97 9.41642e-05 -102 *5709:254 *5777:94 0 -103 *5709:254 *5795:19 0.000163327 -104 *5709:263 *5777:94 0.000169047 -105 *5709:263 *5795:19 0.00133799 -106 *5709:272 *28502:RESET_B 7.22686e-05 -107 *5709:272 *28508:RESET_B 3.48497e-05 -108 *5709:272 *5725:17 7.09043e-05 -109 *5709:272 *5769:53 6.94777e-05 -110 *5709:272 *5770:30 0.000144139 -111 *5709:272 *5863:60 0.000188545 -112 *5709:290 *30567:A 0.000112804 -113 *5709:290 *5829:42 5.64657e-05 -114 *5709:290 *5863:60 0.000112071 -115 *5709:290 *5903:28 0.00125421 -116 *5709:312 *26917:A1 0.000121891 -117 *5709:312 *27208:A1 3.76286e-05 -118 *5709:312 *28504:D 9.61187e-05 -119 *5709:312 *5829:207 0.000180202 -120 *5709:312 *5829:221 7.22772e-05 -121 *5709:312 *5863:32 6.9252e-05 -122 *5709:327 *26917:A1 7.48172e-05 -123 *5709:327 *26917:S 9.41642e-05 -124 *5709:327 *27212:A0 0.000355409 -125 *5709:327 *27212:S 1.51489e-05 -126 *5709:327 *28504:D 4.69061e-05 -127 *5709:327 *28758:RESET_B 0.000180207 -128 *5709:327 *5829:221 0.000182253 -129 *5709:332 *25736:S 0.000174539 -130 *5709:332 *28205:D 3.11088e-05 -131 *6618:DIODE *5709:182 9.63449e-05 -132 *24835:A *5709:290 0.000753417 -133 *25115:A2 *27002:A0 4.89264e-05 -134 *25246:B1 *5709:182 0.000247837 -135 *25680:S *25680:A1 9.41642e-05 -136 *25765:A *25896:A1 5.74499e-06 -137 *25779:S *25779:A0 9.90431e-05 -138 *25894:A *25914:A0 0.000292983 -139 *25894:A *5709:85 0.00026737 -140 *25896:S *25896:A1 0.000111491 -141 *25902:S *25902:A0 9.41642e-05 -142 *25924:B *25896:A1 2.14658e-05 -143 *25929:A0 *25926:A0 0.000139907 -144 *26745:B *5709:107 0.000293268 -145 *26763:B *5709:107 0.000200413 -146 *26795:A *5709:107 0 -147 *26850:A0 *5709:290 1.94945e-05 -148 *26917:A0 *5709:327 7.22574e-05 -149 *26920:S *5709:32 6.05161e-06 -150 *26948:S *5709:20 2.61189e-05 -151 *27002:S *27002:A0 8.55871e-05 -152 *27011:S *5709:159 0.000104406 -153 *27028:S *5709:38 0.000119618 -154 *27029:S *27029:A0 4.85049e-06 -155 *27173:A0 *5709:182 7.40839e-05 -156 *27173:A1 *5709:182 0.000104587 -157 *27173:S *5709:182 2.12005e-05 -158 *27212:A1 *5709:327 0.000650088 -159 *27658:A2 *5709:32 0.000181107 -160 *27661:A1 *5709:38 0.000736637 -161 *28067:SET_B *5709:76 0.000102545 -162 *28234:D *5709:85 0.00013061 -163 *28234:D *5709:87 3.28967e-05 -164 *28245:D *5709:112 3.2534e-05 -165 *28246:CLK *5709:107 6.97972e-05 -166 *28303:CLK *5709:112 0.000759543 -167 *28363:CLK *5709:85 0.000748729 -168 *28447:CLK *5709:272 0.000322741 -169 *28474:D *5709:38 6.97972e-05 -170 *28504:CLK *5709:312 0.00020349 -171 *28508:D *5709:272 0.000324122 -172 *28630:D *5709:182 9.60939e-05 -173 *28748:CLK *5709:312 0.000100074 -174 *28886:A *5709:107 0 -175 *28893:A *5709:79 0.00126555 -176 *28959:A *5709:107 9.0145e-05 -177 *29123:A *5709:272 1.35553e-05 -178 *29146:A *5709:38 5.98293e-05 -179 *29251:A *5709:327 0.000775759 -180 *29373:A *5709:290 0 -181 *29413:A *5709:272 0.000558329 -182 *29427:A *5709:112 9.71197e-05 -183 *29589:A *25680:A1 1.08359e-05 -184 *29589:A *5709:332 5.24702e-05 -185 *29606:A *25896:A1 0.000175892 -186 *29737:A *5709:290 2.25531e-05 -187 *29741:A *5709:94 0.000428902 -188 *29815:A *5709:87 0.000125369 -189 *29831:A *27011:A0 5.33005e-05 -190 *29831:A *5709:159 1.46231e-05 -191 *29831:A *5709:182 7.40839e-05 -192 *29832:A *5709:155 6.56373e-05 -193 *29852:A *5709:107 6.97972e-05 -194 *29857:A *5709:20 0.000172221 -195 *29863:A *25902:A0 5.96516e-05 -196 *30165:A *5709:102 7.80096e-05 -197 *30200:A *5709:32 0.000124655 -198 *30203:A *5709:182 5.52302e-05 -199 *30208:A *5709:254 0.000121897 -200 *30269:A *5709:327 0.000907929 -201 *30269:A *5709:332 2.69219e-05 -202 *30342:A *5709:263 0.000641838 -203 *30446:A *5709:112 7.69867e-05 -204 *30559:A *5709:290 6.26673e-05 -205 *30848:A *5709:290 0.000337727 -206 *30887:A *5709:290 1.71705e-05 -207 *889:22 *5709:290 0 -208 *970:16 *5709:290 0.00017899 -209 *1235:165 *5709:38 0 -210 *1256:95 *26903:A0 0.000859416 -211 *1269:86 *5709:182 0.000341 -212 *1271:162 *26903:A0 0.000863485 -213 *1435:81 *27002:A0 0.000175446 -214 *1435:98 *27002:A0 0.000250915 -215 *1436:13 *27002:A0 0.000266099 -216 *1464:38 *5709:94 1.56e-05 -217 *1475:36 *5709:87 0 -218 *1475:36 *5709:91 0 -219 *1518:15 *5709:112 0.000148348 -220 *1562:45 *27083:A0 5.50171e-05 -221 *1665:29 *25860:A0 0.00014801 -222 *1672:16 *5709:32 0.00183436 -223 *1687:9 *27002:A0 0.000148911 -224 *1862:82 *5709:254 1.18548e-05 -225 *1869:9 *25896:A1 0.000222974 -226 *1871:20 *25896:A1 2.61099e-05 -227 *1873:21 *5709:87 7.05447e-05 -228 *1873:21 *5709:91 0.000747631 -229 *1873:32 *5709:112 0.000770974 -230 *1882:27 *5709:76 0.000572729 -231 *2554:17 *25767:A0 4.33438e-05 -232 *2708:10 *5709:107 0.000251492 -233 *2761:134 *26903:A0 0.000139136 -234 *2766:14 *5709:312 1.90936e-05 -235 *2767:188 *5709:32 0.000288592 -236 *2767:190 *5709:10 0.000234532 -237 *2771:111 *27083:A0 4.82947e-05 -238 *2773:150 *5709:50 0.000855137 -239 *2773:154 *5709:50 7.95355e-05 -240 *2775:129 *25902:A0 0.000143188 -241 *2775:129 *5709:38 0.000317602 -242 *2775:156 *5709:182 0.000153446 -243 *2775:173 *26903:A0 0.000168959 -244 *2791:209 *5709:182 0.000105471 -245 *2791:275 *5709:312 3.80117e-05 -246 *2844:304 *27083:A0 0.000175892 -247 *2844:304 *5709:182 5.20359e-06 -248 *2886:295 *27029:A0 1.26859e-05 -249 *2886:295 *5709:187 0.000100302 -250 *2889:65 *5709:182 0.00013233 -251 *2893:26 *5709:182 9.45827e-05 -252 *2893:26 *5709:187 0.000397448 -253 *3541:38 *5709:112 9.74684e-05 -254 *3541:68 *5709:85 0.000540164 -255 *3541:68 *5709:87 0.000363688 -256 *3551:10 *5709:112 0 -257 *3553:26 *25767:A0 0.000266092 -258 *3564:11 *25860:A0 0.000266479 -259 *3564:11 *5709:112 0.000978883 -260 *3567:8 *5709:76 1.84122e-05 -261 *3576:111 *5709:87 0.000176318 -262 *3576:115 *5709:102 0.000567589 -263 *3576:115 *5709:107 4.87953e-05 -264 *3578:36 *5709:10 0 -265 *3582:55 *5709:312 1.16733e-05 -266 *3582:55 *5709:327 0.000819232 -267 *3585:14 *5709:112 0.000570766 -268 *3585:16 *5709:112 0.000187019 -269 *3586:64 *25860:A0 1.37292e-05 -270 *3589:17 *26948:A1 0.000221634 -271 *3589:17 *5709:20 0.000137983 -272 *3591:51 *5709:38 0.000188817 -273 *3603:95 *5709:272 8.35129e-05 -274 *3659:14 *5709:76 0.000227888 -275 *3688:69 *5709:107 0.000289738 -276 *3688:69 *5709:112 0.000893226 -277 *3706:18 *5709:254 9.34992e-05 -278 *3713:130 *5709:107 0.000184778 -279 *3713:130 *5709:112 0.000115566 -280 *3765:14 *5709:85 0 -281 *3765:21 *5709:87 0 -282 *3766:25 *25914:A0 0.000304394 -283 *3766:25 *5709:85 0.000265441 -284 *3839:13 *5709:312 1.12669e-05 -285 *3848:95 *5709:290 0 -286 *3861:53 *5709:272 7.69776e-06 -287 *3871:94 *25860:A0 0.00075035 -288 *3900:99 *5709:312 7.58841e-05 -289 *3907:108 *5709:254 0.00176856 -290 *3957:17 *5709:102 6.53629e-05 -291 *3957:67 *5709:94 8.27973e-05 -292 *3957:67 *5709:102 0.00032415 -293 *3978:130 *5709:312 7.18419e-05 -294 *4027:11 *27002:A0 0.000369811 -295 *4033:8 *5709:327 0.000261851 -296 *4038:8 *5709:76 0 -297 *4043:32 *5709:290 2.9298e-05 -298 *4043:70 *5709:182 0.000102483 -299 *4043:70 *5709:187 0.000394401 -300 *4056:13 *5709:312 1.35465e-05 -301 *4060:11 *5709:327 9.85599e-06 -302 *4060:11 *5709:332 5.33005e-05 -303 *4085:16 *5709:327 6.33109e-05 -304 *4102:34 *25902:A0 0.000143188 -305 *4102:34 *5709:38 0.00151204 -306 *4109:20 *5709:254 0.000192997 -307 *4136:8 *5709:312 7.58841e-05 -308 *4898:11 *5709:290 0.000294912 -309 *5172:23 *5709:290 1.80032e-05 -310 *5173:14 *5709:290 4.14871e-05 -311 *5301:38 *5709:94 0.000175892 -312 *5545:30 *25860:A0 0 -313 *5607:39 *27002:A0 2.14474e-05 -314 *5630:307 *5709:32 0.000943379 -315 *5640:120 *5709:76 0.000317602 -316 *5643:227 *5709:182 1.4487e-05 -317 *5669:30 *5709:85 1.66422e-05 -318 *5669:48 *5709:102 7.95888e-05 -319 *5683:29 *5709:290 9.27826e-05 -*RES -1 *28999:X *5709:10 27.3 -2 *5709:10 *26948:A1 11.4786 -3 *5709:10 *5709:20 16.9107 -4 *5709:20 *5709:32 47.1964 -5 *5709:32 *5709:38 35.6607 -6 *5709:38 *25902:A0 17.925 -7 *5709:38 *5709:50 28.8571 -8 *5709:50 *25779:A0 18.3893 -9 *5709:50 *5709:76 44.6607 -10 *5709:76 *5709:79 20.7143 -11 *5709:79 *5709:85 23.2321 -12 *5709:85 *5709:87 12.2679 -13 *5709:87 *5709:91 14.0357 -14 *5709:91 *5709:94 11.6429 -15 *5709:94 *5709:102 27.5357 -16 *5709:102 *5709:107 23.3036 -17 *5709:107 *5709:112 40 -18 *5709:112 *25860:A0 47.4607 -19 *5709:112 *25848:A0 9.3 -20 *5709:107 *25785:A1 16.8 -21 *5709:102 *25767:A0 22.3 -22 *5709:94 *25884:A1 9.3 -23 *5709:91 *5709:155 16.5536 -24 *5709:155 *5709:159 3.51786 -25 *5709:159 *27002:A0 28.6929 -26 *5709:159 *5709:182 48.5536 -27 *5709:182 *5709:187 11.5536 -28 *5709:187 *26903:A0 32.2464 -29 *5709:187 *27029:A0 11.0679 -30 *5709:182 *27083:A0 18.9964 -31 *5709:155 *27011:A0 10.2464 -32 *5709:87 *25926:A0 15.1571 -33 *5709:85 *25896:A1 31.4964 -34 *5709:79 *25914:A0 12.3 -35 *5709:20 *5709:254 47.3929 -36 *5709:254 *5709:263 20.8036 -37 *5709:263 *5709:272 48.7054 -38 *5709:272 *5709:275 4.03353 -39 *5709:275 *5709:290 46.0439 -40 *5709:290 *26858:A0 13.8 -41 *5709:275 *5709:312 49.9264 -42 *5709:312 *5709:327 46.4286 -43 *5709:327 *5709:332 25.3571 -44 *5709:332 *25737:A0 15.1571 -45 *5709:332 *25680:A1 17.6036 -46 *5709:263 *28998:A 9.3 -*END - -*D_NET *5710 0.0614026 -*CONN -*I *25835:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25647:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27154:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25829:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25760:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25907:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25754:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25617:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25919:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28017:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25659:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *26818:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26809:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26835:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26812:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25745:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25695:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25671:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25943:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25729:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29000:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *25835:A1 1.74374e-05 -2 *25647:A1 0.000295631 -3 *27154:A1 0.000126101 -4 *25829:A1 0.000416066 -5 *25760:A1 0.000123108 -6 *25907:A0 0.000580198 -7 *25754:A1 9.22418e-05 -8 *25617:A1 0.00012484 -9 *25919:A0 0.000363843 -10 *28017:A0 0 -11 *25659:A1 2.90834e-05 -12 *26818:A0 0 -13 *26809:A0 4.36033e-05 -14 *26835:A0 0.00067997 -15 *26812:A0 4.36033e-05 -16 *25745:A0 0 -17 *25695:A1 5.09645e-05 -18 *25671:A0 0 -19 *25943:A1 0 -20 *25729:A0 0.00133039 -21 *29000:X 0.000370641 -22 *5710:206 0.00133227 -23 *5710:190 0.00186021 -24 *5710:183 0.00138289 -25 *5710:166 0.000803035 -26 *5710:125 0.000990454 -27 *5710:112 0.000720061 -28 *5710:103 0.00117153 -29 *5710:92 0.00126033 -30 *5710:86 0.00102362 -31 *5710:84 0.000641568 -32 *5710:78 0.00120866 -33 *5710:76 0.00139013 -34 *5710:57 0.00128207 -35 *5710:52 0.00237883 -36 *5710:48 0.00103707 -37 *5710:22 0.00198355 -38 *5710:15 0.000953867 -39 *5710:13 0.00134762 -40 *5710:8 0.00102418 -41 *25617:A1 *27527:A2 0.000137905 -42 *25695:A1 *6092:18 0.000200406 -43 *25729:A0 *5936:87 0.000789243 -44 *25729:A0 *6103:34 0.000196262 -45 *25754:A1 *27527:A2 2.44318e-05 -46 *26835:A0 *29571:A 2.64941e-05 -47 *5710:8 *29000:A 0.00017754 -48 *5710:8 *6036:27 0.000505335 -49 *5710:48 *5778:37 0.00016675 -50 *5710:48 *5778:39 0.000429907 -51 *5710:52 *5778:37 0.00122185 -52 *5710:57 *6037:8 4.87953e-05 -53 *5710:76 *5902:28 0.000120141 -54 *5710:78 *5902:28 0 -55 *5710:86 *28225:RESET_B 3.42147e-05 -56 *5710:92 *28225:RESET_B 0.00066585 -57 *5710:103 *28225:RESET_B 0.000168998 -58 *5710:103 *28226:RESET_B 5.03772e-05 -59 *5710:103 *5730:43 0.000341745 -60 *5710:103 *5730:49 4.69971e-05 -61 *5710:103 *5730:60 8.99094e-05 -62 *5710:112 *29533:A 2.22043e-05 -63 *5710:125 *29533:A 0.000207887 -64 *25087:B *5710:206 0 -65 *25109:B *5710:206 0 -66 *25178:A2 *5710:206 0 -67 *25399:A2 *25919:A0 7.1567e-05 -68 *25399:B1 *25907:A0 0.000379872 -69 *25399:B1 *5710:190 0.000160869 -70 *25547:A0 *25729:A0 0.000197984 -71 *25617:A0 *5710:166 0.000108798 -72 *25621:A1 *25919:A0 3.2419e-05 -73 *25647:A0 *25647:A1 0.000561379 -74 *25659:A0 *5710:92 0.000136388 -75 *25659:A0 *5710:103 3.10819e-05 -76 *25671:S *5710:15 4.38136e-05 -77 *25672:S *5710:48 0.000146474 -78 *25673:A1 *5710:13 9.91086e-05 -79 *25696:S *25695:A1 1.41029e-05 -80 *25729:A1 *25729:A0 2.89114e-05 -81 *25729:S *25729:A0 0.000332479 -82 *25745:A1 *25695:A1 0.000177941 -83 *25745:A1 *5710:57 5.33005e-05 -84 *25754:A0 *25754:A1 9.60939e-05 -85 *25754:A0 *5710:206 0.000482179 -86 *25760:S *5710:86 9.18399e-05 -87 *25829:A0 *25829:A1 0.000184728 -88 *25830:A1 *5710:76 0.000153163 -89 *25830:A1 *5710:78 6.85604e-05 -90 *25835:A0 *25835:A1 1.39841e-05 -91 *25835:S *25835:A1 1.33343e-05 -92 *25835:S *5710:8 0.000497311 -93 *25943:A0 *25729:A0 5.52238e-05 -94 *25943:S *5710:22 2.8266e-06 -95 *25946:A1 *5710:22 0 -96 *26809:A1 *26835:A0 7.17999e-05 -97 *26809:A1 *5710:125 6.07409e-05 -98 *26810:A1 *26809:A0 5.33005e-05 -99 *26812:A1 *26812:A0 5.33005e-05 -100 *27508:A1 *5710:52 1.78447e-05 -101 *27888:C1 *5710:76 0.000340066 -102 *27889:A2 *5710:76 0.000105559 -103 *27889:B1 *5710:76 0.000393949 -104 *27889:B2 *5710:76 1.403e-05 -105 *27890:D *5710:76 0.000351156 -106 *28017:S *5710:183 1.02504e-05 -107 *28160:D *5710:22 5.94027e-05 -108 *28162:D *5710:13 4.58194e-05 -109 *28223:D *5710:183 0.000136951 -110 *28290:D *5710:8 0.000171368 -111 *28355:CLK *25907:A0 6.47405e-05 -112 *28369:CLK *5710:183 0 -113 *28418:D *5710:112 0.000113522 -114 *29161:A *5710:22 0.000102784 -115 *29176:A *5710:183 0.000579116 -116 *29399:A *25729:A0 2.89114e-05 -117 *30031:A *26835:A0 6.22755e-05 -118 *30034:A *5710:183 5.52302e-05 -119 *30087:A *26835:A0 1.37292e-05 -120 *30556:A *5710:84 2.11419e-05 -121 *30570:A *25760:A1 2.94328e-05 -122 *30571:A *25829:A1 9.33978e-07 -123 *502:18 *5710:103 0.000312222 -124 *563:10 *25919:A0 0.000120645 -125 *563:10 *5710:190 0.00015563 -126 *749:24 *26835:A0 1.34741e-05 -127 *749:24 *5710:125 3.5703e-05 -128 *758:26 *26835:A0 0.000747372 -129 *1430:9 *5710:206 0.000178425 -130 *1452:35 *5710:206 0.000506631 -131 *1452:57 *5710:190 0 -132 *1452:57 *5710:206 0.00056097 -133 *1471:64 *25907:A0 4.37561e-05 -134 *1619:10 *5710:13 0.000570959 -135 *1827:11 *5710:22 0.000113372 -136 *1831:18 *5710:183 0.000307343 -137 *1833:11 *5710:13 0.000134811 -138 *1833:11 *5710:15 1.07719e-05 -139 *1833:36 *5710:13 3.91485e-05 -140 *1899:39 *25835:A1 2.89114e-05 -141 *3416:11 *5710:76 3.64833e-05 -142 *3428:22 *5710:76 0.000175519 -143 *3568:20 *25907:A0 8.6229e-06 -144 *3571:53 *25617:A1 0.000136388 -145 *3651:65 *25907:A0 0.000451278 -146 *3653:48 *5710:112 2.45832e-05 -147 *3671:28 *25760:A1 0.00014285 -148 *3671:28 *25907:A0 0.000224208 -149 *3671:28 *5710:84 0 -150 *3671:28 *5710:86 0 -151 *3671:28 *5710:190 0.000150793 -152 *3750:20 *5710:48 0.00115772 -153 *3750:20 *5710:52 0.00117377 -154 *3792:33 *25659:A1 9.41642e-05 -155 *3792:33 *5710:103 1.02821e-05 -156 *3801:6 *5710:206 3.10694e-05 -157 *3801:45 *5710:206 5.59783e-05 -158 *3806:8 *5710:86 0 -159 *3806:33 *5710:78 0 -160 *3806:33 *5710:84 0 -161 *3815:25 *5710:103 1.69961e-05 -162 *3815:26 *5710:76 0.00022984 -163 *3815:26 *5710:78 0.00037601 -164 *3815:26 *5710:84 0.000308071 -165 *3815:26 *5710:86 0.000308782 -166 *3815:26 *5710:92 0.000629171 -167 *3815:26 *5710:103 1.06328e-05 -168 *3815:38 *5710:76 0 -169 *3815:59 *5710:8 2.59093e-05 -170 *3815:59 *5710:13 5.20383e-05 -171 *3831:40 *5710:8 4.10926e-05 -172 *3841:13 *5710:8 4.95506e-05 -173 *3866:35 *5710:13 1.03968e-05 -174 *3884:24 *25919:A0 0.000245853 -175 *3893:52 *5710:166 7.69776e-06 -176 *3893:52 *5710:183 2.87085e-05 -177 *3895:29 *5710:8 0.000329801 -178 *3895:29 *5710:13 0.000354835 -179 *3918:83 *25617:A1 9.60939e-05 -180 *3918:83 *5710:190 0.000219711 -181 *4139:10 *5710:78 0.000129697 -182 *4139:10 *5710:84 5.72775e-05 -183 *4140:66 *5710:8 1.721e-05 -184 *4257:15 *5710:166 0.00128797 -185 *4274:16 *26835:A0 0.00020546 -186 *4276:17 *26835:A0 0.00069684 -187 *4382:17 *5710:112 0.000249827 -188 *4946:14 *5710:183 0.000499694 -189 *5063:15 *27154:A1 0.000183726 -190 *5079:20 *26835:A0 3.47641e-06 -191 *5125:19 *5710:166 0.00126813 -192 *5125:19 *5710:183 1.04048e-05 -193 *5484:44 *5710:112 0.000641435 -194 *5488:34 *5710:112 0.00093502 -195 *5488:34 *5710:125 5.05056e-05 -196 *5489:19 *5710:112 3.11358e-05 -197 *5491:97 *5710:103 0.000395866 -198 *5493:14 *5710:166 2.06178e-05 -199 *5497:39 *25907:A0 0.00035497 -200 *5497:39 *25919:A0 0.000766812 -201 *5497:39 *5710:190 0.000333803 -202 *5510:59 *25659:A1 3.14078e-05 -203 *5510:59 *5710:103 9.90431e-05 -204 *5532:15 *5710:112 2.83129e-05 -205 *5624:107 *25907:A0 7.07155e-06 -206 *5685:173 *5710:103 0.000415633 -207 *5685:183 *5710:103 0.000240263 -*RES -1 *29000:X *5710:8 25.9607 -2 *5710:8 *5710:13 17.6607 -3 *5710:13 *5710:15 3.41071 -4 *5710:15 *5710:22 18.5357 -5 *5710:22 *25729:A0 39.6214 -6 *5710:22 *25943:A1 9.3 -7 *5710:15 *25671:A0 9.3 -8 *5710:13 *5710:48 19.5 -9 *5710:48 *5710:52 20.1071 -10 *5710:52 *5710:57 17.8214 -11 *5710:57 *25695:A1 20.8536 -12 *5710:57 *25745:A0 9.3 -13 *5710:52 *5710:76 25.125 -14 *5710:76 *5710:78 9.83929 -15 *5710:78 *5710:84 6.55357 -16 *5710:84 *5710:86 4.22321 -17 *5710:86 *5710:92 11.5625 -18 *5710:92 *5710:103 31.2143 -19 *5710:103 *5710:112 14.1132 -20 *5710:112 *26812:A0 14.3357 -21 *5710:112 *5710:125 5.14286 -22 *5710:125 *26835:A0 28.9087 -23 *5710:125 *26809:A0 14.3357 -24 *5710:103 *26818:A0 9.3 -25 *5710:92 *25659:A1 14.7464 -26 *5710:86 *5710:166 13.6617 -27 *5710:166 *28017:A0 9.3 -28 *5710:166 *5710:183 24.7857 -29 *5710:183 *5710:190 18.1071 -30 *5710:190 *25919:A0 23.9429 -31 *5710:190 *5710:206 33.9464 -32 *5710:206 *25617:A1 21.1929 -33 *5710:206 *25754:A1 10.6571 -34 *5710:183 *25907:A0 35.9429 -35 *5710:84 *25760:A1 15.5679 -36 *5710:78 *25829:A1 18.8536 -37 *5710:76 *27154:A1 15.5679 -38 *5710:48 *25647:A1 19.2643 -39 *5710:8 *25835:A1 14.3357 -*END - -*D_NET *5711 0.0764467 -*CONN -*I *29000:A I *D sky130_fd_sc_hd__buf_6 -*I *26854:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25889:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *26836:C I *D sky130_fd_sc_hd__or3_1 -*I *27037:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25955:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25949:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25937:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25608:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25592:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25931:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25635:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25772:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29001:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 *29000:A 0.000131679 -2 *26854:A0 2.66994e-05 -3 *25889:A1 0.00052349 -4 *26836:C 0.000519722 -5 *27037:A0 0.000456055 -6 *25955:A0 0.000135368 -7 *25949:A1 0 -8 *25937:A1 0.000883626 -9 *25608:A1 0 -10 *25592:A1 0.000176386 -11 *25931:A0 0 -12 *25635:A1 0.000124192 -13 *25772:A0 0.000101447 -14 *29001:X 2.25053e-05 -15 *5711:193 0.00223966 -16 *5711:186 0.00302515 -17 *5711:153 0.00279604 -18 *5711:144 0.00147518 -19 *5711:112 0.00140836 -20 *5711:94 0.00118822 -21 *5711:86 0.00156623 -22 *5711:67 0.00320859 -23 *5711:47 0.00530532 -24 *5711:39 0.00445469 -25 *5711:15 0.000778608 -26 *5711:10 0.00256905 -27 *5711:7 0.000917012 -28 *25937:A1 *25623:A0 2.16477e-05 -29 *25937:A1 *27918:A1 6.19581e-05 -30 *26854:A0 *6225:79 8.2607e-05 -31 *29000:A *6036:27 1.98839e-05 -32 *5711:39 *5731:57 3.73732e-05 -33 *5711:47 *29019:A 3.04394e-05 -34 *5711:67 *5738:172 0.000277263 -35 *5711:67 *6055:13 6.595e-05 -36 *5711:67 *6225:79 0.000308838 -37 *5711:86 *25400:A1 9.41642e-05 -38 *5711:86 *28384:RESET_B 3.42387e-05 -39 *5711:86 *6332:39 4.50033e-05 -40 *5711:144 *6225:79 8.33813e-05 -41 *5711:153 *6317:85 0 -42 *5711:186 *5861:69 0 -43 *5711:186 *5861:75 6.10018e-06 -44 *5711:193 *26827:B 2.22618e-05 -45 *5711:193 *5717:74 6.59074e-05 -46 *24880:A *5711:67 0.000109616 -47 *24910:A *5711:153 4.88511e-06 -48 *25095:B *5711:193 0.00011333 -49 *25097:A2 *5711:193 3.69047e-06 -50 *25282:D *5711:193 0.00044379 -51 *25374:B2 *5711:186 0.000365115 -52 *25400:B2 *5711:86 6.26774e-06 -53 *25404:B1 *5711:86 5.47797e-05 -54 *25404:B2 *5711:86 5.57723e-05 -55 *25406:A1 *5711:94 2.3628e-05 -56 *25406:A2 *5711:94 0.000135028 -57 *25592:A0 *25592:A1 5.33005e-05 -58 *25594:A0 *5711:86 0.00035089 -59 *25635:A0 *25635:A1 7.83659e-05 -60 *25772:A1 *25772:A0 0.000267377 -61 *25772:A1 *5711:15 0.000382532 -62 *25889:A0 *25889:A1 5.49995e-05 -63 *25889:S *25889:A1 6.05161e-06 -64 *25893:A1 *5711:186 2.11419e-05 -65 *25909:A0 *5711:47 5.94896e-06 -66 *25909:A1 *5711:47 4.05548e-05 -67 *25909:S *5711:47 0.000137417 -68 *25931:A1 *5711:67 7.70885e-05 -69 *25938:S *25937:A1 5.33005e-05 -70 *25949:A0 *5711:94 5.52302e-05 -71 *25955:A1 *25955:A0 0.000108217 -72 *25955:S *25955:A0 5.49544e-05 -73 *26853:A *5711:67 6.05161e-06 -74 *27154:A0 *5711:39 5.57727e-05 -75 *27489:B2 *5711:10 0 -76 *27489:B2 *5711:39 1.08359e-05 -77 *27517:B2 *5711:7 5.33005e-05 -78 *27531:B2 *5711:10 0.000116427 -79 *27848:B2 *5711:10 0.000441356 -80 *27864:B2 *5711:39 6.42145e-05 -81 *27890:C *5711:39 0.000262941 -82 *27911:B1 *5711:39 0.000536072 -83 *27928:B1 *5711:67 4.66629e-05 -84 *27928:B2 *5711:67 7.7757e-05 -85 *28340:CLK *5711:193 0.000105712 -86 *28340:D *25889:A1 6.05161e-06 -87 *28340:D *5711:193 9.26121e-05 -88 *28390:D *25937:A1 1.38323e-05 -89 *28390:D *5711:112 0.000392019 -90 *28398:CLK *25955:A0 3.68407e-05 -91 *28448:CLK *5711:153 4.04359e-05 -92 *28716:D *5711:39 9.58126e-05 -93 *28809:D *25937:A1 0.000445419 -94 *29149:A *5711:67 0.000129235 -95 *29212:A *5711:47 0.000477782 -96 *29325:A *5711:153 2.06178e-05 -97 *29326:A *5711:153 0 -98 *29380:A *25889:A1 0.00017754 -99 *29381:A *5711:86 0.000621862 -100 *30022:A *5711:86 0.000123288 -101 *30548:A *5711:47 2.89016e-05 -102 *30833:A *5711:153 0.000114413 -103 *30833:A *5711:186 0 -104 *30871:A *5711:153 0 -105 *30871:A *5711:186 7.96024e-05 -106 *450:10 *25592:A1 8.44271e-06 -107 *451:12 *5711:86 0.00039403 -108 *458:10 *25937:A1 0.000647417 -109 *459:10 *5711:112 7.55747e-05 -110 *460:11 *5711:112 0.000762724 -111 *461:19 *5711:112 0.0001399 -112 *462:17 *25937:A1 8.68686e-05 -113 *1227:30 *26836:C 0.000161919 -114 *1227:42 *26836:C 7.50814e-05 -115 *1237:17 *5711:186 0.000743032 -116 *1281:30 *25955:A0 0.000218193 -117 *1281:30 *5711:153 0.000150877 -118 *1327:98 *5711:86 0.000315223 -119 *1417:10 *5711:186 0.00120598 -120 *1430:36 *5711:39 0.000108778 -121 *1476:107 *5711:10 4.31193e-05 -122 *1482:8 *26836:C 0.000230218 -123 *1498:49 *25592:A1 2.85321e-05 -124 *1560:16 *5711:193 0.000259542 -125 *1600:41 *5711:67 0.000258206 -126 *1616:23 *5711:94 0.000289245 -127 *1701:11 *5711:186 0.00021906 -128 *1705:13 *5711:193 0.000664291 -129 *1719:20 *5711:86 4.48128e-05 -130 *1721:35 *5711:193 0.00114818 -131 *1824:40 *5711:112 0.000744407 -132 *1824:42 *5711:112 0.00022266 -133 *1868:29 *25772:A0 0.000178847 -134 *1868:29 *5711:15 0.00018077 -135 *1898:17 *25937:A1 0.000155238 -136 *2755:11 *26854:A0 9.41642e-05 -137 *2755:11 *5711:67 5.52238e-05 -138 *2755:11 *5711:144 9.41642e-05 -139 *2850:37 *5711:186 0.000224636 -140 *2859:35 *27037:A0 0.00059732 -141 *2859:35 *5711:193 9.41642e-05 -142 *3160:48 *5711:193 9.76435e-06 -143 *3168:83 *5711:39 0.000107833 -144 *3170:51 *26836:C 0.000135028 -145 *3183:77 *26836:C 0.000535067 -146 *3196:88 *5711:10 0.00019367 -147 *3196:88 *5711:39 6.25422e-05 -148 *3196:111 *5711:10 0.000264186 -149 *3205:43 *25889:A1 0.000134232 -150 *3205:55 *25889:A1 0.000229182 -151 *3205:55 *5711:193 0.00029967 -152 *3459:23 *5711:86 0.000228999 -153 *3557:30 *5711:193 0.000339346 -154 *3647:39 *5711:193 0.000137402 -155 *3672:21 *5711:47 0.000329858 -156 *3790:53 *5711:186 0.000491953 -157 *3805:59 *5711:10 0.0001052 -158 *3805:59 *5711:39 0.000512264 -159 *3814:28 *5711:39 0.00121771 -160 *3814:36 *5711:39 0.00120172 -161 *3815:26 *5711:39 0 -162 *3815:38 *5711:39 3.56212e-05 -163 *3827:9 *5711:67 0.000137561 -164 *3827:21 *5711:67 0.000175892 -165 *3831:40 *29000:A 0.000218404 -166 *3844:25 *5711:67 0.000939639 -167 *3853:19 *5711:153 0.000146275 -168 *3853:19 *5711:186 0.000124777 -169 *3879:23 *5711:15 0.00114721 -170 *3879:23 *5711:39 8.57023e-05 -171 *3895:58 *5711:10 6.57616e-05 -172 *3973:5 *5711:39 0.000161807 -173 *3973:41 *5711:39 1.24368e-05 -174 *3973:41 *5711:47 0.000649717 -175 *3973:83 *5711:47 9.90367e-05 -176 *3973:83 *5711:67 0.00141393 -177 *3983:52 *5711:39 0.00023509 -178 *3988:33 *5711:153 0.000157345 -179 *3988:33 *5711:186 0.000133091 -180 *4001:27 *5711:86 0.000318029 -181 *4001:27 *5711:153 4.23907e-05 -182 *4061:10 *25889:A1 5.49995e-05 -183 *4074:11 *25635:A1 5.41794e-05 -184 *4074:23 *5711:15 0.000583237 -185 *4126:48 *29000:A 0.000196269 -186 *4126:48 *5711:10 0.000909332 -187 *4127:49 *29000:A 0.000184583 -188 *4127:49 *5711:10 0.001536 -189 *4153:32 *5711:7 1.58163e-05 -190 *4372:35 *25937:A1 3.81605e-05 -191 *5153:8 *25592:A1 0.000297287 -192 *5153:8 *5711:94 0.000550795 -193 *5154:10 *5711:86 0.000391851 -194 *5166:23 *25937:A1 9.86146e-05 -195 *5421:10 *25937:A1 5.25192e-06 -196 *5430:12 *5711:153 0.00161355 -197 *5488:86 *5711:67 2.21858e-05 -198 *5491:30 *5711:94 2.99314e-05 -199 *5500:41 *5711:112 7.40571e-05 -200 *5501:9 *25592:A1 0.000318229 -201 *5501:9 *5711:94 0.000190589 -202 *5506:24 *5711:94 0.000252113 -203 *5520:48 *5711:86 3.78761e-05 -204 *5523:36 *25937:A1 5.01876e-06 -205 *5599:115 *5711:153 0.000409329 -206 *5618:6 *26836:C 0.000533948 -207 *5665:24 *5711:67 0.000328545 -208 *5698:60 *5711:47 0.000135028 -209 *5698:87 *5711:39 0.000136958 -210 *5698:292 *25635:A1 0.000171368 -211 *5698:310 *25635:A1 0.000188741 -212 *5705:22 *25635:A1 0.000122173 -213 *5710:8 *29000:A 0.00017754 -*RES -1 *29001:X *5711:7 14.3357 -2 *5711:7 *5711:10 31.3393 -3 *5711:10 *5711:15 15.875 -4 *5711:15 *25772:A0 12.3 -5 *5711:15 *25635:A1 22.9786 -6 *5711:10 *5711:39 47.7143 -7 *5711:39 *5711:47 40.0179 -8 *5711:47 *25931:A0 9.3 -9 *5711:47 *5711:67 49.8393 -10 *5711:67 *5711:86 45.7321 -11 *5711:86 *5711:94 17 -12 *5711:94 *25592:A1 18.7107 -13 *5711:94 *5711:112 27.9286 -14 *5711:112 *25608:A1 9.3 -15 *5711:112 *25937:A1 44.4607 -16 *5711:86 *25949:A1 9.3 -17 *5711:67 *5711:144 0.946429 -18 *5711:144 *5711:153 17.6489 -19 *5711:153 *25955:A0 17.8 -20 *5711:153 *5711:186 42.2975 -21 *5711:186 *5711:193 40.8929 -22 *5711:193 *27037:A0 15.175 -23 *5711:193 *26836:C 39.2286 -24 *5711:186 *25889:A1 19.3179 -25 *5711:144 *26854:A0 10.2464 -26 *5711:7 *29000:A 18.5321 -*END - -*D_NET *5712 0.0493114 -*CONN -*I *25865:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25799:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25877:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27100:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25665:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25641:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29001:A I *D sky130_fd_sc_hd__clkbuf_8 -*I *25871:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25653:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25823:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29002:X O *D sky130_fd_sc_hd__clkbuf_4 -*CAP -1 *25865:A1 0 -2 *25799:A0 0 -3 *25877:A1 4.9634e-05 -4 *27100:A0 0.00184453 -5 *25665:A0 0 -6 *25641:A0 2.57877e-05 -7 *29001:A 0.000284697 -8 *25871:A0 0 -9 *25653:A1 0.000896328 -10 *25823:A1 0.000361244 -11 *29002:X 0.000435495 -12 *5712:117 0.00436264 -13 *5712:92 0.00316329 -14 *5712:75 0.00178058 -15 *5712:67 0.00141592 -16 *5712:52 0.0021426 -17 *5712:49 0.00164364 -18 *5712:31 0.0014544 -19 *5712:30 0.00119234 -20 *5712:17 0.00160431 -21 *5712:10 0.00105346 -22 *25641:A0 *6036:38 5.31101e-05 -23 *25823:A1 *28149:RESET_B 9.75478e-05 -24 *25823:A1 *28279:RESET_B 3.02581e-06 -25 *27100:A0 *28666:SET_B 2.84026e-05 -26 *5712:10 *29002:A 9.60875e-05 -27 *5712:30 *5741:43 0.000214523 -28 *5712:49 *5743:33 0.000115941 -29 *5712:49 *5778:47 0 -30 *5712:67 *28131:RESET_B 1.25672e-06 -31 *5712:117 *6036:38 0.000237442 -32 *25429:A3 *5712:49 0.000227582 -33 *25642:A1 *5712:117 5.63883e-05 -34 *25654:S *25653:A1 2.59355e-05 -35 *25665:A1 *27100:A0 0.000341276 -36 *25665:S *27100:A0 0.000347444 -37 *25799:A1 *5712:17 0.000639735 -38 *25799:S *5712:17 1.38323e-05 -39 *25799:S *5712:30 2.59355e-05 -40 *25865:A0 *5712:17 5.33005e-05 -41 *25872:A0 *5712:52 1.92712e-05 -42 *25945:A0 *5712:67 0.000126518 -43 *25945:A0 *5712:75 0.00109457 -44 *25945:A0 *5712:92 2.59355e-05 -45 *27488:A1 *5712:117 2.98258e-05 -46 *27488:A2 *5712:117 0.000367619 -47 *27488:B1 *5712:117 1.21258e-05 -48 *27488:B2 *5712:117 2.84269e-05 -49 *27517:B2 *29001:A 8.78853e-05 -50 *27881:B *27100:A0 8.96761e-05 -51 *28066:RESET_B *25823:A1 0.000173948 -52 *28066:RESET_B *25877:A1 2.42516e-05 -53 *28066:RESET_B *5712:31 0.000114453 -54 *28131:D *25653:A1 0.000134461 -55 *28131:D *5712:52 5.66157e-05 -56 *28136:CLK *5712:117 1.24368e-05 -57 *28136:D *5712:117 0.000225947 -58 *28310:D *5712:17 9.58126e-05 -59 *28314:CLK *5712:10 0.000182861 -60 *28326:D *5712:52 9.60808e-05 -61 *28330:D *5712:30 0.000170968 -62 *28334:D *5712:30 0.000170503 -63 *28666:D *27100:A0 4.50149e-05 -64 *28995:A *5712:52 8.73466e-05 -65 *29371:A *5712:92 0.000175892 -66 *30317:A *5712:49 1.37292e-05 -67 *30399:A *5712:49 0.000206498 -68 *30403:A *5712:52 0.000162463 -69 *30515:A *5712:52 9.39107e-05 -70 *30528:A *5712:52 4.03157e-05 -71 *400:14 *5712:17 1.97865e-05 -72 *406:8 *5712:49 0.0015985 -73 *495:15 *25823:A1 7.44888e-05 -74 *740:19 *5712:30 0.000109311 -75 *1252:26 *27100:A0 2.05484e-05 -76 *1363:85 *27100:A0 0.000220456 -77 *1396:45 *5712:30 7.75568e-05 -78 *1396:64 *5712:30 0.000664515 -79 *1411:97 *27100:A0 0.000493799 -80 *1427:149 *5712:30 0.000294872 -81 *1603:36 *25823:A1 0.000160964 -82 *1740:26 *25823:A1 0.000148303 -83 *1740:26 *5712:49 0.00011594 -84 *1741:13 *25823:A1 0.00022266 -85 *1741:13 *25877:A1 0.000168379 -86 *1741:13 *5712:31 0.000416471 -87 *1829:48 *5712:52 0 -88 *1829:48 *5712:67 0.000272693 -89 *1830:33 *25653:A1 4.27437e-05 -90 *1868:31 *5712:49 2.9973e-05 -91 *1868:31 *5712:67 0.000562392 -92 *1868:37 *5712:49 0.000253914 -93 *1888:27 *5712:30 1.71615e-05 -94 *2891:45 *5712:117 0.000667483 -95 *2894:106 *5712:17 0.000343652 -96 *3045:10 *5712:117 0.000158902 -97 *3182:97 *27100:A0 9.17643e-05 -98 *3184:146 *5712:17 0.000347181 -99 *3184:146 *5712:30 0.000472721 -100 *3428:14 *29001:A 0.000493175 -101 *3428:14 *5712:92 4.38621e-05 -102 *3479:8 *5712:17 0.000364175 -103 *3637:8 *5712:10 0.000317208 -104 *3637:10 *5712:10 0.000324243 -105 *3637:17 *5712:10 9.25014e-06 -106 *3685:20 *5712:10 3.08002e-05 -107 *3685:42 *5712:10 8.33559e-05 -108 *3710:28 *25823:A1 5.80224e-05 -109 *3710:38 *5712:52 9.20361e-05 -110 *3711:34 *5712:30 0.000237514 -111 *3739:19 *5712:30 2.05612e-05 -112 *3749:46 *29001:A 0.000495604 -113 *3749:46 *5712:92 5.31122e-05 -114 *3762:61 *27100:A0 4.70448e-05 -115 *3831:28 *29001:A 0.000135028 -116 *3841:25 *27100:A0 0.000342715 -117 *3841:55 *27100:A0 0.000575317 -118 *3871:32 *5712:30 0.000721036 -119 *3881:30 *27100:A0 2.84109e-05 -120 *3934:28 *5712:49 0.000435839 -121 *3934:28 *5712:52 0.000168305 -122 *3944:25 *5712:92 0.00116445 -123 *3945:34 *5712:117 6.26073e-05 -124 *3971:5 *5712:117 7.64454e-05 -125 *3971:23 *5712:117 1.58163e-05 -126 *3971:42 *27100:A0 5.95143e-05 -127 *3971:42 *5712:117 0.000545539 -128 *4065:91 *25877:A1 9.80621e-05 -129 *4065:91 *5712:30 0.000603352 -130 *4126:7 *5712:31 2.59355e-05 -131 *4144:35 *5712:10 0.000170511 -132 *4152:50 *25641:A0 5.31101e-05 -133 *4152:50 *5712:117 0.00023037 -134 *4153:5 *29001:A 6.05161e-06 -135 *4153:32 *29001:A 0.000142979 -136 *5007:34 *5712:10 0.000271602 -137 *5007:34 *5712:17 2.42516e-05 -138 *5007:42 *5712:10 1.59045e-05 -139 *5076:19 *5712:17 0.000109968 -140 *5077:11 *5712:30 0.00014576 -141 *5583:11 *5712:49 0.000226078 -142 *5666:36 *5712:10 9.91086e-05 -143 *5691:93 *27100:A0 0.00107295 -144 *5706:81 *5712:52 8.01164e-05 -145 *5706:118 *5712:52 0.000412176 -*RES -1 *29002:X *5712:10 30.3 -2 *5712:10 *5712:17 24.0893 -3 *5712:17 *5712:30 39.4464 -4 *5712:30 *5712:31 4.23214 -5 *5712:31 *25823:A1 27.425 -6 *5712:31 *5712:49 39.4107 -7 *5712:49 *5712:52 22.5357 -8 *5712:52 *25653:A1 19.6036 -9 *5712:52 *5712:67 19.9464 -10 *5712:67 *25871:A0 9.3 -11 *5712:67 *5712:75 16.125 -12 *5712:75 *29001:A 23.3 -13 *5712:75 *5712:92 21.7857 -14 *5712:92 *25641:A0 14.5321 -15 *5712:92 *5712:117 44.5714 -16 *5712:117 *25665:A0 9.3 -17 *5712:117 *27100:A0 42.8379 -18 *5712:30 *25877:A1 11.0679 -19 *5712:17 *25799:A0 9.3 -20 *5712:10 *25865:A1 9.3 -*END - -*D_NET *5713 0.135617 -*CONN -*I *27019:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *6504:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *26893:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27160:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *26875:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27073:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27082:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27136:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26828:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27046:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27055:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26830:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27109:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26825:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26846:A3 I *D sky130_fd_sc_hd__o311a_1 -*I *25626:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27091:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *26965:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29002:A I *D sky130_fd_sc_hd__clkbuf_4 -*I *29003:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *27019:A1 0 -2 *6504:DIODE 0.000102544 -3 *26893:A0 0.00142784 -4 *27160:A1 0 -5 *26875:A1 0.000519048 -6 *27073:A0 0.000996378 -7 *27082:A0 0.00013718 -8 *27136:A0 0 -9 *26828:A0 0.000484651 -10 *27046:A0 0.00015953 -11 *27055:A0 0.000386303 -12 *26830:A1 0.000723713 -13 *27109:A0 0 -14 *26825:A0 0.00102275 -15 *26846:A3 0.00028105 -16 *25626:A0 0 -17 *27091:A1 0.000106687 -18 *26965:A0 4.36771e-05 -19 *29002:A 0.000936284 -20 *29003:X 0 -21 *5713:336 0.00129346 -22 *5713:305 0.00296894 -23 *5713:271 0.000732296 -24 *5713:208 0.00258523 -25 *5713:203 0.00570647 -26 *5713:163 0.00138745 -27 *5713:155 0.000605967 -28 *5713:154 0.000651913 -29 *5713:148 0.00397455 -30 *5713:146 0.000995356 -31 *5713:132 0.00140734 -32 *5713:130 0.00234576 -33 *5713:109 0.00264902 -34 *5713:104 0.00108177 -35 *5713:96 0.000719817 -36 *5713:88 0.000838553 -37 *5713:87 0.00133761 -38 *5713:84 0.00379038 -39 *5713:62 0.00582852 -40 *5713:49 0.00281956 -41 *5713:45 0.00317478 -42 *5713:37 0.00241123 -43 *5713:25 0.00469353 -44 *5713:24 0.00244175 -45 *5713:4 0.00103314 -46 *26825:A0 *25602:A1 0.000256302 -47 *26825:A0 *5745:50 9.90431e-05 -48 *26825:A0 *5745:53 4.93223e-05 -49 *26825:A0 *5745:61 0.000145046 -50 *26825:A0 *5745:177 8.46829e-05 -51 *26846:A3 *25400:A1 0.000131453 -52 *26846:A3 *6320:29 6.92609e-05 -53 *26893:A0 *28482:SET_B 9.71197e-05 -54 *26893:A0 *5757:134 0.000179735 -55 *27073:A0 *29035:A 0.00146885 -56 *27073:A0 *5754:18 0.000520323 -57 *27073:A0 *5873:175 1.95404e-05 -58 *27091:A1 *5750:152 0.000175892 -59 *29002:A *28313:RESET_B 2.58757e-05 -60 *29002:A *28314:RESET_B 5.33005e-05 -61 *29002:A *5743:122 0.000472599 -62 *29002:A *5743:135 3.17148e-05 -63 *5713:37 *5848:27 1.90936e-05 -64 *5713:45 *5795:96 6.90381e-06 -65 *5713:45 *6247:36 1.90936e-05 -66 *5713:49 *6269:12 0.000269165 -67 *5713:84 *29035:A 1.1257e-05 -68 *5713:130 *5750:130 0.000850826 -69 *5713:146 *5745:124 3.47641e-06 -70 *5713:146 *5970:51 0.000137983 -71 *5713:154 *6338:41 0.000163661 -72 *5713:155 *6320:29 2.13481e-06 -73 *5713:163 *6320:29 1.90303e-05 -74 *5713:203 *27218:A1 2.62854e-05 -75 *5713:203 *28632:RESET_B 5.96516e-05 -76 *5713:203 *5718:77 3.76531e-05 -77 *5713:203 *5720:137 8.55871e-05 -78 *5713:203 *6317:49 1.88175e-05 -79 *5713:203 *6319:19 9.18107e-05 -80 *5713:203 *6320:17 0.00101448 -81 *5713:203 *6320:71 0.000605198 -82 *5713:203 *6338:41 0.00163168 -83 *5713:203 *6387:54 4.16984e-05 -84 *5713:208 *27252:A 0.000180501 -85 *5713:208 *28632:RESET_B 0.000651973 -86 *5713:208 *6330:17 0.000185643 -87 *5713:208 *6386:35 0.000180496 -88 *5713:305 *27030:A1 0.000710075 -89 *5713:305 *28604:D 6.05161e-06 -90 *5713:305 *5754:158 0.000123861 -91 *5713:336 *5795:96 0.000984523 -92 *5713:336 *6247:36 0.000143652 -93 *5713:336 *6269:12 0.000632617 -94 *24967:A *5713:37 0.000216755 -95 *24967:B *5713:37 0.000257619 -96 *25045:B1 *5713:208 0.000103974 -97 *25107:C1 *5713:37 0.00104342 -98 *25269:A1 *5713:109 0 -99 *25357:A1 *5713:49 0.000528382 -100 *25358:B2 *26828:A0 0.000641931 -101 *25375:B2 *5713:130 0.000386699 -102 *25378:A1 *5713:49 0.00123096 -103 *25590:B *26825:A0 0 -104 *25626:A1 *5713:155 0.000177293 -105 *25864:B *29002:A 0.000139478 -106 *25864:B *5713:24 5.33005e-05 -107 *26830:A0 *26830:A1 3.18676e-05 -108 *26845:A *5713:154 4.18834e-05 -109 *26846:C1 *26846:A3 9.60875e-05 -110 *26875:A0 *26875:A1 2.59355e-05 -111 *27019:A0 *6504:DIODE 0.00021846 -112 *27061:A0 *5713:208 7.48091e-05 -113 *27073:A1 *27073:A0 0.000175892 -114 *27109:S *5713:146 2.04825e-05 -115 *27109:S *5713:203 0.000977782 -116 *27136:S *27091:A1 9.58479e-05 -117 *27136:S *5713:109 3.30646e-05 -118 *27136:S *5713:130 2.36299e-05 -119 *27160:A0 *5713:271 2.12005e-05 -120 *27160:S *26875:A1 4.16984e-05 -121 *27262:B *5713:203 3.47641e-06 -122 *27297:B2 *26965:A0 4.23556e-05 -123 *27322:B2 *5713:96 0.000211903 -124 *27322:B2 *5713:104 0.000162182 -125 *27332:A *5713:62 0.000743911 -126 *27332:A *5713:84 0.0026725 -127 *28314:CLK *29002:A 0.000265634 -128 *28443:D *26846:A3 2.286e-05 -129 *28618:D *27055:A0 4.00679e-05 -130 *28619:CLK *5713:146 0.000136958 -131 *28650:D *27082:A0 0.000178847 -132 *28658:D *27091:A1 0.00017754 -133 *28674:D *5713:155 0.000304394 -134 *28698:D *5713:96 0.000550386 -135 *28767:CLK *5713:203 9.62956e-06 -136 *28767:D *5713:203 0.000348435 -137 *28792:CLK *5713:203 0.000463867 -138 *28940:A *26825:A0 4.13465e-05 -139 *29003:A *29002:A 2.14757e-05 -140 *29335:A *5713:336 2.14145e-05 -141 *29437:A *5713:109 0.000199486 -142 *29437:A *5713:130 0.000128972 -143 *29755:A *5713:305 9.41642e-05 -144 *29756:A *5713:305 4.38058e-05 -145 *29816:A *5713:146 0.000139907 -146 *30109:A *26828:A0 2.21972e-05 -147 *30263:A *29002:A 1.88312e-05 -148 *30322:A *5713:208 0.00118218 -149 *30522:A *5713:208 9.79256e-05 -150 *30523:A *5713:208 5.52302e-05 -151 *30946:A *26825:A0 4.60232e-06 -152 *74:11 *5713:84 0.000133941 -153 *474:15 *26825:A0 3.48023e-05 -154 *761:17 *26825:A0 2.47724e-05 -155 *1176:13 *5713:203 3.99564e-05 -156 *1185:88 *6504:DIODE 0.000341958 -157 *1217:24 *5713:37 2.04825e-05 -158 *1221:21 *29002:A 2.60969e-05 -159 *1228:47 *5713:84 1.1257e-05 -160 *1243:34 *5713:37 9.41642e-05 -161 *1244:53 *5713:203 3.27892e-05 -162 *1244:129 *5713:130 0.000405071 -163 *1250:74 *27091:A1 7.05143e-06 -164 *1262:19 *5713:37 0 -165 *1265:69 *5713:336 0.000268374 -166 *1294:128 *5713:146 2.39824e-05 -167 *1342:11 *26830:A1 0.000113295 -168 *1366:13 *5713:208 0.000463739 -169 *1367:5 *5713:208 0.000180489 -170 *1399:24 *5713:24 9.25014e-06 -171 *1416:72 *5713:84 0.000403124 -172 *1420:40 *5713:37 0 -173 *1446:10 *5713:130 0.000193187 -174 *1446:10 *5713:132 1.65558e-05 -175 *1446:10 *5713:146 5.09477e-05 -176 *1446:12 *5713:84 0.00025401 -177 *1446:12 *5713:130 5.36309e-05 -178 *1484:23 *5713:130 0 -179 *1490:17 *6504:DIODE 6.46031e-05 -180 *1590:15 *27046:A0 0.00022459 -181 *1590:15 *27055:A0 0.00143916 -182 *1606:14 *5713:45 2.04825e-05 -183 *1642:20 *26965:A0 8.81622e-05 -184 *1651:15 *5713:37 0.00107972 -185 *1659:34 *5713:49 0.000943777 -186 *1659:34 *5713:336 0.000109207 -187 *1691:14 *5713:84 4.55783e-05 -188 *1815:34 *26825:A0 0 -189 *1864:116 *5713:305 7.49251e-05 -190 *1864:134 *5713:305 0.00142258 -191 *1886:10 *29002:A 0.000549147 -192 *1886:10 *5713:24 4.08637e-05 -193 *1900:30 *26825:A0 0.000141856 -194 *2758:37 *26875:A1 3.2687e-05 -195 *2760:33 *26893:A0 9.71197e-05 -196 *2775:187 *5713:49 0.000266235 -197 *2775:187 *5713:62 1.88624e-05 -198 *2777:94 *26893:A0 0.000638477 -199 *2778:55 *5713:146 2.26424e-05 -200 *2778:56 *27055:A0 0.00110044 -201 *2778:66 *27055:A0 0.00010096 -202 *2779:121 *5713:336 2.05803e-05 -203 *2779:170 *5713:305 4.53834e-05 -204 *2781:87 *27073:A0 0.00026302 -205 *2781:88 *5713:336 0.000160692 -206 *2784:26 *5713:203 0 -207 *2790:58 *26875:A1 0.000177815 -208 *2798:60 *5713:203 0.000228896 -209 *2800:16 *5713:203 8.4127e-06 -210 *2811:15 *5713:208 7.48301e-06 -211 *2848:33 *26828:A0 2.07997e-05 -212 *2855:15 *5713:109 8.3614e-05 -213 *2856:124 *5713:84 3.36507e-05 -214 *2856:124 *5713:130 0 -215 *2856:196 *5713:37 0.000475529 -216 *2859:28 *5713:104 9.97669e-05 -217 *2859:34 *26828:A0 5.33005e-05 -218 *2860:154 *5713:104 0.000194624 -219 *2860:154 *5713:109 0.000461869 -220 *2865:44 *5713:130 0.000256989 -221 *2866:124 *5713:25 0.00114994 -222 *2866:133 *5713:25 0.000135258 -223 *2870:43 *5713:305 0.000353462 -224 *2877:25 *26875:A1 1.57523e-05 -225 *2877:25 *5713:271 9.79577e-05 -226 *2877:194 *5713:336 3.20731e-05 -227 *2880:129 *26893:A0 4.88511e-06 -228 *2880:180 *5713:25 0.00202278 -229 *2880:180 *5713:37 0.00270022 -230 *2883:29 *5713:84 0.000109894 -231 *2889:211 *26828:A0 9.40358e-05 -232 *2894:44 *27073:A0 1.98839e-05 -233 *2978:22 *5713:336 0.000269608 -234 *2978:36 *5713:49 0 -235 *2978:36 *5713:62 0 -236 *2998:30 *26893:A0 8.46586e-05 -237 *3019:21 *5713:208 0.00164796 -238 *3153:140 *26893:A0 2.71688e-05 -239 *3156:49 *5713:130 0.000134768 -240 *3164:56 *26828:A0 0.000626668 -241 *3168:31 *5713:84 0.000142187 -242 *3185:92 *5713:62 1.90316e-05 -243 *3185:264 *5713:109 1.39702e-05 -244 *3192:118 *29002:A 0.000264321 -245 *3194:93 *5713:84 0.000165491 -246 *3206:27 *5713:130 0.000131665 -247 *3259:25 *26893:A0 5.11566e-05 -248 *3259:25 *5713:305 4.21517e-05 -249 *3263:11 *5713:305 0.000260574 -250 *3293:34 *26893:A0 0.000336284 -251 *3388:17 *5713:203 5.40377e-05 -252 *3413:51 *5713:336 0.000459046 -253 *3452:6 *5713:24 0.000168217 -254 *3540:8 *5713:336 0.000289563 -255 *3541:43 *5713:25 7.32173e-05 -256 *3561:16 *5713:25 0.000717488 -257 *3638:122 *26825:A0 2.79421e-05 -258 *3639:8 *26825:A0 0.000354013 -259 *3639:74 *26825:A0 0.000203163 -260 *3641:61 *26828:A0 1.90936e-05 -261 *3714:7 *29002:A 4.00679e-05 -262 *3723:80 *29002:A 0.000159071 -263 *3739:122 *5713:45 5.98111e-06 -264 *3769:20 *5713:305 0.000175892 -265 *3797:53 *5713:130 4.65519e-05 -266 *3797:65 *27073:A0 5.99199e-06 -267 *3847:64 *5713:84 0 -268 *3875:73 *5713:37 9.59532e-06 -269 *3875:93 *5713:37 0 -270 *3882:47 *29002:A 0.000115624 -271 *3889:79 *5713:208 0.000364762 -272 *3894:30 *5713:146 0.000704516 -273 *3894:34 *5713:146 0 -274 *3900:60 *5713:305 0.000178847 -275 *3933:7 *5713:96 0.000114596 -276 *3963:109 *5713:62 0.000627634 -277 *3975:22 *5713:25 0.000356257 -278 *3985:19 *5713:87 0.000259549 -279 *3985:19 *5713:271 0.000327935 -280 *3987:19 *5713:146 0.000284302 -281 *3987:19 *5713:154 1.61405e-05 -282 *3987:21 *5713:130 6.12279e-05 -283 *3987:21 *5713:146 0.00100834 -284 *3987:29 *27073:A0 0.000110473 -285 *3987:29 *5713:88 0.000431336 -286 *3987:29 *5713:96 0.000275333 -287 *3989:68 *5713:84 0.00111448 -288 *3992:28 *5713:84 0.000252181 -289 *3997:19 *5713:130 0.000616064 -290 *3997:19 *5713:132 4.66511e-05 -291 *3997:19 *5713:146 0.000532021 -292 *4015:31 *5713:305 4.21517e-05 -293 *4051:43 *5713:104 5.23327e-05 -294 *4051:43 *5713:109 0.000383424 -295 *4062:12 *5713:130 4.50033e-05 -296 *4062:27 *5713:96 0.000203879 -297 *4062:27 *5713:104 0.00016389 -298 *4141:49 *5713:37 2.41653e-05 -299 *4952:13 *5713:203 0 -300 *5211:8 *27073:A0 0.000101223 -301 *5211:8 *27091:A1 0.000108353 -302 *5211:8 *5713:88 0.000422713 -303 *5211:8 *5713:96 0.000275333 -304 *5211:8 *5713:146 0.000612865 -305 *5386:11 *5713:84 2.01997e-05 -306 *5459:12 *5713:208 0.000173797 -307 *5461:7 *5713:104 0.000175892 -308 *5505:42 *26825:A0 0.00021228 -309 *5517:10 *26825:A0 0.000346682 -310 *5517:30 *27073:A0 0.000205256 -311 *5526:16 *5713:146 0.000150925 -312 *5581:39 *5713:146 0.000135028 -313 *5583:58 *27073:A0 0.000207339 -314 *5592:61 *5713:130 0.000796466 -315 *5597:20 *5713:305 0.000356318 -316 *5606:33 *5713:104 0.00017754 -317 *5627:38 *26893:A0 2.996e-06 -318 *5657:38 *26828:A0 5.49489e-05 -319 *5666:36 *29002:A 0.000178847 -320 *5667:348 *26893:A0 0.000422888 -321 *5678:216 *5713:208 4.59075e-05 -322 *5678:247 *5713:203 0.000656145 -323 *5694:283 *26893:A0 4.65519e-05 -324 *5700:50 *5713:336 0.000102879 -325 *5700:55 *5713:62 0 -326 *5700:55 *5713:84 0 -327 *5707:9 *5713:24 7.58516e-05 -328 *5707:200 *26825:A0 0 -329 *5712:10 *29002:A 9.60875e-05 -*RES -1 *29003:X *5713:4 9.3 -2 *5713:4 *29002:A 39.7464 -3 *5713:4 *5713:24 11.7857 -4 *5713:24 *5713:25 48.1786 -5 *5713:25 *5713:37 46.6291 -6 *5713:37 *5713:45 11.6798 -7 *5713:45 *5713:49 9.4683 -8 *5713:49 *26965:A0 18.3975 -9 *5713:49 *5713:62 5.6425 -10 *5713:62 *5713:84 49.8513 -11 *5713:84 *5713:87 7.08929 -12 *5713:87 *5713:88 5.89286 -13 *5713:88 *5713:96 21.0893 -14 *5713:96 *5713:104 15.7232 -15 *5713:104 *5713:109 10.1579 -16 *5713:109 *27091:A1 20.4689 -17 *5713:109 *5713:130 38.5323 -18 *5713:130 *5713:132 0.732143 -19 *5713:132 *5713:146 48.2589 -20 *5713:146 *5713:148 3.41 -21 *5713:148 *5713:154 8.75356 -22 *5713:154 *5713:155 6.69643 -23 *5713:155 *25626:A0 9.3 -24 *5713:155 *5713:163 1.375 -25 *5713:163 *26846:A3 14.3714 -26 *5713:163 *26825:A0 41.8 -27 *5713:154 *27109:A0 9.3 -28 *5713:148 *5713:203 42.2143 -29 *5713:203 *5713:208 49.5893 -30 *5713:208 *26830:A1 22.1393 -31 *5713:132 *27055:A0 27.8893 -32 *5713:130 *27046:A0 15.9786 -33 *5713:104 *26828:A0 22.8488 -34 *5713:96 *27136:A0 13.8 -35 *5713:88 *27082:A0 15.5679 -36 *5713:87 *27073:A0 29.4923 -37 *5713:84 *5713:271 4.23214 -38 *5713:271 *26875:A1 15.8 -39 *5713:271 *27160:A1 9.3 -40 *5713:62 *5713:305 48.4961 -41 *5713:305 *26893:A0 24.2085 -42 *5713:45 *5713:336 26.2153 -43 *5713:336 *6504:DIODE 12.7107 -44 *5713:336 *27019:A1 9.3 -*END - -*D_NET *5714 0.0513612 -*CONN -*I *25333:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30702:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *25333:B2 0 -2 *30702:X 0.00309285 -3 *5714:47 0.00196014 -4 *5714:36 0.00343074 -5 *5714:29 0.0043489 -6 *5714:16 0.0043188 -7 *5714:13 0.00424603 -8 *5714:5 0.00589839 -9 *5714:5 *28621:D 0.000117543 -10 *5714:13 *5970:27 6.92148e-06 -11 *24983:C1 *5714:13 0.000852815 -12 *24997:A *5714:13 0.000123295 -13 *25007:A2 *5714:29 0.000188967 -14 *25017:B1 *5714:29 0.000101391 -15 *25017:C1 *5714:29 0.000109443 -16 *25333:A1 *5714:47 2.70135e-05 -17 *25333:A2 *5714:47 0.000364241 -18 *27447:B1 *5714:29 0.000140341 -19 *27460:B1 *5714:29 6.28248e-05 -20 *27603:A *5714:16 0.000234309 -21 *27637:B *5714:16 0.000275506 -22 *27640:C *5714:13 0 -23 *28726:CLK *5714:5 0.000121099 -24 *28726:D *5714:5 0.0001687 -25 *29652:A *5714:36 0.000215583 -26 *30702:A *5714:5 2.27416e-05 -27 *30806:A *5714:16 0.00024013 -28 *30807:A *5714:16 1.62539e-05 -29 *30808:A *5714:16 9.86454e-05 -30 *1185:52 *5714:13 7.92027e-05 -31 *1185:54 *5714:29 0.000101391 -32 *1246:90 *5714:29 1.18941e-06 -33 *1308:19 *5714:13 0.000114886 -34 *1346:7 *5714:5 0.00043986 -35 *1368:23 *5714:29 0.000176755 -36 *2790:8 *5714:13 0 -37 *2790:29 *5714:16 0.000361493 -38 *2825:39 *5714:16 4.40713e-05 -39 *2845:392 *5714:29 6.47218e-05 -40 *2885:58 *5714:29 0.000704732 -41 *2886:191 *5714:47 4.26961e-06 -42 *2895:256 *5714:29 0 -43 *3040:19 *5714:36 0.000304677 -44 *3040:31 *5714:29 8.99561e-05 -45 *3150:38 *5714:16 1.14658e-05 -46 *3150:53 *5714:13 0 -47 *3176:24 *5714:29 2.01997e-05 -48 *3194:19 *5714:13 0.00113648 -49 *3204:15 *5714:16 0 -50 *3215:14 *5714:29 0 -51 *3537:73 *5714:29 1.24922e-05 -52 *3537:74 *5714:16 0 -53 *3634:25 *5714:5 1.92905e-05 -54 *3634:34 *5714:5 2.39999e-05 -55 *3670:14 *5714:29 0.000100717 -56 *3796:14 *5714:5 0.000195573 -57 *3798:15 *5714:36 0.00126025 -58 *3798:15 *5714:47 0.000123363 -59 *3799:25 *5714:13 0.00046058 -60 *3826:26 *5714:13 0 -61 *3900:48 *5714:47 8.25843e-06 -62 *3968:20 *5714:36 0.000549323 -63 *3982:44 *5714:5 0.000100808 -64 *3993:14 *5714:13 0.000159968 -65 *4121:81 *5714:47 0.000317594 -66 *4907:17 *5714:13 0.00103298 -67 *5386:11 *5714:29 0.000134788 -68 *5589:166 *5714:36 0.000104183 -69 *5589:174 *5714:29 0.000113574 -70 *5601:23 *5714:47 0.00178879 -71 *5601:119 *5714:47 0.000625803 -72 *5631:20 *5714:16 0.000275796 -73 *5631:20 *5714:29 0.000330756 -74 *5631:26 *5714:36 0.000650795 -75 *5631:26 *5714:47 0.000563918 -76 *5633:41 *5714:29 0.00013928 -77 *5633:41 *5714:36 0.00192765 -78 *5656:14 *5714:13 0 -79 *5656:68 *5714:36 0.00025247 -80 *5662:15 *5714:13 0.000770801 -81 *5680:239 *5714:36 0.00125182 -82 *5680:241 *5714:16 0.00285295 -83 *5680:241 *5714:29 0.000724562 -84 *5680:257 *5714:13 4.91498e-05 -*RES -1 *30702:X *5714:5 45.9786 -2 *5714:5 *5714:13 42.4353 -3 *5714:13 *5714:16 39.0625 -4 *5714:16 *5714:29 30.9393 -5 *5714:29 *5714:36 46.6607 -6 *5714:36 *5714:47 42.0804 -7 *5714:47 *25333:B2 9.3 -*END - -*D_NET *5715 0.101633 -*CONN -*I *26956:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27127:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26983:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27145:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26884:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27001:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25913:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25925:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26848:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26902:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25766:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25883:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25784:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25841:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25859:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25847:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25895:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25778:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27010:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26947:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29004:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *26956:A0 0.000401249 -2 *27127:A0 0.000210324 -3 *26983:A0 0.000353418 -4 *27145:A0 0 -5 *26884:A0 9.72553e-06 -6 *27001:A0 3.44989e-05 -7 *25913:A0 0.000144858 -8 *25925:A0 8.28095e-05 -9 *26848:A0 0.000115051 -10 *26902:A0 0.00060522 -11 *25766:A0 0 -12 *25883:A1 0.000676499 -13 *25784:A1 0 -14 *25841:A0 0.000179656 -15 *25859:A0 0.00134949 -16 *25847:A0 0.000122453 -17 *25895:A1 0.00217348 -18 *25778:A0 0.00112131 -19 *27010:A0 0 -20 *26947:A1 0 -21 *29004:X 0 -22 *5715:213 0.00167561 -23 *5715:195 0.000988661 -24 *5715:162 0.00177975 -25 *5715:149 0.00134748 -26 *5715:137 0.00179203 -27 *5715:127 0.00112978 -28 *5715:125 0.0017847 -29 *5715:117 0.00435919 -30 *5715:98 0.00190614 -31 *5715:95 0.000840634 -32 *5715:83 0.00340884 -33 *5715:63 0.00237663 -34 *5715:51 0.00106153 -35 *5715:44 0.00151022 -36 *5715:37 0.00224009 -37 *5715:32 0.00274072 -38 *5715:26 0.00211171 -39 *5715:17 0.00137484 -40 *5715:6 0.0013401 -41 *5715:5 0.000478359 -42 *25778:A0 *5729:60 6.62983e-05 -43 *25847:A0 *25847:S 9.80173e-05 -44 *25847:A0 *5841:13 0.000214198 -45 *25859:A0 *25859:S 6.71327e-05 -46 *25859:A0 *28309:RESET_B 0.000137983 -47 *25859:A0 *5839:11 0.000103267 -48 *25883:A1 *25767:A1 5.33005e-05 -49 *25883:A1 *26712:A1 2.8266e-06 -50 *25883:A1 *26735:A1 0.000572014 -51 *25883:A1 *28231:D 0.000176931 -52 *25883:A1 *5780:47 5.28537e-05 -53 *25883:A1 *5824:28 0 -54 *25883:A1 *5938:31 0 -55 *25883:A1 *5938:33 0 -56 *25895:A1 *5760:138 0.000434487 -57 *26884:A0 *5832:8 9.60337e-06 -58 *26902:A0 *25142:B 0.000137561 -59 *26956:A0 *28980:A 0 -60 *26956:A0 *5832:6 0 -61 *26956:A0 *6036:107 0.000133028 -62 *26983:A0 *5912:100 3.23161e-05 -63 *5715:6 *5832:6 0 -64 *5715:6 *5832:8 0 -65 *5715:17 *28532:D 4.53889e-05 -66 *5715:17 *5832:8 0 -67 *5715:17 *5833:191 0.000247778 -68 *5715:17 *5914:64 0.000271345 -69 *5715:26 *5912:100 0.000133012 -70 *5715:26 *5914:64 0.00018372 -71 *5715:32 *27187:S 0.000391173 -72 *5715:32 *28550:RESET_B 0.000229731 -73 *5715:32 *5729:176 0.000473146 -74 *5715:32 *5912:100 0.000502725 -75 *5715:32 *5914:64 0 -76 *5715:32 *6258:12 0.000360508 -77 *5715:37 *28550:RESET_B 1.31516e-05 -78 *5715:37 *5762:118 0.000204151 -79 *5715:51 *5780:110 0.00019918 -80 *5715:63 *5909:44 0.000169715 -81 *5715:83 *5729:35 0.000181926 -82 *5715:83 *5909:44 0.000192083 -83 *5715:95 *5729:35 0.000763757 -84 *5715:95 *5760:69 0.000178847 -85 *5715:117 *5848:82 0.000136577 -86 *5715:117 *5909:44 0.0018619 -87 *5715:137 *28248:D 6.53301e-05 -88 *5715:137 *28303:RESET_B 0.000136958 -89 *5715:137 *5833:91 0.000337936 -90 *5715:149 *28302:RESET_B 8.94203e-05 -91 *5715:149 *28303:RESET_B 0.00016641 -92 *5715:149 *5761:104 0.000679959 -93 *5715:149 *5841:13 0.000178847 -94 *25234:A2 *5715:125 1.98839e-05 -95 *25234:B1 *5715:125 0.000474986 -96 *25245:A2 *5715:117 0.000262228 -97 *25254:C1 *5715:125 0.000221641 -98 *25257:B *26902:A0 0.000278744 -99 *25377:C1 *26902:A0 9.58181e-05 -100 *25383:C1 *5715:37 0.00195521 -101 *25383:C1 *5715:44 0.00190693 -102 *25558:A1 *25778:A0 0.000122941 -103 *25558:A1 *5715:83 0.000535598 -104 *25728:B *5715:32 0.000202816 -105 *25766:A1 *5715:195 9.31011e-05 -106 *25784:A0 *5715:137 6.12335e-05 -107 *25849:A1 *5715:149 0.000218564 -108 *25859:A1 *25859:A0 0.000150442 -109 *25860:A0 *25859:A0 0.000190651 -110 *25860:A0 *5715:162 0.000262432 -111 *25895:A0 *25895:A1 0.000148215 -112 *25913:A1 *25913:A0 5.75147e-05 -113 *25913:A1 *5715:95 3.63775e-05 -114 *25917:S *25895:A1 4.58194e-05 -115 *25917:S *5715:83 6.57032e-05 -116 *25924:A *5715:117 0.0001326 -117 *25925:S *25925:A0 0.000178847 -118 *26763:C *25883:A1 0 -119 *26848:A1 *26902:A0 9.33161e-05 -120 *27010:A1 *5715:63 0.000135028 -121 *27011:S *5715:117 1.37527e-05 -122 *27145:A1 *5715:32 0.000450825 -123 *28067:D *25778:A0 0.000161585 -124 *28296:CLK *5715:162 0.000215583 -125 *28336:CLK *25883:A1 0.000226605 -126 *28336:CLK *5715:195 0.000224577 -127 *28347:CLK *25895:A1 5.56594e-05 -128 *28360:D *5715:95 0.00027025 -129 *28364:D *5715:83 0.000242314 -130 *28444:D *26902:A0 0.000238938 -131 *28550:D *5715:32 0.000157465 -132 *28743:D *5715:32 3.2293e-05 -133 *28747:D *5715:32 0.000518479 -134 *29059:A *5715:117 1.91823e-05 -135 *29313:A *26902:A0 1.47972e-05 -136 *29327:A *26902:A0 9.41642e-05 -137 *29328:A *5715:213 0.000467004 -138 *29354:A *5715:17 0 -139 *29801:A *25883:A1 0.000217876 -140 *30047:A *5715:137 3.80079e-05 -141 *30047:A *5715:149 8.17663e-05 -142 *30058:A *5715:149 0.000253972 -143 *30215:A *5715:26 4.51213e-05 -144 *30215:A *5715:32 0.000141591 -145 *30223:A *5715:125 0.000221064 -146 *30468:A *25859:A0 0.000136951 -147 *373:65 *25778:A0 0.000123577 -148 *1288:112 *5715:37 0 -149 *1288:112 *5715:44 0 -150 *1405:49 *5715:117 3.82357e-05 -151 *1405:49 *5715:213 0.00118492 -152 *1405:73 *5715:117 4.53109e-06 -153 *1415:27 *26902:A0 9.08582e-05 -154 *1433:34 *25925:A0 5.33005e-05 -155 *1447:44 *26902:A0 5.49544e-05 -156 *1448:60 *25859:A0 0.000957989 -157 *1464:8 *5715:125 0.000381545 -158 *1475:13 *5715:125 0.000934226 -159 *1475:13 *5715:213 0.00065973 -160 *1677:8 *5715:137 0.00151251 -161 *1677:8 *5715:195 0.000802118 -162 *1823:12 *5715:17 7.8327e-05 -163 *1834:9 *5715:32 0.000225314 -164 *1873:21 *5715:125 0.000304394 -165 *1878:37 *25841:A0 5.52302e-05 -166 *1883:29 *27001:A0 5.33005e-05 -167 *1883:29 *5715:51 0.000266473 -168 *1892:14 *5715:51 0.000146474 -169 *1892:16 *5715:51 0.000175512 -170 *1894:14 *5715:95 0.000174607 -171 *2483:28 *5715:195 0.00057258 -172 *2763:219 *5715:32 9.91086e-05 -173 *2766:101 *5715:26 0.000130201 -174 *2770:156 *5715:17 5.43491e-05 -175 *2772:85 *5715:51 0.000856908 -176 *2773:154 *5715:51 4.58194e-05 -177 *2773:154 *5715:63 1.38323e-05 -178 *2773:155 *5715:83 0.000670942 -179 *2780:259 *5715:37 0 -180 *2786:156 *5715:17 0.00185303 -181 *3413:25 *5715:117 3.79901e-06 -182 *3484:20 *5715:98 0.000718599 -183 *3484:20 *5715:117 0.00100888 -184 *3484:31 *5715:117 0.000158299 -185 *3541:66 *5715:125 0.000127276 -186 *3541:68 *5715:125 0.000141301 -187 *3564:11 *25847:A0 0.000111172 -188 *3586:64 *5715:149 2.87566e-05 -189 *3586:64 *5715:162 0.000112323 -190 *3589:107 *5715:17 8.5896e-05 -191 *3589:114 *5715:17 1.17968e-05 -192 *3656:47 *25883:A1 0.000360816 -193 *3684:7 *25841:A0 0.00018372 -194 *3684:64 *5715:149 0.000779783 -195 *3688:7 *5715:149 0.000227532 -196 *3697:31 *25859:A0 0.00035494 -197 *3697:31 *5715:149 9.77024e-05 -198 *3697:31 *5715:162 0.000103365 -199 *3742:36 *26902:A0 9.83442e-05 -200 *3742:48 *26902:A0 2.36643e-05 -201 *3762:30 *5715:117 2.80641e-05 -202 *3765:7 *25895:A1 9.71197e-05 -203 *3775:79 *25895:A1 7.49231e-05 -204 *3776:42 *5715:117 0.000788826 -205 *3830:51 *25841:A0 0.000197176 -206 *3881:61 *5715:213 0.000137561 -207 *3920:20 *5715:17 5.52238e-05 -208 *3947:15 *5715:125 0.000232024 -209 *3953:6 *5715:32 0.00142443 -210 *3953:6 *5715:37 0.000228337 -211 *3957:22 *5715:137 0.00151653 -212 *3957:22 *5715:195 0.000207272 -213 *3959:63 *26902:A0 0.0001826 -214 *4012:39 *5715:117 0.00162265 -215 *4025:5 *25895:A1 3.45337e-05 -216 *4025:21 *25895:A1 6.09889e-05 -217 *4039:39 *25778:A0 0.000392019 -218 *4039:39 *5715:63 0.000215302 -219 *4040:20 *5715:63 0.000967392 -220 *4079:43 *5715:213 0.000177821 -221 *4087:61 *25778:A0 0.000821 -222 *4087:61 *5715:63 0.000347785 -223 *4088:54 *26902:A0 0.000225683 -224 *4091:64 *5715:137 0.000136958 -225 *4092:21 *5715:137 0.000339651 -226 *4141:21 *26956:A0 0.000356651 -227 *4141:21 *5715:6 0.0001426 -228 *4141:21 *5715:17 0.000175512 -229 *5111:13 *25883:A1 5.71472e-05 -230 *5545:30 *25859:A0 0 -231 *5611:34 *25847:A0 0.000387448 -232 *5611:34 *5715:149 0.000168334 -233 *5613:22 *5715:95 0.000130443 -234 *5613:22 *5715:117 0 -235 *5613:46 *5715:37 3.93043e-05 -236 *5641:111 *5715:95 0.000160489 -237 *5646:195 *5715:98 0.00071063 -238 *5646:195 *5715:117 2.25896e-05 -239 *5649:249 *26884:A0 2.06178e-05 -240 *5650:304 *5715:44 4.11173e-05 -241 *5650:304 *5715:63 0.000967392 -242 *5669:19 *5715:83 0.00138398 -243 *5669:101 *5715:137 0.000136951 -244 *5669:251 *5715:32 0.000205883 -245 *5688:143 *5715:83 0.000170048 -246 *5688:205 *5715:125 0.000507011 -247 *5689:56 *5715:17 0.00034654 -248 *5693:20 *25859:A0 0.000318107 -249 *5709:10 *5715:17 0.000359529 -*RES -1 *29004:X *5715:5 13.8 -2 *5715:5 *5715:6 1.94643 -3 *5715:6 *5715:17 42.3214 -4 *5715:17 *26947:A1 9.3 -5 *5715:17 *5715:26 11.25 -6 *5715:26 *5715:32 46.4286 -7 *5715:32 *5715:37 9.63686 -8 *5715:37 *5715:44 11.5642 -9 *5715:44 *5715:51 23.5893 -10 *5715:51 *27010:A0 9.3 -11 *5715:51 *5715:63 26.75 -12 *5715:63 *25778:A0 30.0321 -13 *5715:63 *5715:83 35.6786 -14 *5715:83 *25895:A1 34.0679 -15 *5715:83 *5715:95 21.8393 -16 *5715:95 *5715:98 13.7321 -17 *5715:98 *5715:117 41.7425 -18 *5715:117 *5715:125 45.8571 -19 *5715:125 *5715:127 4.5 -20 *5715:127 *5715:137 43.0179 -21 *5715:137 *5715:149 35.5536 -22 *5715:149 *25847:A0 14.3893 -23 *5715:149 *5715:162 11.6071 -24 *5715:162 *25859:A0 43.3536 -25 *5715:162 *25841:A0 18.0321 -26 *5715:137 *25784:A1 9.3 -27 *5715:127 *5715:195 17.125 -28 *5715:195 *25883:A1 35.7464 -29 *5715:195 *25766:A0 9.3 -30 *5715:117 *5715:213 20.6607 -31 *5715:213 *26902:A0 33.4607 -32 *5715:213 *26848:A0 11.2286 -33 *5715:98 *25925:A0 15.5679 -34 *5715:95 *25913:A0 11.0679 -35 *5715:44 *27001:A0 9.83571 -36 *5715:37 *26884:A0 17.4868 -37 *5715:32 *27145:A0 13.8 -38 *5715:26 *26983:A0 17.6214 -39 *5715:6 *27127:A0 16.8 -40 *5715:5 *26956:A0 21.4786 -*END - -*D_NET *5716 0.100122 -*CONN -*I *27178:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29004:A I *D sky130_fd_sc_hd__buf_6 -*I *27118:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27196:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26929:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26974:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27169:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26857:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26852:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25678:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25736:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26866:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25712:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27205:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26992:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26938:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27187:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26920:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27028:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25901:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29005:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *27178:A0 0 -2 *29004:A 0.000544037 -3 *27118:A0 2.114e-05 -4 *27196:A0 0 -5 *26929:A0 0.000308728 -6 *26974:A0 0 -7 *27169:A0 0.000793762 -8 *26857:A0 0.0012215 -9 *26852:A1 8.95055e-06 -10 *25678:A1 0.000158882 -11 *25736:A0 0 -12 *26866:A0 0 -13 *25712:A1 0.000973181 -14 *27205:A0 0 -15 *26992:A0 0.000182975 -16 *26938:A0 0.000372789 -17 *27187:A0 0 -18 *26920:A0 9.82718e-05 -19 *27028:A0 0.000416137 -20 *25901:A0 0.000351439 -21 *29005:X 2.19568e-05 -22 *5716:265 0.00146858 -23 *5716:244 0.00105848 -24 *5716:234 0.00106366 -25 *5716:215 0.00114694 -26 *5716:214 0.000746489 -27 *5716:192 0.00222386 -28 *5716:174 0.000237187 -29 *5716:145 0.00128138 -30 *5716:141 0.00138165 -31 *5716:135 0.00115176 -32 *5716:133 0.00649533 -33 *5716:132 0.00751536 -34 *5716:124 0.00207313 -35 *5716:121 0.00215848 -36 *5716:77 0.00114469 -37 *5716:68 0.000636026 -38 *5716:67 0.00246771 -39 *5716:60 0.00282776 -40 *5716:50 0.00386724 -41 *5716:42 0.0031395 -42 *5716:14 0.00235101 -43 *5716:8 0.00184216 -44 *5716:6 0.000349981 -45 *25678:A1 *25736:S 0.000314323 -46 *25712:A1 *28459:D 4.87953e-05 -47 *25712:A1 *28459:RESET_B 0.000383166 -48 *25712:A1 *28557:RESET_B 9.60939e-05 -49 *25712:A1 *5801:19 5.74499e-06 -50 *26857:A0 *29702:A 0 -51 *26857:A0 *5787:9 3.52877e-05 -52 *26929:A0 *5792:23 0.000482601 -53 *26938:A0 *5810:115 4.88515e-05 -54 *27028:A0 *5833:190 0.000768666 -55 *27169:A0 *28682:SET_B 0.000436743 -56 *29004:A *28690:SET_B 0.000409239 -57 *29004:A *28735:SET_B 0 -58 *29004:A *5763:154 2.11419e-05 -59 *5716:14 *5823:13 7.07908e-05 -60 *5716:14 *5823:17 4.43836e-05 -61 *5716:50 *5763:67 0.000274288 -62 *5716:67 *5820:46 0.000208726 -63 *5716:67 *5879:32 0.000101555 -64 *5716:67 *5881:54 0.000347733 -65 *5716:77 *5879:32 4.43698e-05 -66 *5716:121 *26912:A0 0.00022266 -67 *5716:121 *28499:D 8.70873e-05 -68 *5716:121 *5820:31 8.43535e-06 -69 *5716:121 *5820:46 7.22864e-05 -70 *5716:121 *5824:153 0.000193833 -71 *5716:124 *5910:42 5.86416e-05 -72 *5716:132 *28677:RESET_B 0.000466509 -73 *5716:132 *5771:107 0.000235038 -74 *5716:133 *28170:RESET_B 5.33005e-05 -75 *5716:133 *28505:D 0.000185544 -76 *5716:133 *28505:RESET_B 0.000169673 -77 *5716:133 *5863:117 7.59867e-05 -78 *5716:141 *26883:B 0.00025467 -79 *5716:141 *28170:RESET_B 3.17148e-05 -80 *5716:174 *28170:RESET_B 6.91157e-05 -81 *5716:192 *28677:RESET_B 0.000267092 -82 *5716:192 *28965:A 0.000173797 -83 *5716:192 *5771:91 0.00050807 -84 *5716:192 *5910:42 1.18064e-05 -85 *5716:192 *6274:8 0.000115307 -86 *5716:192 *6351:10 0 -87 *5716:192 *6351:12 0 -88 *5716:214 *28682:SET_B 2.95642e-05 -89 *5716:214 *5820:46 6.73244e-05 -90 *5716:214 *5879:19 0.00110061 -91 *5716:214 *5879:32 0.000171306 -92 *5716:215 *28682:SET_B 8.57023e-05 -93 *5716:234 *28682:SET_B 7.36961e-05 -94 *5716:234 *5879:19 0 -95 *5716:244 *5879:19 0 -96 *5716:244 *5984:11 0 -97 *5716:265 *25601:A0 0.000231561 -98 *5716:265 *28735:SET_B 0.000396069 -99 *5716:265 *5763:67 0 -100 *5716:265 *5778:149 5.76278e-05 -101 *5716:265 *5930:11 0.000106542 -102 *24841:A *5716:141 0 -103 *25712:A0 *25712:A1 9.09891e-05 -104 *25712:S *25712:A1 0.000387616 -105 *25736:A1 *25678:A1 1.58163e-05 -106 *25736:A1 *5716:174 1.58163e-05 -107 *26850:S *26857:A0 3.08907e-05 -108 *26857:S *26857:A0 6.76146e-05 -109 *26905:S *5716:145 0.000457088 -110 *26911:A0 *5716:214 4.60438e-05 -111 *26920:A1 *26920:A0 0.000181796 -112 *26920:S *26920:A0 3.67142e-05 -113 *26933:S *26929:A0 9.41642e-05 -114 *26988:A1 *25712:A1 0.00032377 -115 *26992:A1 *26992:A0 0.00028427 -116 *27031:S *5716:145 4.85373e-05 -117 *27040:A1 *5716:133 0.000177461 -118 *27127:A1 *29004:A 0.000150198 -119 *27169:S *27169:A0 9.7659e-05 -120 *27178:A1 *5716:265 0.000241294 -121 *27187:A1 *5716:60 0.000145945 -122 *27189:A1 *26852:A1 5.52302e-05 -123 *27196:S *5716:244 0.000400867 -124 *27205:A1 *5716:67 0.000299683 -125 *27609:A1 *5716:77 3.20417e-05 -126 *27609:B2 *5716:77 0.000119388 -127 *27610:A1 *5716:77 8.0089e-05 -128 *27656:C1 *5716:14 6.30292e-05 -129 *27659:A2 *5716:50 0.000428507 -130 *27659:B2 *5716:50 2.57194e-05 -131 *27666:A2 *25901:A0 0.000736305 -132 *27666:A2 *5716:14 0.000147836 -133 *27666:B1 *25901:A0 0.000739742 -134 *27666:B1 *5716:14 0.000118414 -135 *27668:B *5716:14 0 -136 *27707:A2 *5716:121 5.56205e-05 -137 *27821:A2 *5716:133 3.18676e-05 -138 *27821:B2 *5716:133 2.59355e-05 -139 *27832:C1 *5716:133 8.35511e-05 -140 *28170:D *5716:133 0.00061109 -141 *28459:CLK *25712:A1 0.000273658 -142 *28500:D *5716:121 5.87969e-05 -143 *28554:D *5716:244 6.97972e-05 -144 *28570:CLK *5716:77 0.000175021 -145 *28677:CLK *5716:132 1.39841e-05 -146 *28902:A *5716:60 0.00206791 -147 *28902:A *5716:67 3.17148e-05 -148 *28902:A *5716:214 0.00010326 -149 *28903:A *26929:A0 0.00133091 -150 *28903:A *5716:244 0.00044366 -151 *29101:A *5716:50 5.52238e-05 -152 *29105:A *26857:A0 0.000401128 -153 *29115:A *26852:A1 5.71472e-05 -154 *29117:A *5716:77 2.63834e-05 -155 *29121:A *26938:A0 0.000123288 -156 *29145:A *5716:244 6.53968e-05 -157 *29168:A *5716:68 9.60875e-05 -158 *29168:A *5716:77 9.90431e-05 -159 *29168:A *5716:121 0.000309273 -160 *29203:A *5716:121 9.41642e-05 -161 *29423:A *5716:121 6.57032e-05 -162 *29452:A *29004:A 0 -163 *29459:A *27118:A0 2.59355e-05 -164 *29459:A *27169:A0 6.05161e-06 -165 *29459:A *5716:215 7.02611e-05 -166 *29489:A *5716:265 0 -167 *29972:A *5716:192 4.56383e-05 -168 *30170:A *25678:A1 2.6949e-05 -169 *30249:A *5716:244 0.00014759 -170 *30260:A *26992:A0 0.000135028 -171 *30341:A *5716:214 0.000159636 -172 *30341:A *5716:234 0.000364559 -173 *30342:A *5716:214 6.11843e-05 -174 *30697:A *5716:145 0.000234824 -175 *30840:A *5716:141 0.000317594 -176 *30876:A *25712:A1 6.42095e-05 -177 *30876:A *5716:145 5.18616e-05 -178 *778:15 *26857:A0 0 -179 *900:15 *25712:A1 5.33005e-05 -180 *938:9 *5716:133 1.21258e-05 -181 *938:9 *5716:141 0.000248657 -182 *1174:15 *5716:50 9.25014e-06 -183 *1174:68 *5716:6 2.49484e-05 -184 *1174:68 *5716:8 5.33882e-05 -185 *1265:161 *5716:132 9.9471e-06 -186 *1672:11 *5716:67 9.91086e-05 -187 *1672:11 *5716:214 0.000166269 -188 *1883:10 *5716:8 0.000101787 -189 *1883:10 *5716:14 0.000122958 -190 *1883:29 *5716:14 0.00158421 -191 *2757:11 *26992:A0 0.000380555 -192 *2764:43 *5716:121 1.02821e-05 -193 *2769:10 *25712:A1 0.000167238 -194 *2771:93 *26992:A0 0.00014183 -195 *2786:147 *26857:A0 2.04825e-05 -196 *2786:156 *29004:A 4.79842e-05 -197 *2791:246 *5716:67 7.57946e-05 -198 *3162:8 *5716:77 0.000566145 -199 *3257:13 *5716:121 0.00023389 -200 *3535:13 *5716:6 2.84494e-05 -201 *3535:13 *5716:8 0.000197486 -202 *3535:13 *5716:14 6.09476e-05 -203 *3535:13 *5716:50 0 -204 *3535:13 *5716:265 0 -205 *3538:14 *5716:132 7.83587e-05 -206 *3578:21 *5716:50 4.35336e-05 -207 *3600:93 *26938:A0 0.000327179 -208 *3600:100 *26938:A0 0.00017913 -209 *3600:100 *5716:77 9.25014e-06 -210 *3601:24 *5716:121 0.000325367 -211 *3603:164 *26857:A0 0 -212 *3606:19 *5716:124 0.000123295 -213 *3606:19 *5716:192 7.29667e-05 -214 *3608:21 *5716:133 0.000162047 -215 *3699:15 *5716:50 0.000282261 -216 *3699:15 *5716:60 7.49387e-06 -217 *3699:21 *5716:50 1.02421e-05 -218 *3707:38 *25712:A1 0.000559093 -219 *3738:18 *25901:A0 2.79421e-05 -220 *3836:10 *26938:A0 0.000120883 -221 *3836:10 *5716:77 2.40098e-05 -222 *3836:10 *5716:234 7.79781e-06 -223 *3846:8 *5716:121 0.000193118 -224 *3861:53 *5716:192 0 -225 *3920:40 *5716:14 9.21418e-06 -226 *3951:8 *26857:A0 0 -227 *3951:8 *5716:192 0 -228 *3998:13 *27169:A0 0.000123594 -229 *4011:23 *29004:A 0.000120076 -230 *4037:8 *5716:244 0 -231 *4037:18 *5716:234 0 -232 *4037:18 *5716:244 0 -233 *4043:21 *26857:A0 1.49631e-05 -234 *4076:8 *5716:77 0.000352807 -235 *4076:8 *5716:214 0.000114853 -236 *4076:21 *5716:77 0.000148911 -237 *4086:5 *5716:133 0.000114587 -238 *4089:10 *5716:14 0 -239 *4089:12 *5716:14 0 -240 *4141:21 *29004:A 0 -241 *4147:95 *25712:A1 0.00047001 -242 *4350:96 *5716:14 0 -243 *4350:116 *5716:42 0.000357054 -244 *4446:13 *5716:234 1.76135e-05 -245 *4446:13 *5716:244 0.000215423 -246 *4502:21 *26938:A0 2.05484e-05 -247 *4502:21 *5716:77 1.99921e-05 -248 *4509:13 *27118:A0 1.98839e-05 -249 *4509:13 *5716:215 2.14658e-05 -250 *4511:19 *5716:141 0.000136951 -251 *4875:18 *26857:A0 4.37542e-05 -252 *4883:13 *26857:A0 0.000620718 -253 *5461:28 *5716:145 1.28259e-05 -254 *5461:32 *25678:A1 0.000386582 -255 *5461:32 *5716:141 0.00293447 -256 *5461:32 *5716:174 7.6644e-05 -257 *5462:21 *26929:A0 0.000387515 -258 *5462:21 *5716:244 5.81579e-05 -259 *5544:11 *29004:A 1.58163e-05 -260 *5588:8 *27169:A0 0.000125241 -261 *5629:182 *5716:121 6.27177e-05 -262 *5629:195 *5716:121 0.000416478 -263 *5629:217 *5716:121 8.27532e-05 -264 *5630:307 *5716:50 0.000657852 -265 *5640:267 *5716:121 0.000987878 -266 *5640:267 *5716:133 0.000469765 -267 *5640:285 *5716:133 0.00257515 -268 *5641:211 *5716:133 3.02104e-05 -269 *5646:258 *26938:A0 6.30931e-05 -270 *5659:15 *5716:145 0.000747782 -271 *5668:31 *5716:132 3.69697e-05 -272 *5670:13 *5716:141 0.00133137 -273 *5681:14 *25712:A1 0.000156947 -274 *5695:16 *26857:A0 9.0573e-05 -275 *5695:25 *26857:A0 5.48376e-05 -*RES -1 *29005:X *5716:6 14.5321 -2 *5716:6 *5716:8 4.98214 -3 *5716:8 *5716:14 36.3036 -4 *5716:14 *25901:A0 24.6929 -5 *5716:14 *27028:A0 21.3179 -6 *5716:8 *26920:A0 15.9786 -7 *5716:6 *5716:42 12.4107 -8 *5716:42 *5716:50 34.0357 -9 *5716:50 *27187:A0 9.3 -10 *5716:50 *5716:60 28.4643 -11 *5716:60 *5716:67 13.7857 -12 *5716:67 *5716:68 0.946429 -13 *5716:68 *5716:77 20.1964 -14 *5716:77 *26938:A0 22.6214 -15 *5716:77 *26992:A0 19.8179 -16 *5716:68 *27205:A0 9.3 -17 *5716:67 *5716:121 45.9643 -18 *5716:121 *5716:124 6.14286 -19 *5716:124 *5716:132 26.9821 -20 *5716:132 *5716:133 80.2143 -21 *5716:133 *5716:135 4.5 -22 *5716:135 *5716:141 48.125 -23 *5716:141 *5716:145 15.5893 -24 *5716:145 *25712:A1 42.9964 -25 *5716:145 *26866:A0 9.3 -26 *5716:135 *5716:174 6.07143 -27 *5716:174 *25736:A0 9.3 -28 *5716:174 *25678:A1 23.8179 -29 *5716:124 *5716:192 21.4107 -30 *5716:192 *26852:A1 14.3357 -31 *5716:192 *26857:A0 37.6036 -32 *5716:60 *5716:214 24.1071 -33 *5716:214 *5716:215 1.35714 -34 *5716:215 *27169:A0 31.425 -35 *5716:215 *5716:234 11.6071 -36 *5716:234 *26974:A0 13.8 -37 *5716:234 *5716:244 22.125 -38 *5716:244 *26929:A0 22.1571 -39 *5716:244 *27196:A0 9.3 -40 *5716:214 *27118:A0 9.83571 -41 *5716:42 *5716:265 26.4643 -42 *5716:265 *29004:A 30.8 -43 *5716:265 *27178:A0 9.3 -*END - -*D_NET *5717 0.0768075 -*CONN -*I *27279:A I *D sky130_fd_sc_hd__and3_4 -*I *27291:A I *D sky130_fd_sc_hd__and3_4 -*I *27309:A I *D sky130_fd_sc_hd__and3_4 -*I *27301:A I *D sky130_fd_sc_hd__and3_4 -*I *27294:A I *D sky130_fd_sc_hd__and3_4 -*I *27296:A I *D sky130_fd_sc_hd__and3_4 -*I *27329:A I *D sky130_fd_sc_hd__and3_4 -*I *27312:A I *D sky130_fd_sc_hd__and3_4 -*I *27314:A I *D sky130_fd_sc_hd__and3_4 -*I *27283:A I *D sky130_fd_sc_hd__and3_4 -*I *27317:A I *D sky130_fd_sc_hd__and3_4 -*I *27290:A I *D sky130_fd_sc_hd__and3_4 -*I *27307:A I *D sky130_fd_sc_hd__and3_4 -*I *27562:B I *D sky130_fd_sc_hd__or2_1 -*I *27518:B I *D sky130_fd_sc_hd__or2_1 -*I *27496:B I *D sky130_fd_sc_hd__or2_1 -*I *27540:B I *D sky130_fd_sc_hd__or2_1 -*I *27285:A I *D sky130_fd_sc_hd__and3_4 -*I *27327:A I *D sky130_fd_sc_hd__and3_4 -*I *27299:A I *D sky130_fd_sc_hd__and3_4 -*I *29006:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *27279:A 0 -2 *27291:A 0.00029598 -3 *27309:A 0 -4 *27301:A 0.000162723 -5 *27294:A 0 -6 *27296:A 9.9383e-05 -7 *27329:A 0 -8 *27312:A 0 -9 *27314:A 0.000174334 -10 *27283:A 4.87305e-05 -11 *27317:A 0.000482416 -12 *27290:A 0 -13 *27307:A 0 -14 *27562:B 6.33659e-05 -15 *27518:B 9.05572e-06 -16 *27496:B 0.000114827 -17 *27540:B 0 -18 *27285:A 0 -19 *27327:A 0.000289296 -20 *27299:A 0.000150567 -21 *29006:X 6.07534e-05 -22 *5717:186 0.000425053 -23 *5717:179 0.000465072 -24 *5717:168 0.00061018 -25 *5717:164 0.000618738 -26 *5717:155 0.000722776 -27 *5717:152 0.00020709 -28 *5717:149 0.000498823 -29 *5717:142 0.000517538 -30 *5717:133 0.000490131 -31 *5717:130 0.000556958 -32 *5717:117 0.00175243 -33 *5717:108 0.00280539 -34 *5717:104 0.00216947 -35 *5717:89 0.00150958 -36 *5717:81 0.00220604 -37 *5717:74 0.00371427 -38 *5717:61 0.00623584 -39 *5717:60 0.00471443 -40 *5717:53 0.000352524 -41 *5717:50 0.000403117 -42 *5717:47 0.000439177 -43 *5717:26 0.000428859 -44 *5717:23 0.000767937 -45 *5717:7 0.000679214 -46 *27327:A *27277:B 5.52302e-05 -47 *27327:A *5720:205 4.82947e-05 -48 *27327:A *6225:110 8.75584e-05 -49 *27327:A *6225:114 0.000142305 -50 *27496:B *27504:C1 1.35465e-05 -51 *5717:23 *6306:74 0 -52 *5717:26 *5720:205 6.21188e-05 -53 *5717:81 *5718:121 0.000680986 -54 *5717:89 *27504:C1 2.05612e-05 -55 *5717:108 *5744:37 6.54117e-05 -56 *5717:108 *5744:102 0.000389088 -57 *25007:B1 *27283:A 9.60939e-05 -58 *25137:B1 *5717:117 2.04825e-05 -59 *27279:B *5717:47 0.000136958 -60 *27301:C *27301:A 5.33005e-05 -61 *27309:B *5717:133 9.60939e-05 -62 *27312:C *5717:186 6.75397e-05 -63 *27313:B *5717:61 0.000466253 -64 *27314:B *5717:179 4.22135e-06 -65 *27327:B *27327:A 8.49829e-05 -66 *27329:B *5717:186 9.66977e-05 -67 *27502:B1 *5717:108 8.65622e-05 -68 *27502:C1 *5717:108 0.000805394 -69 *27504:A1 *5717:81 0.000269741 -70 *27504:A1 *5717:89 0.000228861 -71 *27510:B1 *5717:104 0.000621818 -72 *27519:B2 *5717:108 1.49057e-05 -73 *27539:B2 *5717:104 0.00033245 -74 *27540:A *5717:81 6.33946e-05 -75 *27540:A *5717:89 2.95693e-05 -76 *27547:D *5717:104 0.000376388 -77 *27563:B1 *27562:B 0.000178847 -78 *27563:B2 *27562:B 8.55871e-05 -79 *27564:A1 *5717:117 0.00062818 -80 *27857:A1 *5717:61 1.76164e-05 -81 *27857:A2 *5717:74 0.000260972 -82 *27867:A1 *5717:81 0.000327338 -83 *27867:A2 *5717:81 0.000175892 -84 *27867:B1 *5717:81 5.49995e-05 -85 *27883:B1 *5717:74 0.000149878 -86 *27917:B2 *5717:74 0.000175892 -87 *27959:A2 *5717:117 0.000108511 -88 *28327:CLK *5717:108 0.000887119 -89 *28377:D *5717:81 0.00018265 -90 *28612:CLK *5717:61 2.37302e-05 -91 *28788:D *5717:60 0.000136958 -92 *30818:A *5717:50 2.11419e-05 -93 *30821:A *5717:23 6.28203e-05 -94 *30821:A *5717:47 2.20282e-05 -95 *1178:36 *5717:50 1.60946e-05 -96 *1185:35 *27299:A 0.000208922 -97 *1185:35 *5717:7 5.33005e-05 -98 *1185:35 *5717:23 2.15339e-05 -99 *1185:52 *5717:7 0.00016641 -100 *1441:99 *5717:74 0.000101548 -101 *1476:57 *5717:117 0 -102 *1588:18 *5717:74 0.000211027 -103 *1612:8 *5717:74 3.75868e-05 -104 *2754:14 *27314:A 0.000137561 -105 *2754:14 *5717:186 4.66122e-05 -106 *2787:78 *27291:A 6.05161e-06 -107 *2813:44 *5717:133 0.000505757 -108 *2813:72 *27291:A 0.00013799 -109 *2813:80 *5717:168 7.48356e-05 -110 *2813:80 *5717:179 6.34408e-05 -111 *2813:89 *5717:179 6.64337e-05 -112 *2834:31 *5717:7 0.000219711 -113 *2842:11 *27327:A 8.6229e-06 -114 *2842:28 *5717:47 5.33005e-05 -115 *2842:31 *5717:47 2.95642e-05 -116 *2843:65 *5717:155 9.60808e-05 -117 *2843:65 *5717:164 0.000377438 -118 *2843:65 *5717:168 3.57844e-05 -119 *2843:79 *27283:A 6.05161e-06 -120 *2843:79 *5717:168 5.96901e-05 -121 *2844:140 *5717:50 0.000175652 -122 *2845:22 *27291:A 7.55047e-05 -123 *2845:45 *27496:B 0.000137639 -124 *2845:45 *5717:89 0.000177596 -125 *2847:33 *5717:142 0.000117463 -126 *2848:33 *5717:168 0.000157818 -127 *2848:100 *5717:117 0.00130522 -128 *2848:123 *5717:117 8.04215e-05 -129 *2848:178 *5717:168 0.000379189 -130 *2848:178 *5717:179 0.000226284 -131 *2849:49 *5717:23 6.09762e-05 -132 *2849:49 *5717:47 7.55804e-05 -133 *2849:66 *27291:A 3.92772e-05 -134 *2849:66 *5717:47 6.96699e-05 -135 *2849:66 *5717:50 0.000174249 -136 *2849:66 *5717:130 6.67989e-05 -137 *2850:9 *5717:26 5.33005e-05 -138 *2850:19 *5717:47 0.000100831 -139 *2850:164 *5717:47 0.00012465 -140 *2856:68 *5717:104 0.000165377 -141 *2856:76 *5717:104 0.000341483 -142 *2856:76 *5717:108 8.58003e-05 -143 *2856:97 *5717:108 0 -144 *2858:63 *5717:152 2.28598e-05 -145 *2858:72 *5717:152 6.86693e-05 -146 *2859:16 *5717:152 5.33005e-05 -147 *2859:16 *5717:164 8.2395e-05 -148 *2859:16 *5717:168 9.25014e-06 -149 *2859:157 *27301:A 0.000329488 -150 *2859:157 *5717:149 3.17148e-05 -151 *2859:157 *5717:152 0.000137983 -152 *2872:13 *5717:50 0.000165433 -153 *2872:13 *5717:53 1.58163e-05 -154 *2872:13 *5717:60 3.69047e-06 -155 *2872:13 *5717:130 7.00418e-05 -156 *2872:21 *5717:61 2.88045e-05 -157 *2874:146 *5717:142 1.31516e-05 -158 *2874:146 *5717:149 0.000198735 -159 *2875:85 *5717:108 0.00129084 -160 *2877:25 *27314:A 6.64096e-05 -161 *2877:25 *5717:186 0.000312357 -162 *2877:359 *5717:186 0.000204318 -163 *2882:22 *5717:164 4.90437e-05 -164 *2882:22 *5717:168 4.071e-05 -165 *2883:15 *27314:A 9.41642e-05 -166 *2885:27 *27299:A 0.000173639 -167 *2885:27 *5717:23 0.000105924 -168 *2888:106 *5717:104 7.71916e-05 -169 *2888:106 *5717:108 9.99444e-06 -170 *2888:117 *5717:108 0.00029937 -171 *2888:121 *27518:B 4.7091e-05 -172 *2888:121 *5717:108 8.85664e-07 -173 *2888:121 *5717:117 0.00178925 -174 *2889:221 *5717:104 4.19535e-05 -175 *2889:231 *5717:104 0.000535345 -176 *2894:21 *5717:186 3.97677e-05 -177 *3050:10 *27496:B 0.000162929 -178 *3050:10 *5717:104 0.000257821 -179 *3060:9 *27496:B 9.75679e-05 -180 *3160:15 *5717:142 0.000390994 -181 *3160:48 *5717:74 0.000539813 -182 *3168:153 *5717:117 0.00190395 -183 *3182:16 *27314:A 0.000164359 -184 *3192:20 *5717:142 0.000232212 -185 *3192:31 *5717:152 9.60875e-05 -186 *3195:25 *5717:61 6.92966e-06 -187 *3195:34 *5717:61 0.000281389 -188 *3205:16 *5717:142 0.000266479 -189 *3205:27 *27291:A 1.21258e-05 -190 *3205:55 *5717:74 0.000203985 -191 *3209:21 *5717:152 0.000470798 -192 *3209:21 *5717:155 1.0334e-05 -193 *3214:21 *5717:60 0.000381564 -194 *3538:39 *5717:168 9.25014e-06 -195 *3538:39 *5717:179 4.49293e-05 -196 *3557:30 *5717:74 0.00163664 -197 *3572:20 *5717:133 0.000592591 -198 *3575:12 *27301:A 0.00015977 -199 *3575:12 *5717:142 6.5334e-05 -200 *3575:12 *5717:149 0.000208226 -201 *3724:70 *5717:117 9.25014e-06 -202 *3739:81 *5717:117 0.000147583 -203 *3762:74 *5717:104 0.000122812 -204 *3763:20 *5717:117 0.000900399 -205 *3782:7 *5717:61 9.20399e-05 -206 *3790:56 *5717:74 3.33619e-05 -207 *3806:62 *5717:104 5.84158e-05 -208 *3806:62 *5717:117 0.000291254 -209 *3817:11 *5717:81 8.26339e-05 -210 *3817:22 *5717:81 9.75679e-05 -211 *3867:37 *5717:108 3.09371e-05 -212 *3935:32 *5717:117 0.000128154 -213 *3938:38 *27327:A 0.000115676 -214 *3938:38 *5717:60 9.49939e-05 -215 *5196:94 *27291:A 6.14836e-06 -216 *5442:51 *27327:A 5.71472e-05 -217 *5442:63 *27299:A 5.33005e-05 -218 *5510:98 *27496:B 0.000164508 -219 *5530:44 *5717:23 4.57872e-05 -220 *5530:58 *27291:A 6.43445e-05 -221 *5535:25 *5717:23 0.000862685 -222 *5535:25 *5717:142 0.000230688 -223 *5591:42 *5717:61 0.00381237 -224 *5591:63 *5717:81 5.49995e-05 -225 *5598:49 *5717:179 0.000121573 -226 *5599:273 *27314:A 0.000162841 -227 *5606:44 *27301:A 2.59355e-05 -228 *5627:16 *5717:155 0 -229 *5627:16 *5717:168 5.55819e-05 -230 *5634:15 *5717:47 0.000175892 -231 *5635:15 *5717:23 0.000914403 -232 *5643:32 *27291:A 8.84757e-05 -233 *5643:32 *5717:50 8.63786e-05 -234 *5643:32 *5717:130 0.000212861 -235 *5646:17 *27317:A 0.00063889 -236 *5655:65 *5717:74 0.000264327 -237 *5657:13 *27291:A 0.000102377 -238 *5667:86 *5717:179 1.90936e-05 -239 *5667:111 *5717:60 9.65182e-05 -240 *5685:52 *5717:74 7.58841e-05 -241 *5694:110 *27291:A 6.09762e-05 -242 *5700:250 *27296:A 0.000139907 -243 *5706:170 *5717:108 0.000142389 -244 *5706:170 *5717:117 3.4323e-06 -245 *5711:193 *5717:74 6.59074e-05 -*RES -1 *29006:X *5717:7 15.9786 -2 *5717:7 *27299:A 17.1929 -3 *5717:7 *5717:23 10.8275 -4 *5717:23 *5717:26 6.26786 -5 *5717:26 *27327:A 24.4607 -6 *5717:26 *27285:A 9.3 -7 *5717:23 *5717:47 9.42857 -8 *5717:47 *5717:50 11.6071 -9 *5717:50 *5717:53 5.03571 -10 *5717:53 *5717:60 15.3929 -11 *5717:60 *5717:61 55.1607 -12 *5717:61 *5717:74 49.6964 -13 *5717:74 *5717:81 32.2857 -14 *5717:81 *27540:B 9.3 -15 *5717:81 *5717:89 6.5 -16 *5717:89 *27496:B 21.9071 -17 *5717:89 *5717:104 31.1161 -18 *5717:104 *5717:108 33.25 -19 *5717:108 *27518:B 14.3804 -20 *5717:108 *5717:117 56.1339 -21 *5717:117 *27562:B 15.5679 -22 *5717:53 *27307:A 9.3 -23 *5717:50 *5717:130 2.85714 -24 *5717:130 *5717:133 10.375 -25 *5717:133 *5717:142 22.4286 -26 *5717:142 *27290:A 13.8 -27 *5717:142 *5717:149 5.58929 -28 *5717:149 *5717:152 9.14286 -29 *5717:152 *5717:155 5.83929 -30 *5717:155 *27317:A 20.0857 -31 *5717:155 *5717:164 4.98214 -32 *5717:164 *5717:168 8.55357 -33 *5717:168 *27283:A 14.7464 -34 *5717:168 *5717:179 9.48214 -35 *5717:179 *5717:186 6.44643 -36 *5717:186 *27314:A 22.8536 -37 *5717:186 *27312:A 9.3 -38 *5717:179 *27329:A 9.3 -39 *5717:164 *27296:A 15.1571 -40 *5717:152 *27294:A 9.3 -41 *5717:149 *27301:A 18.7107 -42 *5717:133 *27309:A 9.3 -43 *5717:130 *27291:A 23.7786 -44 *5717:47 *27279:A 9.3 -*END - -*D_NET *5718 0.179026 -*CONN -*I *27843:S I *D sky130_fd_sc_hd__mux2_1 -*I *27482:C1 I *D sky130_fd_sc_hd__o221a_2 -*I *27438:C1 I *D sky130_fd_sc_hd__o221a_2 -*I *27793:C1 I *D sky130_fd_sc_hd__o221a_2 -*I *27396:C1 I *D sky130_fd_sc_hd__o221a_2 -*I *27694:C1 I *D sky130_fd_sc_hd__o211a_1 -*I *27769:S I *D sky130_fd_sc_hd__mux2_1 -*I *27460:C1 I *D sky130_fd_sc_hd__o221a_1 -*I *27819:A1 I *D sky130_fd_sc_hd__o21a_1 -*I *27942:S I *D sky130_fd_sc_hd__mux2_1 -*I *27867:C1 I *D sky130_fd_sc_hd__o221a_1 -*I *27592:C1 I *D sky130_fd_sc_hd__o221a_2 -*I *27570:C1 I *D sky130_fd_sc_hd__o221a_1 -*I *27504:C1 I *D sky130_fd_sc_hd__o221a_1 -*I *27548:C1 I *D sky130_fd_sc_hd__o221a_1 -*I *27526:C1 I *D sky130_fd_sc_hd__o221a_1 -*I *27917:C1 I *D sky130_fd_sc_hd__o221a_1 -*I *27892:C1 I *D sky130_fd_sc_hd__o221a_1 -*I *25531:A I *D sky130_fd_sc_hd__nor2_1 -*I *25524:A I *D sky130_fd_sc_hd__nor2_1 -*I *29007:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *27843:S 0 -2 *27482:C1 0.000697022 -3 *27438:C1 0.000145889 -4 *27793:C1 0.000891257 -5 *27396:C1 0.0014326 -6 *27694:C1 0.00182538 -7 *27769:S 3.88605e-05 -8 *27460:C1 0.00107051 -9 *27819:A1 0.000195634 -10 *27942:S 8.14302e-06 -11 *27867:C1 0 -12 *27592:C1 0.000640406 -13 *27570:C1 1.69531e-05 -14 *27504:C1 0.00020343 -15 *27548:C1 1.70436e-05 -16 *27526:C1 0.000850856 -17 *27917:C1 6.9946e-05 -18 *27892:C1 0 -19 *25531:A 1.69531e-05 -20 *25524:A 0.00156367 -21 *29007:X 0.000330282 -22 *5718:390 0.00126815 -23 *5718:387 0.00243912 -24 *5718:360 0.00410877 -25 *5718:354 0.00163343 -26 *5718:345 0.00241913 -27 *5718:315 0.00369997 -28 *5718:313 0.00216326 -29 *5718:310 0.00152423 -30 *5718:290 0.00100838 -31 *5718:284 0.000545107 -32 *5718:270 0.00138173 -33 *5718:261 0.00106777 -34 *5718:256 0.00207291 -35 *5718:240 0.00244435 -36 *5718:233 0.00230365 -37 *5718:206 0.00179654 -38 *5718:183 0.00259621 -39 *5718:174 0.00320449 -40 *5718:130 0.000924025 -41 *5718:126 0.000639603 -42 *5718:121 0.00292881 -43 *5718:106 0.000835042 -44 *5718:95 0.000470724 -45 *5718:88 0.00237437 -46 *5718:77 0.00915421 -47 *5718:70 0.00891307 -48 *5718:56 0.00327794 -49 *5718:14 0.00233274 -50 *5718:11 0.00143523 -51 *25524:A *27225:A2 0.000120185 -52 *25524:A *27231:A1 7.78617e-05 -53 *25524:A *27272:D_N 0.000164518 -54 *25524:A *6381:31 0.00131973 -55 *25524:A *6383:41 5.33005e-05 -56 *25524:A *6383:46 8.79856e-06 -57 *25531:A *6314:75 5.33005e-05 -58 *27460:C1 *6343:22 4.76891e-06 -59 *5718:14 *27225:A2 5.11853e-05 -60 *5718:14 *27270:B2 0.000165907 -61 *5718:14 *6387:10 9.67951e-05 -62 *5718:56 *29738:A 3.49234e-05 -63 *5718:56 *6387:10 0.000188654 -64 *5718:70 *5746:132 2.92155e-05 -65 *5718:70 *5926:171 0.000113854 -66 *5718:70 *5926:174 5.25862e-06 -67 *5718:70 *5926:186 0.000162047 -68 *5718:70 *6042:20 0.000301438 -69 *5718:70 *6317:33 0.000236511 -70 *5718:70 *6319:70 4.8794e-05 -71 *5718:70 *6385:32 0.000103342 -72 *5718:77 *27092:A0 0.000173031 -73 *5718:77 *28799:RESET_B 4.98193e-05 -74 *5718:77 *5737:55 0.000294638 -75 *5718:77 *5746:23 4.26825e-05 -76 *5718:77 *5746:31 0.000187633 -77 *5718:77 *5747:37 0.0004241 -78 *5718:77 *6325:12 5.56564e-05 -79 *5718:77 *6338:41 0.00111261 -80 *5718:88 *5737:55 1.14338e-05 -81 *5718:174 *5909:82 0.000986498 -82 *5718:233 *6311:62 0 -83 *5718:233 *6350:47 0.000139054 -84 *5718:233 *6386:140 0 -85 *5718:240 *27599:B 0 -86 *5718:240 *5981:38 0.000136951 -87 *5718:240 *6308:8 0.00023198 -88 *5718:240 *6308:18 0.000176984 -89 *5718:313 *28803:RESET_B 0.000147332 -90 *5718:315 *28468:D 3.48013e-05 -91 *25018:A1 *5718:70 1.48639e-05 -92 *25047:A2 *5718:387 0.000259273 -93 *25047:B1 *5718:387 7.6644e-05 -94 *25065:A1 *5718:387 0.000101129 -95 *25192:A1 *5718:354 0.000661274 -96 *25207:B *5718:360 0.000425261 -97 *25244:A1 *5718:183 4.26759e-05 -98 *25244:C1 *5718:174 0.000827946 -99 *25244:C1 *5718:183 9.48459e-05 -100 *25278:B1 *5718:313 5.83233e-05 -101 *25400:B2 *5718:77 0.00147466 -102 *25400:B2 *5718:88 0.000132304 -103 *25625:B *5718:261 0.000303846 -104 *25952:A1 *5718:70 7.46146e-05 -105 *26842:S *5718:70 8.08399e-05 -106 *26921:S *27694:C1 3.69047e-06 -107 *27090:A *5718:233 0.000127836 -108 *27231:B1_N *25524:A 6.24175e-05 -109 *27243:A *5718:240 5.41797e-06 -110 *27270:A2 *5718:233 0 -111 *27275:B *5718:14 2.48492e-05 -112 *27363:B2 *27694:C1 7.466e-05 -113 *27380:A2 *5718:387 1.57834e-05 -114 *27438:A2 *27438:C1 5.33005e-05 -115 *27460:A1 *27460:C1 2.51343e-06 -116 *27460:B1 *27460:C1 2.88731e-05 -117 *27482:A2 *27482:C1 0.00050173 -118 *27496:B *27504:C1 1.35465e-05 -119 *27502:A1 *5718:174 0.000504263 -120 *27504:A1 *27504:C1 5.33005e-05 -121 *27504:A2 *27526:C1 7.03874e-05 -122 *27508:A2 *27526:C1 0.000606479 -123 *27526:A2 *27526:C1 0.000827461 -124 *27526:B1 *27526:C1 5.71472e-05 -125 *27526:B2 *27526:C1 0.000116259 -126 *27530:A1 *5718:183 2.33614e-05 -127 *27530:B1 *5718:183 9.89622e-05 -128 *27530:B2 *5718:206 0.000564922 -129 *27541:B1 *5718:121 0.000263108 -130 *27544:A2 *5718:174 5.26224e-05 -131 *27548:A1 *27917:C1 5.33005e-05 -132 *27548:B1 *27526:C1 8.55871e-05 -133 *27548:B1 *27548:C1 2.59355e-05 -134 *27559:A *27570:C1 5.33005e-05 -135 *27570:B1 *27570:C1 5.33005e-05 -136 *27592:B1 *27592:C1 9.54798e-06 -137 *27594:A1 *5718:77 9.02021e-05 -138 *27622:B *5718:261 8.64327e-05 -139 *27642:A *5718:240 0.000179575 -140 *27694:A2 *27694:C1 1.21436e-05 -141 *27721:A0 *5718:310 0.000246953 -142 *27744:A2 *27793:C1 0.000262082 -143 *27769:A0 *5718:310 5.43318e-05 -144 *27769:A1 *5718:290 0.000347362 -145 *27770:A1 *27769:S 2.84026e-05 -146 *27770:A1 *5718:290 9.77264e-06 -147 *27793:A1 *27793:C1 0.0002529 -148 *27819:A2 *27819:A1 5.33005e-05 -149 *27819:B1 *27819:A1 9.41642e-05 -150 *27820:B2 *27460:C1 9.41642e-05 -151 *27843:A1 *5718:284 8.55871e-05 -152 *27867:A1 *5718:121 0.000273891 -153 *27867:B1 *5718:106 3.10819e-05 -154 *27879:B2 *5718:183 0.000298499 -155 *27892:B1 *5718:95 1.05311e-05 -156 *27892:B1 *5718:106 6.86823e-05 -157 *27897:A1 *5718:174 8.85941e-05 -158 *27897:A2 *5718:174 2.09897e-05 -159 *27917:A1 *27917:C1 9.58181e-05 -160 *27968:B1 *5718:77 8.94491e-05 -161 *27968:B1 *5718:88 0 -162 *28655:D *5718:387 0.000406295 -163 *28655:D *5718:390 0.000228251 -164 *28689:D *27793:C1 0.000105471 -165 *28769:D *25524:A 0 -166 *28771:D *25524:A 5.33005e-05 -167 *28779:CLK *5718:233 0.000528173 -168 *28799:D *5718:77 0.000294882 -169 *28803:D *5718:313 0.000167457 -170 *28805:CLK *27460:C1 1.21258e-05 -171 *28805:D *27460:C1 0.000153026 -172 *28947:A *5718:183 0.000936495 -173 *29394:A *5718:88 3.77014e-05 -174 *29739:A *5718:70 0.000137905 -175 *29879:A *5718:70 3.19604e-05 -176 *30106:A *27793:C1 4.86787e-05 -177 *30281:A *5718:183 0.000125241 -178 *30477:A *5718:354 0.000193249 -179 *15:18 *25524:A 0.000102378 -180 *19:25 *25524:A 0.000593598 -181 *1141:20 *5718:77 5.7582e-05 -182 *1177:11 *5718:11 0.000689415 -183 *1178:123 *27482:C1 0.000382719 -184 *1178:123 *5718:345 5.52302e-05 -185 *1225:33 *27694:C1 0.000368909 -186 *1228:87 *27769:S 2.12005e-05 -187 *1228:87 *5718:290 0.000390751 -188 *1242:230 *5718:310 0.000265642 -189 *1244:23 *5718:77 0.000118634 -190 *1245:72 *5718:77 0 -191 *1246:104 *5718:387 0.000768148 -192 *1248:150 *27396:C1 3.69047e-06 -193 *1254:67 *25524:A 0.000597086 -194 *1254:116 *5718:77 5.01942e-05 -195 *1260:47 *27482:C1 4.87953e-05 -196 *1260:47 *5718:345 9.47028e-05 -197 *1260:47 *5718:354 1.85696e-05 -198 *1262:181 *5718:315 0.00225299 -199 *1262:181 *5718:345 0.000176157 -200 *1264:50 *5718:77 0.000752254 -201 *1264:50 *5718:88 3.30902e-06 -202 *1264:83 *5718:70 0.000780452 -203 *1272:143 *5718:387 0.000587484 -204 *1273:232 *5718:77 9.14055e-05 -205 *1273:265 *5718:233 1.1594e-05 -206 *1274:126 *5718:360 0.000423607 -207 *1275:94 *5718:354 0.000157236 -208 *1277:176 *27694:C1 2.996e-06 -209 *1282:210 *27396:C1 0.000301438 -210 *1285:26 *27694:C1 1.7276e-05 -211 *1285:37 *27694:C1 8.22498e-05 -212 *1328:23 *5718:77 9.93273e-05 -213 *1426:14 *5718:77 0 -214 *1452:27 *5718:88 0.000677172 -215 *1490:24 *5718:345 8.8105e-05 -216 *1490:26 *5718:313 4.55325e-05 -217 *1490:102 *5718:345 0.000194072 -218 *1490:102 *5718:354 0.000110432 -219 *1512:11 *5718:360 0.000217877 -220 *1526:8 *27396:C1 0.000239417 -221 *1624:76 *5718:88 2.22043e-05 -222 *1624:76 *5718:95 0.000949994 -223 *1624:76 *5718:106 0.000160772 -224 *1642:37 *27694:C1 0.000533728 -225 *1713:26 *5718:88 0.00212568 -226 *1713:26 *5718:95 0.000209665 -227 *1717:14 *5718:70 8.81911e-05 -228 *1722:21 *5718:77 2.01997e-05 -229 *1796:25 *5718:56 8.6229e-06 -230 *1796:25 *5718:70 0.000602187 -231 *1796:25 *5718:233 5.05056e-05 -232 *1803:21 *5718:240 0.000203476 -233 *1803:34 *5718:240 5.67791e-05 -234 *1804:6 *5718:240 0.00067488 -235 *1826:15 *5718:256 0.00078844 -236 *1826:26 *5718:240 0.00106992 -237 *1826:46 *5718:233 0.000393031 -238 *1826:46 *5718:240 0.00050268 -239 *1826:154 *5718:354 0.000760845 -240 *1844:26 *5718:183 9.84174e-05 -241 *1897:19 *5718:174 0.000401883 -242 *1897:19 *5718:183 0.000658372 -243 *2760:10 *5718:345 7.72038e-05 -244 *2760:12 *5718:345 3.34687e-05 -245 *2781:51 *5718:256 0.000211981 -246 *2782:13 *5718:233 3.09981e-05 -247 *2787:37 *5718:233 1.39737e-05 -248 *2787:37 *5718:240 0 -249 *2787:62 *5718:256 0 -250 *2787:112 *5718:284 4.87854e-05 -251 *2790:92 *5718:310 0.000236844 -252 *2791:117 *5718:313 0.000453161 -253 *2791:117 *5718:315 0.000267658 -254 *2797:38 *5718:14 2.03044e-05 -255 *2797:38 *5718:56 4.5133e-05 -256 *2798:25 *5718:11 1.21554e-05 -257 *2800:16 *5718:233 4.8126e-05 -258 *2810:15 *25524:A 9.39935e-05 -259 *2833:19 *5718:240 0.000261742 -260 *2840:97 *5718:240 0.000766183 -261 *2844:37 *27526:C1 0.000177821 -262 *2844:37 *27548:C1 4.18895e-05 -263 *2844:386 *5718:387 8.89112e-05 -264 *2845:31 *5718:121 0.000469068 -265 *2845:45 *5718:126 0.000299308 -266 *2845:127 *5718:206 2.24155e-05 -267 *2845:180 *5718:313 0.000748927 -268 *2845:211 *5718:315 0.00020996 -269 *2845:211 *5718:345 6.30931e-05 -270 *2852:40 *27694:C1 8.64958e-05 -271 *2856:68 *5718:126 0.000378551 -272 *2856:68 *5718:130 0.000146009 -273 *2864:266 *27396:C1 0.000236178 -274 *2866:17 *5718:261 0.000121573 -275 *2867:193 *5718:354 0.000157298 -276 *2871:8 *5718:256 8.54883e-05 -277 *2871:160 *5718:256 0.000110683 -278 *2871:160 *5718:261 0 -279 *2871:206 *5718:315 0.000529557 -280 *2871:277 *5718:360 0.000328004 -281 *2874:54 *5718:121 0.000319551 -282 *2874:54 *5718:126 0.00014285 -283 *2874:54 *5718:174 1.27126e-05 -284 *2878:27 *5718:121 0.000216755 -285 *2880:108 *27482:C1 0.000297437 -286 *2891:146 *27694:C1 0.00194788 -287 *2891:146 *5718:345 1.94879e-05 -288 *2892:115 *5718:256 0.000789377 -289 *2894:181 *5718:290 0.000565226 -290 *2894:188 *5718:313 0.00111629 -291 *2894:210 *5718:315 0.000182262 -292 *2895:79 *5718:174 1.94945e-05 -293 *2938:6 *5718:313 0.000408378 -294 *2938:6 *5718:315 0.00379561 -295 *2938:6 *5718:345 1.1594e-05 -296 *3039:13 *27482:C1 0 -297 *3060:9 *27504:C1 7.62323e-05 -298 *3061:15 *5718:130 0.000124019 -299 *3085:11 *5718:183 0.000135028 -300 *3099:17 *5718:174 8.38385e-05 -301 *3123:14 *5718:206 2.2628e-05 -302 *3145:14 *5718:121 0.000368831 -303 *3149:23 *5718:256 0.00019759 -304 *3151:24 *5718:256 0.00049949 -305 *3151:35 *5718:256 0.000443102 -306 *3173:17 *5718:240 0.000107449 -307 *3176:254 *5718:387 0.000142026 -308 *3178:29 *5718:240 1.17968e-05 -309 *3183:29 *5718:261 0.000893302 -310 *3183:40 *5718:261 3.8634e-05 -311 *3183:40 *5718:270 0.000143491 -312 *3183:40 *5718:284 0.000442501 -313 *3183:40 *5718:310 0 -314 *3209:102 *5718:183 0.000189607 -315 *3209:102 *5718:206 0.000121573 -316 *3209:103 *5718:206 0.000101545 -317 *3215:199 *5718:387 0.000311894 -318 *3293:14 *5718:360 0.000245429 -319 *3327:15 *27793:C1 0.000136951 -320 *3411:20 *5718:121 0.00076529 -321 *3568:17 *27526:C1 6.98141e-05 -322 *3573:95 *5718:77 0.000116676 -323 *3575:109 *5718:233 0.000506544 -324 *3575:113 *5718:56 6.79872e-05 -325 *3575:113 *5718:233 0.000259787 -326 *3575:139 *5718:14 0 -327 *3638:49 *5718:77 0.000195653 -328 *3646:20 *5718:183 1.90936e-05 -329 *3646:49 *5718:183 0.000257524 -330 *3646:49 *5718:206 0.000114045 -331 *3661:36 *5718:88 2.06178e-05 -332 *3661:36 *5718:95 0.000935136 -333 *3665:11 *5718:315 8.45314e-05 -334 *3668:34 *5718:387 0.000109696 -335 *3726:29 *5718:174 4.58835e-05 -336 *3726:32 *5718:183 0.00105399 -337 *3730:42 *27694:C1 0.000258609 -338 *3752:39 *5718:206 0.000493377 -339 *3762:74 *5718:121 7.96236e-05 -340 *3766:93 *5718:206 0.000223492 -341 *3775:30 *5718:183 0.000280721 -342 *3778:25 *5718:126 3.71684e-05 -343 *3778:25 *5718:130 9.92439e-06 -344 *3789:32 *27526:C1 7.83659e-05 -345 *3798:40 *27438:C1 0.000158803 -346 *3798:40 *5718:390 0.000409148 -347 *3802:58 *5718:206 3.10901e-05 -348 *3819:16 *5718:206 0.000600957 -349 *3819:41 *27592:C1 0.00143587 -350 *3819:41 *5718:206 5.74581e-06 -351 *3820:91 *27694:C1 2.74787e-05 -352 *3835:45 *27396:C1 0.000303368 -353 *3841:25 *5718:174 0 -354 *3869:34 *5718:126 0.00027299 -355 *3869:34 *5718:174 0.000295568 -356 *3880:50 *5718:88 0.000129859 -357 *3919:63 *5718:183 0.000133789 -358 *3930:86 *5718:387 0.000181885 -359 *3930:94 *5718:387 0.00011062 -360 *3939:51 *5718:360 0.00104794 -361 *3960:86 *5718:174 6.85979e-05 -362 *3965:70 *5718:354 4.97121e-06 -363 *4077:49 *27592:C1 0.000706251 -364 *4077:49 *5718:206 0.000943777 -365 *4087:26 *5718:183 1.77525e-05 -366 *4108:36 *5718:387 0.000133258 -367 *4121:62 *5718:387 1.75318e-05 -368 *4130:103 *5718:206 1.78102e-05 -369 *4139:53 *5718:88 0.000130033 -370 *4140:47 *27526:C1 5.52238e-05 -371 *4149:77 *27793:C1 2.87555e-06 -372 *4263:9 *27942:S 2.06178e-05 -373 *4381:19 *5718:88 0.00113382 -374 *4574:20 *25524:A 1.33434e-05 -375 *4574:37 *5718:70 0.000448031 -376 *4952:13 *5718:77 0 -377 *5166:23 *5718:88 0.00156592 -378 *5277:8 *5718:310 4.13805e-05 -379 *5352:22 *5718:11 0.000692414 -380 *5399:17 *5718:70 5.52238e-05 -381 *5399:17 *5718:233 0.000336835 -382 *5510:98 *27526:C1 0.00019145 -383 *5510:98 *5718:121 0.00016743 -384 *5510:98 *5718:126 0.000682522 -385 *5513:53 *25524:A 0 -386 *5513:53 *5718:14 0 -387 *5515:42 *5718:240 0.00022412 -388 *5521:67 *25524:A 0.00159 -389 *5534:14 *25531:A 5.33005e-05 -390 *5537:14 *25524:A 7.49015e-05 -391 *5539:17 *5718:11 1.89507e-06 -392 *5581:8 *5718:70 2.36442e-05 -393 *5599:128 *27942:S 2.06178e-05 -394 *5599:158 *5718:88 9.28794e-05 -395 *5599:301 *5718:310 0.00030926 -396 *5600:154 *27396:C1 0.000360512 -397 *5600:154 *5718:387 0.000279742 -398 *5604:121 *27438:C1 9.14032e-05 -399 *5604:121 *5718:390 9.02106e-05 -400 *5605:60 *5718:313 0.000117477 -401 *5606:44 *5718:261 0.000155218 -402 *5614:88 *5718:206 9.11102e-06 -403 *5614:97 *5718:183 4.32957e-05 -404 *5627:13 *5718:256 0.000341276 -405 *5630:144 *5718:354 0.000408489 -406 *5635:120 *5718:390 5.24288e-05 -407 *5640:16 *5718:261 0.000264616 -408 *5640:18 *5718:290 0.000563504 -409 *5647:82 *5718:360 0.000254975 -410 *5649:116 *5718:345 0.000129641 -411 *5649:120 *5718:345 0.000646204 -412 *5649:120 *5718:354 0 -413 *5651:13 *5718:256 0.000298297 -414 *5654:68 *5718:354 0.00179523 -415 *5654:86 *5718:354 0.00040224 -416 *5657:232 *27694:C1 2.21728e-05 -417 *5658:113 *5718:387 0.000106649 -418 *5667:40 *27694:C1 2.45938e-05 -419 *5667:68 *5718:261 5.54204e-05 -420 *5667:68 *5718:270 0.000137312 -421 *5667:68 *5718:284 0.000433958 -422 *5675:223 *5718:11 9.54798e-06 -423 *5698:26 *5718:174 0.00188942 -424 *5699:147 *5718:121 0.000367313 -425 *5706:176 *5718:183 2.45832e-05 -426 *5713:203 *5718:77 3.76531e-05 -427 *5717:81 *5718:121 0.000680986 -428 *5717:89 *27504:C1 2.05612e-05 -*RES -1 *29007:X *5718:11 22.6319 -2 *5718:11 *5718:14 11.2411 -3 *5718:14 *25524:A 40.8282 -4 *5718:14 *25531:A 14.3357 -5 *5718:11 *5718:56 6.34821 -6 *5718:56 *5718:70 42.8619 -7 *5718:70 *5718:77 39.4099 -8 *5718:77 *5718:88 18.4686 -9 *5718:88 *27892:C1 13.8 -10 *5718:88 *5718:95 12.5714 -11 *5718:95 *27917:C1 15.1571 -12 *5718:95 *5718:106 6.75 -13 *5718:106 *5718:121 34.0536 -14 *5718:121 *5718:126 16.4821 -15 *5718:126 *5718:130 6.44643 -16 *5718:130 *27526:C1 41.4786 -17 *5718:130 *27548:C1 9.83571 -18 *5718:126 *27504:C1 17.2286 -19 *5718:121 *5718:174 49.7392 -20 *5718:174 *5718:183 49.3118 -21 *5718:183 *27570:C1 14.3357 -22 *5718:183 *5718:206 42.1546 -23 *5718:206 *27592:C1 32.7464 -24 *5718:106 *27867:C1 9.3 -25 *5718:77 *27942:S 17.4868 -26 *5718:56 *5718:233 24.6518 -27 *5718:233 *5718:240 42.5089 -28 *5718:240 *5718:256 42.8488 -29 *5718:256 *5718:261 20.3661 -30 *5718:261 *27819:A1 16.8 -31 *5718:261 *5718:270 1.94643 -32 *5718:270 *27460:C1 25.7464 -33 *5718:270 *5718:284 12.1607 -34 *5718:284 *5718:290 20.2321 -35 *5718:290 *27769:S 10.2643 -36 *5718:290 *5718:310 25.5536 -37 *5718:310 *5718:313 25.7768 -38 *5718:313 *5718:315 49.5357 -39 *5718:315 *27694:C1 34.4269 -40 *5718:315 *5718:345 19.6518 -41 *5718:345 *5718:354 46.5179 -42 *5718:354 *5718:360 26.7143 -43 *5718:360 *27396:C1 38.55 -44 *5718:360 *5718:387 49.3937 -45 *5718:387 *5718:390 10.9725 -46 *5718:390 *27793:C1 26.6929 -47 *5718:390 *27438:C1 17.8 -48 *5718:345 *27482:C1 22.9964 -49 *5718:284 *27843:S 9.3 -*END - -*D_NET *5719 0.0881935 -*CONN -*I *25595:S I *D sky130_fd_sc_hd__mux2_4 -*I *25593:S I *D sky130_fd_sc_hd__mux2_8 -*I *25587:B I *D sky130_fd_sc_hd__nand2b_1 -*I *25857:A2 I *D sky130_fd_sc_hd__a2111o_1 -*I *29462:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25589:A2 I *D sky130_fd_sc_hd__o21ai_4 -*I *25566:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *25565:A I *D sky130_fd_sc_hd__nor2_2 -*I *24884:S I *D sky130_fd_sc_hd__mux2_2 -*I *24889:S I *D sky130_fd_sc_hd__mux2_4 -*I *24882:S I *D sky130_fd_sc_hd__mux2_2 -*I *24869:B I *D sky130_fd_sc_hd__nand2b_1 -*I *24874:B I *D sky130_fd_sc_hd__nand2b_1 -*I *24875:A1 I *D sky130_fd_sc_hd__o21ai_2 -*I *24878:S I *D sky130_fd_sc_hd__mux2_1 -*I *24871:A1 I *D sky130_fd_sc_hd__o21bai_1 -*I *24893:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *24870:A2 I *D sky130_fd_sc_hd__o31a_1 -*I *24887:S I *D sky130_fd_sc_hd__mux2_4 -*I *29008:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *25595:S 0.00020563 -2 *25593:S 0.00112654 -3 *25587:B 0.000226221 -4 *25857:A2 0.000479988 -5 *29462:A 3.75792e-05 -6 *25589:A2 6.55435e-05 -7 *25566:A1 2.23854e-05 -8 *25565:A 0.000290296 -9 *24884:S 5.59451e-05 -10 *24889:S 0.000165886 -11 *24882:S 0.000123607 -12 *24869:B 0.00106068 -13 *24874:B 0 -14 *24875:A1 0.000391248 -15 *24878:S 0 -16 *24871:A1 0.000813591 -17 *24893:A1 2.35103e-05 -18 *24870:A2 0.00107206 -19 *24887:S 9.19997e-05 -20 *29008:X 0 -21 *5719:201 0.000299742 -22 *5719:120 0.00121849 -23 *5719:115 0.00151699 -24 *5719:110 0.000784277 -25 *5719:105 0.000780859 -26 *5719:98 0.00116417 -27 *5719:86 0.0040436 -28 *5719:78 0.00258265 -29 *5719:76 0.000643163 -30 *5719:62 0.000710785 -31 *5719:55 0.00154957 -32 *5719:38 0.00318733 -33 *5719:37 0.00221635 -34 *5719:32 0.00122469 -35 *5719:25 0.00245226 -36 *5719:17 0.00203346 -37 *5719:12 0.00135491 -38 *5719:10 0.000405598 -39 *5719:8 0.000718183 -40 *5719:6 0.000491809 -41 *5719:5 0.00103018 -42 *24869:B *28309:RESET_B 0.000397446 -43 *24869:B *5778:49 0.000384209 -44 *24869:B *5778:80 0 -45 *24869:B *5824:63 0 -46 *24869:B *6358:27 0.000592322 -47 *24870:A2 *5742:163 0.000190191 -48 *24871:A1 *24871:A2 6.09899e-05 -49 *24871:A1 *24921:A 0.000212732 -50 *24871:A1 *5805:37 9.3111e-05 -51 *24871:A1 *5901:17 1.82657e-05 -52 *24871:A1 *5901:27 3.6392e-05 -53 *24875:A1 *24875:A2 0.000221628 -54 *24875:A1 *5802:7 0.000354676 -55 *24882:S *5844:17 5.33005e-05 -56 *24884:S *5844:17 0.000175892 -57 *24887:S *6036:46 0.00012051 -58 *24893:A1 *5889:19 9.71197e-05 -59 *25565:A *25565:B 3.34295e-05 -60 *25565:A *5778:123 0.000480448 -61 *25565:A *6036:52 0.000232783 -62 *25587:B *25587:A_N 1.38106e-05 -63 *25587:B *5780:125 0 -64 *25589:A2 *25588:A1 0.000137983 -65 *25593:S *25597:A1 0.000140202 -66 *25593:S *25597:S 0.0001399 -67 *25593:S *25605:S 9.41642e-05 -68 *25593:S *27969:B1 0 -69 *25593:S *29008:A 0.000163989 -70 *25593:S *5934:20 0.000194645 -71 *25595:S *25595:A0 0.0001399 -72 *25595:S *5853:8 7.03295e-05 -73 *25857:A2 *27970:B 0.000331696 -74 *5719:6 *27969:B1 0 -75 *5719:6 *5780:125 0 -76 *5719:8 *5780:110 0 -77 *5719:8 *5780:125 0 -78 *5719:10 *5780:110 0 -79 *5719:12 *25853:B 6.76272e-05 -80 *5719:12 *5780:110 0 -81 *5719:12 *5799:10 2.84494e-05 -82 *5719:17 *28240:RESET_B 2.98258e-05 -83 *5719:17 *5799:10 0.000982518 -84 *5719:17 *5799:12 0.000519496 -85 *5719:17 *6377:174 0.000142797 -86 *5719:17 *6377:185 0.000200413 -87 *5719:25 *28240:RESET_B 0.000238304 -88 *5719:32 *5721:20 0.000244898 -89 *5719:32 *5778:123 0.000364953 -90 *5719:32 *6036:52 0.000439408 -91 *5719:32 *6353:10 0.000850791 -92 *5719:32 *6353:12 8.41555e-06 -93 *5719:38 *28345:RESET_B 0.000567877 -94 *5719:38 *5758:135 0.000298273 -95 *5719:38 *6036:46 0.00163629 -96 *5719:38 *6353:12 0.000146943 -97 *5719:55 *5808:12 0.000268814 -98 *5719:62 *6036:46 0.000423856 -99 *5719:76 *5836:104 0.000383166 -100 *5719:78 *5836:104 0.000724077 -101 *5719:86 *25803:A1 0.000396898 -102 *5719:86 *28985:A 0.000269322 -103 *5719:86 *5824:63 0.000304767 -104 *5719:86 *5836:104 9.52987e-05 -105 *5719:98 *24903:A 5.33433e-05 -106 *5719:98 *5824:63 0.000221634 -107 *5719:105 *28134:D 0.000125724 -108 *5719:110 *28134:D 3.23658e-05 -109 *5719:115 *24905:C 0.000150625 -110 *5719:115 *5797:11 6.19181e-05 -111 *5719:115 *5900:10 0 -112 *5719:120 *24905:C 0.000219585 -113 *5719:120 *5889:19 0.0001399 -114 *5719:120 *5900:10 2.14757e-05 -115 *24870:B1 *24870:A2 0.000869048 -116 *24874:A_N *5719:105 5.52238e-05 -117 *24875:B1 *24875:A1 0.000424667 -118 *24875:B1 *5719:98 3.95407e-06 -119 *24875:B1 *5719:105 0.000123429 -120 *24889:A1 *24889:S 1.33343e-05 -121 *24961:B *5719:98 0.00074942 -122 *25155:B *5719:98 0.000242806 -123 *25191:A1 *5719:86 9.41642e-05 -124 *25191:A2 *5719:86 0.000166643 -125 *25191:B2 *5719:86 8.70693e-05 -126 *25233:B2 *5719:38 0.000319404 -127 *25453:A1 *24875:A1 2.42516e-05 -128 *25517:B1 *5719:17 0.000317594 -129 *25566:A2 *5719:17 0.000374121 -130 *25566:B2 *25565:A 6.05161e-06 -131 *25656:S *24870:A2 9.71197e-05 -132 *25657:S *24870:A2 0.000352082 -133 *25765:A *5719:38 0.000237288 -134 *25802:A1 *5719:86 0.000528343 -135 *25803:A0 *5719:86 0.000634259 -136 *25822:B *24869:B 0 -137 *25857:C1 *25857:A2 0.00055741 -138 *25895:S *5719:32 9.53222e-05 -139 *26635:B1 *5719:32 0.000148911 -140 *27579:A1 *24889:S 0.000148182 -141 *27579:A1 *5719:201 0.000163475 -142 *27580:B2 *5719:62 0.000210664 -143 *27580:C1 *5719:62 0.000221634 -144 *27582:A2 *5719:38 0.000132228 -145 *27952:B2 *5719:55 6.05161e-06 -146 *27952:C1 *5719:62 7.6644e-05 -147 *28094:RESET_B *24870:A2 0.00012779 -148 *28165:SET_B *24870:A2 9.32348e-05 -149 *28167:RESET_B *24870:A2 2.95726e-05 -150 *28240:CLK *5719:25 3.30526e-05 -151 *28240:D *5719:25 8.55871e-05 -152 *28307:CLK *24869:B 0 -153 *28339:D *5719:38 0.000124012 -154 *28345:D *5719:32 0.000529528 -155 *28938:A *5719:98 0.000263524 -156 *29118:A *5719:115 2.89114e-05 -157 *29306:A *24871:A1 7.30699e-05 -158 *29584:A *5719:37 0.000216755 -159 *29657:A *25593:S 0.00108846 -160 *29658:A *24875:A1 5.52302e-05 -161 *29883:A *5719:86 0.000205432 -162 *29892:A *24875:A1 1.24368e-05 -163 *30115:A *5719:37 4.27338e-05 -164 *30135:A *24869:B 6.04162e-05 -165 *30169:A *25595:S 0.000229456 -166 *30181:A *5719:17 0.000698927 -167 *30250:A *5719:86 0.000353079 -168 *30277:A *5719:115 0 -169 *30302:A *24882:S 5.52302e-05 -170 *30302:A *24884:S 0.000175892 -171 *30524:A *24893:A1 4.43256e-05 -172 *30524:A *5719:120 9.76491e-05 -173 *1172:15 *25857:A2 1.97695e-05 -174 *1195:6 *24871:A1 0.000100831 -175 *1207:11 *5719:98 0.000235029 -176 *1215:9 *24889:S 0 -177 *1231:17 *24870:A2 0.000628526 -178 *1231:17 *5719:120 7.80714e-06 -179 *1287:14 *5719:110 0.000115092 -180 *1393:39 *5719:32 0.00015732 -181 *1434:8 *5719:38 0.000195481 -182 *1448:27 *5719:55 6.57032e-05 -183 *1448:60 *24869:B 0.000144038 -184 *1488:24 *24887:S 5.33005e-05 -185 *1746:17 *24870:A2 0.000306317 -186 *1759:11 *5719:98 0 -187 *1827:61 *5719:98 0.000130283 -188 *1862:36 *5719:115 0.00086683 -189 *1862:36 *5719:120 0.000246193 -190 *1873:32 *5719:55 3.90809e-05 -191 *1873:35 *24869:B 0.000228889 -192 *1875:36 *5719:105 0.000117189 -193 *1875:36 *5719:110 0.000264528 -194 *1884:23 *29462:A 9.90431e-05 -195 *1891:11 *5719:37 0.000135028 -196 *2556:6 *5719:32 0.000479121 -197 *2889:289 *24882:S 0.000159802 -198 *3583:20 *5719:32 0.000271701 -199 *3583:24 *5719:32 0.00079761 -200 *3616:99 *24871:A1 9.91086e-05 -201 *3626:8 *5719:98 0.000115334 -202 *3626:21 *5719:98 0.000349362 -203 *3626:34 *5719:98 0.000109659 -204 *3675:13 *5719:86 6.63805e-05 -205 *3687:26 *5719:38 2.0892e-05 -206 *3687:40 *5719:55 0.000116148 -207 *3702:39 *5719:62 0.000110029 -208 *3710:15 *24869:B 0.000191176 -209 *3710:77 *24869:B 0 -210 *3753:25 *5719:38 0.00135079 -211 *3753:26 *5719:62 0.000190089 -212 *3763:10 *5719:38 0.000562793 -213 *3763:14 *5719:38 0.000117418 -214 *3763:18 *5719:38 0.000146234 -215 *3763:20 *5719:38 0 -216 *3763:20 *5719:55 0.000795375 -217 *3775:20 *5719:38 0.00139329 -218 *3775:30 *5719:62 0.000670676 -219 *3947:33 *5719:55 0.000102195 -220 *3947:45 *5719:55 0.000796097 -221 *3975:31 *5719:55 0.000146828 -222 *4066:46 *24889:S 0.000148182 -223 *4066:46 *5719:55 1.50225e-05 -224 *4066:46 *5719:201 0.000154225 -225 *4087:5 *5719:25 6.71854e-05 -226 *4087:9 *5719:25 0.000247544 -227 *4088:15 *5719:17 0.000424903 -228 *4088:15 *5719:25 0.00022266 -229 *4091:20 *24882:S 0.000158278 -230 *4103:68 *5719:55 3.90809e-05 -231 *4104:38 *5719:76 0.000370729 -232 *4104:38 *5719:78 0.00059044 -233 *4118:26 *5719:86 0.000144829 -234 *4127:75 *24870:A2 0.000716407 -235 *4131:62 *24887:S 0.00012051 -236 *4131:62 *5719:62 0.000416192 -237 *4142:56 *5719:55 0.000109132 -238 *4156:46 *5719:98 0.000621448 -239 *4192:239 *5719:115 8.87062e-05 -240 *5209:39 *5719:110 9.76491e-05 -241 *5209:39 *5719:115 2.89114e-05 -242 *5231:12 *5719:55 0.000449646 -243 *5231:21 *5719:38 0 -244 *5301:27 *24887:S 4.9536e-05 -245 *5312:13 *25857:A2 9.23451e-05 -246 *5366:28 *25587:B 5.49589e-06 -247 *5457:22 *25593:S 0.000286879 -248 *5457:22 *5719:17 0.000550106 -249 *5458:40 *25593:S 1.81709e-05 -250 *5458:40 *5719:6 8.14438e-05 -251 *5458:40 *5719:8 0.000138826 -252 *5458:40 *5719:10 5.84171e-05 -253 *5458:40 *5719:12 0.000311421 -254 *5458:40 *5719:17 0.000898324 -255 *5458:46 *25593:S 0.00168484 -256 *5611:27 *5719:86 0.000108447 -257 *5666:55 *5719:98 1.61449e-05 -258 *5672:8 *25595:S 0.000202835 -259 *5688:158 *5719:32 0.000338351 -260 *5694:7 *5719:86 9.68602e-05 -*RES -1 *29008:X *5719:5 13.8 -2 *5719:5 *5719:6 2.25 -3 *5719:6 *5719:8 3.46429 -4 *5719:8 *5719:10 1.64286 -5 *5719:10 *5719:12 7.41071 -6 *5719:12 *5719:17 43.1607 -7 *5719:17 *5719:25 19.4464 -8 *5719:25 *5719:32 47.4643 -9 *5719:32 *5719:37 11.1786 -10 *5719:37 *5719:38 67.6696 -11 *5719:38 *5719:55 46.969 -12 *5719:55 *5719:62 25.6964 -13 *5719:62 *24887:S 16.4071 -14 *5719:62 *5719:76 8.32143 -15 *5719:76 *5719:78 10.3929 -16 *5719:78 *5719:86 36.8393 -17 *5719:86 *5719:98 36.3482 -18 *5719:98 *5719:105 9.02679 -19 *5719:105 *5719:110 9.73214 -20 *5719:110 *5719:115 18.8571 -21 *5719:115 *5719:120 9.42857 -22 *5719:120 *24870:A2 45.925 -23 *5719:120 *24893:A1 10.2464 -24 *5719:115 *24871:A1 34.2821 -25 *5719:110 *24878:S 9.3 -26 *5719:105 *24875:A1 23.9786 -27 *5719:98 *24874:B 9.3 -28 *5719:86 *24869:B 43.7554 -29 *5719:78 *24882:S 21.0857 -30 *5719:76 *5719:201 6.75 -31 *5719:201 *24889:S 17.55 -32 *5719:201 *24884:S 15.5679 -33 *5719:25 *25565:A 21.7821 -34 *5719:17 *25566:A1 9.72857 -35 *5719:12 *25589:A2 15.1571 -36 *5719:10 *29462:A 14.7464 -37 *5719:8 *25857:A2 23.8357 -38 *5719:6 *25587:B 16.6616 -39 *5719:5 *25593:S 33.4196 -40 *25593:S *25595:S 24.2643 -*END - -*D_NET *5720 0.0770437 -*CONN -*I *27439:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *27274:A I *D sky130_fd_sc_hd__nor2_4 -*I *27397:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *27376:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *27745:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *27818:C1 I *D sky130_fd_sc_hd__a211o_1 -*I *27720:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *27695:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *27354:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *27418:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *25533:A1 I *D sky130_fd_sc_hd__a32o_1 -*I *27218:A2 I *D sky130_fd_sc_hd__o31a_1 -*I *27225:B2 I *D sky130_fd_sc_hd__o221a_1 -*I *27216:A I *D sky130_fd_sc_hd__nand2_2 -*I *24819:A I *D sky130_fd_sc_hd__inv_6 -*I *27461:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *27215:A I *D sky130_fd_sc_hd__nor2_1 -*I *27217:B I *D sky130_fd_sc_hd__nor2_1 -*I *27483:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *25532:A I *D sky130_fd_sc_hd__nand2_1 -*I *29009:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *27439:A1 0.000588222 -2 *27274:A 2.30855e-05 -3 *27397:A1 0 -4 *27376:A1 0.00015364 -5 *27745:A1 0.00013718 -6 *27818:C1 0.000526733 -7 *27720:A1 0.00018542 -8 *27695:A1 0.000226318 -9 *27354:A1 0.000126948 -10 *27418:A1 0.000122868 -11 *25533:A1 6.71165e-05 -12 *27218:A2 0 -13 *27225:B2 0 -14 *27216:A 0.000189489 -15 *24819:A 0.000948812 -16 *27461:A1 0.000515418 -17 *27215:A 0 -18 *27217:B 0.000468819 -19 *27483:A1 1.99857e-05 -20 *25532:A 2.23854e-05 -21 *29009:X 1.98764e-05 -22 *5720:252 0.00224733 -23 *5720:237 0.00247421 -24 *5720:225 0.00161916 -25 *5720:215 0.00216291 -26 *5720:205 0.00195949 -27 *5720:191 0.001846 -28 *5720:182 0.00169227 -29 *5720:169 0.0013305 -30 *5720:159 0.00183412 -31 *5720:148 0.00160698 -32 *5720:137 0.00035398 -33 *5720:129 0.000274066 -34 *5720:97 0.00131775 -35 *5720:87 0.00120004 -36 *5720:77 0.0020402 -37 *5720:42 0.000581791 -38 *5720:39 0.000654462 -39 *5720:31 0.000654339 -40 *5720:13 0.00104076 -41 *5720:6 0.00135065 -42 *24819:A *28662:D 0.000149489 -43 *24819:A *5746:21 0.000149985 -44 *24819:A *6009:23 1.21289e-05 -45 *24819:A *6314:11 0.000241938 -46 *24819:A *6314:51 0.000144406 -47 *25533:A1 *6350:47 2.24195e-05 -48 *27216:A *25564:A0 0.000175892 -49 *27216:A *5998:11 0.000195588 -50 *27217:B *6191:10 6.87834e-06 -51 *27354:A1 *27354:A2 0.000135028 -52 *27439:A1 *27439:A2 0.00015212 -53 *27439:A1 *6384:39 0.000139202 -54 *27483:A1 *27483:A2 1.04232e-05 -55 *27720:A1 *27720:A2 1.5392e-05 -56 *27818:C1 *5755:32 3.3006e-05 -57 *27818:C1 *6343:22 8.00806e-05 -58 *5720:13 *5926:152 4.46618e-05 -59 *5720:13 *6331:13 1.24368e-05 -60 *5720:31 *27483:A2 0.000375825 -61 *5720:39 *27483:A2 2.44318e-05 -62 *5720:42 *6191:10 5.13325e-05 -63 *5720:77 *27233:A 2.65617e-05 -64 *5720:87 *26840:A1 0.00014284 -65 *5720:87 *27225:A1 5.94452e-05 -66 *5720:87 *27225:B1 1.22604e-05 -67 *5720:87 *5926:171 1.94879e-05 -68 *5720:87 *5969:10 2.05612e-05 -69 *5720:87 *6386:94 0.000310801 -70 *5720:97 *27225:B1 5.83489e-05 -71 *5720:97 *5969:10 9.60875e-05 -72 *5720:97 *6314:51 3.19604e-05 -73 *5720:129 *6386:94 0.000219814 -74 *5720:159 *28777:SET_B 4.90602e-05 -75 *5720:159 *6311:19 9.78828e-05 -76 *5720:159 *6311:43 0 -77 *5720:159 *6386:105 4.45906e-05 -78 *5720:159 *6386:131 0 -79 *5720:169 *6318:30 1.59352e-06 -80 *5720:169 *6318:32 0.000119632 -81 *5720:182 *25124:A1 0.000181374 -82 *5720:191 *27138:A1 9.90431e-05 -83 *5720:191 *27397:A2 0.000189862 -84 *5720:191 *29504:A 0.000177767 -85 *5720:191 *5757:43 1.36976e-05 -86 *5720:191 *6225:102 0.00141515 -87 *5720:191 *6225:110 0.000123938 -88 *5720:191 *6305:36 0.000209199 -89 *5720:191 *6306:42 4.46224e-05 -90 *5720:191 *6306:57 3.25145e-05 -91 *5720:205 *27102:A1 7.40432e-05 -92 *5720:215 *5757:94 0.000780679 -93 *5720:215 *5757:109 0.000620523 -94 *5720:215 *6351:40 0.000189948 -95 *24817:A *27439:A1 0.000309273 -96 *24854:A *27818:C1 5.4826e-05 -97 *24854:A *5720:252 1.90936e-05 -98 *25018:A1 *27217:B 4.32309e-05 -99 *25018:A1 *5720:42 0.000191644 -100 *25063:A2 *27217:B 1.19191e-05 -101 *25533:A3 *25533:A1 9.41642e-05 -102 *25533:A3 *5720:137 3.10819e-05 -103 *25533:A3 *5720:148 8.23185e-05 -104 *25606:A0 *24819:A 0.000219818 -105 *26849:B *5720:225 4.26759e-05 -106 *27079:A0 *5720:13 0 -107 *27115:A0 *27461:A1 9.4995e-05 -108 *27135:A *5720:159 6.24939e-05 -109 *27214:C1 *5720:148 5.60681e-05 -110 *27218:B1 *5720:137 1.73227e-05 -111 *27225:C1 *5720:97 2.423e-06 -112 *27230:B *5720:31 0 -113 *27235:S *5720:159 1.68854e-05 -114 *27244:B1 *5720:159 0.000134549 -115 *27244:B1 *5720:169 0.000153589 -116 *27250:A1 *5720:159 0.00033577 -117 *27261:B *27439:A1 0.000340743 -118 *27261:B *5720:159 0.000430187 -119 *27271:S *5720:97 1.90936e-05 -120 *27274:B *5720:159 5.52238e-05 -121 *27285:C *5720:205 9.41642e-05 -122 *27287:B *5720:191 0.000211249 -123 *27287:C *5720:191 0.00014469 -124 *27327:A *5720:205 4.82947e-05 -125 *27334:A1 *5720:225 3.48013e-05 -126 *27418:A2 *27418:A1 0.000137561 -127 *27419:A1 *27418:A1 1.98839e-05 -128 *27462:S *5720:13 9.7659e-05 -129 *27695:A2 *27695:A1 5.33005e-05 -130 *27795:A1 *27818:C1 0 -131 *27818:A1 *27818:C1 5.52238e-05 -132 *27818:B1 *27818:C1 2.89016e-05 -133 *28779:D *27439:A1 8.19299e-05 -134 *28788:D *5720:215 0.000327283 -135 *29498:A *5720:215 4.15526e-05 -136 *29805:A *5720:31 0 -137 *29829:A *5720:191 0.000108044 -138 *30453:A *5720:159 0.000100625 -139 *30727:A *5720:87 0.000158991 -140 *18:20 *5720:31 0.000139913 -141 *23:22 *27217:B 0.00042253 -142 *371:15 *5720:137 0.000116971 -143 *456:17 *24819:A 0.00167063 -144 *770:24 *24819:A 6.12016e-05 -145 *977:15 *24819:A 5.62995e-05 -146 *1117:13 *5720:87 0.000572633 -147 *1117:13 *5720:97 8.43535e-06 -148 *1178:18 *5720:97 5.7836e-06 -149 *1218:154 *27217:B 0.00023604 -150 *1218:154 *27461:A1 0.000974852 -151 *1228:87 *27745:A1 0.000178847 -152 *1240:137 *5720:31 7.69776e-06 -153 *1242:230 *5720:237 0.000560488 -154 *1242:230 *5720:252 0.000632348 -155 *1245:116 *5720:77 0 -156 *1245:142 *27217:B 0.000277384 -157 *1250:121 *27418:A1 0.000180348 -158 *1271:23 *5720:169 0.0010183 -159 *1273:130 *27720:A1 0.000139202 -160 *1273:251 *5720:77 0.000424808 -161 *1277:98 *5720:31 3.52572e-05 -162 *1278:29 *25533:A1 0.000219711 -163 *1289:55 *5720:159 0.000633625 -164 *1289:55 *5720:169 0.000303972 -165 *1385:15 *27461:A1 0.000142732 -166 *1799:51 *25533:A1 5.52238e-05 -167 *1800:14 *5720:97 0.000388425 -168 *2758:67 *27818:C1 0.000125674 -169 *2782:183 *27461:A1 0.000135028 -170 *2782:183 *5720:31 0.000553007 -171 *2782:183 *5720:39 9.90367e-05 -172 *2784:26 *5720:77 0.000644594 -173 *2790:46 *5720:225 6.39808e-05 -174 *2798:34 *5720:87 0.000662325 -175 *2798:34 *5720:129 0.000211353 -176 *2799:18 *27217:B 5.71472e-05 -177 *2800:16 *5720:137 5.35979e-05 -178 *2814:13 *5720:159 0.000247212 -179 *2817:33 *27418:A1 4.12593e-05 -180 *2817:33 *5720:182 2.51744e-05 -181 *2817:52 *5720:182 3.5703e-05 -182 *2818:8 *5720:169 9.25014e-06 -183 *2818:26 *5720:169 0.000112161 -184 *2825:12 *5720:159 5.58941e-05 -185 *2831:17 *5720:77 0.00150576 -186 *2840:12 *5720:87 4.60232e-06 -187 *2842:11 *5720:191 0.000188586 -188 *2849:49 *5720:191 0.000239707 -189 *2850:9 *5720:205 0.000104587 -190 *2850:37 *5720:205 0.00019253 -191 *2850:177 *5720:225 0.000105294 -192 *2850:179 *5720:225 0.000152938 -193 *2859:161 *5720:252 0 -194 *2859:296 *5720:252 0 -195 *2878:16 *5720:215 0.000145565 -196 *2886:11 *5720:191 3.39451e-05 -197 *2894:21 *27354:A1 6.24758e-05 -198 *2894:21 *5720:225 5.7639e-05 -199 *2938:17 *5720:225 6.66441e-05 -200 *2939:10 *27376:A1 0.000207274 -201 *2939:10 *5720:215 9.41642e-05 -202 *2958:54 *5720:215 0.000122402 -203 *2958:56 *5720:191 1.03403e-05 -204 *2958:56 *5720:215 0.000204424 -205 *3020:15 *5720:13 0.000267551 -206 *3153:124 *27818:C1 4.70923e-05 -207 *3165:201 *27354:A1 0.000339346 -208 *3165:201 *5720:225 0.000178425 -209 *3174:153 *5720:252 4.30781e-05 -210 *3183:40 *27720:A1 4.38942e-05 -211 *3184:43 *5720:205 0.000175974 -212 *3184:53 *5720:215 0.000820707 -213 *3184:53 *5720:225 1.7781e-05 -214 *3246:17 *27695:A1 0.000424029 -215 *3293:34 *5720:252 0.00014074 -216 *3316:17 *27695:A1 9.89951e-05 -217 *3569:73 *5720:182 7.48931e-05 -218 *3569:73 *5720:191 9.86094e-06 -219 *3572:55 *5720:137 0.000209063 -220 *3572:64 *5720:77 0.000160453 -221 *3572:72 *27461:A1 5.33005e-05 -222 *3575:109 *5720:137 3.1532e-05 -223 *3575:109 *5720:148 2.32456e-05 -224 *3850:17 *5720:31 0.000180807 -225 *3850:24 *5720:31 0.000145161 -226 *3851:31 *5720:31 0.000132714 -227 *3873:6 *5720:191 0.000290926 -228 *3873:67 *5720:191 0.000481858 -229 *3886:26 *5720:205 3.34295e-05 -230 *3886:47 *5720:205 0.000164824 -231 *3886:47 *5720:215 0.000146584 -232 *3938:40 *5720:225 7.79781e-06 -233 *3938:40 *5720:237 9.63449e-05 -234 *3943:9 *27461:A1 0.000386561 -235 *4030:48 *27720:A1 0.000339194 -236 *5467:14 *5720:237 0.00103262 -237 *5485:131 *27439:A1 0.000337421 -238 *5485:139 *27818:C1 0.00105786 -239 *5485:139 *5720:252 1.04707e-05 -240 *5486:22 *24819:A 0 -241 *5515:32 *27216:A 0.000194064 -242 *5530:44 *5720:159 2.69467e-05 -243 *5535:71 *5720:31 1.69961e-05 -244 *5539:33 *27217:B 6.25562e-05 -245 *5599:247 *5720:215 8.8998e-05 -246 *5599:273 *5720:215 0.00147916 -247 *5609:33 *24819:A 0.00156215 -248 *5609:45 *27216:A 8.55871e-05 -249 *5609:45 *5720:87 0.000199212 -250 *5634:15 *27376:A1 0.000308352 -251 *5634:15 *5720:215 9.41642e-05 -252 *5638:30 *27720:A1 0.000284858 -253 *5641:19 *5720:225 0.00104682 -254 *5641:23 *5720:225 0.000167875 -255 *5641:23 *5720:237 0.000289689 -256 *5653:19 *27818:C1 0.000362191 -257 *5667:230 *25532:A 0 -258 *5667:230 *5720:13 0.00013469 -259 *5667:230 *5720:31 4.35306e-05 -260 *5678:183 *27461:A1 0.000125459 -261 *5680:257 *5720:13 1.53632e-05 -262 *5680:276 *24819:A 0.000699195 -263 *5680:276 *5720:87 9.23883e-05 -264 *5680:276 *5720:97 0.00047834 -265 *5694:119 *27418:A1 3.89532e-05 -266 *5694:119 *5720:182 0.000112715 -267 *5694:119 *5720:191 0 -268 *5694:119 *5720:205 3.4323e-06 -269 *5713:203 *5720:137 8.55871e-05 -270 *5717:26 *5720:205 6.21188e-05 -*RES -1 *29009:X *5720:6 14.0768 -2 *5720:6 *5720:13 12.7946 -3 *5720:13 *25532:A 9.72857 -4 *5720:13 *5720:31 22.9107 -5 *5720:31 *27483:A1 9.72857 -6 *5720:31 *5720:39 0.946429 -7 *5720:39 *5720:42 7.05357 -8 *5720:42 *27217:B 24.8357 -9 *5720:42 *27215:A 13.8 -10 *5720:39 *27461:A1 33.0679 -11 *5720:6 *5720:77 10.1821 -12 *5720:77 *5720:87 26.4911 -13 *5720:87 *5720:97 10.3295 -14 *5720:97 *24819:A 26.9241 -15 *5720:97 *27216:A 22.1118 -16 *5720:87 *27225:B2 9.3 -17 *5720:77 *5720:129 7.50893 -18 *5720:129 *27218:A2 9.3 -19 *5720:129 *5720:137 9.125 -20 *5720:137 *25533:A1 15.9786 -21 *5720:137 *5720:148 6.44643 -22 *5720:148 *5720:159 31.2143 -23 *5720:159 *5720:169 28.125 -24 *5720:169 *27418:A1 16.9071 -25 *5720:169 *5720:182 9.73214 -26 *5720:182 *5720:191 48.2857 -27 *5720:191 *5720:205 23.7321 -28 *5720:205 *5720:215 48.0714 -29 *5720:215 *27354:A1 12.7107 -30 *5720:215 *5720:225 22.4821 -31 *5720:225 *27695:A1 18.8536 -32 *5720:225 *5720:237 9.25038 -33 *5720:237 *27720:A1 23.0939 -34 *5720:237 *5720:252 7.26505 -35 *5720:252 *27818:C1 29.6393 -36 *5720:252 *27745:A1 15.5679 -37 *5720:205 *27376:A1 13.1214 -38 *5720:182 *27397:A1 9.3 -39 *5720:159 *27274:A 9.72857 -40 *5720:148 *27439:A1 31.3357 -*END - -*D_NET *5721 0.0350302 -*CONN -*I *29284:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25500:A2 I *D sky130_fd_sc_hd__o21a_1 -*I *25501:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *31019:X O *D sky130_fd_sc_hd__buf_4 -*CAP -1 *29284:A 1.79724e-05 -2 *25500:A2 0.000988681 -3 *25501:A2 4.26556e-05 -4 *31019:X 0.00025616 -5 *5721:20 0.00274914 -6 *5721:19 0.0030534 -7 *5721:10 0.00356777 -8 *5721:9 0.00247036 -9 *25500:A2 *5729:83 3.4879e-05 -10 *25500:A2 *5778:123 0.000306637 -11 *5721:9 *5729:301 0.000811024 -12 *5721:9 *5890:124 0.000143486 -13 *5721:10 *5824:28 0.00359677 -14 *5721:10 *5824:37 0.00083138 -15 *5721:19 *28402:RESET_B 0.000261011 -16 *5721:19 *5780:79 0.000496275 -17 *5721:20 *5778:123 0.00189267 -18 *5721:20 *5780:79 0.00195562 -19 *5721:20 *5780:91 0.00112784 -20 *5721:20 *6036:52 0 -21 *25500:A1 *25501:A2 1.15281e-05 -22 *25784:S *5721:10 0.00014444 -23 *27907:A2 *5721:9 9.41642e-05 -24 *27910:A2 *5721:9 7.13226e-06 -25 *27910:B1 *5721:9 5.33005e-05 -26 *27910:B2 *5721:9 6.26774e-06 -27 *28317:D *25500:A2 9.46929e-05 -28 *28884:A *25500:A2 0 -29 *28884:A *5721:20 0 -30 *30101:A *5721:20 0.000321738 -31 *1174:37 *25500:A2 0.000136958 -32 *1287:97 *5721:9 4.40008e-05 -33 *1782:8 *25500:A2 0.000184944 -34 *1883:31 *25500:A2 0.000188864 -35 *1883:94 *29284:A 4.73656e-05 -36 *1883:94 *5721:10 0.00083045 -37 *1883:99 *5721:10 0.00027095 -38 *2089:26 *29284:A 3.34366e-05 -39 *2089:29 *5721:19 5.52302e-05 -40 *2700:9 *29284:A 1.08359e-05 -41 *2700:9 *5721:10 0.000257966 -42 *3566:8 *5721:20 0 -43 *3581:33 *5721:19 0.000226716 -44 *3581:38 *5721:19 0.000153138 -45 *3583:10 *25500:A2 7.90803e-05 -46 *3947:45 *5721:9 2.47054e-05 -47 *3947:61 *5721:9 5.94317e-05 -48 *3948:23 *5721:10 0.00106969 -49 *3948:24 *5721:10 0.000396266 -50 *3948:64 *5721:20 0.00211446 -51 *4189:72 *25501:A2 1.24368e-05 -52 *5634:170 *5721:10 0.00103938 -53 *5669:101 *5721:10 0.00124909 -54 *5688:173 *5721:20 1.08359e-05 -55 *5688:213 *5721:10 0 -56 *5688:225 *5721:10 0.000952085 -57 *5719:32 *5721:20 0.000244898 -*RES -1 *31019:X *5721:9 22.1571 -2 *5721:9 *5721:10 81.4821 -3 *5721:10 *5721:19 28.1607 -4 *5721:19 *5721:20 60.5357 -5 *5721:20 *25501:A2 14.3357 -6 *5721:20 *25500:A2 30.4607 -7 *5721:10 *29284:A 14.5321 -*END - -*D_NET *5722 0.0811033 -*CONN -*I *26262:A I *D sky130_fd_sc_hd__or2_4 -*I *26335:A1 I *D sky130_fd_sc_hd__o311a_1 -*I *26200:A I *D sky130_fd_sc_hd__or2_4 -*I *26277:A I *D sky130_fd_sc_hd__or3_1 -*I *26213:A I *D sky130_fd_sc_hd__or2_4 -*I *26432:A1 I *D sky130_fd_sc_hd__o32a_1 -*I *26438:A I *D sky130_fd_sc_hd__or3_1 -*I *26429:A1 I *D sky130_fd_sc_hd__o32a_1 -*I *26248:A I *D sky130_fd_sc_hd__or2_4 -*I *26237:A I *D sky130_fd_sc_hd__or2_2 -*I *26210:A I *D sky130_fd_sc_hd__or2_4 -*I *26203:A I *D sky130_fd_sc_hd__or2_4 -*I *26253:A I *D sky130_fd_sc_hd__or2_1 -*I *26207:A I *D sky130_fd_sc_hd__or2_4 -*I *26217:A I *D sky130_fd_sc_hd__or2_4 -*I *26204:A I *D sky130_fd_sc_hd__nor2_2 -*I *26644:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *26235:A I *D sky130_fd_sc_hd__or2_2 -*I *26325:A2 I *D sky130_fd_sc_hd__o311a_1 -*I *26205:A I *D sky130_fd_sc_hd__or2_4 -*I *29010:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *26262:A 2.8261e-05 -2 *26335:A1 3.90267e-05 -3 *26200:A 5.62427e-05 -4 *26277:A 0.000448075 -5 *26213:A 0.00102882 -6 *26432:A1 0.000158488 -7 *26438:A 0.000291423 -8 *26429:A1 0 -9 *26248:A 9.88143e-05 -10 *26237:A 0.000125097 -11 *26210:A 3.83244e-05 -12 *26203:A 0.000118453 -13 *26253:A 0.000422376 -14 *26207:A 6.86986e-05 -15 *26217:A 0.00019914 -16 *26204:A 0.000767148 -17 *26644:A1 6.53507e-05 -18 *26235:A 3.31709e-05 -19 *26325:A2 8.96842e-05 -20 *26205:A 0.000197365 -21 *29010:X 0.000285768 -22 *5722:244 0.000486873 -23 *5722:224 0.000687591 -24 *5722:202 0.00100014 -25 *5722:189 0.00115751 -26 *5722:187 0.00282842 -27 *5722:167 0.00393577 -28 *5722:166 0.00179519 -29 *5722:153 0.000655442 -30 *5722:149 0.00218716 -31 *5722:131 0.00329905 -32 *5722:113 0.00120933 -33 *5722:112 0.00150004 -34 *5722:80 0.000228388 -35 *5722:76 0.00362979 -36 *5722:69 0.00337606 -37 *5722:50 0.00253161 -38 *5722:48 0.00099747 -39 *5722:42 0.000557274 -40 *5722:29 0.000444007 -41 *5722:20 0.000741985 -42 *5722:16 0.000859177 -43 *5722:5 0.000720984 -44 *5722:69 *26194:B 0 -45 *5722:69 *5782:28 0.000245767 -46 *5722:166 *6357:10 1.58163e-05 -47 *5722:167 *6357:10 0.000368104 -48 *5722:224 *6169:92 0 -49 *5722:244 *6169:92 5.09156e-05 -50 *26193:A *26204:A 7.48301e-06 -51 *26193:B *26204:A 0.00013039 -52 *26200:B *26200:A 5.33005e-05 -53 *26207:B *26207:A 0.000225982 -54 *26208:A1 *5722:131 0.000178176 -55 *26208:B1 *26204:A 0.000461937 -56 *26210:B *26210:A 9.83388e-05 -57 *26210:B *5722:244 0.000295286 -58 *26222:C *5722:187 0.000354682 -59 *26235:B *26235:A 0.000183477 -60 *26235:B *26644:A1 6.40939e-05 -61 *26238:B *26237:A 0 -62 *26249:A *5722:187 4.10304e-05 -63 *26249:B *5722:187 0.000108525 -64 *26254:B *26253:A 0.000142944 -65 *26261:C1 *26253:A 9.25014e-06 -66 *26261:C1 *5722:202 0.000125724 -67 *26264:A1 *5722:187 0.000178847 -68 *26264:A1 *5722:189 0.000518356 -69 *26264:A1 *5722:202 3.77902e-06 -70 *26264:A2 *5722:187 0.00031527 -71 *26265:B2 *5722:189 9.35794e-06 -72 *26265:B2 *5722:202 8.25843e-06 -73 *26265:C1 *5722:244 3.97677e-05 -74 *26267:A2 *5722:76 3.26486e-05 -75 *26267:A2 *5722:80 6.23879e-05 -76 *26267:A2 *5722:112 6.40836e-05 -77 *26267:B1 *5722:112 3.45453e-05 -78 *26275:B *26335:A1 9.83442e-05 -79 *26293:A1 *5722:69 1.30594e-05 -80 *26293:A2 *5722:69 0.000281965 -81 *26293:B1 *5722:69 0.000301307 -82 *26293:B2 *5722:69 2.22043e-05 -83 *26320:B2 *26213:A 0.000719765 -84 *26323:B *26205:A 0.000136958 -85 *26323:B *5722:29 8.69268e-05 -86 *26323:D *5722:29 7.19508e-05 -87 *26324:A2 *5722:42 2.58997e-05 -88 *26325:B1 *26325:A2 4.63699e-05 -89 *26334:A2 *5722:16 2.36643e-05 -90 *26334:B2 *5722:16 0.000151233 -91 *26334:B2 *5722:20 0.000748714 -92 *26335:C1 *5722:16 1.89507e-06 -93 *26407:B *5722:112 0.000603336 -94 *26412:A2 *5722:167 8.6281e-05 -95 *26432:B2 *26432:A1 2.61378e-05 -96 *26434:A_N *5722:131 6.45291e-05 -97 *26436:C_N *5722:153 6.09476e-05 -98 *26438:C *26438:A 0.000915356 -99 *26440:A2 *5722:167 0.000133477 -100 *26543:B1_N *5722:69 0.000639154 -101 *26544:C1 *26644:A1 2.59355e-05 -102 *26544:C1 *5722:80 5.29348e-05 -103 *26545:A1 *5722:166 0.0005993 -104 *26545:B1 *5722:166 9.31011e-05 -105 *26549:C_N *5722:112 0.000125355 -106 *26591:A2 *26432:A1 1.40034e-05 -107 *26602:B1 *5722:131 0.000559861 -108 *26608:A *5722:166 2.24195e-05 -109 *26608:C *5722:166 5.33005e-05 -110 *26613:A_N *5722:167 5.24274e-05 -111 *26613:B_N *5722:167 0.000283698 -112 *26715:D1 *26217:A 0.000272371 -113 *26769:C_N *5722:131 0.000828332 -114 *26793:A1 *5722:153 9.25014e-06 -115 *26793:A1 *5722:166 6.77658e-05 -116 *26793:B2 *5722:167 9.60337e-06 -117 *30625:A *5722:5 6.87943e-05 -118 *1393:124 *5722:202 0.000208108 -119 *1393:132 *5722:202 5.01649e-06 -120 *1874:36 *26248:A 3.83298e-05 -121 *1910:20 *5722:69 6.90381e-06 -122 *1931:6 *5722:48 0.000149421 -123 *1931:6 *5722:50 4.56437e-05 -124 *1931:10 *5722:50 0.00061013 -125 *1942:54 *5722:50 7.83479e-05 -126 *1942:54 *5722:69 0.00073583 -127 *1942:70 *5722:69 0.00049361 -128 *1946:81 *5722:112 0.000223282 -129 *1946:131 *5722:112 0.000918431 -130 *1952:63 *26438:A 0.000304394 -131 *1956:21 *5722:5 0.000124521 -132 *1956:35 *5722:5 0.000354056 -133 *1956:35 *5722:16 9.11048e-06 -134 *2032:100 *26277:A 0.000122765 -135 *2032:127 *5722:16 6.8445e-06 -136 *2038:12 *5722:20 0 -137 *2060:40 *5722:131 0 -138 *2060:42 *5722:131 0 -139 *2099:76 *5722:167 0.000161646 -140 *2099:78 *5722:167 0.000209106 -141 *2099:80 *5722:167 0.000254924 -142 *2104:128 *5722:167 8.24047e-05 -143 *2104:143 *5722:167 9.24395e-05 -144 *2110:26 *5722:131 0.000284867 -145 *2111:69 *5722:149 0.00018171 -146 *2111:69 *5722:153 0.000338905 -147 *2126:36 *5722:112 5.58941e-05 -148 *2128:32 *26204:A 0.000290271 -149 *2130:11 *26213:A 0.000306068 -150 *2131:104 *26432:A1 1.07719e-05 -151 *2133:135 *5722:113 0.000379874 -152 *2133:135 *5722:131 7.83587e-05 -153 *2133:168 *26204:A 0.000298483 -154 *2133:198 *5722:131 0.000290993 -155 *2135:9 *26204:A 5.33433e-05 -156 *2135:9 *5722:149 0.000171711 -157 *2136:58 *5722:112 0.000214619 -158 *2136:58 *5722:113 0.000145497 -159 *2136:60 *5722:113 0.000168217 -160 *2136:68 *5722:113 7.39741e-05 -161 *2136:68 *5722:131 0.000120624 -162 *2144:68 *26204:A 3.03071e-05 -163 *2145:8 *5722:224 0.000175892 -164 *2145:97 *5722:69 0.000508406 -165 *2147:10 *26205:A 5.19659e-05 -166 *2149:10 *26207:A 2.07878e-05 -167 *2151:56 *26248:A 7.76056e-05 -168 *2152:13 *5722:244 0.000325402 -169 *2152:92 *5722:76 0.000149257 -170 *2155:8 *26213:A 4.11572e-05 -171 *2159:5 *26217:A 0.000144773 -172 *2159:92 *5722:76 0.000146449 -173 *2160:11 *5722:187 0.000669243 -174 *2165:14 *26204:A 9.74684e-05 -175 *2179:27 *26237:A 0 -176 *2179:27 *26253:A 0 -177 *2179:27 *5722:202 0 -178 *2185:24 *26217:A 1.55885e-05 -179 *2185:24 *5722:167 0 -180 *2187:173 *26248:A 9.90431e-05 -181 *2190:6 *26248:A 7.83587e-05 -182 *2190:9 *5722:187 0.000195594 -183 *2190:29 *5722:167 0.00012726 -184 *2190:122 *5722:76 3.79901e-06 -185 *2191:7 *5722:187 0.000312215 -186 *2201:23 *26217:A 0 -187 *2204:10 *5722:5 9.83388e-05 -188 *2204:10 *5722:16 4.26491e-05 -189 *2204:85 *5722:224 0.000168631 -190 *2227:19 *5722:16 0.000137819 -191 *2230:20 *5722:76 0.000183469 -192 *2240:98 *5722:42 0.000382573 -193 *2244:48 *26277:A 0.000565537 -194 *2250:94 *5722:29 0.000102077 -195 *2250:94 *5722:42 4.14246e-05 -196 *2269:23 *5722:5 5.33005e-05 -197 *2277:7 *26335:A1 6.05161e-06 -198 *2315:18 *5722:149 0.000346094 -199 *2315:25 *5722:149 8.0089e-05 -200 *2315:25 *5722:153 7.29922e-05 -201 *2318:20 *5722:167 0 -202 *2344:8 *5722:112 7.30345e-05 -203 *2345:8 *5722:149 0.000425222 -204 *2345:8 *5722:153 0.000107706 -205 *2346:38 *5722:69 0.00105789 -206 *2346:38 *5722:76 0.00267013 -207 *2347:13 *5722:112 0.000388219 -208 *2348:8 *5722:112 0.000145372 -209 *2470:11 *5722:112 5.52302e-05 -210 *2484:20 *5722:69 0.000674002 -211 *2484:20 *5722:76 0.000139767 -212 *2539:8 *5722:112 2.12211e-05 -213 *2539:8 *5722:131 0.000319323 -214 *2548:12 *5722:166 3.32631e-05 -215 *2587:11 *5722:76 0.000198719 -216 *2587:11 *5722:80 4.34928e-05 -217 *2635:11 *5722:80 0 -218 *2706:14 *5722:153 9.77703e-05 -219 *2706:14 *5722:166 0.000191874 -220 *2738:7 *5722:166 5.33433e-05 -221 *3510:41 *26235:A 0.000183726 -222 *3510:41 *26644:A1 0.000133862 -223 *3510:137 *26203:A 3.17684e-05 -224 *3510:137 *5722:244 0.000456881 -225 *4189:60 *5722:112 0.000112539 -226 *4353:48 *26277:A 9.9129e-05 -227 *4475:21 *26325:A2 6.93944e-05 -228 *4475:21 *5722:42 0.000564193 -229 *4475:21 *5722:48 0.000280972 -230 *4519:23 *5722:20 0 -231 *4530:23 *26200:A 9.1407e-06 -232 *4530:23 *5722:42 7.71321e-05 -233 *4530:23 *5722:48 0.000431882 -234 *4552:79 *5722:48 0.000398407 -235 *4552:79 *5722:50 0.000287651 -236 *4552:86 *5722:50 0.000452906 -237 *4552:86 *5722:69 0.000204894 -238 *5209:6 *26217:A 5.03694e-05 -239 *5209:6 *5722:167 0.000646465 -240 *5544:15 *26205:A 0.000469772 -241 *5544:15 *5722:29 0.000569371 -242 *5544:15 *5722:42 0.000137561 -243 *5565:35 *26237:A 5.00645e-05 -244 *5565:35 *26253:A 0.000564279 -245 *5565:35 *5722:244 0.000187995 -246 *5566:41 *5722:244 0.000188458 -247 *5566:52 *26253:A 9.44375e-05 -248 *5566:52 *5722:202 1.91414e-05 -249 *5664:86 *5722:112 0.000216755 -250 *5664:131 *26204:A 0.000100823 -251 *5664:131 *5722:131 0.00010096 -252 *5664:182 *26253:A 0.000289133 -253 *5664:201 *26207:A 5.33005e-05 -254 *5664:201 *26253:A 2.21254e-05 -255 *5664:201 *5722:202 0.000146071 -256 *5664:209 *5722:202 0.000199695 -257 *5664:313 *5722:167 4.00025e-05 -*RES -1 *29010:X *5722:5 15.175 -2 *5722:5 *5722:16 20.1964 -3 *5722:16 *5722:20 14.0357 -4 *5722:20 *26205:A 14.3714 -5 *5722:20 *5722:29 5.46429 -6 *5722:29 *26325:A2 20.2464 -7 *5722:29 *5722:42 13.2679 -8 *5722:42 *5722:48 9.89286 -9 *5722:48 *5722:50 9.83929 -10 *5722:50 *5722:69 45.8941 -11 *5722:69 *5722:76 13.5178 -12 *5722:76 *5722:80 7.05357 -13 *5722:80 *26235:A 11.0679 -14 *5722:80 *26644:A1 11.0857 -15 *5722:76 *5722:112 47 -16 *5722:112 *5722:113 4.98214 -17 *5722:113 *5722:131 38.7857 -18 *5722:131 *26204:A 32.6036 -19 *5722:131 *5722:149 29.0357 -20 *5722:149 *5722:153 11.9107 -21 *5722:153 *5722:166 23.5357 -22 *5722:166 *5722:167 37.4643 -23 *5722:167 *26217:A 18.7464 -24 *5722:167 *5722:187 39.8214 -25 *5722:187 *5722:189 5.46429 -26 *5722:189 *5722:202 15.1964 -27 *5722:202 *26207:A 15.9786 -28 *5722:202 *26253:A 24.925 -29 *5722:189 *5722:224 13.4286 -30 *5722:224 *26203:A 11.0857 -31 *5722:224 *5722:244 28.3929 -32 *5722:244 *26210:A 10.2464 -33 *5722:244 *26237:A 20.55 -34 *5722:187 *26248:A 21.1929 -35 *5722:153 *26429:A1 9.3 -36 *5722:149 *26438:A 22.1393 -37 *5722:113 *26432:A1 15.8893 -38 *5722:50 *26213:A 26.9786 -39 *5722:48 *26277:A 29.9071 -40 *5722:42 *26200:A 14.8714 -41 *5722:16 *26335:A1 14.7464 -42 *5722:5 *26262:A 9.72857 -*END - -*D_NET *5723 0.0190197 -*CONN -*I *26005:B I *D sky130_fd_sc_hd__nand2_1 -*I *26636:A2 I *D sky130_fd_sc_hd__a31o_1 -*I *26281:B I *D sky130_fd_sc_hd__and3_1 -*I *26622:A1 I *D sky130_fd_sc_hd__a311o_1 -*I *26623:A I *D sky130_fd_sc_hd__nand2_1 -*I *30825:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *26005:B 0 -2 *26636:A2 0.000230875 -3 *26281:B 0.000378237 -4 *26622:A1 8.07388e-05 -5 *26623:A 0.00024011 -6 *30825:X 7.32713e-05 -7 *5723:75 0.00125434 -8 *5723:46 0.00112935 -9 *5723:33 0.00116881 -10 *5723:26 0.00260349 -11 *5723:7 0.0010947 -12 *5723:75 *5782:164 0.000196262 -13 *26339:A1 *5723:75 4.73656e-05 -14 *26350:A *5723:75 4.38056e-05 -15 *26362:A *5723:75 4.13573e-05 -16 *26411:B1_N *26622:A1 4.58194e-05 -17 *26519:A1 *26623:A 8.32171e-05 -18 *26519:A1 *5723:26 9.91086e-05 -19 *26520:B *26281:B 2.20678e-05 -20 *26520:B *5723:46 3.53079e-05 -21 *26520:C *5723:46 5.52238e-05 -22 *26521:B *5723:46 0.000151181 -23 *26625:C *5723:26 1.79251e-05 -24 *26634:A *26281:B 3.35685e-06 -25 *26636:A3 *26636:A2 5.33005e-05 -26 *26758:D *5723:46 6.12364e-05 -27 *26791:B1 *5723:75 0.000168046 -28 *26797:C1 *26623:A 0.000154738 -29 *1393:39 *26281:B 0.000109481 -30 *1938:151 *5723:7 0.000135028 -31 *1943:37 *5723:75 0.000451028 -32 *1946:208 *5723:75 0.000177821 -33 *1950:87 *5723:46 9.15842e-06 -34 *1950:93 *26636:A2 0.000289001 -35 *1950:93 *5723:46 0.000260574 -36 *1953:82 *26623:A 0.00023792 -37 *1966:58 *5723:26 0.000106924 -38 *1966:62 *5723:26 0.000203305 -39 *1971:35 *5723:26 0.000555481 -40 *1976:31 *5723:26 0.0001475 -41 *1996:48 *5723:75 0.000443187 -42 *1996:75 *5723:33 8.48485e-05 -43 *2019:94 *5723:33 0.00120354 -44 *2022:28 *5723:33 0.00021627 -45 *2022:28 *5723:75 3.32442e-05 -46 *2024:54 *5723:33 5.66157e-05 -47 *2024:54 *5723:75 0.00126169 -48 *2024:65 *5723:26 4.58194e-05 -49 *2044:18 *5723:33 0.000224819 -50 *2044:18 *5723:75 0.000721391 -51 *2053:34 *26623:A 0.000172332 -52 *2053:34 *5723:26 0.000504595 -53 *2089:26 *26281:B 0.00014008 -54 *2169:64 *26623:A 4.87953e-05 -55 *2300:11 *26622:A1 5.96516e-05 -56 *2300:11 *5723:46 0.000256395 -57 *2306:7 *26623:A 9.41642e-05 -58 *2307:10 *5723:33 4.12023e-05 -59 *2308:10 *5723:33 0.000265378 -60 *2562:8 *26622:A1 0.000218409 -61 *2562:8 *5723:46 4.17433e-05 -62 *2569:6 *5723:46 0.000146422 -63 *2576:8 *26281:B 7.57673e-05 -64 *2632:12 *5723:75 0.00027095 -65 *2741:11 *5723:26 0.000175892 -*RES -1 *30825:X *5723:7 15.1571 -2 *5723:7 *26623:A 20.9964 -3 *5723:7 *5723:26 27.0179 -4 *5723:26 *5723:33 22.5893 -5 *5723:33 *26622:A1 11.4786 -6 *5723:33 *5723:46 19.8214 -7 *5723:46 *26281:B 23.6571 -8 *5723:46 *26636:A2 13.55 -9 *5723:26 *5723:75 46.0536 -10 *5723:75 *26005:B 9.3 -*END - -*D_NET *5724 0.00251321 -*CONN -*I *26089:B I *D sky130_fd_sc_hd__and2_4 -*I *26090:B I *D sky130_fd_sc_hd__nand2_8 -*I *30826:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *26089:B 1.1624e-05 -2 *26090:B 0.000138106 -3 *30826:X 0.000516522 -4 *5724:6 0.000666252 -5 *26090:B *5782:210 0.000114102 -6 *5724:6 *26000:A 0.000191918 -7 *5724:6 *5782:210 9.24395e-05 -8 *26089:A *26089:B 5.52238e-05 -9 *26454:A2 *26090:B 0 -10 *30631:A *5724:6 0.000365919 -11 *290:21 *5724:6 8.3104e-05 -12 *1935:182 *26089:B 5.52238e-05 -13 *1985:40 *26090:B 0 -14 *4619:21 *5724:6 8.97113e-05 -15 *4841:27 *5724:6 4.53047e-05 -16 *4874:16 *5724:6 8.77613e-05 -*RES -1 *30826:X *5724:6 26.675 -2 *5724:6 *26090:B 16.6571 -3 *5724:6 *26089:B 14.3357 -*END - -*D_NET *5725 0.0260648 -*CONN -*I *25085:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30703:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 -*CAP -1 *25085:A1 0.00107413 -2 *30703:X 0.00151448 -3 *5725:24 0.00230338 -4 *5725:17 0.00295827 -5 *5725:10 0.0032435 -6 *25085:A1 *28558:RESET_B 0.000961434 -7 *25085:A1 *28598:RESET_B 1.19468e-05 -8 *25085:A1 *5777:53 0.000202317 -9 *25085:A1 *5777:82 6.57603e-05 -10 *5725:10 *25740:A0 8.07951e-05 -11 *5725:10 *27118:S 0.000243403 -12 *5725:10 *5770:30 0 -13 *5725:10 *5879:10 0.000355878 -14 *5725:10 *5879:19 0.000552437 -15 *5725:17 *26911:S 2.58997e-05 -16 *5725:17 *5770:30 0.00030067 -17 *5725:17 *5881:54 0.00124448 -18 *5725:17 *5910:16 1.15281e-05 -19 *5725:24 *5729:188 0.000149047 -20 *5725:24 *5729:193 0.000382581 -21 *5725:24 *5777:82 0.0013823 -22 *5725:24 *5777:94 0.000811192 -23 *5725:24 *6269:12 0.000359586 -24 *25085:A2 *25085:A1 0.000531303 -25 *25141:B1 *25085:A1 0.000337932 -26 *25676:B *5725:17 0.000689868 -27 *25689:A0 *5725:10 0.00014285 -28 *26911:A1 *5725:10 0.000171688 -29 *26911:A1 *5725:17 0.000137062 -30 *26996:A1 *25085:A1 0.000240706 -31 *28682:D *5725:10 0.000126439 -32 *28755:CLK *25085:A1 0.000167966 -33 *28759:CLK *5725:17 0.000175979 -34 *29459:A *5725:10 4.75428e-05 -35 *29483:A *5725:10 0.000690932 -36 *30261:A *5725:24 0.000123295 -37 *30459:A *5725:17 0.000316887 -38 *247:17 *5725:10 0 -39 *1696:10 *5725:24 3.44406e-05 -40 *2771:93 *5725:24 0.00184519 -41 *2771:104 *25085:A1 0.00019124 -42 *3600:45 *25085:A1 1.74909e-05 -43 *3600:45 *5725:24 0.00013624 -44 *3601:50 *5725:17 0 -45 *3998:17 *5725:24 0.00060013 -46 *3998:25 *25085:A1 8.8731e-06 -47 *4031:28 *25085:A1 4.94981e-05 -48 *5397:35 *5725:10 0.00014285 -49 *5584:162 *25085:A1 0.000162999 -50 *5585:8 *25085:A1 0 -51 *5585:8 *5725:24 0.000153588 -52 *5589:74 *25085:A1 0.000381093 -53 *5607:71 *25085:A1 4.21517e-05 -54 *5708:9 *5725:17 0 -55 *5708:17 *5725:17 9.26316e-05 -56 *5709:272 *5725:17 7.09043e-05 -*RES -1 *30703:X *5725:10 49.3089 -2 *5725:10 *5725:17 44.1339 -3 *5725:17 *5725:24 43.3661 -4 *5725:24 *25085:A1 43.5232 -*END - -*D_NET *5726 0.00709156 -*CONN -*I *30826:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *26000:B I *D sky130_fd_sc_hd__nand2_8 -*I *30827:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *30826:A 4.06229e-05 -2 *26000:B 0.000309296 -3 *30827:X 0.00188099 -4 *5726:20 0.00223091 -5 *25508:B *5726:20 1.03968e-05 -6 *27988:B1 *30826:A 4.73669e-05 -7 *27988:B1 *5726:20 0.000584121 -8 *27991:B1 *26000:B 0.000129595 -9 *30596:A *5726:20 2.47833e-05 -10 *30616:A *5726:20 4.88515e-05 -11 *30627:A *5726:20 0.000141326 -12 *30655:A *26000:B 3.10885e-05 -13 *30655:A *5726:20 0.000328725 -14 *30658:A *30826:A 4.58194e-05 -15 *30658:A *5726:20 7.48301e-06 -16 *30659:A *26000:B 0 -17 *4286:15 *5726:20 0.000792233 -18 *4619:21 *26000:B 0.000375667 -19 *4619:21 *5726:20 5.26224e-05 -20 *4885:31 *5726:20 9.6561e-06 -*RES -1 *30827:X *5726:20 43.8357 -2 *5726:20 *26000:B 20.9607 -3 *5726:20 *30826:A 14.7464 -*END - -*D_NET *5727 0.0555085 -*CONN -*I *28048:B I *D sky130_fd_sc_hd__and2_1 -*I *28065:B I *D sky130_fd_sc_hd__and2_1 -*I *28024:B I *D sky130_fd_sc_hd__and2_1 -*I *28059:B I *D sky130_fd_sc_hd__and2_1 -*I *28055:B I *D sky130_fd_sc_hd__and2_1 -*I *28040:B I *D sky130_fd_sc_hd__and2_1 -*I *28053:B I *D sky130_fd_sc_hd__and2_1 -*I *28056:B I *D sky130_fd_sc_hd__and2_1 -*I *28041:B I *D sky130_fd_sc_hd__and2_1 -*I *28039:B I *D sky130_fd_sc_hd__and2_1 -*I *28023:B I *D sky130_fd_sc_hd__and2_1 -*I *28052:B I *D sky130_fd_sc_hd__and2_1 -*I *28036:B I *D sky130_fd_sc_hd__and2_1 -*I *28058:B I *D sky130_fd_sc_hd__and2_1 -*I *28054:B I *D sky130_fd_sc_hd__and2_1 -*I *28035:B I *D sky130_fd_sc_hd__and2_1 -*I *28057:B I *D sky130_fd_sc_hd__and2_1 -*I *28050:B I *D sky130_fd_sc_hd__and2_1 -*I *28051:B I *D sky130_fd_sc_hd__and2_1 -*I *28038:B I *D sky130_fd_sc_hd__and2_1 -*I *29011:X O *D sky130_fd_sc_hd__buf_4 -*CAP -1 *28048:B 0.000394081 -2 *28065:B 0 -3 *28024:B 0.00036734 -4 *28059:B 5.98952e-05 -5 *28055:B 5.93516e-05 -6 *28040:B 0 -7 *28053:B 1.69531e-05 -8 *28056:B 2.7879e-05 -9 *28041:B 0 -10 *28039:B 0.000931052 -11 *28023:B 0 -12 *28052:B 0 -13 *28036:B 0 -14 *28058:B 0.000132142 -15 *28054:B 0.000169352 -16 *28035:B 8.66241e-05 -17 *28057:B 3.04135e-05 -18 *28050:B 8.17852e-05 -19 *28051:B 1.21804e-05 -20 *28038:B 0.000216617 -21 *29011:X 0.000544002 -22 *5727:281 0.000900743 -23 *5727:252 0.00132914 -24 *5727:243 0.00108564 -25 *5727:228 0.000857474 -26 *5727:217 0.000703933 -27 *5727:202 0.00190023 -28 *5727:190 0.0010611 -29 *5727:170 0.00168745 -30 *5727:163 0.00112352 -31 *5727:115 0.000357153 -32 *5727:104 0.000200985 -33 *5727:95 0.000140301 -34 *5727:92 0.000269322 -35 *5727:79 0.000413925 -36 *5727:73 0.000565367 -37 *5727:46 0.000327141 -38 *5727:45 0.00167759 -39 *5727:38 0.00133981 -40 *5727:36 0.00155627 -41 *5727:20 0.00270944 -42 *5727:8 0.00191562 -43 *28035:B *28035:A 3.63587e-05 -44 *28038:B *5732:114 5.71472e-05 -45 *28038:B *6125:21 4.03318e-05 -46 *28053:B *28053:A 5.33005e-05 -47 *28054:B *28037:A 5.52302e-05 -48 *28054:B *28037:B 4.77348e-05 -49 *28054:B *28054:A 0.000188699 -50 *28055:B *28055:A 9.66977e-05 -51 *28058:B *5728:101 4.06087e-07 -52 *28058:B *5739:50 1.78394e-05 -53 *28058:B *5739:52 1.08359e-05 -54 *28058:B *6158:28 0.000196269 -55 *5727:8 *5728:53 9.60939e-05 -56 *5727:8 *5835:11 0.000344225 -57 *5727:8 *5836:150 5.04417e-05 -58 *5727:20 *28048:A 0.000290382 -59 *5727:20 *5836:162 0.000722411 -60 *5727:36 *25575:A1 0 -61 *5727:36 *5836:211 8.68665e-05 -62 *5727:36 *5902:22 0.00028972 -63 *5727:38 *25575:A1 0 -64 *5727:45 *6448:DIODE 5.19842e-06 -65 *5727:45 *25567:A1 8.25894e-05 -66 *5727:45 *25575:A1 0 -67 *5727:45 *5936:87 2.65105e-05 -68 *5727:45 *6125:27 1.79326e-05 -69 *5727:46 *6125:21 2.47093e-05 -70 *5727:73 *5892:163 0.000185181 -71 *5727:73 *5947:100 3.34863e-05 -72 *5727:79 *28051:A 4.17433e-05 -73 *5727:79 *5739:78 9.58181e-05 -74 *5727:79 *5892:163 1.51571e-05 -75 *5727:92 *6036:13 0.000389077 -76 *5727:95 *5739:71 1.02504e-05 -77 *5727:95 *5739:73 0.000177234 -78 *5727:95 *5739:78 1.02504e-05 -79 *5727:95 *6158:28 0.000173804 -80 *5727:104 *5739:71 0.000189448 -81 *5727:104 *6158:28 0.000196269 -82 *5727:115 *5739:52 1.08359e-05 -83 *5727:115 *5739:69 0.000103267 -84 *5727:115 *5739:71 4.80729e-05 -85 *5727:115 *6158:28 0.000173812 -86 *5727:163 *28065:A 5.52238e-05 -87 *5727:190 *5739:13 2.59355e-05 -88 *5727:202 *5739:13 0.000111501 -89 *5727:217 *29026:A 0.000403412 -90 *5727:217 *29027:A 9.15291e-05 -91 *5727:217 *5739:252 0.000165228 -92 *5727:217 *5740:29 6.77852e-05 -93 *5727:228 *5740:99 0.000163317 -94 *5727:243 *28053:A 5.33005e-05 -95 *5727:243 *5739:252 0.000532009 -96 *5727:252 *28055:A 9.57981e-05 -97 *24998:A *5727:8 0.000185448 -98 *24998:A *5727:20 2.09826e-05 -99 *24998:B *5727:8 6.35819e-05 -100 *25419:A *28038:B 8.2717e-05 -101 *25420:B *28051:B 5.49489e-05 -102 *25421:A *28050:B 0.000148911 -103 *25421:A *5727:92 0.000243627 -104 *25427:B *28058:B 2.62395e-05 -105 *25461:A3 *5727:281 0.000143625 -106 *25462:A *28050:B 5.33005e-05 -107 *25463:B *28051:B 4.17433e-05 -108 *25465:A1 *5727:73 9.41642e-05 -109 *25465:B1 *5727:73 2.80742e-05 -110 *25466:B1 *5727:20 4.21517e-05 -111 *25472:A2 *5727:243 0.000126837 -112 *25493:A1 *28054:B 4.72818e-05 -113 *25494:B1 *5727:46 0.000224592 -114 *25494:B1 *5727:73 6.81083e-05 -115 *25538:A3 *5727:36 1.87004e-05 -116 *25538:B2 *5727:20 0.000510186 -117 *25538:B2 *5727:36 5.54204e-05 -118 *25548:A0 *5727:38 9.64459e-05 -119 *25567:S *5727:45 3.32631e-05 -120 *25945:S *28039:B 0.000161184 -121 *25946:A0 *28039:B 0.000272679 -122 *27529:A1 *5727:252 1.54726e-05 -123 *27529:A1 *5727:281 8.23597e-06 -124 *27871:A1 *28055:B 2.8078e-05 -125 *27871:B2 *28055:B 7.57394e-05 -126 *27871:B2 *5727:281 0.000374593 -127 *27884:B2 *5727:217 6.54793e-05 -128 *27913:A1 *5727:217 6.8646e-06 -129 *27914:A1 *5727:281 0.00014607 -130 *27915:A *5727:281 6.21188e-05 -131 *28068:RESET_B *5727:36 0.00031911 -132 *28068:RESET_B *5727:38 3.67309e-05 -133 *28068:RESET_B *5727:45 3.07555e-05 -134 *28069:RESET_B *28024:B 9.41642e-05 -135 *28069:RESET_B *5727:190 5.33005e-05 -136 *28069:RESET_B *5727:202 0.00022266 -137 *28095:CLK *28035:B 0 -138 *28096:RESET_B *5727:46 0.000197984 -139 *28096:RESET_B *5727:73 7.6644e-05 -140 *28131:D *5727:202 7.83659e-05 -141 *28148:D *5727:8 0.000195198 -142 *28166:RESET_B *5727:36 3.10397e-05 -143 *28213:D *5727:20 6.39932e-05 -144 *28213:D *5727:36 2.47627e-05 -145 *28325:D *28039:B 0 -146 *28995:A *5727:202 2.34204e-05 -147 *29281:A *5727:38 9.60808e-05 -148 *29485:A *28048:B 4.58194e-05 -149 *29582:A *5727:170 0.000306317 -150 *29585:A *5727:36 7.2754e-05 -151 *30272:A *5727:45 5.62995e-05 -152 *30307:A *5727:8 8.23597e-06 -153 *30403:A *5727:170 0.000137983 -154 *30519:A *28039:B 0.000188947 -155 *30519:A *5727:170 2.90164e-05 -156 *30526:A *5727:36 0 -157 *30537:A *5727:243 0.000220935 -158 *373:10 *5727:20 2.58779e-05 -159 *394:14 *5727:170 0.000539298 -160 *401:13 *28048:B 0.000109132 -161 *401:13 *5727:8 7.83659e-05 -162 *407:10 *28054:B 0.000100462 -163 *407:10 *28058:B 0.000125731 -164 *408:20 *5727:281 0.000145727 -165 *412:14 *28059:B 4.58194e-05 -166 *418:7 *5727:36 2.6018e-06 -167 *418:7 *5727:163 0.000302365 -168 *418:7 *5727:170 2.42516e-05 -169 *419:9 *5727:8 0.00012688 -170 *623:22 *5727:20 3.35295e-05 -171 *1476:103 *5727:217 0.00030572 -172 *1733:8 *28038:B 1.39782e-05 -173 *1733:8 *5727:46 9.97242e-06 -174 *1736:7 *28050:B 2.89016e-05 -175 *1738:40 *5727:36 0.000172375 -176 *1752:15 *5727:20 0.000478691 -177 *1763:22 *5727:20 0.000136406 -178 *1764:11 *28051:B 1.32056e-05 -179 *1769:16 *5727:228 7.58075e-05 -180 *1769:16 *5727:243 5.035e-05 -181 *1778:16 *28024:B 0.000268922 -182 *1778:16 *5727:202 0.00126954 -183 *1808:11 *5727:8 2.26327e-05 -184 *1827:11 *28039:B 0.000743532 -185 *1827:31 *28039:B 0.00049707 -186 *1827:31 *5727:170 0.000427503 -187 *1828:42 *5727:252 0 -188 *1828:42 *5727:281 0 -189 *1844:44 *5727:281 3.28024e-05 -190 *1864:59 *5727:170 0.000267506 -191 *1868:31 *28039:B 0.000117994 -192 *1888:39 *28056:B 5.33005e-05 -193 *1888:39 *5727:228 6.8646e-06 -194 *1899:39 *28039:B 0.000153037 -195 *1899:42 *28039:B 2.90504e-05 -196 *2874:85 *5727:281 0.000143161 -197 *3184:178 *5727:281 5.21937e-05 -198 *3196:119 *5727:243 0.00034994 -199 *3457:10 *5727:252 0 -200 *3561:57 *5727:8 3.10885e-05 -201 *3561:57 *5727:20 1.94879e-05 -202 *3570:50 *28053:B 5.33005e-05 -203 *3570:50 *5727:243 0.000743562 -204 *3594:7 *5727:243 4.87953e-05 -205 *3685:22 *5727:281 0.000256457 -206 *3697:57 *5727:281 0.000253163 -207 *3698:40 *5727:281 9.69119e-06 -208 *3710:28 *5727:170 6.91215e-06 -209 *3710:38 *28039:B 0.000712791 -210 *3710:38 *5727:170 0 -211 *3711:34 *5727:217 4.75152e-06 -212 *3711:34 *5727:228 0.000365373 -213 *3830:36 *5727:217 0.000107222 -214 *3867:24 *5727:217 0.00038907 -215 *3897:32 *5727:281 1.72319e-05 -216 *4116:36 *5727:281 0.000136682 -217 *4154:27 *5727:20 0 -218 *4160:38 *5727:20 0.00137119 -219 *4160:57 *5727:20 0.000280633 -220 *4161:41 *5727:8 0.000426985 -221 *4163:95 *5727:243 0.000158894 -222 *4164:29 *28050:B 8.16036e-05 -223 *4164:29 *5727:92 0.00011915 -224 *4164:55 *28058:B 6.37237e-05 -225 *4183:78 *5727:36 2.61337e-05 -226 *4187:10 *5727:36 1.3142e-05 -227 *4187:18 *5727:36 3.15832e-05 -228 *4189:40 *5727:20 0.000104976 -229 *4192:28 *5727:20 0.000126134 -230 *4192:35 *5727:20 1.32023e-05 -231 *4192:79 *5727:45 0.00016345 -232 *4193:7 *5727:163 5.52238e-05 -233 *4194:8 *5727:8 0.000153315 -234 *4194:10 *5727:8 0.000265799 -235 *4194:12 *5727:8 9.73014e-05 -236 *4213:15 *28057:B 5.33005e-05 -237 *4646:13 *5727:170 0.000160365 -238 *5007:16 *5727:36 0.000431857 -239 *5007:16 *5727:217 0.000135051 -240 *5007:31 *5727:228 0 -241 *5291:29 *5727:36 0.000218564 -242 *5355:10 *5727:170 0.000224583 -243 *5357:16 *5727:36 0.00035525 -244 *5458:14 *28039:B 6.45381e-05 -245 *5472:7 *5727:92 0.00015732 -246 *5474:9 *5727:45 5.30455e-05 -247 *5542:12 *5727:36 0.000640252 -248 *5542:12 *5727:45 2.15363e-05 -249 *5583:11 *5727:243 1.69961e-05 -250 *5583:11 *5727:252 0.000550655 -251 *5614:100 *5727:281 0.000257619 -252 *5657:88 *5727:281 3.468e-05 -253 *5666:138 *28024:B 9.85008e-05 -*RES -1 *29011:X *5727:8 29.7107 -2 *5727:8 *5727:20 32.8562 -3 *5727:20 *5727:36 48.1339 -4 *5727:36 *5727:38 3.61607 -5 *5727:38 *5727:45 23.5 -6 *5727:45 *5727:46 3.46429 -7 *5727:46 *28038:B 17.5321 -8 *5727:46 *28051:B 14.3357 -9 *5727:45 *5727:73 11.8571 -10 *5727:73 *5727:79 6.69643 -11 *5727:79 *28050:B 16.2821 -12 *5727:79 *5727:92 11.4821 -13 *5727:92 *5727:95 6.75 -14 *5727:95 *28057:B 14.3357 -15 *5727:95 *5727:104 2.55357 -16 *5727:104 *28035:B 15.175 -17 *5727:104 *5727:115 2.25 -18 *5727:115 *28054:B 18.7464 -19 *5727:115 *28058:B 17.6214 -20 *5727:92 *28036:B 9.3 -21 *5727:73 *28052:B 9.3 -22 *5727:38 *28023:B 13.8 -23 *5727:20 *5727:163 12.1607 -24 *5727:163 *5727:170 23.9643 -25 *5727:170 *28039:B 49.8357 -26 *5727:170 *5727:190 5.03571 -27 *5727:190 *28041:B 9.3 -28 *5727:190 *5727:202 24.6607 -29 *5727:202 *5727:217 27.5714 -30 *5727:217 *28056:B 14.3357 -31 *5727:217 *5727:228 9.48214 -32 *5727:228 *28053:B 9.83571 -33 *5727:228 *5727:243 26.2679 -34 *5727:243 *28040:B 9.3 -35 *5727:243 *5727:252 12.8214 -36 *5727:252 *28055:B 15.5679 -37 *5727:252 *5727:281 49.9643 -38 *5727:281 *28059:B 10.2464 -39 *5727:202 *28024:B 15.1036 -40 *5727:163 *28065:B 9.3 -41 *5727:8 *28048:B 19.6929 -*END - -*D_NET *5728 0.0765055 -*CONN -*I *28042:B I *D sky130_fd_sc_hd__and2_1 -*I *28063:B I *D sky130_fd_sc_hd__and2_1 -*I *28061:B I *D sky130_fd_sc_hd__and2_1 -*I *28044:B I *D sky130_fd_sc_hd__and2_1 -*I *28045:B I *D sky130_fd_sc_hd__and2_1 -*I *28043:B I *D sky130_fd_sc_hd__and2_1 -*I *28062:B I *D sky130_fd_sc_hd__and2_1 -*I *28064:B I *D sky130_fd_sc_hd__and2_1 -*I *29011:A I *D sky130_fd_sc_hd__buf_4 -*I *25554:S I *D sky130_fd_sc_hd__mux2_1 -*I *28049:B I *D sky130_fd_sc_hd__and2_1 -*I *28047:B I *D sky130_fd_sc_hd__and2_1 -*I *28022:B I *D sky130_fd_sc_hd__and2_1 -*I *28060:B I *D sky130_fd_sc_hd__and2_1 -*I *28034:B I *D sky130_fd_sc_hd__and2_1 -*I *28032:B I *D sky130_fd_sc_hd__and2_1 -*I *28037:B I *D sky130_fd_sc_hd__and2_1 -*I *28033:B I *D sky130_fd_sc_hd__and2_1 -*I *28046:B I *D sky130_fd_sc_hd__and2_1 -*I *29012:X O *D sky130_fd_sc_hd__buf_4 -*CAP -1 *28042:B 0.000435523 -2 *28063:B 0.000665817 -3 *28061:B 5.4805e-05 -4 *28044:B 0 -5 *28045:B 6.15273e-05 -6 *28043:B 0.000552034 -7 *28062:B 0 -8 *28064:B 0.00143515 -9 *29011:A 0 -10 *25554:S 2.63474e-05 -11 *28049:B 7.8172e-05 -12 *28047:B 0.00115354 -13 *28022:B 0.000218063 -14 *28060:B 0 -15 *28034:B 0 -16 *28032:B 0 -17 *28037:B 0.000104246 -18 *28033:B 0.000222661 -19 *28046:B 0 -20 *29012:X 0 -21 *5728:296 0.00138827 -22 *5728:286 0.000724418 -23 *5728:205 0.00265622 -24 *5728:172 0.00247793 -25 *5728:151 0.00196628 -26 *5728:137 0.00250197 -27 *5728:101 0.00048002 -28 *5728:93 0.00021708 -29 *5728:90 0.00022259 -30 *5728:88 0.00107268 -31 *5728:72 0.00307362 -32 *5728:64 0.00554583 -33 *5728:53 0.00335492 -34 *5728:36 0.00349686 -35 *5728:27 0.00141405 -36 *5728:12 0.00136736 -37 *5728:10 0.00137848 -38 *5728:5 0.00131224 -39 *5728:4 0.000523678 -40 *28022:B *28022:A 1.90303e-05 -41 *28033:B *28033:A 0.000103184 -42 *28033:B *5739:50 2.13965e-05 -43 *28033:B *5739:52 5.41794e-05 -44 *28033:B *5739:69 2.8046e-05 -45 *28037:B *28037:A 5.52302e-05 -46 *28042:B *28308:D 0 -47 *28042:B *29012:A 0.00043032 -48 *28042:B *5742:268 5.52302e-05 -49 *28043:B *5742:213 0 -50 *28045:B *5742:207 1.92905e-05 -51 *28047:B *28047:A 0.000175892 -52 *28049:B *28049:A 9.58689e-05 -53 *28061:B *28061:A 3.41517e-06 -54 *28061:B *5742:282 2.59355e-05 -55 *28063:B *6169:107 4.25652e-05 -56 *28064:B *28064:A 0.000388655 -57 *5728:5 *29012:A 0.00014183 -58 *5728:10 *5742:213 6.7848e-05 -59 *5728:10 *5742:275 0.000225307 -60 *5728:10 *5836:131 0 -61 *5728:10 *5836:135 0 -62 *5728:12 *5742:213 3.34295e-05 -63 *5728:12 *5836:135 0 -64 *5728:27 *28062:A 0.00030361 -65 *5728:27 *5836:135 0 -66 *5728:36 *5899:20 0.000136949 -67 *5728:88 *25569:A0 0.000540701 -68 *5728:88 *28046:A 7.7285e-05 -69 *5728:88 *5742:51 0.000262504 -70 *5728:88 *6169:144 0 -71 *5728:90 *28034:A 5.33005e-05 -72 *5728:90 *28060:A 5.33005e-05 -73 *5728:90 *5742:51 4.08637e-05 -74 *5728:93 *25582:B 6.47912e-05 -75 *5728:93 *28034:A 1.12323e-05 -76 *5728:93 *5739:38 0.000161858 -77 *5728:101 *25582:B 3.07555e-05 -78 *5728:101 *28032:A 5.52302e-05 -79 *5728:101 *5739:38 2.41865e-05 -80 *5728:101 *5739:50 3.9576e-05 -81 *5728:137 *5742:102 0.000115107 -82 *5728:151 *28164:RESET_B 4.58194e-05 -83 *5728:151 *5742:102 0.000246837 -84 *5728:296 *5742:282 0.000108535 -85 *25285:A0 *28064:B 1.65169e-05 -86 *25285:A1 *28064:B 2.59355e-05 -87 *25285:S *28064:B 0.000153292 -88 *25286:A0 *28064:B 0.000184246 -89 *25422:B *28033:B 0.000223118 -90 *25422:B *5728:101 0.00013372 -91 *25424:B *28033:B 6.05161e-06 -92 *25427:B *5728:101 5.5671e-05 -93 *25429:A3 *5728:172 1.24368e-05 -94 *25446:A1 *5728:27 0.000139907 -95 *25466:A2 *5728:137 3.53443e-05 -96 *25467:A1 *5728:137 0.000497416 -97 *25467:A1 *5728:151 1.63806e-05 -98 *25473:C1 *5728:72 2.30218e-05 -99 *25473:C1 *5728:88 4.98055e-06 -100 *25478:A *5728:93 3.34366e-05 -101 *25493:A1 *5728:101 9.80405e-05 -102 *25652:B *5728:151 0.00173763 -103 *25674:A1 *5728:151 0.000175892 -104 *25794:A0 *5728:10 0 -105 *25794:S *5728:10 0 -106 *25805:S *5728:36 9.30876e-05 -107 *25808:A0 *5728:27 0.000281707 -108 *25809:A1 *28063:B 5.01213e-05 -109 *26266:B *5728:296 0.000333046 -110 *26266:D *5728:296 7.61504e-05 -111 *27563:A1 *28047:B 0.000425678 -112 *28054:B *28037:B 4.77348e-05 -113 *28058:B *5728:101 4.06087e-07 -114 *28079:RESET_B *5728:64 1.88878e-05 -115 *28079:RESET_B *5728:90 4.88631e-05 -116 *28085:RESET_B *25554:S 6.46173e-05 -117 *28087:RESET_B *28042:B 5.71472e-05 -118 *28088:RESET_B *28043:B 1.56108e-05 -119 *28089:RESET_B *5728:286 5.33978e-05 -120 *28090:CLK *28045:B 0.000135028 -121 *28091:D *5728:27 0.000163297 -122 *28096:CLK *5728:72 0 -123 *28097:D *5728:88 0.000177321 -124 *28139:CLK *5728:205 0 -125 *28165:D *5728:53 0.000314195 -126 *28411:RESET_B *5728:27 5.52238e-05 -127 *28413:RESET_B *28064:B 0.000139913 -128 *28818:CLK *28063:B 5.49489e-05 -129 *28818:D *28063:B 1.74503e-05 -130 *28859:A *28047:B 0.000841298 -131 *29470:A *5728:36 2.08975e-05 -132 *29477:A *5728:27 9.41642e-05 -133 *30167:A *5728:205 4.49904e-05 -134 *30491:A *5728:53 0.000314909 -135 *30754:A *28033:B 4.32957e-05 -136 *30979:A *28063:B 0.000195381 -137 *373:12 *5728:10 0.000852149 -138 *373:12 *5728:12 0.000130925 -139 *373:12 *5728:27 0.000373875 -140 *373:12 *5728:286 0.000153054 -141 *373:12 *5728:296 0.00040352 -142 *374:18 *28022:B 0.000135028 -143 *389:8 *5728:88 0.000184504 -144 *399:18 *5728:53 0.000206004 -145 *400:14 *28047:B 0.000106142 -146 *407:10 *28037:B 9.60939e-05 -147 *407:10 *5728:101 9.16325e-06 -148 *408:20 *5728:205 0.00025461 -149 *414:22 *5728:296 1.21258e-05 -150 *623:22 *5728:137 2.43382e-05 -151 *1173:130 *28043:B 7.34822e-06 -152 *1251:29 *5728:72 1.84097e-05 -153 *1323:33 *5728:53 0.000785659 -154 *1358:25 *28064:B 0 -155 *1358:44 *5728:36 0.000479227 -156 *1393:165 *5728:36 0.000129012 -157 *1393:165 *5728:53 0.000221282 -158 *1427:168 *28043:B 0 -159 *1440:56 *5728:151 2.82349e-05 -160 *1440:56 *5728:172 1.8818e-05 -161 *1472:11 *5728:137 8.58907e-06 -162 *1472:11 *5728:172 0.000158257 -163 *1545:48 *5728:205 0.000258594 -164 *1603:76 *5728:64 0.000171986 -165 *1665:29 *5728:5 1.21289e-05 -166 *1665:29 *5728:10 4.35421e-05 -167 *1665:56 *28064:B 2.24646e-05 -168 *1665:63 *28064:B 0.00012401 -169 *1730:56 *5728:36 0.000278059 -170 *1730:56 *5728:53 0.000162116 -171 *1738:106 *5728:172 8.25843e-06 -172 *1744:25 *28043:B 5.7903e-06 -173 *1744:46 *5728:53 9.09539e-05 -174 *1750:15 *28043:B 5.7903e-06 -175 *1763:22 *5728:151 0.00198802 -176 *1766:24 *5728:137 0.00151878 -177 *1771:29 *5728:286 0 -178 *1771:29 *5728:296 0 -179 *1772:29 *5728:137 0.000292652 -180 *1830:23 *25554:S 6.69937e-05 -181 *1873:38 *28063:B 0.000107306 -182 *1873:40 *28063:B 7.95074e-05 -183 *1873:51 *28063:B 0.000153973 -184 *1874:68 *28064:B 6.43196e-05 -185 *1877:53 *5728:151 0.000107658 -186 *2197:8 *5728:296 0 -187 *2203:19 *5728:296 5.33433e-05 -188 *2208:7 *5728:296 0.000210732 -189 *2789:27 *5728:172 0.000105208 -190 *2789:27 *5728:205 0.000123175 -191 *2834:109 *5728:172 2.71873e-05 -192 *2834:142 *5728:172 0.000375084 -193 *2855:121 *28047:B 0.000160252 -194 *2874:101 *5728:205 9.74518e-05 -195 *2894:99 *5728:172 0.000103554 -196 *2894:99 *5728:205 0.000131412 -197 *3164:146 *5728:172 6.30931e-05 -198 *3216:142 *28047:B 4.81011e-05 -199 *3461:26 *28064:B 0.000222569 -200 *3558:71 *5728:64 0.00023377 -201 *3561:22 *28064:B 1.94879e-05 -202 *3564:14 *5728:10 0 -203 *3727:20 *5728:205 0.000137955 -204 *3739:81 *28047:B 2.83582e-05 -205 *3749:46 *5728:172 0 -206 *3749:105 *5728:205 8.94556e-05 -207 *3805:59 *5728:205 0.000103653 -208 *3830:36 *5728:151 0.00010663 -209 *3831:83 *5728:172 6.5254e-05 -210 *3882:47 *5728:205 0.000367155 -211 *3896:26 *5728:172 0.000259079 -212 *3896:56 *5728:205 0.00126464 -213 *3947:68 *28047:B 0.000318248 -214 *4064:12 *5728:172 0.000251762 -215 *4064:81 *5728:172 4.79453e-05 -216 *4103:18 *5728:172 0.00037865 -217 *4113:36 *5728:151 5.21937e-05 -218 *4116:36 *28049:B 0.000221641 -219 *4116:36 *5728:151 0.000230587 -220 *4142:26 *5728:205 0.000268126 -221 *4155:35 *5728:10 0.000147325 -222 *4156:22 *28043:B 0.000480343 -223 *4159:56 *5728:205 2.6519e-05 -224 *4161:41 *5728:53 5.86263e-05 -225 *4161:41 *5728:64 0.000262679 -226 *4162:12 *5728:53 0.000224511 -227 *4163:28 *5728:88 0.000190546 -228 *4163:28 *5728:90 0.000194122 -229 *4163:76 *5728:72 9.09604e-05 -230 *4163:76 *5728:88 5.88342e-05 -231 *4164:24 *5728:88 2.61635e-05 -232 *4164:55 *5728:101 0.000224583 -233 *4166:7 *5728:64 2.84109e-05 -234 *4170:20 *5728:53 0.000245284 -235 *4170:20 *5728:64 1.01057e-05 -236 *4175:10 *28064:B 2.09826e-05 -237 *4189:41 *28042:B 0 -238 *4192:168 *5728:151 7.36557e-05 -239 *4194:32 *5728:137 4.926e-05 -240 *4194:51 *5728:137 0.000146531 -241 *4195:36 *5728:172 7.31195e-06 -242 *5076:19 *28047:B 1.58163e-05 -243 *5076:19 *5728:205 0.000125724 -244 *5237:29 *5728:53 0.000174579 -245 *5248:11 *5728:27 0.000180764 -246 *5291:29 *5728:137 0.000923763 -247 *5291:29 *5728:151 0.000236296 -248 *5356:11 *28063:B 0.000912929 -249 *5412:27 *5728:137 0.000768797 -250 *5467:8 *28033:B 5.68977e-06 -251 *5467:8 *5728:101 5.41797e-06 -252 *5467:14 *5728:72 3.14569e-05 -253 *5542:41 *5728:36 2.31796e-05 -254 *5568:5 *28063:B 0.00063361 -255 *5568:9 *28063:B 0.000266057 -256 *5578:19 *28064:B 0.000173274 -257 *5666:101 *5728:172 4.65519e-05 -258 *5706:38 *5728:205 0.000500448 -259 *5727:8 *5728:53 9.60939e-05 -*RES -1 *29012:X *5728:4 9.3 -2 *5728:4 *5728:5 1.76786 -3 *5728:5 *5728:10 30.5714 -4 *5728:10 *5728:12 3.61607 -5 *5728:12 *5728:27 21.2411 -6 *5728:27 *5728:36 15.6284 -7 *5728:36 *5728:53 24.6057 -8 *5728:53 *5728:64 17.4992 -9 *5728:64 *5728:72 10.6606 -10 *5728:72 *28046:B 13.8 -11 *5728:72 *5728:88 24.625 -12 *5728:88 *5728:90 3.82143 -13 *5728:90 *5728:93 6.75 -14 *5728:93 *5728:101 13.8571 -15 *5728:101 *28033:B 18.8536 -16 *5728:101 *28037:B 15.9964 -17 *5728:93 *28032:B 13.8 -18 *5728:90 *28034:B 9.3 -19 *5728:88 *28060:B 9.3 -20 *5728:64 *5728:137 8.03323 -21 *5728:137 *5728:151 18.2789 -22 *5728:151 *5728:172 37.4431 -23 *5728:172 *28022:B 17.2286 -24 *5728:172 *5728:205 42.7948 -25 *5728:205 *28047:B 37.7107 -26 *5728:151 *28049:B 11.4786 -27 *5728:137 *25554:S 18.0939 -28 *5728:53 *29011:A 9.3 -29 *5728:36 *28064:B 49.8574 -30 *5728:27 *28062:B 9.3 -31 *5728:12 *28043:B 23.1338 -32 *5728:10 *28045:B 15.1571 -33 *5728:5 *5728:286 6.44643 -34 *5728:286 *28044:B 13.8 -35 *5728:286 *5728:296 20.5893 -36 *5728:296 *28061:B 10.2643 -37 *5728:296 *28063:B 39.4429 -38 *5728:4 *28042:B 26.2286 -*END - -*D_NET *5729 0.117606 -*CONN -*I *29012:A I *D sky130_fd_sc_hd__buf_4 -*I *25694:A2 I *D sky130_fd_sc_hd__o221a_4 -*I *25558:S I *D sky130_fd_sc_hd__mux2_8 -*I *25500:B1 I *D sky130_fd_sc_hd__o21a_1 -*I *28031:B I *D sky130_fd_sc_hd__and2_1 -*I *25677:A2 I *D sky130_fd_sc_hd__o221a_4 -*I *25735:C I *D sky130_fd_sc_hd__or4_4 -*I *25711:A2 I *D sky130_fd_sc_hd__o221a_4 -*I *26865:C I *D sky130_fd_sc_hd__or4_4 -*I *25676:A_N I *D sky130_fd_sc_hd__and2b_4 -*I *28030:B I *D sky130_fd_sc_hd__and2_1 -*I *28029:B I *D sky130_fd_sc_hd__and2_1 -*I *28028:B I *D sky130_fd_sc_hd__and2_1 -*I *28027:B I *D sky130_fd_sc_hd__and2_1 -*I *28025:B I *D sky130_fd_sc_hd__and2_1 -*I *28026:B I *D sky130_fd_sc_hd__and2_1 -*I *25501:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *25566:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *25497:B I *D sky130_fd_sc_hd__and2_1 -*I *25744:C I *D sky130_fd_sc_hd__or4_4 -*I *29013:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *29012:A 0.00163554 -2 *25694:A2 0.00243102 -3 *25558:S 0.000217245 -4 *25500:B1 0 -5 *28031:B 0 -6 *25677:A2 0 -7 *25735:C 0 -8 *25711:A2 0.000202087 -9 *26865:C 8.93041e-05 -10 *25676:A_N 0 -11 *28030:B 3.58421e-05 -12 *28029:B 1.99857e-05 -13 *28028:B 1.99857e-05 -14 *28027:B 2.84356e-05 -15 *28025:B 0.000207487 -16 *28026:B 0.000101607 -17 *25501:B1 0.000639761 -18 *25566:B1 0 -19 *25497:B 7.03335e-05 -20 *25744:C 0.000239664 -21 *29013:X 0.000165502 -22 *5729:301 0.00448275 -23 *5729:295 0.00418669 -24 *5729:276 0.00336156 -25 *5729:252 0.00237231 -26 *5729:249 0.00208914 -27 *5729:242 0.00290145 -28 *5729:198 0.000493784 -29 *5729:193 0.000451616 -30 *5729:188 0.000967369 -31 *5729:179 0.000748891 -32 *5729:176 0.0017705 -33 *5729:163 0.00241938 -34 *5729:145 0.00144008 -35 *5729:110 0.000304872 -36 *5729:97 0.000228468 -37 *5729:95 0.000269686 -38 *5729:93 0.000477694 -39 *5729:92 0.00211483 -40 *5729:83 0.00127181 -41 *5729:67 0.00124409 -42 *5729:60 0.00075752 -43 *5729:41 0.00129271 -44 *5729:37 0.00113892 -45 *5729:35 0.0014339 -46 *5729:34 0.00222132 -47 *5729:14 0.00122518 -48 *5729:9 0.00127819 -49 *25497:B *25497:A 3.32731e-05 -50 *25497:B *5778:122 5.33005e-05 -51 *25501:B1 *25804:A 0.000533203 -52 *25711:A2 *5799:27 0.00034011 -53 *25744:C *25084:A 1.51489e-05 -54 *25744:C *5891:33 0.000219711 -55 *26865:C *26865:D 0.000144427 -56 *28025:B *28025:A 0.000100077 -57 *28025:B *5763:5 5.33005e-05 -58 *28026:B *28026:A 0.000188882 -59 *28027:B *5763:5 4.08637e-05 -60 *28028:B *28028:A 1.04232e-05 -61 *28029:B *28029:A 1.04232e-05 -62 *28030:B *28030:A 1.04232e-05 -63 *29012:A *24961:A 9.90367e-05 -64 *29012:A *25863:A1 0.000610058 -65 *5729:9 *5848:82 2.06178e-05 -66 *5729:35 *5833:175 0.000170993 -67 *5729:41 *25781:A1 0.000201136 -68 *5729:41 *5778:113 1.05603e-05 -69 *5729:41 *5778:122 0.000170848 -70 *5729:41 *5798:12 0 -71 *5729:41 *5833:175 2.42516e-05 -72 *5729:60 *5798:12 0 -73 *5729:60 *5878:14 0.000193125 -74 *5729:67 *5878:14 0.00147915 -75 *5729:83 *5878:14 0.000198691 -76 *5729:92 *25813:A 2.37761e-05 -77 *5729:92 *27972:A 0.000351389 -78 *5729:92 *5779:99 0.000268521 -79 *5729:92 *5779:108 0.000103267 -80 *5729:92 *5779:119 0.000320031 -81 *5729:92 *5779:132 7.83659e-05 -82 *5729:92 *5779:143 0.000405031 -83 *5729:92 *5779:157 0.000358394 -84 *5729:92 *5798:12 9.13939e-05 -85 *5729:93 *5763:21 6.57914e-05 -86 *5729:93 *5763:45 0.000114665 -87 *5729:95 *5763:21 2.89114e-05 -88 *5729:95 *5763:23 0.000201714 -89 *5729:97 *28026:A 2.14658e-05 -90 *5729:97 *5763:23 2.59355e-05 -91 *5729:145 *28506:SET_B 1.92789e-05 -92 *5729:145 *5763:45 0.00040758 -93 *5729:163 *28506:SET_B 5.04705e-05 -94 *5729:163 *5763:79 6.40951e-05 -95 *5729:163 *5778:142 0.000871024 -96 *5729:163 *5935:30 0 -97 *5729:176 *5763:79 0.00104321 -98 *5729:176 *6258:12 0.000116627 -99 *5729:188 *5777:94 4.02956e-05 -100 *5729:193 *5914:43 0.000134834 -101 *5729:198 *25735:D 0.000496483 -102 *5729:198 *26865:D 2.24646e-05 -103 *5729:198 *5914:43 1.79387e-05 -104 *5729:301 *24961:A 0.00145452 -105 *5729:301 *5823:58 0.00126062 -106 *5729:301 *5890:124 1.02936e-05 -107 *25074:A *25694:A2 0.000185006 -108 *25074:B *25694:A2 8.93791e-05 -109 *25144:B1 *5729:35 6.99913e-05 -110 *25144:B2 *5729:35 0.000682231 -111 *25210:B2 *5729:276 0.000136676 -112 *25245:A2 *5729:34 0.000285413 -113 *25303:A *25694:A2 2.59355e-05 -114 *25303:C *25694:A2 0.000181634 -115 *25313:A *25694:A2 6.17864e-05 -116 *25313:B *25694:A2 0.000216755 -117 *25368:C1 *5729:35 4.10253e-05 -118 *25500:A1 *25501:B1 0.000232047 -119 *25500:A1 *5729:83 0.00012401 -120 *25500:A2 *5729:83 3.4879e-05 -121 *25535:A1 *5729:92 0 -122 *25558:A0 *25558:S 4.0342e-06 -123 *25676:B *5729:188 2.42516e-05 -124 *25677:A1 *5729:193 6.86792e-05 -125 *25694:A1 *25694:A2 5.75764e-05 -126 *25711:A1 *25711:A2 2.59355e-05 -127 *25744:B *25744:C 6.33936e-05 -128 *25778:A0 *5729:60 6.62983e-05 -129 *25860:A0 *29012:A 0.000533981 -130 *25861:A1 *5729:301 3.69697e-05 -131 *25925:S *5729:35 0.000143797 -132 *26155:B1 *5729:110 0 -133 *26865:B *26865:C 9.90143e-06 -134 *26920:S *5729:176 0.000235734 -135 *27658:A2 *5729:176 0.000273349 -136 *27925:C1 *5729:252 0.000109933 -137 *27926:B1 *5729:276 6.90381e-06 -138 *27933:B1 *5729:252 1.72244e-05 -139 *27933:B1 *5729:276 0 -140 *27941:A1 *5729:276 1.763e-05 -141 *27941:A2 *5729:276 0.000166352 -142 *27946:B2 *5729:295 2.07362e-05 -143 *27948:B1 *5729:295 0.000127359 -144 *27964:C1 *5729:252 1.94879e-05 -145 *28042:B *29012:A 0.00043032 -146 *28070:RESET_B *28025:B 0.000185403 -147 *28071:RESET_B *28026:B 0.000105163 -148 *28071:RESET_B *5729:110 0.000148753 -149 *28073:RESET_B *5729:95 5.62995e-05 -150 *28074:RESET_B *5729:93 0.000340405 -151 *28075:RESET_B *5729:145 9.90367e-05 -152 *28075:RESET_B *5729:163 9.90431e-05 -153 *28076:RESET_B *5729:176 5.52238e-05 -154 *28315:D *5729:92 0 -155 *28354:CLK *5729:67 0.000934997 -156 *28360:D *5729:35 4.43142e-05 -157 *28364:D *5729:35 0.000308994 -158 *28506:D *5729:163 3.57163e-05 -159 *28579:CLK *5729:35 0.00113632 -160 *28743:D *5729:176 0.000107648 -161 *28850:A *25497:B 0.000164325 -162 *29207:A *5729:179 5.52238e-05 -163 *29207:A *5729:188 0.00109156 -164 *29333:A *5729:163 4.03318e-05 -165 *29558:A *5729:93 0.000668604 -166 *29558:A *5729:145 0.000128409 -167 *29900:A *29012:A 0.000538689 -168 *30480:A *29012:A 5.71472e-05 -169 *510:26 *5729:276 0.000135242 -170 *1174:37 *5729:83 9.99644e-06 -171 *1174:51 *5729:92 7.75022e-05 -172 *1263:28 *5729:249 0.00017655 -173 *1287:11 *29012:A 0.000318264 -174 *1287:97 *29012:A 0.000272371 -175 *1287:109 *5729:295 0.00033325 -176 *1327:28 *5729:9 0.000215271 -177 *1327:28 *5729:14 0.000134453 -178 *1360:72 *5729:249 6.60633e-06 -179 *1361:68 *25711:A2 0.000107289 -180 *1395:8 *25694:A2 4.85033e-05 -181 *1405:73 *5729:35 0.00010283 -182 *1447:15 *5729:34 6.57032e-05 -183 *1472:25 *5729:295 0.002749 -184 *1603:15 *5729:276 0.000135028 -185 *1647:46 *5729:252 0.000493512 -186 *1665:28 *5729:295 0.000137954 -187 *1665:29 *29012:A 0.000688881 -188 *1677:26 *5729:67 0.000236976 -189 *1685:40 *5729:249 7.70689e-05 -190 *1730:19 *5729:252 0.000185907 -191 *1782:29 *5729:92 0 -192 *1844:16 *25694:A2 5.33005e-05 -193 *1844:26 *25694:A2 2.66855e-05 -194 *1853:19 *25711:A2 5.33005e-05 -195 *1863:7 *5729:193 1.58163e-05 -196 *1864:17 *5729:242 0.000213888 -197 *1880:18 *5729:110 0.000198548 -198 *1883:29 *5729:83 0 -199 *1883:31 *5729:83 0.00018077 -200 *1896:8 *5729:35 5.66157e-05 -201 *1896:32 *5729:35 1.25477e-05 -202 *2763:219 *5729:176 2.51343e-06 -203 *2767:188 *5729:176 0.000231634 -204 *2773:155 *5729:35 0 -205 *2792:26 *5729:188 2.09826e-05 -206 *3153:98 *5729:252 8.41284e-06 -207 *3153:98 *5729:276 0.000882192 -208 *3170:83 *5729:276 0.000668684 -209 *3174:102 *5729:252 0.00362222 -210 *3176:175 *5729:276 7.71153e-06 -211 *3182:122 *5729:276 0.000284529 -212 *3205:83 *5729:276 0.000313788 -213 *3216:184 *5729:301 1.21258e-05 -214 *3437:14 *5729:242 0.0020304 -215 *3437:14 *5729:249 0.00146427 -216 *3484:31 *5729:242 0.000383214 -217 *3567:33 *5729:67 0.000132477 -218 *3567:33 *5729:83 2.41224e-05 -219 *3567:33 *5729:92 0.00109359 -220 *3592:50 *5729:60 0.000243152 -221 *3592:50 *5729:67 0.000187026 -222 *3593:27 *5729:35 0.00031588 -223 *3663:73 *5729:276 0.00078938 -224 *3724:91 *5729:242 0.000342576 -225 *3762:30 *5729:34 0.00123066 -226 *3762:30 *5729:242 0.000201996 -227 *3776:42 *5729:34 0.00132295 -228 *3830:51 *5729:301 0.000188886 -229 *3857:49 *5729:276 6.90381e-06 -230 *3947:45 *5729:301 2.89967e-05 -231 *3953:6 *5729:176 0.000243107 -232 *3975:31 *5729:295 8.30045e-05 -233 *3998:13 *5729:188 5.33005e-05 -234 *3998:17 *5729:188 0.000233528 -235 *3998:17 *5729:193 0.000380859 -236 *4012:39 *5729:34 0.00033631 -237 *4012:39 *5729:242 0.003027 -238 *4012:39 *5729:249 0.00144692 -239 *4012:39 *5729:252 0.000197779 -240 *4014:9 *5729:35 1.02504e-05 -241 *4014:24 *5729:9 2.06178e-05 -242 *4066:46 *5729:295 3.76274e-05 -243 *4078:8 *5729:41 7.17696e-05 -244 *4078:10 *5729:41 1.76135e-05 -245 *4083:20 *5729:198 1.41029e-05 -246 *4087:61 *5729:60 0.000101078 -247 *4102:32 *5729:176 0.00105995 -248 *4189:72 *25501:B1 1.3701e-05 -249 *4350:116 *5729:176 2.87555e-06 -250 *5196:7 *5729:41 5.52238e-05 -251 *5196:8 *5729:35 0 -252 *5284:8 *5729:145 0.000185643 -253 *5457:22 *5729:242 0 -254 *5545:30 *5729:301 0 -255 *5583:37 *25694:A2 0.000120124 -256 *5584:163 *26865:C 0.00014291 -257 *5584:163 *5729:198 0.000546978 -258 *5613:22 *5729:34 0.000102503 -259 *5613:22 *5729:242 4.50385e-05 -260 *5640:90 *5729:14 0.000282207 -261 *5640:90 *5729:34 7.56271e-05 -262 *5640:90 *5729:35 6.82083e-05 -263 *5641:96 *5729:242 4.8581e-05 -264 *5641:111 *5729:35 0.000978713 -265 *5643:92 *5729:295 0.0012597 -266 *5646:182 *5729:14 0.000321745 -267 *5646:182 *5729:34 0.000524639 -268 *5646:182 *5729:35 0.000798039 -269 *5646:182 *5729:242 0.00018978 -270 *5646:195 *5729:35 5.68977e-06 -271 *5651:156 *5729:252 0.000143188 -272 *5653:70 *5729:252 0.0023134 -273 *5666:262 *25694:A2 0.000292721 -274 *5669:19 *5729:35 0.000764627 -275 *5672:8 *5729:92 0.000210198 -276 *5672:10 *5729:92 0.000244087 -277 *5672:27 *5729:92 0.000265385 -278 *5697:11 *25497:B 5.33005e-05 -279 *5697:11 *5729:41 6.8646e-06 -280 *5697:11 *5729:60 2.8266e-06 -281 *5709:32 *5729:176 6.57032e-05 -282 *5715:32 *5729:176 0.000473146 -283 *5715:83 *5729:35 0.000181926 -284 *5715:95 *5729:35 0.000763757 -285 *5721:9 *5729:301 0.000811024 -286 *5725:24 *5729:188 0.000149047 -287 *5725:24 *5729:193 0.000382581 -288 *5728:5 *29012:A 0.00014183 -*RES -1 *29013:X *5729:9 17.8581 -2 *5729:9 *5729:14 7.86038 -3 *5729:14 *25744:C 16.8848 -4 *5729:14 *5729:34 43.3214 -5 *5729:34 *5729:35 51.4286 -6 *5729:35 *5729:37 4.5 -7 *5729:37 *5729:41 19.7679 -8 *5729:41 *25497:B 16.1214 -9 *5729:41 *5729:60 16.1786 -10 *5729:60 *25566:B1 13.8 -11 *5729:60 *5729:67 18.9464 -12 *5729:67 *25501:B1 25.8536 -13 *5729:67 *5729:83 9.25 -14 *5729:83 *5729:92 44.0893 -15 *5729:92 *5729:93 9.57143 -16 *5729:93 *5729:95 4.23214 -17 *5729:95 *5729:97 0.946429 -18 *5729:97 *28026:B 12.7286 -19 *5729:97 *5729:110 11.5536 -20 *5729:110 *28025:B 13.8179 -21 *5729:110 *28027:B 9.83571 -22 *5729:95 *28028:B 9.72857 -23 *5729:93 *28029:B 9.72857 -24 *5729:92 *5729:145 14.1071 -25 *5729:145 *28030:B 10.0321 -26 *5729:145 *5729:163 23.25 -27 *5729:163 *5729:176 48.5893 -28 *5729:176 *5729:179 5.03571 -29 *5729:179 *25676:A_N 9.3 -30 *5729:179 *5729:188 19.2857 -31 *5729:188 *5729:193 12.2366 -32 *5729:193 *5729:198 11.9777 -33 *5729:198 *26865:C 16.0768 -34 *5729:198 *25711:A2 18.4429 -35 *5729:193 *25735:C 9.3 -36 *5729:188 *25677:A2 13.8 -37 *5729:163 *28031:B 9.3 -38 *5729:83 *25500:B1 9.3 -39 *5729:37 *25558:S 2.95536 -40 *5729:9 *5729:242 9.74247 -41 *5729:242 *5729:249 10.2557 -42 *5729:249 *5729:252 49.0357 -43 *5729:252 *5729:276 45.6485 -44 *5729:276 *25694:A2 39.1571 -45 *5729:242 *5729:295 17.1307 -46 *5729:295 *5729:301 47.8214 -47 *5729:301 *29012:A 44.2464 -*END - -*D_NET *5730 0.0323757 -*CONN -*I *28420:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28419:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28422:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28421:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28424:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28366:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28151:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28150:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28152:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28153:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28367:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28423:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28225:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28226:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28228:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28227:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28417:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28416:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28415:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28418:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *29014:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *28420:RESET_B 0.000276263 -2 *28419:SET_B 0 -3 *28422:RESET_B 0.000117501 -4 *28421:RESET_B 0.000130826 -5 *28424:RESET_B 0.000138158 -6 *28366:RESET_B 0.000295361 -7 *28151:RESET_B 0.000210422 -8 *28150:RESET_B 0 -9 *28152:SET_B 2.57878e-05 -10 *28153:RESET_B 2.88345e-05 -11 *28367:SET_B 0 -12 *28423:SET_B 0 -13 *28225:RESET_B 0.000688727 -14 *28226:RESET_B 0.00012538 -15 *28228:SET_B 1.31406e-05 -16 *28227:RESET_B 0 -17 *28417:RESET_B 6.83557e-05 -18 *28416:SET_B 0 -19 *28415:RESET_B 0 -20 *28418:RESET_B 0.000194309 -21 *29014:X 0.00106191 -22 *5730:142 0.000412253 -23 *5730:133 0.000584851 -24 *5730:130 0.000707686 -25 *5730:121 0.000270526 -26 *5730:115 0.000811766 -27 *5730:105 0.00079762 -28 *5730:102 0.000958838 -29 *5730:94 0.00115664 -30 *5730:87 0.000503675 -31 *5730:84 0.000709177 -32 *5730:60 0.000978215 -33 *5730:49 0.000263015 -34 *5730:43 0.000538486 -35 *5730:32 0.000606079 -36 *5730:26 0.000292257 -37 *5730:14 0.000268402 -38 *5730:13 0.000281346 -39 *5730:11 0.00148623 -40 *28417:RESET_B *26823:A0 0.000350068 -41 *5730:11 *5778:219 0.00123639 -42 *5730:43 *5738:8 9.85571e-05 -43 pll_sel[0] *28424:RESET_B 5.86416e-05 -44 pll_sel[0] *5730:105 0 -45 pll_sel[0] *5730:115 0.000466582 -46 pll_sel[0] *5730:121 0.000163858 -47 pll_sel[1] *28422:RESET_B 5.25816e-05 -48 pll_sel[1] *28424:RESET_B 9.69072e-05 -49 *25359:B1 *28417:RESET_B 9.90298e-05 -50 *25616:B *28420:RESET_B 0.000108077 -51 *25616:B *5730:84 0.000208747 -52 *25618:S *28420:RESET_B 9.05161e-05 -53 *25661:A1 *28151:RESET_B 0.000262217 -54 *25760:S *28225:RESET_B 0.000206928 -55 *25763:S *28225:RESET_B 0.00011333 -56 *25919:A1 *28153:RESET_B 4.50675e-05 -57 *26814:A0 *5730:11 7.69776e-06 -58 *28154:CLK *5730:133 0.00021023 -59 *28154:D *28152:SET_B 2.51837e-05 -60 *28154:D *5730:133 0.000178874 -61 *28365:D *5730:142 0 -62 *28417:CLK *28417:RESET_B 6.05161e-06 -63 *28417:D *28417:RESET_B 6.22384e-05 -64 *28422:D *5730:94 5.52238e-05 -65 *29175:A *5730:11 0.000427123 -66 *29175:A *5730:84 0.000123548 -67 *29175:A *5730:115 1.90936e-05 -68 *244:20 *28421:RESET_B 2.29097e-05 -69 *244:20 *28424:RESET_B 4.58194e-05 -70 *244:20 *5730:94 7.32173e-05 -71 *244:20 *5730:102 0.000345589 -72 *502:18 *28226:RESET_B 2.24079e-05 -73 *699:22 *28151:RESET_B 2.33059e-05 -74 *701:29 *5730:11 0.000247518 -75 *1471:56 *5730:115 7.59992e-05 -76 *1616:46 *5730:43 0.000134271 -77 *1671:52 *28417:RESET_B 6.93704e-06 -78 *1831:26 *28151:RESET_B 2.57472e-05 -79 *3652:15 *28424:RESET_B 8.27532e-05 -80 *3652:15 *5730:102 9.60939e-05 -81 *3652:26 *28422:RESET_B 9.23759e-05 -82 *3652:31 *5730:94 3.10885e-05 -83 *3652:38 *28420:RESET_B 0 -84 *3652:38 *5730:87 1.1594e-05 -85 *3652:38 *5730:94 0.000334023 -86 *3652:97 *5730:142 3.00174e-05 -87 *3652:136 *5730:133 0.00014285 -88 *3791:36 *5730:115 0.000114038 -89 *3791:36 *5730:121 7.75109e-05 -90 *3791:75 *28366:RESET_B 0.000600017 -91 *3791:75 *5730:121 0.000285601 -92 *3791:75 *5730:130 0.000114323 -93 *3792:33 *28225:RESET_B 0.000313241 -94 *3792:33 *28226:RESET_B 0.000181796 -95 *3792:51 *28226:RESET_B 6.72353e-05 -96 *3884:24 *5730:43 2.8034e-05 -97 *4276:17 *28228:SET_B 4.85631e-05 -98 *4307:14 *5730:11 2.49067e-05 -99 *4317:16 *28420:RESET_B 1.00887e-05 -100 *4332:25 *28420:RESET_B 0.000174896 -101 *4337:16 *28418:RESET_B 5.20298e-05 -102 *4337:16 *5730:11 0.000492728 -103 *4337:16 *5730:14 1.1594e-05 -104 *4910:17 *5730:11 0.000803823 -105 *4944:18 *5730:11 0.000376438 -106 *4944:29 *5730:14 3.65793e-05 -107 *4944:29 *5730:26 4.13264e-05 -108 *5014:24 *5730:11 0.000430667 -109 *5015:14 *28424:RESET_B 0.00012222 -110 *5015:14 *5730:105 1.94945e-05 -111 *5079:20 *28228:SET_B 2.51935e-05 -112 *5160:22 *5730:11 0 -113 *5203:27 *5730:11 0.000436649 -114 *5204:15 *5730:11 3.08404e-05 -115 *5204:15 *5730:84 4.06135e-05 -116 *5303:9 *28153:RESET_B 8.94203e-05 -117 *5485:32 *28420:RESET_B 0.000122739 -118 *5485:32 *5730:84 0.00035115 -119 *5487:10 *28418:RESET_B 0 -120 *5488:11 *28420:RESET_B 5.58875e-06 -121 *5489:41 *28366:RESET_B 0.000115218 -122 *5489:41 *5730:121 9.34691e-05 -123 *5489:41 *5730:130 6.02454e-05 -124 *5490:11 *28420:RESET_B 1.01912e-05 -125 *5490:11 *28422:RESET_B 0.000114847 -126 *5490:11 *5730:94 0 -127 *5490:39 *28420:RESET_B 0.000101251 -128 *5493:14 *28151:RESET_B 2.92155e-05 -129 *5493:14 *5730:102 9.90367e-05 -130 *5493:14 *5730:142 0.000112282 -131 *5495:57 *5730:105 0.000254655 -132 *5495:57 *5730:115 0.000254108 -133 *5518:33 *5730:94 0 -134 *5592:104 *28424:RESET_B 0.000177059 -135 *5665:127 *28225:RESET_B 2.69437e-06 -136 *5665:127 *5730:26 5.03922e-05 -137 *5665:127 *5730:32 2.96109e-05 -138 *5665:127 *5730:43 0.000110788 -139 *5665:127 *5730:49 4.92935e-05 -140 *5665:127 *5730:60 0.000112982 -141 *5665:131 *5730:11 0.000442852 -142 *5665:131 *5730:84 0.000136623 -143 *5665:164 *5730:84 0.000394231 -144 *5685:151 *28151:RESET_B 0.00030911 -145 *5685:173 *28418:RESET_B 7.64101e-05 -146 *5685:173 *5730:14 3.59097e-05 -147 *5685:173 *5730:26 0.000127579 -148 *5685:173 *5730:32 4.88385e-05 -149 *5685:173 *5730:43 4.44749e-05 -150 *5685:183 *5730:60 1.21317e-05 -151 *5685:218 *28366:RESET_B 0.000371335 -152 *5698:114 *28151:RESET_B 5.20817e-05 -153 *5698:114 *5730:142 0 -154 *5710:86 *28225:RESET_B 3.42147e-05 -155 *5710:92 *28225:RESET_B 0.00066585 -156 *5710:103 *28225:RESET_B 0.000168998 -157 *5710:103 *28226:RESET_B 5.03772e-05 -158 *5710:103 *5730:43 0.000341745 -159 *5710:103 *5730:49 4.69971e-05 -160 *5710:103 *5730:60 8.99094e-05 -*RES -1 *29014:X *5730:11 28.1167 -2 *5730:11 *5730:13 3.41 -3 *5730:13 *5730:14 1.49107 -4 *5730:14 *28418:RESET_B 7.66071 -5 *5730:14 *28415:RESET_B 4.5 -6 *5730:13 *5730:26 3.91964 -7 *5730:26 *28416:SET_B 4.5 -8 *5730:26 *5730:32 1.64286 -9 *5730:32 *28417:RESET_B 7.84821 -10 *5730:32 *5730:43 8.85714 -11 *5730:43 *28227:RESET_B 4.5 -12 *5730:43 *5730:49 1.64286 -13 *5730:49 *28228:SET_B 4.97321 -14 *5730:49 *5730:60 7.96429 -15 *5730:60 *28226:RESET_B 2.9375 -16 *5730:60 *28225:RESET_B 24.0179 -17 *5730:11 *5730:84 1.68887 -18 *5730:84 *5730:87 3.68679 -19 *5730:87 *5730:94 12.1964 -20 *5730:94 *5730:102 10.1429 -21 *5730:102 *5730:105 7.96429 -22 *5730:105 *28423:SET_B 4.5 -23 *5730:105 *5730:115 11.3214 -24 *5730:115 *28367:SET_B 4.5 -25 *5730:115 *5730:121 4.98214 -26 *5730:121 *28153:RESET_B 5.38393 -27 *5730:121 *5730:130 1.64286 -28 *5730:130 *5730:133 11.1964 -29 *5730:133 *28152:SET_B 0.473214 -30 *5730:133 *5730:142 7.66071 -31 *5730:142 *28150:RESET_B 4.5 -32 *5730:142 *28151:RESET_B 14.1744 -33 *5730:130 *28366:RESET_B 12.8214 -34 *5730:102 *28424:RESET_B 13.2946 -35 *5730:94 *28421:RESET_B 0.473214 -36 *28421:RESET_B *28422:RESET_B 11.5268 -37 *5730:87 *28419:SET_B 4.5 -38 *5730:84 *28420:RESET_B 13.2631 -*END - -*D_NET *5731 0.0184869 -*CONN -*I *28369:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28832:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28716:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28285:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28714:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28287:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28229:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28284:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28833:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28836:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28835:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28834:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *29015:X O *D sky130_fd_sc_hd__buf_4 -*CAP -1 *28369:RESET_B 0.000430178 -2 *28832:RESET_B 0.000582544 -3 *28716:SET_B 2.95955e-05 -4 *28285:RESET_B 0.000188142 -5 *28714:RESET_B 0.000137809 -6 *28287:RESET_B 0 -7 *28229:SET_B 0.000488081 -8 *28284:RESET_B 3.13107e-05 -9 *28833:RESET_B 0 -10 *28836:RESET_B 6.83662e-05 -11 *28835:RESET_B 0.000282273 -12 *28834:SET_B 0 -13 *29015:X 6.63726e-05 -14 *5731:85 0.000510782 -15 *5731:64 0.00075869 -16 *5731:62 0.000306133 -17 *5731:61 0.000873412 -18 *5731:57 0.0014061 -19 *5731:48 0.000860829 -20 *5731:20 0.000964848 -21 *5731:14 0.000904645 -22 *5731:8 0.000481684 -23 *5731:5 0.000516055 -24 *28229:SET_B *29015:A 4.85631e-05 -25 *28229:SET_B *5778:31 0 -26 *5731:62 *5778:31 0 -27 *5731:64 *5778:31 0 -28 *5731:85 *5778:31 0 -29 *25267:B2 *5731:14 6.98916e-05 -30 *25267:B2 *5731:48 0.000195771 -31 *25267:B2 *5731:57 0.000345219 -32 *25658:B *28835:RESET_B 0.000171376 -33 *25658:B *5731:20 8.78259e-05 -34 *25759:A *28229:SET_B 0.000135727 -35 *28017:A1 *5731:20 0.000479652 -36 *28021:A1 *28835:RESET_B 5.41054e-05 -37 *28369:CLK *28369:RESET_B 7.32272e-05 -38 *28369:D *28369:RESET_B 9.66868e-05 -39 *28714:CLK *28714:RESET_B 0.000146533 -40 *28714:D *28714:RESET_B 0.000111551 -41 *28716:CLK *5731:61 0.000284038 -42 *28716:D *28716:SET_B 1.10042e-05 -43 *28716:D *5731:57 0.000116783 -44 *28716:D *5731:61 6.29184e-05 -45 *29356:A *28836:RESET_B 4.27935e-05 -46 *29356:A *5731:20 0.000148293 -47 *30570:A *28229:SET_B 5.7616e-05 -48 *30571:A *5731:14 1.07401e-05 -49 *460:33 *28369:RESET_B 7.02611e-05 -50 *504:19 *5731:5 6.09773e-05 -51 *1395:84 *28835:RESET_B 9.09013e-05 -52 *1427:8 *28229:SET_B 0.000309572 -53 *1427:8 *28285:RESET_B 0.000181209 -54 *1427:8 *5731:62 4.66203e-05 -55 *1427:8 *5731:64 0.000189588 -56 *1427:8 *5731:85 0.000144079 -57 *1430:29 *5731:8 0 -58 *1430:29 *5731:14 0 -59 *1430:43 *28714:RESET_B 0.000604872 -60 *1600:17 *28835:RESET_B 0.000222666 -61 *1831:18 *5731:8 5.60382e-05 -62 *3791:75 *28835:RESET_B 7.45854e-05 -63 *3791:75 *28836:RESET_B 2.68799e-05 -64 *3791:75 *5731:20 5.88516e-05 -65 *3793:25 *28369:RESET_B 0.000657001 -66 *3804:58 *5731:14 0.000146858 -67 *3804:58 *5731:48 0.000203801 -68 *3804:58 *5731:57 0.000357204 -69 *3893:52 *28369:RESET_B 0.00022901 -70 *3918:41 *28835:RESET_B 0.00022287 -71 *3973:5 *5731:57 4.17413e-05 -72 *4131:29 *28285:RESET_B 0.000128214 -73 *4257:15 *28229:SET_B 1.03859e-05 -74 *4801:19 *28832:RESET_B 9.264e-05 -75 *4801:19 *5731:5 1.15281e-05 -76 *5041:23 *28832:RESET_B 0.000473446 -77 *5041:23 *5731:5 0.00022459 -78 *5041:54 *28229:SET_B 2.81497e-05 -79 *5126:11 *28836:RESET_B 8.94268e-05 -80 *5490:39 *5731:8 0.000164763 -81 *5490:39 *5731:14 8.52777e-05 -82 *5493:14 *28369:RESET_B 0.000103417 -83 *5665:96 *5731:57 0.000219711 -84 *5691:269 *28835:RESET_B 0.000135247 -85 *5698:87 *5731:61 1.49615e-05 -86 *5705:227 *28714:RESET_B 3.39935e-05 -87 *5711:39 *5731:57 3.73732e-05 -*RES -1 *29015:X *5731:5 11.4786 -2 *5731:5 *5731:8 9.48214 -3 *5731:8 *28834:SET_B 4.5 -4 *5731:8 *5731:14 3.76786 -5 *5731:14 *5731:20 17.7054 -6 *5731:20 *28835:RESET_B 21.8214 -7 *5731:20 *28836:RESET_B 6.19643 -8 *5731:14 *5731:48 2.85714 -9 *5731:48 *28833:RESET_B 4.5 -10 *5731:48 *5731:57 17.2679 -11 *5731:57 *5731:61 12.6786 -12 *5731:61 *5731:62 1.33929 -13 *5731:62 *5731:64 4.98214 -14 *5731:64 *28284:RESET_B 5.03571 -15 *5731:64 *28229:SET_B 14.8125 -16 *5731:62 *28287:RESET_B 4.5 -17 *5731:61 *5731:85 3.76786 -18 *5731:85 *28714:RESET_B 10.3125 -19 *5731:85 *28285:RESET_B 9.17857 -20 *5731:57 *28716:SET_B 0.651786 -21 *5731:5 *28832:RESET_B 4.58036 -22 *28832:RESET_B *28369:RESET_B 20.5804 -*END - -*D_NET *5732 0.0289639 -*CONN -*I *28141:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28236:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28140:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28386:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28388:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28039:A I *D sky130_fd_sc_hd__and2_1 -*I *28385:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28198:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28199:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28023:A I *D sky130_fd_sc_hd__and2_1 -*I *28038:A I *D sky130_fd_sc_hd__and2_1 -*I *28050:A I *D sky130_fd_sc_hd__and2_1 -*I *28201:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28130:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28132:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28235:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28184:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28218:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28212:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28178:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *29016:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *28141:RESET_B 9.19192e-05 -2 *28236:RESET_B 3.99586e-06 -3 *28140:RESET_B 0.000243033 -4 *28386:RESET_B 0.000365906 -5 *28388:RESET_B 0 -6 *28039:A 0.000141235 -7 *28385:RESET_B 0.000440528 -8 *28198:RESET_B 0.000171537 -9 *28199:RESET_B 0.00020277 -10 *28023:A 0.000128131 -11 *28038:A 0 -12 *28050:A 0.000493493 -13 *28201:RESET_B 1.73255e-05 -14 *28130:RESET_B 1.31175e-05 -15 *28132:SET_B 0.000106562 -16 *28235:RESET_B 0 -17 *28184:RESET_B 0.000209063 -18 *28218:RESET_B 6.08846e-05 -19 *28212:RESET_B 3.4472e-05 -20 *28178:RESET_B 0.000159654 -21 *29016:X 0 -22 *5732:195 0.000651868 -23 *5732:171 0.000363744 -24 *5732:168 0.000769815 -25 *5732:158 0.000670283 -26 *5732:138 0.000818914 -27 *5732:114 0.000783121 -28 *5732:109 0.00216926 -29 *5732:92 0.00144344 -30 *5732:89 0.000208338 -31 *5732:82 0.000255122 -32 *5732:68 0.000757112 -33 *5732:59 0.00059892 -34 *5732:56 0.000525631 -35 *5732:48 0.000505778 -36 *5732:36 0.000389291 -37 *5732:18 0.000266556 -38 *5732:17 0.000488454 -39 *5732:13 0.000892505 -40 *5732:4 0.000782822 -41 *28050:A *28051:A 8.3065e-05 -42 *28050:A *6125:21 0.000634235 -43 *28050:A *6169:148 0 -44 *28130:RESET_B *25547:A1 5.83233e-05 -45 *28141:RESET_B *5733:117 0.000128456 -46 *28178:RESET_B *6014:20 0 -47 *28198:RESET_B *6103:34 4.27935e-05 -48 *28199:RESET_B *6036:13 5.83242e-05 -49 *5732:13 *29016:A 9.60875e-05 -50 *5732:36 *6092:18 0 -51 *5732:82 *25547:A1 0.000173804 -52 *5732:89 *25547:A1 0.000343217 -53 *5732:158 *25547:A1 0.000268101 -54 *25419:A *28050:A 0.000184522 -55 *25419:A *5732:114 0.000203375 -56 *25465:A2 *5732:138 0.000262285 -57 *25648:A0 *5732:13 3.68799e-05 -58 *25648:S *5732:13 0.000268403 -59 *25695:S *5732:36 0.000719698 -60 *25695:S *5732:48 0.000213635 -61 *25696:S *28178:RESET_B 0 -62 *25696:S *5732:18 0 -63 *25730:A1 *28023:A 0.000519483 -64 *28038:B *5732:114 5.71472e-05 -65 *28084:D *28199:RESET_B 0.000116676 -66 *28084:D *5732:138 5.80706e-06 -67 *28084:RESET_B *28039:A 0.00042519 -68 *28084:RESET_B *28385:RESET_B 0.000116749 -69 *28084:RESET_B *5732:171 7.77751e-05 -70 *28130:D *5732:68 0.000120636 -71 *28130:D *5732:82 3.14048e-05 -72 *28140:CLK *28140:RESET_B 7.32955e-05 -73 *28140:CLK *5732:56 0.000170955 -74 *28140:D *28140:RESET_B 0.000121339 -75 *28198:D *5732:109 2.24763e-05 -76 *28199:CLK *28199:RESET_B 0.000258786 -77 *28199:D *28199:RESET_B 0.000192527 -78 *28199:D *5732:138 6.7848e-05 -79 *28235:D *5732:68 0 -80 *28236:D *28236:RESET_B 2.81497e-05 -81 *28236:D *5732:13 0.000162936 -82 *28236:D *5732:17 0.000105163 -83 *28385:D *5732:168 0.0005027 -84 *28386:CLK *28386:RESET_B 6.26774e-05 -85 *28387:CLK *28386:RESET_B 0.000137983 -86 *28885:A *28386:RESET_B 0.000108304 -87 *29190:A *5732:68 0.000225616 -88 *29190:A *5732:82 3.34366e-05 -89 *29281:A *28023:A 0.000123861 -90 *29282:A *28023:A 0.000271345 -91 *29297:A *5732:109 0.000255058 -92 *29393:A *5732:68 0 -93 *29399:A *5732:168 0.000101753 -94 *29599:A *28212:RESET_B 9.23695e-05 -95 *29619:A *5732:109 0.00025955 -96 *721:24 *28386:RESET_B 0.000175512 -97 *721:24 *5732:195 0.000180361 -98 *1287:60 *5732:89 0.000308753 -99 *1287:60 *5732:158 0.000258851 -100 *1323:24 *5732:109 0 -101 *1323:24 *5732:138 0 -102 *1827:11 *28386:RESET_B 0 -103 *1827:11 *5732:168 0 -104 *1827:11 *5732:195 0 -105 *1868:21 *5732:68 0 -106 *1876:8 *28141:RESET_B 5.54506e-05 -107 *3568:59 *28178:RESET_B 0 -108 *3568:59 *5732:13 0 -109 *3584:32 *28198:RESET_B 4.12921e-05 -110 *3584:32 *5732:168 1.65169e-05 -111 *3654:63 *28178:RESET_B 4.96155e-05 -112 *3654:78 *28178:RESET_B 0.000226021 -113 *3654:78 *5732:18 0.00012401 -114 *3654:78 *5732:36 3.34366e-05 -115 *3654:85 *5732:36 0.000738471 -116 *3654:85 *5732:48 5.66157e-05 -117 *3654:88 *28218:RESET_B 4.27935e-05 -118 *3654:110 *5732:48 0.000166269 -119 *3654:137 *28130:RESET_B 5.83233e-05 -120 *3840:10 *28039:A 0.000265447 -121 *3840:10 *28385:RESET_B 0 -122 *3841:8 *28386:RESET_B 9.80173e-05 -123 *3841:13 *28386:RESET_B 9.99644e-06 -124 *3856:13 *5732:68 0.000236898 -125 *3856:13 *5732:82 0 -126 *4164:29 *28050:A 0.000220455 -127 *4184:8 *28199:RESET_B 1.78353e-05 -128 *4184:12 *5732:109 0 -129 *4184:12 *5732:138 0 -130 *4202:8 *28178:RESET_B 9.42929e-05 -131 *4208:5 *28198:RESET_B 0.000131765 -132 *4210:5 *28201:RESET_B 9.51514e-06 -133 *4210:5 *5732:92 1.07719e-05 -134 *4210:5 *5732:109 1.56177e-05 -135 *4213:7 *28184:RESET_B 0.000128809 -136 *4213:15 *28050:A 4.06782e-05 -137 *5691:341 *28141:RESET_B 0.000226021 -138 *5705:26 *5732:13 7.6644e-05 -*RES -1 *29016:X *5732:4 9.3 -2 *5732:4 *5732:13 20.25 -3 *5732:13 *5732:17 7.91071 -4 *5732:17 *5732:18 1.64286 -5 *5732:18 *28178:RESET_B 8.84821 -6 *5732:18 *28212:RESET_B 5.38393 -7 *5732:17 *5732:36 9.83929 -8 *5732:36 *28218:RESET_B 5.38393 -9 *5732:36 *5732:48 8.08929 -10 *5732:48 *28184:RESET_B 2.9375 -11 *5732:48 *5732:56 3.41071 -12 *5732:56 *5732:59 6.14286 -13 *5732:59 *28235:RESET_B 4.5 -14 *5732:59 *5732:68 15.4107 -15 *5732:68 *28132:SET_B 1.29464 -16 *5732:68 *5732:82 16.6964 -17 *5732:82 *28130:RESET_B 5.23214 -18 *5732:82 *5732:89 4.375 -19 *5732:89 *5732:92 5.03571 -20 *5732:92 *28201:RESET_B 0.473214 -21 *5732:92 *5732:109 25.7143 -22 *5732:109 *5732:114 10.3929 -23 *5732:114 *28050:A 31.1571 -24 *5732:114 *28038:A 9.3 -25 *5732:109 *5732:138 8.89286 -26 *5732:138 *28023:A 18.8536 -27 *5732:138 *28199:RESET_B 10.1875 -28 *5732:89 *5732:158 7.96429 -29 *5732:158 *28198:RESET_B 2.9375 -30 *5732:158 *5732:168 13.5893 -31 *5732:168 *5732:171 5.85714 -32 *5732:171 *28385:RESET_B 4.50893 -33 *28385:RESET_B *28039:A 13.4696 -34 *5732:168 *5732:195 5.51786 -35 *5732:195 *28388:RESET_B 4.5 -36 *5732:195 *28386:RESET_B 12.4196 -37 *5732:56 *28140:RESET_B 4.58036 -38 *5732:13 *28236:RESET_B 0.473214 -39 *5732:4 *28141:RESET_B 12.3304 -*END - -*D_NET *5733 0.0401808 -*CONN -*I *28387:SET_B I *D sky130_fd_sc_hd__dfstp_4 -*I *28290:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28289:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28162:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28160:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28161:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28142:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *29016:A I *D sky130_fd_sc_hd__buf_6 -*I *28288:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28286:SET_B I *D sky130_fd_sc_hd__dfstp_4 -*I *29015:A I *D sky130_fd_sc_hd__buf_4 -*I *28435:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *29014:A I *D sky130_fd_sc_hd__buf_6 -*I *25568:B I *D sky130_fd_sc_hd__nor2_1 -*I *25570:B I *D sky130_fd_sc_hd__nor2_1 -*I *29017:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *28387:SET_B 0.000154952 -2 *28290:RESET_B 5.03915e-05 -3 *28289:RESET_B 0.000202946 -4 *28162:SET_B 0 -5 *28160:RESET_B 4.51157e-05 -6 *28161:RESET_B 0 -7 *28142:SET_B 7.39415e-05 -8 *29016:A 2.46213e-05 -9 *28288:RESET_B 1.31618e-05 -10 *28286:SET_B 0 -11 *29015:A 0.00166043 -12 *28435:RESET_B 0.000318762 -13 *29014:A 0 -14 *25568:B 0 -15 *25570:B 0.00110544 -16 *29017:X 0 -17 *5733:172 0.000333888 -18 *5733:163 0.000608684 -19 *5733:157 0.000456061 -20 *5733:145 0.000503623 -21 *5733:137 0.000863006 -22 *5733:126 0.00101587 -23 *5733:117 0.00107813 -24 *5733:96 0.0010991 -25 *5733:90 0.000758738 -26 *5733:72 0.00346984 -27 *5733:48 0.00259414 -28 *5733:36 0.00114683 -29 *5733:13 0.0016417 -30 *5733:4 0.000849381 -31 *28387:SET_B *5778:39 3.48139e-05 -32 *5733:13 *5778:219 0.000188586 -33 *5733:13 *6169:159 0.00014183 -34 *5733:13 *6169:165 0.000170385 -35 *5733:13 *6169:171 0.000125547 -36 *5733:36 *5778:219 0.000487045 -37 *5733:48 *6014:19 4.98422e-05 -38 *5733:48 *6313:45 2.65196e-05 -39 *5733:117 *25833:A0 0.000221843 -40 *5733:137 *5778:39 0 -41 *5733:145 *5778:39 0 -42 *5733:157 *5778:39 0.000109131 -43 *5733:163 *5778:39 0.000249331 -44 *5733:172 *5778:39 0.000153182 -45 *25559:A0 *5733:90 0 -46 *25568:A *25570:B 5.71472e-05 -47 *25568:A *5733:13 5.0946e-05 -48 *25570:A *25570:B 0.00105608 -49 *25648:A0 *29016:A 9.60875e-05 -50 *25648:S *5733:126 0.000171809 -51 *25671:A1 *5733:145 0.000129868 -52 *25760:A0 *5733:90 2.65658e-05 -53 *28141:D *5733:117 0.000137234 -54 *28141:RESET_B *5733:117 0.000128456 -55 *28142:D *28142:SET_B 7.32272e-05 -56 *28142:D *5733:126 0.000266099 -57 *28162:D *5733:157 0 -58 *28225:D *29015:A 9.59532e-06 -59 *28229:D *29015:A 1.02821e-05 -60 *28229:SET_B *29015:A 4.85631e-05 -61 *28284:D *29015:A 0.000136951 -62 *28288:CLK *28288:RESET_B 2.51837e-05 -63 *28289:CLK *28289:RESET_B 0.000139019 -64 *28289:D *28289:RESET_B 4.87235e-05 -65 *28290:D *28290:RESET_B 2.51935e-05 -66 *28368:D *5733:48 8.88295e-05 -67 *28387:D *28387:SET_B 0.000425253 -68 *28387:D *5733:172 0.000224592 -69 *28995:A *28387:SET_B 1.98839e-05 -70 *29137:A *25570:B 0.000205161 -71 *29180:A *29015:A 9.20825e-05 -72 *29221:A *5733:96 4.94455e-05 -73 *29221:A *5733:117 9.45762e-05 -74 *29228:A *25570:B 0.000133749 -75 *29304:A *25570:B 2.14757e-05 -76 *29304:A *5733:13 1.02936e-05 -77 *29359:A *29015:A 0.000100184 -78 *29389:A *5733:48 1.26641e-05 -79 *29391:A *5733:137 4.10408e-05 -80 *29993:A *5733:96 0.000338351 -81 *29993:A *5733:117 9.25014e-06 -82 *30054:A *25570:B 2.84494e-05 -83 *30055:A *5733:13 0.000355059 -84 *30087:A *5733:48 0.000385182 -85 *30087:A *5733:72 0 -86 *30094:A *5733:48 7.62954e-05 -87 *30912:A *25570:B 7.05143e-06 -88 *30912:A *5733:13 5.52302e-05 -89 *160:14 *25570:B 0 -90 *568:21 *29015:A 1.96203e-05 -91 *570:26 *5733:72 0 -92 *572:19 *29015:A 0.000111241 -93 *627:15 *29015:A 6.35864e-05 -94 *627:15 *5733:90 0.000779171 -95 *627:15 *5733:96 7.96048e-05 -96 *749:24 *5733:72 6.09762e-05 -97 *757:12 *5733:13 0.000271345 -98 *757:12 *5733:36 0.000475634 -99 *1323:17 *5733:117 0.000184745 -100 *1600:9 *5733:90 0.000101198 -101 *1829:50 *5733:137 0 -102 *1829:56 *5733:126 1.69115e-05 -103 *1829:56 *5733:137 1.09595e-05 -104 *1868:29 *5733:137 1.30669e-05 -105 *1868:31 *5733:137 0.000597156 -106 *1868:31 *5733:145 0.000540744 -107 *1868:31 *5733:157 0 -108 *1868:31 *5733:163 0 -109 *1868:31 *5733:172 0 -110 *1876:8 *5733:117 0.000148903 -111 *1876:8 *5733:126 0.000112323 -112 *1876:26 *5733:96 0.00012966 -113 *3568:59 *5733:72 0 -114 *3568:59 *5733:90 0 -115 *3568:59 *5733:96 0 -116 *3654:59 *5733:117 1.13406e-05 -117 *3710:38 *5733:163 0.000130902 -118 *3806:8 *29015:A 9.90367e-05 -119 *3830:15 *28387:SET_B 5.41794e-05 -120 *3892:18 *5733:72 0 -121 *3905:11 *5733:145 0 -122 *4240:33 *5733:48 4.98422e-05 -123 *4261:17 *5733:72 0.000400867 -124 *4298:8 *5733:13 0.000354466 -125 *4316:25 *5733:48 9.20725e-05 -126 *4317:16 *5733:48 1.90936e-05 -127 *4329:18 *5733:72 0.000159446 -128 *4332:24 *28435:RESET_B 0.000340187 -129 *4332:24 *5733:72 0.000487314 -130 *4337:16 *28435:RESET_B 0.000248853 -131 *4337:16 *5733:72 0.000202339 -132 *4382:17 *5733:48 0.000949818 -133 *4944:18 *5733:48 0 -134 *4951:17 *5733:72 2.61076e-05 -135 *5002:18 *29015:A 5.49489e-05 -136 *5041:54 *29015:A 0.000777864 -137 *5041:54 *5733:72 7.55804e-05 -138 *5052:46 *5733:90 8.88817e-05 -139 *5063:17 *28288:RESET_B 4.85567e-05 -140 *5069:46 *28435:RESET_B 4.45982e-06 -141 *5078:20 *5733:72 9.60337e-06 -142 *5094:20 *5733:48 0 -143 *5342:19 *28435:RESET_B 2.06112e-05 -144 *5342:19 *5733:72 9.46311e-05 -145 *5351:19 *5733:48 4.98422e-05 -146 *5442:23 *28142:SET_B 0.00018372 -147 *5458:24 *28289:RESET_B 0.000593081 -148 *5461:7 *28160:RESET_B 2.59355e-05 -149 *5461:7 *5733:157 9.92409e-05 -150 *5493:68 *25570:B 2.39942e-05 -151 *5498:34 *5733:36 9.24861e-05 -152 *5498:34 *5733:48 0.000394379 -153 *5519:25 *5733:13 2.61099e-05 -154 *5532:15 *5733:48 8.65561e-05 -155 *5691:341 *5733:117 1.08359e-05 -156 *5691:341 *5733:126 0.000913629 -157 *5691:341 *5733:137 0.00130857 -158 *5698:285 *5733:117 0 -159 *5698:310 *28160:RESET_B 1.58163e-05 -160 *5698:310 *5733:157 9.1025e-05 -161 *5705:14 *28387:SET_B 0.000213665 -162 *5732:13 *29016:A 9.60875e-05 -*RES -1 *29017:X *5733:4 9.3 -2 *5733:4 *5733:13 22.4643 -3 *5733:13 *25570:B 36.3714 -4 *5733:13 *25568:B 9.3 -5 *5733:4 *5733:36 12.3036 -6 *5733:36 *29014:A 13.8 -7 *5733:36 *5733:48 15.9429 -8 *5733:48 *28435:RESET_B 11.5625 -9 *5733:48 *5733:72 31.9732 -10 *5733:72 *29015:A 41.5994 -11 *5733:72 *5733:90 10.1429 -12 *5733:90 *28286:SET_B 4.5 -13 *5733:90 *5733:96 9.83929 -14 *5733:96 *28288:RESET_B 4.97321 -15 *5733:96 *5733:117 31.8571 -16 *5733:117 *29016:A 14.7464 -17 *5733:117 *5733:126 11.9643 -18 *5733:126 *28142:SET_B 6.63393 -19 *5733:126 *5733:137 17.7321 -20 *5733:137 *28161:RESET_B 4.5 -21 *5733:137 *5733:145 11.6071 -22 *5733:145 *28160:RESET_B 0.901786 -23 *5733:145 *5733:157 9.125 -24 *5733:157 *28162:SET_B 4.5 -25 *5733:157 *5733:163 6.5 -26 *5733:163 *28289:RESET_B 10.3125 -27 *5733:163 *5733:172 3.76786 -28 *5733:172 *28290:RESET_B 5.40179 -29 *5733:172 *28387:SET_B 9.91964 -*END - -*D_NET *5734 0.0282961 -*CONN -*I *28365:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28798:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28381:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28380:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28797:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28795:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28796:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28115:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28425:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28426:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28427:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28119:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28121:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28120:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28117:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28118:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28116:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28114:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28368:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28154:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *29018:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *28365:RESET_B 0 -2 *28798:RESET_B 2.51772e-05 -3 *28381:RESET_B 0.00010551 -4 *28380:RESET_B 0.000179976 -5 *28797:RESET_B 0.000319272 -6 *28795:RESET_B 2.34524e-05 -7 *28796:RESET_B 4.32047e-05 -8 *28115:SET_B 0.000512499 -9 *28425:RESET_B 8.00169e-05 -10 *28426:SET_B 0.000642274 -11 *28427:RESET_B 1.82288e-05 -12 *28119:SET_B 9.81672e-06 -13 *28121:SET_B 0.000338395 -14 *28120:SET_B 0.000279257 -15 *28117:SET_B 0.000271719 -16 *28118:SET_B 0 -17 *28116:SET_B 0.000117888 -18 *28114:SET_B 0.000483482 -19 *28368:RESET_B 0.000163693 -20 *28154:RESET_B 0.000225261 -21 *29018:X 0.000356802 -22 *5734:178 0.000705916 -23 *5734:175 0.000590726 -24 *5734:125 0.000976616 -25 *5734:117 0.000766824 -26 *5734:86 0.00087122 -27 *5734:83 0.000904052 -28 *5734:60 0.000352442 -29 *5734:53 0.00102052 -30 *5734:39 0.000498807 -31 *5734:37 0.000476786 -32 *5734:35 0.000436868 -33 *5734:33 0.000359096 -34 *5734:31 0.000630428 -35 *5734:13 0.000561194 -36 *5734:12 0.000697869 -37 *5734:8 0.000505139 -38 *28368:RESET_B *5738:37 0.000141113 -39 *28380:RESET_B *29577:A 0.000218685 -40 *28381:RESET_B *28119:D 0.000220448 -41 *28381:RESET_B *6055:23 0.000216304 -42 *28426:SET_B *5980:14 5.91872e-05 -43 *28795:RESET_B *5926:235 2.28783e-05 -44 *28796:RESET_B *29571:A 0.000178794 -45 *5734:83 *5737:10 0 -46 *5734:83 *5738:31 0.000534222 -47 *5734:178 *27593:A2 0.000386115 -48 *5734:178 *29577:A 5.36e-05 -49 pll90_sel[0] *28426:SET_B 0 -50 pll90_sel[1] *28426:SET_B 6.98794e-05 -51 pll90_sel[1] *5734:125 2.99108e-05 -52 pll90_sel[2] *28114:SET_B 0 -53 pll90_sel[2] *5734:39 0 -54 pll_trim[1] *28114:SET_B 2.61337e-05 -55 pll_trim[1] *5734:39 9.77024e-05 -56 pll_trim[3] *28117:SET_B 0.000375821 -57 pll_trim[3] *5734:60 0.000123811 -58 pll_trim[5] *5734:83 0 -59 pll_trim[6] *28120:SET_B 0 -60 pll_trim[8] *28121:SET_B 0 -61 *25178:A2 *5734:12 0 -62 *25178:A2 *5734:13 5.33005e-05 -63 *25178:A2 *5734:31 0.000186669 -64 *25178:B1 *5734:13 4.58194e-05 -65 *25178:B2 *28368:RESET_B 0.00012401 -66 *25620:A0 *28425:RESET_B 6.72353e-05 -67 *25620:A0 *5734:125 0.000445358 -68 *25756:A1 *28798:RESET_B 2.82057e-05 -69 *25756:A1 *5734:175 0.00010948 -70 *25920:S *28154:RESET_B 3.02581e-06 -71 *25938:S *28380:RESET_B 0.000170308 -72 *27528:A1 *5734:178 0.000101169 -73 *27550:S *5734:31 0 -74 *28114:CLK *5734:53 0.000578035 -75 *28116:D *5734:53 5.71472e-05 -76 *28117:D *28115:SET_B 0.000167873 -77 *28118:D *28117:SET_B 0 -78 *28118:D *5734:60 0 -79 *28368:CLK *28368:RESET_B 0.000117997 -80 *28796:D *5734:31 0.000162832 -81 *28796:D *5734:33 0.000114759 -82 *28796:D *5734:35 0.000356839 -83 *28796:D *5734:37 9.54798e-06 -84 *28797:D *28797:RESET_B 2.33546e-05 -85 *244:20 *28119:SET_B 2.51935e-05 -86 *244:20 *28121:SET_B 0.000132577 -87 *244:20 *28427:RESET_B 9.23695e-05 -88 *244:20 *5734:86 9.31946e-05 -89 *460:11 *28380:RESET_B 4.02038e-05 -90 *460:11 *5734:178 6.86693e-05 -91 *469:10 *5734:125 0.000388045 -92 *472:8 *28380:RESET_B 0.000195802 -93 *1498:13 *5734:31 0.00014285 -94 *1498:13 *5734:37 0 -95 *1498:13 *5734:39 0 -96 *1671:24 *28798:RESET_B 0 -97 *1671:24 *5734:175 0 -98 *1825:34 *28426:SET_B 9.90431e-05 -99 *1825:45 *28426:SET_B 0.000552904 -100 *1825:45 *5734:39 6.10952e-05 -101 *1825:55 *28796:RESET_B 2.49027e-05 -102 *1825:55 *5734:37 3.14247e-05 -103 *1825:55 *5734:39 1.0311e-05 -104 *1895:13 *28796:RESET_B 3.15815e-05 -105 *2745:75 *28797:RESET_B 0.00049266 -106 *3062:10 *5734:8 0.000258902 -107 *3571:60 *28795:RESET_B 9.23759e-05 -108 *3571:89 *28381:RESET_B 4.27935e-05 -109 *3571:89 *28797:RESET_B 0.000189404 -110 *3650:102 *5734:86 0 -111 *3650:106 *5734:53 9.71197e-05 -112 *3791:126 *28381:RESET_B 8.98691e-05 -113 *3791:126 *28797:RESET_B 0.000956004 -114 *3792:10 *5734:31 0.00011063 -115 *3792:11 *5734:13 8.43535e-06 -116 *3792:11 *5734:31 4.8843e-05 -117 *3792:33 *28154:RESET_B 2.51343e-06 -118 *3792:33 *5734:13 2.03842e-05 -119 *3792:75 *5734:31 2.95726e-05 -120 *3884:24 *28154:RESET_B 3.30417e-05 -121 *3918:83 *5734:8 0.000711607 -122 *3918:83 *5734:12 5.6852e-05 -123 *4282:17 *5734:8 2.84026e-05 -124 *4372:35 *28120:SET_B 0.000122303 -125 *4372:35 *28121:SET_B 0 -126 *4617:23 *28380:RESET_B 3.69047e-06 -127 *5011:20 *28115:SET_B 0 -128 *5011:20 *28117:SET_B 0 -129 *5204:15 *28115:SET_B 0 -130 *5235:22 *28117:SET_B 0 -131 *5483:18 *28368:RESET_B 1.02504e-05 -132 *5483:96 *28115:SET_B 0.000513132 -133 *5483:96 *5734:39 3.17576e-05 -134 *5483:96 *5734:125 5.33005e-05 -135 *5484:64 *28368:RESET_B 0 -136 *5485:8 *5734:35 0 -137 *5497:39 *28426:SET_B 0.00017177 -138 *5510:20 *28426:SET_B 7.6644e-05 -139 *5510:20 *28427:RESET_B 5.60007e-05 -140 *5510:34 *28426:SET_B 0.000147835 -141 *5510:34 *5734:12 0.000113126 -142 *5510:34 *5734:125 7.35637e-05 -143 *5510:87 *5734:8 0.000131533 -144 *5510:87 *5734:12 0.000156101 -145 *5518:19 *5734:83 0.000116039 -146 *5523:36 *28120:SET_B 8.65632e-05 -147 *5523:36 *5734:83 0.00010922 -148 *5592:104 *5734:53 0.000513609 -149 *5592:104 *5734:83 6.88375e-05 -150 *5599:193 *5734:178 0.000241482 -151 *5680:343 *28380:RESET_B 1.18064e-05 -*RES -1 *29018:X *5734:8 23.5679 -2 *5734:8 *5734:12 7.96429 -3 *5734:12 *5734:13 2.58929 -4 *5734:13 *28154:RESET_B 3.34821 -5 *5734:13 *28368:RESET_B 13.9821 -6 *5734:12 *5734:31 13.3036 -7 *5734:31 *5734:33 1.64286 -8 *5734:33 *5734:35 4.98214 -9 *5734:35 *5734:37 2.25 -10 *5734:37 *5734:39 5.89286 -11 *5734:39 *28114:SET_B 6.44643 -12 *28114:SET_B *5734:53 11.3125 -13 *5734:53 *28116:SET_B 0.669643 -14 *28116:SET_B *5734:60 6.14286 -15 *5734:60 *28118:SET_B 4.5 -16 *5734:60 *28117:SET_B 10.0179 -17 *5734:53 *5734:83 21.875 -18 *5734:83 *5734:86 3.42857 -19 *5734:86 *28120:SET_B 14.2857 -20 *5734:86 *28121:SET_B 13.75 -21 *5734:83 *28119:SET_B 0.473214 -22 *5734:39 *28427:RESET_B 5.38393 -23 *5734:37 *5734:117 4.5 -24 *5734:117 *5734:125 11.1429 -25 *5734:125 *28426:SET_B 24.8929 -26 *5734:125 *28425:RESET_B 5.79464 -27 *5734:117 *28115:SET_B 16.9107 -28 *5734:35 *28796:RESET_B 6.20536 -29 *5734:33 *28795:RESET_B 5.38393 -30 *5734:31 *28797:RESET_B 13.5982 -31 *28797:RESET_B *5734:175 7.35714 -32 *5734:175 *5734:178 14.0714 -33 *5734:178 *28380:RESET_B 14.1607 -34 *5734:178 *28381:RESET_B 12.7411 -35 *5734:175 *28798:RESET_B 5.23214 -36 *5734:8 *28365:RESET_B 4.5 -*END - -*D_NET *5735 0.0150029 -*CONN -*I *28375:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28358:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28355:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28356:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28223:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28383:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28224:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28220:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28222:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28221:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28357:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28359:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *29019:X O *D sky130_fd_sc_hd__buf_4 -*CAP -1 *28375:RESET_B 6.24712e-05 -2 *28358:RESET_B 9.43237e-05 -3 *28355:RESET_B 0.000227948 -4 *28356:RESET_B 0 -5 *28223:SET_B 0.000223949 -6 *28383:RESET_B 0.000189802 -7 *28224:SET_B 0.000311089 -8 *28220:RESET_B 0.000107286 -9 *28222:RESET_B 7.62076e-05 -10 *28221:RESET_B 0.000765171 -11 *28357:SET_B 0.000276296 -12 *28359:RESET_B 0.000587756 -13 *29019:X 0.000140537 -14 *5735:64 0.000711168 -15 *5735:51 0.000589318 -16 *5735:42 0.000366679 -17 *5735:29 0.000699231 -18 *5735:27 0.000515932 -19 *5735:18 0.000676511 -20 *5735:8 0.000305323 -21 *28223:SET_B *6324:17 8.30045e-05 -22 *28224:SET_B *28224:D 5.33005e-05 -23 *28359:RESET_B *6323:10 0.000294721 -24 *28383:RESET_B *28224:D 3.53079e-05 -25 *5735:8 *6323:10 9.1934e-05 -26 *5735:18 *28359:D 0.000114517 -27 *25132:B2 *28383:RESET_B 0.000177815 -28 *25755:A0 *28221:RESET_B 2.83849e-05 -29 *25756:A0 *28221:RESET_B 2.81497e-05 -30 *25756:A0 *5735:42 0.000246603 -31 *25758:S *5735:64 0.000326128 -32 *25906:A *5735:64 0.000119598 -33 *25906:B *5735:64 0.000105471 -34 *25907:S *28357:SET_B 0.00018372 -35 *25907:S *5735:18 6.67335e-05 -36 *25909:A0 *5735:8 0.000175892 -37 *26822:A1 *28222:RESET_B 0.000138399 -38 *26822:A1 *5735:51 0.000120329 -39 *27918:B1_N *28383:RESET_B 2.72654e-05 -40 *28220:CLK *28220:RESET_B 1.84523e-06 -41 *28220:D *28221:RESET_B 7.96919e-05 -42 *28358:D *28358:RESET_B 5.06576e-05 -43 *28358:D *5735:27 6.04865e-05 -44 *29243:A *28355:RESET_B 1.72894e-05 -45 *29996:A *28223:SET_B 0.000338198 -46 *471:12 *28223:SET_B 1.53191e-05 -47 *564:19 *28221:RESET_B 2.06112e-05 -48 *565:17 *28224:SET_B 2.53768e-05 -49 *565:17 *28383:RESET_B 0.000254356 -50 *565:17 *5735:42 0.000219711 -51 *716:16 *5735:42 8.40933e-05 -52 *1362:136 *28221:RESET_B 8.09146e-06 -53 *1362:136 *28358:RESET_B 4.15526e-05 -54 *1362:136 *5735:27 5.66776e-05 -55 *1362:136 *5735:29 4.18073e-05 -56 *1457:51 *5735:27 4.50843e-05 -57 *1471:37 *28223:SET_B 0.000373992 -58 *1600:19 *5735:18 7.34553e-05 -59 *1893:14 *5735:64 0.000350463 -60 *3460:21 *28383:RESET_B 9.49928e-05 -61 *3568:17 *28355:RESET_B 0.000426249 -62 *3568:17 *5735:27 0.000189178 -63 *3568:17 *5735:29 1.36251e-05 -64 *3571:53 *5735:51 1.43665e-05 -65 *3661:10 *28222:RESET_B 0.000138399 -66 *3661:10 *5735:51 0.000210275 -67 *3671:6 *5735:51 3.10819e-05 -68 *3671:75 *28359:RESET_B 0.000292299 -69 *3671:75 *5735:8 0.000102184 -70 *3672:8 *28221:RESET_B 0 -71 *3672:8 *28358:RESET_B 6.41099e-05 -72 *3672:21 *5735:8 0.000257619 -73 *3778:8 *5735:18 2.89114e-05 -74 *3788:8 *28355:RESET_B 3.10397e-05 -75 *3801:45 *28221:RESET_B 0 -76 *4282:17 *28221:RESET_B 0.000103685 -77 *4282:17 *5735:42 0.000515765 -78 *5041:23 *28220:RESET_B 0.000110795 -79 *5041:23 *5735:64 0.000278284 -80 *5158:11 *28383:RESET_B 4.08508e-05 -81 *5200:25 *28223:SET_B 0.000179357 -82 *5200:25 *28224:SET_B 0.000160394 -83 *5488:79 *28223:SET_B 5.44702e-05 -84 *5488:79 *28224:SET_B 0.000161912 -85 *5510:87 *28221:RESET_B 0 -86 *5510:87 *5735:29 0 -*RES -1 *29019:X *5735:8 17.7286 -2 *5735:8 *28359:RESET_B 8.26786 -3 *28359:RESET_B *5735:18 7.88393 -4 *5735:18 *28357:SET_B 2.13393 -5 *28357:SET_B *5735:27 9.48214 -6 *5735:27 *5735:29 1.94643 -7 *5735:29 *28221:RESET_B 13.6339 -8 *28221:RESET_B *5735:42 11.1339 -9 *5735:42 *28222:RESET_B 6.44643 -10 *5735:42 *5735:51 7.35714 -11 *5735:51 *28220:RESET_B 2.11607 -12 *5735:51 *5735:64 14.5179 -13 *5735:64 *28224:SET_B 7.65179 -14 *28224:SET_B *28383:RESET_B 13.7679 -15 *5735:64 *28223:SET_B 10.8125 -16 *5735:29 *28356:RESET_B 4.5 -17 *5735:27 *28355:RESET_B 9.49107 -18 *5735:18 *28358:RESET_B 10.9464 -19 *5735:8 *28375:RESET_B 5.40179 -*END - -*D_NET *5736 0.0221375 -*CONN -*I *25068:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30704:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 -*CAP -1 *25068:A1 0 -2 *30704:X 0.00171211 -3 *5736:24 0.00632534 -4 *5736:10 0.00803745 -5 *5736:10 *25741:S 0 -6 *5736:10 *27189:S 1.65181e-05 -7 *5736:10 *28986:A 0.000388096 -8 *5736:10 *5770:27 5.33334e-05 -9 *5736:10 *5824:159 0 -10 *5736:10 *5828:17 0 -11 *5736:10 *5893:10 0 -12 *5736:10 *5893:14 0 -13 *5736:10 *5912:67 0.000121573 -14 *5736:24 *27189:S 0.00019404 -15 *5736:24 *28760:D 5.71119e-05 -16 *5736:24 *5824:153 0 -17 mgmt_gpio_out[22] *5736:10 0 -18 *25741:A1 *5736:10 0 -19 *26985:S *5736:10 0.000192547 -20 *27196:S *5736:10 0 -21 *28548:CLK *5736:24 0.000384996 -22 *29329:A *5736:24 0.000656445 -23 *30160:A *5736:10 0.000552037 -24 *56:13 *5736:10 0 -25 *1256:173 *5736:24 0 -26 *1256:183 *5736:24 0.00133695 -27 *1286:101 *5736:24 6.2589e-06 -28 *1292:133 *5736:24 0 -29 *2791:275 *5736:24 0 -30 *2794:202 *5736:10 0 -31 *3924:18 *5736:10 3.56976e-05 -32 *3924:34 *5736:24 0.000248439 -33 *3924:38 *5736:24 0.000665011 -34 *3965:130 *5736:24 2.25235e-05 -35 *3978:130 *5736:24 0.000222271 -36 *4004:16 *5736:24 0.000169184 -37 *4032:10 *5736:24 3.81717e-05 -38 *4699:9 *5736:10 8.46617e-05 -39 *4699:9 *5736:24 7.01471e-05 -40 *4786:21 *5736:10 9.90367e-05 -41 *4928:13 *5736:10 0 -42 *5584:194 *5736:24 0.00031949 -43 *5588:45 *5736:10 0 -44 *5590:191 *5736:24 0.000128034 -*RES -1 *30704:X *5736:10 46.4696 -2 *5736:10 *5736:24 41.8489 -3 *5736:24 *25068:A1 9.3 -*END - -*D_NET *5737 0.0365658 -*CONN -*I *28110:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28101:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28392:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28100:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28102:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28099:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28808:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28390:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28098:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28108:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28107:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28109:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28382:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28394:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28384:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28812:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28810:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28811:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28809:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28106:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *29020:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *28110:RESET_B 0.000147343 -2 *28101:SET_B 0 -3 *28392:SET_B 0.000520842 -4 *28100:SET_B 0 -5 *28102:SET_B 5.95584e-05 -6 *28099:SET_B 7.81478e-05 -7 *28808:RESET_B 0.000436316 -8 *28390:RESET_B 0 -9 *28098:SET_B 0 -10 *28108:SET_B 0.000675132 -11 *28107:SET_B 3.38911e-05 -12 *28109:SET_B 9.43176e-06 -13 *28382:SET_B 7.54765e-05 -14 *28394:RESET_B 0.00072648 -15 *28384:RESET_B 0.00102188 -16 *28812:RESET_B 0 -17 *28810:RESET_B 0 -18 *28811:RESET_B 0.000232654 -19 *28809:RESET_B 5.62268e-05 -20 *28106:SET_B 0 -21 *29020:X 0.000964224 -22 *5737:207 0.000789917 -23 *5737:203 0.000713211 -24 *5737:191 0.000930905 -25 *5737:181 0.000928515 -26 *5737:164 0.000735945 -27 *5737:154 0.000801439 -28 *5737:138 0.000943939 -29 *5737:128 0.00104456 -30 *5737:124 0.000972623 -31 *5737:110 0.000202417 -32 *5737:77 0.000899113 -33 *5737:55 0.0010179 -34 *5737:43 0.000604275 -35 *5737:22 0.000747062 -36 *5737:16 0.000741083 -37 *5737:10 0.0011016 -38 *28394:RESET_B *25298:B 0.000200592 -39 *28811:RESET_B *29577:A 1.39841e-05 -40 *5737:55 *29581:A 3.22325e-05 -41 *5737:55 *29601:A 9.28054e-05 -42 *5737:55 *6347:12 0.000172659 -43 *5737:77 *29509:A 1.13346e-05 -44 *5737:77 *29581:A 4.7585e-05 -45 *5737:77 *29601:A 0.00039665 -46 *5737:77 *29615:A 3.69047e-06 -47 *5737:124 *6385:56 0.000576594 -48 *5737:154 *5778:261 2.67163e-05 -49 *5737:164 *6385:32 0 -50 *5737:164 *6385:44 0 -51 pll_trim[10] *5737:10 0 -52 pll_trim[15] *28099:SET_B 0 -53 pll_trim[15] *5737:191 0 -54 pll_trim[9] *5737:10 0.000218388 -55 pll_trim[9] *5737:16 0.000245456 -56 pll_trim[9] *5737:22 0.000515203 -57 *25404:B1 *28384:RESET_B 6.77187e-05 -58 *25584:A *28394:RESET_B 0.000766676 -59 *25609:A1 *5737:154 6.78078e-05 -60 *25609:S *5737:10 5.26198e-05 -61 *25609:S *5737:16 7.19675e-05 -62 *25609:S *5737:22 2.35649e-05 -63 *25609:S *5737:124 1.61405e-05 -64 *25612:A1 *28110:RESET_B 8.39516e-05 -65 *25949:S *28808:RESET_B 0.000262498 -66 *25951:A1 *28808:RESET_B 0.000219711 -67 *27968:A2 *28811:RESET_B 5.83233e-05 -68 *27968:A2 *5737:43 0.000220703 -69 *27968:A2 *5737:55 0.000101102 -70 *27968:B1 *5737:55 9.34324e-05 -71 *28099:CLK *5737:128 0 -72 *28100:D *28392:SET_B 0.000259503 -73 *28107:CLK *5737:128 2.89016e-05 -74 *28110:CLK *28110:RESET_B 9.39411e-05 -75 *28384:D *5737:77 0.000150629 -76 *29148:A *28394:RESET_B 0.000527005 -77 *29268:A *28392:SET_B 0.000176283 -78 *29382:A *28394:RESET_B 0.000380955 -79 *29548:A *28394:RESET_B 5.65955e-05 -80 *30023:A *5737:77 0.000341232 -81 *30239:A *28392:SET_B 0.000166807 -82 *30934:A *5737:154 0.000333297 -83 *30935:A *5737:128 0.000134627 -84 *30940:A *28108:SET_B 2.84499e-05 -85 *30942:A *5737:203 0 -86 *244:20 *28107:SET_B 2.51935e-05 -87 *244:20 *5737:128 0.000167238 -88 *244:20 *5737:138 0.000190978 -89 *244:20 *5737:181 0.000197859 -90 *244:26 *5737:191 9.83442e-05 -91 *244:28 *5737:191 0.000175532 -92 *244:28 *5737:203 0.000189345 -93 *459:10 *5737:10 0 -94 *1141:20 *5737:55 2.46455e-05 -95 *1264:50 *5737:55 0.000711928 -96 *1294:30 *28392:SET_B 0.000128809 -97 *1294:30 *28808:RESET_B 0 -98 *1327:116 *5737:77 0.000150235 -99 *1453:17 *28384:RESET_B 0.000283238 -100 *1471:37 *28384:RESET_B 0.000284063 -101 *1624:43 *28382:SET_B 9.04793e-05 -102 *1659:18 *28394:RESET_B 0.000511914 -103 *1815:40 *28392:SET_B 0.000219197 -104 *1815:40 *5737:207 6.83857e-05 -105 *1815:49 *5737:207 5.48245e-05 -106 *1824:38 *28392:SET_B 1.94945e-05 -107 *3145:32 *5737:164 0.000151666 -108 *3568:136 *5737:55 0.00015063 -109 *3569:92 *28808:RESET_B 0.000193914 -110 *3648:11 *28392:SET_B 6.26774e-05 -111 *3648:30 *28102:SET_B 0.000101534 -112 *3649:10 *5737:128 0 -113 *3649:12 *5737:128 0 -114 *3649:37 *28109:SET_B 2.81497e-05 -115 *3649:79 *5737:77 3.41747e-05 -116 *3791:126 *28809:RESET_B 0.000217923 -117 *3805:47 *5737:77 9.54798e-06 -118 *3820:38 *28382:SET_B 3.81765e-05 -119 *3820:38 *5737:77 0.000200803 -120 *3996:8 *28808:RESET_B 0.000332075 -121 *3996:8 *5737:164 5.42764e-05 -122 *3996:19 *28394:RESET_B 0.000510328 -123 *3999:8 *28382:SET_B 2.09184e-05 -124 *4263:9 *28811:RESET_B 6.22238e-05 -125 *4263:9 *5737:22 0.00060342 -126 *4263:9 *5737:43 0.000167902 -127 *4263:9 *5737:55 0.00010874 -128 *4310:10 *28392:SET_B 4.16502e-05 -129 *4310:10 *5737:203 1.55485e-05 -130 *4372:35 *5737:10 3.18676e-05 -131 *5011:26 *28108:SET_B 0 -132 *5037:11 *28382:SET_B 8.49829e-05 -133 *5037:11 *5737:77 4.01068e-05 -134 *5037:11 *5737:128 3.18676e-05 -135 *5040:13 *28099:SET_B 2.74273e-05 -136 *5040:13 *28808:RESET_B 0 -137 *5040:13 *5737:164 0 -138 *5040:13 *5737:191 3.27253e-05 -139 *5041:21 *28394:RESET_B 0.000344835 -140 *5041:23 *28394:RESET_B 3.67419e-05 -141 *5154:10 *28394:RESET_B 1.46231e-05 -142 *5421:10 *28811:RESET_B 0.000236806 -143 *5421:10 *5737:22 0.00017656 -144 *5483:120 *5737:207 2.70249e-05 -145 *5485:104 *28809:RESET_B 0.000113835 -146 *5494:49 *28109:SET_B 4.85631e-05 -147 *5499:31 *5737:203 0 -148 *5500:41 *28110:RESET_B 3.12555e-05 -149 *5500:41 *5737:128 1.61405e-05 -150 *5504:33 *5737:154 0.000100625 -151 *5507:23 *28102:SET_B 0 -152 *5507:23 *28392:SET_B 0.000162627 -153 *5507:23 *5737:203 8.87535e-05 -154 *5507:23 *5737:207 0.000370239 -155 *5521:22 *5737:138 0.000106682 -156 *5523:11 *5737:10 2.59355e-05 -157 *5523:68 *5737:10 1.98839e-05 -158 *5527:10 *28108:SET_B 0.000200406 -159 *5592:81 *5737:10 1.32724e-05 -160 *5592:95 *5737:10 0.000476933 -161 *5592:104 *5737:10 4.45768e-06 -162 *5618:47 *28394:RESET_B 2.58757e-05 -163 *5665:31 *5737:77 0.000154953 -164 *5691:201 *5737:124 0.000566745 -165 *5705:169 *5737:154 0.000277655 -166 *5705:169 *5737:164 8.66199e-05 -167 *5711:86 *28384:RESET_B 3.42387e-05 -168 *5718:77 *5737:55 0.000294638 -169 *5718:88 *5737:55 1.14338e-05 -170 *5734:83 *5737:10 0 -*RES -1 *29020:X *5737:10 28.6036 -2 *5737:10 *28106:SET_B 4.5 -3 *5737:10 *5737:16 3.46429 -4 *5737:16 *5737:22 12.4286 -5 *5737:22 *28809:RESET_B 6.61607 -6 *5737:22 *28811:RESET_B 8.13393 -7 *28811:RESET_B *5737:43 7.65179 -8 *5737:43 *28810:RESET_B 4.5 -9 *5737:43 *5737:55 13.1272 -10 *5737:55 *28812:RESET_B 4.5 -11 *5737:55 *5737:77 27.1278 -12 *5737:77 *28384:RESET_B 13.1157 -13 *28384:RESET_B *28394:RESET_B 31.9464 -14 *5737:77 *28382:SET_B 6.57143 -15 *5737:16 *5737:110 0.535714 -16 *5737:110 *28109:SET_B 4.97321 -17 *5737:110 *5737:124 8.31216 -18 *5737:124 *5737:128 14.1875 -19 *5737:128 *28107:SET_B 0.901786 -20 *5737:128 *5737:138 5.05357 -21 *5737:138 *28108:SET_B 12.7679 -22 *28108:SET_B *5737:154 13.9018 -23 *5737:154 *28098:SET_B 4.5 -24 *5737:154 *5737:164 5.64286 -25 *5737:164 *28390:RESET_B 4.5 -26 *5737:164 *28808:RESET_B 14.1696 -27 *5737:138 *5737:181 9.96429 -28 *5737:181 *28099:SET_B 5.83929 -29 *5737:181 *5737:191 10.375 -30 *5737:191 *28102:SET_B 10.3393 -31 *5737:191 *5737:203 11.6786 -32 *5737:203 *5737:207 5.92857 -33 *5737:207 *28100:SET_B 4.5 -34 *5737:207 *28392:SET_B 17.2411 -35 *5737:203 *28101:SET_B 4.5 -36 *5737:124 *28110:RESET_B 7.39286 -*END - -*D_NET *5738 0.0729465 -*CONN -*I *28397:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28399:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28395:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28449:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28448:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28436:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28610:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28389:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28157:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28377:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *29019:A I *D sky130_fd_sc_hd__buf_4 -*I *29018:A I *D sky130_fd_sc_hd__buf_6 -*I *29020:A I *D sky130_fd_sc_hd__buf_6 -*I *29021:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *28397:SET_B 0.000266673 -2 *28399:RESET_B 2.93519e-05 -3 *28395:RESET_B 0.000429545 -4 *28449:RESET_B 0.000528513 -5 *28448:RESET_B 0.000202292 -6 *28436:RESET_B 0.000629553 -7 *28610:SET_B 6.44539e-05 -8 *28389:RESET_B 2.38026e-05 -9 *28157:SET_B 0.000466981 -10 *28377:SET_B 0 -11 *29019:A 2.73013e-05 -12 *29018:A 4.63768e-05 -13 *29020:A 0 -14 *29021:X 5.43877e-05 -15 *5738:223 0.00107049 -16 *5738:204 0.00137317 -17 *5738:176 0.00102126 -18 *5738:172 0.0030432 -19 *5738:130 0.00199361 -20 *5738:115 0.00216857 -21 *5738:100 0.00426177 -22 *5738:85 0.00298367 -23 *5738:82 0.0016658 -24 *5738:62 0.00166967 -25 *5738:59 0.00385153 -26 *5738:37 0.00197377 -27 *5738:31 0.00218071 -28 *5738:11 0.00529306 -29 *5738:8 0.00249668 -30 *28157:SET_B *5947:74 9.6475e-06 -31 *28389:RESET_B *5947:74 4.43299e-05 -32 *28395:RESET_B *6225:79 0.000808918 -33 *28436:RESET_B *25330:A1 0 -34 *28436:RESET_B *5947:74 3.6346e-05 -35 *5738:11 *5926:235 4.44622e-06 -36 *5738:31 *5926:235 0.00022367 -37 *5738:59 *27505:A2 3.34078e-05 -38 *5738:115 *5947:74 0.00172006 -39 *5738:130 *5936:70 0.00025461 -40 *5738:130 *5947:74 4.63017e-05 -41 *5738:172 *29509:A 0.000218564 -42 *5738:172 *6055:13 0.000135028 -43 pll90_sel[1] *5738:31 0 -44 pll90_sel[2] *5738:31 0.000862744 -45 *24910:A *5738:176 0.000165829 -46 *25087:A *5738:37 0.000132071 -47 *25087:A *5738:59 5.80929e-05 -48 *25178:B1 *5738:37 0.000578388 -49 *25178:B2 *5738:37 0.000148196 -50 *25295:A2 *5738:130 0.000162276 -51 *25299:A1 *5738:11 9.56029e-06 -52 *25299:A1 *5738:31 9.54798e-06 -53 *25299:A2 *5738:11 6.05161e-06 -54 *25299:A2 *5738:31 2.59355e-05 -55 *25299:B1 *5738:31 3.77022e-05 -56 *25311:A1 *28397:SET_B 4.27935e-05 -57 *25338:A *5738:223 0 -58 *25338:B *5738:223 5.52302e-05 -59 *25351:A *28436:RESET_B 5.33005e-05 -60 *25351:B *28436:RESET_B 1.51018e-05 -61 *25385:B2 *28395:RESET_B 0.000271643 -62 *25398:A2 *5738:172 9.99644e-06 -63 *25404:A2 *28448:RESET_B 8.68127e-05 -64 *25409:A3 *5738:176 0.000263108 -65 *25412:D *28436:RESET_B 0.000349608 -66 *25909:A1 *5738:59 5.52302e-05 -67 *25922:A0 *5738:31 0.000249222 -68 *25931:A1 *5738:172 0.000471179 -69 *25957:A0 *28397:SET_B 0.000164282 -70 *25957:A0 *5738:223 0.000311946 -71 *26817:A *5738:31 5.37709e-05 -72 *26855:A1 *28395:RESET_B 0.000269385 -73 *27548:A1 *5738:100 1.31781e-06 -74 *27571:B1 *5738:31 0 -75 *27853:B1 *5738:172 0.000135997 -76 *27853:B2 *5738:172 0.00021021 -77 *27853:C1 *5738:172 0.000263524 -78 *27858:B2 *5738:100 0 -79 *27883:B2 *5738:82 0.000544457 -80 *27896:B *28157:SET_B 0.000230975 -81 *27900:C1 *28157:SET_B 0.000102859 -82 *28357:D *5738:59 0.000220441 -83 *28358:D *5738:59 7.80714e-06 -84 *28368:CLK *5738:37 0 -85 *28368:RESET_B *5738:37 0.000141113 -86 *28436:CLK *28436:RESET_B 2.12005e-05 -87 *28436:D *28436:RESET_B 0.000112685 -88 *28448:CLK *5738:176 0.000175892 -89 *28449:D *28449:RESET_B 0.000219289 -90 *28610:CLK *28436:RESET_B 6.05161e-06 -91 *29152:A *28448:RESET_B 0.000121021 -92 *29152:A *28449:RESET_B 2.95642e-05 -93 *29152:A *5738:176 0.000385699 -94 *29326:A *5738:223 6.74279e-05 -95 *29338:A *28436:RESET_B 0.000176717 -96 *29338:A *28610:SET_B 8.58848e-05 -97 *29430:A *5738:172 8.78763e-05 -98 *30082:A *5738:59 5.64584e-05 -99 *472:8 *5738:172 0.000170654 -100 *473:29 *5738:172 4.87854e-05 -101 *1263:50 *28436:RESET_B 0.000115718 -102 *1276:21 *28610:SET_B 0 -103 *1281:30 *28395:RESET_B 1.10422e-05 -104 *1362:118 *5738:82 0.000648504 -105 *1362:118 *5738:100 0 -106 *1363:23 *5738:31 4.73656e-05 -107 *1363:23 *5738:37 0.000902642 -108 *1363:62 *5738:172 1.14255e-05 -109 *1395:29 *5738:130 0.000167762 -110 *1408:28 *5738:62 2.30116e-06 -111 *1408:28 *5738:82 0.000116508 -112 *1427:94 *5738:130 2.59024e-05 -113 *1430:29 *5738:37 0.000242354 -114 *1442:14 *28389:RESET_B 1.11775e-05 -115 *1471:121 *28448:RESET_B 0.000297379 -116 *1471:121 *28449:RESET_B 8.74064e-05 -117 *1498:13 *5738:31 6.43126e-05 -118 *1600:41 *28397:SET_B 2.28966e-05 -119 *1613:6 *28389:RESET_B 6.75178e-05 -120 *1616:46 *5738:11 0.000117394 -121 *1617:17 *5738:37 0.000105594 -122 *1619:25 *5738:100 0.00017965 -123 *1619:25 *5738:115 0.000711778 -124 *1656:11 *5738:223 9.41642e-05 -125 *1659:18 *28448:RESET_B 1.20729e-05 -126 *1668:15 *28436:RESET_B 0.000151614 -127 *1899:13 *5738:130 0.000139389 -128 *1899:31 *5738:115 0.00054691 -129 *1899:31 *5738:130 1.46617e-05 -130 *2845:80 *5738:100 0 -131 *2848:51 *28436:RESET_B 4.64895e-05 -132 *2853:48 *5738:130 0.000398364 -133 *2871:45 *5738:82 0.000216755 -134 *3062:10 *5738:37 0.000134008 -135 *3062:10 *5738:59 0.000340055 -136 *3082:13 *5738:62 2.06178e-05 -137 *3082:13 *5738:82 0.000240182 -138 *3103:17 *5738:31 0 -139 *3129:18 *28157:SET_B 0.000101689 -140 *3145:14 *5738:85 0.000102056 -141 *3145:14 *5738:100 2.11419e-05 -142 *3176:110 *5738:115 5.00194e-05 -143 *3191:47 *5738:223 9.99811e-05 -144 *3214:78 *5738:100 0.000457891 -145 *3433:5 *5738:82 0.000135028 -146 *3482:37 *5738:115 5.11566e-05 -147 *3546:22 *28436:RESET_B 0.000998759 -148 *3546:22 *5738:130 0.000160656 -149 *3557:15 *5738:82 4.43256e-05 -150 *3568:17 *5738:59 0.000138186 -151 *3568:99 *28395:RESET_B 0 -152 *3647:9 *28399:RESET_B 4.46854e-05 -153 *3648:114 *28449:RESET_B 2.54558e-06 -154 *3648:114 *5738:223 3.35852e-05 -155 *3662:19 *5738:85 0.00010326 -156 *3662:19 *5738:100 1.24469e-05 -157 *3672:21 *29019:A 0.000100261 -158 *3672:45 *5738:172 1.30598e-05 -159 *3777:10 *28436:RESET_B 6.6692e-05 -160 *3778:25 *5738:82 0 -161 *3780:34 *28157:SET_B 0 -162 *3791:10 *5738:11 0.000137983 -163 *3792:80 *5738:82 0 -164 *3792:82 *28157:SET_B 9.9974e-05 -165 *3801:56 *5738:59 5.10426e-05 -166 *3827:9 *5738:172 0.000145797 -167 *3827:21 *5738:172 0.000165109 -168 *3854:10 *28399:RESET_B 8.92098e-05 -169 *3910:28 *5738:82 0.000486091 -170 *3910:43 *5738:82 0.00114768 -171 *3918:83 *29018:A 0.000137983 -172 *3973:83 *5738:59 0.000303424 -173 *3973:83 *5738:172 0.000324219 -174 *3986:40 *28448:RESET_B 1.95625e-05 -175 *3986:40 *28449:RESET_B 2.80052e-05 -176 *4023:28 *28157:SET_B 9.29027e-05 -177 *4129:38 *5738:82 1.21258e-05 -178 *4201:10 *28449:RESET_B 9.58026e-05 -179 *4201:10 *5738:204 5.6069e-05 -180 *4201:10 *5738:223 9.63449e-05 -181 *4282:17 *29018:A 4.53889e-05 -182 *4372:19 *5738:172 0.000136958 -183 *4945:15 *5738:11 0.000113073 -184 *5013:14 *5738:59 0.000624765 -185 *5430:12 *5738:59 0 -186 *5430:12 *5738:172 0 -187 *5457:21 *5738:100 3.85026e-05 -188 *5457:21 *5738:115 3.7458e-05 -189 *5483:18 *5738:31 4.77254e-05 -190 *5483:18 *5738:37 2.10658e-05 -191 *5485:12 *5738:31 7.39609e-05 -192 *5485:32 *5738:31 6.69142e-05 -193 *5487:63 *5738:11 0.000226166 -194 *5491:86 *5738:11 0.000829141 -195 *5491:97 *5738:11 0.000962484 -196 *5498:54 *5738:31 0.00104212 -197 *5510:20 *5738:31 0 -198 *5510:34 *5738:31 8.79856e-06 -199 *5518:19 *5738:31 0.000122845 -200 *5518:62 *5738:31 0.000194215 -201 *5522:52 *28448:RESET_B 8.88204e-05 -202 *5523:41 *5738:172 2.72083e-05 -203 *5581:46 *28395:RESET_B 0.000126619 -204 *5581:49 *5738:172 1.90111e-05 -205 *5581:49 *5738:176 8.37605e-05 -206 *5592:104 *5738:31 0.000119193 -207 *5618:6 *28397:SET_B 3.78091e-05 -208 *5618:6 *5738:223 0.000752087 -209 *5618:8 *5738:223 9.78027e-05 -210 *5619:58 *5738:59 0.000107791 -211 *5620:30 *28397:SET_B 0.000159446 -212 *5624:18 *28436:RESET_B 0.000143376 -213 *5624:18 *5738:130 1.78124e-05 -214 *5646:34 *5738:130 0.000208759 -215 *5650:133 *5738:100 7.08964e-05 -216 *5661:64 *5738:130 0.00023611 -217 *5665:66 *5738:172 2.11419e-05 -218 *5665:76 *5738:172 5.65345e-05 -219 *5665:127 *5738:8 4.39904e-05 -220 *5691:157 *28448:RESET_B 0.000172629 -221 *5691:269 *5738:59 0.000390584 -222 *5711:47 *29019:A 3.04394e-05 -223 *5711:67 *5738:172 0.000277263 -224 *5730:43 *5738:8 9.85571e-05 -225 *5734:83 *5738:31 0.000534222 -*RES -1 *29021:X *5738:8 19.6393 -2 *5738:8 *5738:11 37.0714 -3 *5738:11 *5738:31 46.4799 -4 *5738:31 *29020:A 9.3 -5 *5738:11 *5738:37 18.9464 -6 *5738:37 *29018:A 15.1571 -7 *5738:37 *5738:59 34.5986 -8 *5738:59 *5738:62 3.68679 -9 *5738:62 *29019:A 14.7464 -10 *5738:62 *5738:82 48.3929 -11 *5738:82 *5738:85 5.83929 -12 *5738:85 *28377:SET_B 4.5 -13 *5738:85 *5738:100 16.2283 -14 *5738:100 *28157:SET_B 24.6689 -15 *5738:100 *5738:115 3.21793 -16 *5738:115 *28389:RESET_B 8.79393 -17 *5738:115 *5738:130 6.64673 -18 *5738:130 *28610:SET_B 5.6875 -19 *5738:130 *28436:RESET_B 29.5893 -20 *5738:59 *5738:172 48.4079 -21 *5738:172 *5738:176 14.0714 -22 *5738:176 *28448:RESET_B 10.2768 -23 *5738:176 *28449:RESET_B 10.5625 -24 *28449:RESET_B *5738:204 2.25 -25 *5738:204 *28395:RESET_B 20.6339 -26 *5738:204 *5738:223 21.0536 -27 *5738:223 *28399:RESET_B 5.38393 -28 *5738:223 *28397:SET_B 9.38393 -*END - -*D_NET *5739 0.0428843 -*CONN -*I *28040:A I *D sky130_fd_sc_hd__and2_1 -*I *28022:A I *D sky130_fd_sc_hd__and2_1 -*I *28131:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28024:A I *D sky130_fd_sc_hd__and2_1 -*I *28041:A I *D sky130_fd_sc_hd__and2_1 -*I *28145:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28179:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28147:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28213:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28034:A I *D sky130_fd_sc_hd__and2_1 -*I *28032:A I *D sky130_fd_sc_hd__and2_1 -*I *28037:A I *D sky130_fd_sc_hd__and2_1 -*I *28035:A I *D sky130_fd_sc_hd__and2_1 -*I *28033:A I *D sky130_fd_sc_hd__and2_1 -*I *28057:A I *D sky130_fd_sc_hd__and2_1 -*I *28036:A I *D sky130_fd_sc_hd__and2_1 -*I *28051:A I *D sky130_fd_sc_hd__and2_1 -*I *28052:A I *D sky130_fd_sc_hd__and2_1 -*I *28054:A I *D sky130_fd_sc_hd__and2_1 -*I *28146:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *29022:X O *D sky130_fd_sc_hd__buf_4 -*CAP -1 *28040:A 0 -2 *28022:A 0.00128983 -3 *28131:RESET_B 0.000587892 -4 *28024:A 0.000358325 -5 *28041:A 0 -6 *28145:RESET_B 0.000387787 -7 *28179:RESET_B 0.000367571 -8 *28147:SET_B 0 -9 *28213:RESET_B 1.90125e-05 -10 *28034:A 8.26683e-05 -11 *28032:A 4.10438e-05 -12 *28037:A 1.16062e-05 -13 *28035:A 8.69061e-05 -14 *28033:A 0.000146768 -15 *28057:A 0 -16 *28036:A 0 -17 *28051:A 0.00048059 -18 *28052:A 0 -19 *28054:A 0.00022918 -20 *28146:RESET_B 0.000585288 -21 *29022:X 0 -22 *5739:252 0.00244349 -23 *5739:181 0.000693805 -24 *5739:170 0.00127612 -25 *5739:114 0.000233675 -26 *5739:78 0.000708806 -27 *5739:73 0.000272827 -28 *5739:71 0.000110608 -29 *5739:69 0.000148588 -30 *5739:52 0.000337652 -31 *5739:50 0.000523184 -32 *5739:38 0.000588057 -33 *5739:37 0.00230603 -34 *5739:27 0.00324306 -35 *5739:17 0.000906174 -36 *5739:13 0.0010167 -37 *5739:10 0.00143513 -38 *5739:6 0.000789116 -39 *5739:4 0.00121154 -40 *28024:A *29026:A 0.00012336 -41 *28024:A *5743:9 9.90431e-05 -42 *28051:A *5892:163 5.49489e-05 -43 *5739:13 *29023:A 7.16452e-05 -44 *5739:13 *5743:9 0.000475148 -45 *5739:37 *25546:S 0.000354105 -46 *5739:50 *25569:A0 0 -47 *5739:78 *25581:A 9.90367e-05 -48 *5739:78 *25582:A 0.000470804 -49 *5739:78 *5892:163 4.25176e-05 -50 *5739:78 *5947:101 0.000219711 -51 *5739:78 *6158:28 0.000111581 -52 *5739:170 *5742:38 0.000271283 -53 *5739:252 *5740:29 4.98406e-05 -54 *5739:252 *5740:40 2.12087e-05 -55 *25420:B *28051:A 0.000140088 -56 *25420:C *28051:A 1.5872e-05 -57 *25421:A *28051:A 0.000110795 -58 *25421:B *28051:A 0.000226828 -59 *25422:B *28033:A 9.41642e-05 -60 *25422:B *5739:69 2.24646e-05 -61 *25422:B *5739:71 0.000237521 -62 *25422:B *5739:73 0.000166983 -63 *25422:B *5739:78 0.000224599 -64 *25424:B *28033:A 1.15172e-05 -65 *25427:B *28032:A 7.02611e-05 -66 *25461:A1 *28022:A 6.53435e-05 -67 *25472:B1 *28022:A 9.11102e-06 -68 *25478:A *28034:A 3.77323e-05 -69 *25478:B *28034:A 5.33005e-05 -70 *25493:A1 *28054:A 1.32552e-05 -71 *25495:B2 *5739:37 0.000178847 -72 *25538:A3 *5739:37 5.71472e-05 -73 *25538:B1 *5739:37 9.3111e-05 -74 *25538:B2 *5739:37 5.52238e-05 -75 *25654:A0 *5739:170 5.57914e-05 -76 *25654:A1 *5739:170 6.63476e-05 -77 *25697:A0 *5739:170 0.000103812 -78 *27884:B1 *5739:10 0.000227537 -79 *27884:B2 *28131:RESET_B 5.03772e-05 -80 *27884:B2 *5739:6 0.000140342 -81 *27884:B2 *5739:10 2.94103e-05 -82 *27913:A1 *5739:252 0.000366508 -83 *28022:B *28022:A 1.90303e-05 -84 *28033:B *28033:A 0.000103184 -85 *28033:B *5739:50 2.13965e-05 -86 *28033:B *5739:52 5.41794e-05 -87 *28033:B *5739:69 2.8046e-05 -88 *28035:B *28035:A 3.63587e-05 -89 *28037:B *28037:A 5.52302e-05 -90 *28050:A *28051:A 8.3065e-05 -91 *28054:B *28037:A 5.52302e-05 -92 *28054:B *28054:A 0.000188699 -93 *28058:B *5739:50 1.78394e-05 -94 *28058:B *5739:52 1.08359e-05 -95 *28069:RESET_B *28024:A 6.64503e-05 -96 *28069:RESET_B *5739:13 8.15446e-05 -97 *28069:RESET_B *5739:17 0.000180777 -98 *28079:RESET_B *5739:37 0 -99 *28082:RESET_B *5739:37 0.000375849 -100 *28097:D *5739:37 0.000116903 -101 *28146:CLK *5739:37 5.62995e-05 -102 *28166:D *5739:37 0 -103 *28169:D *5739:37 2.89016e-05 -104 *28169:RESET_B *5739:37 0.000204962 -105 *28325:CLK *28145:RESET_B 0.000195555 -106 *28325:CLK *28146:RESET_B 0.00015134 -107 *28325:D *28146:RESET_B 0.000126639 -108 *28885:A *28145:RESET_B 0 -109 *28885:A *28146:RESET_B 0 -110 *29535:A *5739:37 5.52302e-05 -111 *29588:A *5739:181 1.07719e-05 -112 *30505:A *5739:170 9.60875e-05 -113 *30506:A *5739:170 0.000503764 -114 *30525:A *28146:RESET_B 2.65133e-05 -115 *30525:A *5739:27 0.000137505 -116 *30525:A *5739:37 0.000235184 -117 *30754:A *5739:50 1.48677e-06 -118 *374:18 *28022:A 2.13481e-06 -119 *386:10 *28033:A 0.00014183 -120 *408:20 *28022:A 1.90936e-05 -121 *411:19 *5739:37 9.09604e-05 -122 *1287:38 *28179:RESET_B 0.000184856 -123 *1545:48 *28022:A 2.90187e-05 -124 *1603:36 *28022:A 4.75671e-06 -125 *1735:8 *28051:A 0.000116134 -126 *1738:26 *5739:37 0 -127 *1764:11 *28051:A 0.000308415 -128 *1778:25 *5739:37 7.77751e-05 -129 *1810:36 *5739:170 0.000993609 -130 *1827:36 *28145:RESET_B 6.05161e-06 -131 *1827:36 *28179:RESET_B 0.000119987 -132 *1827:36 *5739:181 3.64821e-05 -133 *1833:43 *5739:10 8.59906e-05 -134 *1844:66 *5739:170 0 -135 *1887:31 *5739:252 0.000303368 -136 *2789:27 *28022:A 1.78394e-05 -137 *3156:124 *28022:A 0.00135452 -138 *3196:119 *5739:252 0.000252117 -139 *3594:8 *5739:252 0.00027978 -140 *3594:13 *5739:252 0.000193513 -141 *3594:53 *5739:252 0.000420548 -142 *3605:12 *28145:RESET_B 0.000165254 -143 *3805:59 *28022:A 0.00125094 -144 *3867:24 *5739:252 0.00038214 -145 *3895:29 *5739:252 3.06878e-06 -146 *3944:11 *28145:RESET_B 2.59355e-05 -147 *3945:23 *28146:RESET_B 5.9555e-05 -148 *4103:18 *28022:A 4.29471e-05 -149 *4127:41 *28131:RESET_B 5.63071e-05 -150 *4130:77 *28022:A 6.89358e-05 -151 *4140:66 *5739:6 2.05938e-05 -152 *4140:66 *5739:10 2.72083e-05 -153 *4142:9 *28022:A 5.3601e-05 -154 *4163:28 *28034:A 1.02821e-05 -155 *4164:29 *28051:A 0.000143375 -156 *4164:55 *28032:A 4.59075e-05 -157 *4187:18 *5739:37 0 -158 *4195:196 *5739:37 9.34074e-05 -159 *4747:10 *5739:170 4.58194e-05 -160 *5007:16 *28145:RESET_B 0.000471946 -161 *5007:16 *5739:6 4.35131e-05 -162 *5007:16 *5739:252 2.31e-05 -163 *5304:13 *5739:37 6.54177e-05 -164 *5355:10 *28179:RESET_B 0.000365881 -165 *5355:10 *5739:181 0.000121626 -166 *5357:16 *5739:37 0.000232518 -167 *5467:8 *28034:A 4.15161e-05 -168 *5467:8 *5739:38 0.000181885 -169 *5467:8 *5739:50 1.90936e-05 -170 *5583:11 *5739:252 0.000222244 -171 *5653:171 *5739:252 8.6229e-06 -172 *5666:138 *28024:A 0 -173 *5699:106 *28022:A 5.22559e-05 -174 *5712:67 *28131:RESET_B 1.25672e-06 -175 *5727:79 *28051:A 4.17433e-05 -176 *5727:79 *5739:78 9.58181e-05 -177 *5727:95 *5739:71 1.02504e-05 -178 *5727:95 *5739:73 0.000177234 -179 *5727:95 *5739:78 1.02504e-05 -180 *5727:104 *5739:71 0.000189448 -181 *5727:115 *5739:52 1.08359e-05 -182 *5727:115 *5739:69 0.000103267 -183 *5727:115 *5739:71 4.80729e-05 -184 *5727:190 *5739:13 2.59355e-05 -185 *5727:202 *5739:13 0.000111501 -186 *5727:217 *5739:252 0.000165228 -187 *5727:243 *5739:252 0.000532009 -188 *5728:90 *28034:A 5.33005e-05 -189 *5728:93 *28034:A 1.12323e-05 -190 *5728:93 *5739:38 0.000161858 -191 *5728:101 *28032:A 5.52302e-05 -192 *5728:101 *5739:38 2.41865e-05 -193 *5728:101 *5739:50 3.9576e-05 -*RES -1 *29022:X *5739:4 9.3 -2 *5739:4 *5739:6 6.44643 -3 *5739:6 *5739:10 8.57143 -4 *5739:10 *5739:13 12.3571 -5 *5739:13 *5739:17 6.26786 -6 *5739:17 *28146:RESET_B 12.2589 -7 *28146:RESET_B *5739:27 3.25893 -8 *5739:27 *5739:37 30.3887 -9 *5739:37 *5739:38 2.40179 -10 *5739:38 *5739:50 8.49808 -11 *5739:50 *5739:52 0.732143 -12 *5739:52 *28054:A 17.7821 -13 *5739:52 *5739:69 1.875 -14 *5739:69 *5739:71 3.16071 -15 *5739:71 *5739:73 2.25 -16 *5739:73 *5739:78 12.4107 -17 *5739:78 *28052:A 9.3 -18 *5739:78 *28051:A 30.6036 -19 *5739:73 *28036:A 13.8 -20 *5739:71 *28057:A 13.8 -21 *5739:69 *5739:114 4.5 -22 *5739:114 *28033:A 12.7286 -23 *5739:114 *28035:A 10.675 -24 *5739:50 *28037:A 14.3357 -25 *5739:38 *28032:A 15.1571 -26 *5739:37 *28034:A 15.7375 -27 *5739:27 *5739:170 40 -28 *5739:170 *28213:RESET_B 0.473214 -29 *5739:170 *5739:181 9.55357 -30 *5739:181 *28147:SET_B 4.5 -31 *5739:181 *28179:RESET_B 12.8304 -32 *5739:17 *28145:RESET_B 13.7589 -33 *5739:13 *28041:A 9.3 -34 *5739:10 *28024:A 14.7821 -35 *5739:6 *28131:RESET_B 11.2768 -36 *5739:4 *5739:252 44.6429 -37 *5739:252 *28022:A 38.59 -38 *5739:252 *28040:A 9.3 -*END - -*D_NET *5740 0.0346213 -*CONN -*I *28164:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28163:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28133:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28148:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28143:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28279:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28280:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28237:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28281:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28291:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28326:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28053:A I *D sky130_fd_sc_hd__and2_1 -*I *28056:A I *D sky130_fd_sc_hd__and2_1 -*I *28055:A I *D sky130_fd_sc_hd__and2_1 -*I *28136:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28135:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28137:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28259:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *29022:A I *D sky130_fd_sc_hd__buf_4 -*I *28325:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *29023:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *28164:RESET_B 0.000437481 -2 *28163:RESET_B 0.000171413 -3 *28133:RESET_B 1.60148e-05 -4 *28148:RESET_B 0.000375826 -5 *28143:RESET_B 0.000284718 -6 *28279:RESET_B 0.000147996 -7 *28280:RESET_B 0.000206224 -8 *28237:RESET_B 0.000979603 -9 *28281:SET_B 7.56413e-05 -10 *28291:SET_B 0 -11 *28326:RESET_B 0.000225414 -12 *28053:A 5.95061e-05 -13 *28056:A 0 -14 *28055:A 0.000679565 -15 *28136:RESET_B 8.63323e-05 -16 *28135:RESET_B 0.000252464 -17 *28137:SET_B 0.00052536 -18 *28259:RESET_B 8.06135e-05 -19 *29022:A 0 -20 *28325:RESET_B 5.79998e-05 -21 *29023:X 0 -22 *5740:184 0.000705896 -23 *5740:175 0.000419636 -24 *5740:166 0.000588953 -25 *5740:162 0.00103405 -26 *5740:137 0.000493603 -27 *5740:135 0.000738369 -28 *5740:130 0.000847235 -29 *5740:122 0.00034649 -30 *5740:112 0.000548471 -31 *5740:99 0.000412934 -32 *5740:86 0.000308137 -33 *5740:55 0.000629391 -34 *5740:40 0.00133423 -35 *5740:29 0.000749671 -36 *5740:24 0.000401243 -37 *5740:15 0.000398823 -38 *5740:5 0.000378768 -39 *28055:A *6036:38 0 -40 *28135:RESET_B *5909:76 1.93337e-05 -41 *28237:RESET_B *5778:47 0.000219114 -42 *28325:RESET_B *5778:39 5.48488e-05 -43 *5740:15 *5778:39 6.1272e-05 -44 *5740:29 *29027:A 0.000306317 -45 *25304:A1 *5740:99 0.000135028 -46 *25307:B2 *28136:RESET_B 0.000148182 -47 *25461:A2 *5740:162 0.000226074 -48 *25491:B *28259:RESET_B 0.000166674 -49 *25655:A1 *28326:RESET_B 2.51837e-05 -50 *25674:A0 *28163:RESET_B 2.04644e-05 -51 *25674:A1 *28164:RESET_B 5.69386e-05 -52 *25674:A1 *5740:135 5.40148e-05 -53 *25674:S *5740:135 7.25449e-05 -54 *25675:S *28163:RESET_B 5.25862e-06 -55 *25823:A1 *28279:RESET_B 3.02581e-06 -56 *25824:A0 *5740:162 9.73347e-05 -57 *25824:A0 *5740:166 0.000258937 -58 *25824:A0 *5740:175 0.000110089 -59 *25825:A0 *28237:RESET_B 0.000221544 -60 *25871:S *28325:RESET_B 0.000152333 -61 *25871:S *5740:15 0.000396488 -62 *25878:A0 *5740:99 2.79421e-05 -63 *27487:A1 *28136:RESET_B 8.17274e-05 -64 *27487:A1 *5740:55 0.000578268 -65 *27524:A1 *28055:A 0 -66 *27524:C1 *28055:A 0.000149421 -67 *27871:A1 *28055:A 8.93791e-05 -68 *27871:B2 *28055:A 2.42516e-05 -69 *27884:B2 *5740:24 0.000173797 -70 *27884:B2 *5740:86 0.000204716 -71 *27885:B1 *5740:40 0.000301438 -72 *27913:B2 *28164:RESET_B 9.54925e-05 -73 *28053:B *28053:A 5.33005e-05 -74 *28055:B *28055:A 9.66977e-05 -75 *28066:RESET_B *28279:RESET_B 0.000512829 -76 *28133:CLK *28133:RESET_B 2.29097e-05 -77 *28137:D *5740:55 0.000190258 -78 *28143:D *28143:RESET_B 0.000178847 -79 *28165:SET_B *28148:RESET_B 8.79458e-05 -80 *28237:D *5740:162 3.14078e-05 -81 *30326:A *28164:RESET_B 5.33005e-05 -82 *30327:A *5740:137 6.57032e-05 -83 *30399:A *5740:137 1.59935e-05 -84 *30518:A *5740:15 5.33005e-05 -85 *30532:A *28135:RESET_B 0.000159738 -86 *434:13 *28164:RESET_B 9.51514e-06 -87 *434:13 *28237:RESET_B 2.59355e-05 -88 *434:13 *5740:162 2.4816e-05 -89 *495:15 *28133:RESET_B 4.85567e-05 -90 *499:10 *28326:RESET_B 0.00025878 -91 *1427:143 *28163:RESET_B 0.000420553 -92 *1456:82 *28164:RESET_B 9.18765e-06 -93 *1471:95 *28135:RESET_B 0.000509805 -94 *1471:95 *28136:RESET_B 0.000148182 -95 *1738:92 *28280:RESET_B 0.000297316 -96 *1738:106 *5740:175 5.41797e-06 -97 *1739:26 *5740:166 0.000168769 -98 *1739:26 *5740:175 0.000129944 -99 *1740:26 *28279:RESET_B 5.37908e-05 -100 *1763:22 *5740:162 0.000178969 -101 *1766:33 *5740:162 0.00038214 -102 *1769:16 *5740:99 0.000185782 -103 *1769:16 *5740:112 5.41794e-05 -104 *1827:36 *5740:162 5.41797e-06 -105 *1827:54 *5740:162 7.59288e-05 -106 *1827:54 *5740:166 2.16964e-05 -107 *1827:54 *5740:175 3.16773e-05 -108 *1828:42 *28055:A 0 -109 *1833:43 *5740:24 0.000175519 -110 *1833:43 *5740:86 0.000208627 -111 *1833:43 *5740:99 0.00020486 -112 *1864:59 *5740:29 0.000720161 -113 *1864:59 *5740:40 0.000134452 -114 *1875:36 *28148:RESET_B 0.000278066 -115 *1875:36 *5740:175 0.000206903 -116 *1875:36 *5740:184 9.22948e-05 -117 *1877:53 *28164:RESET_B 0.000205694 -118 *1887:31 *28259:RESET_B 0.000143486 -119 *1887:31 *5740:40 0.000959861 -120 *1888:39 *5740:112 7.37933e-05 -121 *1888:39 *5740:122 0.000377347 -122 *1888:39 *5740:130 0.000258824 -123 *2865:126 *5740:55 6.16665e-05 -124 *2874:74 *28055:A 0.000188369 -125 *2874:74 *28137:SET_B 0.000580136 -126 *3165:297 *28259:RESET_B 9.22222e-06 -127 *3184:202 *28164:RESET_B 8.74121e-05 -128 *3196:143 *28163:RESET_B 0.000354438 -129 *3197:152 *28135:RESET_B 6.47405e-05 -130 *3457:10 *28055:A 0.000988076 -131 *3457:10 *28137:SET_B 0.000582383 -132 *3570:50 *28053:A 2.28499e-05 -133 *3616:11 *28143:RESET_B 0.000102386 -134 *3711:34 *5740:86 1.24469e-05 -135 *3711:34 *5740:99 0.000224293 -136 *3711:34 *5740:112 9.54652e-05 -137 *3737:7 *28326:RESET_B 0.000266479 -138 *3737:19 *28326:RESET_B 0.000181803 -139 *3737:19 *5740:122 0.000127476 -140 *3737:34 *28055:A 0 -141 *3867:6 *5740:15 0.000148189 -142 *3867:24 *5740:29 5.74499e-06 -143 *3935:16 *28148:RESET_B 3.10397e-05 -144 *3935:28 *28148:RESET_B 4.88132e-05 -145 *4116:17 *5740:135 3.54691e-05 -146 *4116:17 *5740:137 0.00017299 -147 *4127:32 *5740:15 4.23086e-05 -148 *4163:95 *28053:A 0.000139907 -149 *4164:153 *28326:RESET_B 2.24079e-05 -150 *4560:14 *5740:175 1.73201e-05 -151 *5007:16 *5740:15 0.000149797 -152 *5007:16 *5740:24 2.28598e-05 -153 *5007:31 *5740:122 0.000379783 -154 *5007:31 *5740:130 0.000268913 -155 *5136:11 *28279:RESET_B 0.000154354 -156 *5493:31 *28136:RESET_B 4.58194e-05 -157 *5493:31 *5740:55 6.05161e-06 -158 *5545:50 *28148:RESET_B 0.000139163 -159 *5545:50 *5740:175 4.02462e-05 -160 *5545:50 *5740:184 4.78056e-05 -161 *5666:138 *5740:55 0.000111574 -162 *5727:217 *5740:29 6.77852e-05 -163 *5727:228 *5740:99 0.000163317 -164 *5727:243 *28053:A 5.33005e-05 -165 *5727:252 *28055:A 9.57981e-05 -166 *5728:151 *28164:RESET_B 4.58194e-05 -167 *5739:252 *5740:29 4.98406e-05 -168 *5739:252 *5740:40 2.12087e-05 -*RES -1 *29023:X *5740:5 13.8 -2 *5740:5 *28325:RESET_B 6.44643 -3 *5740:5 *5740:15 12.7857 -4 *5740:15 *29022:A 9.3 -5 *5740:15 *5740:24 7.28571 -6 *5740:24 *5740:29 12.0357 -7 *5740:29 *28259:RESET_B 11.25 -8 *5740:29 *5740:40 14.4821 -9 *5740:40 *28137:SET_B 12.2143 -10 *28137:SET_B *5740:55 8.15179 -11 *5740:55 *28135:RESET_B 15.8036 -12 *5740:55 *28136:RESET_B 11.8929 -13 *5740:40 *28055:A 30.925 -14 *5740:24 *5740:86 2.85714 -15 *5740:86 *28056:A 13.8 -16 *5740:86 *5740:99 15.7679 -17 *5740:99 *28053:A 15.5857 -18 *5740:99 *5740:112 7.35714 -19 *5740:112 *28326:RESET_B 5.40179 -20 *5740:112 *5740:122 10.8393 -21 *5740:122 *28291:SET_B 4.5 -22 *5740:122 *5740:130 8.26786 -23 *5740:130 *5740:135 3.85714 -24 *5740:135 *5740:137 5.05357 -25 *5740:137 *28281:SET_B 1.20536 -26 *5740:137 *28237:RESET_B 12.7589 -27 *28237:RESET_B *5740:162 17.9732 -28 *5740:162 *5740:166 4 -29 *5740:166 *28280:RESET_B 7.84821 -30 *5740:166 *5740:175 4.98214 -31 *5740:175 *28279:RESET_B 9.49107 -32 *5740:175 *5740:184 1.33929 -33 *5740:184 *28143:RESET_B 8.8125 -34 *5740:184 *28148:RESET_B 11.6161 -35 *5740:162 *28133:RESET_B 4.97321 -36 *5740:135 *28163:RESET_B 14.5893 -37 *5740:130 *28164:RESET_B 15.7411 -*END - -*D_NET *5741 0.0334558 -*CONN -*I *28239:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28292:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28311:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28261:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28262:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28283:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28293:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28295:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28313:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28718:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28310:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28715:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28260:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28139:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28138:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28334:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28258:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28332:SET_B I *D sky130_fd_sc_hd__dfstp_4 -*I *28144:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28238:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *29024:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *28239:RESET_B 0.000100815 -2 *28292:RESET_B 0.00033862 -3 *28311:RESET_B 0.000351061 -4 *28261:RESET_B 4.10751e-05 -5 *28262:RESET_B 0.000119505 -6 *28283:RESET_B 0.00033991 -7 *28293:RESET_B 0.000112822 -8 *28295:RESET_B 3.25948e-05 -9 *28313:RESET_B 0.000270588 -10 *28718:RESET_B 0.000598346 -11 *28310:RESET_B 1.80576e-05 -12 *28715:RESET_B 8.72727e-05 -13 *28260:SET_B 0.000891679 -14 *28139:RESET_B 5.5586e-05 -15 *28138:RESET_B 0.000351799 -16 *28334:RESET_B 0.000209561 -17 *28258:RESET_B 0.000270513 -18 *28332:SET_B 0.000206999 -19 *28144:RESET_B 0 -20 *28238:SET_B 9.50637e-05 -21 *29024:X 0 -22 *5741:177 0.000734167 -23 *5741:171 0.00127193 -24 *5741:158 0.00124823 -25 *5741:144 0.000276481 -26 *5741:131 0.000411941 -27 *5741:118 0.000973257 -28 *5741:106 0.000272263 -29 *5741:78 0.00107467 -30 *5741:68 0.000427426 -31 *5741:67 0.000605588 -32 *5741:53 0.000595226 -33 *5741:43 0.000766715 -34 *5741:23 0.000356279 -35 *5741:17 0.000426631 -36 *5741:4 0.00026623 -37 *28138:RESET_B *24879:C_N 0.00013307 -38 *28239:RESET_B *5742:332 0.000174103 -39 *28293:RESET_B *24895:A 0.000136958 -40 *28310:RESET_B *5742:332 4.70821e-05 -41 *28334:RESET_B *5889:28 0.000230072 -42 *5741:171 *5743:147 2.91017e-05 -43 *5741:171 *5743:155 0.000178123 -44 *25416:A2 *28260:SET_B 0.000929111 -45 *25657:A1 *28238:SET_B 0.000143279 -46 *25775:S *5741:17 5.33005e-05 -47 *25776:S *28239:RESET_B 0.000111969 -48 *25776:S *5741:17 0.000187904 -49 *25776:S *5741:23 0.000769297 -50 *25803:S *5741:177 7.90803e-05 -51 *25842:A0 *28293:RESET_B 0.000129513 -52 *25842:A0 *5741:171 0.000343898 -53 *25842:S *5741:171 0 -54 *25865:A0 *5741:106 2.2628e-05 -55 *25866:S *28310:RESET_B 2.29097e-05 -56 *25866:S *28718:RESET_B 5.18525e-05 -57 *25868:A1 *28313:RESET_B 2.47753e-05 -58 *25868:A1 *28718:RESET_B 0.000139478 -59 *25879:A0 *28239:RESET_B 8.93931e-05 -60 *25880:S *28292:RESET_B 4.71964e-05 -61 *27158:A1 *28292:RESET_B 0.000181796 -62 *27959:A2 *28311:RESET_B 0.000102415 -63 *27959:A2 *28718:RESET_B 5.94628e-05 -64 *28092:RESET_B *28292:RESET_B 5.30455e-05 -65 *28260:D *28260:SET_B 8.06317e-05 -66 *28261:D *28261:RESET_B 1.97695e-05 -67 *28297:CLK *28262:RESET_B 0.000333551 -68 *28298:D *5741:171 5.84075e-05 -69 *28311:D *28311:RESET_B 2.29097e-05 -70 *28715:D *28715:RESET_B 2.1692e-05 -71 *29002:A *28313:RESET_B 2.58757e-05 -72 *29330:A *5741:67 0.000100685 -73 *29454:A *5741:43 8.40933e-05 -74 *29884:A *28293:RESET_B 0.000131343 -75 *29886:A *28283:RESET_B 7.70134e-05 -76 *30080:A *28283:RESET_B 0.000223957 -77 *30080:A *5741:177 0.000129991 -78 *30252:A *28718:RESET_B 5.38227e-05 -79 *30263:A *28718:RESET_B 0.000130329 -80 *30495:A *28138:RESET_B 9.54536e-05 -81 *30495:A *5741:68 4.29696e-05 -82 *740:19 *28238:SET_B 2.59355e-05 -83 *740:19 *5741:17 1.98839e-05 -84 *1205:22 *5741:67 4.43256e-05 -85 *1221:21 *28313:RESET_B 0.000538836 -86 *1247:12 *28258:RESET_B 7.70892e-05 -87 *1247:12 *5741:53 6.72353e-05 -88 *1247:12 *5741:67 0.000760693 -89 *1247:12 *5741:68 5.69618e-05 -90 *1358:25 *28311:RESET_B 4.18309e-05 -91 *1358:25 *28718:RESET_B 5.94628e-05 -92 *1476:10 *5741:171 7.79781e-06 -93 *1488:33 *28283:RESET_B 0.000605314 -94 *1829:34 *28238:SET_B 0.000144933 -95 *1868:49 *5741:17 3.06511e-05 -96 *1872:58 *5741:177 0.000222878 -97 *1886:18 *28311:RESET_B 3.34366e-05 -98 *1886:18 *28718:RESET_B 2.4461e-05 -99 *1888:58 *28258:RESET_B 5.12344e-05 -100 *1888:58 *28292:RESET_B 6.27216e-05 -101 *2894:107 *5741:131 0.000216595 -102 *2894:107 *5741:144 0.000141631 -103 *2894:107 *5741:158 1.94945e-05 -104 *3164:146 *28334:RESET_B 5.25192e-06 -105 *3196:179 *28283:RESET_B 1.40034e-05 -106 *3558:17 *28138:RESET_B 4.25954e-05 -107 *3561:16 *28718:RESET_B 0.000120895 -108 *3637:40 *28258:RESET_B 4.46186e-06 -109 *3637:96 *28293:RESET_B 1.68581e-05 -110 *3637:96 *28313:RESET_B 0.000342302 -111 *3688:31 *28311:RESET_B 0.000127892 -112 *3697:33 *5741:171 0 -113 *3711:21 *28239:RESET_B 0.00012222 -114 *3711:21 *5741:17 0.000206996 -115 *3711:21 *5741:23 0.000776423 -116 *3713:39 *28311:RESET_B 0.000108389 -117 *3723:25 *28138:RESET_B 4.26646e-05 -118 *3723:25 *28139:RESET_B 9.39059e-05 -119 *3723:25 *5741:78 0.000266004 -120 *3723:62 *5741:67 4.18895e-05 -121 *3723:80 *28718:RESET_B 0.000294951 -122 *3723:80 *5741:106 0.00032542 -123 *3723:80 *5741:118 5.71026e-05 -124 *3739:19 *5741:43 0.000407929 -125 *3830:36 *28258:RESET_B 0.000171375 -126 *3830:36 *28262:RESET_B 1.41029e-05 -127 *3830:36 *28292:RESET_B 0.000352672 -128 *3871:50 *28295:RESET_B 2.29097e-05 -129 *3871:50 *5741:158 0.000161441 -130 *3871:73 *28262:RESET_B 0.000358445 -131 *3975:22 *28718:RESET_B 1.02821e-05 -132 *3984:10 *28138:RESET_B 2.45288e-05 -133 *3984:10 *28715:RESET_B 9.41642e-05 -134 *3984:33 *28138:RESET_B 0.000140702 -135 *4065:53 *28261:RESET_B 6.95191e-05 -136 *4065:53 *5741:171 4.61868e-05 -137 *4103:40 *5741:131 0.000218174 -138 *4103:40 *5741:144 0.000133009 -139 *4103:40 *5741:158 1.08716e-05 -140 *4113:8 *28334:RESET_B 5.73575e-05 -141 *4113:8 *5741:67 9.60939e-05 -142 *4118:26 *28283:RESET_B 0.000210722 -143 *4127:84 *28138:RESET_B 0.000174733 -144 *4142:26 *28718:RESET_B 0 -145 *4142:26 *5741:68 0 -146 *4142:26 *5741:106 0 -147 *4143:8 *28292:RESET_B 9.60875e-05 -148 *4143:11 *5741:158 0.000422885 -149 *4144:35 *28293:RESET_B 4.08637e-05 -150 *4144:35 *28313:RESET_B 5.96516e-05 -151 *5076:19 *28138:RESET_B 8.6229e-06 -152 *5076:19 *5741:68 0.000208432 -153 *5076:19 *5741:106 7.55804e-05 -154 *5077:11 *5741:43 0.000213492 -155 *5545:30 *5741:171 0 -156 *5545:30 *5741:177 0.000147599 -157 *5623:13 *28258:RESET_B 0.000125355 -158 *5623:13 *5741:53 4.16984e-05 -159 *5623:13 *5741:67 7.65804e-05 -160 *5623:23 *5741:67 0.000407938 -161 *5626:11 *28334:RESET_B 1.59373e-05 -162 *5626:19 *28715:RESET_B 0.000180066 -163 *5657:114 *28139:RESET_B 9.39059e-05 -164 *5657:114 *28311:RESET_B 0.000107157 -165 *5657:114 *5741:78 0.000274627 -166 *5693:25 *5741:171 9.91086e-05 -167 *5694:19 *5741:106 0.000222805 -168 *5694:19 *5741:118 6.50032e-05 -169 *5699:17 *28293:RESET_B 0.000131643 -170 *5699:17 *5741:171 0.000785146 -171 *5712:30 *5741:43 0.000214523 -*RES -1 *29024:X *5741:4 9.3 -2 *5741:4 *28238:SET_B 11.4821 -3 *5741:4 *5741:17 8.30357 -4 *5741:17 *28144:RESET_B 4.5 -5 *5741:17 *5741:23 10.1429 -6 *5741:23 *28332:SET_B 4.5 -7 *28332:SET_B *5741:43 11.1696 -8 *5741:43 *28258:RESET_B 9.70536 -9 *28258:RESET_B *5741:53 1.29464 -10 *5741:53 *28334:RESET_B 13.0625 -11 *5741:53 *5741:67 15.7143 -12 *5741:67 *5741:68 2.85714 -13 *5741:68 *28138:RESET_B 10.2768 -14 *28138:RESET_B *5741:78 8.26786 -15 *5741:78 *28139:RESET_B 5.83929 -16 *5741:78 *28260:SET_B 16.2054 -17 *5741:68 *28715:RESET_B 6.9375 -18 *5741:67 *5741:106 4.67857 -19 *5741:106 *28310:RESET_B 4.97321 -20 *5741:106 *5741:118 4.44746 -21 *5741:118 *28718:RESET_B 17.8333 -22 *28718:RESET_B *5741:131 7.35714 -23 *5741:131 *28313:RESET_B 11.6875 -24 *5741:131 *5741:144 1.94643 -25 *5741:144 *28295:RESET_B 4.97321 -26 *5741:144 *5741:158 7.79239 -27 *5741:158 *28293:RESET_B 8 -28 *5741:158 *5741:171 26.1875 -29 *5741:171 *5741:177 15.3571 -30 *5741:177 *28283:RESET_B 9.09821 -31 *5741:177 *28262:RESET_B 13.6786 -32 *5741:171 *28261:RESET_B 1.72321 -33 *5741:118 *28311:RESET_B 12.2816 -34 *5741:43 *28292:RESET_B 12.8482 -35 *5741:23 *28239:RESET_B 7.84821 -*END - -*D_NET *5742 0.0817106 -*CONN -*I *28047:A I *D sky130_fd_sc_hd__and2_1 -*I *28045:A I *D sky130_fd_sc_hd__and2_1 -*I *28042:A I *D sky130_fd_sc_hd__and2_1 -*I *28044:A I *D sky130_fd_sc_hd__and2_1 -*I *28061:A I *D sky130_fd_sc_hd__and2_1 -*I *28063:A I *D sky130_fd_sc_hd__and2_1 -*I *28308:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28282:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28134:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28043:A I *D sky130_fd_sc_hd__and2_1 -*I *28062:A I *D sky130_fd_sc_hd__and2_1 -*I *28064:A I *D sky130_fd_sc_hd__and2_1 -*I *28149:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28059:A I *D sky130_fd_sc_hd__and2_1 -*I *28049:A I *D sky130_fd_sc_hd__and2_1 -*I *28065:A I *D sky130_fd_sc_hd__and2_1 -*I *28046:A I *D sky130_fd_sc_hd__and2_1 -*I *28058:A I *D sky130_fd_sc_hd__and2_1 -*I *28060:A I *D sky130_fd_sc_hd__and2_1 -*I *28048:A I *D sky130_fd_sc_hd__and2_1 -*I *29025:X O *D sky130_fd_sc_hd__buf_4 -*CAP -1 *28047:A 0.000153668 -2 *28045:A 0 -3 *28042:A 0 -4 *28044:A 0 -5 *28061:A 4.1744e-05 -6 *28063:A 0.000845816 -7 *28308:RESET_B 0.00120283 -8 *28282:RESET_B 3.64716e-05 -9 *28134:RESET_B 0 -10 *28043:A 0.000252333 -11 *28062:A 0.000315367 -12 *28064:A 0.00129746 -13 *28149:RESET_B 0.00184261 -14 *28059:A 0.000218281 -15 *28049:A 0.00032693 -16 *28065:A 2.26968e-05 -17 *28046:A 0.000159462 -18 *28058:A 7.94381e-05 -19 *28060:A 3.04135e-05 -20 *28048:A 0.000899833 -21 *29025:X 0 -22 *5742:332 0.00348111 -23 *5742:282 0.00162419 -24 *5742:275 0.00118072 -25 *5742:268 0.00107606 -26 *5742:240 0.000767208 -27 *5742:234 0.00110999 -28 *5742:213 0.00160518 -29 *5742:207 0.00106121 -30 *5742:193 0.00129353 -31 *5742:170 0.00285761 -32 *5742:163 0.00538034 -33 *5742:111 0.00165621 -34 *5742:102 0.00321572 -35 *5742:51 0.000711675 -36 *5742:43 0.00236039 -37 *5742:38 0.00233891 -38 *5742:29 0.00226944 -39 *5742:4 0.00137761 -40 *28048:A *29025:A 0.000135028 -41 *28048:A *5836:162 0.000218454 -42 *28058:A *6158:28 0.000189832 -43 *28062:A *5899:20 0.000137561 -44 *28282:RESET_B *28282:D 2.28709e-05 -45 *28308:RESET_B *25862:S 6.72598e-05 -46 *28308:RESET_B *28282:D 0.000104766 -47 *28308:RESET_B *5797:11 0 -48 *5742:29 *29025:A 0.000314604 -49 *5742:51 *25493:A2 0.000203861 -50 *5742:163 *30790:A 0.000249148 -51 *5742:163 *5805:37 1.14671e-05 -52 *5742:163 *5888:16 7.90803e-05 -53 *5742:163 *5900:10 0.000491912 -54 *5742:207 *5836:135 0.000127006 -55 *5742:234 *28282:D 8.65688e-05 -56 *5742:240 *28282:D 0.000371165 -57 *5742:268 *25862:S 0 -58 *5742:268 *5797:11 0.000125717 -59 *5742:268 *5855:8 2.94741e-05 -60 *24868:A *5742:163 0.000125917 -61 *24870:A2 *5742:163 0.000190191 -62 *25000:A0 *28064:A 0.000139907 -63 *25000:S *28064:A 0.000412407 -64 *25000:S *5742:170 1.56e-05 -65 *25286:A0 *28064:A 0.000182592 -66 *25432:B *28308:RESET_B 0.000120999 -67 *25432:B *5742:240 3.68066e-05 -68 *25443:A1 *5742:170 0.000101545 -69 *25450:A2 *5742:234 0 -70 *25459:A1 *5742:38 0.000203515 -71 *25471:A1 *28048:A 5.58875e-06 -72 *25471:S *28048:A 5.74309e-05 -73 *25473:C1 *28046:A 0.00014388 -74 *25477:A *28046:A 3.63587e-05 -75 *25480:B *5742:51 0.000135242 -76 *25493:A3 *5742:51 4.038e-06 -77 *25493:B1 *5742:51 0.000354746 -78 *25554:A0 *5742:102 0.000174916 -79 *25638:A0 *5742:102 9.41642e-05 -80 *25638:A1 *5742:102 0 -81 *25638:S *5742:102 7.37081e-05 -82 *25675:A1 *5742:111 0.000383016 -83 *25675:S *5742:111 8.40933e-05 -84 *25697:A0 *5742:38 4.00607e-05 -85 *25774:A1 *5742:111 0.000316852 -86 *25790:A1 *5742:207 6.05161e-06 -87 *25806:A1 *28064:A 9.90431e-05 -88 *25806:S *28064:A 0.000216755 -89 *25823:A1 *28149:RESET_B 9.75478e-05 -90 *25825:A1 *5742:102 1.76755e-05 -91 *25825:A1 *5742:111 0.000123861 -92 *25825:S *28149:RESET_B 0.000124414 -93 *25825:S *5742:102 0.000122689 -94 *25866:A0 *5742:332 0.00038214 -95 *25866:S *5742:332 0.000634875 -96 *25879:A0 *5742:332 0.000235374 -97 *25879:A1 *5742:332 0.000192619 -98 *27871:A2 *28049:A 4.21517e-05 -99 *27974:A0 *28063:A 4.35597e-05 -100 *27974:A1 *28063:A 9.66977e-05 -101 *27974:S *28063:A 2.42516e-05 -102 *28042:B *5742:268 5.52302e-05 -103 *28043:B *5742:213 0 -104 *28045:B *5742:207 1.92905e-05 -105 *28047:B *28047:A 0.000175892 -106 *28049:B *28049:A 9.58689e-05 -107 *28061:B *28061:A 3.41517e-06 -108 *28061:B *5742:282 2.59355e-05 -109 *28064:B *28064:A 0.000388655 -110 *28079:RESET_B *5742:51 0.000160692 -111 *28087:RESET_B *5742:268 6.58597e-05 -112 *28087:RESET_B *5742:275 0.000157409 -113 *28088:D *28043:A 1.24368e-05 -114 *28088:RESET_B *5742:213 6.29147e-05 -115 *28088:RESET_B *5742:234 8.9691e-05 -116 *28090:CLK *5742:207 7.53045e-05 -117 *28092:D *5742:193 2.82057e-05 -118 *28144:D *28149:RESET_B 0.000376947 -119 *28144:D *5742:163 4.70821e-05 -120 *28163:CLK *5742:102 0.000180777 -121 *28179:D *5742:38 0.000325185 -122 *28239:CLK *5742:332 1.39726e-05 -123 *28239:D *5742:332 0.000425959 -124 *28239:RESET_B *5742:332 0.000174103 -125 *28309:CLK *5742:268 0.000115857 -126 *28310:RESET_B *5742:332 4.70821e-05 -127 *28332:CLK *5742:332 5.91336e-05 -128 *28409:D *28064:A 4.38942e-05 -129 *29108:A *5742:38 0 -130 *29118:A *5742:170 2.03008e-05 -131 *29415:A *28308:RESET_B 0.000179995 -132 *29476:A *28064:A 0.000135028 -133 *29477:A *28062:A 9.60875e-05 -134 *29481:A *28048:A 6.99087e-05 -135 *29569:A *28063:A 0.000391604 -136 *29624:A *5742:282 7.32272e-05 -137 *29669:A *5742:170 9.41642e-05 -138 *29680:A *5742:170 5.52302e-05 -139 *29908:A *28282:RESET_B 5.49995e-05 -140 *29908:A *5742:240 5.52675e-05 -141 *29909:A *5742:234 8.65688e-05 -142 *29909:A *5742:240 0.00016063 -143 *30191:A *5742:275 0.000271345 -144 *30219:A *5742:111 9.60875e-05 -145 *30220:A *5742:111 8.19022e-05 -146 *30252:A *5742:332 2.95726e-05 -147 *30277:A *5742:332 2.88018e-06 -148 *30278:A *5742:170 9.60875e-05 -149 *30278:A *5742:332 9.60939e-05 -150 *30282:A *28149:RESET_B 0.000235473 -151 *30282:A *5742:163 2.58757e-05 -152 *30506:A *5742:38 0.000143828 -153 *30506:A *5742:43 1.94879e-05 -154 *373:12 *5742:213 8.99397e-05 -155 *373:12 *5742:275 0.000365689 -156 *389:8 *28046:A 1.26641e-05 -157 *389:8 *5742:51 0.000905163 -158 *400:14 *28047:A 8.55871e-05 -159 *407:10 *28058:A 0.000189832 -160 *411:19 *5742:43 0.000105026 -161 *412:14 *28059:A 0.000165693 -162 *412:14 *5742:111 5.19659e-05 -163 *413:7 *5742:51 2.89016e-05 -164 *414:22 *5742:282 0.000518137 -165 *418:8 *28048:A 0.000433705 -166 *437:23 *5742:102 2.22618e-05 -167 *440:29 *28308:RESET_B 4.60547e-05 -168 *440:29 *5742:234 8.60328e-05 -169 *495:15 *28149:RESET_B 0.000328848 -170 *495:15 *5742:102 5.55449e-05 -171 *495:15 *5742:111 2.59355e-05 -172 *622:20 *5742:38 2.02794e-05 -173 *622:20 *5742:102 5.14314e-05 -174 *623:22 *28048:A 0.000459523 -175 *1173:82 *5742:163 4.22135e-06 -176 *1173:96 *5742:332 2.07382e-05 -177 *1175:21 *28048:A 4.65519e-05 -178 *1192:25 *28048:A 0.00035503 -179 *1197:15 *5742:163 0.000195134 -180 *1231:17 *5742:163 1.09026e-05 -181 *1287:14 *28308:RESET_B 0.000265931 -182 *1287:21 *5742:163 5.87073e-05 -183 *1287:25 *28149:RESET_B 0.000113827 -184 *1324:113 *5742:207 0.000173097 -185 *1358:69 *28063:A 4.13122e-06 -186 *1358:85 *28063:A 5.70459e-05 -187 *1427:168 *5742:213 6.84249e-05 -188 *1430:59 *5742:38 0.000106928 -189 *1430:59 *5742:43 1.01912e-05 -190 *1730:114 *28048:A 7.19919e-05 -191 *1742:44 *5742:170 0.000244835 -192 *1742:55 *5742:170 4.27935e-05 -193 *1743:8 *28308:RESET_B 6.72715e-05 -194 *1749:21 *5742:38 0.000297543 -195 *1749:21 *5742:102 7.74433e-05 -196 *1752:15 *28048:A 0.00032925 -197 *1752:19 *5742:170 6.05161e-06 -198 *1766:24 *5742:102 0.000203235 -199 *1771:29 *28043:A 0.000207024 -200 *1774:15 *28046:A 6.48327e-05 -201 *1827:61 *28149:RESET_B 0.000493726 -202 *1868:49 *5742:111 0.000180777 -203 *1871:81 *28063:A 0.000743856 -204 *1871:94 *5742:207 4.75723e-05 -205 *1874:36 *5742:282 0.000361539 -206 *1875:36 *5742:163 0 -207 *1875:52 *28149:RESET_B 0.000203391 -208 *2203:19 *5742:282 4.46186e-06 -209 *2789:27 *28049:A 0.000548164 -210 *2834:142 *28049:A 0.000511146 -211 *2855:121 *5742:332 3.97677e-05 -212 *3558:38 *5742:163 0.000158912 -213 *3564:14 *5742:275 0 -214 *3616:11 *28149:RESET_B 0.000103395 -215 *3626:35 *28308:RESET_B 0.000164973 -216 *3637:17 *5742:332 0.00011163 -217 *3637:22 *5742:332 4.58194e-05 -218 *3701:19 *28308:RESET_B 0.00015623 -219 *3710:18 *28149:RESET_B 0 -220 *3710:28 *28149:RESET_B 0.000169386 -221 *3723:8 *5742:332 2.59355e-05 -222 *3724:6 *28047:A 0.000188546 -223 *3724:70 *28047:A 9.54798e-06 -224 *3739:48 *28049:A 0.000223547 -225 *3857:36 *5742:111 0.000558262 -226 *3936:33 *28149:RESET_B 9.41642e-05 -227 *4066:33 *5742:332 6.04666e-05 -228 *4116:36 *28049:A 7.69403e-05 -229 *4127:14 *5742:102 0.000365844 -230 *4127:75 *5742:163 8.60828e-05 -231 *4157:45 *28308:RESET_B 0 -232 *4160:15 *5742:193 0.000287586 -233 *4186:6 *5742:38 2.11419e-05 -234 *4189:41 *28043:A 0.000207024 -235 *4192:168 *5742:102 0.000684126 -236 *4195:90 *5742:193 8.30964e-05 -237 *4195:90 *5742:207 9.47787e-05 -238 *4195:179 *5742:38 0 -239 *4546:20 *5742:102 1.26641e-05 -240 *4560:14 *5742:102 9.60939e-05 -241 *5136:11 *5742:102 0.000530532 -242 *5242:18 *5742:193 0.000552273 -243 *5242:18 *5742:207 8.49829e-05 -244 *5244:17 *28064:A 0.00048033 -245 *5247:10 *28064:A 0.000346489 -246 *5248:11 *5742:207 0.000248959 -247 *5253:21 *28048:A 6.12192e-05 -248 *5256:23 *28048:A 0.000117545 -249 *5345:11 *28064:A 3.90801e-05 -250 *5395:7 *5742:282 0.000111451 -251 *5467:14 *5742:43 0.00256342 -252 *5467:14 *5742:51 0.000155181 -253 *5554:35 *28063:A 0.000745571 -254 *5564:32 *28063:A 0.000244833 -255 *5575:30 *5742:193 0 -256 *5575:30 *5742:207 0 -257 *5693:70 *5742:332 0.000347181 -258 *5699:41 *5742:111 9.41642e-05 -259 *5699:45 *5742:111 0.000351162 -260 *5706:8 *5742:332 0.000175892 -261 *5707:9 *28047:A 0.000186892 -262 *5727:20 *28048:A 0.000290382 -263 *5727:163 *28065:A 5.52238e-05 -264 *5728:10 *5742:213 6.7848e-05 -265 *5728:10 *5742:275 0.000225307 -266 *5728:12 *5742:213 3.34295e-05 -267 *5728:27 *28062:A 0.00030361 -268 *5728:88 *28046:A 7.7285e-05 -269 *5728:88 *5742:51 0.000262504 -270 *5728:90 *28060:A 5.33005e-05 -271 *5728:90 *5742:51 4.08637e-05 -272 *5728:137 *5742:102 0.000115107 -273 *5728:151 *5742:102 0.000246837 -274 *5728:296 *5742:282 0.000108535 -275 *5739:170 *5742:38 0.000271283 -*RES -1 *29025:X *5742:4 9.3 -2 *5742:4 *28048:A 39.4125 -3 *5742:4 *5742:29 10.3929 -4 *5742:29 *5742:38 17.8393 -5 *5742:38 *5742:43 7.91365 -6 *5742:43 *5742:51 22.9857 -7 *5742:51 *28060:A 9.83571 -8 *5742:51 *28058:A 20.8536 -9 *5742:43 *28046:A 20.5761 -10 *5742:38 *28065:A 14.3357 -11 *5742:29 *5742:102 33.7694 -12 *5742:102 *5742:111 27.7857 -13 *5742:111 *28049:A 28.3 -14 *5742:111 *28059:A 13.5321 -15 *5742:102 *28149:RESET_B 28.8839 -16 *28149:RESET_B *5742:163 36.2946 -17 *5742:163 *5742:170 28.4464 -18 *5742:170 *28064:A 36.3536 -19 *5742:170 *5742:193 13.7321 -20 *5742:193 *28062:A 20.0857 -21 *5742:193 *5742:207 17.875 -22 *5742:207 *5742:213 9.93723 -23 *5742:213 *28043:A 22.6654 -24 *5742:213 *5742:234 6.5903 -25 *5742:234 *28134:RESET_B 4.5 -26 *5742:234 *5742:240 4.98214 -27 *5742:240 *28282:RESET_B 5.38393 -28 *5742:240 *28308:RESET_B 20.3125 -29 *28308:RESET_B *5742:268 19.3571 -30 *5742:268 *5742:275 19.9643 -31 *5742:275 *5742:282 25.4286 -32 *5742:282 *28063:A 47.2643 -33 *5742:282 *28061:A 10.2643 -34 *5742:275 *28044:A 9.3 -35 *5742:268 *28042:A 9.3 -36 *5742:207 *28045:A 9.3 -37 *5742:163 *5742:332 49.875 -38 *5742:332 *28047:A 18.1214 -*END - -*D_NET *5743 0.0458919 -*CONN -*I *28297:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28333:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28298:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28312:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28314:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28330:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28328:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28717:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *29024:A I *D sky130_fd_sc_hd__buf_8 -*I *29025:A I *D sky130_fd_sc_hd__buf_4 -*I *28331:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *29023:A I *D sky130_fd_sc_hd__buf_6 -*I *29026:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *28297:RESET_B 0.000605999 -2 *28333:RESET_B 0.000814695 -3 *28298:RESET_B 0 -4 *28312:SET_B 0 -5 *28314:RESET_B 0.000655648 -6 *28330:RESET_B 0.00023536 -7 *28328:RESET_B 5.7151e-05 -8 *28717:RESET_B 0.000477774 -9 *29024:A 1.69531e-05 -10 *29025:A 0.00126007 -11 *28331:RESET_B 1.80576e-05 -12 *29023:A 4.16684e-05 -13 *29026:X 0.000178681 -14 *5743:155 0.0016559 -15 *5743:147 0.00107993 -16 *5743:135 0.000959915 -17 *5743:122 0.00324265 -18 *5743:95 0.00044107 -19 *5743:75 0.00086597 -20 *5743:74 0.00332407 -21 *5743:60 0.00184809 -22 *5743:33 0.00315026 -23 *5743:18 0.00106343 -24 *5743:9 0.000594045 -25 *28333:RESET_B *25880:A0 3.43708e-05 -26 *28333:RESET_B *28333:D 0.000254356 -27 *5743:9 *29026:A 0.000185643 -28 *5743:60 *5778:47 7.283e-05 -29 *5743:60 *5778:49 0.000988045 -30 *5743:74 *5778:49 7.81898e-05 -31 *5743:135 *5839:26 0.000105696 -32 *5743:147 *5839:26 3.25745e-05 -33 *25416:B2 *5743:74 0.000519172 -34 *25430:B *5743:60 0.000263166 -35 *25650:A0 *5743:60 7.29712e-05 -36 *25651:A0 *5743:60 9.42557e-05 -37 *25652:B *29025:A 0.000361371 -38 *25655:A1 *5743:33 6.95688e-05 -39 *25698:A0 *5743:33 0.000308001 -40 *25798:B *5743:74 0.000669597 -41 *25842:S *5743:147 8.77729e-05 -42 *25842:S *5743:155 0.00022217 -43 *25869:A0 *28314:RESET_B 0.000386313 -44 *25869:A1 *5743:135 0.000116417 -45 *25869:A1 *5743:147 1.59145e-05 -46 *25871:S *5743:18 0.000320914 -47 *25872:S *5743:18 2.03008e-05 -48 *25872:S *5743:33 7.71571e-05 -49 *25877:A0 *28717:RESET_B 2.11419e-05 -50 *25880:S *28333:RESET_B 0.000177821 -51 *27157:A1 *28330:RESET_B 0.000141101 -52 *27563:A1 *5743:122 0.000131357 -53 *27939:A *28297:RESET_B 0.000183344 -54 *27939:A *28333:RESET_B 0.00025664 -55 *27959:A2 *5743:122 0 -56 *28024:A *5743:9 9.90431e-05 -57 *28048:A *29025:A 0.000135028 -58 *28069:RESET_B *29023:A 0.000136951 -59 *28069:RESET_B *5743:9 0.000326192 -60 *28144:D *29024:A 5.33005e-05 -61 *28314:CLK *28314:RESET_B 3.66245e-05 -62 *28314:D *28314:RESET_B 0.000104729 -63 *28328:D *28328:RESET_B 4.57695e-05 -64 *28328:D *28330:RESET_B 4.13496e-05 -65 *28331:D *28331:RESET_B 2.29097e-05 -66 *28331:D *5743:18 0.000312736 -67 *29002:A *28314:RESET_B 5.33005e-05 -68 *29002:A *5743:122 0.000472599 -69 *29002:A *5743:135 3.17148e-05 -70 *29365:A *29025:A 5.33005e-05 -71 *29907:A *28333:RESET_B 0.000110239 -72 *30250:A *28297:RESET_B 0.000148911 -73 *30255:A *28314:RESET_B 1.86517e-05 -74 *30263:A *5743:122 0.000154944 -75 *30378:A *5743:60 0.000325459 -76 *30516:A *29025:A 2.38501e-05 -77 *374:18 *28330:RESET_B 6.72353e-05 -78 *406:8 *5743:33 5.77668e-05 -79 *408:20 *28717:RESET_B 8.69554e-05 -80 *1209:13 *28333:RESET_B 0.000151012 -81 *1324:37 *5743:74 0.000421699 -82 *1456:82 *28717:RESET_B 0.000408746 -83 *1456:82 *5743:75 0.000540188 -84 *1456:82 *5743:122 0.000288809 -85 *1545:48 *28717:RESET_B 9.24351e-05 -86 *1829:48 *5743:33 1.83485e-05 -87 *1829:48 *5743:60 5.21452e-05 -88 *1868:31 *5743:18 0.000983124 -89 *1868:31 *5743:33 0.00074929 -90 *1872:31 *28717:RESET_B 0.000748444 -91 *1872:31 *5743:75 0.000555521 -92 *1872:31 *5743:122 0.000117095 -93 *1877:66 *5743:95 8.70269e-05 -94 *2894:107 *28297:RESET_B 0.000103981 -95 *2894:138 *28297:RESET_B 0.000234058 -96 *3192:118 *5743:135 1.18451e-05 -97 *3192:118 *5743:147 2.30116e-06 -98 *3479:8 *28297:RESET_B 8.08022e-05 -99 *3561:16 *5743:122 7.67219e-05 -100 *3657:7 *5743:60 9.41642e-05 -101 *3685:20 *5743:122 0.000216564 -102 *3685:66 *5743:122 7.6644e-05 -103 *3697:33 *5743:155 0.000166735 -104 *3710:28 *5743:33 7.36733e-05 -105 *3710:28 *5743:60 0.00121343 -106 *3740:7 *28333:RESET_B 0.000175892 -107 *3749:105 *28330:RESET_B 2.72763e-05 -108 *3831:83 *28717:RESET_B 2.11419e-05 -109 *3935:28 *5743:60 2.22999e-05 -110 *3935:32 *28330:RESET_B 1.34548e-05 -111 *3936:33 *29024:A 5.33005e-05 -112 *3936:33 *5743:60 2.95642e-05 -113 *3936:33 *5743:74 8.49829e-05 -114 *4064:37 *5743:122 0.00040359 -115 *4103:40 *28297:RESET_B 0 -116 *4103:44 *28297:RESET_B 6.0038e-05 -117 *4104:6 *28297:RESET_B 0.0002253 -118 *4105:20 *28297:RESET_B 8.94268e-05 -119 *4127:32 *29025:A 0.000903398 -120 *4127:32 *5743:33 0 -121 *4142:26 *5743:122 7.58662e-05 -122 *4142:26 *5743:135 6.34941e-05 -123 *4143:11 *5743:122 0.000501927 -124 *4159:56 *5743:95 4.62968e-05 -125 *4195:36 *5743:60 2.06178e-05 -126 *5007:31 *5743:95 0.000176811 -127 *5007:42 *28297:RESET_B 0.000708679 -128 *5253:21 *29025:A 7.40922e-05 -129 *5253:28 *29025:A 0.00012316 -130 *5614:114 *5743:60 0.000171538 -131 *5666:75 *5743:122 0.000212784 -132 *5666:77 *28717:RESET_B 3.68954e-05 -133 *5686:35 *5743:74 0.000524093 -134 *5693:25 *28333:RESET_B 7.48301e-06 -135 *5693:97 *5743:60 8.19176e-05 -136 *5699:41 *28330:RESET_B 0.000299865 -137 *5699:41 *5743:95 8.51784e-05 -138 *5706:78 *28331:RESET_B 4.70821e-05 -139 *5712:49 *5743:33 0.000115941 -140 *5739:13 *29023:A 7.16452e-05 -141 *5739:13 *5743:9 0.000475148 -142 *5741:171 *5743:147 2.91017e-05 -143 *5741:171 *5743:155 0.000178123 -144 *5742:29 *29025:A 0.000314604 -*RES -1 *29026:X *5743:9 16.1393 -2 *5743:9 *29023:A 10.6571 -3 *5743:9 *5743:18 17.375 -4 *5743:18 *28331:RESET_B 4.97321 -5 *5743:18 *5743:33 23.1786 -6 *5743:33 *29025:A 35.5679 -7 *5743:33 *5743:60 40.6071 -8 *5743:60 *29024:A 14.3357 -9 *5743:60 *5743:74 10.4687 -10 *5743:74 *5743:75 1.21887 -11 *5743:75 *28717:RESET_B 13.3969 -12 *5743:75 *5743:95 12.8067 -13 *5743:95 *28328:RESET_B 0.883929 -14 *5743:95 *28330:RESET_B 14.5268 -15 *5743:74 *5743:122 18.7565 -16 *5743:122 *28314:RESET_B 13.3304 -17 *5743:122 *5743:135 3.76786 -18 *5743:135 *28312:SET_B 4.5 -19 *5743:135 *5743:147 10.0041 -20 *5743:147 *28298:RESET_B 4.5 -21 *5743:147 *5743:155 11.6071 -22 *5743:155 *28333:RESET_B 13.7946 -23 *5743:155 *28297:RESET_B 25.0089 -*END - -*D_NET *5744 0.0479606 -*CONN -*I *28329:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28379:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28378:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28158:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28159:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28376:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28327:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28155:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28666:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28156:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *29026:A I *D sky130_fd_sc_hd__buf_6 -*I *29027:X O *D sky130_fd_sc_hd__buf_4 -*CAP -1 *28329:RESET_B 0.000962123 -2 *28379:RESET_B 0.00218096 -3 *28378:RESET_B 0.000723618 -4 *28158:RESET_B 0.000734841 -5 *28159:RESET_B 0.000234184 -6 *28376:RESET_B 0 -7 *28327:SET_B 0 -8 *28155:RESET_B 1.15956e-05 -9 *28666:SET_B 0.000589416 -10 *28156:RESET_B 0.0016152 -11 *29026:A 0.00035299 -12 *29027:X 4.98328e-06 -13 *5744:119 0.000452865 -14 *5744:114 0.00317001 -15 *5744:102 0.00244898 -16 *5744:76 0.00190079 -17 *5744:48 0.000923683 -18 *5744:37 0.000863138 -19 *5744:30 0.00388196 -20 *5744:6 0.0032798 -21 *25244:C1 *5744:102 0.000375297 -22 *25244:C1 *5744:114 0.000297949 -23 *25307:A1 *5744:30 0.000178425 -24 *25307:A2 *5744:30 5.74499e-06 -25 *25307:B1 *5744:30 0.000175892 -26 *25307:C1 *5744:30 6.87811e-05 -27 *25935:A1 *28379:RESET_B 0.000102804 -28 *27100:A0 *28666:SET_B 2.84026e-05 -29 *27568:A2 *28379:RESET_B 0.000574128 -30 *27568:A2 *5744:114 0.000583416 -31 *27875:A1 *28666:SET_B 0.000104171 -32 *27885:C1 *29026:A 0.000126439 -33 *27929:B2 *28379:RESET_B 0 -34 *27960:A2 *28329:RESET_B 0.000310132 -35 *27960:B1 *28379:RESET_B 0.000382646 -36 *27960:B1 *5744:119 0.000139734 -37 *28024:A *29026:A 0.00012336 -38 *28069:RESET_B *29026:A 0.000428902 -39 *28155:D *5744:48 8.55871e-05 -40 *28158:CLK *28378:RESET_B 1.21258e-05 -41 *28158:D *28378:RESET_B 9.46095e-05 -42 *28327:D *5744:48 0.000105471 -43 *28327:D *5744:102 0.000178847 -44 *28666:D *28666:SET_B 6.41688e-05 -45 *30279:A *28378:RESET_B 1.16499e-05 -46 *30332:A *28379:RESET_B 4.17433e-05 -47 *30448:A *5744:30 0.000177815 -48 *1239:14 *28666:SET_B 1.37643e-05 -49 *1252:26 *28666:SET_B 0.000191161 -50 *1277:16 *5744:76 0.000324252 -51 *1362:90 *28378:RESET_B 0.000543665 -52 *1362:90 *28379:RESET_B 0.000120169 -53 *1396:83 *5744:30 0.000184067 -54 *1411:97 *5744:76 3.66672e-05 -55 *1456:41 *28329:RESET_B 0.000469068 -56 *1476:103 *29026:A 3.08351e-05 -57 *1563:17 *28379:RESET_B 6.20441e-06 -58 *1563:25 *28379:RESET_B 0.000169 -59 *1613:6 *5744:76 0.000320169 -60 *1617:30 *5744:37 5.42887e-05 -61 *1617:30 *5744:114 0.000448899 -62 *1624:85 *5744:30 4.85033e-05 -63 *1864:51 *5744:102 0.000117907 -64 *2834:109 *5744:30 6.43226e-05 -65 *2845:109 *28379:RESET_B 0 -66 *2845:109 *5744:114 0 -67 *2853:90 *5744:48 0.000172913 -68 *2853:91 *5744:102 0.000242552 -69 *2853:107 *5744:102 0.000139189 -70 *2853:107 *5744:114 0.000292833 -71 *2875:85 *5744:37 2.86824e-05 -72 *2875:85 *5744:102 0.000290557 -73 *2877:58 *5744:114 1.61124e-05 -74 *2880:215 *5744:37 4.28249e-05 -75 *2880:215 *5744:48 0.000104625 -76 *2882:64 *28155:RESET_B 1.06421e-05 -77 *2882:64 *28156:RESET_B 7.19048e-06 -78 *2882:64 *5744:48 6.32655e-05 -79 *2894:87 *5744:30 7.68915e-05 -80 *3156:124 *5744:30 4.88511e-06 -81 *3165:297 *5744:30 9.00968e-05 -82 *3168:66 *5744:30 0.00116844 -83 *3173:110 *28158:RESET_B 4.22135e-06 -84 *3173:110 *28159:RESET_B 0.000269507 -85 *3184:202 *5744:30 8.69337e-06 -86 *3194:108 *5744:30 2.22618e-05 -87 *3194:116 *5744:30 0.000113339 -88 *3212:99 *5744:30 0.000203286 -89 *3215:112 *5744:76 4.22135e-06 -90 *3414:20 *29026:A 0.000141517 -91 *3414:20 *5744:6 1.24469e-05 -92 *3414:33 *29026:A 0.000247297 -93 *3457:10 *5744:30 0.000204015 -94 *3570:11 *5744:48 8.60509e-05 -95 *3570:11 *5744:76 0.000431172 -96 *3570:27 *5744:76 0.00136584 -97 *3645:7 *5744:76 0.00122005 -98 *3645:38 *5744:76 0.00034127 -99 *3662:34 *5744:114 0.000496336 -100 *3749:46 *5744:30 2.37836e-05 -101 *3749:108 *28329:RESET_B 2.59355e-05 -102 *3749:108 *28379:RESET_B 5.45854e-05 -103 *3765:30 *28329:RESET_B 0.000425372 -104 *3775:39 *5744:37 3.25745e-05 -105 *3775:39 *5744:48 0.000106204 -106 *3775:39 *5744:102 0.000108447 -107 *3779:59 *28156:RESET_B 0.000348158 -108 *3779:59 *5744:114 0 -109 *3779:97 *28159:RESET_B 2.29097e-05 -110 *3780:53 *28158:RESET_B 1.94879e-05 -111 *3780:53 *28159:RESET_B 6.22273e-05 -112 *3802:58 *28379:RESET_B 1.09611e-05 -113 *3819:5 *28379:RESET_B 0.000180079 -114 *3830:35 *5744:30 6.8147e-05 -115 *3830:36 *29026:A 5.09065e-05 -116 *3830:36 *5744:6 2.06178e-05 -117 *3830:70 *5744:30 0 -118 *3831:53 *5744:76 0.000131385 -119 *3841:25 *5744:37 0 -120 *3857:49 *5744:119 4.43356e-05 -121 *3922:11 *28378:RESET_B 4.70821e-05 -122 *3932:18 *5744:76 0.000108516 -123 *3960:86 *5744:37 0.000134674 -124 *4023:18 *28379:RESET_B 8.75968e-05 -125 *4023:28 *5744:76 0.000120504 -126 *4087:26 *28329:RESET_B 0.000886059 -127 *4113:51 *5744:30 2.996e-06 -128 *4153:62 *5744:76 0.000178847 -129 *4186:20 *5744:114 0.000484187 -130 *5467:14 *5744:30 0.00123353 -131 *5614:88 *28329:RESET_B 0.000103262 -132 *5614:88 *28379:RESET_B 0 -133 *5650:75 *28329:RESET_B 1.39711e-05 -134 *5650:75 *28379:RESET_B 0.000205656 -135 *5650:133 *28156:RESET_B 0.00034598 -136 *5685:333 *28159:RESET_B 0.000130824 -137 *5685:333 *5744:119 8.83723e-05 -138 *5686:15 *28329:RESET_B 0.000112429 -139 *5687:29 *28329:RESET_B 0.00042702 -140 *5691:51 *28379:RESET_B 0.000293873 -141 *5717:108 *5744:37 6.54117e-05 -142 *5717:108 *5744:102 0.000389088 -143 *5727:217 *29026:A 0.000403412 -144 *5743:9 *29026:A 0.000185643 -*RES -1 *29027:X *5744:6 14.0768 -2 *5744:6 *29026:A 25.2911 -3 *5744:6 *5744:30 47.6266 -4 *5744:30 *5744:37 8.76369 -5 *5744:37 *5744:48 23.933 -6 *5744:48 *28156:RESET_B 15.442 -7 *28156:RESET_B *5744:76 48.5804 -8 *5744:76 *28666:SET_B 18.25 -9 *5744:48 *28155:RESET_B 0.450893 -10 *5744:37 *28327:SET_B 4.5 -11 *5744:30 *5744:102 25.9643 -12 *5744:102 *28376:RESET_B 4.5 -13 *5744:102 *5744:114 14.8333 -14 *5744:114 *5744:119 7.33543 -15 *5744:119 *28159:RESET_B 9.55357 -16 *5744:119 *28158:RESET_B 4.77679 -17 *28158:RESET_B *28378:RESET_B 9.73214 -18 *5744:114 *28379:RESET_B 19.8991 -19 *28379:RESET_B *28329:RESET_B 40.7946 -*END - -*D_NET *5745 0.0321382 -*CONN -*I *28113:SET_B I *D sky130_fd_sc_hd__dfstp_4 -*I *28662:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28105:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28104:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28622:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28619:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28618:SET_B I *D sky130_fd_sc_hd__dfstp_4 -*I *28398:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28391:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28122:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28674:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28794:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28659:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28393:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28443:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28103:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28428:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28429:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28112:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28111:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *29028:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *28113:SET_B 0.000151809 -2 *28662:RESET_B 0.0001872 -3 *28105:SET_B 0 -4 *28104:SET_B 8.82712e-05 -5 *28622:RESET_B 0.00041393 -6 *28619:SET_B 0 -7 *28618:SET_B 3.73963e-05 -8 *28398:RESET_B 0.00091239 -9 *28391:RESET_B 5.52627e-05 -10 *28122:SET_B 0 -11 *28674:SET_B 0 -12 *28794:RESET_B 6.81432e-05 -13 *28659:SET_B 0.000487729 -14 *28393:RESET_B 0.00024618 -15 *28443:RESET_B 3.56066e-05 -16 *28103:SET_B 0 -17 *28428:SET_B 8.00602e-05 -18 *28429:SET_B 0.000115707 -19 *28112:SET_B 0.000621689 -20 *28111:SET_B 0.00024454 -21 *29028:X 0 -22 *5745:180 0.000270673 -23 *5745:177 0.000621356 -24 *5745:159 0.00111599 -25 *5745:146 0.00128861 -26 *5745:135 0.00182674 -27 *5745:124 0.000856483 -28 *5745:112 0.00063563 -29 *5745:104 0.000312871 -30 *5745:83 0.0006955 -31 *5745:71 0.000469981 -32 *5745:70 0.000500855 -33 *5745:61 0.0010168 -34 *5745:53 0.000723711 -35 *5745:50 0.000670188 -36 *5745:30 0.000310739 -37 *5745:27 0.00101735 -38 *5745:16 0.000309517 -39 *5745:4 0.00031044 -40 *28111:SET_B *29028:A 0.000142856 -41 *28111:SET_B *29030:A 9.36905e-05 -42 *28111:SET_B *5749:6 0 -43 *28111:SET_B *6071:10 0.000149904 -44 *28393:RESET_B *25952:A0 0 -45 *28659:SET_B *28393:D 3.47641e-06 -46 *28659:SET_B *28659:D 9.46929e-05 -47 *28662:RESET_B *28662:D 0.000121339 -48 *5745:16 *29028:A 0.000139907 -49 *5745:27 *29038:A 0.00041658 -50 *5745:30 *5757:8 9.59532e-06 -51 *5745:30 *6141:21 0.000183586 -52 *5745:61 *25602:A1 9.94699e-05 -53 *5745:61 *5944:17 4.10359e-05 -54 *5745:70 *6350:5 9.40126e-05 -55 *5745:70 *6350:26 5.5671e-05 -56 *5745:71 *25952:A0 0 -57 *5745:124 *25950:A0 5.91367e-05 -58 *5745:124 *28391:D 1.02504e-05 -59 *5745:124 *5970:51 0.000479652 -60 *5745:135 *28391:D 9.0573e-05 -61 pll_trim[24] *28429:SET_B 7.43313e-05 -62 pll_trim[25] *28429:SET_B 8.50971e-06 -63 pll_trim[25] *5745:30 1.50563e-05 -64 pll_trim[25] *5745:61 6.08564e-05 -65 *6463:DIODE *5745:146 9.60875e-05 -66 *25164:A1 *28398:RESET_B 1.24368e-05 -67 *25164:A1 *28618:SET_B 2.29097e-05 -68 *25164:A1 *5745:146 0.000332805 -69 *25164:A1 *5745:159 1.39711e-05 -70 *25164:A2 *28398:RESET_B 0.000130681 -71 *25164:B1 *5745:135 5.54183e-05 -72 *25164:B2 *28398:RESET_B 6.26774e-06 -73 *25260:A1 *28429:SET_B 2.13529e-05 -74 *25260:A1 *5745:30 3.26244e-05 -75 *25260:A2 *5745:83 0.000429485 -76 *25261:C1 *28393:RESET_B 0.000139765 -77 *25387:A2 *28398:RESET_B 1.66856e-05 -78 *25387:B1 *28398:RESET_B 0.000134034 -79 *25387:B2 *28398:RESET_B 2.06662e-05 -80 *25387:C1 *28398:RESET_B 5.33005e-05 -81 *25596:A0 *28113:SET_B 0.000170976 -82 *25606:A0 *28662:RESET_B 0.00039804 -83 *25950:A1 *5745:124 6.86693e-05 -84 *25952:A1 *5745:61 3.64169e-05 -85 *26825:A0 *5745:50 9.90431e-05 -86 *26825:A0 *5745:53 4.93223e-05 -87 *26825:A0 *5745:61 0.000145046 -88 *26825:A0 *5745:177 8.46829e-05 -89 *26845:A *5745:104 0.000101999 -90 *26845:A *5745:112 0.000376395 -91 *26845:A *5745:124 0.000352807 -92 *27045:B *28622:RESET_B 0.000145753 -93 *28112:CLK *28111:SET_B 0.000149721 -94 *28112:CLK *5745:16 0.000252119 -95 *28618:CLK *5745:146 2.14757e-05 -96 *28622:CLK *28622:RESET_B 5.7248e-05 -97 *28674:CLK *5745:61 8.94822e-05 -98 *28794:CLK *28659:SET_B 8.08476e-06 -99 *28794:D *28794:RESET_B 0.000100951 -100 *28794:D *5745:83 6.05161e-06 -101 *29562:A *5745:61 6.25524e-05 -102 *29816:A *5745:124 0.000183713 -103 *29934:A *5745:159 0.000110029 -104 *30939:A *28113:SET_B 0.000170976 -105 *30946:A *5745:53 0.000100673 -106 *30946:A *5745:61 1.02504e-05 -107 *30947:A *5745:30 0 -108 *244:28 *28428:SET_B 0.000221634 -109 *282:15 *5745:61 0 -110 *282:21 *5745:124 9.44511e-05 -111 *282:21 *5745:135 0.000313988 -112 *761:17 *28104:SET_B 0 -113 *761:17 *5745:180 0 -114 *762:14 *5745:61 5.33333e-05 -115 *1271:16 *28391:RESET_B 8.71192e-05 -116 *1273:246 *28443:RESET_B 9.51514e-06 -117 *1273:246 *5745:70 2.32625e-05 -118 *1294:128 *28393:RESET_B 2.06178e-05 -119 *1294:128 *5745:71 0.000111869 -120 *1294:128 *5745:104 0.000255271 -121 *1294:128 *5745:112 0.000208325 -122 *1294:128 *5745:124 0.000549441 -123 *1485:23 *5745:135 0.000124868 -124 *1500:11 *28391:RESET_B 0.000172629 -125 *1580:11 *5745:83 0.000266473 -126 *1900:30 *5745:61 0.00050375 -127 *2777:26 *28622:RESET_B 0.000323956 -128 *2777:26 *5745:159 0.000142021 -129 *2780:94 *28429:SET_B 0.000134506 -130 *2839:33 *28622:RESET_B 0 -131 *2839:33 *5745:159 0.000147828 -132 *2839:71 *28622:RESET_B 0.000232401 -133 *3569:78 *28794:RESET_B 0.000213492 -134 *3569:78 *5745:83 0.000266479 -135 *3638:15 *28659:SET_B 1.34754e-05 -136 *3638:17 *28393:RESET_B 0.000131765 -137 *3638:17 *28659:SET_B 2.89016e-05 -138 *3638:117 *28662:RESET_B 3.57315e-05 -139 *3638:122 *28662:RESET_B 3.48705e-05 -140 *3638:122 *5745:177 5.74499e-06 -141 *3639:50 *28112:SET_B 1.28366e-05 -142 *3844:7 *28398:RESET_B 9.41642e-05 -143 *3875:35 *28112:SET_B 8.83765e-05 -144 *3875:35 *28113:SET_B 0.000261059 -145 *3972:24 *5745:124 9.60298e-05 -146 *3972:24 *5745:135 0.000322642 -147 *3987:8 *5745:71 0 -148 *3987:8 *5745:104 0 -149 *3987:19 *5745:104 0 -150 *3987:21 *5745:124 6.55646e-05 -151 *4796:20 *28659:SET_B 3.19055e-05 -152 *4881:17 *28662:RESET_B 0.000216121 -153 *4881:17 *5745:177 0.000219711 -154 *5448:16 *28659:SET_B 0.000101709 -155 *5448:21 *28622:RESET_B 0.000175088 -156 *5483:130 *28113:SET_B 0.000166636 -157 *5504:56 *28659:SET_B 0.000162885 -158 *5511:23 *28112:SET_B 6.21582e-05 -159 *5511:23 *28113:SET_B 4.2037e-05 -160 *5516:20 *28429:SET_B 5.41794e-05 -161 *5516:20 *5745:27 8.86006e-05 -162 *5516:20 *5745:30 0.000139653 -163 *5521:22 *28428:SET_B 0.000162295 -164 *5526:16 *28659:SET_B 0 -165 *5581:39 *5745:159 5.63071e-05 -166 *5592:78 *28429:SET_B 0.000108516 -167 *5592:78 *5745:30 4.34543e-05 -168 *5599:91 *28398:RESET_B 0.000175335 -169 *5609:33 *28393:RESET_B 1.87629e-05 -170 *5636:10 *28104:SET_B 0.000119498 -171 *5636:10 *5745:180 0.000242739 -172 *5680:308 *5745:16 6.42095e-05 -173 *5713:146 *5745:124 3.47641e-06 -*RES -1 *29028:X *5745:4 9.3 -2 *5745:4 *28111:SET_B 15.3393 -3 *5745:4 *5745:16 7.08929 -4 *5745:16 *28112:SET_B 6.67857 -5 *28112:SET_B *5745:27 9.11607 -6 *5745:27 *5745:30 7.96429 -7 *5745:30 *28429:SET_B 7.74107 -8 *5745:30 *28428:SET_B 7.04464 -9 *5745:27 *5745:50 4.23214 -10 *5745:50 *5745:53 5.83929 -11 *5745:53 *28103:SET_B 4.5 -12 *5745:53 *5745:61 19.1964 -13 *5745:61 *28443:RESET_B 0.473214 -14 *5745:61 *5745:70 9.55357 -15 *5745:70 *5745:71 1.64286 -16 *5745:71 *28393:RESET_B 8.95536 -17 *5745:71 *5745:83 9.96429 -18 *5745:83 *28659:SET_B 25.4107 -19 *5745:83 *28794:RESET_B 2.11607 -20 *5745:70 *5745:104 3.46429 -21 *5745:104 *28674:SET_B 4.5 -22 *5745:104 *5745:112 5.51786 -23 *5745:112 *28122:SET_B 4.5 -24 *5745:112 *5745:124 23.6071 -25 *5745:124 *28391:RESET_B 6.20536 -26 *5745:124 *5745:135 14.6429 -27 *5745:135 *28398:RESET_B 12.9375 -28 *5745:135 *5745:146 6.69643 -29 *5745:146 *28618:SET_B 0.901786 -30 *5745:146 *5745:159 14.9643 -31 *5745:159 *28619:SET_B 4.5 -32 *5745:159 *28622:RESET_B 14.875 -33 *5745:50 *5745:177 3.96429 -34 *5745:177 *5745:180 7.96429 -35 *5745:180 *28104:SET_B 6.14286 -36 *5745:180 *28105:SET_B 4.5 -37 *5745:177 *28662:RESET_B 6.22321 -38 *5745:16 *28113:SET_B 9.66964 -*END - -*D_NET *5746 0.0337371 -*CONN -*I *28438:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28773:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28774:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28772:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28767:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28186:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28188:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28189:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28441:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28439:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28783:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28786:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28785:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28437:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28442:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28440:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28799:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28627:SET_B I *D sky130_fd_sc_hd__dfstp_4 -*I *28807:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28644:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *29029:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *28438:RESET_B 8.33625e-05 -2 *28773:SET_B 0.000199642 -3 *28774:RESET_B 0.000117764 -4 *28772:RESET_B 0 -5 *28767:RESET_B 0.000113839 -6 *28186:SET_B 0 -7 *28188:RESET_B 0.000222542 -8 *28189:RESET_B 0 -9 *28441:RESET_B 0.000207971 -10 *28439:RESET_B 0 -11 *28783:RESET_B 0.000590857 -12 *28786:RESET_B 0.000105396 -13 *28785:RESET_B 0.000253365 -14 *28437:RESET_B 0.000261041 -15 *28442:RESET_B 0.00072014 -16 *28440:RESET_B 0.000121108 -17 *28799:RESET_B 6.26758e-05 -18 *28627:SET_B 0.000286935 -19 *28807:RESET_B 0 -20 *28644:RESET_B 3.57782e-05 -21 *29029:X 0 -22 *5746:196 0.000965116 -23 *5746:188 0.00095495 -24 *5746:176 0.000540947 -25 *5746:170 0.00021613 -26 *5746:158 0.000478909 -27 *5746:152 0.00112592 -28 *5746:146 0.00106772 -29 *5746:132 0.000710027 -30 *5746:124 0.00099453 -31 *5746:97 0.000707243 -32 *5746:87 0.000657927 -33 *5746:31 0.000646663 -34 *5746:23 0.000589473 -35 *5746:21 0.000928181 -36 *5746:8 0.000908233 -37 *5746:4 0.00069155 -38 *28437:RESET_B *26839:A1 8.79458e-05 -39 *28437:RESET_B *28437:D 2.37838e-05 -40 *28437:RESET_B *6009:23 0.000256877 -41 *28437:RESET_B *6104:19 1.82657e-05 -42 *28438:RESET_B *25563:A1 2.18984e-05 -43 *28438:RESET_B *6325:12 1.65142e-05 -44 *28440:RESET_B *6064:19 0.000175285 -45 *28442:RESET_B *28442:D 0.000135028 -46 *28767:RESET_B *6314:19 0.000161381 -47 *28773:SET_B *24820:A 4.51691e-05 -48 *28773:SET_B *6304:56 6.87574e-05 -49 *28774:RESET_B *6304:10 2.59355e-05 -50 *28774:RESET_B *6304:56 4.96113e-05 -51 *28783:RESET_B *28783:D 9.54798e-06 -52 *28783:RESET_B *5858:23 0.000174888 -53 *28783:RESET_B *6008:26 0.000117459 -54 *28785:RESET_B *6178:35 8.6229e-06 -55 *28786:RESET_B *6314:19 3.9769e-05 -56 *28799:RESET_B *27092:A0 9.09013e-05 -57 *5746:21 *6009:23 2.04825e-05 -58 *5746:97 *26839:A1 0.000387238 -59 *5746:97 *6008:26 2.09826e-05 -60 *5746:97 *6104:19 7.32173e-05 -61 *5746:97 *6314:11 5.33005e-05 -62 *5746:124 *25563:A0 0 -63 *5746:132 *25037:A1 6.78178e-05 -64 *5746:132 *26842:A0 9.41642e-05 -65 *5746:132 *5926:174 3.10885e-05 -66 *5746:132 *6063:27 9.90367e-05 -67 *5746:132 *6325:12 3.53079e-05 -68 *5746:146 *25563:A1 5.25192e-06 -69 *5746:146 *5926:171 1.578e-05 -70 *5746:146 *6042:20 0 -71 *5746:146 *6325:12 1.89507e-06 -72 *5746:152 *25564:A0 9.71197e-05 -73 *5746:152 *25564:A1 3.28686e-05 -74 *5746:152 *5926:171 0.000135028 -75 *5746:152 *5998:11 8.6229e-06 -76 *5746:152 *6042:20 8.38438e-05 -77 *5746:152 *6063:27 4.29012e-05 -78 *5746:152 *6314:19 1.5069e-05 -79 *5746:196 *27242:A 5.27034e-05 -80 *24819:A *5746:21 0.000149985 -81 *25604:A0 *5746:21 3.06868e-05 -82 *25952:A1 *5746:132 0.000410969 -83 *26842:S *5746:124 2.06178e-05 -84 *26844:S *28437:RESET_B 1.84925e-05 -85 *27220:B *28767:RESET_B 9.60875e-05 -86 *27242:B *5746:196 5.64168e-05 -87 *27244:A2 *5746:196 0.000481933 -88 *28440:CLK *5746:23 0 -89 *28441:D *28441:RESET_B 3.23658e-05 -90 *28772:D *5746:196 9.83991e-05 -91 *28783:CLK *28783:RESET_B 4.50093e-05 -92 *29562:A *5746:21 0 -93 *29761:A *5746:132 0.000137427 -94 *29796:A *5746:176 6.30931e-05 -95 *29796:A *5746:188 0.000183051 -96 *29838:A *28437:RESET_B 4.87171e-05 -97 *30685:A *28442:RESET_B 0.00022459 -98 *30685:A *5746:8 0.000142856 -99 *30686:A *28442:RESET_B 6.12454e-05 -100 *30686:A *5746:21 1.90936e-05 -101 *30689:A *28785:RESET_B 5.27542e-05 -102 *30689:A *28786:RESET_B 3.60151e-05 -103 *39:26 *28437:RESET_B 8.6985e-06 -104 *244:50 *28442:RESET_B 1.95398e-05 -105 *244:50 *28644:RESET_B 3.09498e-05 -106 *371:15 *28188:RESET_B 9.8685e-05 -107 *456:17 *5746:97 0.000135028 -108 *775:23 *5746:97 0.000136119 -109 *1178:10 *5746:8 0 -110 *1178:10 *5746:21 0 -111 *1240:130 *5746:21 9.47364e-06 -112 *1240:130 *5746:124 2.44267e-05 -113 *1245:76 *5746:21 0 -114 *1264:83 *5746:31 0.000466816 -115 *1264:83 *5746:132 8.48485e-05 -116 *1294:243 *5746:132 0.000107313 -117 *1536:13 *28627:SET_B 0.000730488 -118 *1536:13 *5746:31 0.000305083 -119 *1717:14 *28441:RESET_B 0.000156087 -120 *1717:14 *28783:RESET_B 7.81424e-05 -121 *1717:14 *5746:132 2.18938e-05 -122 *1805:11 *28773:SET_B 0.00033505 -123 *1805:11 *5746:188 0 -124 *1805:11 *5746:196 0 -125 *2780:94 *5746:8 0 -126 *2782:13 *5746:158 0.000974287 -127 *2782:27 *5746:31 0.000464893 -128 *2797:38 *28767:RESET_B 9.41642e-05 -129 *2797:38 *5746:152 8.60631e-05 -130 *2797:38 *5746:170 5.23471e-05 -131 *2797:38 *5746:176 6.2187e-05 -132 *2798:34 *28188:RESET_B 0.000356646 -133 *2798:34 *5746:158 2.11419e-05 -134 *2802:16 *5746:152 5.19179e-05 -135 *2802:16 *5746:158 0.000977782 -136 *2802:16 *5746:170 5.02506e-05 -137 *2802:16 *5746:176 1.69153e-05 -138 *2813:11 *28773:SET_B 0.000147065 -139 *2818:8 *5746:196 3.05404e-05 -140 *2818:26 *5746:196 0.000144528 -141 *2840:12 *28188:RESET_B 8.43579e-05 -142 *2840:12 *5746:158 2.11419e-05 -143 *3412:25 *28440:RESET_B 8.85205e-05 -144 *3412:25 *5746:124 5.45372e-05 -145 *3412:25 *5746:146 0.000100141 -146 *3573:30 *5746:196 0.00048066 -147 *3573:34 *28767:RESET_B 0.000159863 -148 *3573:34 *5746:176 0.000159446 -149 *3573:34 *5746:188 0.000501477 -150 *3573:34 *5746:196 0.000272645 -151 *3638:11 *5746:31 5.20298e-05 -152 *3638:49 *5746:31 4.5147e-05 -153 *3638:100 *28442:RESET_B 9.71197e-05 -154 *3638:105 *28442:RESET_B 0.000141942 -155 *3872:28 *28627:SET_B 0.00021427 -156 *4352:19 *28441:RESET_B 0.000130365 -157 *4352:19 *28783:RESET_B 0.000132969 -158 *4352:19 *5746:97 1.71755e-05 -159 *4352:19 *5746:124 7.75005e-05 -160 *4352:19 *5746:132 0.000332153 -161 *4742:17 *5746:97 8.41716e-05 -162 *4952:13 *28440:RESET_B 1.94945e-05 -163 *4952:13 *28799:RESET_B 8.22793e-06 -164 *4952:13 *5746:21 0 -165 *4952:13 *5746:23 5.89622e-05 -166 *4952:13 *5746:31 2.17272e-05 -167 *5310:18 *5746:132 7.21982e-05 -168 *5485:121 *28438:RESET_B 3.47641e-06 -169 *5486:22 *28627:SET_B 4.40802e-05 -170 *5486:22 *5746:21 0 -171 *5502:41 *28442:RESET_B 0.000426449 -172 *5502:41 *5746:8 0.000144773 -173 *5512:50 *28437:RESET_B 0.000188745 -174 *5512:50 *28783:RESET_B 0.00012915 -175 *5512:50 *5746:97 5.71242e-05 -176 *5515:32 *5746:152 2.57189e-05 -177 *5515:32 *5746:188 0.000105952 -178 *5515:42 *5746:188 0 -179 *5521:41 *28644:RESET_B 2.51837e-05 -180 *5538:21 *5746:152 0.000144603 -181 *5678:247 *28441:RESET_B 8.58829e-05 -182 *5678:267 *28627:SET_B 0.000441995 -183 *5678:267 *5746:31 0.000303376 -184 *5707:222 *5746:8 3.07555e-05 -185 *5707:222 *5746:21 0.000195089 -186 *5707:244 *28773:SET_B 0.000225609 -187 *5707:244 *28774:RESET_B 0.000265025 -188 *5718:70 *5746:132 2.92155e-05 -189 *5718:77 *28799:RESET_B 4.98193e-05 -190 *5718:77 *5746:23 4.26825e-05 -191 *5718:77 *5746:31 0.000187633 -*RES -1 *29029:X *5746:4 9.3 -2 *5746:4 *5746:8 8.71429 -3 *5746:8 *28644:RESET_B 5.40179 -4 *5746:8 *5746:21 10.3304 -5 *5746:21 *5746:23 3.16071 -6 *5746:23 *5746:31 20.4196 -7 *5746:31 *28807:RESET_B 4.5 -8 *5746:31 *28627:SET_B 14.3393 -9 *5746:23 *28799:RESET_B 6.19643 -10 *5746:21 *28440:RESET_B 7.42857 -11 *5746:4 *28442:RESET_B 18.1696 -12 *28442:RESET_B *28437:RESET_B 14.8661 -13 *28437:RESET_B *5746:87 4.5 -14 *5746:87 *5746:97 19.0357 -15 *5746:97 *28785:RESET_B 8.19643 -16 *5746:97 *28786:RESET_B 6.44643 -17 *5746:87 *28783:RESET_B 11.8482 -18 *28783:RESET_B *5746:124 9.17857 -19 *5746:124 *5746:132 21.9643 -20 *5746:132 *28439:RESET_B 4.5 -21 *5746:132 *28441:RESET_B 9.48214 -22 *5746:124 *5746:146 2.91071 -23 *5746:146 *5746:152 20.2232 -24 *5746:152 *5746:158 8.65719 -25 *5746:158 *28189:RESET_B 4.5 -26 *5746:158 *28188:RESET_B 9.48214 -27 *5746:152 *5746:170 1.79464 -28 *5746:170 *28186:SET_B 4.5 -29 *5746:170 *5746:176 2.85714 -30 *5746:176 *28767:RESET_B 16.6964 -31 *5746:176 *5746:188 7.10714 -32 *5746:188 *28772:RESET_B 4.5 -33 *5746:188 *5746:196 19.8036 -34 *5746:196 *28774:RESET_B 2.95536 -35 *5746:196 *28773:SET_B 15.5536 -36 *5746:146 *28438:RESET_B 5.83929 -*END - -*D_NET *5747 0.0304338 -*CONN -*I *25261:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30705:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25261:A1 0.000585909 -2 *30705:X 0.0034909 -3 *5747:37 0.00255937 -4 *5747:21 0.00546436 -5 *25261:A1 *25952:A0 1.72894e-05 -6 *5747:21 *5753:28 0.000106581 -7 *5747:21 *6104:19 0.000511656 -8 *5747:21 *6179:10 6.09762e-05 -9 *5747:37 *27092:A0 1.68834e-05 -10 *5747:37 *28437:D 0.000258284 -11 *5747:37 *5926:171 0.000161336 -12 *5747:37 *6317:20 0.000403713 -13 *5747:37 *6317:27 9.70752e-05 -14 *5747:37 *6325:12 2.57552e-06 -15 *25018:A1 *5747:37 4.23748e-05 -16 *25049:B1 *5747:21 0.00054946 -17 *25063:B1 *5747:21 0.000294242 -18 *25261:A2 *25261:A1 1.96517e-05 -19 *27095:S *25261:A1 5.52238e-05 -20 *27223:C *5747:21 7.3106e-05 -21 *28768:D *5747:21 7.55769e-05 -22 *28769:CLK *5747:37 0.000104383 -23 *28799:CLK *5747:37 2.46518e-05 -24 *29111:A *25261:A1 0.000184745 -25 *29761:A *5747:37 0.000459515 -26 *29876:A *5747:21 4.13817e-05 -27 *30591:A *5747:21 0.00111813 -28 *30738:A *5747:21 0.000450338 -29 *11:17 *5747:21 0.00294635 -30 *15:18 *5747:21 0.000459878 -31 *19:25 *5747:21 4.17376e-05 -32 *39:26 *5747:21 6.22755e-05 -33 *40:30 *5747:21 0.000198012 -34 *40:46 *5747:21 0.000150888 -35 *1240:130 *5747:37 1.41236e-05 -36 *1290:89 *5747:21 0.000136845 -37 *1371:11 *5747:21 0.00232794 -38 *2782:27 *25261:A1 4.27935e-05 -39 *2800:55 *5747:21 0.000269492 -40 *2803:20 *5747:21 4.34627e-05 -41 *3412:25 *5747:37 7.40063e-05 -42 *3573:44 *5747:37 0.000300493 -43 *3573:46 *5747:37 0.000492744 -44 *4740:33 *5747:21 0.000335699 -45 *4881:17 *25261:A1 0.000522756 -46 *5129:18 *5747:21 0.000229977 -47 *5352:22 *5747:37 2.44726e-05 -48 *5492:10 *5747:21 6.27272e-06 -49 *5521:67 *5747:21 0.00302624 -50 *5539:17 *5747:37 0.000235019 -51 *5548:16 *5747:37 2.18863e-05 -52 *5678:267 *25261:A1 1.21258e-05 -53 *5707:178 *25261:A1 0.000104134 -54 *5707:200 *25261:A1 0.000561608 -55 *5707:222 *25261:A1 0.000113083 -56 *5707:222 *5747:37 2.56723e-05 -57 *5718:77 *5747:37 0.0004241 -*RES -1 *30705:X *5747:21 48.2583 -2 *5747:21 *5747:37 45.4683 -3 *5747:37 *25261:A1 24.1214 -*END - -*D_NET *5748 0.0634508 -*CONN -*I *25026:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30706:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *25026:B2 3.1417e-06 -2 *30706:X 0 -3 *5748:36 0.00341586 -4 *5748:28 0.00478532 -5 *5748:16 0.00323302 -6 *5748:15 0.00197725 -7 *5748:10 0.00324013 -8 *5748:8 0.00315534 -9 *5748:6 0.00292686 -10 *5748:5 0.00289482 -11 *5748:6 *28611:SET_B 1.58827e-05 -12 *5748:6 *28763:D 2.75217e-05 -13 *5748:6 *5769:135 0 -14 *5748:6 *5769:144 0 -15 *5748:6 *5769:149 2.79092e-05 -16 *5748:6 *5770:30 0 -17 *5748:10 *5803:27 0.000156563 -18 *5748:15 *27101:S 0.000120895 -19 *5748:36 *26896:A1 0.00027182 -20 *5748:36 *28485:D 0.000384673 -21 *25026:C1 *25026:B2 7.70134e-06 -22 *25317:A1 *5748:16 0.000170496 -23 *25322:B2 *5748:16 0.000149911 -24 *25343:A1 *5748:16 0.000110498 -25 *26896:A0 *5748:36 0.000128331 -26 *26896:S *5748:36 2.24079e-05 -27 *26974:A1 *5748:6 0.000199081 -28 *27146:S *5748:6 8.99513e-05 -29 *27170:A1 *5748:6 0.000170398 -30 *27196:S *5748:6 8.91726e-05 -31 *27332:A *5748:16 0.000168146 -32 *27366:A2 *5748:36 4.08484e-05 -33 *27366:B1 *5748:36 0.000421074 -34 *27366:B2 *5748:36 2.77143e-05 -35 *27674:B2 *5748:28 0.000308592 -36 *27688:A1 *5748:16 2.06112e-05 -37 *27688:B2 *5748:16 0.00109896 -38 *27748:A2 *5748:6 5.50282e-05 -39 *27748:A2 *5748:10 0.00012466 -40 *27751:B1 *5748:10 0.00012401 -41 *28611:D *5748:6 0.000151004 -42 *28754:CLK *5748:6 7.13188e-05 -43 *28760:CLK *5748:6 0.000708946 -44 *29144:A *5748:6 0.000177643 -45 *29661:A *5748:36 2.60984e-05 -46 *29679:A *5748:6 0 -47 *30266:A *5748:6 0.00017309 -48 *30365:A *5748:10 0.000232206 -49 *30455:A *5748:6 2.41224e-05 -50 *1252:146 *25026:B2 1.28809e-05 -51 *1261:11 *5748:15 0.000152264 -52 *1271:191 *5748:10 4.00349e-05 -53 *1275:94 *5748:16 5.00087e-06 -54 *1501:40 *5748:28 0.000195547 -55 *1642:37 *5748:16 0.00051979 -56 *1643:14 *5748:16 1.41029e-05 -57 *1826:251 *5748:6 0.00108171 -58 *1863:14 *5748:6 0.000567317 -59 *2769:227 *5748:6 0.00012401 -60 *2791:199 *5748:6 7.00395e-05 -61 *2791:233 *5748:6 9.90819e-06 -62 *2864:176 *5748:28 0.00123225 -63 *2871:224 *5748:36 0.00013588 -64 *2874:227 *5748:16 0.000598275 -65 *2875:243 *5748:16 0.000136737 -66 *2875:243 *5748:28 0.000205072 -67 *2880:180 *5748:36 3.1521e-05 -68 *2929:13 *5748:36 3.60841e-05 -69 *2998:16 *5748:36 0.000351604 -70 *3153:221 *5748:10 0.000424447 -71 *3164:346 *5748:10 0.000243477 -72 *3185:107 *5748:10 0.0001326 -73 *3197:52 *5748:16 0.00117021 -74 *3197:52 *5748:28 7.65928e-05 -75 *3197:56 *5748:16 2.19767e-05 -76 *3306:6 *5748:10 3.67444e-05 -77 *3603:62 *5748:6 0.000210486 -78 *3603:66 *5748:6 0.000351484 -79 *3781:11 *5748:6 7.43387e-05 -80 *3783:108 *5748:16 0.000310627 -81 *3783:110 *5748:16 0.000105295 -82 *3783:110 *5748:28 8.74335e-05 -83 *3820:91 *5748:28 7.6644e-05 -84 *3822:110 *5748:6 0 -85 *3833:13 *5748:10 0.000293356 -86 *3846:34 *5748:16 0.000721058 -87 *3846:42 *5748:16 0.000538126 -88 *3847:72 *5748:28 7.83659e-05 -89 *3898:32 *5748:10 0.000653614 -90 *3898:61 *5748:10 0.00138984 -91 *3907:6 *5748:6 0.000130555 -92 *3907:16 *5748:6 2.58997e-05 -93 *3907:27 *5748:6 0.000161886 -94 *3907:30 *5748:6 0.00172754 -95 *3907:30 *5748:8 9.30603e-06 -96 *3907:32 *5748:6 1.27625e-05 -97 *3907:32 *5748:10 0.00753158 -98 *3924:73 *5748:16 0.000339583 -99 *3924:82 *5748:16 0.000874059 -100 *3991:34 *5748:36 0.000353319 -101 *3992:54 *5748:16 1.72961e-05 -102 *4028:8 *5748:6 0.00276211 -103 *4057:10 *5748:10 1.77971e-05 -104 *4132:38 *5748:10 0.000125685 -105 *4509:13 *5748:6 0.000544075 -106 *5638:104 *5748:28 0.000285406 -107 *5638:110 *5748:10 0.0020811 -108 *5638:119 *5748:10 0.00027369 -109 *5638:130 *5748:10 0.000354964 -110 *5640:264 *5748:6 7.55804e-05 -111 *5649:233 *5748:10 9.71108e-05 -112 *5655:201 *5748:16 1.09239e-05 -113 *5656:96 *5748:16 0.00133758 -114 *5708:117 *5748:15 5.52238e-05 -*RES -1 *30706:X *5748:5 13.8 -2 *5748:5 *5748:6 86.4911 -3 *5748:6 *5748:8 0.535714 -4 *5748:8 *5748:10 100.152 -5 *5748:10 *5748:15 12 -6 *5748:15 *5748:16 63.4196 -7 *5748:16 *5748:28 36.7054 -8 *5748:28 *5748:36 47.6429 -9 *5748:36 *25026:B2 13.9295 -*END - -*D_NET *5749 0.041209 -*CONN -*I *29029:A I *D sky130_fd_sc_hd__buf_8 -*I *28789:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28776:SET_B I *D sky130_fd_sc_hd__dfstp_4 -*I *28778:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28777:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28775:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28790:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28791:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28626:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *29028:A I *D sky130_fd_sc_hd__buf_8 -*I *29030:X O *D sky130_fd_sc_hd__buf_4 -*CAP -1 *29029:A 0.00143736 -2 *28789:RESET_B 0 -3 *28776:SET_B 0.000389554 -4 *28778:SET_B 1.76526e-05 -5 *28777:SET_B 0.000391703 -6 *28775:RESET_B 0.000699918 -7 *28790:RESET_B 0.000360421 -8 *28791:RESET_B 0.00023285 -9 *28626:SET_B 9.83619e-06 -10 *29028:A 0.000112481 -11 *29030:X 0 -12 *5749:97 0.00110927 -13 *5749:61 0.00109089 -14 *5749:55 0.000503015 -15 *5749:54 0.00107414 -16 *5749:47 0.00329752 -17 *5749:32 0.00374252 -18 *5749:23 0.00243172 -19 *5749:6 0.00165848 -20 *5749:4 0.001666 -21 *28777:SET_B *6307:16 8.32204e-05 -22 *28777:SET_B *6386:131 4.6025e-06 -23 *28791:RESET_B *6314:37 9.87798e-05 -24 *5749:6 *29030:A 0.000127388 -25 *5749:23 *25613:A0 0.000519489 -26 *5749:32 *25958:A1 0.000259493 -27 *5749:47 *6314:37 0.000408409 -28 pll_trim[24] *29029:A 0.00143392 -29 *25096:A *5749:32 0.000133477 -30 *25125:A1 *5749:47 9.60808e-05 -31 *25219:A2 *5749:47 1.17921e-05 -32 *25231:A *5749:47 0.000350124 -33 *25388:A1 *5749:23 0.000376665 -34 *25388:A1 *5749:32 0.0010763 -35 *25600:A0 *5749:23 2.8266e-06 -36 *26824:A *5749:23 7.76124e-05 -37 *26824:A *5749:32 0 -38 *27241:B2 *28777:SET_B 0.000118986 -39 *27246:B *28776:SET_B 0 -40 *27248:A *28776:SET_B 7.37422e-05 -41 *27254:B1 *28777:SET_B 0.000138399 -42 *27254:B1 *28778:SET_B 3.0034e-05 -43 *27398:A0 *28791:RESET_B 3.22304e-05 -44 *27398:A0 *5749:61 0.000109732 -45 *27398:A1 *28790:RESET_B 0.000395214 -46 *27419:S *28791:RESET_B 1.0945e-05 -47 *27419:S *5749:61 6.56884e-05 -48 *28111:SET_B *29028:A 0.000142856 -49 *28111:SET_B *5749:6 0 -50 *28112:CLK *29028:A 6.42095e-05 -51 *28113:D *5749:23 0 -52 *28428:D *29029:A 0.000468893 -53 *28429:CLK *29029:A 9.60875e-05 -54 *28626:CLK *28626:SET_B 2.51837e-05 -55 *28626:CLK *5749:32 8.0729e-05 -56 *28626:CLK *5749:47 4.13595e-05 -57 *28775:D *28776:SET_B 0.000282974 -58 *28775:D *5749:55 1.1594e-05 -59 *28775:D *5749:61 3.22325e-05 -60 *28960:A *28791:RESET_B 0.000141032 -61 *29111:A *29029:A 0.000284366 -62 *30685:A *29029:A 0.000226513 -63 *30871:A *5749:54 0.000253151 -64 *30939:A *5749:6 5.86416e-05 -65 *30939:A *5749:23 9.64237e-05 -66 *30947:A *29029:A 0.000265453 -67 *1178:36 *28776:SET_B 0.000749393 -68 *1178:36 *5749:55 2.11419e-05 -69 *1178:36 *5749:61 0.000286313 -70 *1179:7 *5749:97 0.000143865 -71 *1185:12 *28776:SET_B 6.06399e-05 -72 *1257:120 *5749:47 7.52006e-05 -73 *1277:70 *5749:54 6.45433e-05 -74 *1289:54 *5749:32 8.40089e-05 -75 *1294:6 *5749:23 4.43299e-05 -76 *1294:19 *5749:23 8.76921e-05 -77 *1323:5 *28776:SET_B 4.85567e-05 -78 *1446:10 *5749:47 0.00143136 -79 *1550:11 *5749:47 0.000466364 -80 *1653:17 *5749:32 3.10486e-05 -81 *1653:35 *5749:32 8.42311e-05 -82 *2780:94 *29029:A 6.44345e-05 -83 *2812:9 *28777:SET_B 0 -84 *2817:52 *5749:61 8.1128e-05 -85 *2825:12 *28777:SET_B 0.000136569 -86 *2825:12 *28778:SET_B 2.65576e-05 -87 *3568:110 *5749:23 3.01988e-05 -88 *3638:105 *29029:A 0.000186379 -89 *3796:36 *28777:SET_B 1.09267e-05 -90 *3844:13 *5749:32 0.000216875 -91 *3844:40 *5749:32 5.21988e-05 -92 *3847:25 *5749:47 5.29536e-05 -93 *4685:23 *28790:RESET_B 2.77274e-05 -94 *4742:17 *29029:A 5.33334e-05 -95 *4952:14 *5749:23 0.000110352 -96 *4952:14 *5749:32 0.000261992 -97 *5272:8 *5749:61 0.000136881 -98 *5502:41 *29029:A 0.000954682 -99 *5511:23 *5749:6 0.000517098 -100 *5511:23 *5749:23 0.000614956 -101 *5513:32 *29029:A 0.000548617 -102 *5516:20 *5749:23 0.000336476 -103 *5523:68 *29029:A 6.86693e-05 -104 *5535:14 *5749:47 8.83919e-05 -105 *5535:14 *5749:54 0.000254128 -106 *5581:25 *5749:54 1.84029e-05 -107 *5592:81 *29029:A 0.000543393 -108 *5599:8 *28791:RESET_B 5.58246e-05 -109 *5599:72 *5749:47 7.75109e-05 -110 *5599:72 *5749:54 2.26973e-05 -111 *5624:136 *5749:23 0.000647219 -112 *5624:136 *5749:32 3.72884e-05 -113 *5625:15 *5749:97 0.000534974 -114 *5680:308 *29028:A 0.000487467 -115 *5694:171 *28791:RESET_B 0.000108747 -116 *5707:244 *5749:54 0.00114416 -117 *5720:159 *28777:SET_B 4.90602e-05 -118 *5745:16 *29028:A 0.000139907 -*RES -1 *29030:X *5749:4 9.3 -2 *5749:4 *5749:6 11.3036 -3 *5749:6 *29028:A 18.4429 -4 *5749:6 *5749:23 37.4732 -5 *5749:23 *5749:32 29.0625 -6 *5749:32 *28626:SET_B 0.473214 -7 *5749:32 *5749:47 41.3929 -8 *5749:47 *5749:54 9.44992 -9 *5749:54 *5749:55 0.276786 -10 *5749:55 *5749:61 10.3036 -11 *5749:61 *28791:RESET_B 9.78571 -12 *5749:61 *28790:RESET_B 10.4554 -13 *5749:55 *28775:RESET_B 4.5 -14 *28775:RESET_B *5749:97 18.9554 -15 *5749:97 *28777:SET_B 12.75 -16 *5749:97 *28778:SET_B 5.23214 -17 *5749:54 *28776:SET_B 14.9643 -18 *5749:47 *28789:RESET_B 4.5 -19 *5749:4 *29029:A 49.7286 -*END - -*D_NET *5750 0.0513902 -*CONN -*I *28340:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28343:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28466:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28719:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28650:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28698:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28652:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28720:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28658:SET_B I *D sky130_fd_sc_hd__dfstp_4 -*I *28430:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28342:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28341:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28668:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28700:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28660:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28612:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28736:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28723:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28344:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28396:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *29031:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *28340:RESET_B 0.000430506 -2 *28343:SET_B 0.000726092 -3 *28466:SET_B 0.000362693 -4 *28719:SET_B 0 -5 *28650:SET_B 0.000677974 -6 *28698:SET_B 0.000109199 -7 *28652:RESET_B 0.000710804 -8 *28720:SET_B 0 -9 *28658:SET_B 0.000100169 -10 *28430:SET_B 6.56604e-05 -11 *28342:RESET_B 1.77569e-05 -12 *28341:RESET_B 3.82965e-05 -13 *28668:RESET_B 0.000146875 -14 *28700:RESET_B 0 -15 *28660:RESET_B 0.000193613 -16 *28612:RESET_B 0.000288531 -17 *28736:SET_B 0.000119312 -18 *28723:RESET_B 6.10135e-05 -19 *28344:SET_B 0.000148403 -20 *28396:RESET_B 6.21576e-05 -21 *29031:X 9.76401e-05 -22 *5750:217 0.000789259 -23 *5750:196 0.0010076 -24 *5750:169 0.00142065 -25 *5750:163 0.000172935 -26 *5750:152 0.000750256 -27 *5750:139 0.000605663 -28 *5750:137 0.000861742 -29 *5750:130 0.00104403 -30 *5750:110 0.0011941 -31 *5750:83 0.00028416 -32 *5750:82 0.000434642 -33 *5750:63 0.000894268 -34 *5750:47 0.00112831 -35 *5750:35 0.000555849 -36 *5750:32 0.00119439 -37 *5750:18 0.00132339 -38 *5750:15 0.000887937 -39 *5750:6 0.000321273 -40 *28466:SET_B *29035:A 0.000155937 -41 *28652:RESET_B *28652:D 8.98691e-05 -42 *28698:SET_B *5947:35 0.000338611 -43 *28736:SET_B *5873:142 0.000344835 -44 *5750:63 *6319:25 0.000139478 -45 *5750:82 *6067:17 6.70738e-05 -46 *5750:82 *6319:25 0.000293632 -47 *5750:130 *28342:D 0.000153579 -48 *25263:C1 *28341:RESET_B 0.000130739 -49 *25263:C1 *5750:110 0.000453482 -50 *25269:A1 *28652:RESET_B 0.00164996 -51 *25289:B1 *28344:SET_B 9.25014e-06 -52 *25375:C1 *5750:130 0.000116395 -53 *25888:A *28343:SET_B 6.57032e-05 -54 *27091:A1 *5750:152 0.000175892 -55 *27091:S *28658:SET_B 0.000161643 -56 *27322:A1 *5750:196 9.41642e-05 -57 *27322:B1 *5750:196 5.52238e-05 -58 *27332:C *28466:SET_B 6.82091e-06 -59 *27332:C *5750:217 4.28365e-05 -60 *27333:C1 *28466:SET_B 4.04754e-05 -61 *27671:A1 *28466:SET_B 5.58905e-06 -62 *27759:C1 *28658:SET_B 0.00018106 -63 *27759:C1 *5750:163 4.8855e-05 -64 *28344:CLK *28344:SET_B 7.64254e-05 -65 *28344:D *28344:SET_B 0.000173861 -66 *28658:D *5750:152 0.000105292 -67 *28698:D *28698:SET_B 0.000170437 -68 *28719:CLK *5750:196 4.96241e-05 -69 *28874:A *28612:RESET_B 0.000197541 -70 *28874:A *5750:63 9.77038e-05 -71 *29133:A *28650:SET_B 0 -72 *29135:A *28650:SET_B 8.6969e-05 -73 *29135:A *5750:196 0.000160456 -74 *29264:A *5750:217 2.26424e-05 -75 *29437:A *5750:152 0.000136951 -76 *29716:A *5750:130 0.000175092 -77 *29717:A *5750:130 5.21937e-05 -78 *29764:A *5750:82 7.27996e-05 -79 *29896:A *28612:RESET_B 0.000157722 -80 *29896:A *5750:63 0.000141944 -81 *30121:A *28343:SET_B 3.32595e-05 -82 *282:21 *28342:RESET_B 5.54183e-05 -83 *282:21 *28343:SET_B 0.000290577 -84 *282:21 *5750:137 0.000326111 -85 *1218:109 *5750:47 0.000591519 -86 *1243:67 *28340:RESET_B 9.49496e-05 -87 *1243:67 *5750:15 0.00013362 -88 *1243:69 *5750:6 7.30895e-05 -89 *1243:69 *5750:15 1.76135e-05 -90 *1244:128 *5750:35 0.000107894 -91 *1244:128 *5750:110 0.000280417 -92 *1245:68 *5750:47 0.00021127 -93 *1245:68 *5750:63 0.000261543 -94 *1250:72 *28650:SET_B 0.000209746 -95 *1250:72 *5750:196 0.000449304 -96 *1252:52 *5750:18 4.60645e-05 -97 *1252:52 *5750:32 0.000424678 -98 *1277:131 *28466:SET_B 9.87665e-05 -99 *1290:34 *28466:SET_B 6.81247e-05 -100 *1294:147 *5750:32 0.000823721 -101 *1323:5 *28660:RESET_B 4.83461e-05 -102 *1419:53 *28343:SET_B 8.55871e-05 -103 *1446:12 *28658:SET_B 9.21418e-06 -104 *1446:12 *5750:130 6.79672e-06 -105 *1446:12 *5750:163 0.000173876 -106 *1446:12 *5750:169 0.000129108 -107 *1446:12 *5750:196 8.40344e-05 -108 *1547:26 *5750:196 0.000168939 -109 *1582:25 *5750:110 1.68854e-05 -110 *1592:20 *28342:RESET_B 5.7133e-05 -111 *1592:20 *28343:SET_B 0.000281954 -112 *1592:20 *5750:137 0.000317569 -113 *1594:23 *28340:RESET_B 1.90936e-05 -114 *2784:54 *5750:47 0.00035013 -115 *2784:59 *28466:SET_B 0.000268842 -116 *2784:59 *5750:217 0.000363961 -117 *2834:31 *28668:RESET_B 4.83461e-05 -118 *2839:38 *28466:SET_B 6.81247e-05 -119 *2850:37 *28736:SET_B 0.000219711 -120 *2860:154 *5750:130 0.00036114 -121 *2864:28 *5750:63 0.000189615 -122 *2865:31 *28723:RESET_B 9.00951e-05 -123 *2865:31 *5750:47 0.000427179 -124 *2872:21 *5750:130 8.63014e-05 -125 *2878:20 *5750:130 0.000177591 -126 *2892:17 *28341:RESET_B 0.000128809 -127 *2892:17 *5750:110 0.000464893 -128 *2939:10 *28660:RESET_B 0.000375995 -129 *2939:10 *28668:RESET_B 0.000303175 -130 *2939:10 *5750:83 0.000194145 -131 *3153:17 *28650:SET_B 0.000129147 -132 *3153:17 *5750:217 3.46495e-05 -133 *3156:41 *28612:RESET_B 1.97087e-05 -134 *3158:36 *5750:15 2.04825e-05 -135 *3168:25 *28652:RESET_B 0.00164459 -136 *3170:21 *28650:SET_B 7.46001e-05 -137 *3170:21 *5750:217 0.000580844 -138 *3173:17 *28668:RESET_B 0.000158055 -139 *3173:17 *5750:63 0.000137561 -140 *3173:17 *5750:82 0.00064244 -141 *3173:35 *5750:18 0.000674985 -142 *3173:35 *5750:32 0.00177498 -143 *3178:43 *28396:RESET_B 8.48353e-05 -144 *3192:33 *5750:196 0.00017754 -145 *3197:31 *5750:217 0.000263281 -146 *3205:158 *28466:SET_B 4.47913e-05 -147 *3212:21 *28344:SET_B 0.000135028 -148 *3212:21 *28723:RESET_B 8.71192e-05 -149 *3212:21 *5750:47 9.65513e-05 -150 *3212:44 *5750:18 0.000282215 -151 *3572:34 *28612:RESET_B 1.16212e-05 -152 *3642:18 *28652:RESET_B 4.27935e-05 -153 *3642:46 *5750:130 8.6229e-06 -154 *3642:84 *28668:RESET_B 2.48016e-05 -155 *3796:60 *28660:RESET_B 0.000119011 -156 *3796:60 *28668:RESET_B 6.3237e-05 -157 *3796:60 *5750:83 5.51696e-05 -158 *3797:65 *5750:163 0.000113635 -159 *3797:65 *5750:169 0.000120874 -160 *3797:65 *5750:196 7.64334e-05 -161 *3820:69 *5750:47 0.00021127 -162 *3820:79 *28652:RESET_B 2.11419e-05 -163 *3854:10 *28396:RESET_B 0.000130284 -164 *3854:37 *28396:RESET_B 5.33005e-05 -165 *3855:5 *5750:217 3.03145e-05 -166 *3872:39 *5750:63 9.20825e-05 -167 *3933:17 *28650:SET_B 0.000122689 -168 *3972:38 *28344:SET_B 8.64475e-05 -169 *3985:19 *28466:SET_B 0.000126133 -170 *3985:19 *5750:217 3.34366e-05 -171 *3987:21 *5750:130 0.000883954 -172 *3987:29 *5750:196 0.000188199 -173 *3989:20 *28343:SET_B 0.000105042 -174 *3992:11 *5750:35 0.000110948 -175 *3992:11 *5750:47 9.60939e-05 -176 *3992:11 *5750:110 0.000247157 -177 *4015:10 *28736:SET_B 6.34436e-05 -178 *4051:6 *5750:130 3.42764e-05 -179 *4052:20 *28343:SET_B 0.00011713 -180 *4053:12 *28344:SET_B 0.000195621 -181 *4129:61 *28340:RESET_B 0.000767269 -182 *5041:8 *28340:RESET_B 0.000980433 -183 *5041:8 *5750:6 0.000162154 -184 *5041:8 *5750:15 0.000327274 -185 *5211:8 *5750:196 0.000189717 -186 *5386:11 *28466:SET_B 1.98779e-05 -187 *5442:51 *5750:32 0.000113708 -188 *5442:51 *5750:63 2.34145e-05 -189 *5448:21 *5750:47 0.000181796 -190 *5486:7 *5750:152 1.58163e-05 -191 *5486:20 *28612:RESET_B 0.00015463 -192 *5486:20 *5750:63 3.6375e-05 -193 *5486:22 *5750:63 2.09655e-05 -194 *5517:20 *28344:SET_B 3.55877e-05 -195 *5585:70 *28430:SET_B 0.000130073 -196 *5585:70 *5750:152 0.0001212 -197 *5591:42 *5750:130 0.000461937 -198 *5608:28 *28660:RESET_B 1.31213e-05 -199 *5634:26 *5750:130 1.69233e-05 -200 *5657:38 *5750:196 5.74499e-06 -201 *5661:21 *5750:217 5.31266e-05 -202 *5700:122 *28652:RESET_B 1.94945e-05 -203 *5713:130 *5750:130 0.000850826 -*RES -1 *29031:X *5750:6 16.05 -2 *5750:6 *28396:RESET_B 6.20536 -3 *5750:6 *5750:15 4.52679 -4 *5750:15 *5750:18 4.538 -5 *5750:18 *28344:SET_B 12.4457 -6 *5750:18 *5750:32 6.9162 -7 *5750:32 *5750:35 5.99107 -8 *5750:35 *28723:RESET_B 1.70536 -9 *5750:35 *5750:47 23.0714 -10 *5750:47 *5750:63 17.3819 -11 *5750:63 *28736:SET_B 8.27679 -12 *5750:63 *28612:RESET_B 11.3036 -13 *5750:47 *5750:82 11.1964 -14 *5750:82 *5750:83 2.55357 -15 *5750:83 *28660:RESET_B 9.95536 -16 *5750:83 *28700:RESET_B 4.5 -17 *5750:82 *28668:RESET_B 9.04464 -18 *5750:32 *5750:110 13.0625 -19 *5750:110 *28341:RESET_B 1.29464 -20 *5750:110 *5750:130 47.0893 -21 *5750:130 *28342:RESET_B 5.23214 -22 *5750:130 *5750:137 4.375 -23 *5750:137 *5750:139 4.5 -24 *5750:139 *28430:SET_B 1.29464 -25 *5750:139 *5750:152 13.5893 -26 *5750:152 *28658:SET_B 7.05357 -27 *5750:152 *5750:163 3.21429 -28 *5750:163 *28720:SET_B 4.5 -29 *5750:163 *5750:169 1.79464 -30 *5750:169 *28652:RESET_B 15.2662 -31 *5750:169 *5750:196 31.4732 -32 *5750:196 *28698:SET_B 7.84821 -33 *5750:196 *28650:SET_B 10.6161 -34 *28650:SET_B *5750:217 15.2946 -35 *5750:217 *28719:SET_B 4.5 -36 *5750:217 *28466:SET_B 16.4279 -37 *5750:137 *28343:SET_B 15.8661 -38 *5750:15 *28340:RESET_B 17.8304 -*END - -*D_NET *5751 0.0510207 -*CONN -*I *28128:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28617:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28782:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28780:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28779:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28781:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28704:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28633:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28632:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28792:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28793:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28187:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28129:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28705:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28647:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28771:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28770:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28648:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28768:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28769:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *29032:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *28128:RESET_B 0.00298423 -2 *28617:RESET_B 0.00326352 -3 *28782:RESET_B 0.000145352 -4 *28780:RESET_B 0.000796326 -5 *28779:RESET_B 0.000708269 -6 *28781:SET_B 0.00012535 -7 *28704:RESET_B 0.000238326 -8 *28633:RESET_B 0.000201088 -9 *28632:RESET_B 0.000800498 -10 *28792:RESET_B 0 -11 *28793:RESET_B 0 -12 *28187:RESET_B 3.38704e-05 -13 *28129:RESET_B 0.00133634 -14 *28705:RESET_B 3.87557e-05 -15 *28647:RESET_B 0.00170043 -16 *28771:RESET_B 5.09856e-05 -17 *28770:RESET_B 0 -18 *28648:RESET_B 0.000689635 -19 *28768:RESET_B 0.000765466 -20 *28769:RESET_B 0.000162748 -21 *29032:X 0.000104108 -22 *5751:199 0.00103774 -23 *5751:167 0.00110623 -24 *5751:148 0.000694839 -25 *5751:128 0.00102509 -26 *5751:122 0.000189726 -27 *5751:116 0.000418606 -28 *5751:107 0.000588103 -29 *5751:83 0.0028515 -30 *5751:62 0.000742491 -31 *5751:55 0.000558369 -32 *5751:12 0.0003545 -33 *5751:10 0.0002642 -34 *5751:8 0.000542479 -35 *28129:RESET_B *5926:152 0.000526281 -36 *28187:RESET_B *6385:32 5.52238e-05 -37 *28632:RESET_B *6385:139 0.000366508 -38 *28647:RESET_B *28647:D 0.000106423 -39 *28648:RESET_B *5753:28 0.000568181 -40 *28768:RESET_B *6178:17 0.000212018 -41 *28768:RESET_B *6314:75 0.000104729 -42 *28769:RESET_B *29032:A 0.000135358 -43 *28769:RESET_B *5753:28 9.41642e-05 -44 *28769:RESET_B *6179:19 0.000161185 -45 *28771:RESET_B *27269:B 8.6229e-06 -46 *28771:RESET_B *6314:75 9.82693e-05 -47 *28779:RESET_B *25528:A_N 0 -48 *28779:RESET_B *27255:A1 0 -49 *28779:RESET_B *6309:8 4.73514e-05 -50 *28779:RESET_B *6309:12 6.47173e-05 -51 *28779:RESET_B *6309:39 1.65348e-05 -52 *28780:RESET_B *6309:8 2.58757e-05 -53 *28780:RESET_B *6309:39 0.000370089 -54 *28781:SET_B *6311:11 0.000216442 -55 *5751:8 *29032:A 0.000212222 -56 *5751:10 *29032:A 0.000202846 -57 *5751:12 *29032:A 0.000252017 -58 *5751:62 *27269:B 7.29712e-05 -59 *5751:62 *6314:75 0.000140352 -60 *5751:83 *25523:C 0.000418515 -61 *5751:83 *25523:D 1.01075e-05 -62 *5751:83 *5926:152 0.000290285 -63 *5751:83 *6314:75 7.30149e-05 -64 *5751:83 *6381:101 2.7883e-05 -65 *5751:107 *6386:29 8.56809e-05 -66 *5751:116 *6385:32 1.90936e-05 -67 *5751:199 *6309:39 0.000380859 -68 *5751:199 *6310:8 0.000191868 -69 *5751:199 *6310:26 0 -70 *24817:A *5751:116 0.00014627 -71 *24817:A *5751:122 0.000368411 -72 *24817:A *5751:128 5.7248e-05 -73 *24817:A *5751:167 0.000289951 -74 *24974:A1 *28129:RESET_B 0.000311196 -75 *24985:A2 *28704:RESET_B 6.21582e-05 -76 *25015:A2 *5751:83 4.17564e-05 -77 *25018:A1 *28705:RESET_B 1.78465e-05 -78 *25044:A1 *5751:83 8.77487e-05 -79 *25046:A2 *28648:RESET_B 6.55285e-05 -80 *25046:B2 *28769:RESET_B 0.000392148 -81 *27078:S *5751:83 8.34937e-05 -82 *27079:A0 *5751:83 0.000708452 -83 *27098:A1 *28129:RESET_B 8.90989e-05 -84 *27261:B *28781:SET_B 9.71197e-05 -85 *27261:B *5751:167 8.33454e-05 -86 *27267:A *5751:167 5.62253e-05 -87 *27267:B *28633:RESET_B 8.79458e-05 -88 *27270:A1 *5751:55 2.22618e-05 -89 *27270:B1 *5751:8 9.67754e-05 -90 *27273:B1 *5751:8 2.03618e-05 -91 *27273:B2 *28647:RESET_B 6.30315e-05 -92 *27273:B2 *5751:107 0 -93 *27440:A0 *5751:167 6.24939e-05 -94 *27462:S *28632:RESET_B 5.75147e-05 -95 *27639:B *5751:199 7.17836e-05 -96 *28128:CLK *28128:RESET_B 6.33385e-05 -97 *28129:CLK *28129:RESET_B 0.000336426 -98 *28129:D *28129:RESET_B 0.000115686 -99 *28617:CLK *28617:RESET_B 0.000137515 -100 *28617:CLK *5751:199 0 -101 *28648:CLK *28648:RESET_B 2.14658e-05 -102 *28648:D *28648:RESET_B 0.000200482 -103 *28704:D *28704:RESET_B 9.41642e-05 -104 *28705:CLK *28705:RESET_B 2.51837e-05 -105 *28705:D *28705:RESET_B 4.82781e-05 -106 *28770:D *28648:RESET_B 0 -107 *28771:CLK *5751:55 6.05161e-06 -108 *28771:D *5751:55 0.000114577 -109 *28779:D *5751:167 4.29232e-05 -110 *28781:D *28781:SET_B 5.36e-05 -111 *28781:D *5751:167 7.53309e-05 -112 *28782:D *28617:RESET_B 0.000376218 -113 *28782:D *28782:RESET_B 0.00054319 -114 *29516:A *5751:122 0 -115 *29516:A *5751:128 0 -116 *30591:A *28769:RESET_B 0.000137073 -117 *30591:A *5751:12 0.000234127 -118 *30613:A *28129:RESET_B 0.00014453 -119 *30613:A *5751:83 0.000122482 -120 *30674:A *28129:RESET_B 9.60939e-05 -121 *30969:A *28129:RESET_B 2.96143e-05 -122 *14:24 *28648:RESET_B 4.0916e-05 -123 *22:18 *28648:RESET_B 5.52302e-05 -124 *26:17 *28129:RESET_B 0.000770072 -125 *40:40 *28648:RESET_B 0.000935238 -126 *1117:13 *28187:RESET_B 0.00013324 -127 *1176:13 *5751:116 0.000122091 -128 *1176:13 *5751:122 0.000332941 -129 *1240:134 *28647:RESET_B 7.49551e-05 -130 *1245:116 *5751:83 4.61754e-05 -131 *1245:142 *5751:83 0.000116163 -132 *1264:83 *5751:107 1.61405e-05 -133 *1264:83 *5751:116 1.16899e-05 -134 *1264:92 *28187:RESET_B 2.14757e-05 -135 *1278:41 *28632:RESET_B 0.000100034 -136 *1278:41 *5751:148 6.34577e-05 -137 *1278:58 *28705:RESET_B 2.30314e-05 -138 *1323:5 *28128:RESET_B 0.000226908 -139 *1323:5 *28617:RESET_B 0.000980674 -140 *1323:5 *28782:RESET_B 0.000640896 -141 *1368:17 *28648:RESET_B 3.03129e-05 -142 *1368:17 *28771:RESET_B 4.10802e-05 -143 *1368:17 *5751:62 7.67558e-05 -144 *1368:17 *5751:107 2.9995e-05 -145 *1624:33 *28632:RESET_B 0.00015733 -146 *1624:33 *5751:148 2.60984e-05 -147 *1798:19 *5751:83 1.30747e-05 -148 *1799:19 *5751:83 0 -149 *2777:105 *28779:RESET_B 7.27884e-05 -150 *2787:17 *5751:148 0.000218404 -151 *2797:19 *5751:83 0.000293674 -152 *2800:18 *28648:RESET_B 1.14483e-05 -153 *2800:18 *5751:107 0 -154 *2811:15 *28632:RESET_B 0.000177749 -155 *2816:12 *5751:107 6.19352e-05 -156 *2816:12 *5751:116 0.00010305 -157 *2824:28 *28779:RESET_B 0.000201278 -158 *2824:28 *28781:SET_B 1.24368e-05 -159 *2827:16 *28779:RESET_B 9.06773e-05 -160 *2828:10 *28779:RESET_B 0 -161 *2828:10 *28780:RESET_B 0.00037582 -162 *2828:10 *5751:199 8.79458e-05 -163 *2830:11 *28781:SET_B 0.000384775 -164 *2830:11 *5751:167 9.25014e-06 -165 *2831:17 *28779:RESET_B 7.10717e-05 -166 *2832:15 *5751:199 0 -167 *2837:8 *5751:8 2.60996e-05 -168 *2837:8 *5751:10 1.38247e-05 -169 *3019:21 *28632:RESET_B 0.000323965 -170 *3150:8 *5751:199 0.000106876 -171 *3154:6 *5751:199 0 -172 *3537:74 *5751:199 0.000181604 -173 *3572:64 *28632:RESET_B 9.20464e-06 -174 *3634:13 *28128:RESET_B 8.78895e-05 -175 *3636:41 *28779:RESET_B 8.74903e-05 -176 *3796:23 *28779:RESET_B 1.68581e-05 -177 *3796:23 *28780:RESET_B 1.68581e-05 -178 *3796:36 *28780:RESET_B 1.24368e-05 -179 *3826:5 *28633:RESET_B 6.86693e-05 -180 *3826:5 *28704:RESET_B 6.05161e-06 -181 *3826:26 *28704:RESET_B 0.000168187 -182 *3850:24 *5751:148 0.000353917 -183 *3851:31 *5751:83 0.000359829 -184 *3851:31 *5751:148 0.000353917 -185 *3943:20 *28128:RESET_B 0.000267807 -186 *3981:7 *28128:RESET_B 6.88294e-06 -187 *4685:8 *28129:RESET_B 0.000164529 -188 *4907:17 *28128:RESET_B 0.00116002 -189 *5129:32 *28128:RESET_B 0.000266092 -190 *5426:19 *28129:RESET_B 7.6644e-05 -191 *5485:127 *5751:116 0 -192 *5485:131 *5751:116 0 -193 *5523:96 *28704:RESET_B 0.000124518 -194 *5534:14 *5751:10 0 -195 *5534:14 *5751:12 0 -196 *5535:71 *28187:RESET_B 9.50011e-06 -197 *5539:33 *28647:RESET_B 0.000719165 -198 *5539:33 *5751:83 1.34009e-05 -199 *5570:23 *5751:10 1.37495e-05 -200 *5659:48 *28129:RESET_B 0.000432726 -201 *5667:230 *5751:83 0.000260643 -202 *5675:147 *28129:RESET_B 0.000243666 -203 *5675:149 *28129:RESET_B 0.000119407 -204 *5675:213 *5751:107 5.80409e-05 -205 *5678:216 *5751:148 0.000262082 -206 *5694:183 *28633:RESET_B 0.000124859 -207 *5713:203 *28632:RESET_B 5.96516e-05 -208 *5713:208 *28632:RESET_B 0.000651973 -*RES -1 *29032:X *5751:8 16.3893 -2 *5751:8 *5751:10 2.85714 -3 *5751:10 *5751:12 3.46429 -4 *5751:12 *28769:RESET_B 10.2054 -5 *5751:12 *28768:RESET_B 6.61607 -6 *28768:RESET_B *28648:RESET_B 26.4018 -7 *5751:10 *28770:RESET_B 4.5 -8 *5751:8 *5751:55 12.4107 -9 *5751:55 *28771:RESET_B 5.83929 -10 *5751:55 *5751:62 3.76786 -11 *5751:62 *28647:RESET_B 11.5446 -12 *28647:RESET_B *5751:83 28.7958 -13 *5751:83 *28705:RESET_B 8.96357 -14 *5751:83 *28129:RESET_B 34.1139 -15 *5751:62 *5751:107 4.98214 -16 *5751:107 *28187:RESET_B 5.79464 -17 *5751:107 *5751:116 4.98214 -18 *5751:116 *28793:RESET_B 4.5 -19 *5751:116 *5751:122 4.98214 -20 *5751:122 *28792:RESET_B 4.5 -21 *5751:122 *5751:128 0.732143 -22 *5751:128 *28632:RESET_B 29.3661 -23 *28632:RESET_B *5751:148 17.4375 -24 *5751:148 *28633:RESET_B 3.75893 -25 *5751:148 *28704:RESET_B 14.0536 -26 *5751:128 *5751:167 12.9464 -27 *5751:167 *28781:SET_B 4.16964 -28 *5751:167 *28779:RESET_B 19.6875 -29 *28779:RESET_B *28780:RESET_B 14.4554 -30 *28780:RESET_B *5751:199 22.7589 -31 *5751:199 *28782:RESET_B 6.22321 -32 *5751:199 *28617:RESET_B 9.50893 -33 *28617:RESET_B *28128:RESET_B 48.875 -*END - -*D_NET *5752 0.0170636 -*CONN -*I *28624:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28724:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28726:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28432:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28621:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28433:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28434:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28431:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28625:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28664:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28680:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28681:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28665:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *29033:X O *D sky130_fd_sc_hd__buf_4 -*CAP -1 *28624:RESET_B 0.00021607 -2 *28724:RESET_B 1.15217e-05 -3 *28726:RESET_B 4.41887e-05 -4 *28432:RESET_B 7.72248e-05 -5 *28621:RESET_B 4.26949e-05 -6 *28433:RESET_B 5.03915e-05 -7 *28434:RESET_B 0.000216374 -8 *28431:RESET_B 0.000121729 -9 *28625:RESET_B 0 -10 *28664:RESET_B 0 -11 *28680:RESET_B 4.71803e-05 -12 *28681:RESET_B 0.000856945 -13 *28665:RESET_B 0.000398061 -14 *29033:X 0 -15 *5752:84 0.000383899 -16 *5752:82 0.000408515 -17 *5752:81 0.000377408 -18 *5752:77 0.000708925 -19 *5752:73 0.00125218 -20 *5752:69 0.000821915 -21 *5752:66 0.00073386 -22 *5752:56 0.00069419 -23 *5752:46 0.000580411 -24 *5752:35 0.000782013 -25 *5752:5 0.000712466 -26 *28431:RESET_B *28434:D 0.000105572 -27 *28432:RESET_B *28432:D 6.11837e-05 -28 *28433:RESET_B *28433:D 2.51935e-05 -29 *28665:RESET_B *5753:28 0 -30 *28681:RESET_B *5753:28 0 -31 *28681:RESET_B *5753:32 0 -32 *28724:RESET_B *28724:D 1.68581e-05 -33 *5752:35 *5753:32 0.000173323 -34 *5752:69 *28724:D 9.0145e-05 -35 *5752:73 *28724:D 0.000216319 -36 *5752:77 *28432:D 5.33334e-05 -37 *5752:77 *28724:D 5.56564e-05 -38 *5752:77 *5926:136 8.93488e-05 -39 *27053:A1 *5752:66 0.000123288 -40 *27097:A0 *5752:46 7.34866e-05 -41 *27097:S *5752:35 4.90803e-05 -42 *27097:S *5752:46 0.000221809 -43 *27098:A1 *5752:35 0.000153304 -44 *27098:S *5752:35 0.000837962 -45 *28129:CLK *5752:35 0.000395597 -46 *28680:D *5752:35 7.2754e-05 -47 *28726:CLK *28624:RESET_B 0.000147715 -48 *28726:CLK *5752:66 3.17148e-05 -49 *29916:A *28621:RESET_B 0.000102545 -50 *29916:A *5752:82 0.000245356 -51 *30303:A *5752:35 0.00011968 -52 *30635:A *28665:RESET_B 0.000162612 -53 *30635:A *28681:RESET_B 0.000401684 -54 *30705:A *28665:RESET_B 0.000178516 -55 *30969:A *5752:35 0.000392019 -56 *40:46 *28665:RESET_B 4.3808e-05 -57 *2748:20 *28431:RESET_B 0 -58 *2777:119 *28624:RESET_B 8.07807e-05 -59 *2777:119 *5752:66 4.8936e-05 -60 *2782:183 *28680:RESET_B 1.66258e-05 -61 *3572:81 *28431:RESET_B 9.69119e-06 -62 *3572:81 *28434:RESET_B 0.000140933 -63 *3572:81 *5752:82 0.000158106 -64 *3572:81 *5752:84 2.56694e-05 -65 *3634:38 *5752:77 4.58194e-05 -66 *3635:92 *5752:69 5.33005e-05 -67 *3800:13 *5752:69 2.90075e-05 -68 *3826:26 *28624:RESET_B 8.33233e-05 -69 *3877:23 *28624:RESET_B 8.08577e-05 -70 *3877:23 *5752:56 0.000270032 -71 *3877:23 *5752:66 0.00015415 -72 *3878:9 *28665:RESET_B 6.05223e-05 -73 *3903:23 *28680:RESET_B 3.99614e-06 -74 *3903:26 *5752:46 0 -75 *3903:26 *5752:56 0 -76 *3904:12 *28681:RESET_B 0.000185456 -77 *3904:12 *5752:35 2.48098e-05 -78 *3993:13 *5752:73 5.33005e-05 -79 *3993:32 *5752:73 5.33005e-05 -80 *4685:8 *5752:66 0 -81 *5459:12 *28726:RESET_B 0.000100831 -82 *5503:8 *28431:RESET_B 9.5527e-05 -83 *5503:8 *28621:RESET_B 3.06406e-05 -84 *5503:8 *5752:82 0.000253088 -85 *5503:8 *5752:84 2.37761e-05 -86 *5531:15 *28434:RESET_B 4.29007e-05 -87 *5602:41 *28726:RESET_B 0.000100831 -88 *5675:145 *5752:56 0.000174813 -89 *5675:145 *5752:66 5.46303e-05 -90 *5675:147 *5752:35 7.13188e-05 -91 *5675:147 *5752:46 0.000152154 -92 *5675:147 *5752:56 0.000167703 -93 *5675:149 *5752:35 3.86993e-05 -*RES -1 *29033:X *5752:5 13.8 -2 *5752:5 *28665:RESET_B 11.6518 -3 *5752:5 *28681:RESET_B 11.9018 -4 *28681:RESET_B *5752:35 31.7054 -5 *5752:35 *28680:RESET_B 5.40179 -6 *5752:35 *5752:46 5.58929 -7 *5752:46 *28664:RESET_B 4.5 -8 *5752:46 *5752:56 10.1964 -9 *5752:56 *28625:RESET_B 4.5 -10 *5752:56 *5752:66 5.94643 -11 *5752:66 *5752:69 7.91071 -12 *5752:69 *5752:73 7.94643 -13 *5752:73 *5752:77 9.17857 -14 *5752:77 *5752:81 4.92857 -15 *5752:81 *5752:82 8.92857 -16 *5752:82 *5752:84 1.03571 -17 *5752:84 *28431:RESET_B 7.96429 -18 *5752:84 *28434:RESET_B 7.99107 -19 *5752:82 *28433:RESET_B 5.40179 -20 *5752:81 *28621:RESET_B 5.83929 -21 *5752:77 *28432:RESET_B 1.29464 -22 *5752:73 *28726:RESET_B 10.3393 -23 *5752:69 *28724:RESET_B 0.473214 -24 *5752:66 *28624:RESET_B 9.83929 -*END - -*D_NET *5753 0.0578842 -*CONN -*I *29032:A I *D sky130_fd_sc_hd__buf_8 -*I *29033:A I *D sky130_fd_sc_hd__buf_4 -*I *28725:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28765:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28656:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28584:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28182:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28216:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28214:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28180:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28185:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28219:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28181:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28672:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28657:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28215:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28608:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *29034:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *29032:A 0.000691064 -2 *29033:A 2.35288e-05 -3 *28725:RESET_B 2.67456e-05 -4 *28765:RESET_B 1.15217e-05 -5 *28656:RESET_B 0.00047167 -6 *28584:RESET_B 6.53218e-05 -7 *28182:RESET_B 0 -8 *28216:RESET_B 0.0004251 -9 *28214:RESET_B 0.000264273 -10 *28180:RESET_B 0 -11 *28185:RESET_B 0 -12 *28219:RESET_B 0.000303834 -13 *28181:RESET_B 0.000770547 -14 *28672:RESET_B 0.000543885 -15 *28657:RESET_B 0 -16 *28215:RESET_B 0.000141889 -17 *28608:RESET_B 0.000579676 -18 *29034:X 0 -19 *5753:186 0.000104809 -20 *5753:177 0.000831257 -21 *5753:170 0.000958556 -22 *5753:138 0.000703433 -23 *5753:119 0.00101817 -24 *5753:87 0.000186928 -25 *5753:85 0.00075812 -26 *5753:83 0.000880793 -27 *5753:81 0.000829975 -28 *5753:67 0.00117629 -29 *5753:53 0.00290002 -30 *5753:38 0.00219979 -31 *5753:32 0.00238465 -32 *5753:30 0.00216375 -33 *5753:28 0.00223491 -34 *5753:4 0.00286107 -35 *28725:RESET_B *5873:94 2.34372e-06 -36 *29032:A *5858:17 0.000351476 -37 *29032:A *5858:23 4.37451e-05 -38 *5753:28 *27225:A2 0 -39 *5753:28 *6179:10 2.30116e-06 -40 *5753:28 *6179:19 0.000500438 -41 *5753:38 *5873:94 2.95076e-06 -42 *5753:38 *5873:106 4.03519e-05 -43 *5753:138 *5981:19 6.46081e-05 -44 mgmt_gpio_oeb[2] *5753:119 5.96921e-05 -45 *24855:A *5753:119 2.89114e-05 -46 *24980:A2 *5753:170 1.17968e-05 -47 *25046:A1 *5753:28 2.58937e-05 -48 *25046:A2 *5753:28 1.46576e-05 -49 *25046:B1 *29032:A 0.000705199 -50 *25046:B1 *5753:28 5.33005e-05 -51 *25046:B2 *5753:28 2.14658e-05 -52 *25702:A1 *5753:138 0.000170523 -53 *25709:A0 *28219:RESET_B 5.66647e-05 -54 *25709:A0 *5753:138 6.42242e-05 -55 *25710:A0 *28219:RESET_B 0.000103253 -56 *25752:S *28219:RESET_B 5.66647e-05 -57 *25752:S *5753:138 0.000169037 -58 *27007:A1 *28216:RESET_B 0.000136958 -59 *27034:S *5753:177 0.000439434 -60 *27081:A *28656:RESET_B 0.000164481 -61 *27081:A *5753:170 9.60939e-05 -62 *27089:A0 *28672:RESET_B 5.50052e-05 -63 *27089:A1 *28215:RESET_B 0.000190277 -64 *27089:A1 *5753:87 9.04969e-05 -65 *27089:S *5753:83 4.66203e-05 -66 *27089:S *5753:85 0.000216082 -67 *27222:B *29032:A 3.47641e-06 -68 *27270:B1 *29032:A 0.000170992 -69 *27273:B1 *29032:A 4.60232e-06 -70 *27802:A2 *5753:53 9.09469e-06 -71 *28180:D *5753:119 1.02821e-05 -72 *28185:D *28219:RESET_B 0.000114587 -73 *28216:CLK *28216:RESET_B 0.000426985 -74 *28648:CLK *5753:28 0.000227532 -75 *28648:RESET_B *5753:28 0.000568181 -76 *28656:D *5753:177 0.000100823 -77 *28665:RESET_B *5753:28 0 -78 *28681:D *5753:32 3.82151e-05 -79 *28681:RESET_B *5753:28 0 -80 *28681:RESET_B *5753:32 0 -81 *28765:D *5753:53 1.61554e-05 -82 *28769:RESET_B *29032:A 0.000135358 -83 *28769:RESET_B *5753:28 9.41642e-05 -84 *29450:A *28216:RESET_B 7.4826e-05 -85 *29960:A *5753:138 8.05417e-05 -86 *30092:A *28214:RESET_B 0.000131212 -87 *30292:A *5753:32 0 -88 *30591:A *29032:A 4.79513e-05 -89 *30591:A *5753:28 0.000199212 -90 *30624:A *29033:A 0.000141734 -91 *30855:A *5753:119 0.00015732 -92 *11:17 *5753:28 0.000800871 -93 *14:24 *29032:A 9.41642e-05 -94 *14:24 *5753:28 0.000183311 -95 *19:25 *5753:28 8.40344e-05 -96 *40:40 *5753:28 8.85649e-05 -97 *244:64 *29033:A 0.000141734 -98 *1102:18 *29032:A 5.05056e-05 -99 *1244:71 *5753:32 9.53126e-05 -100 *1254:67 *5753:28 0.000453036 -101 *1304:40 *5753:32 0.00111449 -102 *1317:30 *5753:53 0.000247154 -103 *1347:19 *28765:RESET_B 1.68581e-05 -104 *1347:19 *5753:53 6.6538e-05 -105 *1347:19 *5753:67 0.000789064 -106 *1368:17 *5753:28 5.61481e-05 -107 *1371:11 *5753:28 0.000750862 -108 *1371:19 *28219:RESET_B 0.000294415 -109 *1371:25 *28181:RESET_B 0 -110 *1371:25 *5753:119 0 -111 *1490:72 *5753:177 1.09232e-05 -112 *1490:82 *28608:RESET_B 0.000295851 -113 *1490:82 *5753:170 0.000171368 -114 *1490:82 *5753:177 0.000103267 -115 *1826:85 *5753:32 6.55682e-05 -116 *1844:189 *28181:RESET_B 0.00079406 -117 *1844:189 *5753:119 9.4736e-05 -118 *2775:50 *28584:RESET_B 0.00027438 -119 *2775:50 *5753:177 0.000488653 -120 *2775:50 *5753:186 0.000125731 -121 *2781:7 *28656:RESET_B 2.89016e-05 -122 *2800:18 *29032:A 6.48447e-05 -123 *2800:55 *5753:28 0.000304669 -124 *2805:13 *5753:28 0.00181391 -125 *2806:9 *29032:A 2.77258e-05 -126 *2806:9 *5753:28 1.92789e-05 -127 *3348:17 *5753:53 0 -128 *3634:82 *28215:RESET_B 6.13491e-05 -129 *3773:11 *5753:81 9.91086e-05 -130 *3773:11 *5753:83 0.000103974 -131 *3864:5 *28656:RESET_B 8.79458e-05 -132 *3864:23 *28584:RESET_B 0.000264129 -133 *3864:23 *5753:177 0.000631287 -134 *3864:23 *5753:186 0.000117189 -135 *3878:22 *5753:28 5.98167e-05 -136 *3878:22 *5753:32 0.000147212 -137 *3904:12 *5753:32 0.000311525 -138 *3904:21 *5753:32 0.00346185 -139 *3982:44 *5753:32 0.00229052 -140 *3982:46 *28725:RESET_B 3.39365e-05 -141 *3982:46 *5753:32 0 -142 *3982:46 *5753:38 0.000135591 -143 *4059:11 *5753:67 5.52238e-05 -144 *4232:13 *28656:RESET_B 6.85725e-05 -145 *4334:15 *28214:RESET_B 0.000216755 -146 *4334:15 *28656:RESET_B 0.000171375 -147 *4574:20 *5753:28 9.55761e-05 -148 *5129:18 *5753:28 0.000570218 -149 *5468:29 *28656:RESET_B 8.68665e-05 -150 *5521:67 *5753:28 0.000834435 -151 *5529:31 *5753:85 6.11203e-06 -152 *5529:31 *5753:87 1.12578e-05 -153 *5592:10 *28181:RESET_B 0.000243635 -154 *5592:10 *5753:138 9.9566e-05 -155 *5592:24 *28215:RESET_B 8.25843e-06 -156 *5592:24 *5753:38 0.000183232 -157 *5606:78 *5753:170 1.52978e-05 -158 *5642:27 *5753:32 0.00132956 -159 *5659:32 *28181:RESET_B 0 -160 *5659:32 *28219:RESET_B 8.11696e-05 -161 *5659:32 *5753:138 0.000140667 -162 *5659:36 *28219:RESET_B 1.21404e-05 -163 *5659:48 *5753:32 9.60337e-06 -164 *5667:286 *28608:RESET_B 0.000295851 -165 *5667:286 *5753:170 0.000116474 -166 *5675:97 *5753:81 9.0573e-05 -167 *5675:97 *5753:83 0.000189441 -168 *5675:97 *5753:85 0.000438642 -169 *5675:119 *28215:RESET_B 0.000256513 -170 *5675:119 *28672:RESET_B 4.17702e-05 -171 *5675:119 *5753:85 9.15842e-06 -172 *5675:119 *5753:87 0.000124012 -173 *5678:141 *5753:53 2.63501e-05 -174 *5700:260 *28656:RESET_B 4.27935e-05 -175 *5747:21 *5753:28 0.000106581 -176 *5751:8 *29032:A 0.000212222 -177 *5751:10 *29032:A 0.000202846 -178 *5751:12 *29032:A 0.000252017 -179 *5752:35 *5753:32 0.000173323 -*RES -1 *29034:X *5753:4 9.3 -2 *5753:4 *5753:28 45.0803 -3 *5753:28 *5753:30 0.535714 -4 *5753:30 *5753:32 70.8571 -5 *5753:32 *5753:38 14.2054 -6 *5753:38 *5753:53 24.6923 -7 *5753:53 *5753:67 17.6607 -8 *5753:67 *28608:RESET_B 8.26786 -9 *28608:RESET_B *5753:81 10.9732 -10 *5753:81 *5753:83 2.55357 -11 *5753:83 *5753:85 5.89286 -12 *5753:85 *5753:87 1.64286 -13 *5753:87 *28215:RESET_B 9.09821 -14 *5753:87 *28657:RESET_B 4.5 -15 *5753:85 *28672:RESET_B 11.9554 -16 *5753:83 *5753:119 19.6071 -17 *5753:119 *28181:RESET_B 15.3036 -18 *28181:RESET_B *5753:138 15.9911 -19 *5753:138 *28219:RESET_B 20.7857 -20 *5753:138 *28185:RESET_B 4.5 -21 *5753:119 *28180:RESET_B 4.5 -22 *5753:81 *28214:RESET_B 9.49107 -23 *5753:67 *5753:170 7.69643 -24 *5753:170 *5753:177 17.4286 -25 *5753:177 *28216:RESET_B 11.9554 -26 *5753:177 *5753:186 1.64286 -27 *5753:186 *28182:RESET_B 4.5 -28 *5753:186 *28584:RESET_B 7.96429 -29 *5753:170 *28656:RESET_B 17.5982 -30 *5753:53 *28765:RESET_B 0.473214 -31 *5753:38 *28725:RESET_B 5.08036 -32 *5753:28 *29033:A 15.1571 -33 *5753:4 *29032:A 35.7464 -*END - -*D_NET *5754 0.0688847 -*CONN -*I *28642:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28721:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28620:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28515:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28483:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28594:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28507:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28467:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28636:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28546:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28604:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28468:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28470:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28692:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28469:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28806:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28803:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28802:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28801:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28800:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *29035:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *28642:SET_B 4.17302e-05 -2 *28721:RESET_B 0.000767753 -3 *28620:RESET_B 0.000239697 -4 *28515:SET_B 0.000284644 -5 *28483:SET_B 0.000643889 -6 *28594:SET_B 0.000345268 -7 *28507:SET_B 0 -8 *28467:SET_B 0.000317364 -9 *28636:RESET_B 0 -10 *28546:SET_B 0.000110968 -11 *28604:RESET_B 4.0919e-05 -12 *28468:RESET_B 0.00125284 -13 *28470:RESET_B 0.000509084 -14 *28692:RESET_B 0 -15 *28469:RESET_B 6.24493e-06 -16 *28806:RESET_B 0.000457232 -17 *28803:RESET_B 0.000365043 -18 *28802:RESET_B 0 -19 *28801:RESET_B 0 -20 *28800:RESET_B 0 -21 *29035:X 8.19964e-05 -22 *5754:219 0.0010657 -23 *5754:213 0.000849359 -24 *5754:189 0.00129914 -25 *5754:183 0.000615469 -26 *5754:179 0.000995585 -27 *5754:168 0.000617166 -28 *5754:158 0.000793234 -29 *5754:130 0.00194551 -30 *5754:127 0.00105051 -31 *5754:103 0.00130493 -32 *5754:102 0.00179557 -33 *5754:82 0.00126927 -34 *5754:46 0.000398968 -35 *5754:43 0.00142688 -36 *5754:34 0.00130249 -37 *5754:27 0.000941889 -38 *5754:24 0.00098923 -39 *5754:18 0.00192762 -40 *5754:6 0.0019996 -41 *28468:RESET_B *28468:D 8.79458e-05 -42 *28468:RESET_B *5936:29 6.84259e-05 -43 *28468:RESET_B *5936:33 4.9444e-05 -44 *28469:RESET_B *28469:D 1.36598e-05 -45 *28470:RESET_B *28470:D 5.30032e-05 -46 *28483:SET_B *28507:D 8.25843e-06 -47 *28515:SET_B *28515:D 6.11872e-05 -48 *28594:SET_B *28507:D 4.58194e-05 -49 *5754:18 *5873:175 0.000216351 -50 *5754:27 *28469:D 0.000104645 -51 *5754:27 *29508:A 0.000135028 -52 *5754:34 *28469:D 2.60969e-05 -53 *5754:158 *27030:A1 0.000298483 -54 *25183:A1 *28620:RESET_B 0.000622129 -55 *25183:A1 *5754:127 3.45453e-05 -56 *25276:A1 *5754:127 6.05161e-06 -57 *25288:A1 *28515:SET_B 9.20635e-06 -58 *25288:A1 *5754:183 9.92778e-05 -59 *25332:A1 *5754:213 0.000216755 -60 *26894:A0 *28483:SET_B 0.000183108 -61 *27073:A0 *5754:18 0.000520323 -62 *27333:A2 *5754:18 0.000139542 -63 *27357:B2 *5754:102 1.20475e-05 -64 *27614:B2 *5754:18 0.000232384 -65 *27671:B2 *5754:27 0.000135028 -66 *27695:B1 *5754:82 0.000171737 -67 *27695:B1 *5754:102 5.58875e-06 -68 *27719:A1 *28468:RESET_B 2.59355e-05 -69 *27719:A2 *28468:RESET_B 2.05612e-05 -70 *27719:C1 *28468:RESET_B 0.000182309 -71 *27769:A1 *28806:RESET_B 9.0145e-05 -72 *27769:A1 *5754:46 3.17163e-05 -73 *28468:CLK *28468:RESET_B 4.27935e-05 -74 *28469:CLK *5754:27 0.000340155 -75 *28470:CLK *28470:RESET_B 0.000367001 -76 *28546:CLK *28546:SET_B 0.000124221 -77 *28594:CLK *28594:SET_B 0.000444581 -78 *28594:D *28594:SET_B 0.000810666 -79 *28642:D *28642:SET_B 4.27935e-05 -80 *28721:CLK *28721:RESET_B 0.00017535 -81 *28801:CLK *5754:43 1.98839e-05 -82 *28802:D *5754:43 2.47753e-05 -83 *28803:D *28803:RESET_B 4.58194e-05 -84 *29335:A *28594:SET_B 9.60875e-05 -85 *29756:A *5754:168 2.89114e-05 -86 *29872:A *28483:SET_B 9.41642e-05 -87 *29872:A *28594:SET_B 0.000135028 -88 *29874:A *28483:SET_B 4.31568e-05 -89 *1178:69 *28468:RESET_B 0.00108231 -90 *1182:32 *28642:SET_B 8.79458e-05 -91 *1228:85 *28806:RESET_B 8.27184e-06 -92 *1228:85 *5754:43 2.17214e-05 -93 *1245:51 *5754:18 0.00178919 -94 *1260:43 *28483:SET_B 5.96243e-05 -95 *1273:130 *28803:RESET_B 0.00012891 -96 *1291:31 *5754:18 0.00191953 -97 *1363:108 *28470:RESET_B 9.90431e-05 -98 *1363:108 *28721:RESET_B 0.00186079 -99 *1363:108 *5754:103 0.000456438 -100 *1490:26 *28803:RESET_B 0 -101 *1490:26 *5754:46 0 -102 *1496:11 *28721:RESET_B 5.9547e-05 -103 *1536:27 *28470:RESET_B 6.60111e-05 -104 *1642:20 *5754:183 0.000149096 -105 *1642:20 *5754:189 5.2764e-05 -106 *1650:16 *5754:213 0.000108437 -107 *2780:25 *28806:RESET_B 0.000573382 -108 *2780:61 *5754:6 0.000189733 -109 *2780:129 *28642:SET_B 1.94879e-05 -110 *2780:129 *5754:6 1.10632e-05 -111 *2781:87 *5754:18 0.000260584 -112 *2782:106 *5754:34 0.000394391 -113 *2782:106 *5754:43 0.000173083 -114 *2784:67 *5754:179 0.000838863 -115 *2787:112 *28806:RESET_B 3.95515e-05 -116 *2859:162 *28468:RESET_B 9.82436e-05 -117 *2859:187 *5754:213 0.000209448 -118 *2864:176 *5754:213 0.000424029 -119 *2876:29 *28483:SET_B 1.74806e-05 -120 *2879:27 *5754:34 0.000210523 -121 *2879:67 *28483:SET_B 5.31158e-05 -122 *2879:67 *5754:213 0.000516512 -123 *2879:67 *5754:219 0.000216986 -124 *2880:129 *28468:RESET_B 9.65217e-05 -125 *2886:175 *5754:18 0.000151024 -126 *2886:175 *5754:24 0.00011238 -127 *2886:175 *5754:82 0.00036532 -128 *2886:175 *5754:102 0.000162671 -129 *2889:28 *28721:RESET_B 0.00030205 -130 *2889:34 *5754:127 0.000975178 -131 *2889:151 *28721:RESET_B 0.000235352 -132 *2891:104 *5754:102 0.000147899 -133 *2917:26 *5754:82 0.000441538 -134 *2917:26 *5754:102 2.11419e-05 -135 *2938:6 *28803:RESET_B 0.000319495 -136 *2938:6 *5754:46 0.000108118 -137 *3183:40 *28803:RESET_B 0.00024223 -138 *3185:65 *28470:RESET_B 0.000131772 -139 *3185:65 *5754:127 8.70145e-05 -140 *3205:210 *28468:RESET_B 0.00131594 -141 *3206:95 *28470:RESET_B 4.22135e-06 -142 *3206:99 *5754:127 0.000868081 -143 *3259:25 *28468:RESET_B 9.41642e-05 -144 *3559:14 *28806:RESET_B 0.000323259 -145 *3559:21 *28806:RESET_B 0.000337495 -146 *3574:86 *28483:SET_B 4.62147e-05 -147 *3574:86 *5754:213 0.000518226 -148 *3574:86 *5754:219 0.000206736 -149 *3574:111 *5754:130 4.44693e-05 -150 *3574:111 *5754:158 7.91281e-05 -151 *3644:10 *5754:179 0.000774061 -152 *3644:30 *5754:179 7.40526e-05 -153 *3666:18 *5754:27 2.72695e-05 -154 *3666:18 *5754:34 6.13757e-06 -155 *3673:10 *28546:SET_B 0.000191369 -156 *3769:26 *5754:158 7.6644e-05 -157 *3782:66 *5754:18 0.000151024 -158 *3782:66 *5754:24 0.000120342 -159 *3782:66 *5754:82 0.000217618 -160 *3783:110 *5754:183 0.000290105 -161 *3783:110 *5754:189 0.000486312 -162 *3783:110 *5754:213 0.000238503 -163 *3797:65 *5754:18 0.000110121 -164 *3847:64 *5754:102 0 -165 *3847:64 *5754:127 0.000103244 -166 *3860:38 *5754:102 0.000786947 -167 *3874:37 *5754:168 5.50949e-05 -168 *3900:60 *28468:RESET_B 0.000478527 -169 *3907:45 *5754:189 0.000352212 -170 *3907:45 *5754:213 0.00022996 -171 *3912:82 *5754:130 0.000266744 -172 *3963:109 *5754:179 0.00014833 -173 *3987:29 *5754:18 0.00010407 -174 *3992:53 *28467:SET_B 0.000123288 -175 *4015:31 *28604:RESET_B 1.85472e-05 -176 *4015:31 *5754:168 7.79781e-06 -177 *4015:37 *28467:SET_B 0.000123288 -178 *4044:14 *28483:SET_B 0.000503629 -179 *4044:14 *5754:213 0.000741373 -180 *4115:30 *5754:213 0.000748805 -181 *4186:20 *5754:102 1.09611e-05 -182 *5278:5 *5754:27 0.000259549 -183 *5386:11 *5754:18 0.000281139 -184 *5467:14 *5754:102 4.02778e-05 -185 *5517:30 *28642:SET_B 1.12323e-05 -186 *5517:30 *5754:6 0.000202884 -187 *5597:20 *28604:RESET_B 2.28544e-05 -188 *5597:20 *5754:168 0.000165951 -189 *5599:295 *5754:34 0.000148196 -190 *5599:295 *5754:43 0.000164554 -191 *5600:114 *28620:RESET_B 0.000241255 -192 *5600:114 *5754:127 0.000136958 -193 *5627:75 *28483:SET_B 1.18496e-05 -194 *5629:139 *28470:RESET_B 1.9419e-05 -195 *5629:139 *5754:127 0.000410083 -196 *5629:139 *5754:130 0.000353665 -197 *5629:139 *5754:158 0.000227797 -198 *5630:14 *5754:43 0.000630459 -199 *5634:122 *5754:168 0.000267551 -200 *5638:62 *28470:RESET_B 9.71197e-05 -201 *5638:62 *28721:RESET_B 0.000175892 -202 *5638:62 *5754:103 0.000467849 -203 *5643:40 *5754:34 1.81805e-05 -204 *5657:197 *5754:18 0.000134984 -205 *5657:198 *5754:102 0.000187823 -206 *5661:210 *28483:SET_B 0.000812271 -207 *5687:114 *5754:102 1.99901e-06 -208 *5707:80 *28467:SET_B 0.000552109 -209 *5707:100 *28604:RESET_B 0.000105689 -210 *5707:100 *5754:168 0.000168939 -211 *5713:305 *5754:158 0.000123861 -212 *5718:313 *28803:RESET_B 0.000147332 -*RES -1 *29035:X *5754:6 16.5054 -2 *5754:6 *5754:18 24.7583 -3 *5754:18 *28800:RESET_B 4.5 -4 *5754:18 *5754:24 1.64286 -5 *5754:24 *5754:27 15.5089 -6 *5754:27 *5754:34 12.1875 -7 *5754:34 *28801:RESET_B 4.5 -8 *5754:34 *5754:43 17.1429 -9 *5754:43 *5754:46 5.83929 -10 *5754:46 *28802:RESET_B 4.5 -11 *5754:46 *28803:RESET_B 13.8839 -12 *5754:43 *28806:RESET_B 20.2143 -13 *5754:27 *28469:RESET_B 0.267857 -14 *5754:24 *5754:82 10.9107 -15 *5754:82 *28692:RESET_B 4.5 -16 *5754:82 *5754:102 21.0244 -17 *5754:102 *5754:103 4.64286 -18 *5754:103 *28470:RESET_B 19.3482 -19 *5754:103 *5754:127 29.1786 -20 *5754:127 *5754:130 9.17857 -21 *5754:130 *28468:RESET_B 40.3661 -22 *5754:130 *5754:158 17.625 -23 *5754:158 *28604:RESET_B 5.83929 -24 *5754:158 *5754:168 10.1607 -25 *5754:168 *28546:SET_B 2.52679 -26 *5754:168 *5754:179 20.0536 -27 *5754:179 *5754:183 11.6786 -28 *5754:183 *28636:RESET_B 4.5 -29 *5754:183 *5754:189 6.5 -30 *5754:189 *28467:SET_B 20.6071 -31 *5754:189 *5754:213 44.2143 -32 *5754:213 *28507:SET_B 4.5 -33 *5754:213 *5754:219 2.85714 -34 *5754:219 *28594:SET_B 14.4554 -35 *5754:219 *28483:SET_B 34.1647 -36 *5754:179 *28515:SET_B 3.49107 -37 *5754:127 *28620:RESET_B 6.22321 -38 *5754:102 *28721:RESET_B 20.1875 -39 *5754:6 *28642:SET_B 5.66071 -*END - -*D_NET *5755 0.0609242 -*CONN -*I *28482:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28640:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28701:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28472:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28696:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28722:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28757:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28488:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28217:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28480:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28183:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28593:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28592:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28585:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28520:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28733:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28741:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28473:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28804:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28805:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *29036:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *28482:SET_B 0.000632727 -2 *28640:RESET_B 2.83259e-05 -3 *28701:RESET_B 1.80576e-05 -4 *28472:RESET_B 3.25948e-05 -5 *28696:RESET_B 0 -6 *28722:RESET_B 0.00038617 -7 *28757:RESET_B 0.000649084 -8 *28488:RESET_B 0.00032761 -9 *28217:RESET_B 0.000156778 -10 *28480:RESET_B 0.00142567 -11 *28183:RESET_B 0 -12 *28593:RESET_B 0 -13 *28592:RESET_B 0 -14 *28585:RESET_B 0.000320256 -15 *28520:RESET_B 5.08336e-05 -16 *28733:RESET_B 0.00205237 -17 *28741:RESET_B 0.000105825 -18 *28473:RESET_B 0 -19 *28804:RESET_B 0.000116639 -20 *28805:RESET_B 0.000114111 -21 *29036:X 1.69531e-05 -22 *5755:263 0.000885646 -23 *5755:252 0.000411275 -24 *5755:243 0.000466905 -25 *5755:237 0.000431596 -26 *5755:224 0.000744621 -27 *5755:192 0.00208416 -28 *5755:159 0.00199646 -29 *5755:151 0.00138044 -30 *5755:125 0.000818734 -31 *5755:113 0.00123466 -32 *5755:92 0.00181808 -33 *5755:80 0.00187712 -34 *5755:78 0.00185897 -35 *5755:60 0.00161353 -36 *5755:53 0.000225304 -37 *5755:51 0.00150038 -38 *5755:38 0.00170898 -39 *5755:32 0.000533648 -40 *5755:22 0.000838565 -41 *5755:7 0.000335403 -42 *28480:RESET_B *5936:138 0 -43 *28757:RESET_B *5863:163 0.000193413 -44 *5755:113 *5926:117 2.59355e-05 -45 *5755:113 *5926:120 0.000162227 -46 *5755:125 *5926:120 0.000250208 -47 *5755:151 *5936:6 0.000476659 -48 *5755:159 *5936:6 0.0005111 -49 *5755:159 *5936:136 0.00036587 -50 mgmt_gpio_oeb[6] *28480:RESET_B 0 -51 mgmt_gpio_out[6] *28480:RESET_B 0 -52 mgmt_gpio_out[7] *28480:RESET_B 0.000113377 -53 *24854:A *5755:32 8.47107e-05 -54 *24854:A *5755:38 2.14378e-05 -55 *25017:A1 *5755:32 0.000209639 -56 *25017:A1 *5755:38 3.17067e-05 -57 *25017:A1 *5755:224 0.000129185 -58 *25024:C1 *5755:113 0.000221163 -59 *25034:A *28480:RESET_B 0.000438671 -60 *26893:A0 *28482:SET_B 9.71197e-05 -61 *26893:A1 *28482:SET_B 9.80173e-05 -62 *26899:A1 *28488:RESET_B 9.58181e-05 -63 *26899:A1 *28757:RESET_B 0.000249017 -64 *26980:S *28480:RESET_B 6.58195e-05 -65 *27017:S *5755:125 0.000365848 -66 *27139:A1 *28701:RESET_B 4.70821e-05 -67 *27795:A1 *5755:32 9.89536e-05 -68 *27811:A2 *5755:243 2.11419e-05 -69 *27813:A1 *5755:51 0.00112758 -70 *27813:A2 *5755:51 0.000423003 -71 *27818:C1 *5755:32 3.3006e-05 -72 *28472:D *28472:RESET_B 2.29097e-05 -73 *28520:D *5755:92 0.000267092 -74 *28593:D *5755:113 8.85712e-05 -75 *28733:D *28733:RESET_B 9.31595e-05 -76 *28805:D *28805:RESET_B 0.00017096 -77 *28805:D *5755:22 0.00014734 -78 *29156:A *28482:SET_B 9.60939e-05 -79 *29623:A *28217:RESET_B 0.00044051 -80 *29623:A *5755:78 0.000114886 -81 *29623:A *5755:151 2.32166e-05 -82 *29695:A *5755:78 8.95645e-05 -83 *29711:A *28722:RESET_B 0.00010215 -84 *29711:A *5755:224 4.1518e-05 -85 *30416:A *28488:RESET_B 7.0185e-05 -86 *30433:A *28757:RESET_B 1.65169e-05 -87 *30728:A *28480:RESET_B 3.86205e-05 -88 *30865:A *5755:113 0.000159992 -89 *1218:188 *28640:RESET_B 2.27812e-05 -90 *1262:224 *5755:192 2.04825e-05 -91 *1290:179 *5755:243 4.61448e-05 -92 *1291:46 *5755:7 5.33005e-05 -93 *1304:39 *5755:192 0.000102543 -94 *1326:68 *5755:113 0.000112777 -95 *1326:72 *5755:113 2.59045e-05 -96 *1328:156 *28722:RESET_B 1.52601e-05 -97 *1328:198 *5755:51 0.00186566 -98 *1347:31 *5755:113 2.82057e-05 -99 *1357:10 *28480:RESET_B 0.00160303 -100 *1357:10 *5755:159 0.000234508 -101 *1844:146 *28733:RESET_B 8.61957e-05 -102 *1844:146 *5755:78 2.10598e-05 -103 *1844:160 *5755:78 0.000553912 -104 *1844:160 *5755:92 0.000382821 -105 *1864:150 *28757:RESET_B 0.000306068 -106 *1864:150 *5755:192 4.88232e-05 -107 *1864:151 *5755:159 0.000259761 -108 *2758:67 *5755:32 0.000597623 -109 *2760:33 *28482:SET_B 0.000302844 -110 *2760:51 *28482:SET_B 9.41642e-05 -111 *2772:196 *5755:92 0.000262568 -112 *2775:50 *28585:RESET_B 0.000362538 -113 *2775:50 *5755:125 5.83233e-05 -114 *2777:94 *28482:SET_B 0.000342682 -115 *2786:101 *28482:SET_B 0.000328247 -116 *2786:101 *5755:237 0.000109851 -117 *2786:101 *5755:243 0.000145496 -118 *2786:101 *5755:252 0.000123744 -119 *2786:101 *5755:263 0.000191525 -120 *2786:112 *5755:38 9.25014e-06 -121 *2786:112 *5755:224 0.000236814 -122 *2786:112 *5755:237 5.7739e-05 -123 *2845:392 *5755:192 9.20139e-05 -124 *2855:310 *5755:192 0.000247355 -125 *2856:270 *28804:RESET_B 6.26774e-05 -126 *2856:279 *5755:22 0.000121423 -127 *2866:36 *5755:51 0.000121573 -128 *2882:173 *28804:RESET_B 8.25843e-06 -129 *2882:173 *5755:32 5.78624e-05 -130 *2885:35 *5755:32 0.000168495 -131 *2894:361 *28640:RESET_B 9.09013e-05 -132 *2998:32 *28805:RESET_B 0.000218328 -133 *2998:32 *5755:22 0.000254382 -134 *3009:9 *5755:192 0.000179786 -135 *3018:30 *5755:51 0.000793456 -136 *3153:124 *28805:RESET_B 2.15178e-05 -137 *3153:124 *5755:22 0.000237216 -138 *3164:249 *5755:192 8.92907e-05 -139 *3177:36 *28741:RESET_B 0.00033763 -140 *3177:36 *5755:60 0.000453333 -141 *3177:36 *5755:192 0.000220574 -142 *3537:74 *5755:32 1.52774e-05 -143 *3669:9 *5755:243 5.79358e-05 -144 *3670:7 *5755:51 5.52238e-05 -145 *3708:68 *28741:RESET_B 0.000108282 -146 *3708:68 *5755:192 0.000116155 -147 *3721:68 *28488:RESET_B 0.000219289 -148 *3734:16 *28585:RESET_B 2.79421e-05 -149 *3734:48 *5755:113 0.000267656 -150 *3735:9 *28585:RESET_B 0.000150563 -151 *3812:7 *28480:RESET_B 4.85567e-05 -152 *3812:31 *28757:RESET_B 0.000528399 -153 *3826:57 *28741:RESET_B 0.00033763 -154 *3826:57 *5755:60 0.000460917 -155 *3826:57 *5755:192 0.000218015 -156 *3864:23 *28585:RESET_B 0.000362538 -157 *3864:23 *5755:92 0.000152848 -158 *3864:23 *5755:125 4.97876e-05 -159 *3946:18 *28482:SET_B 0.000190105 -160 *3991:11 *28722:RESET_B 8.85631e-05 -161 *3993:14 *5755:60 0.000102503 -162 *3993:14 *5755:192 0.00177557 -163 *4046:18 *28757:RESET_B 2.51343e-06 -164 *4111:11 *5755:92 1.32091e-05 -165 *4111:11 *5755:113 1.20865e-05 -166 *4111:38 *5755:113 4.02462e-05 -167 *4124:116 *5755:92 0.000935818 -168 *4649:20 *5755:237 2.04825e-05 -169 *5280:7 *5755:7 5.33005e-05 -170 *5467:18 *5755:192 0 -171 *5485:139 *28482:SET_B 0.000148573 -172 *5485:139 *5755:237 0.000320764 -173 *5485:139 *5755:243 0.000489019 -174 *5485:139 *5755:252 0.000367505 -175 *5485:139 *5755:263 0.000191685 -176 *5583:134 *28701:RESET_B 2.29097e-05 -177 *5583:149 *5755:151 0.00026194 -178 *5583:149 *5755:159 0.00047432 -179 *5602:53 *5755:92 0.000128284 -180 *5639:45 *5755:51 0.000108447 -181 *5650:14 *5755:51 0.000123295 -182 *5659:28 *28480:RESET_B 0 -183 *5659:32 *5755:113 0.000172477 -184 *5659:32 *5755:125 0.000250208 -185 *5660:102 *28757:RESET_B 1.39711e-05 -186 *5675:97 *5755:125 0.000421374 -187 *5678:71 *28757:RESET_B 6.89988e-05 -188 *5678:71 *5755:192 6.20441e-06 -189 *5678:85 *5755:92 0.000647619 -190 *5679:92 *28480:RESET_B 0.00036705 -*RES -1 *29036:X *5755:7 14.3357 -2 *5755:7 *28805:RESET_B 7.89286 -3 *5755:7 *5755:22 10.75 -4 *5755:22 *28804:RESET_B 1.70536 -5 *5755:22 *5755:32 18.4464 -6 *5755:32 *28473:RESET_B 4.5 -7 *5755:32 *5755:38 0.732143 -8 *5755:38 *5755:51 48.3929 -9 *5755:51 *5755:53 4.5 -10 *5755:53 *28741:RESET_B 8.875 -11 *5755:53 *5755:60 5.89286 -12 *5755:60 *28733:RESET_B 10.3125 -13 *28733:RESET_B *5755:78 21.7946 -14 *5755:78 *5755:80 0.732143 -15 *5755:80 *5755:92 32.2946 -16 *5755:92 *28520:RESET_B 0.973214 -17 *5755:92 *5755:113 28.8214 -18 *5755:113 *5755:125 21.7857 -19 *5755:125 *28585:RESET_B 12.9375 -20 *5755:125 *28592:RESET_B 4.5 -21 *5755:113 *28593:RESET_B 4.5 -22 *5755:80 *5755:151 18.6696 -23 *5755:151 *28183:RESET_B 4.5 -24 *5755:151 *5755:159 21.0982 -25 *5755:159 *28480:RESET_B 42.9464 -26 *5755:78 *28217:RESET_B 5.10714 -27 *5755:60 *5755:192 23.6181 -28 *5755:192 *28488:RESET_B 5.45536 -29 *5755:192 *28757:RESET_B 21.3304 -30 *5755:38 *5755:224 9.01786 -31 *5755:224 *28722:RESET_B 5.54464 -32 *5755:224 *5755:237 8.875 -33 *5755:237 *28696:RESET_B 4.5 -34 *5755:237 *5755:243 6.80357 -35 *5755:243 *28472:RESET_B 4.97321 -36 *5755:243 *5755:252 4.98214 -37 *5755:252 *28701:RESET_B 4.97321 -38 *5755:252 *5755:263 5.51786 -39 *5755:263 *28640:RESET_B 5.38393 -40 *5755:263 *28482:SET_B 20.6875 -*END - -*D_NET *5756 0.0415419 -*CONN -*I *28544:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28601:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28552:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28561:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28560:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28489:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28512:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28649:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28734:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28485:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28712:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28623:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *29037:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 *28544:RESET_B 1.10882e-05 -2 *28601:RESET_B 0.000817681 -3 *28552:RESET_B 0.00135278 -4 *28561:RESET_B 0 -5 *28560:RESET_B 0.00083801 -6 *28489:RESET_B 0.000227891 -7 *28512:RESET_B 0.000280621 -8 *28649:RESET_B 0.000482744 -9 *28734:RESET_B 1.09788e-05 -10 *28485:RESET_B 0.00126882 -11 *28712:RESET_B 0 -12 *28623:RESET_B 0.000462898 -13 *29037:X 0 -14 *5756:119 0.000997387 -15 *5756:107 0.000650313 -16 *5756:95 0.0013676 -17 *5756:86 0.000969959 -18 *5756:65 0.000919679 -19 *5756:60 0.00242654 -20 *5756:29 0.00102823 -21 *5756:10 0.000870342 -22 *5756:4 0.00252593 -23 *28485:RESET_B *5814:21 0.000108392 -24 *28623:RESET_B *29037:A 0.000135028 -25 *28649:RESET_B *5829:85 0.000120879 -26 *28649:RESET_B *5926:72 3.80575e-05 -27 *5756:29 *26896:A1 0.000121573 -28 *5756:29 *28485:D 0.000125717 -29 *24990:C1 *5756:86 2.51343e-06 -30 *25026:A1 *28485:RESET_B 0.000744444 -31 *25026:A1 *5756:10 0.000359045 -32 *26890:A1 *28552:RESET_B 0.000663188 -33 *27026:A1 *5756:119 0.000234054 -34 *27450:B1 *5756:60 0.000136676 -35 *27808:A1 *5756:60 0.000502801 -36 *28512:D *28512:RESET_B 9.00852e-05 -37 *28544:D *28544:RESET_B 4.90803e-05 -38 *28544:D *5756:119 9.25014e-06 -39 *28601:D *28601:RESET_B 8.79458e-05 -40 *28734:D *28734:RESET_B 2.5385e-05 -41 *28734:D *5756:29 6.77953e-05 -42 *28930:A *28623:RESET_B 0.000353196 -43 *28930:A *5756:60 0.000534436 -44 *30038:A *5756:29 0.000247778 -45 *30385:A *28601:RESET_B 0.000125724 -46 *30397:A *28512:RESET_B 4.00349e-05 -47 *30405:A *28560:RESET_B 0.00027744 -48 *30434:A *5756:60 0.000136958 -49 *244:65 *28512:RESET_B 4.15526e-05 -50 *1185:125 *28649:RESET_B 0.000295144 -51 *1250:234 *28485:RESET_B 0.000373105 -52 *1268:95 *5756:119 6.98512e-06 -53 *1268:123 *28601:RESET_B 3.02581e-06 -54 *1269:267 *5756:95 8.44766e-05 -55 *1270:93 *5756:119 2.59024e-05 -56 *1270:94 *5756:86 0.000417035 -57 *1270:94 *5756:95 0.00114738 -58 *1270:94 *5756:107 0.000773601 -59 *1270:94 *5756:119 6.81196e-05 -60 *1272:160 *5756:65 4.21517e-05 -61 *1272:160 *5756:86 0.000445299 -62 *1274:172 *28544:RESET_B 5.83304e-05 -63 *1274:172 *5756:119 0.000152835 -64 *1288:241 *28560:RESET_B 0.000835622 -65 *1320:15 *5756:95 0.00039229 -66 *1320:15 *5756:107 0.000765058 -67 *1320:15 *5756:119 5.98125e-05 -68 *1338:11 *28552:RESET_B 0.000221281 -69 *1826:117 *28649:RESET_B 0.000176334 -70 *2768:22 *5756:60 0.000175529 -71 *2768:22 *5756:65 0.000634459 -72 *2768:146 *28601:RESET_B 0.000197984 -73 *2769:98 *28552:RESET_B 0.000387979 -74 *2769:98 *28601:RESET_B 0.000289823 -75 *2773:8 *5756:65 4.43126e-05 -76 *2773:8 *5756:86 0.000439202 -77 *2776:139 *5756:119 7.58687e-05 -78 *2776:144 *5756:119 2.59045e-05 -79 *2786:89 *28485:RESET_B 0.000480215 -80 *2786:89 *5756:10 0.00018593 -81 *2787:128 *28649:RESET_B 0.000800612 -82 *2792:108 *5756:60 7.40571e-05 -83 *2861:39 *5756:60 0.00118306 -84 *2864:156 *28485:RESET_B 9.25014e-06 -85 *2874:190 *5756:29 0.000796533 -86 *2883:168 *28623:RESET_B 0.000194631 -87 *3040:19 *28485:RESET_B 0.000123067 -88 *3153:165 *5756:29 0.000152463 -89 *3293:14 *5756:29 0.000101402 -90 *3630:25 *28512:RESET_B 0.000136958 -91 *3630:51 *28552:RESET_B 0.000207989 -92 *3682:9 *28552:RESET_B 8.79458e-05 -93 *3695:26 *28512:RESET_B 0.000192582 -94 *3748:37 *5756:86 0.000417035 -95 *3748:37 *5756:95 0.00058498 -96 *3761:5 *28601:RESET_B 2.7083e-05 -97 *3798:7 *28623:RESET_B 5.33005e-05 -98 *3878:43 *5756:60 7.55747e-05 -99 *3890:68 *28489:RESET_B 0.000298483 -100 *3952:7 *28485:RESET_B 0.000137561 -101 *3956:10 *28489:RESET_B 0.000128809 -102 *4150:31 *5756:60 0.000102477 -103 *5386:12 *5756:95 0.00015158 -104 *5386:12 *5756:107 0.000171149 -105 *5461:20 *28560:RESET_B 0.000128154 -106 *5461:20 *28601:RESET_B 0.000164716 -107 *5601:128 *28512:RESET_B 0.000464977 -108 *5602:76 *28489:RESET_B 5.66258e-05 -109 *5639:98 *5756:65 0.000507264 -110 *5643:303 *28485:RESET_B 0.000210293 -111 *5658:44 *28649:RESET_B 0.000733926 -112 *5659:28 *28560:RESET_B 0.000128154 -113 *5660:123 *28485:RESET_B 0.000467849 -114 *5679:19 *28623:RESET_B 8.93791e-05 -115 *5679:19 *5756:60 0.000212502 -*RES -1 *29037:X *5756:4 9.3 -2 *5756:4 *28623:RESET_B 8.27679 -3 *28623:RESET_B *5756:10 9.17857 -4 *5756:10 *28712:RESET_B 4.5 -5 *5756:10 *28485:RESET_B 26.0625 -6 *28485:RESET_B *5756:29 22.4643 -7 *5756:29 *28734:RESET_B 0.473214 -8 *5756:29 *28649:RESET_B 24.3571 -9 *5756:4 *5756:60 44.0179 -10 *5756:60 *5756:65 11.3661 -11 *5756:65 *28512:RESET_B 14.3734 -12 *5756:65 *5756:86 21.7946 -13 *5756:86 *28489:RESET_B 8.66964 -14 *5756:86 *5756:95 14.6964 -15 *5756:95 *28560:RESET_B 29.2321 -16 *5756:95 *5756:107 9.83929 -17 *5756:107 *28561:RESET_B 4.5 -18 *5756:107 *5756:119 10.5698 -19 *5756:119 *28552:RESET_B 28.1518 -20 *28552:RESET_B *28601:RESET_B 24.1875 -21 *5756:119 *28544:RESET_B 5.23214 -*END - -*D_NET *5757 0.0908902 -*CONN -*I *29035:A I *D sky130_fd_sc_hd__buf_8 -*I *29034:A I *D sky130_fd_sc_hd__buf_8 -*I *29036:A I *D sky130_fd_sc_hd__buf_8 -*I *29037:A I *D sky130_fd_sc_hd__clkbuf_8 -*I *28708:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28787:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28761:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28788:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28739:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *29031:A I *D sky130_fd_sc_hd__buf_8 -*I *29030:A I *D sky130_fd_sc_hd__buf_4 -*I *29038:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *29035:A 0.0021337 -2 *29034:A 0.000189147 -3 *29036:A 2.91262e-05 -4 *29037:A 0.00036932 -5 *28708:RESET_B 0.00107341 -6 *28787:RESET_B 0.00227415 -7 *28761:RESET_B 0 -8 *28788:RESET_B 0 -9 *28739:RESET_B 8.61604e-05 -10 *29031:A 0.00249793 -11 *29030:A 0.000970753 -12 *29038:X 9.74535e-06 -13 *5757:176 0.001315 -14 *5757:136 0.00144273 -15 *5757:134 0.00160787 -16 *5757:132 0.00165919 -17 *5757:127 0.00162661 -18 *5757:109 0.00319411 -19 *5757:94 0.00210133 -20 *5757:76 0.00129881 -21 *5757:48 0.00300945 -22 *5757:43 0.00185057 -23 *5757:31 0.00241766 -24 *5757:21 0.00391709 -25 *5757:8 0.00207429 -26 *28708:RESET_B *5926:81 4.58194e-05 -27 *28708:RESET_B *5926:90 1.38323e-05 -28 *28787:RESET_B *6351:40 7.02772e-05 -29 *29037:A *27051:A0 0.000136958 -30 *29037:A *27051:A1 0.000472727 -31 *29037:A *5926:90 0.00018077 -32 *5757:21 *6141:21 0.000177838 -33 *5757:31 *26839:A1 3.52028e-05 -34 *5757:31 *6183:14 7.55769e-05 -35 *5757:31 *6319:19 5.19681e-05 -36 *5757:76 *5981:45 0.000131038 -37 *5757:176 *28437:D 1.48456e-05 -38 *25219:A2 *5757:31 2.12863e-05 -39 *25260:A1 *5757:8 2.06112e-05 -40 *25289:B2 *29031:A 5.49489e-05 -41 *25407:A1 *29035:A 1.69221e-05 -42 *25956:A1 *29031:A 0.000630881 -43 *26844:S *5757:31 0 -44 *26844:S *5757:176 8.94158e-05 -45 *26849:A *28787:RESET_B 0.000293918 -46 *26849:B *5757:127 4.8817e-05 -47 *26875:A0 *29035:A 3.18135e-05 -48 *26893:A0 *5757:134 0.000179735 -49 *27073:A0 *29035:A 0.00146885 -50 *27133:A0 *5757:134 0.000595612 -51 *27244:A1 *5757:43 8.732e-05 -52 *27244:A2 *5757:43 2.06178e-05 -53 *27244:A3 *5757:43 3.08405e-05 -54 *27454:A2 *5757:134 0.000273942 -55 *27671:A1 *29035:A 7.01484e-06 -56 *27795:A0 *5757:132 1.77923e-05 -57 *27795:A0 *5757:134 7.83587e-05 -58 *27819:A2 *5757:132 1.60149e-05 -59 *27843:A1 *5757:134 0.000449218 -60 *28111:SET_B *29030:A 9.36905e-05 -61 *28466:SET_B *29035:A 0.000155937 -62 *28473:D *5757:134 0.000141409 -63 *28623:RESET_B *29037:A 0.000135028 -64 *28640:CLK *5757:134 7.4826e-05 -65 *28660:CLK *29031:A 0.000193331 -66 *28660:CLK *5757:48 2.58189e-05 -67 *28787:CLK *29035:A 5.33334e-05 -68 *28787:D *29035:A 6.14099e-05 -69 *28930:A *29037:A 0.000135028 -70 *29156:A *5757:134 0.000125567 -71 *29186:A *29035:A 3.37553e-05 -72 *29498:A *5757:94 0.000107157 -73 *29652:A *29037:A 0.000123295 -74 *29653:A *5757:134 5.43443e-05 -75 *29796:A *5757:31 1.49882e-05 -76 *29833:A *5757:94 2.17391e-05 -77 *30591:A *29034:A 0 -78 *30686:A *5757:176 0.00022479 -79 *15:18 *29034:A 0.000143351 -80 *456:17 *5757:21 0.000924975 -81 *977:15 *5757:31 9.24862e-05 -82 *1225:33 *28708:RESET_B 0.000628505 -83 *1228:73 *29031:A 0 -84 *1244:137 *29035:A 0.000526601 -85 *1252:83 *5757:109 4.37712e-06 -86 *1252:83 *5757:127 1.74795e-05 -87 *1252:146 *28708:RESET_B 0.000357741 -88 *1271:23 *5757:31 0.000181796 -89 *1271:122 *29035:A 2.59355e-05 -90 *1273:246 *5757:31 1.94879e-05 -91 *1277:131 *29035:A 0.000794434 -92 *1282:68 *29031:A 0.000258531 -93 *1291:46 *29036:A 2.14658e-05 -94 *1291:46 *5757:127 8.85631e-05 -95 *1455:28 *29031:A 0.000119311 -96 *1536:13 *29031:A 0 -97 *1536:27 *29035:A 0.000132435 -98 *1550:34 *28739:RESET_B 8.42932e-05 -99 *1550:34 *5757:48 6.64337e-05 -100 *1653:35 *29031:A 1.97086e-05 -101 *1826:61 *5757:31 0 -102 *1826:117 *28708:RESET_B 0.000165074 -103 *2751:20 *5757:31 0.00011277 -104 *2751:22 *5757:31 0 -105 *2758:23 *29035:A 3.82357e-05 -106 *2768:25 *28708:RESET_B 6.86693e-05 -107 *2768:25 *29037:A 6.04159e-05 -108 *2780:90 *29030:A 6.15221e-05 -109 *2782:53 *5757:43 6.49838e-05 -110 *2782:53 *5757:48 0.000135989 -111 *2782:71 *5757:76 0.00176851 -112 *2787:128 *28708:RESET_B 8.16428e-05 -113 *2790:32 *5757:127 5.4869e-05 -114 *2790:46 *5757:127 0.00275603 -115 *2790:92 *5757:127 0.000499068 -116 *2844:153 *5757:127 1.02975e-05 -117 *2856:270 *5757:127 6.25676e-05 -118 *2860:56 *29031:A 0.00148915 -119 *2871:175 *5757:134 4.00349e-05 -120 *2877:25 *28787:RESET_B 8.34471e-05 -121 *2877:25 *29035:A 2.07113e-05 -122 *2883:29 *29035:A 8.30468e-05 -123 *2889:22 *5757:109 1.66624e-05 -124 *2891:11 *5757:109 2.16755e-05 -125 *2891:11 *5757:127 5.17254e-05 -126 *2891:24 *29035:A 0 -127 *2892:115 *5757:127 0.000359419 -128 *2892:126 *5757:127 1.11813e-05 -129 *2892:126 *5757:132 5.54856e-05 -130 *2892:126 *5757:134 0.00188665 -131 *2939:10 *5757:43 0.00037532 -132 *2958:56 *5757:43 0.000286453 -133 *2958:56 *5757:76 6.51414e-05 -134 *2958:56 *5757:94 0.000408052 -135 *2998:30 *5757:134 6.22193e-05 -136 *2998:32 *5757:134 8.40318e-05 -137 *3156:41 *5757:94 9.58181e-05 -138 *3158:36 *29031:A 0 -139 *3165:201 *29035:A 0.000217182 -140 *3174:29 *5757:109 1.09611e-05 -141 *3178:43 *29031:A 0.00035289 -142 *3184:43 *5757:94 8.36925e-06 -143 *3184:53 *28787:RESET_B 6.81895e-05 -144 *3184:53 *5757:94 0.000568553 -145 *3184:53 *5757:109 0.000630339 -146 *3205:158 *29035:A 9.65341e-06 -147 *3214:21 *5757:94 9.41642e-05 -148 *3293:34 *5757:134 0.000253645 -149 *3569:26 *29035:A 2.1479e-05 -150 *3569:73 *5757:43 2.90276e-05 -151 *3574:8 *5757:134 0.00123825 -152 *3574:66 *28708:RESET_B 0.000113285 -153 *3574:66 *5757:134 3.63798e-05 -154 *3574:71 *28708:RESET_B 0.00029392 -155 *3638:95 *5757:31 0.000865444 -156 *3638:95 *5757:176 4.5541e-05 -157 *3638:100 *5757:176 0.000309936 -158 *3642:84 *5757:76 6.51414e-05 -159 *3642:84 *5757:94 0.000314738 -160 *3790:32 *29031:A 0.000378639 -161 *3796:60 *5757:31 0.00040554 -162 *3796:60 *5757:43 1.83518e-05 -163 *3797:65 *29035:A 1.65084e-05 -164 *3816:43 *29031:A 1.66706e-05 -165 *3820:69 *28739:RESET_B 0.000169183 -166 *3820:69 *5757:48 0.000144387 -167 *3847:14 *5757:176 0.000182717 -168 *3847:17 *5757:176 0.000163529 -169 *3854:37 *29031:A 0.000177545 -170 *3860:38 *5757:109 6.50685e-05 -171 *3873:34 *29031:A 1.80265e-05 -172 *3873:34 *5757:48 0.000190621 -173 *3873:67 *5757:109 2.63501e-05 -174 *3875:16 *5757:176 0.000162346 -175 *3875:35 *29030:A 0.000889999 -176 *3875:35 *5757:21 0.00213002 -177 *3886:47 *5757:94 0.000110238 -178 *3938:17 *5757:43 2.48338e-05 -179 *3938:17 *5757:48 0.000111948 -180 *3952:18 *5757:127 0.000137103 -181 *3985:33 *29035:A 5.47748e-05 -182 *3991:32 *5757:134 0.000563835 -183 *3991:34 *28708:RESET_B 0.000274912 -184 *4352:11 *5757:176 0.00248815 -185 *4742:17 *5757:21 0.00317739 -186 *5386:11 *29035:A 0 -187 *5448:11 *5757:176 7.75358e-05 -188 *5459:51 *5757:127 7.05143e-06 -189 *5459:51 *5757:134 1.60861e-05 -190 *5511:23 *29030:A 0 -191 *5515:15 *5757:176 0.00161483 -192 *5517:30 *29035:A 8.74121e-05 -193 *5518:62 *29030:A 0 -194 *5521:41 *5757:176 0.000149264 -195 *5523:93 *29030:A 0 -196 *5526:14 *5757:176 4.15526e-05 -197 *5535:14 *5757:31 5.46636e-05 -198 *5535:70 *5757:31 4.23876e-05 -199 *5583:58 *29035:A 9.03127e-05 -200 *5592:45 *5757:76 0.000131052 -201 *5592:78 *29030:A 0 -202 *5592:78 *5757:21 0 -203 *5599:39 *5757:31 0.000509743 -204 *5599:72 *5757:43 7.40526e-05 -205 *5599:247 *5757:76 0.00177091 -206 *5599:247 *5757:94 2.63501e-05 -207 *5608:15 *29031:A 5.87969e-05 -208 *5633:41 *29037:A 0.000121573 -209 *5638:30 *5757:127 0.000460423 -210 *5649:91 *29037:A 0.000513585 -211 *5658:31 *5757:134 9.2749e-05 -212 *5658:44 *28708:RESET_B 0.000373557 -213 *5667:86 *5757:127 0.00111109 -214 *5667:108 *5757:109 0.000575915 -215 *5678:18 *5757:134 0.00078477 -216 *5687:114 *5757:109 0 -217 *5687:129 *5757:109 0 -218 *5700:149 *29031:A 6.80387e-06 -219 *5700:174 *5757:31 0.00153633 -220 *5700:174 *5757:43 0.000641477 -221 *5700:237 *5757:109 1.8995e-06 -222 *5713:84 *29035:A 1.1257e-05 -223 *5720:191 *5757:43 1.36976e-05 -224 *5720:215 *5757:94 0.000780679 -225 *5720:215 *5757:109 0.000620523 -226 *5745:30 *5757:8 9.59532e-06 -227 *5749:6 *29030:A 0.000127388 -*RES -1 *29038:X *5757:8 17.4868 -2 *5757:8 *29030:A 24.2647 -3 *5757:8 *5757:21 9.43853 -4 *5757:21 *5757:31 48.8482 -5 *5757:31 *5757:43 19.1276 -6 *5757:43 *5757:48 6.2322 -7 *5757:48 *29031:A 33.4425 -8 *5757:48 *28739:RESET_B 6.90179 -9 *5757:43 *5757:76 15.1168 -10 *5757:76 *28788:RESET_B 4.5 -11 *5757:76 *5757:94 31.904 -12 *5757:94 *28761:RESET_B 4.5 -13 *5757:94 *5757:109 21.26 -14 *5757:109 *28787:RESET_B 11.7314 -15 *5757:109 *5757:127 21.8123 -16 *5757:127 *5757:132 5.76786 -17 *5757:132 *5757:134 52.9464 -18 *5757:134 *5757:136 4.5 -19 *5757:136 *28708:RESET_B 33.9196 -20 *5757:136 *29037:A 29.6571 -21 *5757:127 *29036:A 9.83571 -22 *5757:21 *5757:176 48.6875 -23 *5757:176 *29034:A 17.5679 -24 *28787:RESET_B *29035:A 39.4687 -*END - -*D_NET *5758 0.0373146 -*CONN -*I *28337:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28372:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28242:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28234:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28233:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28244:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28347:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28345:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28346:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28338:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28374:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28371:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28587:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28336:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28339:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28373:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28335:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28232:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28230:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28231:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *29039:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *28337:SET_B 0.000538911 -2 *28372:SET_B 0.000955674 -3 *28242:SET_B 3.76881e-05 -4 *28234:RESET_B 0.000228917 -5 *28233:RESET_B 0.000272617 -6 *28244:RESET_B 0.000204927 -7 *28347:SET_B 0 -8 *28345:RESET_B 0.000505336 -9 *28346:RESET_B 0.000148847 -10 *28338:RESET_B 0 -11 *28374:RESET_B 0.000542459 -12 *28371:RESET_B 3.44528e-05 -13 *28587:SET_B 0.000567402 -14 *28336:RESET_B 0.000283257 -15 *28339:RESET_B 0.000132725 -16 *28373:RESET_B 0.00114565 -17 *28335:RESET_B 6.65104e-05 -18 *28232:SET_B 0.000311919 -19 *28230:RESET_B 0.000239419 -20 *28231:RESET_B 0.000589228 -21 *29039:X 0 -22 *5758:196 0.00125161 -23 *5758:183 0.000624489 -24 *5758:178 0.000638778 -25 *5758:166 0.000555726 -26 *5758:155 0.00046361 -27 *5758:135 0.000613614 -28 *5758:132 0.000683561 -29 *5758:123 0.000443224 -30 *5758:78 0.000897781 -31 *5758:75 0.00152454 -32 *5758:65 0.000585534 -33 *5758:63 0.000823163 -34 *5758:51 0.00106206 -35 *5758:42 0.000174189 -36 *5758:29 0.000424308 -37 *5758:26 0.000887176 -38 *5758:4 0.000661476 -39 *28230:RESET_B *5760:8 0.000222156 -40 *28231:RESET_B *25768:A1 0.000277524 -41 *28244:RESET_B *5824:108 0.000324935 -42 *28337:SET_B *28337:D 5.74499e-06 -43 *28339:RESET_B *5833:57 8.0732e-05 -44 *28345:RESET_B *6036:46 7.79781e-06 -45 *28345:RESET_B *6353:12 0.00110864 -46 *28373:RESET_B *25928:A0 3.39152e-05 -47 *28587:SET_B *28587:D 5.92871e-05 -48 *5758:75 *5878:14 0.00033921 -49 *5758:135 *6353:12 0.000298273 -50 *5758:166 *5824:108 6.42338e-05 -51 *25115:A1 *28371:RESET_B 9.23759e-05 -52 *25245:C1 *28587:SET_B 1.13412e-05 -53 *25765:A *28233:RESET_B 5.52302e-05 -54 *25765:B *28233:RESET_B 0.000181796 -55 *25887:A0 *28336:RESET_B 0.000376947 -56 *25887:A0 *5758:75 6.05161e-06 -57 *25896:S *5758:132 4.87953e-05 -58 *25929:A0 *28374:RESET_B 0.000385083 -59 *28234:CLK *28234:RESET_B 0.000136951 -60 *28234:D *28234:RESET_B 4.37158e-05 -61 *28337:CLK *28337:SET_B 0.000144156 -62 *28339:CLK *28339:RESET_B 4.83849e-05 -63 *28372:CLK *28372:SET_B 5.72899e-05 -64 *28587:CLK *28339:RESET_B 0.000286046 -65 *28587:CLK *28587:SET_B 0.000148327 -66 *28587:CLK *5758:78 0.000946441 -67 *29341:A *5758:183 0.000356906 -68 *29749:A *28232:SET_B 9.91251e-05 -69 *29750:A *28230:RESET_B 0.00022495 -70 *29810:A *28346:RESET_B 4.75565e-05 -71 *29812:A *5758:75 5.52238e-05 -72 *1464:105 *5758:166 0.00022459 -73 *1475:36 *5758:63 0.00012759 -74 *1475:36 *5758:65 0.000103739 -75 *1475:36 *5758:75 4.71964e-05 -76 *1869:14 *28244:RESET_B 0.000461128 -77 *1869:14 *5758:166 0.000125731 -78 *1871:24 *28335:RESET_B 1.77736e-05 -79 *1873:12 *28244:RESET_B 9.12446e-05 -80 *3576:111 *28233:RESET_B 0.000884013 -81 *3576:111 *28234:RESET_B 0.000528991 -82 *3576:111 *5758:63 0.000122689 -83 *3576:115 *28230:RESET_B 7.87346e-05 -84 *3583:24 *5758:29 7.83587e-05 -85 *3583:24 *5758:42 0.000287452 -86 *3583:24 *5758:51 9.4724e-05 -87 *3583:24 *5758:123 0.000281743 -88 *3583:24 *5758:132 0.0004578 -89 *3655:107 *28242:SET_B 2.29097e-05 -90 *3655:107 *28372:SET_B 0.000144024 -91 *3655:107 *5758:178 3.69047e-06 -92 *3655:107 *5758:196 9.02017e-05 -93 *3656:116 *28345:RESET_B 6.05161e-06 -94 *3752:14 *28337:SET_B 3.02581e-06 -95 *3754:5 *28339:RESET_B 1.77015e-05 -96 *3754:44 *28336:RESET_B 5.64488e-05 -97 *3754:44 *28339:RESET_B 2.51343e-06 -98 *3754:44 *5758:78 1.99271e-05 -99 *3763:10 *28336:RESET_B 0.000126887 -100 *3766:53 *5758:75 0.000337495 -101 *3767:8 *28336:RESET_B 0.000377674 -102 *3775:20 *28345:RESET_B 0.000276671 -103 *3775:20 *5758:155 4.72828e-06 -104 *3775:20 *5758:166 0.000204318 -105 *3947:5 *28232:SET_B 9.90367e-05 -106 *3948:17 *28233:RESET_B 0.000131445 -107 *3948:64 *28233:RESET_B 0.000151526 -108 *3957:67 *28336:RESET_B 3.63775e-05 -109 *3957:67 *5758:75 0.000256808 -110 *4013:17 *28374:RESET_B 0.00012452 -111 *4036:17 *28374:RESET_B 4.58194e-05 -112 *4077:7 *28372:SET_B 9.41642e-05 -113 *4350:8 *28231:RESET_B 0.000149812 -114 *5231:21 *5758:29 7.6644e-05 -115 *5231:21 *5758:42 0.000294988 -116 *5231:21 *5758:51 0.000101545 -117 *5231:21 *5758:123 0.000290279 -118 *5231:21 *5758:132 0.000466336 -119 *5250:8 *28230:RESET_B 1.30694e-05 -120 *5301:38 *28336:RESET_B 0.000263512 -121 *5458:40 *28374:RESET_B 0.00012452 -122 *5669:39 *28373:RESET_B 0.000544974 -123 *5669:43 *28373:RESET_B 0.000508712 -124 *5688:173 *5758:183 0.000356906 -125 *5709:87 *5758:63 0.000401868 -126 *5709:91 *5758:63 9.30022e-05 -127 *5709:91 *5758:65 0.000268981 -128 *5709:91 *5758:75 0.000149904 -129 *5719:38 *28345:RESET_B 0.000567877 -130 *5719:38 *5758:135 0.000298273 -*RES -1 *29039:X *5758:4 9.3 -2 *5758:4 *28231:RESET_B 15.9375 -3 *28231:RESET_B *28230:RESET_B 15.2589 -4 *5758:4 *5758:26 4.25 -5 *5758:26 *5758:29 5.53571 -6 *5758:29 *28232:SET_B 8.8125 -7 *5758:29 *5758:42 3.76786 -8 *5758:42 *28335:RESET_B 5.38393 -9 *5758:42 *5758:51 1.33929 -10 *5758:51 *28373:RESET_B 17.0268 -11 *28373:RESET_B *5758:63 11 -12 *5758:63 *5758:65 3.46429 -13 *5758:65 *5758:75 25.2857 -14 *5758:75 *5758:78 9.58929 -15 *5758:78 *28339:RESET_B 3.34821 -16 *5758:78 *28336:RESET_B 17.7411 -17 *5758:75 *28587:SET_B 6.77679 -18 *5758:65 *28371:RESET_B 5.38393 -19 *5758:63 *28374:RESET_B 23.0714 -20 *5758:51 *5758:123 3.76786 -21 *5758:123 *28338:RESET_B 4.5 -22 *5758:123 *5758:132 12.4643 -23 *5758:132 *5758:135 8.26786 -24 *5758:135 *28346:RESET_B 6.61607 -25 *5758:135 *28345:RESET_B 19.3661 -26 *28345:RESET_B *5758:155 2.04464 -27 *5758:155 *5758:166 11.1964 -28 *5758:166 *28347:SET_B 4.5 -29 *5758:166 *28244:RESET_B 12.5179 -30 *5758:132 *5758:178 2.58929 -31 *5758:178 *5758:183 13.6786 -32 *5758:183 *28233:RESET_B 8.6875 -33 *5758:183 *28234:RESET_B 5.40179 -34 *5758:178 *5758:196 3 -35 *5758:196 *28242:SET_B 0.901786 -36 *5758:196 *28372:SET_B 11.2946 -37 *5758:26 *28337:SET_B 6.36607 -*END - -*D_NET *5759 0.0544745 -*CONN -*I *24982:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *6462:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *30707:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *24982:A1 0.000214175 -2 *6462:DIODE 0 -3 *30707:X 0.000204751 -4 *5759:20 0.000214175 -5 *5759:18 0.0175449 -6 *5759:11 0.0177497 -7 *5759:11 *28176:RESET_B 4.78056e-05 -8 *5759:11 *5771:50 8.79728e-05 -9 *5759:11 *5879:237 0 -10 *5759:18 *28588:RESET_B 0.000278845 -11 *5759:18 *5764:127 0.00125595 -12 *5759:18 *5764:230 0.00104087 -13 *5759:18 *5768:169 0.000127968 -14 *5759:18 *5873:220 0 -15 *24982:B2 *24982:A1 1.04232e-05 -16 *25047:A2 *5759:18 0.00226354 -17 *26967:S *5759:18 0.000197779 -18 *27335:B1 *5759:18 0.000894098 -19 *27396:A2 *5759:18 0.000128752 -20 *1261:163 *5759:18 0.000108716 -21 *1269:128 *5759:18 4.64531e-05 -22 *1286:26 *5759:18 2.85515e-05 -23 *1385:34 *5759:18 1.94945e-05 -24 *1574:6 *5759:18 0.00019746 -25 *1574:21 *5759:18 0.00169607 -26 *2760:164 *5759:18 0.000417775 -27 *2768:90 *5759:18 0.000108872 -28 *2768:96 *5759:18 0.000160692 -29 *2867:226 *5759:18 0 -30 *2877:349 *5759:18 0.00218013 -31 *2882:276 *5759:18 0.00141446 -32 *2888:252 *5759:18 0.00140332 -33 *3176:254 *5759:18 1.60253e-05 -34 *3179:167 *5759:18 0.00110522 -35 *3691:8 *5759:18 0.000113898 -36 *3691:20 *5759:18 0.000274568 -37 *3717:25 *5759:18 0.000108872 -38 *3730:28 *5759:18 0.000152693 -39 *3774:17 *24982:A1 0.00011053 -40 *3774:33 *24982:A1 8.55871e-05 -41 *3774:33 *5759:18 2.63501e-05 -42 *3874:79 *5759:18 0.000333747 -43 *3874:91 *5759:18 0.000101071 -44 *3874:102 *5759:11 0.000254749 -45 *3899:24 *5759:18 0.000103244 -46 *3899:40 *5759:18 0.000353612 -47 *3963:42 *5759:18 0.000452876 -48 *4086:28 *5759:18 2.11419e-05 -49 *5463:14 *5759:11 0 -50 *5582:95 *5759:18 2.91606e-05 -51 *5582:156 *5759:18 6.10639e-05 -52 *5630:182 *5759:18 6.41646e-05 -53 *5647:82 *5759:18 8.69554e-05 -54 *5663:138 *5759:18 0.000276142 -55 *5663:179 *5759:18 0.000196613 -56 *5701:87 *5759:18 0.000102503 -57 *5702:38 *5759:18 0 -*RES -1 *30707:X *5759:11 24.0876 -2 *5759:11 *5759:18 50 -3 *5759:18 *5759:20 4.5 -4 *5759:20 *6462:DIODE 9.3 -5 *5759:20 *24982:A1 12.8536 -*END - -*D_NET *5760 0.0305217 -*CONN -*I *28348:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28606:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28670:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28364:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28362:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28361:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28363:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28360:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28370:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28694:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28579:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28349:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *29039:A I *D sky130_fd_sc_hd__buf_8 -*I *29040:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *28348:RESET_B 1.01968e-05 -2 *28606:RESET_B 4.69015e-05 -3 *28670:RESET_B 5.409e-05 -4 *28364:RESET_B 0.00156559 -5 *28362:SET_B 1.54455e-05 -6 *28361:RESET_B 0 -7 *28363:RESET_B 1.65889e-05 -8 *28360:RESET_B 0 -9 *28370:RESET_B 1.70339e-05 -10 *28694:RESET_B 0.000924612 -11 *28579:SET_B 0.000444143 -12 *28349:RESET_B 3.66992e-05 -13 *29039:A 0 -14 *29040:X 0.000151117 -15 *5760:156 0.00120716 -16 *5760:138 0.000857357 -17 *5760:119 0.00044938 -18 *5760:78 0.00191379 -19 *5760:74 0.00081182 -20 *5760:69 0.00107168 -21 *5760:58 0.00103801 -22 *5760:50 0.000529953 -23 *5760:45 0.000791006 -24 *5760:32 0.00208747 -25 *5760:8 0.00168465 -26 *28348:RESET_B *25898:A0 4.97876e-05 -27 *28364:RESET_B *5833:11 9.66977e-05 -28 *28364:RESET_B *5833:16 5.33005e-05 -29 *28579:SET_B *28579:D 9.41642e-05 -30 *5760:8 *29040:A 9.41642e-05 -31 *5760:32 *29479:A 0.000335922 -32 *5760:32 *6354:8 0.000983487 -33 *5760:32 *6355:24 0 -34 *5760:45 *25898:A0 0.00017309 -35 *5760:45 *5780:79 0 -36 *5760:45 *5824:84 5.52302e-05 -37 *5760:50 *25897:A1 0.000128321 -38 *5760:58 *28363:D 1.02504e-05 -39 *5760:58 *5798:10 0.000167614 -40 *5760:119 *5798:10 0.000186304 -41 *5760:138 *5798:10 0.000376467 -42 *25144:B2 *5760:69 0.000113038 -43 *25144:B2 *5760:74 0.000391561 -44 *25766:A1 *5760:8 9.60875e-05 -45 *25894:A *5760:50 0.000351156 -46 *25895:A1 *5760:138 0.000434487 -47 *25913:A1 *5760:69 6.05161e-06 -48 *25913:S *5760:69 7.62321e-05 -49 *25915:S *28364:RESET_B 0.000105471 -50 *25917:A1 *5760:156 0.000425959 -51 *28230:RESET_B *5760:8 0.000222156 -52 *28349:D *5760:32 5.33005e-05 -53 *28364:CLK *28364:RESET_B 2.24079e-05 -54 *28606:D *28606:RESET_B 2.24792e-05 -55 *28606:D *5760:156 3.34633e-05 -56 *28893:A *5760:58 0.000136236 -57 *28893:A *5760:119 2.16719e-05 -58 *29595:A *5760:32 0.000218554 -59 *29750:A *5760:8 7.39637e-05 -60 *29750:A *5760:32 6.13157e-05 -61 *30108:A *28349:RESET_B 2.29097e-05 -62 *30108:A *5760:32 0.000111114 -63 *30108:A *5760:45 0.000112608 -64 *1235:165 *28670:RESET_B 4.25716e-05 -65 *1405:96 *28364:RESET_B 0.000464586 -66 *1463:42 *5760:156 0.00012401 -67 *1689:8 *28579:SET_B 0.000122431 -68 *1867:16 *5760:32 0.000376728 -69 *1891:7 *5760:50 2.65242e-05 -70 *1894:17 *5760:69 0.000137205 -71 *2773:155 *5760:69 0.000123288 -72 *2773:155 *5760:74 0.000389854 -73 *2773:155 *5760:156 6.42242e-05 -74 *3413:16 *28579:SET_B 0.000114414 -75 *3576:115 *5760:8 0.000412318 -76 *3576:115 *5760:32 0.000200829 -77 *3581:33 *5760:32 0.000242913 -78 *3738:41 *28579:SET_B 0.00105279 -79 *3766:25 *5760:50 2.05612e-05 -80 *3775:79 *5760:138 0.000190695 -81 *3920:40 *28579:SET_B 0.00105279 -82 *4012:22 *28579:SET_B 3.55471e-05 -83 *4022:9 *28694:RESET_B 0.000115184 -84 *4022:27 *28694:RESET_B 7.64454e-05 -85 *4026:7 *5760:69 0.000175892 -86 *4038:8 *28362:SET_B 3.17067e-05 -87 *4038:8 *28364:RESET_B 0.000106392 -88 *4087:20 *28348:RESET_B 5.83304e-05 -89 *4087:20 *5760:45 0.000286128 -90 *4350:87 *5760:50 0.000844476 -91 *5458:40 *28362:SET_B 5.83233e-05 -92 *5458:40 *28364:RESET_B 0.000216304 -93 *5600:61 *28670:RESET_B 0.000125724 -94 *5605:149 *28694:RESET_B 8.23362e-05 -95 *5607:39 *28579:SET_B 0.000124153 -96 *5669:34 *5760:50 1.98839e-05 -97 *5688:143 *28364:RESET_B 4.12921e-05 -98 *5688:173 *5760:45 2.34204e-05 -99 *5697:23 *5760:32 0.00058528 -100 *5709:79 *5760:119 0.000150625 -101 *5709:79 *5760:138 0.000384995 -102 *5715:95 *5760:69 0.000178847 -*RES -1 *29040:X *5760:8 20.0321 -2 *5760:8 *29039:A 13.8 -3 *5760:8 *5760:32 48.0179 -4 *5760:32 *28349:RESET_B 0.901786 -5 *5760:32 *5760:45 12.9107 -6 *5760:45 *5760:50 17.3393 -7 *5760:50 *5760:58 9.07143 -8 *5760:58 *5760:69 17.5179 -9 *5760:69 *5760:74 11.25 -10 *5760:74 *5760:78 5.89286 -11 *5760:78 *28579:SET_B 34.8929 -12 *5760:78 *28694:RESET_B 12.1161 -13 *5760:74 *28370:RESET_B 0.473214 -14 *5760:69 *28360:RESET_B 4.5 -15 *5760:58 *28363:RESET_B 0.473214 -16 *5760:50 *5760:119 2.55357 -17 *5760:119 *28361:RESET_B 4.5 -18 *5760:119 *5760:138 20.9643 -19 *5760:138 *28362:SET_B 5.23214 -20 *5760:138 *28364:RESET_B 14.8125 -21 *28364:RESET_B *5760:156 25.5536 -22 *5760:156 *28670:RESET_B 10.6429 -23 *5760:156 *28606:RESET_B 0.883929 -24 *5760:45 *28348:RESET_B 5.23214 -*END - -*D_NET *5761 0.0426007 -*CONN -*I *28303:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *29040:A I *D sky130_fd_sc_hd__buf_6 -*I *28444:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28731:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28490:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28249:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28246:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28247:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28248:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28245:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28302:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28301:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28300:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28296:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28299:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28294:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28307:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28306:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28309:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28305:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *29041:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *28303:RESET_B 0.000456569 -2 *29040:A 0.000429369 -3 *28444:RESET_B 1.33249e-05 -4 *28731:RESET_B 0.00022276 -5 *28490:SET_B 0 -6 *28249:RESET_B 0.000135119 -7 *28246:RESET_B 3.55929e-05 -8 *28247:SET_B 0.000112565 -9 *28248:RESET_B 9.00924e-05 -10 *28245:RESET_B 6.65103e-05 -11 *28302:RESET_B 5.13682e-05 -12 *28301:SET_B 2.10693e-05 -13 *28300:RESET_B 2.88345e-05 -14 *28296:SET_B 0.000320691 -15 *28299:RESET_B 0.000840786 -16 *28294:RESET_B 0.000212614 -17 *28307:SET_B 6.7761e-06 -18 *28306:RESET_B 0 -19 *28309:RESET_B 0.000598425 -20 *28305:RESET_B 0.000788621 -21 *29041:X 2.17614e-05 -22 *5761:209 0.00134136 -23 *5761:194 0.00147534 -24 *5761:192 0.0025179 -25 *5761:179 0.00286098 -26 *5761:157 0.000577283 -27 *5761:147 0.000684082 -28 *5761:131 0.000784654 -29 *5761:122 0.000405024 -30 *5761:109 0.000233227 -31 *5761:104 0.000869022 -32 *5761:97 0.000549202 -33 *5761:86 0.000256358 -34 *5761:77 0.000256748 -35 *5761:66 0.000887998 -36 *5761:50 0.000979338 -37 *5761:40 0.000847914 -38 *5761:8 0.000266198 -39 *5761:7 0.000584093 -40 *28248:RESET_B *28248:D 0.000169673 -41 *28249:RESET_B *5824:28 0.000187284 -42 *28294:RESET_B *25861:A0 2.88624e-05 -43 *28299:RESET_B *25849:S 3.02581e-06 -44 *28299:RESET_B *5841:22 0.000198705 -45 *28300:RESET_B *6356:41 4.50675e-05 -46 *28301:SET_B *5824:37 4.70821e-05 -47 *28303:RESET_B *5833:91 4.94646e-05 -48 *28305:RESET_B *24961:A 0.000174374 -49 *28305:RESET_B *5824:63 1.37292e-05 -50 *28307:SET_B *5778:80 2.81497e-05 -51 *28309:RESET_B *24961:A 1.21258e-05 -52 *28309:RESET_B *5778:49 0.000401529 -53 *29040:A *25768:A1 2.49484e-05 -54 *5761:40 *28307:D 6.0038e-05 -55 *5761:40 *5778:80 0.000136412 -56 *5761:50 *5778:80 0.000504193 -57 *5761:66 *25849:S 0.000417262 -58 *5761:66 *5833:91 0.000110107 -59 *5761:147 *28246:D 9.41642e-05 -60 *24869:B *28309:RESET_B 0.000397446 -61 *25204:A1 *5761:192 2.38388e-05 -62 *25204:A2 *5761:192 5.94179e-05 -63 *25204:B1 *5761:192 0.00126041 -64 *25297:A1 *5761:192 1.6068e-05 -65 *25297:A2 *5761:192 7.13226e-06 -66 *25328:B1 *5761:192 8.25843e-06 -67 *25328:B2 *5761:179 0.000227539 -68 *25328:B2 *5761:192 6.41398e-05 -69 *25361:B1 *28303:RESET_B 0.000445869 -70 *25367:B2 *28300:RESET_B 8.94203e-05 -71 *25766:A1 *29040:A 0.000537472 -72 *25784:A0 *28303:RESET_B 0.000175892 -73 *25788:A0 *29040:A 0.000100831 -74 *25788:A0 *5761:179 5.66157e-05 -75 *25847:A1 *28299:RESET_B 4.79842e-05 -76 *25849:A1 *5761:66 5.33005e-05 -77 *25849:A1 *5761:77 0 -78 *25859:A0 *28309:RESET_B 0.000137983 -79 *25859:A1 *28309:RESET_B 9.80173e-05 -80 *25860:A0 *28294:RESET_B 0 -81 *25860:A0 *28299:RESET_B 0 -82 *26258:C *5761:7 9.71197e-05 -83 *27908:A1 *28296:SET_B 3.51442e-05 -84 *28230:CLK *28249:RESET_B 4.99763e-05 -85 *28249:D *28246:RESET_B 1.20244e-05 -86 *28249:D *5761:147 2.13481e-06 -87 *28249:D *5761:157 9.80418e-06 -88 *28249:D *5761:179 9.21604e-05 -89 *28249:D *5761:192 5.33005e-05 -90 *28336:CLK *29040:A 0.000260574 -91 *28444:D *28444:RESET_B 2.29097e-05 -92 *28444:D *5761:194 8.55871e-05 -93 *28444:D *5761:209 4.2049e-05 -94 *28731:D *28731:RESET_B 5.09296e-05 -95 *29313:A *5761:209 2.84026e-05 -96 *29346:A *28248:RESET_B 6.05161e-06 -97 *29346:A *5761:109 0.000104974 -98 *29346:A *5761:122 0.000215049 -99 *29427:A *28296:SET_B 0.000148381 -100 *29431:A *28301:SET_B 9.51514e-06 -101 *30180:A *28305:RESET_B 0.000172602 -102 *30180:A *5761:8 0.000168598 -103 *30180:A *5761:40 0.000246064 -104 *30225:A *28249:RESET_B 8.15095e-05 -105 *30225:A *5761:157 1.58163e-05 -106 *30225:A *5761:179 0.000513271 -107 *30480:A *28305:RESET_B 2.77274e-05 -108 *30480:A *28309:RESET_B 2.29097e-05 -109 *30552:A *28309:RESET_B 4.13001e-05 -110 *1288:54 *5761:192 3.97677e-05 -111 *1396:189 *5761:192 0.00146293 -112 *1422:20 *5761:109 0.00010326 -113 *1422:20 *5761:122 0.000267565 -114 *1422:20 *5761:131 0.000115072 -115 *1524:15 *5761:192 0.00246149 -116 *1524:15 *5761:194 8.92795e-05 -117 *1524:15 *5761:209 5.71225e-05 -118 *1524:22 *5761:209 0.000121228 -119 *1573:22 *5761:192 1.6704e-05 -120 *1615:14 *5761:192 2.47753e-05 -121 *3551:10 *5761:77 0 -122 *3551:10 *5761:86 0 -123 *3551:10 *5761:97 0 -124 *3553:26 *5761:131 0.000150625 -125 *3553:26 *5761:147 0.000765073 -126 *3564:10 *28303:RESET_B 0.00033341 -127 *3585:11 *28247:SET_B 5.95691e-05 -128 *3585:41 *28249:RESET_B 0.000167197 -129 *3585:46 *28249:RESET_B 6.12326e-06 -130 *3586:14 *5761:7 9.71197e-05 -131 *3595:22 *28731:RESET_B 9.33825e-05 -132 *3688:17 *5761:77 0.000101545 -133 *3688:17 *5761:86 0.000109295 -134 *3688:17 *5761:97 0.000214342 -135 *3688:57 *5761:97 5.41794e-05 -136 *3697:8 *5761:66 0.000137983 -137 *3698:19 *28296:SET_B 5.3811e-05 -138 *3698:19 *5761:77 6.40986e-05 -139 *3702:7 *28309:RESET_B 6.86693e-05 -140 *3710:7 *28305:RESET_B 5.52302e-05 -141 *3710:15 *28305:RESET_B 0.000184745 -142 *3710:77 *28305:RESET_B 0.000213962 -143 *3710:77 *5761:8 7.71693e-05 -144 *3710:77 *5761:40 9.30161e-05 -145 *3713:119 *5761:122 2.28578e-05 -146 *3713:119 *5761:131 0.000167833 -147 *3740:31 *28245:RESET_B 1.77736e-05 -148 *3875:118 *28731:RESET_B 0.000205271 -149 *3948:24 *28303:RESET_B 0.000102006 -150 *3949:13 *5761:192 7.02611e-05 -151 *3959:63 *5761:209 9.90639e-05 -152 *4027:20 *5761:192 0.000178847 -153 *4078:19 *5761:192 3.63805e-05 -154 *4092:21 *28303:RESET_B 0.00010347 -155 *4101:5 *5761:179 0.000263524 -156 *4109:53 *5761:209 0.000177291 -157 *4122:43 *28731:RESET_B 0 -158 *4143:72 *28296:SET_B 0.000419889 -159 *4143:72 *28303:RESET_B 2.92948e-05 -160 *4143:72 *5761:66 5.06983e-05 -161 *4143:72 *5761:77 2.6949e-05 -162 *4350:8 *29040:A 0.000698957 -163 *5250:8 *29040:A 0.000195805 -164 *5250:8 *5761:179 3.17163e-05 -165 *5545:30 *28294:RESET_B 0 -166 *5545:30 *28299:RESET_B 0 -167 *5656:137 *5761:209 0.000206026 -168 *5656:153 *28731:RESET_B 2.81372e-05 -169 *5656:153 *5761:209 0.000146488 -170 *5669:101 *28303:RESET_B 0.000100831 -171 *5688:213 *5761:147 0.000150618 -172 *5688:225 *5761:131 8.47793e-05 -173 *5688:225 *5761:147 0 -174 *5715:137 *28303:RESET_B 0.000136958 -175 *5715:149 *28302:RESET_B 8.94203e-05 -176 *5715:149 *28303:RESET_B 0.00016641 -177 *5715:149 *5761:104 0.000679959 -178 *5760:8 *29040:A 9.41642e-05 -*RES -1 *29041:X *5761:7 14.7464 -2 *5761:7 *5761:8 2.25 -3 *5761:8 *28305:RESET_B 10.7232 -4 *28305:RESET_B *28309:RESET_B 21.8036 -5 *5761:8 *28306:RESET_B 4.5 -6 *5761:7 *5761:40 12.7321 -7 *5761:40 *28307:SET_B 0.473214 -8 *5761:40 *5761:50 11.6071 -9 *5761:50 *28294:RESET_B 7.66071 -10 *5761:50 *28299:RESET_B 11.7768 -11 *28299:RESET_B *5761:66 14.0089 -12 *5761:66 *28296:SET_B 12.375 -13 *5761:66 *5761:77 3.16071 -14 *5761:77 *28300:RESET_B 5.38393 -15 *5761:77 *5761:86 1.64286 -16 *5761:86 *28301:SET_B 4.97321 -17 *5761:86 *5761:97 7.96429 -18 *5761:97 *28302:RESET_B 0.883929 -19 *5761:97 *5761:104 6.69643 -20 *5761:104 *5761:109 6.26786 -21 *5761:109 *28245:RESET_B 5.38393 -22 *5761:109 *5761:122 4.125 -23 *5761:122 *28248:RESET_B 6.20536 -24 *5761:122 *5761:131 6.19643 -25 *5761:131 *28247:SET_B 6.34821 -26 *5761:131 *5761:147 15.6964 -27 *5761:147 *28246:RESET_B 0.901786 -28 *5761:147 *5761:157 2.17857 -29 *5761:157 *28249:RESET_B 13.4286 -30 *5761:157 *5761:179 12.6875 -31 *5761:179 *5761:192 48.25 -32 *5761:192 *5761:194 5.05357 -33 *5761:194 *5761:209 22.75 -34 *5761:209 *28490:SET_B 4.5 -35 *5761:209 *28731:RESET_B 9.91964 -36 *5761:194 *28444:RESET_B 0.473214 -37 *5761:179 *29040:A 29.0143 -38 *5761:104 *28303:RESET_B 21.1518 -*END - -*D_NET *5762 0.0405412 -*CONN -*I *28474:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28602:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28578:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28352:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28354:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28241:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28586:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28494:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28478:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28590:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28582:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28550:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28526:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28614:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28351:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28350:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28353:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28240:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *25497:A I *D sky130_fd_sc_hd__and2_1 -*I *28243:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *29042:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *28474:SET_B 1.10882e-05 -2 *28602:SET_B 0.000277994 -3 *28578:SET_B 0.00179804 -4 *28352:RESET_B 2.45592e-05 -5 *28354:SET_B 3.51992e-05 -6 *28241:RESET_B 0.000968522 -7 *28586:SET_B 0.000155956 -8 *28494:RESET_B 3.37302e-05 -9 *28478:RESET_B 0.000313265 -10 *28590:RESET_B 1.33249e-05 -11 *28582:RESET_B 2.96027e-05 -12 *28550:RESET_B 0.000496317 -13 *28526:RESET_B 0.000429095 -14 *28614:RESET_B 0.00154682 -15 *28351:RESET_B 6.09809e-05 -16 *28350:RESET_B 2.64954e-05 -17 *28353:SET_B 0.00089543 -18 *28240:RESET_B 0.00050174 -19 *25497:A 0.000188481 -20 *28243:RESET_B 0.000340121 -21 *29042:X 0 -22 *5762:250 0.00141447 -23 *5762:222 0.000826495 -24 *5762:209 0.000286113 -25 *5762:193 0.00120537 -26 *5762:181 0.000378823 -27 *5762:163 0.00117894 -28 *5762:118 0.00122908 -29 *5762:116 0.000923948 -30 *5762:105 0.00150686 -31 *5762:73 0.000733591 -32 *5762:70 0.00152004 -33 *5762:54 0.00151752 -34 *5762:41 0.000535511 -35 *5762:27 0.00103772 -36 *5762:14 0.000417455 -37 *5762:4 0.000397138 -38 *28243:RESET_B *29042:A 4.08637e-05 -39 *28243:RESET_B *5778:122 9.84673e-05 -40 *28351:RESET_B *28351:D 0.000172629 -41 *28550:RESET_B *6258:12 0.000121805 -42 *28578:SET_B *5780:110 7.4185e-05 -43 *28586:SET_B *25904:A1 0.000219711 -44 *28586:SET_B *5824:142 0.000304394 -45 *5762:41 *5833:175 0.000146474 -46 *5762:105 *5891:76 0.000123359 -47 *5762:181 *5833:175 0.000144203 -48 *5762:193 *5799:10 8.98801e-05 -49 *5762:209 *5799:10 0.000126785 -50 *5762:222 *5833:178 1.24368e-05 -51 *25497:B *25497:A 3.32731e-05 -52 *25566:A2 *28240:RESET_B 0.000120501 -53 *25566:A2 *5762:41 0.000366884 -54 *25901:A1 *5762:70 0.000219711 -55 *25905:A0 *28241:RESET_B 1.15172e-05 -56 *25905:A1 *28241:RESET_B 6.05161e-06 -57 *25905:A1 *28578:SET_B 0.000177948 -58 *25905:A1 *5762:222 0.000100823 -59 *27145:A1 *28550:RESET_B 0.000453262 -60 *27605:B1 *5762:118 0.000166503 -61 *27765:A2 *5762:116 0.000270243 -62 *27765:A2 *5762:118 0.000206051 -63 *27870:A2 *5762:163 7.13226e-06 -64 *27870:B2 *5762:163 2.59355e-05 -65 *28243:CLK *28243:RESET_B 0.000131191 -66 *28350:CLK *28350:RESET_B 2.29097e-05 -67 *28350:CLK *5762:54 9.49999e-05 -68 *28350:CLK *5762:70 5.03485e-05 -69 *28350:CLK *5762:163 1.58163e-05 -70 *28351:CLK *28351:RESET_B 8.25613e-05 -71 *28351:CLK *5762:70 9.31011e-05 -72 *28351:CLK *5762:163 8.55871e-05 -73 *28352:CLK *28352:RESET_B 3.02581e-06 -74 *28354:D *28354:SET_B 3.02581e-06 -75 *28354:D *5762:209 9.25014e-06 -76 *28474:CLK *5762:250 0.00019868 -77 *28478:CLK *5762:163 8.15095e-05 -78 *28478:D *28478:RESET_B 5.07957e-05 -79 *28494:CLK *28494:RESET_B 8.79458e-05 -80 *28494:D *5762:163 0.000135028 -81 *28526:D *28526:RESET_B 0.000585696 -82 *28550:D *28550:RESET_B 8.71192e-05 -83 *28578:CLK *28578:SET_B 6.74911e-05 -84 *28586:CLK *28578:SET_B 4.80729e-05 -85 *28586:CLK *5762:181 0.000168939 -86 *28586:CLK *5762:193 0.000360809 -87 *28586:CLK *5762:209 0.000247786 -88 *28586:CLK *5762:222 0.000102545 -89 *28590:CLK *28590:RESET_B 2.29097e-05 -90 *28590:CLK *5762:105 0.000119527 -91 *28590:CLK *5762:116 8.37359e-05 -92 *29320:A *28474:SET_B 4.90803e-05 -93 *29320:A *28602:SET_B 0.000128154 -94 *29321:A *5762:250 9.0145e-05 -95 *30179:A *28578:SET_B 2.26327e-05 -96 *1257:169 *28614:RESET_B 0.000293885 -97 *1257:169 *5762:73 0.000105696 -98 *1672:16 *28478:RESET_B 0.000759279 -99 *1672:16 *5762:163 9.91086e-05 -100 *1834:14 *28550:RESET_B 0 -101 *1834:37 *28550:RESET_B 0.000187938 -102 *1892:23 *28586:SET_B 3.34295e-05 -103 *2759:80 *28478:RESET_B 0.000549942 -104 *2759:80 *5762:163 9.0573e-05 -105 *2773:150 *28614:RESET_B 0.000251467 -106 *2773:150 *5762:105 8.25613e-05 -107 *2773:155 *28586:SET_B 4.66203e-05 -108 *2775:117 *5762:105 5.20359e-06 -109 *2775:117 *5762:116 4.28938e-05 -110 *2775:129 *5762:163 0.000200406 -111 *3413:16 *5762:163 8.71239e-05 -112 *3543:6 *5762:41 0.000146474 -113 *3543:6 *5762:181 0.000328394 -114 *3543:6 *5762:193 0.000187026 -115 *3578:75 *5762:105 9.51805e-05 -116 *3591:10 *5762:163 0.000117189 -117 *3591:60 *28578:SET_B 0.000388107 -118 *3659:14 *5762:27 0.000160306 -119 *3680:7 *28550:RESET_B 0.000130739 -120 *3725:10 *5762:250 1.99087e-05 -121 *3725:44 *28578:SET_B 0 -122 *3725:44 *5762:250 1.48969e-05 -123 *3745:8 *5762:116 9.60939e-05 -124 *3764:5 *28602:SET_B 9.31209e-05 -125 *3764:12 *5762:70 0.000198698 -126 *3803:40 *28478:RESET_B 4.46032e-05 -127 *3920:31 *28602:SET_B 0.000145661 -128 *3953:6 *5762:118 5.86836e-05 -129 *3959:28 *28602:SET_B 0.00022495 -130 *4038:7 *28352:RESET_B 4.70821e-05 -131 *4038:8 *5762:14 0.000151003 -132 *4038:8 *5762:27 0.000449711 -133 *4039:8 *28353:SET_B 1.84523e-06 -134 *4039:8 *5762:54 0.000196459 -135 *4076:24 *28550:RESET_B 0.00020455 -136 *4076:24 *5762:118 9.96555e-05 -137 *4076:48 *28582:RESET_B 9.30603e-06 -138 *4076:48 *5762:116 9.64695e-05 -139 *4076:48 *5762:118 0.000332907 -140 *4078:8 *28243:RESET_B 0.000182756 -141 *4087:5 *28240:RESET_B 7.3237e-05 -142 *4087:9 *28240:RESET_B 6.72353e-05 -143 *4089:12 *5762:70 0.00020042 -144 *4122:7 *28526:RESET_B 8.48353e-05 -145 *4128:20 *28474:SET_B 5.83304e-05 -146 *4128:20 *28602:SET_B 0.000577106 -147 *4141:30 *28614:RESET_B 0.000300699 -148 *4141:30 *5762:73 0.000103981 -149 *5640:167 *28494:RESET_B 4.27935e-05 -150 *5669:19 *28586:SET_B 0.000125724 -151 *5669:251 *28550:RESET_B 7.50601e-05 -152 *5689:190 *5762:105 6.26593e-05 -153 *5697:11 *25497:A 0.000263524 -154 *5709:50 *28614:RESET_B 0.000135028 -155 *5709:76 *5762:14 5.01537e-05 -156 *5709:76 *5762:27 0.000120006 -157 *5715:32 *28550:RESET_B 0.000229731 -158 *5715:37 *28550:RESET_B 1.31516e-05 -159 *5715:37 *5762:118 0.000204151 -160 *5719:17 *28240:RESET_B 2.98258e-05 -161 *5719:25 *28240:RESET_B 0.000238304 -*RES -1 *29042:X *5762:4 9.3 -2 *5762:4 *28243:RESET_B 6.54464 -3 *5762:4 *5762:14 6.44643 -4 *5762:14 *25497:A 17.2286 -5 *5762:14 *5762:27 10.3929 -6 *5762:27 *28240:RESET_B 8.6875 -7 *5762:27 *5762:41 11.2321 -8 *5762:41 *28353:SET_B 5.70536 -9 *28353:SET_B *5762:54 11.7054 -10 *5762:54 *28350:RESET_B 0.901786 -11 *5762:54 *5762:70 18.7857 -12 *5762:70 *5762:73 5.83929 -13 *5762:73 *28351:RESET_B 6.20536 -14 *5762:73 *28614:RESET_B 17.8304 -15 *28614:RESET_B *5762:105 21.6518 -16 *5762:105 *5762:116 14.8214 -17 *5762:116 *5762:118 9.23214 -18 *5762:118 *28526:RESET_B 12.5089 -19 *5762:118 *28550:RESET_B 18.7054 -20 *5762:116 *28582:RESET_B 5.03571 -21 *5762:105 *28590:RESET_B 0.473214 -22 *5762:70 *5762:163 25.8571 -23 *5762:163 *28478:RESET_B 15.2232 -24 *5762:163 *28494:RESET_B 5.38393 -25 *5762:41 *5762:181 4.375 -26 *5762:181 *28586:SET_B 18.1429 -27 *5762:181 *5762:193 4.67857 -28 *5762:193 *28241:RESET_B 15.3839 -29 *5762:193 *5762:209 8.39286 -30 *5762:209 *28354:SET_B 0.473214 -31 *5762:209 *5762:222 7.21429 -32 *5762:222 *28352:RESET_B 4.97321 -33 *5762:222 *28578:SET_B 19.0089 -34 *28578:SET_B *5762:250 21.0268 -35 *5762:250 *28602:SET_B 14.0446 -36 *5762:250 *28474:SET_B 5.23214 -*END - -*D_NET *5763 0.0395884 -*CONN -*I *28031:A I *D sky130_fd_sc_hd__and2_1 -*I *28518:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28542:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28538:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28202:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28203:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28690:SET_B I *D sky130_fd_sc_hd__dfstp_4 -*I *28530:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28566:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28531:SET_B I *D sky130_fd_sc_hd__dfstp_4 -*I *28486:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28534:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28510:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28506:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28030:A I *D sky130_fd_sc_hd__and2_1 -*I *28029:A I *D sky130_fd_sc_hd__and2_1 -*I *28028:A I *D sky130_fd_sc_hd__and2_1 -*I *28026:A I *D sky130_fd_sc_hd__and2_1 -*I *28027:A I *D sky130_fd_sc_hd__and2_1 -*I *28025:A I *D sky130_fd_sc_hd__and2_1 -*I *29043:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *28031:A 0 -2 *28518:RESET_B 0.000643376 -3 *28542:RESET_B 1.5633e-05 -4 *28538:SET_B 0.000259144 -5 *28202:RESET_B 4.54598e-05 -6 *28203:RESET_B 0.000459659 -7 *28690:SET_B 0.00018868 -8 *28530:SET_B 0.000719824 -9 *28566:RESET_B 0 -10 *28531:SET_B 0.000280554 -11 *28486:RESET_B 0 -12 *28534:RESET_B 5.52128e-05 -13 *28510:RESET_B 0 -14 *28506:SET_B 0.000637833 -15 *28030:A 1.99857e-05 -16 *28029:A 1.99857e-05 -17 *28028:A 1.99857e-05 -18 *28026:A 0.000180302 -19 *28027:A 0 -20 *28025:A 0.000181734 -21 *29043:X 0 -22 *5763:163 0.00212342 -23 *5763:154 0.00228729 -24 *5763:145 0.0018571 -25 *5763:141 0.00148592 -26 *5763:138 0.000905609 -27 *5763:125 0.000961277 -28 *5763:119 0.000239154 -29 *5763:110 0.000644891 -30 *5763:97 0.000537025 -31 *5763:87 0.000402887 -32 *5763:79 0.00150517 -33 *5763:67 0.00179013 -34 *5763:45 0.00126866 -35 *5763:23 0.00044025 -36 *5763:21 0.000355346 -37 *5763:20 0.00122039 -38 *5763:5 0.000442835 -39 *5763:4 0.000363525 -40 *28203:RESET_B *6036:71 7.47252e-05 -41 *28203:RESET_B *6364:32 0.00100146 -42 *28534:RESET_B *5795:19 7.60972e-05 -43 *28538:SET_B *28981:A 9.89973e-05 -44 *5763:5 *5778:126 5.33005e-05 -45 *5763:5 *5778:142 0.000254049 -46 *5763:20 *5779:181 7.41547e-05 -47 *5763:45 *5961:10 0.000358971 -48 *5763:67 *28735:SET_B 2.8266e-06 -49 *5763:67 *5778:149 5.38292e-05 -50 *5763:79 *5778:149 0 -51 *5763:97 *5795:19 8.89716e-05 -52 *5763:145 *28981:A 0 -53 *5763:145 *5879:195 0 -54 *5763:154 *6036:107 6.36722e-05 -55 *5763:163 *25543:A1 0.000221634 -56 *5763:163 *28981:A 0.000235969 -57 *5763:163 *5895:15 2.39923e-05 -58 *5763:163 *6036:95 9.25014e-06 -59 *5763:163 *6364:32 5.51819e-05 -60 *5763:163 *6377:29 0.000285102 -61 *25543:A0 *5763:163 0.000125443 -62 *25733:A1 *5763:154 0 -63 *26340:A *28026:A 0 -64 *26920:S *5763:79 0.000185124 -65 *26933:A0 *28518:RESET_B 9.88232e-05 -66 *26933:A1 *28518:RESET_B 0.00017715 -67 *26960:A0 *28530:SET_B 6.05161e-06 -68 *26987:A1 *5763:110 0.000224583 -69 *26987:A1 *5763:119 0.000127439 -70 *26987:A1 *5763:125 0.000581017 -71 *27127:A1 *5763:154 0.000185545 -72 *27986:C *5763:163 0.000175892 -73 *27986:D_N *5763:163 9.16074e-05 -74 *28001:A0 *28202:RESET_B 0.000123295 -75 *28014:A2 *5763:163 0 -76 *28014:A3 *5763:163 6.87574e-05 -77 *28025:B *28025:A 0.000100077 -78 *28025:B *5763:5 5.33005e-05 -79 *28026:B *28026:A 0.000188882 -80 *28027:B *5763:5 4.08637e-05 -81 *28028:B *28028:A 1.04232e-05 -82 *28029:B *28029:A 1.04232e-05 -83 *28030:B *28030:A 1.04232e-05 -84 *28070:RESET_B *28025:A 0.000188586 -85 *28072:RESET_B *5763:5 0.000519489 -86 *28203:CLK *28203:RESET_B 0.000570349 -87 *28506:D *28506:SET_B 0.00012401 -88 *28518:CLK *28518:RESET_B 0 -89 *28530:D *28530:SET_B 7.03431e-05 -90 *28538:CLK *28518:RESET_B 0 -91 *28542:D *28518:RESET_B 0 -92 *28562:CLK *5763:110 0.000173804 -93 *28566:D *28518:RESET_B 0.000150758 -94 *28566:D *5763:125 0.000579302 -95 *28566:D *5763:138 0.000102685 -96 *28690:D *28690:SET_B 0 -97 *29004:A *28690:SET_B 0.000409239 -98 *29004:A *5763:154 2.11419e-05 -99 *29333:A *28506:SET_B 0 -100 *29558:A *5763:45 0.00091969 -101 *29857:A *28531:SET_B 9.49899e-05 -102 *30184:A *5763:87 5.19374e-05 -103 *30230:A *5763:110 0.0001399 -104 *30231:A *28518:RESET_B 1.50179e-05 -105 *30231:A *28542:RESET_B 1.01912e-05 -106 *30370:A *28202:RESET_B 0.000125731 -107 *1174:15 *5763:67 0.000386507 -108 *1174:23 *5763:67 0.000212467 -109 *1174:68 *5763:163 5.7903e-06 -110 *1816:8 *5763:20 0.000115082 -111 *1930:40 *28026:A 0.000140933 -112 *2763:219 *5763:79 4.17433e-05 -113 *2767:188 *5763:79 0 -114 *2770:156 *28530:SET_B 1.21258e-05 -115 *3578:21 *5763:67 0.00048499 -116 *3578:27 *5763:79 0.000198466 -117 *3578:27 *5763:87 0 -118 *3578:27 *5763:125 0 -119 *3578:36 *28518:RESET_B 0 -120 *3589:71 *5763:119 0.000150625 -121 *3589:71 *5763:125 2.31791e-05 -122 *3589:79 *5763:97 0.000124822 -123 *3589:79 *5763:110 4.80729e-05 -124 *3706:9 *5763:110 0.000125879 -125 *4109:14 *28518:RESET_B 2.28159e-05 -126 *4109:14 *5763:119 7.80714e-06 -127 *4109:14 *5763:125 4.97121e-06 -128 *4109:20 *5763:79 2.11419e-05 -129 *4109:20 *5763:87 0.00024094 -130 *4132:33 *28531:SET_B 0.000218181 -131 *4132:33 *5763:97 8.97305e-05 -132 *4132:33 *5763:110 0.000509345 -133 *4141:21 *28538:SET_B 2.11419e-05 -134 *4141:21 *5763:154 0 -135 *4148:10 *5763:110 0.000361729 -136 *4212:8 *28203:RESET_B 7.70134e-05 -137 *4246:11 *28530:SET_B 3.71441e-05 -138 *5462:19 *5763:163 8.60298e-05 -139 *5644:251 *5763:67 0.000172269 -140 *5688:25 *5763:97 1.80039e-05 -141 *5689:36 *28518:RESET_B 0.000274855 -142 *5689:36 *5763:125 2.94103e-05 -143 *5689:36 *5763:138 5.36451e-05 -144 *5689:37 *28530:SET_B 5.03772e-05 -145 *5689:56 *28530:SET_B 0.000139019 -146 *5709:32 *5763:79 8.43535e-06 -147 *5709:254 *28534:RESET_B 0.000219464 -148 *5709:254 *5763:97 9.41642e-05 -149 *5716:50 *5763:67 0.000274288 -150 *5716:265 *5763:67 0 -151 *5729:93 *5763:21 6.57914e-05 -152 *5729:93 *5763:45 0.000114665 -153 *5729:95 *5763:21 2.89114e-05 -154 *5729:95 *5763:23 0.000201714 -155 *5729:97 *28026:A 2.14658e-05 -156 *5729:97 *5763:23 2.59355e-05 -157 *5729:145 *28506:SET_B 1.92789e-05 -158 *5729:145 *5763:45 0.00040758 -159 *5729:163 *28506:SET_B 5.04705e-05 -160 *5729:163 *5763:79 6.40951e-05 -161 *5729:176 *5763:79 0.00104321 -*RES -1 *29043:X *5763:4 9.3 -2 *5763:4 *5763:5 6.69643 -3 *5763:5 *28025:A 13.1214 -4 *5763:5 *28027:A 9.3 -5 *5763:4 *5763:20 11.8571 -6 *5763:20 *5763:21 1.76786 -7 *5763:21 *5763:23 4.23214 -8 *5763:23 *28026:A 13.55 -9 *5763:23 *28028:A 9.72857 -10 *5763:21 *28029:A 9.72857 -11 *5763:20 *5763:45 21.4821 -12 *5763:45 *28030:A 9.72857 -13 *5763:45 *28506:SET_B 13.2321 -14 *28506:SET_B *5763:67 22.0625 -15 *5763:67 *5763:79 28.8383 -16 *5763:79 *28510:RESET_B 4.5 -17 *5763:79 *5763:87 7.66071 -18 *5763:87 *28534:RESET_B 2.11607 -19 *5763:87 *5763:97 9.14286 -20 *5763:97 *28486:RESET_B 4.5 -21 *5763:97 *5763:110 16.0714 -22 *5763:110 *28531:SET_B 5.40179 -23 *5763:110 *5763:119 6.44643 -24 *5763:119 *28566:RESET_B 4.5 -25 *5763:119 *5763:125 8.32143 -26 *5763:125 *28530:SET_B 13.7411 -27 *5763:125 *5763:138 1.49107 -28 *5763:138 *5763:141 3.81733 -29 *5763:141 *5763:145 5.97933 -30 *5763:145 *28690:SET_B 9.63393 -31 *5763:145 *5763:154 11.4279 -32 *5763:154 *5763:163 24.0858 -33 *5763:163 *28203:RESET_B 12.7946 -34 *5763:163 *28202:RESET_B 10.6429 -35 *5763:154 *28538:SET_B 8.81032 -36 *5763:141 *28542:RESET_B 8.18679 -37 *5763:138 *28518:RESET_B 19.0446 -38 *5763:67 *28031:A 9.3 -*END - -*D_NET *5764 0.0687726 -*CONN -*I *28492:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28574:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28635:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28588:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28596:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28547:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28539:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28540:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28123:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28563:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28752:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28475:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28571:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28630:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28603:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28595:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28491:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28667:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28654:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28634:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *29044:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *28492:RESET_B 0.000248307 -2 *28574:RESET_B 0.000718634 -3 *28635:SET_B 2.28682e-05 -4 *28588:RESET_B 0.00177472 -5 *28596:RESET_B 0.000176072 -6 *28547:SET_B 5.52765e-05 -7 *28539:SET_B 0.000706624 -8 *28540:RESET_B 5.05953e-05 -9 *28123:SET_B 8.18593e-05 -10 *28563:SET_B 0.000175488 -11 *28752:SET_B 0.000664444 -12 *28475:SET_B 0.000873297 -13 *28571:SET_B 0.000149854 -14 *28630:RESET_B 0.000896585 -15 *28603:SET_B 1.73255e-05 -16 *28595:SET_B 1.91209e-05 -17 *28491:RESET_B 2.89093e-05 -18 *28667:SET_B 0 -19 *28654:RESET_B 0.000155065 -20 *28634:SET_B 0 -21 *29044:X 0.000264419 -22 *5764:274 0.00150561 -23 *5764:239 0.00189747 -24 *5764:230 0.00212632 -25 *5764:179 0.00130816 -26 *5764:156 0.00291504 -27 *5764:146 0.00160933 -28 *5764:144 0.00168942 -29 *5764:131 0.00160737 -30 *5764:127 0.00121773 -31 *5764:120 0.00320264 -32 *5764:106 0.0018891 -33 *5764:79 0.0014893 -34 *5764:76 0.00152763 -35 *5764:65 0.000563532 -36 *5764:51 0.000794897 -37 *5764:36 0.000623258 -38 *5764:22 0.000769502 -39 *5764:11 0.000673355 -40 *28475:SET_B *5873:232 0.000193445 -41 *28539:SET_B *5873:232 0 -42 *28574:RESET_B *27122:A1 0.000108633 -43 *28574:RESET_B *28675:SET_B 0.000288672 -44 *28574:RESET_B *28686:RESET_B 4.6025e-06 -45 *28588:RESET_B *5824:213 7.87139e-05 -46 *28630:RESET_B *28603:D 0.000116649 -47 *28630:RESET_B *6280:18 2.23231e-05 -48 *5764:11 *29044:A 4.18305e-05 -49 *5764:11 *5777:9 9.43092e-05 -50 *5764:36 *6247:34 0.000163497 -51 *5764:51 *6247:34 0.000478129 -52 *5764:65 *5836:55 4.58194e-05 -53 *5764:76 *5836:55 0.000106286 -54 *5764:144 *5873:232 2.996e-06 -55 *5764:179 *5892:74 0.00145069 -56 *5764:239 *5765:95 0.000418438 -57 *5764:274 *27122:S 4.65519e-05 -58 *5764:274 *5873:321 5.98611e-05 -59 *6608:DIODE *5764:179 6.43196e-05 -60 *25190:C1 *28596:RESET_B 6.90381e-06 -61 *25238:B2 *5764:239 0.000155155 -62 *25240:B2 *5764:106 0.000404933 -63 *25240:B2 *5764:120 0.000253646 -64 *25243:C1 *28574:RESET_B 0.000168845 -65 *25249:C1 *28475:SET_B 9.86478e-05 -66 *25318:A2 *5764:156 0.000376504 -67 *25322:A1 *5764:179 7.89427e-05 -68 *25325:C *5764:156 0.000117977 -69 *25344:A1 *5764:11 0.000129575 -70 *25344:A1 *5764:51 0.000123288 -71 *26966:A1 *5764:131 2.5206e-05 -72 *26984:A0 *28563:SET_B 9.40245e-05 -73 *27059:A1 *28630:RESET_B 0.000178548 -74 *27065:S *5764:274 4.18413e-05 -75 *27086:S *5764:36 2.75856e-05 -76 *27197:A0 *5764:156 2.75036e-05 -77 *27342:C1 *28539:SET_B 4.28365e-05 -78 *27344:A1 *28475:SET_B 0.000259491 -79 *27360:B2 *5764:127 1.33776e-05 -80 *27360:B2 *5764:131 1.17968e-05 -81 *27367:A *28596:RESET_B 2.04825e-05 -82 *27371:C1 *5764:144 0 -83 *27371:C1 *5764:146 0 -84 *27371:C1 *5764:156 0 -85 *28475:CLK *28475:SET_B 1.94945e-05 -86 *28492:D *5764:239 0.000101346 -87 *28574:CLK *28574:RESET_B 0.000117398 -88 *28574:D *28574:RESET_B 0.000228782 -89 *28588:CLK *5764:274 0.000120327 -90 *28603:CLK *28630:RESET_B 7.25873e-06 -91 *28654:D *28654:RESET_B 4.83461e-05 -92 *28752:CLK *5764:156 0 -93 *28752:D *28752:SET_B 0.000108231 -94 *30174:A *5764:36 0.000222584 -95 *30201:A *28630:RESET_B 9.58632e-05 -96 *30386:A *28588:RESET_B 8.67931e-05 -97 *30423:A *5764:79 0.000469616 -98 *30476:A *28752:SET_B 5.33005e-05 -99 *1260:81 *5764:22 0.000252364 -100 *1261:41 *5764:156 0.000136399 -101 *1261:168 *5764:230 0.000110498 -102 *1265:95 *5764:22 0.000611975 -103 *1265:112 *5764:22 5.93572e-05 -104 *1272:47 *28547:SET_B 0.000175162 -105 *1275:225 *5764:65 6.77303e-05 -106 *1279:105 *5764:11 0.000178672 -107 *1281:145 *28123:SET_B 0.000240307 -108 *1281:145 *28563:SET_B 0.000207374 -109 *1286:26 *28588:RESET_B 0.000645698 -110 *1286:26 *5764:127 0.000597938 -111 *1286:26 *5764:230 0.000405364 -112 *1286:26 *5764:239 0.000815378 -113 *1286:27 *28588:RESET_B 0.000102823 -114 *1516:10 *5764:22 4.78056e-05 -115 *1516:17 *28654:RESET_B 2.14658e-05 -116 *1516:17 *5764:36 5.49248e-05 -117 *1549:38 *28491:RESET_B 2.29097e-05 -118 *1549:38 *5764:65 0.000273105 -119 *1556:26 *5764:239 0.000558261 -120 *1558:33 *28571:SET_B 0.000184084 -121 *1558:33 *28630:RESET_B 8.93463e-05 -122 *1574:6 *28596:RESET_B 4.19624e-06 -123 *1574:21 *28588:RESET_B 1.02849e-05 -124 *1574:21 *5764:230 0.000173055 -125 *1574:21 *5764:239 0.000208732 -126 *1633:16 *28574:RESET_B 0.000307274 -127 *1633:16 *28635:SET_B 7.00629e-05 -128 *1633:45 *5764:51 9.60875e-05 -129 *1638:36 *5764:156 2.63501e-05 -130 *1660:42 *5764:76 0.000236526 -131 *1660:42 *5764:106 0.000303408 -132 *2761:168 *5764:239 0 -133 *2768:89 *5764:230 0.000366847 -134 *2768:90 *28492:RESET_B 4.64488e-06 -135 *2768:90 *5764:239 0 -136 *2774:74 *28574:RESET_B 6.14836e-06 -137 *2774:110 *5764:65 1.76606e-05 -138 *2778:152 *28596:RESET_B 4.65519e-05 -139 *2779:96 *5764:76 0.000236526 -140 *2779:96 *5764:106 0.000310942 -141 *2781:119 *5764:51 0.000196746 -142 *2844:290 *28571:SET_B 0.000373992 -143 *2852:161 *28574:RESET_B 0.000668482 -144 *2859:242 *5764:230 0.000165664 -145 *2867:260 *5764:239 1.0562e-05 -146 *2871:318 *28630:RESET_B 2.56723e-05 -147 *2872:279 *5764:65 9.41642e-05 -148 *2872:279 *5764:76 0.000298483 -149 *2875:302 *5764:120 8.49888e-05 -150 *2877:349 *5764:127 5.24867e-05 -151 *2877:349 *5764:230 6.30941e-05 -152 *2882:210 *5764:65 3.22325e-05 -153 *2882:276 *5764:230 0.000182244 -154 *2889:65 *5764:106 0.000223465 -155 *2889:65 *5764:120 0.000725645 -156 *2889:92 *5764:120 0.000102677 -157 *2889:92 *5764:230 0.000582228 -158 *2891:165 *5764:156 0 -159 *2891:270 *28574:RESET_B 0.000146128 -160 *2893:26 *28630:RESET_B 0.000326348 -161 *2922:20 *28475:SET_B 6.58294e-06 -162 *2922:20 *5764:127 9.11825e-07 -163 *2922:20 *5764:131 5.4869e-05 -164 *2922:20 *5764:144 2.71873e-05 -165 *2922:27 *5764:146 0.000114697 -166 *2922:27 *5764:156 0.00014833 -167 *2958:13 *28547:SET_B 5.33005e-05 -168 *2958:13 *5764:127 4.11173e-05 -169 *2958:13 *5764:131 3.17148e-05 -170 *2958:34 *28540:RESET_B 2.66051e-05 -171 *2958:34 *5764:131 0.000185383 -172 *2958:34 *5764:156 0.0001326 -173 *3177:117 *28596:RESET_B 0.000253934 -174 *3215:211 *28492:RESET_B 0.000248647 -175 *3242:6 *28475:SET_B 1.36825e-05 -176 *3299:8 *5764:65 0.000305557 -177 *3299:13 *5764:65 1.58163e-05 -178 *3299:14 *5764:65 7.81028e-05 -179 *3552:39 *28492:RESET_B 0.000156838 -180 *3598:21 *5764:274 0.000111951 -181 *3667:55 *5764:22 0.000655248 -182 *3667:65 *5764:22 1.53191e-05 -183 *3677:11 *28475:SET_B 4.71513e-05 -184 *3677:11 *28547:SET_B 2.66634e-05 -185 *3678:76 *5764:144 0.000271232 -186 *3678:76 *5764:146 0.000286913 -187 *3678:76 *5764:156 0.000312114 -188 *3712:28 *5764:65 0.00011682 -189 *3742:48 *28603:SET_B 9.51514e-06 -190 *3742:48 *28630:RESET_B 1.24501e-05 -191 *3742:48 *5764:79 8.36635e-05 -192 *3755:7 *5764:76 7.37323e-05 -193 *3756:7 *28596:RESET_B 5.49544e-05 -194 *3768:22 *5764:11 2.35827e-05 -195 *3823:17 *28630:RESET_B 7.78991e-05 -196 *3848:40 *28539:SET_B 4.28365e-05 -197 *3862:8 *28654:RESET_B 1.63453e-05 -198 *3862:21 *28654:RESET_B 0.000131136 -199 *3862:21 *5764:22 2.95642e-05 -200 *3886:79 *28588:RESET_B 1.0562e-05 -201 *3898:41 *5764:274 6.64121e-05 -202 *3907:32 *28571:SET_B 2.06725e-05 -203 *3912:27 *5764:230 0.000402838 -204 *3912:27 *5764:239 6.63119e-05 -205 *3912:35 *5764:127 0.000134892 -206 *3912:35 *5764:230 0.000154703 -207 *3914:34 *28574:RESET_B 1.66706e-05 -208 *4041:12 *28752:SET_B 3.55455e-05 -209 *4067:32 *5764:79 7.95355e-05 -210 *4068:9 *28492:RESET_B 1.24368e-05 -211 *4080:41 *28574:RESET_B 9.30497e-05 -212 *4080:41 *28635:SET_B 3.47769e-05 -213 *4107:40 *5764:131 2.41224e-05 -214 *4107:40 *5764:156 0.000253426 -215 *4119:24 *5764:274 6.81196e-05 -216 *4119:26 *28571:SET_B 1.94879e-05 -217 *4133:133 *5764:11 0.000273939 -218 *4146:27 *5764:156 0.000128129 -219 *5584:115 *5764:36 7.73275e-05 -220 *5584:115 *5764:51 0.000193439 -221 *5584:131 *5764:51 0.000419094 -222 *5584:250 *5764:274 0.000932503 -223 *5589:31 *28539:SET_B 0 -224 *5589:31 *5764:156 0.000109593 -225 *5605:170 *5764:239 1.28958e-05 -226 *5628:137 *5764:274 0.000793455 -227 *5632:115 *5764:230 0.000418295 -228 *5645:104 *28540:RESET_B 2.52934e-06 -229 *5645:108 *28475:SET_B 3.78069e-05 -230 *5646:232 *28588:RESET_B 5.59209e-05 -231 *5646:232 *5764:274 0.000243909 -232 *5649:120 *28123:SET_B 0.000231684 -233 *5649:120 *28563:SET_B 0.000393058 -234 *5652:12 *28475:SET_B 2.26973e-05 -235 *5652:20 *28475:SET_B 8.94491e-05 -236 *5663:125 *28540:RESET_B 2.11419e-05 -237 *5663:125 *5764:146 0.000102534 -238 *5688:349 *28630:RESET_B 3.40413e-05 -239 *5688:349 *28654:RESET_B 9.60875e-05 -240 *5688:349 *5764:36 0.00030267 -241 *5702:38 *28588:RESET_B 1.61822e-05 -242 *5702:84 *28475:SET_B 1.2758e-05 -243 *5702:84 *5764:127 0.000114779 -244 *5708:141 *28539:SET_B 1.4477e-06 -245 *5708:164 *28539:SET_B 2.01997e-05 -246 *5759:18 *28588:RESET_B 0.000278845 -247 *5759:18 *5764:127 0.00125595 -248 *5759:18 *5764:230 0.00104087 -*RES -1 *29044:X *5764:11 23.5012 -2 *5764:11 *28634:SET_B 4.5 -3 *5764:11 *5764:22 17.0714 -4 *5764:22 *28654:RESET_B 3.49107 -5 *5764:22 *5764:36 10.1607 -6 *5764:36 *28667:SET_B 4.5 -7 *5764:36 *5764:51 25.4464 -8 *5764:51 *28491:RESET_B 0.473214 -9 *5764:51 *5764:65 17.9286 -10 *5764:65 *28595:SET_B 0.366071 -11 *5764:65 *5764:76 10.6607 -12 *5764:76 *5764:79 13.6607 -13 *5764:79 *28603:SET_B 0.473214 -14 *5764:79 *28630:RESET_B 23.0268 -15 *5764:76 *5764:106 9.27508 -16 *5764:106 *28571:SET_B 11.9457 -17 *5764:106 *5764:120 6.30363 -18 *5764:120 *5764:127 10.7734 -19 *5764:127 *5764:131 6.11536 -20 *5764:131 *28475:SET_B 11.0029 -21 *5764:131 *5764:144 4.60537 -22 *5764:144 *5764:146 1.11233 -23 *5764:146 *5764:156 15.8896 -24 *5764:156 *28752:SET_B 9.70536 -25 *5764:156 *5764:179 23.6607 -26 *5764:179 *28563:SET_B 9.78571 -27 *5764:179 *28123:SET_B 7.66071 -28 *5764:146 *28540:RESET_B 11.6798 -29 *5764:144 *28539:SET_B 13.2806 -30 *5764:127 *28547:SET_B 6.20536 -31 *5764:120 *5764:230 18.3917 -32 *5764:230 *5764:239 16.6731 -33 *5764:239 *28596:RESET_B 14.2066 -34 *5764:239 *28588:RESET_B 14.3682 -35 *28588:RESET_B *5764:274 21.6304 -36 *5764:274 *28635:SET_B 5.38393 -37 *5764:274 *28574:RESET_B 26.8887 -38 *5764:230 *28492:RESET_B 10.1964 -*END - -*D_NET *5765 0.0366836 -*CONN -*I *28675:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28686:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28710:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28661:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28653:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28684:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28699:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28683:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28702:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28651:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *29045:X O *D sky130_fd_sc_hd__buf_4 -*CAP -1 *28675:SET_B 0.00156438 -2 *28686:RESET_B 8.86536e-06 -3 *28710:RESET_B 0.000528027 -4 *28661:RESET_B 0 -5 *28653:RESET_B 0.000430526 -6 *28684:RESET_B 0.00206273 -7 *28699:SET_B 3.56744e-05 -8 *28683:SET_B 0.000166922 -9 *28702:RESET_B 0 -10 *28651:SET_B 0.000309334 -11 *29045:X 5.59451e-05 -12 *5765:129 0.00216457 -13 *5765:111 0.00125138 -14 *5765:95 0.00191179 -15 *5765:58 0.00152999 -16 *5765:49 0.0014814 -17 *5765:37 0.000827191 -18 *5765:25 0.000450517 -19 *5765:5 0.000592048 -20 *28651:SET_B *28651:D 0.000259273 -21 *28651:SET_B *6247:34 0.000322295 -22 *28653:RESET_B *28653:D 0.000122887 -23 *28653:RESET_B *6351:26 0.000287727 -24 *5765:25 *6247:31 8.8998e-05 -25 *5765:25 *6247:34 5.42873e-05 -26 *5765:37 *6247:31 4.27095e-05 -27 *5765:58 *27122:S 9.02928e-05 -28 *5765:95 *6351:26 7.38873e-05 -29 *5765:129 *5879:74 2.6878e-05 -30 *25147:A2 *28710:RESET_B 0.000465054 -31 *25190:C1 *5765:58 0.000204484 -32 *25243:C1 *28686:RESET_B 2.11419e-05 -33 *27335:B1 *5765:95 8.69554e-05 -34 *27403:A2 *5765:129 0 -35 *27751:A1 *28710:RESET_B 0.000320842 -36 *27751:C1 *28710:RESET_B 4.00349e-05 -37 *27752:A2 *5765:5 0.000175892 -38 *27752:A2 *5765:25 0.000137561 -39 *28574:CLK *28686:RESET_B 3.43988e-06 -40 *28574:RESET_B *28675:SET_B 0.000288672 -41 *28574:RESET_B *28686:RESET_B 4.6025e-06 -42 *28629:CLK *28675:SET_B 5.83304e-05 -43 *28684:D *28684:RESET_B 0.000104912 -44 *28699:CLK *28684:RESET_B 0.000527481 -45 *28699:CLK *28699:SET_B 0 -46 *28699:CLK *5765:58 0.000124949 -47 *28897:A *28651:SET_B 1.02969e-05 -48 *1260:81 *28651:SET_B 8.9591e-05 -49 *1260:86 *28651:SET_B 5.7903e-06 -50 *1267:83 *28675:SET_B 0.000415246 -51 *1275:225 *5765:25 0.00019252 -52 *1275:234 *5765:37 9.09604e-05 -53 *1286:26 *5765:95 0.000226645 -54 *1406:8 *28710:RESET_B 4.18834e-05 -55 *1406:24 *28651:SET_B 0 -56 *1557:16 *28683:SET_B 0.000253744 -57 *1574:21 *5765:95 0.000819944 -58 *1659:34 *5765:37 0.00022034 -59 *1659:34 *5765:49 0.00114606 -60 *1659:34 *5765:111 0.000344337 -61 *1659:34 *5765:129 0.000884449 -62 *2774:74 *28675:SET_B 2.62915e-05 -63 *2774:74 *5765:129 6.6112e-05 -64 *2778:152 *5765:58 0.000340804 -65 *2779:80 *28675:SET_B 0.000112293 -66 *2779:83 *5765:129 0 -67 *2786:55 *28684:RESET_B 0.00095751 -68 *2845:300 *28710:RESET_B 5.88998e-05 -69 *2860:287 *5765:58 6.05161e-06 -70 *2867:260 *5765:95 0.000446288 -71 *2875:275 *5765:37 9.63491e-05 -72 *2879:118 *5765:25 6.30257e-05 -73 *2885:175 *5765:95 0.000690128 -74 *2886:335 *28653:RESET_B 2.11419e-05 -75 *2891:200 *5765:37 8.69554e-05 -76 *2891:270 *28675:SET_B 6.09627e-05 -77 *2891:270 *5765:129 4.96609e-05 -78 *2892:292 *28710:RESET_B 8.08786e-05 -79 *3153:221 *28684:RESET_B 7.40114e-05 -80 *3162:20 *28683:SET_B 2.11419e-05 -81 *3179:154 *5765:95 0.000271061 -82 *3185:118 *28651:SET_B 0.000257619 -83 *3230:6 *28675:SET_B 0.000343758 -84 *3299:8 *5765:37 4.24383e-05 -85 *3576:76 *28651:SET_B 0.000325342 -86 *3598:43 *28675:SET_B 0.000292256 -87 *3667:65 *28651:SET_B 0.000192956 -88 *3679:59 *28653:RESET_B 0.000671991 -89 *3712:22 *5765:37 0.000211639 -90 *3712:22 *5765:49 0.00117511 -91 *3712:22 *5765:111 0.000363679 -92 *3712:22 *5765:129 0.000315427 -93 *3846:34 *28684:RESET_B 0.000210318 -94 *3847:87 *5765:95 2.09897e-05 -95 *3848:38 *28653:RESET_B 0.000232655 -96 *3848:38 *5765:95 8.38362e-05 -97 *3848:40 *28653:RESET_B 0.000307782 -98 *3912:27 *5765:95 7.01273e-05 -99 *3940:11 *5765:37 0.000110242 -100 *3940:28 *28710:RESET_B 9.41642e-05 -101 *4044:14 *5765:58 0.000136751 -102 *4080:41 *5765:58 0.000111352 -103 *4115:30 *5765:58 0.000135172 -104 *5582:89 *5765:95 1.94945e-05 -105 *5590:98 *5765:25 8.85664e-07 -106 *5593:15 *28675:SET_B 7.13706e-06 -107 *5605:170 *5765:95 1.46553e-05 -108 *5627:103 *28683:SET_B 2.11419e-05 -109 *5630:264 *5765:95 0.000363782 -110 *5632:115 *5765:95 0.000713928 -111 *5632:161 *5765:5 0.000175892 -112 *5632:161 *5765:25 0.000126771 -113 *5644:237 *5765:25 7.89945e-05 -114 *5644:237 *5765:37 2.11419e-05 -115 *5645:156 *28675:SET_B 0.000534199 -116 *5645:156 *5765:129 3.84202e-05 -117 *5649:217 *28684:RESET_B 0.000210918 -118 *5661:245 *28683:SET_B 0.000115951 -119 *5662:144 *28710:RESET_B 0.00053998 -120 *5764:239 *5765:95 0.000418438 -*RES -1 *29045:X *5765:5 11.0679 -2 *5765:5 *28651:SET_B 27.5179 -3 *5765:5 *5765:25 11.0714 -4 *5765:25 *28702:RESET_B 4.5 -5 *5765:25 *5765:37 10.1536 -6 *5765:37 *28683:SET_B 12.0402 -7 *5765:37 *5765:49 2.49727 -8 *5765:49 *5765:58 18.7991 -9 *5765:58 *28699:SET_B 0.669643 -10 *5765:58 *28684:RESET_B 23.9554 -11 *28684:RESET_B *5765:95 24.4359 -12 *5765:95 *28653:RESET_B 18.3393 -13 *5765:95 *28661:RESET_B 4.5 -14 *5765:49 *5765:111 0.792733 -15 *5765:111 *28710:RESET_B 18.1058 -16 *5765:111 *5765:129 5.60177 -17 *5765:129 *28686:RESET_B 8.18679 -18 *5765:129 *28675:SET_B 15.8224 -*END - -*D_NET *5766 0.0312952 -*CONN -*I *28190:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28529:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28528:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28511:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28191:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28461:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28193:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28516:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28462:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28487:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28519:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28194:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28549:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28616:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28496:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28192:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28460:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28517:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28671:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28663:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *29046:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *28190:RESET_B 1.91209e-05 -2 *28529:RESET_B 0.000330455 -3 *28528:RESET_B 0 -4 *28511:RESET_B 3.8086e-05 -5 *28191:RESET_B 0.000125487 -6 *28461:RESET_B 6.79182e-05 -7 *28193:RESET_B 0 -8 *28516:RESET_B 0.000497764 -9 *28462:RESET_B 4.69356e-05 -10 *28487:RESET_B 0.000833061 -11 *28519:RESET_B 1.43138e-05 -12 *28194:RESET_B 0.000111861 -13 *28549:RESET_B 0.000289323 -14 *28616:RESET_B 0.000313645 -15 *28496:RESET_B 0.000456937 -16 *28192:RESET_B 0.000106979 -17 *28460:RESET_B 4.22619e-05 -18 *28517:RESET_B 2.40454e-05 -19 *28671:RESET_B 0 -20 *28663:RESET_B 0.000234228 -21 *29046:X 9.50015e-05 -22 *5766:197 9.15336e-05 -23 *5766:196 0.00116875 -24 *5766:186 0.000975235 -25 *5766:172 0.000415559 -26 *5766:163 0.000315192 -27 *5766:153 0.000220538 -28 *5766:136 0.000788273 -29 *5766:129 0.000607311 -30 *5766:107 0.00112933 -31 *5766:105 0.000807833 -32 *5766:93 0.00044086 -33 *5766:55 0.000636105 -34 *5766:43 0.00074261 -35 *5766:31 0.000338559 -36 *5766:29 0.00147267 -37 *5766:17 0.00141614 -38 *5766:7 0.000396971 -39 *28516:RESET_B *6206:11 1.54142e-05 -40 *28517:RESET_B *26868:S 8.94203e-05 -41 *28529:RESET_B *26944:A1 0.000136676 -42 *28529:RESET_B *26944:S 7.56718e-05 -43 *28663:RESET_B *5872:42 0.000139637 -44 *28663:RESET_B *5873:59 0.000150269 -45 *5766:7 *5873:59 9.41642e-05 -46 *5766:7 *5892:39 0.000175892 -47 *5766:17 *5892:39 0.000171794 -48 *5766:29 *5892:39 2.11419e-05 -49 *5766:29 *6001:17 0.000174979 -50 *5766:43 *26868:S 0.000244746 -51 *5766:55 *25061:B2 0.000298483 -52 *5766:186 *6206:11 0.000157231 -53 *5766:196 *26944:S 1.24368e-05 -54 *25716:A1 *28460:RESET_B 0.000125717 -55 *25716:A1 *5766:43 0.00027338 -56 *25717:A0 *28496:RESET_B 0.000336084 -57 *25717:A0 *28616:RESET_B 0.000104173 -58 *25720:S *28516:RESET_B 0.000142213 -59 *25721:A1 *28516:RESET_B 0.000344232 -60 *25721:S *28516:RESET_B 0.000135028 -61 *26944:A0 *28529:RESET_B 9.41642e-05 -62 *26944:A0 *5766:196 1.98839e-05 -63 *27786:A2 *28663:RESET_B 0.000173512 -64 *27786:A2 *5766:17 0.000186013 -65 *27786:A2 *5766:29 1.24469e-05 -66 *27798:B2 *28616:RESET_B 9.16671e-05 -67 *28190:D *5766:196 2.84026e-05 -68 *28496:CLK *28616:RESET_B 0.00016968 -69 *28519:CLK *28487:RESET_B 0.000231421 -70 *28663:CLK *28663:RESET_B 0.000347696 -71 *29384:A *28529:RESET_B 0.000298866 -72 *29721:A *28529:RESET_B 0.00059164 -73 *29729:A *28496:RESET_B 4.58194e-05 -74 *29903:A *28516:RESET_B 0.000138881 -75 *793:13 *5766:43 6.41033e-05 -76 *1371:51 *5766:29 0 -77 *1383:13 *5766:7 2.2351e-05 -78 *1490:166 *28616:RESET_B 0.000218019 -79 *1853:94 *5766:196 0.000216755 -80 *2759:149 *28549:RESET_B 0.000347986 -81 *2759:149 *5766:29 1.94879e-05 -82 *2759:149 *5766:93 9.91086e-05 -83 *2759:149 *5766:105 0.000359245 -84 *2764:94 *28529:RESET_B 2.16719e-05 -85 *2764:120 *5766:29 6.28194e-05 -86 *2767:118 *28511:RESET_B 0.000127439 -87 *2767:118 *28529:RESET_B 9.30022e-05 -88 *2767:118 *5766:197 0.000164554 -89 *2768:205 *28460:RESET_B 7.6644e-05 -90 *2768:205 *5766:43 0.000264129 -91 *2768:220 *28194:RESET_B 3.42904e-05 -92 *2776:201 *5766:136 0.000100567 -93 *2776:201 *5766:153 0.000176888 -94 *2776:201 *5766:163 0.000372719 -95 *2776:201 *5766:172 0.000408634 -96 *3179:244 *28663:RESET_B 1.0137e-05 -97 *3179:244 *5766:17 1.0033e-05 -98 *3617:12 *5766:105 9.99811e-05 -99 *3617:14 *5766:93 0.000100823 -100 *3617:14 *5766:105 0.000256842 -101 *3679:16 *5766:93 8.46044e-06 -102 *3679:84 *28460:RESET_B 1.53472e-05 -103 *3679:89 *28460:RESET_B 2.26973e-05 -104 *3786:7 *28616:RESET_B 9.60939e-05 -105 *3786:25 *28616:RESET_B 9.09013e-05 -106 *3876:16 *28663:RESET_B 6.89332e-05 -107 *3889:31 *5766:29 4.59848e-05 -108 *4072:8 *28496:RESET_B 6.57032e-05 -109 *4107:17 *28516:RESET_B 0.000294194 -110 *4108:13 *28517:RESET_B 4.06077e-05 -111 *4108:13 *5766:43 0.000177815 -112 *4110:16 *28487:RESET_B 3.68752e-05 -113 *4124:58 *5766:29 2.35215e-05 -114 *4147:97 *28192:RESET_B 0.000389854 -115 *4147:97 *5766:55 0.000472049 -116 *4218:18 *28461:RESET_B 0.000134861 -117 *5433:7 *28194:RESET_B 5.33005e-05 -118 *5433:29 *28462:RESET_B 8.15939e-05 -119 *5433:29 *5766:136 0.000147941 -120 *5461:28 *28192:RESET_B 0.000389854 -121 *5461:28 *5766:55 0.000479577 -122 *5528:55 *28616:RESET_B 0.000216304 -123 *5586:138 *5766:186 5.85135e-05 -124 *5586:144 *5766:136 5.1274e-05 -125 *5586:144 *5766:153 9.85025e-05 -126 *5586:144 *5766:163 0.000230386 -127 *5586:144 *5766:172 0.000224628 -128 *5586:144 *5766:186 7.83366e-05 -129 *5586:152 *5766:136 3.69865e-05 -130 *5637:19 *28487:RESET_B 0.000277309 -131 *5637:19 *28519:RESET_B 2.29097e-05 -132 *5637:19 *5766:107 0.000207866 -133 *5637:19 *5766:129 0.000305623 -134 *5680:115 *5766:196 8.25114e-05 -135 *5680:129 *28511:RESET_B 0.000127439 -136 *5680:129 *28529:RESET_B 5.58941e-05 -137 *5680:129 *5766:197 0.000174805 -138 *5681:16 *28549:RESET_B 0.000356609 -139 *5681:16 *5766:29 1.90936e-05 -140 *5702:160 *28462:RESET_B 0.000153047 -141 *5702:160 *5766:136 0.00019299 -*RES -1 *29046:X *5766:7 15.9786 -2 *5766:7 *28663:RESET_B 11.3304 -3 *5766:7 *5766:17 2.55357 -4 *5766:17 *28671:RESET_B 4.5 -5 *5766:17 *5766:29 10.2312 -6 *5766:29 *5766:31 4.5 -7 *5766:31 *28517:RESET_B 0.883929 -8 *5766:31 *5766:43 13.4464 -9 *5766:43 *28460:RESET_B 6.14286 -10 *5766:43 *5766:55 18.1964 -11 *5766:55 *28192:RESET_B 9.48214 -12 *5766:55 *28496:RESET_B 7.84821 -13 *28496:RESET_B *28616:RESET_B 17.6429 -14 *5766:29 *28549:RESET_B 9.17857 -15 *28549:RESET_B *5766:93 7.45536 -16 *5766:93 *28194:RESET_B 6.20536 -17 *5766:93 *5766:105 9.60714 -18 *5766:105 *5766:107 4.23214 -19 *5766:107 *28519:RESET_B 0.473214 -20 *5766:107 *28487:RESET_B 11.3125 -21 *5766:105 *5766:129 10.375 -22 *5766:129 *28462:RESET_B 6.44643 -23 *5766:129 *5766:136 5.89286 -24 *5766:136 *28516:RESET_B 14.4554 -25 *5766:136 *5766:153 3.21429 -26 *5766:153 *28193:RESET_B 4.5 -27 *5766:153 *5766:163 5.64286 -28 *5766:163 *28461:RESET_B 5.79464 -29 *5766:163 *5766:172 5.28571 -30 *5766:172 *28191:RESET_B 6.11607 -31 *5766:172 *5766:186 9.10714 -32 *5766:186 *5766:196 16.2857 -33 *5766:196 *5766:197 2.25 -34 *5766:197 *28511:RESET_B 6.14286 -35 *5766:197 *28528:RESET_B 4.5 -36 *5766:196 *28529:RESET_B 14.1161 -37 *5766:186 *28190:RESET_B 0.366071 -*END - -*D_NET *5767 0.0609716 -*CONN -*I *29046:A I *D sky130_fd_sc_hd__buf_8 -*I *28575:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28551:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28703:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28576:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28689:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28577:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28609:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28553:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28513:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28481:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28568:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28555:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28569:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28545:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28497:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28521:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28615:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28471:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28600:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *29047:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *29046:A 0.000304724 -2 *28575:RESET_B 0.0016831 -3 *28551:RESET_B 7.59234e-05 -4 *28703:RESET_B 0.000916622 -5 *28576:RESET_B 0.00014129 -6 *28689:RESET_B 0.000581149 -7 *28577:RESET_B 0 -8 *28609:RESET_B 0.00107228 -9 *28553:RESET_B 0.00030558 -10 *28513:RESET_B 0.000109145 -11 *28481:RESET_B 0.00020807 -12 *28568:RESET_B 0.000897479 -13 *28555:SET_B 0.00105696 -14 *28569:RESET_B 0 -15 *28545:RESET_B 0.000241509 -16 *28497:RESET_B 0.000287178 -17 *28521:RESET_B 0.00019263 -18 *28615:RESET_B 0.000119713 -19 *28471:RESET_B 0.000807718 -20 *28600:RESET_B 3.56066e-05 -21 *29047:X 4.14186e-05 -22 *5767:204 0.00102751 -23 *5767:201 0.00266003 -24 *5767:197 0.00224091 -25 *5767:178 0.00142337 -26 *5767:166 0.00225825 -27 *5767:150 0.00155295 -28 *5767:125 0.000618076 -29 *5767:89 0.000636615 -30 *5767:81 0.00057845 -31 *5767:60 0.000460852 -32 *5767:56 0.000260544 -33 *5767:55 0.0016738 -34 *5767:41 0.00293149 -35 *5767:29 0.00207951 -36 *5767:6 0.000265671 -37 *28575:RESET_B *5847:43 2.01997e-05 -38 *28575:RESET_B *6207:19 0.000366822 -39 *5767:29 *5777:259 6.62099e-05 -40 *5767:166 *26998:A1 5.64416e-05 -41 *5767:178 *28576:D 0.00034188 -42 mgmt_gpio_out[9] *28555:SET_B 7.71321e-05 -43 *6516:DIODE *5767:89 6.98302e-05 -44 *26891:A1 *28568:RESET_B 1.92905e-05 -45 *26927:A0 *5767:55 4.17433e-05 -46 *26927:A1 *5767:55 0.000218685 -47 *26972:A1 *28553:RESET_B 0.000345257 -48 *26990:A1 *28497:RESET_B 6.56534e-05 -49 *26990:A1 *5767:81 0.000537316 -50 *26990:S *5767:81 9.41642e-05 -51 *26998:S *5767:166 0.000163358 -52 *27042:A1 *5767:41 0.00038021 -53 *27436:B2 *28703:RESET_B 9.60875e-05 -54 *28521:D *5767:41 0.000298483 -55 *28553:D *28609:RESET_B 0.000292047 -56 *28553:D *5767:125 0.000146474 -57 *28555:CLK *28545:RESET_B 0.000125724 -58 *28569:D *5767:89 0.000370533 -59 *28576:CLK *5767:178 9.41642e-05 -60 *28577:D *5767:150 4.27842e-06 -61 *28577:D *5767:166 1.89846e-05 -62 *28616:CLK *5767:166 0.000193705 -63 *28703:CLK *28703:RESET_B 0.000198839 -64 *28703:D *28703:RESET_B 0.000252875 -65 *29774:A *28568:RESET_B 0.000125731 -66 *29835:A *5767:166 9.93955e-05 -67 *30056:A *5767:55 5.33005e-05 -68 *30057:A *28513:RESET_B 2.42516e-05 -69 *30064:A *5767:55 5.19805e-05 -70 *30097:A *5767:41 0.000384667 -71 *30133:A *28703:RESET_B 1.24368e-05 -72 *30162:A *5767:41 9.41642e-05 -73 *30907:A *28568:RESET_B 0.000100831 -74 *30908:A *28481:RESET_B 0.000137983 -75 *849:17 *28575:RESET_B 0.000365617 -76 *1242:128 *28600:RESET_B 9.51514e-06 -77 *1287:216 *28576:RESET_B 0.000417467 -78 *1287:216 *28689:RESET_B 0.00111345 -79 *1287:216 *5767:166 0.000980824 -80 *1304:16 *28521:RESET_B 0.000122934 -81 *1304:16 *5767:197 0.000154703 -82 *1371:51 *28703:RESET_B 0 -83 *1378:8 *5767:29 0.000577594 -84 *1378:8 *5767:41 0.000111991 -85 *2764:129 *5767:55 0.000135028 -86 *2768:175 *28555:SET_B 0.000232402 -87 *2768:190 *28555:SET_B 5.41797e-06 -88 *2768:190 *5767:89 0.000181955 -89 *2769:79 *28568:RESET_B 0.000129868 -90 *2769:98 *28568:RESET_B 9.77349e-05 -91 *2770:27 *5767:81 0.000177461 -92 *2770:46 *28568:RESET_B 0.00101117 -93 *2772:164 *28609:RESET_B 0.000283512 -94 *2772:164 *5767:56 0.000117196 -95 *2772:164 *5767:60 0.000241198 -96 *2772:164 *5767:125 0.000741879 -97 *2775:28 *5767:150 0.000147706 -98 *2775:44 *28545:RESET_B 0.000158736 -99 *2776:158 *5767:41 0.00025696 -100 *2776:158 *5767:55 0.000251176 -101 *2776:177 *5767:150 9.97077e-05 -102 *2776:177 *5767:166 0.000241662 -103 *2792:96 *5767:178 9.23883e-05 -104 *2872:336 *5767:178 9.39125e-05 -105 *2892:177 *28471:RESET_B 8.79458e-05 -106 *3165:60 *5767:197 1.74549e-05 -107 *3176:234 *28471:RESET_B 0.000394798 -108 *3176:234 *5767:6 0.000177941 -109 *3177:72 *5767:29 0.000971946 -110 *3215:196 *28471:RESET_B 0.000386255 -111 *3215:196 *5767:6 0.000179656 -112 *3215:196 *5767:29 0.000164792 -113 *3215:199 *5767:29 0.000258797 -114 *3378:26 *5767:197 0.000154703 -115 *3378:26 *5767:201 0.000111243 -116 *3558:285 *28555:SET_B 0.00022117 -117 *3558:285 *5767:89 3.34366e-05 -118 *3558:292 *5767:89 0.000189448 -119 *3580:57 *28513:RESET_B 0.000128809 -120 *3580:57 *28521:RESET_B 0.000292264 -121 *3580:102 *28703:RESET_B 0.000446635 -122 *3615:54 *28551:RESET_B 0.000128809 -123 *3618:8 *5767:60 6.84922e-05 -124 *3618:12 *5767:60 9.69119e-06 -125 *3618:39 *28497:RESET_B 0.000128809 -126 *3618:39 *5767:81 0.000140933 -127 *3618:69 *5767:125 0.000108642 -128 *3619:7 *28615:RESET_B 0.000107003 -129 *3679:89 *5767:81 0.000150618 -130 *3679:89 *5767:89 2.14474e-05 -131 *3690:17 *28568:RESET_B 0.000109706 -132 *3708:11 *28568:RESET_B 8.79458e-05 -133 *3709:9 *28545:RESET_B 0.000136958 -134 *3721:14 *28576:RESET_B 0.000203757 -135 *3721:14 *28689:RESET_B 6.05161e-06 -136 *3774:5 *5767:150 0.000192094 -137 *3774:17 *5767:150 8.08444e-05 -138 *3785:11 *28615:RESET_B 5.52238e-05 -139 *3786:57 *28545:RESET_B 0.000125724 -140 *3813:11 *28481:RESET_B 9.60875e-05 -141 *3876:16 *29046:A 0.000149044 -142 *3876:16 *5767:201 0.0007386 -143 *3876:38 *5767:201 0.000303584 -144 *3891:58 *28551:RESET_B 6.57648e-05 -145 *3891:58 *5767:197 0.000128696 -146 *3916:20 *5767:29 0.000577594 -147 *3916:20 *5767:41 0.000119656 -148 *3917:7 *28689:RESET_B 1.07719e-05 -149 *3954:13 *28703:RESET_B 0.000126116 -150 *4099:7 *28513:RESET_B 6.26774e-05 -151 *4108:36 *5767:197 2.30182e-05 -152 *4112:11 *28521:RESET_B 2.6032e-05 -153 *4123:33 *28703:RESET_B 0.000301151 -154 *4125:23 *28575:RESET_B 0.000473199 -155 *4125:23 *5767:201 0.000225334 -156 *4125:24 *29046:A 4.6473e-05 -157 *4125:24 *5767:166 0.000122597 -158 *4125:24 *5767:197 5.60631e-05 -159 *4147:106 *28553:RESET_B 0.000100823 -160 *5461:20 *28568:RESET_B 9.27326e-05 -161 *5461:26 *28568:RESET_B 0.000380925 -162 *5528:53 *28545:RESET_B 0.00029513 -163 *5601:83 *5767:55 0.000260574 -164 *5603:28 *28471:RESET_B 4.50675e-05 -165 *5614:13 *5767:81 7.252e-05 -166 *5614:13 *5767:89 0.0004445 -167 *5614:30 *5767:29 1.90936e-05 -168 *5625:8 *28555:SET_B 0 -169 *5625:8 *5767:89 0 -170 *5630:217 *5767:166 0 -171 *5630:217 *5767:197 0 -172 *5637:19 *28575:RESET_B 0 -173 *5637:43 *28551:RESET_B 9.00424e-05 -174 *5642:126 *29046:A 0.000110632 -175 *5643:192 *28703:RESET_B 0.000372045 -176 *5643:203 *28575:RESET_B 0.000457761 -177 *5643:203 *5767:201 6.72288e-05 -178 *5645:199 *5767:197 0.000128129 -179 *5645:199 *5767:201 0.000111243 -180 *5651:289 *5767:29 0.000970016 -181 *5651:311 *5767:41 0.000463591 -182 *5651:326 *28703:RESET_B 0.000296889 -183 *5652:143 *28521:RESET_B 0.000122934 -184 *5652:166 *5767:197 0.000118963 -185 *5652:184 *28551:RESET_B 6.35864e-05 -186 *5658:113 *5767:29 0.000122472 -187 *5662:97 *5767:197 0.00011985 -188 *5662:97 *5767:201 0.000294839 -189 *5676:110 *5767:178 0.000404237 -190 *5676:154 *5767:55 0.000343534 -191 *5676:172 *5767:56 6.51946e-05 -192 *5676:172 *5767:60 4.46186e-06 -193 *5676:172 *5767:125 0.000163915 -194 *5680:168 *5767:178 9.58126e-05 -195 *5680:196 *28568:RESET_B 9.59532e-06 -196 *5681:27 *28553:RESET_B 0.000100823 -197 *5683:266 *28575:RESET_B 4.25184e-05 -198 *5702:147 *28575:RESET_B 4.90148e-05 -*RES -1 *29047:X *5767:6 16.05 -2 *5767:6 *28600:RESET_B 4.97321 -3 *5767:6 *28471:RESET_B 10.6696 -4 *28471:RESET_B *5767:29 36.0714 -5 *5767:29 *28615:RESET_B 6.61607 -6 *5767:29 *5767:41 28.0357 -7 *5767:41 *28521:RESET_B 13.5804 -8 *5767:41 *5767:55 26.8036 -9 *5767:55 *5767:56 1.64286 -10 *5767:56 *5767:60 7.66071 -11 *5767:60 *28497:RESET_B 1.29464 -12 *28497:RESET_B *28545:RESET_B 24.7679 -13 *5767:60 *5767:81 13.1429 -14 *5767:81 *28569:RESET_B 4.5 -15 *5767:81 *5767:89 10.375 -16 *5767:89 *28555:SET_B 9.48214 -17 *28555:SET_B *28568:RESET_B 33.3214 -18 *5767:89 *28481:RESET_B 7.84821 -19 *5767:56 *28513:RESET_B 6.61607 -20 *5767:55 *5767:125 9.53571 -21 *5767:125 *28553:RESET_B 18.25 -22 *5767:125 *28609:RESET_B 8.26786 -23 *28609:RESET_B *5767:150 17.1339 -24 *5767:150 *28577:RESET_B 4.5 -25 *5767:150 *5767:166 24.75 -26 *5767:166 *5767:178 25.7857 -27 *5767:178 *28689:RESET_B 11.1518 -28 *5767:178 *28576:RESET_B 4.16964 -29 *5767:166 *5767:197 10.0022 -30 *5767:197 *5767:201 3.11453 -31 *5767:201 *5767:204 3.49303 -32 *5767:204 *28703:RESET_B 22.9455 -33 *5767:204 *28551:RESET_B 10.0886 -34 *5767:201 *28575:RESET_B 19.185 -35 *5767:197 *29046:A 23.6967 -*END - -*D_NET *5768 0.0479653 -*CONN -*I *28646:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28126:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28753:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28477:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28195:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28500:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28476:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28524:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28124:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28707:SET_B I *D sky130_fd_sc_hd__dfstp_4 -*I *28570:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28611:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28678:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28522:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28523:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28729:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28763:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28598:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28755:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28558:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *29048:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *28646:RESET_B 0.000524748 -2 *28126:RESET_B 0.000424454 -3 *28753:RESET_B 0.000178285 -4 *28477:RESET_B 0.000285735 -5 *28195:RESET_B 0.000946882 -6 *28500:RESET_B 0.000940981 -7 *28476:RESET_B 0.000201943 -8 *28524:RESET_B 0.000470446 -9 *28124:RESET_B 3.71338e-05 -10 *28707:SET_B 8.22397e-05 -11 *28570:SET_B 0.00118385 -12 *28611:SET_B 0.000544696 -13 *28678:RESET_B 0.000407488 -14 *28522:SET_B 0.000718315 -15 *28523:SET_B 0.000133374 -16 *28729:RESET_B 0 -17 *28763:RESET_B 0.000219545 -18 *28598:RESET_B 0.000539535 -19 *28755:RESET_B 0.000801812 -20 *28558:RESET_B 0.00143998 -21 *29048:X 0 -22 *5768:175 0.000713818 -23 *5768:169 0.000731429 -24 *5768:161 0.0012479 -25 *5768:143 0.000860417 -26 *5768:127 0.00159344 -27 *5768:93 0.000632131 -28 *5768:79 0.000702501 -29 *5768:70 0.000646272 -30 *5768:67 0.000362005 -31 *5768:55 0.000617182 -32 *5768:52 0.00107109 -33 *5768:5 0.000874965 -34 *5768:4 0.000524748 -35 *28126:RESET_B *28126:D 0.000186539 -36 *28477:RESET_B *5795:188 0.000123181 -37 *28523:SET_B *28523:D 2.35926e-05 -38 *28558:RESET_B *28558:D 0.000106237 -39 *28558:RESET_B *5777:178 3.61785e-05 -40 *28570:SET_B *25342:B2 0.000175892 -41 *28646:RESET_B *29048:A 9.41642e-05 -42 *28646:RESET_B *5777:82 0.000135028 -43 *28678:RESET_B *5810:115 0.000464172 -44 *28755:RESET_B *28558:D 1.84523e-06 -45 *28755:RESET_B *28755:D 7.6644e-05 -46 *28755:RESET_B *5777:178 2.78595e-05 -47 *28755:RESET_B *5879:44 3.28967e-05 -48 *28763:RESET_B *28763:D 0.000171603 -49 *5768:93 *28523:D 7.83659e-05 -50 *25085:A1 *28558:RESET_B 0.000961434 -51 *25085:A1 *28598:RESET_B 1.19468e-05 -52 *25141:A1 *28755:RESET_B 3.38196e-06 -53 *25314:A2 *28763:RESET_B 0.000125443 -54 *25314:B2 *28646:RESET_B 0.000115724 -55 *26887:A0 *28477:RESET_B 0.000347522 -56 *27023:A0 *5768:55 0.000303092 -57 *27407:C1 *28598:RESET_B 7.14719e-05 -58 *27408:A2 *5768:55 0.000239357 -59 *27408:A2 *5768:67 3.63775e-05 -60 *27609:B1 *28570:SET_B 8.56851e-05 -61 *27748:A2 *28646:RESET_B 0.000174558 -62 *28195:D *28195:RESET_B 0.000187172 -63 *28500:CLK *28500:RESET_B 0.000105471 -64 *28500:D *28500:RESET_B 6.05161e-06 -65 *28570:CLK *28570:SET_B 0.000171983 -66 *28611:D *28611:SET_B 5.83304e-05 -67 *28611:D *5768:127 2.29097e-05 -68 *28753:D *28753:RESET_B 4.76807e-05 -69 *28755:CLK *28558:RESET_B 3.29297e-05 -70 *28763:CLK *5768:52 0.00054557 -71 *29202:A *28195:RESET_B 1.07719e-05 -72 *29294:A *5768:169 0.000121421 -73 *29422:A *28611:SET_B 0.000175891 -74 *29422:A *5768:93 0.000121573 -75 *29672:A *28755:RESET_B 0.000102784 -76 *29678:A *28755:RESET_B 0.000124488 -77 *30415:A *28477:RESET_B 0.000345807 -78 *30438:A *5768:161 0.000183015 -79 *1286:85 *5768:161 2.26132e-05 -80 *1288:127 *28522:SET_B 0.000550194 -81 *1292:81 *28598:RESET_B 0.000131266 -82 *1292:110 *28611:SET_B 6.25775e-05 -83 *1361:68 *28570:SET_B 6.13757e-06 -84 *1361:114 *28611:SET_B 0.000151885 -85 *1393:23 *28755:RESET_B 8.80543e-05 -86 *1519:26 *28755:RESET_B 9.96264e-05 -87 *1551:10 *28476:RESET_B 0.00036968 -88 *1551:10 *5768:161 0.000262504 -89 *1633:16 *28755:RESET_B 0.000102784 -90 *1660:11 *5768:70 0.000381724 -91 *1660:11 *5768:79 0.000397217 -92 *1697:50 *28524:RESET_B 1.77736e-05 -93 *1826:238 *28124:RESET_B 8.79458e-05 -94 *1826:238 *5768:169 0.000148293 -95 *1826:238 *5768:175 0.000143625 -96 *1826:251 *28611:SET_B 0.00010015 -97 *1826:251 *5768:93 2.26327e-05 -98 *2754:28 *28477:RESET_B 7.39316e-05 -99 *2754:34 *28477:RESET_B 2.94666e-05 -100 *2757:21 *28124:RESET_B 2.60969e-05 -101 *2757:21 *5768:169 3.97677e-05 -102 *2757:21 *5768:175 0.000226145 -103 *2763:196 *5768:161 2.09897e-05 -104 *2767:167 *5768:127 8.33853e-05 -105 *2768:107 *5768:143 5.33005e-05 -106 *2768:107 *5768:161 0.00122501 -107 *2771:84 *5768:169 1.17968e-05 -108 *2772:63 *28524:RESET_B 8.98755e-05 -109 *2774:74 *28126:RESET_B 9.65172e-05 -110 *2774:74 *5768:52 0.000259057 -111 *2784:133 *28522:SET_B 0.000149463 -112 *2784:133 *28678:RESET_B 1.39726e-05 -113 *2791:173 *5768:79 6.43196e-05 -114 *2791:199 *5768:79 9.80677e-06 -115 *2794:101 *28524:RESET_B 0.00111577 -116 *2864:328 *28753:RESET_B 0.000422247 -117 *2864:328 *5768:55 0.00105386 -118 *2864:328 *5768:67 0.000135028 -119 *3162:18 *28755:RESET_B 2.75405e-05 -120 *3206:306 *5768:127 0.00029522 -121 *3226:8 *5768:70 0.000379288 -122 *3226:8 *5768:79 0.000407467 -123 *3581:138 *28763:RESET_B 0.000383929 -124 *3581:138 *5768:52 0.000140552 -125 *3599:11 *28476:RESET_B 8.79458e-05 -126 *3600:17 *28678:RESET_B 1.08359e-05 -127 *3600:26 *28678:RESET_B 7.622e-05 -128 *3600:45 *28558:RESET_B 0.00092564 -129 *3600:45 *28598:RESET_B 2.06112e-05 -130 *3600:93 *28678:RESET_B 8.02764e-05 -131 *3686:36 *5768:127 0.000392741 -132 *3686:36 *5768:143 0.000272035 -133 *3704:14 *5768:161 1.94945e-05 -134 *3712:5 *28570:SET_B 0.000110952 -135 *3712:14 *5768:52 9.83378e-05 -136 *3712:72 *28570:SET_B 0.000147374 -137 *3758:7 *5768:52 0.000175892 -138 *3758:25 *28598:RESET_B 0.000329088 -139 *3781:11 *28611:SET_B 0.000151134 -140 *3781:16 *28763:RESET_B 0.000238341 -141 *3808:8 *28476:RESET_B 6.05161e-06 -142 *3822:108 *28763:RESET_B 0.000239485 -143 *3822:108 *5768:127 0.000392741 -144 *3822:108 *5768:143 0.000279563 -145 *3849:11 *28646:RESET_B 4.58194e-05 -146 *3874:91 *5768:169 0.000552189 -147 *3901:5 *28678:RESET_B 5.52302e-05 -148 *3901:27 *28126:RESET_B 0.000363715 -149 *3901:27 *28678:RESET_B 0.000378589 -150 *3901:27 *5768:52 0.000259057 -151 *3924:38 *28524:RESET_B 0.00111822 -152 *3963:21 *5768:161 0.000624778 -153 *3977:7 *5768:175 0.000178847 -154 *3979:19 *28598:RESET_B 7.82342e-05 -155 *4031:18 *28598:RESET_B 0.000124655 -156 *4031:28 *28598:RESET_B 1.67662e-05 -157 *4049:38 *28646:RESET_B 6.72353e-05 -158 *4081:17 *28195:RESET_B 2.47753e-05 -159 *4081:17 *28500:RESET_B 6.05161e-06 -160 *4115:7 *28522:SET_B 5.33005e-05 -161 *4119:7 *28523:SET_B 5.52302e-05 -162 *4119:7 *5768:79 6.23213e-05 -163 *4132:33 *5768:79 0.000107625 -164 *5590:161 *5768:161 0.000177672 -165 *5629:217 *5768:169 1.27784e-05 -166 *5638:136 *28611:SET_B 5.00841e-06 -167 *5638:162 *28570:SET_B 0.000218554 -168 *5640:235 *28126:RESET_B 9.12725e-05 -169 *5646:294 *5768:169 0.00022266 -170 *5656:353 *28195:RESET_B 0.000593517 -171 *5656:353 *28500:RESET_B 0.000172889 -172 *5701:113 *5768:169 0.000375993 -173 *5702:29 *28476:RESET_B 0.000372109 -174 *5708:25 *28611:SET_B 0.000137686 -175 *5748:6 *28611:SET_B 1.58827e-05 -176 *5759:18 *5768:169 0.000127968 -*RES -1 *29048:X *5768:4 9.3 -2 *5768:4 *5768:5 4.5 -3 *5768:5 *28558:RESET_B 21.1339 -4 *28558:RESET_B *28755:RESET_B 29.8036 -5 *5768:5 *28598:RESET_B 14.2465 -6 *28598:RESET_B *5768:52 13.7946 -7 *5768:52 *5768:55 14.8929 -8 *5768:55 *28763:RESET_B 16.3304 -9 *5768:55 *5768:67 1.35714 -10 *5768:67 *5768:70 9.48214 -11 *5768:70 *28729:RESET_B 4.5 -12 *5768:70 *5768:79 14.0179 -13 *5768:79 *28523:SET_B 1.76339 -14 *5768:79 *5768:93 6.14286 -15 *5768:93 *28522:SET_B 11.6875 -16 *28522:SET_B *28678:RESET_B 18.5714 -17 *5768:93 *28611:SET_B 12.5179 -18 *28611:SET_B *5768:127 12.7232 -19 *5768:127 *28570:SET_B 19.9018 -20 *5768:127 *5768:143 8.80357 -21 *5768:143 *28707:SET_B 1.50893 -22 *5768:143 *5768:161 22.9735 -23 *5768:161 *5768:169 14.9107 -24 *5768:169 *5768:175 5.19643 -25 *5768:175 *28124:RESET_B 0.883929 -26 *5768:175 *28524:RESET_B 24.5804 -27 *5768:169 *28476:RESET_B 14.8661 -28 *5768:161 *28500:RESET_B 10.2232 -29 *28500:RESET_B *28195:RESET_B 11.1429 -30 *28195:RESET_B *28477:RESET_B 17.0179 -31 *5768:67 *28753:RESET_B 4.16964 -32 *5768:52 *28126:RESET_B 13.2411 -33 *5768:4 *28646:RESET_B 8.70536 -*END - -*D_NET *5769 0.0346048 -*CONN -*I *28209:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28175:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28200:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28514:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28532:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28174:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28208:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28554:SET_B I *D sky130_fd_sc_hd__dfstp_4 -*I *28638:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28643:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28744:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28502:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28760:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28728:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28737:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28759:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28499:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28498:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28562:SET_B I *D sky130_fd_sc_hd__dfstp_4 -*I *28747:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *29049:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *28209:RESET_B 0.00017297 -2 *28175:RESET_B 0.000148337 -3 *28200:RESET_B 0.000161329 -4 *28514:SET_B 0 -5 *28532:RESET_B 0.000568831 -6 *28174:RESET_B 1.0162e-05 -7 *28208:RESET_B 4.85243e-05 -8 *28554:SET_B 0 -9 *28638:RESET_B 0.000212888 -10 *28643:SET_B 0.000438763 -11 *28744:SET_B 0 -12 *28502:RESET_B 0.000244083 -13 *28760:SET_B 2.79558e-05 -14 *28728:SET_B 5.776e-05 -15 *28737:RESET_B 0.000298727 -16 *28759:SET_B 0.000172703 -17 *28499:SET_B 0.000144093 -18 *28498:SET_B 0.000122043 -19 *28562:SET_B 0.00104691 -20 *28747:RESET_B 0.000237607 -21 *29049:X 3.66101e-05 -22 *5769:187 0.00158517 -23 *5769:172 0.00197731 -24 *5769:163 0.00091949 -25 *5769:152 0.000247832 -26 *5769:149 0.000549927 -27 *5769:144 0.0010895 -28 *5769:135 0.000824839 -29 *5769:126 0.000546269 -30 *5769:114 0.000794212 -31 *5769:108 0.000302317 -32 *5769:65 0.00047143 -33 *5769:57 0.000338717 -34 *5769:55 0.000517889 -35 *5769:53 0.000588067 -36 *5769:45 0.000690738 -37 *5769:44 0.000740502 -38 *5769:32 0.00138159 -39 *5769:7 0.00059005 -40 *28174:RESET_B *25686:A0 2.58856e-05 -41 *28200:RESET_B *28174:D 9.23695e-05 -42 *28200:RESET_B *28208:D 9.25014e-06 -43 *28209:RESET_B *28209:D 0.000113835 -44 *28209:RESET_B *5926:49 5.048e-05 -45 *28532:RESET_B *5832:46 0.000385717 -46 *28532:RESET_B *6258:12 0.000148082 -47 *28532:RESET_B *6280:6 0.000567145 -48 *28562:SET_B *5790:9 2.79421e-05 -49 *28643:SET_B *5905:48 0.000100832 -50 *28737:RESET_B *5795:50 5.6197e-05 -51 *28737:RESET_B *5863:77 0.000203716 -52 *28759:SET_B *5863:77 7.42199e-05 -53 *28760:SET_B *28760:D 2.51935e-05 -54 *5769:32 *5790:9 9.24555e-05 -55 *5769:44 *5881:54 0.000141985 -56 *5769:45 *5770:30 0.000200058 -57 *5769:53 *26915:A1 0.000135028 -58 *5769:53 *5770:30 2.71964e-05 -59 *5769:108 *5770:30 0.000102081 -60 *5769:114 *5770:30 0.000236464 -61 *5769:126 *5770:30 0.000133243 -62 *5769:135 *5770:30 0.000192054 -63 *5769:144 *5770:30 0.00038127 -64 *5769:149 *25740:S 5.66157e-05 -65 *5769:163 *5770:30 0 -66 *5769:172 *25686:A0 1.84523e-06 -67 *5769:172 *28174:D 0.00011674 -68 *5769:187 *25731:A1 6.09824e-05 -69 *5769:187 *28174:D 0.000139913 -70 *5769:187 *6280:6 9.24299e-05 -71 *25687:A1 *28175:RESET_B 0.000122874 -72 *25687:A1 *5769:149 4.78056e-05 -73 *25687:A1 *5769:163 0 -74 *26911:A0 *28747:RESET_B 2.72967e-05 -75 *26911:A1 *5769:44 5.33005e-05 -76 *26933:S *28532:RESET_B 0.000144038 -77 *26974:A1 *5769:144 0 -78 *27128:A0 *5769:114 0.000189863 -79 *27128:A0 *5769:126 0.000136754 -80 *27128:A0 *5769:135 5.01213e-05 -81 *27170:A1 *28728:SET_B 2.59355e-05 -82 *28174:CLK *5769:172 0.000186662 -83 *28174:CLK *5769:187 0 -84 *28176:CLK *28208:RESET_B 9.62151e-05 -85 *28176:CLK *5769:152 0.000167998 -86 *28498:D *28498:SET_B 4.70821e-05 -87 *28514:D *5769:187 0.000125731 -88 *28682:CLK *5769:32 5.66157e-05 -89 *28744:D *5769:32 7.15687e-05 -90 *28759:CLK *28759:SET_B 3.02581e-06 -91 *29099:A *28532:RESET_B 0 -92 *29099:A *5769:187 0 -93 *29286:A *28737:RESET_B 0.000135028 -94 *29456:A *5769:32 0.000224366 -95 *29483:A *28208:RESET_B 0.000136638 -96 *29679:A *5769:144 0.000123295 -97 *29681:A *28200:RESET_B 0.00010326 -98 *29690:A *28502:RESET_B 0.000264539 -99 *30266:A *28638:RESET_B 0.000698729 -100 *30755:A *5769:187 8.95144e-05 -101 *30845:A *28175:RESET_B 5.63359e-05 -102 *56:13 *5769:149 0 -103 *56:13 *5769:152 0.000225616 -104 *56:13 *5769:163 5.01213e-05 -105 *1024:19 *5769:135 6.26559e-05 -106 *1024:19 *5769:144 6.26559e-05 -107 *1060:17 *28638:RESET_B 3.90452e-05 -108 *2764:52 *28532:RESET_B 5.58941e-05 -109 *2770:156 *28532:RESET_B 4.90118e-05 -110 *2780:297 *28643:SET_B 4.03518e-05 -111 *2786:156 *28532:RESET_B 9.51514e-06 -112 *2792:26 *28737:RESET_B 0.000108447 -113 *3601:76 *5769:32 0.000134471 -114 *3602:24 *28200:RESET_B 0.000113815 -115 *3602:89 *5769:144 0.000400867 -116 *3603:62 *28643:SET_B 0.000183344 -117 *3686:27 *28643:SET_B 0.000124525 -118 *3686:27 *28737:RESET_B 0.000227263 -119 *3686:36 *28499:SET_B 0.000375752 -120 *3686:36 *5769:57 3.10885e-05 -121 *3699:13 *5769:32 2.59355e-05 -122 *3822:110 *28175:RESET_B 1.19402e-05 -123 *3822:110 *5769:55 0 -124 *3822:110 *5769:57 0 -125 *3822:110 *5769:149 0.000158251 -126 *3836:7 *28638:RESET_B 0.000312215 -127 *3907:108 *5769:7 0.000140243 -128 *3998:13 *5769:32 0.000103275 -129 *4028:8 *28499:SET_B 0.000373635 -130 *4028:8 *5769:53 0.000116183 -131 *4028:8 *5769:55 0.000423163 -132 *4028:8 *5769:57 0.000323621 -133 *4031:5 *28747:RESET_B 0.000181107 -134 *4050:7 *28759:SET_B 0.000193094 -135 *4076:7 *5769:44 5.75147e-05 -136 *4083:10 *28502:RESET_B 5.11646e-05 -137 *4083:10 *5769:7 4.82354e-05 -138 *4102:12 *28562:SET_B 0.000566622 -139 *4102:12 *28747:RESET_B 0.000169233 -140 *4912:10 *28638:RESET_B 0.00112033 -141 *5443:8 *28175:RESET_B 0 -142 *5462:21 *28209:RESET_B 1.56e-05 -143 *5462:33 *28209:RESET_B 2.07382e-05 -144 *5584:178 *28728:SET_B 3.75322e-05 -145 *5588:19 *5769:172 5.08174e-05 -146 *5690:7 *5769:187 0.000957624 -147 *5704:6 *28562:SET_B 0.000185899 -148 *5704:6 *28747:RESET_B 5.10612e-05 -149 *5708:9 *5769:45 0.000168503 -150 *5708:9 *5769:53 5.5764e-05 -151 *5708:278 *5769:45 0.000235765 -152 *5708:278 *5769:108 0.000200413 -153 *5708:278 *5769:114 0.000103253 -154 *5709:272 *28502:RESET_B 7.22686e-05 -155 *5709:272 *5769:53 6.94777e-05 -156 *5748:6 *5769:135 0 -157 *5748:6 *5769:144 0 -158 *5748:6 *5769:149 2.79092e-05 -*RES -1 *29049:X *5769:7 15.1571 -2 *5769:7 *28747:RESET_B 9.6875 -3 *5769:7 *28562:SET_B 13.2232 -4 *28562:SET_B *5769:32 22.8304 -5 *5769:32 *28498:SET_B 2.33036 -6 *5769:32 *5769:44 12.4286 -7 *5769:44 *5769:45 5.28571 -8 *5769:45 *5769:53 14.7857 -9 *5769:53 *5769:55 5.58929 -10 *5769:55 *5769:57 4.375 -11 *5769:57 *28499:SET_B 9.48214 -12 *5769:57 *5769:65 4.5 -13 *5769:65 *28759:SET_B 3.34821 -14 *5769:65 *28737:RESET_B 16.1071 -15 *5769:55 *28728:SET_B 5.83036 -16 *5769:53 *28760:SET_B 4.97321 -17 *5769:45 *28502:RESET_B 9.50893 -18 *5769:44 *5769:108 2.55357 -19 *5769:108 *28744:SET_B 4.5 -20 *5769:108 *5769:114 6.5 -21 *5769:114 *28643:SET_B 21.4286 -22 *5769:114 *5769:126 3.46429 -23 *5769:126 *28638:RESET_B 15.2411 -24 *5769:126 *5769:135 4.98214 -25 *5769:135 *28554:SET_B 4.5 -26 *5769:135 *5769:144 18.1607 -27 *5769:144 *5769:149 11.125 -28 *5769:149 *5769:152 7.5 -29 *5769:152 *28208:RESET_B 1.70536 -30 *5769:152 *5769:163 10.6429 -31 *5769:163 *28174:RESET_B 0.473214 -32 *5769:163 *5769:172 10.4107 -33 *5769:172 *5769:187 22.1607 -34 *5769:187 *28532:RESET_B 19.6696 -35 *5769:187 *28514:SET_B 4.5 -36 *5769:172 *28200:RESET_B 12.4554 -37 *5769:149 *28175:RESET_B 7.96429 -38 *5769:144 *28209:RESET_B 3.34821 -*END - -*D_NET *5770 0.0171666 -*CONN -*I *25356:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *30708:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25356:A1 0.000389175 -2 *30708:X 0.00104993 -3 *5770:30 0.00223611 -4 *5770:27 0.00265474 -5 *5770:17 0.00185775 -6 *25356:A1 *26915:A1 0.000159236 -7 *25356:A1 *26915:S 5.33005e-05 -8 *25356:A1 *5879:19 1.21258e-05 -9 *25356:A1 *5879:32 0.000218734 -10 *5770:30 *25686:A0 7.32122e-05 -11 *5770:30 *27118:S 1.67455e-05 -12 mgmt_gpio_out[24] *5770:17 0 -13 *25356:A2 *25356:A1 7.00702e-06 -14 *25356:B1 *25356:A1 1.97695e-05 -15 *25687:A1 *5770:30 0 -16 *26911:A0 *25356:A1 0.000178847 -17 *28176:CLK *5770:27 0.000875897 -18 *29459:A *5770:30 0.000315172 -19 *29483:A *5770:30 0.000235925 -20 *30704:A *5770:27 0.000166426 -21 *30708:A *5770:17 0.000789297 -22 *30845:A *5770:27 9.60875e-05 -23 *30846:A *5770:27 0 -24 *56:13 *5770:27 0 -25 *56:13 *5770:30 0 -26 *1180:25 *5770:17 0.000238482 -27 *1180:25 *5770:27 0.00130814 -28 *1225:163 *25356:A1 1.58163e-05 -29 *1835:35 *5770:27 0.000103646 -30 *3848:95 *5770:17 0.000257948 -31 *4076:8 *25356:A1 0.000220455 -32 *4786:21 *5770:17 0.000869054 -33 *4786:21 *5770:27 0.000327202 -34 *5444:8 *5770:27 4.97111e-05 -35 *5446:10 *5770:17 0 -36 *5446:21 *5770:17 0.00035 -37 *5460:35 *5770:17 0.000190689 -38 *5708:9 *5770:30 5.94805e-05 -39 *5709:272 *5770:30 0.000144139 -40 *5725:10 *5770:30 0 -41 *5725:17 *5770:30 0.00030067 -42 *5736:10 *5770:27 5.33334e-05 -43 *5748:6 *5770:30 0 -44 *5769:45 *5770:30 0.000200058 -45 *5769:53 *5770:30 2.71964e-05 -46 *5769:108 *5770:30 0.000102081 -47 *5769:114 *5770:30 0.000236464 -48 *5769:126 *5770:30 0.000133243 -49 *5769:135 *5770:30 0.000192054 -50 *5769:144 *5770:30 0.00038127 -51 *5769:163 *5770:30 0 -*RES -1 *30708:X *5770:17 42.2643 -2 *5770:17 *5770:27 36.8393 -3 *5770:27 *5770:30 46.8214 -4 *5770:30 *25356:A1 26.6571 -*END - -*D_NET *5771 0.0374255 -*CONN -*I *28447:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28508:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28463:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28565:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28762:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28452:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28450:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28451:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28533:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28445:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28446:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28501:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28677:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28548:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28176:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28177:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28210:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28211:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28484:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28745:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *29050:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *28447:RESET_B 0 -2 *28508:RESET_B 0.000195286 -3 *28463:RESET_B 0 -4 *28565:RESET_B 0 -5 *28762:RESET_B 0.000143207 -6 *28452:RESET_B 0.000138939 -7 *28450:RESET_B 0.000261164 -8 *28451:RESET_B 0.000611461 -9 *28533:RESET_B 3.37302e-05 -10 *28445:RESET_B 0.000190367 -11 *28446:RESET_B 4.01317e-05 -12 *28501:RESET_B 0 -13 *28677:RESET_B 0.0006346 -14 *28548:RESET_B 6.48627e-05 -15 *28176:RESET_B 0.000313942 -16 *28177:RESET_B 3.88697e-05 -17 *28210:RESET_B 0.000191671 -18 *28211:RESET_B 2.88132e-05 -19 *28484:RESET_B 0 -20 *28745:RESET_B 0.000239183 -21 *29050:X 0 -22 *5771:187 0.000460795 -23 *5771:157 0.00137908 -24 *5771:142 0.00100228 -25 *5771:139 0.000951936 -26 *5771:127 0.00106364 -27 *5771:116 0.000843478 -28 *5771:107 0.00088108 -29 *5771:91 0.000576578 -30 *5771:81 0.000568075 -31 *5771:77 0.000479659 -32 *5771:75 0.000291124 -33 *5771:50 0.000703901 -34 *5771:39 0.000634633 -35 *5771:30 0.00108263 -36 *5771:18 0.00125243 -37 *5771:8 0.000627883 -38 *5771:4 0.000270832 -39 *28176:RESET_B *28176:D 0.000113903 -40 *28210:RESET_B *28210:D 7.49387e-06 -41 *28211:RESET_B *28211:D 8.94268e-05 -42 *28445:RESET_B *5881:43 0.000376947 -43 *28446:RESET_B *28446:D 2.59355e-05 -44 *28446:RESET_B *6274:8 1.84865e-05 -45 *28450:RESET_B *28177:D 7.94013e-05 -46 *28450:RESET_B *5897:13 0.000222789 -47 *28450:RESET_B *6011:17 8.14759e-05 -48 *28451:RESET_B *6011:17 0 -49 *28452:RESET_B *6262:14 0.000100782 -50 *28508:RESET_B *5863:60 0.000241495 -51 *28533:RESET_B *28533:D 4.27935e-05 -52 *28677:RESET_B *5910:42 0.000265385 -53 *28745:RESET_B *29702:A 0.000169427 -54 *28762:RESET_B *5781:10 0 -55 *28762:RESET_B *6284:17 5.83304e-05 -56 *5771:18 *29702:A 3.63775e-05 -57 *5771:18 *5881:43 3.34366e-05 -58 *5771:30 *5803:10 0 -59 *5771:50 *28177:D 1.91512e-05 -60 *5771:50 *5927:14 4.14422e-05 -61 *5771:75 *5803:10 5.72346e-05 -62 *5771:77 *5803:10 3.00971e-05 -63 *5771:81 *5803:10 6.97231e-05 -64 *5771:91 *25722:A0 0.000140941 -65 *5771:91 *5910:42 0.000197371 -66 *5771:91 *6274:8 2.17809e-05 -67 *5771:107 *28501:D 4.70162e-05 -68 *5771:107 *5795:181 1.97381e-05 -69 *5771:116 *28446:D 9.7497e-05 -70 *5771:116 *6274:8 9.85599e-06 -71 *5771:139 *28746:RESET_B 0.000453073 -72 *5771:139 *5905:48 0.000344232 -73 *5771:157 *6262:14 0.000160516 -74 *5771:187 *27190:A1 0.000170948 -75 *5771:187 *6284:17 0.000565273 -76 *25688:A1 *5771:50 0.000325128 -77 *25689:A1 *28176:RESET_B 3.92854e-05 -78 *25693:A1 *28451:RESET_B 1.94945e-05 -79 *26859:A0 *5771:157 0.000452799 -80 *26950:S *5771:157 5.11241e-05 -81 *26967:A1 *5771:81 7.83366e-05 -82 *27172:A0 *28533:RESET_B 8.79458e-05 -83 *27189:A1 *5771:75 0.000392019 -84 *28177:CLK *5771:50 0 -85 *28210:CLK *28210:RESET_B 4.96155e-05 -86 *28447:CLK *5771:77 8.83295e-05 -87 *28450:CLK *28450:RESET_B 0 -88 *28508:D *28508:RESET_B 2.74795e-05 -89 *28548:D *28508:RESET_B 0.000390641 -90 *28677:CLK *28677:RESET_B 6.86792e-05 -91 *28677:D *28677:RESET_B 5.61015e-05 -92 *28745:D *28745:RESET_B 0.000695895 -93 *28904:A *5771:139 4.58194e-05 -94 *28905:A *5771:139 2.89114e-05 -95 *29062:A *5771:116 0.000176238 -96 *29115:A *5771:75 0.000393943 -97 *29116:A *28745:RESET_B 0.000214528 -98 *29122:A *5771:81 0.000104236 -99 *29123:A *28508:RESET_B 0.000178503 -100 *29157:A *5771:30 6.09476e-05 -101 *29373:A *28450:RESET_B 2.58757e-05 -102 *30160:A *5771:30 2.22674e-05 -103 *30707:A *28176:RESET_B 0.000261304 -104 *59:21 *28450:RESET_B 0 -105 *60:13 *28451:RESET_B 0.000699858 -106 *61:14 *28451:RESET_B 3.03009e-05 -107 *552:14 *28450:RESET_B 8.79856e-06 -108 *554:20 *28451:RESET_B 0.000220922 -109 *889:22 *5771:30 0.000355606 -110 *978:14 *5771:157 0.000224583 -111 *1225:181 *28548:RESET_B 7.57594e-05 -112 *1225:181 *5771:91 0.000163656 -113 *1265:161 *5771:107 0.000328353 -114 *1286:101 *28548:RESET_B 6.34478e-05 -115 *1286:101 *5771:91 1.38323e-05 -116 *1286:103 *5771:91 0.000351156 -117 *2754:34 *5771:107 5.83304e-05 -118 *2760:164 *5771:8 0.000216297 -119 *2764:35 *28677:RESET_B 4.8794e-05 -120 *2764:35 *5771:107 6.05161e-06 -121 *2770:127 *28762:RESET_B 0.000141366 -122 *2770:127 *5771:187 0.000133707 -123 *2780:297 *5771:30 0 -124 *3537:20 *28445:RESET_B 2.59355e-05 -125 *3582:41 *5771:107 0.000332187 -126 *3582:41 *5771:116 0.000797866 -127 *3582:41 *5771:127 0 -128 *3582:90 *5771:127 0 -129 *3582:90 *5771:139 0 -130 *3603:129 *5771:30 0 -131 *3603:136 *5771:30 0 -132 *3603:136 *5771:39 0 -133 *3603:136 *5771:50 0 -134 *3603:164 *28450:RESET_B 0 -135 *3604:7 *5771:139 8.53173e-05 -136 *3604:7 *5771:187 2.97829e-05 -137 *3678:7 *28548:RESET_B 9.90431e-05 -138 *3678:7 *5771:91 8.57023e-05 -139 *3691:8 *5771:18 9.8941e-05 -140 *3691:8 *5771:30 0.000157996 -141 *3691:8 *5771:75 5.35618e-05 -142 *3691:8 *5771:77 8.33307e-05 -143 *3691:8 *5771:81 4.87242e-05 -144 *3835:36 *5771:107 0 -145 *3835:36 *5771:116 0 -146 *3835:36 *5771:127 0.000596089 -147 *3835:36 *5771:139 0.000560449 -148 *3874:91 *5771:8 7.32029e-05 -149 *3874:102 *5771:30 3.4323e-06 -150 *3874:102 *5771:39 4.25716e-05 -151 *3874:102 *5771:50 0.00014482 -152 *3913:16 *5771:142 0.00018899 -153 *3913:16 *5771:157 0.000167687 -154 *3913:21 *5771:187 3.63304e-05 -155 *4043:21 *28211:RESET_B 4.50774e-05 -156 *4094:10 *28508:RESET_B 0.000132716 -157 *4230:17 *28210:RESET_B 0.000105163 -158 *4230:17 *28452:RESET_B 0.000238628 -159 *4230:17 *5771:157 0.0003108 -160 *4854:17 *5771:157 0.00019361 -161 *5172:41 *28177:RESET_B 9.09013e-05 -162 *5588:63 *28450:RESET_B 0 -163 *5680:30 *28451:RESET_B 0.000345563 -164 *5683:29 *28451:RESET_B 0.00091585 -165 *5695:46 *5771:157 0.000251169 -166 *5695:48 *5771:157 0.000120547 -167 *5695:50 *5771:142 0.000190644 -168 *5695:50 *5771:157 2.15339e-05 -169 *5701:9 *5771:30 0.000160877 -170 *5701:29 *5771:30 0.000342296 -171 *5701:83 *5771:18 0.000279724 -172 *5701:83 *5771:30 0.000137224 -173 *5701:85 *5771:18 0.000101545 -174 *5709:272 *28508:RESET_B 3.48497e-05 -175 *5716:132 *28677:RESET_B 0.000466509 -176 *5716:132 *5771:107 0.000235038 -177 *5716:192 *28677:RESET_B 0.000267092 -178 *5716:192 *5771:91 0.00050807 -179 *5759:11 *28176:RESET_B 4.78056e-05 -180 *5759:11 *5771:50 8.79728e-05 -*RES -1 *29050:X *5771:4 9.3 -2 *5771:4 *5771:8 11.8571 -3 *5771:8 *28745:RESET_B 8.27679 -4 *5771:8 *5771:18 10.8393 -5 *5771:18 *28484:RESET_B 4.5 -6 *5771:18 *5771:30 27.2321 -7 *5771:30 *28211:RESET_B 5.38393 -8 *5771:30 *5771:39 1.64286 -9 *5771:39 *28210:RESET_B 7.97321 -10 *5771:39 *5771:50 8.32143 -11 *5771:50 *28177:RESET_B 5.38393 -12 *5771:50 *28176:RESET_B 10.9732 -13 *5771:4 *5771:75 10.5714 -14 *5771:75 *5771:77 3.46429 -15 *5771:77 *5771:81 9.17857 -16 *5771:81 *28548:RESET_B 2.11607 -17 *5771:81 *5771:91 15.9464 -18 *5771:91 *28677:RESET_B 12.5446 -19 *28677:RESET_B *5771:107 24.8929 -20 *5771:107 *28501:RESET_B 4.5 -21 *5771:107 *5771:116 16.7143 -22 *5771:116 *28446:RESET_B 0.901786 -23 *5771:116 *5771:127 12.2143 -24 *5771:127 *28445:RESET_B 8.25893 -25 *5771:127 *5771:139 18.6071 -26 *5771:139 *5771:142 7.05357 -27 *5771:142 *28533:RESET_B 5.38393 -28 *5771:142 *5771:157 27.6696 -29 *5771:157 *28451:RESET_B 13.4455 -30 *28451:RESET_B *28450:RESET_B 14.5804 -31 *5771:157 *28452:RESET_B 7.8125 -32 *5771:139 *5771:187 13.2679 -33 *5771:187 *28762:RESET_B 7.96429 -34 *5771:187 *28565:RESET_B 4.5 -35 *5771:91 *28463:RESET_B 4.5 -36 *5771:77 *28508:RESET_B 10.7232 -37 *5771:75 *28447:RESET_B 4.5 -*END - -*D_NET *5772 0.0359522 -*CONN -*I *28751:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28754:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28564:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28556:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28685:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28693:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28645:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28637:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28746:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *29050:A I *D sky130_fd_sc_hd__buf_8 -*I *28691:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28727:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *28682:SET_B I *D sky130_fd_sc_hd__dfstp_4 -*I *29049:A I *D sky130_fd_sc_hd__buf_8 -*I *29051:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *28751:SET_B 0.000164946 -2 *28754:RESET_B 0 -3 *28564:RESET_B 4.05755e-05 -4 *28556:RESET_B 0.000147803 -5 *28685:RESET_B 5.44909e-05 -6 *28693:RESET_B 0.000332141 -7 *28645:RESET_B 0.000195695 -8 *28637:RESET_B 0.000371937 -9 *28746:RESET_B 0.000223295 -10 *29050:A 0.00026339 -11 *28691:SET_B 1.43364e-05 -12 *28727:SET_B 0 -13 *28682:SET_B 0.000282397 -14 *29049:A 0.000473892 -15 *29051:X 5.57835e-05 -16 *5772:112 0.00119553 -17 *5772:100 0.00118728 -18 *5772:83 0.00167985 -19 *5772:78 0.00153876 -20 *5772:70 0.00074657 -21 *5772:63 0.000770519 -22 *5772:52 0.000621298 -23 *5772:50 0.00143758 -24 *5772:40 0.00175385 -25 *5772:34 0.000629325 -26 *5772:22 0.00144458 -27 *5772:7 0.00145041 -28 *28637:RESET_B *5824:170 4.35436e-05 -29 *28637:RESET_B *6261:17 0.000199086 -30 *28645:RESET_B *28645:D 0.000127657 -31 *28682:SET_B *5879:19 7.02197e-05 -32 *28685:RESET_B *6268:23 2.51837e-05 -33 *28693:RESET_B *5903:11 0.000162121 -34 *28693:RESET_B *5926:66 6.51414e-05 -35 *28751:SET_B *5879:188 3.34366e-05 -36 *28751:SET_B *6247:6 0.000133993 -37 *28751:SET_B *6269:12 0.000101444 -38 *29049:A *5795:19 0.000330552 -39 *29049:A *5914:64 0.000147921 -40 *5772:7 *5795:19 0.000129239 -41 *5772:22 *5795:19 0.000695467 -42 *5772:22 *6247:6 7.38828e-05 -43 *5772:34 *6247:6 0.000193139 -44 *5772:34 *6269:12 0.000127987 -45 *5772:40 *6247:6 0.000255192 -46 *5772:40 *6269:12 0.000121787 -47 *5772:50 *5792:15 0.000796514 -48 *5772:70 *5912:67 3.97677e-05 -49 *5772:83 *5787:9 0.000483707 -50 *5772:83 *6261:17 7.33171e-05 -51 *5772:100 *6261:17 2.57489e-05 -52 *5772:112 *27121:A1 0.000558436 -53 *5772:112 *28645:D 8.43535e-06 -54 *24837:A *5772:50 0.00014285 -55 *27169:A0 *28682:SET_B 0.000436743 -56 *27196:S *5772:50 0.000227638 -57 *27196:S *5772:52 5.64115e-05 -58 *28484:CLK *5772:83 0 -59 *28556:D *28556:RESET_B 8.94268e-05 -60 *28564:D *28564:RESET_B 0.000177052 -61 *28643:D *5772:70 0.000904983 -62 *28691:D *28691:SET_B 2.58757e-05 -63 *29082:A *5772:100 0.000151024 -64 *29104:A *28637:RESET_B 0.000240968 -65 *29104:A *28746:RESET_B 0.000249737 -66 *29113:A *5772:70 0.000119125 -67 *29143:A *28751:SET_B 0.000127446 -68 *29157:A *28556:RESET_B 0.00021916 -69 *29157:A *29050:A 0.000194479 -70 *29157:A *5772:78 0.000292692 -71 *29302:A *5772:22 6.42242e-05 -72 *30160:A *5772:70 0.000169366 -73 *30341:A *28682:SET_B 4.60232e-06 -74 *970:16 *28685:RESET_B 0 -75 *970:16 *5772:112 0 -76 *976:31 *29050:A 1.61399e-05 -77 *978:14 *28685:RESET_B 6.30931e-05 -78 *978:14 *5772:112 0.00021383 -79 *1018:16 *28685:RESET_B 4.85567e-05 -80 *1835:6 *28751:SET_B 0.000108881 -81 *1835:6 *5772:22 0.000397468 -82 *1835:6 *5772:34 0.000273838 -83 *1835:6 *5772:40 0.000384518 -84 *2766:79 *28691:SET_B 4.70821e-05 -85 *2770:134 *5772:83 0 -86 *2779:26 *5772:22 9.54798e-06 -87 *2779:26 *5772:34 3.47819e-05 -88 *2779:26 *5772:83 0 -89 *2779:33 *5772:100 0.000185485 -90 *2779:48 *5772:100 4.00349e-05 -91 *2780:297 *28564:RESET_B 9.39411e-05 -92 *2780:297 *5772:63 2.00751e-05 -93 *2780:297 *5772:70 4.94713e-05 -94 *2794:86 *5772:83 0.00144724 -95 *2794:95 *5772:63 0.000173097 -96 *2794:95 *5772:70 0.000143629 -97 *2794:202 *5772:52 7.23602e-05 -98 *2794:202 *5772:63 0.000469483 -99 *3582:90 *28746:RESET_B 0 -100 *3601:62 *5772:22 0.000124813 -101 *3601:76 *5772:22 8.79776e-05 -102 *3603:35 *28556:RESET_B 4.50774e-05 -103 *3603:58 *5772:63 0 -104 *3835:11 *5772:83 8.15707e-06 -105 *3835:26 *5772:50 0 -106 *3835:26 *5772:52 0 -107 *3835:26 *5772:63 0 -108 *3835:26 *5772:83 0.000350771 -109 *3835:36 *28637:RESET_B 1.53262e-05 -110 *3835:36 *28746:RESET_B 3.51585e-05 -111 *3846:7 *5772:70 9.60939e-05 -112 *3848:7 *28645:RESET_B 5.52302e-05 -113 *3848:13 *5772:100 4.65944e-05 -114 *3874:91 *29050:A 0 -115 *3907:101 *5772:22 9.15842e-06 -116 *3913:16 *5772:112 0.000204428 -117 *3913:106 *28693:RESET_B 0.000520928 -118 *4029:10 *29050:A 0.000148182 -119 *4128:10 *29049:A 0.000146392 -120 *4491:14 *5772:52 0.000121982 -121 *4509:13 *28682:SET_B 0.000735624 -122 *4875:18 *28746:RESET_B 7.91143e-05 -123 *4928:13 *5772:70 0.000157399 -124 *5695:37 *5772:100 9.54536e-05 -125 *5695:37 *5772:112 0.000166426 -126 *5695:43 *5772:112 1.54142e-05 -127 *5701:58 *28693:RESET_B 0.000836729 -128 *5702:16 *28556:RESET_B 1.80461e-05 -129 *5702:18 *28556:RESET_B 8.70877e-05 -130 *5702:18 *5772:78 7.37102e-05 -131 *5702:29 *29050:A 0.000586109 -132 *5702:29 *5772:78 0.000137443 -133 *5702:202 *5772:83 1.97725e-05 -134 *5716:214 *28682:SET_B 2.95642e-05 -135 *5716:215 *28682:SET_B 8.57023e-05 -136 *5716:234 *28682:SET_B 7.36961e-05 -137 *5771:139 *28746:RESET_B 0.000453073 -*RES -1 *29051:X *5772:7 10.9786 -2 *5772:7 *29049:A 25.7107 -3 *5772:7 *5772:22 22.0536 -4 *5772:22 *28682:SET_B 22.8571 -5 *5772:22 *5772:34 7.10714 -6 *5772:34 *28727:SET_B 4.5 -7 *5772:34 *5772:40 9.83929 -8 *5772:40 *5772:50 30.7321 -9 *5772:50 *5772:52 4.98214 -10 *5772:52 *28691:SET_B 4.97321 -11 *5772:52 *5772:63 8.85714 -12 *5772:63 *5772:70 20.5179 -13 *5772:70 *29050:A 21.5143 -14 *5772:70 *5772:78 3.91964 -15 *5772:78 *5772:83 10.5424 -16 *5772:83 *28746:RESET_B 11.4554 -17 *5772:83 *28637:RESET_B 9.10714 -18 *28637:RESET_B *5772:100 13.375 -19 *5772:100 *28645:RESET_B 3.36607 -20 *5772:100 *5772:112 16.6696 -21 *5772:112 *28693:RESET_B 13.5481 -22 *5772:112 *28685:RESET_B 5.85714 -23 *5772:78 *28556:RESET_B 8.39286 -24 *5772:63 *28564:RESET_B 6.20536 -25 *5772:50 *28754:RESET_B 4.5 -26 *5772:40 *28751:SET_B 9.48214 -*END - -*D_NET *5773 0.0341633 -*CONN -*I *28679:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28673:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28573:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28581:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28465:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28583:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28479:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28605:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28509:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28541:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28197:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28597:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28589:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28557:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28493:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28459:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28567:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28458:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28495:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28527:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *29052:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *28679:RESET_B 0.000350061 -2 *28673:RESET_B 0.000158129 -3 *28573:RESET_B 0.000545554 -4 *28581:RESET_B 0.000110478 -5 *28465:RESET_B 0.00012627 -6 *28583:RESET_B 0.000389703 -7 *28479:RESET_B 4.94028e-05 -8 *28605:RESET_B 2.39174e-05 -9 *28509:RESET_B 1.49752e-05 -10 *28541:RESET_B 8.00169e-05 -11 *28197:RESET_B 0.000240718 -12 *28597:RESET_B 0.000127485 -13 *28589:RESET_B 4.15621e-05 -14 *28557:RESET_B 0.000501306 -15 *28493:RESET_B 0 -16 *28459:RESET_B 0.000499312 -17 *28567:RESET_B 0.000239135 -18 *28458:RESET_B 0.000128956 -19 *28495:RESET_B 0.000301716 -20 *28527:RESET_B 0.000108711 -21 *29052:X 0 -22 *5773:173 0.000739425 -23 *5773:167 0.000524462 -24 *5773:166 0.000208352 -25 *5773:164 0.000679455 -26 *5773:155 0.000369525 -27 *5773:146 0.000666944 -28 *5773:131 0.000637432 -29 *5773:120 0.000429669 -30 *5773:109 0.000308816 -31 *5773:100 0.000352759 -32 *5773:55 0.00048743 -33 *5773:53 0.000813083 -34 *5773:49 0.000265994 -35 *5773:46 0.0013726 -36 *5773:23 0.00143814 -37 *5773:20 0.000693888 -38 *5773:6 0.00056421 -39 *5773:5 0.000404828 -40 *28197:RESET_B *28197:D 5.03772e-05 -41 *28495:RESET_B *27099:A 1.856e-05 -42 *28495:RESET_B *5892:25 0.000149911 -43 *28583:RESET_B *28764:RESET_B 0.000232699 -44 *28583:RESET_B *5847:12 9.90819e-06 -45 *28583:RESET_B *6087:19 0 -46 *28597:RESET_B *5795:221 9.73563e-05 -47 *28597:RESET_B *5795:229 1.3701e-05 -48 *28605:RESET_B *25727:A0 9.09013e-05 -49 *28673:RESET_B *28673:D 3.47641e-06 -50 *28673:RESET_B *5847:12 4.62213e-05 -51 *28679:RESET_B *5829:149 0.000199801 -52 *5773:20 *5873:26 9.39621e-05 -53 *5773:23 *5847:12 6.62299e-06 -54 *5773:46 *5911:79 0.000103266 -55 *5773:146 *28197:D 0.000133683 -56 *5773:146 *5800:84 0 -57 *5773:155 *28197:D 0.00045554 -58 *25381:B2 *28458:RESET_B 8.44271e-06 -59 *25381:B2 *5773:53 1.24437e-06 -60 *25381:B2 *5773:55 6.67283e-05 -61 *25381:C1 *5773:20 0.000169769 -62 *25712:A1 *28459:RESET_B 0.000383166 -63 *25712:A1 *28557:RESET_B 9.60939e-05 -64 *25712:S *28567:RESET_B 9.4028e-05 -65 *25727:S *28465:RESET_B 6.0038e-05 -66 *26883:A *28581:RESET_B 6.96405e-05 -67 *26883:A *5773:167 8.33853e-05 -68 *26883:A *5773:173 8.43535e-06 -69 *26988:A0 *28567:RESET_B 0.000219289 -70 *26988:A1 *5773:55 0.000141857 -71 *27004:S *28573:RESET_B 0.000514558 -72 *27004:S *5773:164 0.000250185 -73 *28197:CLK *28197:RESET_B 1.04232e-05 -74 *28479:D *28479:RESET_B 0.000128809 -75 *28479:D *5773:173 0.000329865 -76 *28567:CLK *28567:RESET_B 0.000150563 -77 *28573:CLK *28573:RESET_B 6.45693e-05 -78 *28573:D *28573:RESET_B 8.92098e-05 -79 *28581:CLK *5773:173 5.7661e-06 -80 *28581:D *5773:167 6.05161e-06 -81 *28581:D *5773:173 2.59355e-05 -82 *28583:CLK *28583:RESET_B 5.54183e-05 -83 *28605:D *28465:RESET_B 6.10829e-05 -84 *28764:D *28583:RESET_B 8.77493e-05 -85 *28914:A *5773:46 0.000397244 -86 *29081:A *5773:20 7.57312e-05 -87 *30212:A *28679:RESET_B 8.65688e-05 -88 *30357:A *5773:23 3.16901e-05 -89 *30839:A *28589:RESET_B 5.33005e-05 -90 *30839:A *5773:109 0.000125724 -91 *30876:A *28495:RESET_B 4.90054e-05 -92 *30876:A *28557:RESET_B 0.000426978 -93 *30876:A *5773:46 0.000346474 -94 *792:24 *28597:RESET_B 3.67419e-05 -95 *826:27 *28673:RESET_B 0.000109295 -96 *826:27 *5773:20 5.33005e-05 -97 *826:27 *5773:23 3.17148e-05 -98 *890:10 *28509:RESET_B 4.70821e-05 -99 *1269:154 *28495:RESET_B 0.000260574 -100 *1269:154 *5773:46 0.000219711 -101 *1269:154 *5773:100 2.10324e-05 -102 *1288:194 *5773:173 0.000199987 -103 *2764:89 *28573:RESET_B 0.000175892 -104 *2770:17 *28567:RESET_B 5.33005e-05 -105 *2770:18 *28458:RESET_B 0.000100661 -106 *2771:22 *28495:RESET_B 0.000112777 -107 *2772:14 *28583:RESET_B 0.000191574 -108 *2772:27 *28583:RESET_B 0.000147807 -109 *2772:152 *5773:49 5.74562e-05 -110 *2772:152 *5773:53 0.000344372 -111 *2773:46 *28458:RESET_B 0.000119895 -112 *2773:46 *5773:53 0.000197715 -113 *2773:46 *5773:55 0.000280748 -114 *2773:60 *5773:49 0.000123288 -115 *2773:60 *5773:53 7.85436e-05 -116 *2774:244 *5773:146 5.21336e-05 -117 *2775:82 *5773:23 0.000132556 -118 *2776:212 *5773:100 0.000354217 -119 *2776:212 *5773:109 0.000331523 -120 *2776:212 *5773:120 0.000137224 -121 *2776:212 *5773:131 0.000279615 -122 *3335:14 *28527:RESET_B 0.000175584 -123 *3335:14 *5773:20 0.000219289 -124 *3338:8 *28679:RESET_B 2.78563e-05 -125 *3612:84 *5773:173 4.58194e-05 -126 *3612:94 *28479:RESET_B 6.26774e-05 -127 *3612:94 *5773:173 0.000301827 -128 *3613:20 *28673:RESET_B 0.000301209 -129 *3613:20 *5773:23 0.000277378 -130 *3692:29 *5773:20 0.00136223 -131 *3707:13 *28567:RESET_B 0.000137561 -132 *3718:5 *28573:RESET_B 1.55885e-05 -133 *3718:147 *28573:RESET_B 0.000379641 -134 *3718:147 *5773:146 0.000137457 -135 *3718:147 *5773:155 0.000630887 -136 *3718:147 *5773:164 0.000319073 -137 *3731:18 *28581:RESET_B 0.000103977 -138 *3731:18 *5773:167 0.000128409 -139 *3731:18 *5773:173 8.54e-05 -140 *3733:51 *5773:46 7.80714e-06 -141 *3744:5 *28589:RESET_B 4.50774e-05 -142 *3744:20 *28673:RESET_B 4.22135e-06 -143 *3744:20 *28679:RESET_B 0.00020619 -144 *3744:20 *5773:6 2.11419e-05 -145 *3757:13 *28597:RESET_B 0.000219711 -146 *3796:176 *28573:RESET_B 2.40107e-05 -147 *3800:69 *28527:RESET_B 2.67767e-05 -148 *3800:69 *28679:RESET_B 0.000515506 -149 *3800:69 *5773:6 6.19675e-05 -150 *3811:15 *28583:RESET_B 7.53238e-05 -151 *4147:20 *28541:RESET_B 6.72353e-05 -152 *4147:20 *28679:RESET_B 5.64118e-05 -153 *4147:95 *28557:RESET_B 0.000266092 -154 *4147:95 *5773:100 0.00037089 -155 *4147:95 *5773:109 0.000113868 -156 *4147:95 *5773:120 7.40992e-05 -157 *4147:95 *5773:131 0.000128224 -158 *4206:29 *28605:RESET_B 4.73514e-05 -159 *4214:20 *28567:RESET_B 4.70821e-05 -160 *4512:14 *28527:RESET_B 5.31158e-05 -161 *4512:14 *28679:RESET_B 0.00029908 -162 *4512:14 *5773:6 0.000147708 -163 *4512:14 *5773:20 4.80378e-05 -164 *5586:122 *28557:RESET_B 0.000268521 -165 *5588:130 *28573:RESET_B 0.000111218 -166 *5589:135 *28465:RESET_B 0.000169428 -167 *5607:115 *28495:RESET_B 2.58757e-05 -168 *5669:317 *28583:RESET_B 0 -169 *5670:13 *5773:131 1.21365e-05 -170 *5681:14 *5773:100 1.24381e-05 -171 *5696:26 *5773:155 9.65171e-05 -172 *5696:26 *5773:164 3.60349e-05 -173 *5696:39 *28509:RESET_B 4.70821e-05 -174 *5696:77 *5773:23 1.90936e-05 -*RES -1 *29052:X *5773:5 13.8 -2 *5773:5 *5773:6 1.94643 -3 *5773:6 *28527:RESET_B 6.9375 -4 *5773:6 *5773:20 22.2679 -5 *5773:20 *5773:23 8.26786 -6 *5773:23 *28495:RESET_B 14.5446 -7 *5773:23 *5773:46 23.3214 -8 *5773:46 *5773:49 6.14286 -9 *5773:49 *5773:53 5.21429 -10 *5773:53 *5773:55 3.76786 -11 *5773:55 *28458:RESET_B 7.02679 -12 *5773:55 *28567:RESET_B 10.3125 -13 *5773:53 *28459:RESET_B 10.8661 -14 *5773:49 *28493:RESET_B 4.5 -15 *5773:46 *28557:RESET_B 16.6964 -16 *28557:RESET_B *5773:100 10.3661 -17 *5773:100 *28589:RESET_B 5.38393 -18 *5773:100 *5773:109 4.375 -19 *5773:109 *28597:RESET_B 7.86607 -20 *5773:109 *5773:120 1.94643 -21 *5773:120 *28197:RESET_B 7.86607 -22 *5773:120 *5773:131 3.76786 -23 *5773:131 *28541:RESET_B 5.79464 -24 *5773:131 *5773:146 9.45438 -25 *5773:146 *28509:RESET_B 4.97321 -26 *5773:146 *5773:155 8.32143 -27 *5773:155 *28605:RESET_B 5.38393 -28 *5773:155 *5773:164 4.375 -29 *5773:164 *5773:166 4.5 -30 *5773:166 *5773:167 2.58929 -31 *5773:167 *5773:173 8.48214 -32 *5773:173 *28479:RESET_B 1.29464 -33 *5773:173 *28583:RESET_B 17.0179 -34 *5773:167 *28465:RESET_B 12.5179 -35 *5773:166 *28581:RESET_B 2.54464 -36 *5773:164 *28573:RESET_B 16.4375 -37 *5773:20 *28673:RESET_B 8.57143 -38 *5773:5 *28679:RESET_B 15.3036 -*END - -*D_NET *5774 0.0419169 -*CONN -*I *28505:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28503:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28613:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28764:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28732:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28196:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28713:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28125:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28607:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28127:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *29052:A I *D sky130_fd_sc_hd__buf_8 -*I *28599:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28591:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28525:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28669:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28543:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28687:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *29053:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *28505:RESET_B 0.0001732 -2 *28503:RESET_B 6.08846e-05 -3 *28613:RESET_B 0.000290799 -4 *28764:RESET_B 0.000290536 -5 *28732:RESET_B 7.40902e-05 -6 *28196:RESET_B 0.000674577 -7 *28713:RESET_B 6.5802e-05 -8 *28125:RESET_B 2.97986e-05 -9 *28607:RESET_B 0.000509447 -10 *28127:RESET_B 1.33249e-05 -11 *29052:A 0.000768619 -12 *28599:RESET_B 3.20675e-05 -13 *28591:RESET_B 2.39174e-05 -14 *28525:RESET_B 0.000188173 -15 *28669:RESET_B 0.000495913 -16 *28543:RESET_B 1.98797e-05 -17 *28687:RESET_B 0.000667866 -18 *29053:X 0.000598376 -19 *5774:207 0.000301421 -20 *5774:185 0.00144932 -21 *5774:177 0.00115668 -22 *5774:164 0.00112064 -23 *5774:146 0.00162027 -24 *5774:137 0.000248008 -25 *5774:128 0.000516992 -26 *5774:88 0.00178267 -27 *5774:62 0.000374936 -28 *5774:51 0.00117556 -29 *5774:49 0.000732908 -30 *5774:44 0.00141892 -31 *5774:37 0.00101967 -32 *5774:24 0.000295946 -33 *5774:22 0.000494979 -34 *28196:RESET_B *25724:A0 0 -35 *28196:RESET_B *25725:A0 7.83659e-05 -36 *28196:RESET_B *28196:D 6.42095e-05 -37 *28196:RESET_B *5905:16 0 -38 *28196:RESET_B *5914:18 7.69776e-06 -39 *28196:RESET_B *5914:27 0.000361013 -40 *28505:RESET_B *28505:D 3.02581e-06 -41 *28613:RESET_B *5876:16 0.000351162 -42 *28669:RESET_B *5795:206 0.000327562 -43 *28764:RESET_B *5847:12 0.000595437 -44 *5774:22 *5905:68 0.00010413 -45 *5774:51 *5795:206 8.48807e-05 -46 *5774:128 *5905:68 0.000412876 -47 *5774:185 *5876:16 0.000899665 -48 *25054:A2 *28505:RESET_B 0.000257061 -49 *25054:A2 *5774:185 0.000397846 -50 *25054:A2 *5774:207 0.000136149 -51 *25194:A2 *28591:RESET_B 4.73514e-05 -52 *25629:A1 *28196:RESET_B 0.000117533 -53 *25629:A1 *5774:164 0.000386945 -54 *25725:A1 *28196:RESET_B 8.58445e-05 -55 *25725:S *28196:RESET_B 6.32129e-05 -56 *25725:S *28713:RESET_B 5.61874e-05 -57 *25725:S *5774:146 3.13225e-05 -58 *26889:A1 *28764:RESET_B 1.90936e-05 -59 *26916:A1 *5774:177 0.000467849 -60 *26961:A1 *5774:128 8.71921e-05 -61 *27015:A0 *28525:RESET_B 0.000229329 -62 *27015:A0 *5774:62 0.000250998 -63 *27024:A0 *5774:88 0.000679754 -64 *27123:A1 *28607:RESET_B 0.000125547 -65 *27123:A1 *5774:37 0.000136951 -66 *27742:B1 *28687:RESET_B 1.33343e-05 -67 *27773:B2 *5774:164 0 -68 *27779:B1 *28599:RESET_B 1.47846e-05 -69 *27779:B1 *5774:49 9.60939e-05 -70 *27779:C1 *5774:44 0.000165822 -71 *27779:C1 *5774:49 7.5779e-05 -72 *28127:D *28127:RESET_B 2.29097e-05 -73 *28127:D *29052:A 0.000136199 -74 *28127:D *5774:88 8.55871e-05 -75 *28196:CLK *28196:RESET_B 0.000128809 -76 *28503:D *28503:RESET_B 4.27935e-05 -77 *28583:RESET_B *28764:RESET_B 0.000232699 -78 *28591:D *28591:RESET_B 9.09013e-05 -79 *28599:D *5774:51 3.61629e-06 -80 *28607:CLK *28607:RESET_B 0.00013612 -81 *28607:D *28607:RESET_B 5.49544e-05 -82 *28613:CLK *28613:RESET_B 3.29169e-05 -83 *28613:D *28613:RESET_B 0.000113761 -84 *28669:CLK *28669:RESET_B 0.000333128 -85 *28687:D *28687:RESET_B 0.000194122 -86 *28732:CLK *28196:RESET_B 0.000295707 -87 *28764:D *28764:RESET_B 8.94203e-05 -88 *29064:A *5774:185 0.00038527 -89 *30198:A *28525:RESET_B 0.000216945 -90 *30582:A *5774:177 0.00018117 -91 *540:15 *28764:RESET_B 4.50675e-05 -92 *798:11 *28613:RESET_B 0.000401751 -93 *798:11 *5774:185 0.000135899 -94 *1288:152 *28543:RESET_B 4.70821e-05 -95 *1288:152 *5774:37 0.000297855 -96 *1293:181 *5774:37 0.00036974 -97 *1697:44 *28607:RESET_B 4.85033e-05 -98 *1826:226 *28607:RESET_B 4.13496e-05 -99 *2759:31 *28764:RESET_B 0.000160301 -100 *2763:169 *28687:RESET_B 0.000894548 -101 *2769:176 *5774:185 0.000764672 -102 *2771:57 *28732:RESET_B 0.000344515 -103 *2771:57 *5774:164 7.62298e-05 -104 *2771:57 *5774:177 4.08637e-05 -105 *2774:15 *5774:88 8.18277e-05 -106 *2774:244 *29052:A 3.82242e-05 -107 *2775:99 *5774:88 8.2607e-05 -108 *2775:109 *5774:88 7.17525e-05 -109 *2791:45 *5774:146 4.4931e-05 -110 *3215:309 *28687:RESET_B 0.000376253 -111 *3320:18 *5774:44 0.000344041 -112 *3320:18 *5774:49 7.40571e-05 -113 *3582:121 *5774:128 0.000108511 -114 *3607:13 *28543:RESET_B 1.39711e-05 -115 *3607:13 *5774:37 7.84272e-05 -116 *3607:26 *5774:62 5.33072e-05 -117 *3607:29 *28669:RESET_B 0.00059044 -118 *3692:29 *29052:A 0.000168217 -119 *3694:63 *28607:RESET_B 2.22618e-05 -120 *3718:47 *5774:177 0.000260574 -121 *3718:63 *28196:RESET_B 1.61405e-05 -122 *3731:18 *28613:RESET_B 4.85033e-05 -123 *3731:18 *5774:185 2.42516e-05 -124 *3731:39 *5774:164 1.95772e-05 -125 *3733:31 *5774:44 7.62355e-05 -126 *3770:11 *28732:RESET_B 0.000344515 -127 *3770:11 *5774:177 0.000159404 -128 *3811:15 *28764:RESET_B 9.05388e-05 -129 *3811:44 *29052:A 9.6748e-05 -130 *3915:9 *28607:RESET_B 5.52302e-05 -131 *3915:9 *5774:37 0.000135028 -132 *3967:18 *5774:22 3.71496e-05 -133 *3967:18 *5774:128 0.000172205 -134 *3969:14 *5774:44 0.000105521 -135 *3978:21 *28125:RESET_B 7.17832e-05 -136 *3978:21 *5774:128 3.6572e-05 -137 *3978:21 *5774:137 7.77751e-05 -138 *3978:109 *5774:137 6.57914e-05 -139 *3980:20 *29052:A 5.33005e-05 -140 *3980:46 *29052:A 9.41642e-05 -141 *4006:11 *5774:164 0.000165877 -142 *4058:15 *5774:185 0.000155278 -143 *4058:23 *5774:185 0.000351785 -144 *4085:16 *28505:RESET_B 0.00013538 -145 *4085:16 *5774:185 0.000195623 -146 *4085:16 *5774:207 7.10647e-05 -147 *4137:16 *5774:164 0.000122174 -148 *4149:10 *5774:37 4.87854e-05 -149 *5582:183 *5774:37 0.00036974 -150 *5586:74 *5774:185 0.00100526 -151 *5627:237 *5774:49 2.05612e-05 -152 *5627:237 *5774:51 8.70757e-05 -153 *5638:195 *28713:RESET_B 0.00012026 -154 *5638:195 *5774:146 0.000190805 -155 *5639:200 *28687:RESET_B 0.000107126 -156 *5641:211 *28505:RESET_B 3.47717e-05 -157 *5644:106 *28687:RESET_B 0.000177821 -158 *5650:352 *28525:RESET_B 8.04753e-05 -159 *5650:352 *5774:62 6.36607e-05 -160 *5651:357 *29052:A 0.000502541 -161 *5655:264 *28125:RESET_B 0.000134714 -162 *5655:264 *5774:137 0.000254042 -163 *5663:222 *28687:RESET_B 5.25491e-05 -164 *5668:50 *28687:RESET_B 0.000373823 -165 *5682:44 *28196:RESET_B 3.47641e-06 -166 *5682:63 *28196:RESET_B 7.22199e-05 -167 *5683:103 *5774:146 0.000212201 -168 *5683:106 *28525:RESET_B 8.47011e-05 -169 *5716:133 *28505:RESET_B 0.000169673 -*RES -1 *29053:X *28687:RESET_B 35.1304 -2 *28687:RESET_B *5774:22 6.14286 -3 *5774:22 *5774:24 4.5 -4 *5774:24 *28543:RESET_B 0.473214 -5 *5774:24 *5774:37 18.3393 -6 *5774:37 *5774:44 10.4464 -7 *5774:44 *5774:49 7.30357 -8 *5774:49 *5774:51 5.875 -9 *5774:51 *28669:RESET_B 9.9375 -10 *5774:51 *5774:62 7.96429 -11 *5774:62 *28525:RESET_B 9.78571 -12 *5774:62 *28591:RESET_B 5.38393 -13 *5774:49 *28599:RESET_B 0.669643 -14 *5774:44 *5774:88 18.7321 -15 *5774:88 *29052:A 31.9607 -16 *5774:88 *28127:RESET_B 0.473214 -17 *5774:37 *28607:RESET_B 8.5625 -18 *5774:22 *5774:128 14.3393 -19 *5774:128 *28125:RESET_B 1.29464 -20 *5774:128 *5774:137 7.08929 -21 *5774:137 *28713:RESET_B 6.14286 -22 *5774:137 *5774:146 8.26786 -23 *5774:146 *28196:RESET_B 22.6696 -24 *5774:146 *5774:164 21.1964 -25 *5774:164 *28732:RESET_B 3.34821 -26 *5774:164 *5774:177 11.75 -27 *5774:177 *5774:185 32.6429 -28 *5774:185 *28764:RESET_B 17.9018 -29 *5774:185 *28613:RESET_B 8.00893 -30 *5774:177 *5774:207 1.94643 -31 *5774:207 *28503:RESET_B 5.38393 -32 *5774:207 *28505:RESET_B 9.66964 -*END - -*D_NET *5775 0.0335981 -*CONN -*I *28711:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28748:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28639:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28688:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28453:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28454:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28641:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28750:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28559:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28455:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28456:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28457:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28536:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28749:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28504:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28758:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28535:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28537:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28709:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28464:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *29054:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *28711:RESET_B 4.50096e-05 -2 *28748:RESET_B 0.000187278 -3 *28639:RESET_B 8.41664e-05 -4 *28688:RESET_B 7.06578e-05 -5 *28453:RESET_B 0.000180078 -6 *28454:RESET_B 0.00107784 -7 *28641:RESET_B 5.98023e-05 -8 *28750:RESET_B 0 -9 *28559:RESET_B 5.62055e-05 -10 *28455:RESET_B 0.000506352 -11 *28456:RESET_B 0.000707035 -12 *28457:RESET_B 0 -13 *28536:RESET_B 0.000348524 -14 *28749:RESET_B 0 -15 *28504:RESET_B 0 -16 *28758:RESET_B 0.000440818 -17 *28535:RESET_B 4.96224e-05 -18 *28537:RESET_B 0.000108206 -19 *28709:RESET_B 1.17928e-05 -20 *28464:RESET_B 0.000156932 -21 *29054:X 8.13499e-05 -22 *5775:171 0.00149739 -23 *5775:166 0.000894435 -24 *5775:160 0.000477881 -25 *5775:128 0.00112954 -26 *5775:124 0.00107518 -27 *5775:109 0.000664935 -28 *5775:103 0.00051863 -29 *5775:78 0.00062681 -30 *5775:77 0.000911671 -31 *5775:63 0.000830584 -32 *5775:60 0.00101013 -33 *5775:50 0.000620639 -34 *5775:41 0.000448835 -35 *5775:32 0.000603499 -36 *5775:23 0.000517562 -37 *5775:21 0.000670942 -38 *5775:6 0.000852893 -39 *28453:RESET_B *28454:D 0.000125877 -40 *28453:RESET_B *6285:21 2.90184e-05 -41 *28454:RESET_B *5830:16 4.05174e-06 -42 *28454:RESET_B *6268:23 5.60382e-05 -43 *28455:RESET_B *28455:D 3.02581e-06 -44 *28455:RESET_B *28456:D 0.00022346 -45 *28455:RESET_B *6242:23 4.15257e-05 -46 *28456:RESET_B *28456:D 0.00013324 -47 *28464:RESET_B *5820:85 3.67419e-05 -48 *28535:RESET_B *5881:33 8.94203e-05 -49 *28536:RESET_B *6270:11 0.000128809 -50 *28537:RESET_B *28537:D 8.71192e-05 -51 *28559:RESET_B *28987:A 0.000217929 -52 *28639:RESET_B *5814:10 8.94561e-05 -53 *28639:RESET_B *5814:12 2.09897e-05 -54 *28688:RESET_B *6222:14 7.58949e-05 -55 *28709:RESET_B *28709:D 3.71789e-06 -56 *28748:RESET_B *27150:A1 7.31839e-05 -57 *28758:RESET_B *27192:S 0.000261851 -58 *28758:RESET_B *28758:D 0.000139331 -59 *28758:RESET_B *5829:221 4.86156e-05 -60 *5775:21 *25724:A0 5.42715e-05 -61 *5775:21 *26872:S 2.13687e-05 -62 *5775:21 *27150:A1 5.65539e-05 -63 *5775:21 *5921:8 5.47643e-05 -64 *5775:23 *27150:A1 4.75956e-06 -65 *5775:41 *28709:D 0.000123295 -66 *5775:41 *5814:12 0.000748651 -67 *5775:50 *5814:12 0.00019384 -68 *5775:63 *5776:23 0.000195257 -69 *5775:77 *5776:23 0.000245901 -70 *5775:78 *27192:S 0.00012626 -71 *5775:78 *5912:28 0.000269137 -72 *5775:103 *5912:28 0.00019817 -73 *5775:103 *6221:16 1.00375e-05 -74 *5775:109 *6221:16 6.65302e-05 -75 *5775:128 *6217:20 0.000188118 -76 *5775:128 *6223:17 8.34232e-05 -77 *5775:128 *6224:18 0 -78 *5775:160 *6222:14 1.76135e-05 -79 *5775:166 *6218:16 4.18834e-05 -80 *5775:166 *6222:14 0.000287572 -81 *24827:A *5775:128 3.78698e-05 -82 *24832:A *5775:160 0 -83 *26857:A1 *28454:RESET_B 0.000437756 -84 *26864:A0 *28454:RESET_B 1.75989e-05 -85 *26952:S *5775:78 6.09572e-05 -86 *26979:A0 *5775:124 5.69689e-05 -87 *26979:A0 *5775:128 0 -88 *27069:S *28454:RESET_B 3.10052e-05 -89 *27069:S *28641:RESET_B 3.42067e-05 -90 *27126:A *5775:32 1.0562e-05 -91 *27192:A0 *28748:RESET_B 0.000139022 -92 *27192:A1 *28748:RESET_B 0.000124404 -93 *28454:CLK *28453:RESET_B 9.71197e-05 -94 *28559:D *28559:RESET_B 0.000113845 -95 *28738:CLK *5775:109 0 -96 *29154:A *5775:128 3.43886e-05 -97 *29229:A *28758:RESET_B 0.000131967 -98 *29229:A *5775:78 8.71955e-05 -99 *29424:A *5775:128 0 -100 *29870:A *28464:RESET_B 2.6269e-05 -101 *29870:A *5775:21 4.60232e-06 -102 *29912:A *5775:128 3.71496e-05 -103 *29955:A *5775:171 0 -104 *29956:A *28454:RESET_B 0.000136881 -105 *29965:A *28454:RESET_B 0.000268403 -106 *29966:A *28536:RESET_B 0.000130739 -107 *29967:A *5775:171 0.000268189 -108 *30574:A *28688:RESET_B 0.000148499 -109 *30574:A *5775:160 0.000351957 -110 *30574:A *5775:166 0.000607225 -111 *30895:A *28456:RESET_B 0 -112 *30895:A *5775:128 0 -113 *785:21 *28453:RESET_B 0.000434038 -114 *786:13 *28455:RESET_B 0.000520189 -115 *787:14 *28454:RESET_B 7.29712e-05 -116 *790:22 *28456:RESET_B 0.000205067 -117 *1225:203 *28709:RESET_B 5.048e-05 -118 *1225:203 *5775:21 1.90936e-05 -119 *1225:203 *5775:32 0.000452137 -120 *2756:66 *28453:RESET_B 4.75875e-05 -121 *2766:32 *5775:60 0.000654903 -122 *2769:187 *5775:109 0 -123 *2769:209 *28454:RESET_B 6.30931e-05 -124 *2769:209 *28536:RESET_B 0 -125 *2769:209 *5775:171 0.000281695 -126 *2779:48 *28454:RESET_B 7.57819e-06 -127 *2779:48 *5775:171 5.93202e-05 -128 *2793:14 *5775:32 3.1521e-05 -129 *2794:69 *5775:109 2.04825e-05 -130 *3538:75 *5775:32 7.32159e-05 -131 *3608:14 *5775:32 1.94945e-05 -132 *3609:45 *28455:RESET_B 0.000158902 -133 *3609:82 *5775:109 0.000112346 -134 *3609:82 *5775:124 3.63304e-05 -135 *3609:82 *5775:128 3.34366e-05 -136 *3837:11 *5775:60 4.84641e-05 -137 *3837:20 *5775:60 9.2749e-05 -138 *3837:20 *5775:160 1.12323e-05 -139 *3887:97 *28453:RESET_B 0.000139559 -140 *3900:99 *5775:60 2.7236e-05 -141 *3900:99 *5775:160 5.79628e-05 -142 *3916:18 *28535:RESET_B 1.94879e-05 -143 *3926:29 *5775:171 0.000140489 -144 *3930:32 *5775:128 0 -145 *3965:10 *28709:RESET_B 1.39726e-05 -146 *3965:10 *5775:32 6.96405e-05 -147 *3965:130 *5775:32 0.00014478 -148 *4004:16 *28639:RESET_B 9.55563e-05 -149 *4004:16 *5775:41 4.63862e-05 -150 *4033:8 *5775:103 3.6572e-05 -151 *4056:53 *28454:RESET_B 0.000457806 -152 *4056:53 *28641:RESET_B 5.70914e-05 -153 *4136:8 *5775:60 9.25014e-06 -154 *4137:14 *5775:78 0 -155 *4829:13 *28535:RESET_B 4.80435e-05 -156 *4829:13 *5775:60 9.46929e-05 -157 *4925:25 *28455:RESET_B 0.000104716 -158 *4925:25 *28456:RESET_B 0.000101944 -159 *4925:25 *5775:128 6.00873e-05 -160 *5451:23 *28454:RESET_B 9.03204e-05 -161 *5462:55 *28454:RESET_B 0.000153398 -162 *5584:194 *5775:32 1.95404e-05 -163 *5669:296 *28464:RESET_B 5.33005e-05 -164 *5669:296 *5775:6 0.000141447 -165 *5669:296 *5775:21 8.44271e-06 -166 *5676:307 *28454:RESET_B 8.44656e-05 -167 *5680:52 *28536:RESET_B 2.04825e-05 -168 *5680:52 *5775:128 0 -169 *5680:66 *28748:RESET_B 0 -170 *5682:11 *5775:60 0.0001863 -171 *5682:26 *5775:60 0.000187951 -172 *5682:44 *28748:RESET_B 0.000110234 -173 *5682:44 *5775:6 4.0509e-05 -174 *5682:44 *5775:21 0.00032936 -175 *5682:44 *5775:23 8.23597e-06 -176 *5682:254 *5775:78 1.46731e-05 -177 *5682:264 *28536:RESET_B 1.90936e-05 -178 *5682:264 *5775:78 5.7836e-06 -179 *5682:264 *5775:103 0.000108735 -180 *5682:264 *5775:109 0 -181 *5683:32 *28453:RESET_B 6.87975e-06 -182 *5683:32 *28454:RESET_B 0 -183 *5683:43 *5775:41 0.000536187 -184 *5683:43 *5775:50 0.00018459 -185 *5701:58 *5775:128 8.16656e-05 -186 *5709:327 *28758:RESET_B 0.000180207 -*RES -1 *29054:X *5775:6 15.7464 -2 *5775:6 *28464:RESET_B 6.75893 -3 *5775:6 *5775:21 10.6786 -4 *5775:21 *5775:23 0.276786 -5 *5775:23 *5775:32 16.0417 -6 *5775:32 *28709:RESET_B 0.473214 -7 *5775:32 *5775:41 14.3393 -8 *5775:41 *28537:RESET_B 6.20536 -9 *5775:41 *5775:50 2.55357 -10 *5775:50 *5775:60 20.7232 -11 *5775:60 *5775:63 4.24973 -12 *5775:63 *28535:RESET_B 9.07071 -13 *5775:63 *5775:77 4.538 -14 *5775:77 *5775:78 5.13393 -15 *5775:78 *28758:RESET_B 12.6875 -16 *5775:78 *28504:RESET_B 4.5 -17 *5775:77 *5775:103 6.33036 -18 *5775:103 *28749:RESET_B 4.5 -19 *5775:103 *5775:109 4.83036 -20 *5775:109 *28536:RESET_B 13.3708 -21 *5775:109 *5775:124 1.79464 -22 *5775:124 *5775:128 15.6429 -23 *5775:128 *28457:RESET_B 4.5 -24 *5775:128 *28456:RESET_B 8.95536 -25 *28456:RESET_B *28455:RESET_B 10.7321 -26 *5775:124 *28559:RESET_B 6.61607 -27 *5775:60 *5775:160 4.83036 -28 *5775:160 *28750:RESET_B 4.5 -29 *5775:160 *5775:166 8.16964 -30 *5775:166 *5775:171 8.52453 -31 *5775:171 *28641:RESET_B 5.99107 -32 *5775:171 *28454:RESET_B 32.3839 -33 *28454:RESET_B *28453:RESET_B 15.1161 -34 *5775:166 *28688:RESET_B 6.59821 -35 *5775:50 *28639:RESET_B 7.05357 -36 *5775:23 *28748:RESET_B 9.33036 -37 *5775:21 *28711:RESET_B 5.03571 -*END - -*D_NET *5776 0.0369459 -*CONN -*I *28697:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28730:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28738:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28756:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28173:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28207:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28172:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28206:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28205:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28171:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28204:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28170:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28766:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28742:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28740:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *29054:A I *D sky130_fd_sc_hd__buf_8 -*I *29055:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *28697:RESET_B 0.000503134 -2 *28730:RESET_B 0.000177689 -3 *28738:RESET_B 5.53876e-05 -4 *28756:RESET_B 0.000220007 -5 *28173:RESET_B 0.000378867 -6 *28207:RESET_B 2.57537e-05 -7 *28172:RESET_B 9.79533e-05 -8 *28206:RESET_B 0 -9 *28205:RESET_B 0 -10 *28171:RESET_B 6.35278e-05 -11 *28204:RESET_B 5.17219e-05 -12 *28170:RESET_B 0.000222658 -13 *28766:RESET_B 1.44051e-05 -14 *28742:RESET_B 0.000279824 -15 *28740:RESET_B 7.55746e-05 -16 *29054:A 0.000409636 -17 *29055:X 0 -18 *5776:167 0.00118666 -19 *5776:142 0.000383016 -20 *5776:124 0.000609368 -21 *5776:115 0.000551549 -22 *5776:109 0.00077798 -23 *5776:79 0.000597831 -24 *5776:70 0.000593905 -25 *5776:65 0.000254027 -26 *5776:64 0.00163903 -27 *5776:47 0.00208023 -28 *5776:38 0.00153219 -29 *5776:37 0.00103702 -30 *5776:23 0.00225719 -31 *5776:20 0.00297693 -32 *5776:4 0.00137918 -33 *28697:RESET_B *5905:18 0.000683049 -34 *28730:RESET_B *6271:17 0.00043072 -35 *28730:RESET_B *6278:11 4.20368e-05 -36 *28766:RESET_B *5892:6 6.27272e-06 -37 *29054:A *28464:D 0.000348207 -38 *29054:A *29055:A 9.60939e-05 -39 *29054:A *5911:43 6.09762e-05 -40 *5776:20 *28464:D 6.71854e-05 -41 *5776:20 *28711:D 0 -42 *5776:20 *5829:207 4.41167e-05 -43 *5776:20 *6201:11 6.0015e-05 -44 *5776:64 *5847:8 6.57648e-05 -45 *5776:65 *28171:D 0.000201878 -46 *5776:70 *28171:D 0.000386342 -47 *5776:109 *28171:D 0.000100831 -48 *5776:167 *26986:A1 1.02504e-05 -49 *5776:167 *5905:18 7.55759e-05 -50 *5776:167 *6271:17 0.000354098 -51 *24830:A *28697:RESET_B 0 -52 *24841:A *28170:RESET_B 0.000111585 -53 *25679:A0 *28204:RESET_B 2.20058e-05 -54 *25679:A1 *28204:RESET_B 9.60875e-05 -55 *25683:A0 *28207:RESET_B 4.85567e-05 -56 *25736:A1 *28170:RESET_B 0.000219592 -57 *25736:A1 *5776:79 0.000148196 -58 *26946:A *29054:A 2.89843e-05 -59 *27181:A1 *28756:RESET_B 0.00018372 -60 *27183:A1 *5776:64 9.60939e-05 -61 *28172:D *5776:115 1.02504e-05 -62 *28172:D *5776:124 0.000185297 -63 *28173:CLK *28173:RESET_B 0 -64 *28756:CLK *28756:RESET_B 2.89114e-05 -65 *28756:D *28756:RESET_B 0.000107311 -66 *28766:CLK *5776:64 0.00126787 -67 *28910:A *5776:38 7.5317e-05 -68 *28910:A *5776:142 1.20719e-05 -69 *29329:A *29054:A 5.68783e-05 -70 *29416:A *28756:RESET_B 0.000119125 -71 *29594:A *28172:RESET_B 7.48091e-05 -72 *29594:A *5776:109 0 -73 *29594:A *5776:115 0.000120236 -74 *29603:A *28170:RESET_B 5.66157e-05 -75 *29603:A *28204:RESET_B 2.59355e-05 -76 *29603:A *5776:79 0.000520986 -77 *29745:A *5776:64 0.000438788 -78 *29813:A *28738:RESET_B 0.00014576 -79 *29813:A *5776:142 7.90803e-05 -80 *29927:A *28742:RESET_B 5.51649e-05 -81 *29975:A *28697:RESET_B 0 -82 *29975:A *28730:RESET_B 0.000230475 -83 *29979:A *28730:RESET_B 0.000391706 -84 *30574:A *5776:20 3.54919e-05 -85 *30574:A *5776:167 0.000117792 -86 *30586:A *5776:38 6.42145e-05 -87 *1026:19 *28697:RESET_B 4.85567e-05 -88 *1082:20 *28738:RESET_B 0.000137224 -89 *1082:20 *28740:RESET_B 0.000135983 -90 *1082:20 *5776:142 0.000197984 -91 *1292:153 *29054:A 7.69776e-06 -92 *2764:30 *29054:A 0.000123939 -93 *2764:30 *5776:20 0.000137983 -94 *2769:187 *28740:RESET_B 9.59532e-06 -95 *2792:181 *28742:RESET_B 0.000505046 -96 *2792:181 *5776:38 3.36969e-05 -97 *3582:55 *5776:38 8.99641e-05 -98 *3582:72 *28756:RESET_B 0.000343251 -99 *3582:72 *5776:142 0 -100 *3608:14 *29054:A 0.000376167 -101 *3608:21 *29054:A 0.000123288 -102 *3608:59 *5776:20 0.000135659 -103 *3608:66 *5776:20 6.03863e-05 -104 *3608:66 *5776:167 1.28166e-05 -105 *3608:78 *5776:167 3.71496e-05 -106 *3608:89 *5776:167 0 -107 *3609:11 *28697:RESET_B 2.51837e-05 -108 *3611:57 *5776:109 0.000334053 -109 *3611:57 *5776:115 0 -110 *3611:72 *5776:115 0 -111 *3611:79 *28173:RESET_B 0 -112 *3611:79 *5776:115 0 -113 *3611:79 *5776:124 0 -114 *3783:150 *5776:65 0.000191789 -115 *3783:150 *5776:70 0.000388002 -116 *3783:150 *5776:109 0.000712841 -117 *3837:20 *5776:20 9.01143e-05 -118 *3926:18 *28697:RESET_B 0 -119 *3926:29 *28697:RESET_B 0 -120 *3930:32 *28740:RESET_B 1.94945e-05 -121 *3939:104 *28697:RESET_B 0 -122 *4004:46 *28697:RESET_B 2.07158e-05 -123 *4004:46 *5776:167 0 -124 *4019:14 *28742:RESET_B 0 -125 *4019:14 *5776:38 0 -126 *4019:14 *5776:142 0 -127 *4136:8 *5776:20 0 -128 *4226:23 *5776:124 1.08359e-05 -129 *4604:6 *5776:79 0.00014209 -130 *4817:18 *28730:RESET_B 0.000228558 -131 *4817:18 *5776:167 0 -132 *4828:11 *5776:38 2.34204e-05 -133 *5187:15 *28740:RESET_B 0.000262178 -134 *5296:20 *28697:RESET_B 1.03859e-05 -135 *5439:10 *28171:RESET_B 9.22489e-05 -136 *5439:10 *5776:79 1.00887e-05 -137 *5440:9 *28172:RESET_B 7.00171e-06 -138 *5441:10 *28173:RESET_B 0.000327767 -139 *5441:10 *5776:124 0.000240342 -140 *5461:32 *28170:RESET_B 3.65015e-05 -141 *5461:32 *28173:RESET_B 0 -142 *5461:32 *5776:79 0.000256442 -143 *5461:32 *5776:109 0 -144 *5461:32 *5776:115 0 -145 *5461:32 *5776:124 0 -146 *5588:93 *28173:RESET_B 0 -147 *5588:101 *5776:109 0.000517174 -148 *5588:105 *5776:79 0.000165922 -149 *5588:105 *5776:109 4.23086e-05 -150 *5680:77 *29054:A 0.000117416 -151 *5682:44 *5776:20 0.000748234 -152 *5683:96 *5776:20 0.000106224 -153 *5696:11 *5776:64 6.6289e-05 -154 *5716:133 *28170:RESET_B 5.33005e-05 -155 *5716:141 *28170:RESET_B 3.17148e-05 -156 *5716:174 *28170:RESET_B 6.91157e-05 -157 *5775:63 *5776:23 0.000195257 -158 *5775:77 *5776:23 0.000245901 -*RES -1 *29055:X *5776:4 9.3 -2 *5776:4 *29054:A 28.9429 -3 *5776:4 *5776:20 25.2589 -4 *5776:20 *5776:23 6.33967 -5 *5776:23 *28740:RESET_B 10.7136 -6 *5776:23 *5776:37 4.538 -7 *5776:37 *5776:38 4.98214 -8 *5776:38 *28742:RESET_B 11.1518 -9 *5776:38 *5776:47 5.25867 -10 *5776:47 *28766:RESET_B 8.18679 -11 *5776:47 *5776:64 29.311 -12 *5776:64 *5776:65 2.55357 -13 *5776:65 *5776:70 10.8393 -14 *5776:70 *5776:79 15.7321 -15 *5776:79 *28170:RESET_B 10.3661 -16 *5776:79 *28204:RESET_B 5.79464 -17 *5776:70 *28171:RESET_B 1.29464 -18 *5776:65 *28205:RESET_B 4.5 -19 *5776:64 *5776:109 18.0714 -20 *5776:109 *28206:RESET_B 4.5 -21 *5776:109 *5776:115 4.98214 -22 *5776:115 *28172:RESET_B 6.20536 -23 *5776:115 *5776:124 4.98214 -24 *5776:124 *28207:RESET_B 4.97321 -25 *5776:124 *28173:RESET_B 11.1875 -26 *5776:37 *5776:142 3.00893 -27 *5776:142 *28756:RESET_B 10.8661 -28 *5776:142 *28738:RESET_B 6.44643 -29 *5776:20 *5776:167 17.9732 -30 *5776:167 *28730:RESET_B 12.0982 -31 *5776:167 *28697:RESET_B 14.2054 -*END - -*D_NET *5777 0.114772 -*CONN -*I *28628:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *29053:A I *D sky130_fd_sc_hd__buf_6 -*I *28695:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28631:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28655:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *29047:A I *D sky130_fd_sc_hd__buf_8 -*I *28629:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28572:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *29055:A I *D sky130_fd_sc_hd__buf_6 -*I *28676:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28580:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *29051:A I *D sky130_fd_sc_hd__buf_6 -*I *29048:A I *D sky130_fd_sc_hd__buf_8 -*I *29045:A I *D sky130_fd_sc_hd__buf_4 -*I *29044:A I *D sky130_fd_sc_hd__buf_8 -*I *29056:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *28628:RESET_B 3.91741e-06 -2 *29053:A 0.00128784 -3 *28695:RESET_B 5.26591e-05 -4 *28631:RESET_B 0 -5 *28655:RESET_B 0 -6 *29047:A 0 -7 *28629:RESET_B 0.00307838 -8 *28572:RESET_B 0 -9 *29055:A 0.000166551 -10 *28676:RESET_B 0.000363079 -11 *28580:RESET_B 9.48235e-05 -12 *29051:A 0 -13 *29048:A 3.61152e-05 -14 *29045:A 2.31766e-05 -15 *29044:A 9.74568e-05 -16 *29056:X 0.000534331 -17 *5777:259 0.00126707 -18 *5777:247 0.00252138 -19 *5777:240 0.00208049 -20 *5777:223 0.00139188 -21 *5777:215 0.0012405 -22 *5777:208 0.00308415 -23 *5777:178 0.00405779 -24 *5777:160 0.0023651 -25 *5777:131 0.00341185 -26 *5777:111 0.00176858 -27 *5777:103 0.00298242 -28 *5777:94 0.00171959 -29 *5777:86 0.0044941 -30 *5777:82 0.0013498 -31 *5777:59 0.00121893 -32 *5777:53 0.00373928 -33 *5777:46 0.00196638 -34 *5777:32 0.00281357 -35 *5777:9 0.0025968 -36 *29053:A *5829:68 6.72288e-05 -37 *29053:A *5829:75 0.00110469 -38 *29053:A *5829:149 0.000107157 -39 *29055:A *27148:A1 0.000178847 -40 *29055:A *28464:D 0.000114843 -41 *5777:32 *24960:A 0.000358623 -42 *5777:32 *6269:12 0.00273715 -43 *5777:46 *6269:12 0.000110498 -44 *5777:82 *5810:115 9.0145e-05 -45 *5777:82 *6269:12 0.000158357 -46 *5777:94 *5795:19 0.00022674 -47 *5777:94 *6269:12 0.000346926 -48 *5777:160 *5829:68 0.000177119 -49 *5777:160 *5926:68 0.00120868 -50 *5777:178 *28558:D 0.000371628 -51 *5777:215 *5829:76 0.000129512 -52 *5777:215 *5926:72 0.000143321 -53 *5777:223 *5809:22 0.000375989 -54 *5777:223 *5926:72 4.6387e-05 -55 *5777:240 *5905:110 5.00194e-05 -56 *25065:A1 *5777:247 2.26327e-05 -57 *25065:B2 *5777:247 0.00140876 -58 *25085:A1 *5777:53 0.000202317 -59 *25085:A1 *5777:82 6.57603e-05 -60 *25085:C1 *5777:53 0.000143415 -61 *25141:B1 *5777:53 0.000335503 -62 *25314:B2 *29048:A 4.58194e-05 -63 *25314:B2 *5777:82 6.26276e-05 -64 *25344:A1 *29044:A 5.33005e-05 -65 *25345:D *29044:A 2.89016e-05 -66 *27019:S *5777:9 5.94839e-05 -67 *27057:S *28629:RESET_B 0.0003197 -68 *27087:A1 *5777:247 0.00017177 -69 *27087:A1 *5777:259 9.51899e-05 -70 *27112:S *28676:RESET_B 0.000164272 -71 *27169:S *5777:94 0.000936595 -72 *27191:A1 *5777:94 2.06178e-05 -73 *27400:B2 *5777:53 0.000105924 -74 *27415:A1 *5777:46 0.000386918 -75 *27417:B1 *5777:46 1.81709e-05 -76 *27422:A2 *5777:240 0.000120211 -77 *27742:A1 *29053:A 9.20274e-05 -78 *27749:A1 *5777:53 0.000212912 -79 *27749:B2 *5777:53 0.000484322 -80 *27752:A2 *29045:A 8.03949e-05 -81 *28477:CLK *5777:131 2.44318e-05 -82 *28477:D *5777:131 0.000193932 -83 *28477:D *5777:160 0.000208595 -84 *28558:RESET_B *5777:178 3.61785e-05 -85 *28580:D *5777:131 6.57032e-05 -86 *28598:D *5777:82 0.000181919 -87 *28628:CLK *5777:178 0.000111851 -88 *28629:CLK *28629:RESET_B 0.00063576 -89 *28646:RESET_B *29048:A 9.41642e-05 -90 *28646:RESET_B *5777:82 0.000135028 -91 *28651:CLK *5777:32 0.000562155 -92 *28651:CLK *5777:46 0.000120189 -93 *28655:D *5777:259 0.000239268 -94 *28676:CLK *5777:131 0.000117553 -95 *28678:D *5777:86 0.000292708 -96 *28755:RESET_B *5777:178 2.78595e-05 -97 *29054:A *29055:A 9.60939e-05 -98 *29678:A *5777:178 0.000104379 -99 *30028:A *29053:A 9.02021e-05 -100 *30228:A *5777:247 0.000219711 -101 *30229:A *5777:259 0.00010537 -102 *1225:119 *5777:46 0.00109979 -103 *1246:111 *5777:247 1.50087e-05 -104 *1256:135 *5777:53 6.82769e-05 -105 *1260:73 *5777:32 0.000221129 -106 *1260:81 *5777:32 0.0001326 -107 *1265:112 *5777:32 0.000182622 -108 *1270:75 *5777:259 0.000156145 -109 *1293:149 *5777:208 0.00103639 -110 *1406:8 *5777:46 0.00144621 -111 *1494:22 *29044:A 9.34324e-05 -112 *1494:22 *5777:9 0.000771301 -113 *1506:17 *5777:215 4.44376e-05 -114 *1506:17 *5777:223 5.83906e-05 -115 *1660:48 *5777:9 0.000133066 -116 *1663:16 *29044:A 5.31101e-05 -117 *1696:10 *5777:94 0.000506137 -118 *1835:6 *5777:94 3.97273e-05 -119 *1853:19 *5777:103 0 -120 *1853:38 *5777:160 0.000492285 -121 *1862:82 *5777:94 0 -122 *2754:28 *28676:RESET_B 0.000162443 -123 *2757:21 *5777:131 5.87854e-05 -124 *2761:53 *28629:RESET_B 0.000149826 -125 *2761:53 *5777:208 0.00052103 -126 *2764:30 *29055:A 0.000556103 -127 *2764:30 *5777:160 0.000126409 -128 *2767:167 *28676:RESET_B 0.000381395 -129 *2768:107 *5777:103 0.000251754 -130 *2771:104 *5777:53 0.000230886 -131 *2771:111 *5777:53 0.000153054 -132 *2775:174 *29044:A 0 -133 *2781:119 *5777:32 0.000612156 -134 *2784:112 *5777:178 0.000175892 -135 *2786:38 *5777:208 4.00349e-05 -136 *2788:97 *5777:103 0.000120873 -137 *2788:105 *5777:86 9.59517e-05 -138 *2788:105 *5777:103 0.000525635 -139 *2788:168 *5777:160 0.00120777 -140 *2791:163 *29053:A 2.56091e-05 -141 *2791:233 *5777:103 0 -142 *2792:26 *5777:94 0.000233265 -143 *2794:101 *5777:103 0.000104127 -144 *2794:101 *5777:111 0.000125717 -145 *2848:369 *5777:223 0.000204854 -146 *3153:320 *5777:131 2.57487e-05 -147 *3206:304 *28580:RESET_B 8.94268e-05 -148 *3215:196 *5777:259 1.84504e-05 -149 *3215:199 *5777:259 0.00208176 -150 *3215:305 *5777:223 6.59103e-06 -151 *3291:17 *5777:215 0.000170372 -152 *3540:23 *5777:9 0.000133066 -153 *3552:44 *5777:223 0.00095247 -154 *3552:44 *5777:240 0.000971869 -155 *3596:49 *5777:32 0.000101444 -156 *3597:18 *5777:46 6.057e-07 -157 *3667:55 *29044:A 6.09762e-05 -158 *3667:65 *5777:32 0.00122894 -159 *3668:34 *5777:259 4.83813e-05 -160 *3678:18 *28580:RESET_B 0.000148705 -161 *3678:18 *5777:111 0.000230233 -162 *3678:47 *28629:RESET_B 4.00349e-05 -163 *3718:79 *5777:160 0.000271334 -164 *3768:19 *5777:32 0.0001326 -165 *3783:41 *5777:160 0.000335352 -166 *3798:15 *5777:259 0.00278024 -167 *3809:7 *5777:160 0.000178847 -168 *3822:7 *5777:208 7.02611e-05 -169 *3822:104 *28628:RESET_B 1.48678e-05 -170 *3822:104 *28629:RESET_B 0.000141229 -171 *3822:104 *5777:178 0.000165682 -172 *3824:12 *5777:247 0.000190689 -173 *3847:87 *28629:RESET_B 0.000154498 -174 *3913:26 *5777:208 4.18834e-05 -175 *3915:37 *29053:A 3.64468e-05 -176 *3915:37 *5777:215 7.70696e-05 -177 *3924:38 *5777:103 0.000115084 -178 *3924:38 *5777:111 0.000125731 -179 *3940:28 *5777:53 0.000386349 -180 *3991:38 *5777:215 0.000156354 -181 *3991:38 *5777:223 4.19535e-05 -182 *3998:17 *5777:82 0.00112638 -183 *3998:25 *5777:53 0.000624319 -184 *3998:25 *5777:59 0.000890737 -185 *3998:41 *5777:53 0.000465755 -186 *4017:44 *28676:RESET_B 0.000198546 -187 *4030:27 *5777:160 7.50757e-05 -188 *4031:28 *5777:53 0.000448165 -189 *4081:17 *28580:RESET_B 0.000145411 -190 *4081:17 *5777:111 0.000238769 -191 *4081:34 *28629:RESET_B 4.00349e-05 -192 *4082:33 *5777:160 6.41086e-06 -193 *4094:20 *28629:RESET_B 0.000152415 -194 *4095:46 *5777:208 4.58194e-05 -195 *4133:133 *5777:9 0.000120158 -196 *4147:46 *29053:A 0.000321391 -197 *4147:46 *5777:215 0.000365331 -198 *4204:12 *28676:RESET_B 0.000198546 -199 *4952:14 *5777:32 0.000371482 -200 *4970:17 *28580:RESET_B 3.02581e-06 -201 *5584:131 *5777:32 6.50389e-05 -202 *5584:131 *5777:46 0.00032126 -203 *5584:163 *5777:59 0.00089482 -204 *5584:163 *5777:86 0.000381386 -205 *5584:269 *5777:208 0.00100414 -206 *5585:23 *5777:32 0.000451143 -207 *5589:74 *5777:82 0.000121284 -208 *5589:74 *5777:86 0.00103035 -209 *5589:74 *5777:94 0 -210 *5590:87 *5777:32 8.34439e-05 -211 *5590:87 *5777:46 0.000215448 -212 *5595:57 *5777:240 8.55871e-05 -213 *5614:30 *5777:259 2.04825e-05 -214 *5627:251 *5777:160 6.54325e-05 -215 *5629:217 *5777:160 0 -216 *5629:229 *5777:160 0 -217 *5632:161 *29045:A 8.20488e-05 -218 *5638:162 *5777:111 0.00122303 -219 *5644:94 *5777:223 0.000938063 -220 *5644:94 *5777:240 0.00109813 -221 *5646:294 *5777:131 0.000216755 -222 *5646:294 *5777:160 7.43174e-05 -223 *5647:116 *5777:208 4.88132e-05 -224 *5647:175 *5777:208 2.51343e-06 -225 *5650:329 *5777:247 0.00158677 -226 *5655:238 *29053:A 0.00139686 -227 *5658:113 *5777:247 0.000209592 -228 *5658:113 *5777:259 0.000303568 -229 *5658:124 *5777:247 5.41794e-05 -230 *5660:189 *5777:247 0.000176806 -231 *5680:209 *5777:259 5.6876e-05 -232 *5680:213 *5777:259 0.000434061 -233 *5692:31 *28695:RESET_B 2.58757e-05 -234 *5695:124 *29053:A 2.90006e-05 -235 *5702:38 *28629:RESET_B 8.07268e-05 -236 *5709:254 *5777:94 0 -237 *5709:263 *5777:94 0.000169047 -238 *5725:24 *5777:82 0.0013823 -239 *5725:24 *5777:94 0.000811192 -240 *5729:188 *5777:94 4.02956e-05 -241 *5764:11 *29044:A 4.18305e-05 -242 *5764:11 *5777:9 9.43092e-05 -243 *5767:29 *5777:259 6.62099e-05 -*RES -1 *29056:X *5777:9 20.3849 -2 *5777:9 *29044:A 18.7847 -3 *5777:9 *5777:32 34.0695 -4 *5777:32 *29045:A 14.608 -5 *5777:32 *5777:46 35.1071 -6 *5777:46 *5777:53 37.1607 -7 *5777:53 *5777:59 21.9107 -8 *5777:59 *29048:A 10.2464 -9 *5777:59 *5777:82 37.1964 -10 *5777:82 *5777:86 17.2198 -11 *5777:86 *5777:94 43.8743 -12 *5777:94 *29051:A 9.3 -13 *5777:86 *5777:103 9.56034 -14 *5777:103 *5777:111 25.8393 -15 *5777:111 *28580:RESET_B 7.33036 -16 *5777:111 *5777:131 18.0536 -17 *5777:131 *28676:RESET_B 21.2471 -18 *5777:131 *5777:160 48.4748 -19 *5777:160 *29055:A 14.7643 -20 *5777:103 *28572:RESET_B 4.5 -21 *5777:53 *5777:178 28.9196 -22 *5777:178 *28629:RESET_B 38.4608 -23 *28629:RESET_B *5777:208 26.8463 -24 *5777:208 *5777:215 10.5773 -25 *5777:215 *5777:223 20.7471 -26 *5777:223 *5777:240 31.2271 -27 *5777:240 *5777:247 41.5714 -28 *5777:247 *5777:259 47.7493 -29 *5777:259 *29047:A 13.8 -30 *5777:247 *28655:RESET_B 4.5 -31 *5777:223 *28631:RESET_B 4.5 -32 *5777:215 *28695:RESET_B 5.40179 -33 *5777:208 *29053:A 26.0955 -34 *5777:178 *28628:RESET_B 0.267857 -*END - -*D_NET *5778 0.161492 -*CONN -*I *29038:A I *D sky130_fd_sc_hd__buf_8 -*I *29017:A I *D sky130_fd_sc_hd__buf_6 -*I *29027:A I *D sky130_fd_sc_hd__buf_4 -*I *29056:A I *D sky130_fd_sc_hd__buf_12 -*I *29042:A I *D sky130_fd_sc_hd__buf_8 -*I *28735:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28743:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28706:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *29043:A I *D sky130_fd_sc_hd__buf_6 -*I *29041:A I *D sky130_fd_sc_hd__buf_8 -*I *29021:A I *D sky130_fd_sc_hd__buf_6 -*I *29057:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *29038:A 0.00102616 -2 *29017:A 0 -3 *29027:A 0.000191418 -4 *29056:A 0 -5 *29042:A 1.49752e-05 -6 *28735:SET_B 0.000804439 -7 *28743:SET_B 0 -8 *28706:SET_B 0.000845426 -9 *29043:A 0 -10 *29041:A 5.77819e-05 -11 *29021:A 0 -12 *29057:X 0 -13 *5778:261 0.00401674 -14 *5778:245 0.00477748 -15 *5778:219 0.00117641 -16 *5778:190 0.00153698 -17 *5778:181 0.00410146 -18 *5778:149 0.00348015 -19 *5778:142 0.00533664 -20 *5778:126 0.00192789 -21 *5778:123 0.00174511 -22 *5778:122 0.00240604 -23 *5778:113 0.00163508 -24 *5778:109 0.00155897 -25 *5778:103 0.00204906 -26 *5778:99 0.00192572 -27 *5778:94 0.00535473 -28 *5778:80 0.00325236 -29 *5778:51 0.00102675 -30 *5778:49 0.00241088 -31 *5778:47 0.00398886 -32 *5778:41 0.00176939 -33 *5778:39 0.00168753 -34 *5778:37 0.00249057 -35 *5778:31 0.00339297 -36 *5778:16 0.00271952 -37 *5778:11 0.00153693 -38 *5778:4 0.00201783 -39 *28706:SET_B *5833:191 0.00199484 -40 *28735:SET_B *5930:11 0 -41 *5778:49 *24903:B 2.37761e-05 -42 *5778:49 *30781:A 0.00021192 -43 *5778:49 *5807:8 0.000129868 -44 *5778:49 *5850:10 5.62546e-05 -45 *5778:80 *28296:D 0.000349092 -46 *5778:99 *5890:42 9.2831e-05 -47 *5778:103 *5890:42 0.00014716 -48 *5778:109 *28372:D 0.000321745 -49 *5778:109 *5878:14 0.000331071 -50 *5778:113 *5878:14 0.000419282 -51 *5778:122 *28243:D 0.000431504 -52 *5778:123 *25565:B 4.66203e-05 -53 *5778:123 *27970:B 6.65398e-05 -54 *5778:123 *6036:52 0.000266619 -55 *5778:123 *6036:59 0.00245888 -56 *5778:123 *6036:64 0.000906553 -57 *5778:123 *6376:39 1.09232e-05 -58 *5778:123 *6376:41 0.00080512 -59 *5778:123 *6376:175 0.000214837 -60 *5778:123 *6378:22 0.000231256 -61 *5778:142 *25595:A0 2.65105e-05 -62 *5778:142 *25597:A0 2.55587e-05 -63 *5778:181 *25075:A 0.000880666 -64 *5778:190 *6457:DIODE 6.43196e-05 -65 *5778:190 *25247:A1 0.000140088 -66 *5778:190 *5892:81 0.00145499 -67 *5778:219 *29057:A 0.000563423 -68 *5778:219 *6014:19 0.00027032 -69 *5778:245 *5980:14 0.000624548 -70 *5778:261 *6385:56 0.000197245 -71 *5778:261 *6385:70 0.000229044 -72 pll90_sel[0] *5778:245 7.69776e-06 -73 pll_trim[15] *29038:A 0.000612338 -74 pll_trim[1] *5778:245 0.000227532 -75 pll_trim[6] *5778:261 0.000399848 -76 pll_trim[8] *5778:261 9.3111e-05 -77 *24869:B *5778:49 0.000384209 -78 *24869:B *5778:80 0 -79 *24961:B *5778:49 0.000184978 -80 *25091:A2 *5778:94 2.89114e-05 -81 *25091:B1 *5778:99 4.78056e-05 -82 *25187:B2 *5778:94 6.74279e-05 -83 *25247:B1 *5778:190 0.000230759 -84 *25247:C1 *5778:190 5.74499e-06 -85 *25299:A1 *5778:245 0 -86 *25329:C1 *5778:103 0.00017052 -87 *25430:B *5778:49 0.00023247 -88 *25497:B *5778:122 5.33005e-05 -89 *25500:A2 *5778:123 0.000306637 -90 *25565:A *5778:123 0.000480448 -91 *25672:S *5778:39 0.000146474 -92 *25759:A *5778:31 0.00010682 -93 *25764:A0 *5778:31 0.000988451 -94 *25803:A0 *5778:49 0 -95 *25825:A0 *5778:47 0.000132617 -96 *25871:A1 *5778:39 3.52562e-05 -97 *25871:S *5778:39 0 -98 *26258:C *29041:A 9.71197e-05 -99 *26258:C *5778:80 0.000269428 -100 *26814:A0 *5778:219 0.000139215 -101 *26817:B *5778:245 6.09762e-05 -102 *27508:A1 *5778:37 0 -103 *27910:B2 *5778:94 0.000509385 -104 *27970:A *5778:123 0 -105 *27971:A2 *5778:123 3.34687e-05 -106 *28072:RESET_B *5778:142 0.000137515 -107 *28075:D *5778:142 0.000286318 -108 *28076:RESET_B *28735:SET_B 0 -109 *28108:CLK *5778:261 0.000425325 -110 *28112:CLK *29038:A 9.90367e-05 -111 *28115:CLK *5778:245 5.14497e-05 -112 *28115:CLK *5778:261 0.000121315 -113 *28229:SET_B *5778:31 0 -114 *28237:RESET_B *5778:47 0.000219114 -115 *28243:CLK *29042:A 5.33005e-05 -116 *28243:CLK *5778:122 9.67754e-05 -117 *28243:RESET_B *29042:A 4.08637e-05 -118 *28243:RESET_B *5778:122 9.84673e-05 -119 *28305:CLK *5778:49 8.91726e-05 -120 *28307:CLK *5778:49 1.55475e-05 -121 *28307:SET_B *5778:80 2.81497e-05 -122 *28309:RESET_B *5778:49 0.000401529 -123 *28325:RESET_B *5778:39 5.48488e-05 -124 *28332:D *5778:49 0.000309329 -125 *28361:CLK *5778:113 0.000362538 -126 *28387:SET_B *5778:39 3.48139e-05 -127 *28850:A *5778:122 0.000410943 -128 *28852:A *5778:94 0.00116549 -129 *28884:A *5778:123 0 -130 *29004:A *28735:SET_B 0 -131 *29097:A *28735:SET_B 4.79842e-05 -132 *29101:A *28706:SET_B 9.96264e-05 -133 *29101:A *5778:149 5.55935e-05 -134 *29166:A *5778:31 0.000126439 -135 *29175:A *5778:219 0.000278361 -136 *29175:A *5778:245 0.000232288 -137 *29231:A *5778:31 0.000146444 -138 *29290:A *5778:39 0.000122221 -139 *29845:A *5778:113 0.000317602 -140 *30316:A *28706:SET_B 0.000128154 -141 *30432:A *5778:47 0.000126258 -142 *30732:A *5778:219 0.000115013 -143 *30918:A *5778:219 9.90431e-05 -144 *30939:A *29038:A 0.00105393 -145 *30944:A *5778:245 5.33005e-05 -146 *30957:A *5778:261 1.21289e-05 -147 *473:13 *5778:261 0.000113073 -148 *701:29 *5778:219 0.000168416 -149 *757:12 *5778:219 0.000719559 -150 *1235:116 *5778:190 0.000144038 -151 *1242:76 *5778:181 0.00134579 -152 *1242:88 *5778:190 0.000548115 -153 *1360:95 *5778:181 0.000243715 -154 *1360:101 *5778:181 0.000722389 -155 *1396:13 *5778:181 3.82242e-05 -156 *1396:25 *5778:80 0.000524444 -157 *1396:25 *5778:94 0.000647091 -158 *1396:109 *5778:181 7.43854e-05 -159 *1410:19 *5778:94 2.14658e-05 -160 *1410:19 *5778:181 0.000380601 -161 *1410:33 *5778:94 0.00172078 -162 *1410:33 *5778:99 4.33002e-05 -163 *1427:8 *5778:31 0 -164 *1427:8 *5778:37 0.000886342 -165 *1427:8 *5778:39 0.000216538 -166 *1433:10 *28706:SET_B 0.000226469 -167 *1433:23 *28706:SET_B 0.000632428 -168 *1435:14 *5778:103 0.000180398 -169 *1457:80 *5778:31 0 -170 *1472:25 *5778:94 0 -171 *1475:23 *5778:103 7.08387e-05 -172 *1483:48 *5778:99 0.000147411 -173 *1563:26 *5778:103 0 -174 *1657:76 *5778:181 0.000186411 -175 *1659:34 *5778:190 0.000112287 -176 *1677:26 *5778:113 0.00013725 -177 *1816:8 *5778:123 0 -178 *1816:16 *5778:123 0 -179 *1825:45 *5778:245 0.000902897 -180 *1829:34 *5778:47 9.60846e-05 -181 *1829:34 *5778:49 8.41284e-06 -182 *1829:41 *5778:47 0.000224646 -183 *1829:48 *5778:47 0.00217734 -184 *1864:59 *29027:A 9.79256e-05 -185 *1864:59 *5778:47 0.000201636 -186 *1868:31 *5778:47 0.000588014 -187 *1868:37 *5778:47 5.44947e-05 -188 *1875:29 *5778:49 0.000228747 -189 *2745:8 *5778:245 0.000300498 -190 *2745:13 *5778:11 0.000316184 -191 *2745:75 *5778:11 4.08637e-05 -192 *2780:261 *28706:SET_B 0.000450008 -193 *2780:261 *5778:149 2.97537e-05 -194 *3101:17 *5778:94 1.36251e-05 -195 *3125:10 *5778:261 2.54632e-05 -196 *3314:26 *5778:190 0.000145753 -197 *3551:10 *5778:94 6.7224e-05 -198 *3576:99 *5778:109 1.24368e-05 -199 *3576:111 *5778:109 5.96516e-05 -200 *3583:10 *5778:123 6.39901e-05 -201 *3583:59 *5778:123 0 -202 *3583:68 *5778:123 0 -203 *3586:14 *29041:A 5.52238e-05 -204 *3586:14 *5778:80 0.000258915 -205 *3586:16 *5778:49 9.52467e-05 -206 *3592:8 *5778:113 0.0010461 -207 *3592:10 *5778:113 0.000162825 -208 *3592:35 *5778:113 0.000303796 -209 *3592:35 *5778:122 1.42331e-05 -210 *3592:50 *5778:122 4.40513e-06 -211 *3626:70 *5778:49 0 -212 *3649:37 *5778:261 0.000700524 -213 *3649:42 *5778:261 3.67257e-05 -214 *3653:42 *5778:31 2.52553e-05 -215 *3653:44 *5778:31 5.18437e-05 -216 *3653:48 *5778:16 2.68544e-05 -217 *3653:48 *5778:31 5.20505e-05 -218 *3674:7 *5778:94 4.80865e-05 -219 *3674:30 *5778:94 3.32708e-05 -220 *3698:78 *5778:94 0 -221 *3710:18 *5778:49 0.00435926 -222 *3710:28 *5778:49 2.09213e-05 -223 *3710:77 *5778:80 0.000173804 -224 *3750:16 *5778:39 0.000715655 -225 *3750:20 *5778:39 0.000350683 -226 *3792:51 *5778:31 3.33872e-05 -227 *3830:15 *5778:39 0.000271737 -228 *3867:24 *29027:A 1.21289e-05 -229 *3880:11 *5778:31 0 -230 *3885:26 *5778:190 9.35781e-05 -231 *3905:11 *5778:39 0.00016341 -232 *3910:73 *5778:94 4.37712e-06 -233 *3936:33 *5778:49 0.000838015 -234 *3949:13 *5778:103 0.00169761 -235 *3949:16 *5778:99 0.000465732 -236 *3949:16 *5778:103 0.000939072 -237 *3949:28 *5778:94 4.38058e-05 -238 *3949:28 *5778:99 2.92768e-05 -239 *3984:73 *5778:94 4.88928e-05 -240 *4011:23 *28735:SET_B 0 -241 *4036:38 *5778:103 3.65544e-05 -242 *4077:16 *5778:103 0.00146951 -243 *4078:8 *5778:113 0.000150245 -244 *4078:10 *5778:113 0.000362545 -245 *4078:14 *5778:113 8.89912e-05 -246 *4078:16 *5778:103 1.08359e-05 -247 *4078:16 *5778:109 0.00131491 -248 *4078:16 *5778:113 0.000904065 -249 *4102:32 *5778:149 0.000161433 -250 *4105:78 *5778:99 0.000112782 -251 *4117:25 *5778:49 0.000346623 -252 *4127:32 *5778:39 0.000410611 -253 *4131:29 *5778:31 0.000125908 -254 *4142:62 *5778:190 0.000461937 -255 *4178:9 *5778:142 0.000141965 -256 *4195:36 *5778:47 7.69776e-06 -257 *4331:27 *5778:31 4.14984e-05 -258 *4383:16 *5778:219 0.000321551 -259 *4646:13 *29027:A 0.000152773 -260 *4646:13 *5778:47 0.00019868 -261 *5069:46 *5778:219 1.69961e-05 -262 *5079:20 *5778:31 9.142e-05 -263 *5204:15 *5778:219 0.000182001 -264 *5204:15 *5778:245 9.90431e-05 -265 *5483:44 *5778:11 0.000360894 -266 *5484:44 *5778:11 0.000176783 -267 *5484:44 *5778:16 6.82827e-05 -268 *5485:52 *5778:219 0.000857604 -269 *5487:63 *5778:245 0.00136729 -270 *5488:34 *5778:16 3.47641e-06 -271 *5489:6 *5778:245 0.000706945 -272 *5489:19 *5778:11 0.000314369 -273 *5489:19 *5778:16 0.00011802 -274 *5494:46 *5778:245 0.00257876 -275 *5494:49 *5778:245 0.000566158 -276 *5494:49 *5778:261 0.000279671 -277 *5504:7 *29038:A 5.52238e-05 -278 *5504:33 *29038:A 0.00122757 -279 *5510:20 *5778:261 0.000170964 -280 *5510:34 *5778:245 8.36572e-05 -281 *5519:25 *5778:219 0.000332204 -282 *5609:183 *5778:190 0.000506836 -283 *5614:76 *5778:94 9.14714e-06 -284 *5614:76 *5778:99 0.000721626 -285 *5644:251 *28735:SET_B 0 -286 *5650:250 *5778:190 0.000700287 -287 *5665:127 *5778:11 8.34352e-05 -288 *5665:204 *5778:31 0.000263862 -289 *5665:204 *5778:37 0 -290 *5685:173 *5778:16 2.68544e-05 -291 *5685:173 *5778:31 7.82198e-05 -292 *5685:173 *5778:219 0.000615776 -293 *5685:183 *5778:31 3.53311e-05 -294 *5685:205 *5778:31 0.00102324 -295 *5691:341 *5778:39 0 -296 *5697:11 *5778:122 4.92096e-05 -297 *5698:256 *5778:219 0.000488692 -298 *5710:48 *5778:37 0.00016675 -299 *5710:48 *5778:39 0.000429907 -300 *5710:52 *5778:37 0.00122185 -301 *5712:49 *5778:47 0 -302 *5716:265 *28735:SET_B 0.000396069 -303 *5716:265 *5778:149 5.76278e-05 -304 *5719:32 *5778:123 0.000364953 -305 *5721:20 *5778:123 0.00189267 -306 *5727:217 *29027:A 9.15291e-05 -307 *5729:41 *5778:113 1.05603e-05 -308 *5729:41 *5778:122 0.000170848 -309 *5729:163 *5778:142 0.000871024 -310 *5730:11 *5778:219 0.00123639 -311 *5731:62 *5778:31 0 -312 *5731:64 *5778:31 0 -313 *5731:85 *5778:31 0 -314 *5733:13 *5778:219 0.000188586 -315 *5733:36 *5778:219 0.000487045 -316 *5733:137 *5778:39 0 -317 *5733:145 *5778:39 0 -318 *5733:157 *5778:39 0.000109131 -319 *5733:163 *5778:39 0.000249331 -320 *5733:172 *5778:39 0.000153182 -321 *5737:154 *5778:261 2.67163e-05 -322 *5740:15 *5778:39 6.1272e-05 -323 *5740:29 *29027:A 0.000306317 -324 *5743:60 *5778:47 7.283e-05 -325 *5743:60 *5778:49 0.000988045 -326 *5743:74 *5778:49 7.81898e-05 -327 *5745:27 *29038:A 0.00041658 -328 *5761:40 *5778:80 0.000136412 -329 *5761:50 *5778:80 0.000504193 -330 *5763:5 *5778:126 5.33005e-05 -331 *5763:5 *5778:142 0.000254049 -332 *5763:67 *28735:SET_B 2.8266e-06 -333 *5763:67 *5778:149 5.38292e-05 -334 *5763:79 *5778:149 0 -*RES -1 *29057:X *5778:4 9.3 -2 *5778:4 *5778:11 13.0597 -3 *5778:11 *5778:16 5.0922 -4 *5778:16 *29021:A 13.8 -5 *5778:16 *5778:31 48.8661 -6 *5778:31 *5778:37 24.1964 -7 *5778:37 *5778:39 45.9018 -8 *5778:39 *5778:41 4.5 -9 *5778:41 *5778:47 42.6339 -10 *5778:47 *5778:49 76.4732 -11 *5778:49 *5778:51 4.5 -12 *5778:51 *29041:A 10.675 -13 *5778:51 *5778:80 33.7143 -14 *5778:80 *5778:94 41.1872 -15 *5778:94 *5778:99 18.5179 -16 *5778:99 *5778:103 49.8571 -17 *5778:103 *5778:109 23.3661 -18 *5778:109 *5778:113 38.7768 -19 *5778:113 *5778:122 28.7321 -20 *5778:122 *5778:123 63.875 -21 *5778:123 *5778:126 5.03571 -22 *5778:126 *29043:A 9.3 -23 *5778:126 *5778:142 39.7143 -24 *5778:142 *5778:149 14.1731 -25 *5778:149 *28706:SET_B 34.4286 -26 *5778:149 *28743:SET_B 4.5 -27 *5778:142 *28735:SET_B 21.3214 -28 *5778:113 *29042:A 9.83571 -29 *5778:94 *5778:181 46.2679 -30 *5778:181 *5778:190 48.625 -31 *5778:190 *29056:A 13.8 -32 *5778:41 *29027:A 14.7821 -33 *5778:11 *5778:219 45.6067 -34 *5778:219 *29017:A 9.3 -35 *5778:4 *5778:245 45.492 -36 *5778:245 *5778:261 46.875 -37 *5778:261 *29038:A 40.8 -*END - -*D_NET *5779 0.0254612 -*CONN -*I *28322:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28304:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28822:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28823:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28315:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *28813:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28320:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28321:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28316:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28324:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28318:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28323:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *28319:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *25789:B I *D sky130_fd_sc_hd__and2_4 -*I *25813:B I *D sky130_fd_sc_hd__and2_4 -*I *27972:B I *D sky130_fd_sc_hd__and2_4 -*I *28317:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *25804:B I *D sky130_fd_sc_hd__and2_4 -*I *28400:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28830:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *29058:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *28322:RESET_B 0.000193268 -2 *28304:RESET_B 1.25902e-05 -3 *28822:RESET_B 0.000193092 -4 *28823:RESET_B 0 -5 *28315:SET_B 0 -6 *28813:RESET_B 0.000100556 -7 *28320:RESET_B 2.88132e-05 -8 *28321:RESET_B 5.7992e-05 -9 *28316:RESET_B 0.000369875 -10 *28324:RESET_B 6.24712e-05 -11 *28318:RESET_B 0.000152314 -12 *28323:RESET_B 0.000360041 -13 *28319:RESET_B 0.000423037 -14 *25789:B 0.00011234 -15 *25813:B 0 -16 *27972:B 0.000410901 -17 *28317:RESET_B 0 -18 *25804:B 1.86116e-05 -19 *28400:RESET_B 0.000545331 -20 *28830:RESET_B 0 -21 *29058:X 0.000221692 -22 *5779:181 0.0013613 -23 *5779:175 0.00138393 -24 *5779:165 0.000759489 -25 *5779:157 0.000790582 -26 *5779:143 0.000530385 -27 *5779:132 0.000267038 -28 *5779:119 0.000527268 -29 *5779:108 0.00022472 -30 *5779:99 0.000335894 -31 *5779:74 0.000811943 -32 *5779:67 0.000536195 -33 *5779:56 0.000404896 -34 *5779:43 0.000410901 -35 *5779:41 0.000246221 -36 *5779:35 0.000226801 -37 *5779:26 0.000429639 -38 *5779:14 0.00128351 -39 *5779:8 0.000644378 -40 *25789:B *25789:A 0.00014183 -41 *25789:B *6377:185 0.000119193 -42 *25804:B *25804:A 0.000144773 -43 *27972:B *25813:A 5.52238e-05 -44 *27972:B *27972:A 0.000405011 -45 *28316:RESET_B *6370:9 0.000174879 -46 *28316:RESET_B *6370:49 9.71197e-05 -47 *28316:RESET_B *6378:22 3.27626e-05 -48 *28318:RESET_B *5798:15 9.90431e-05 -49 *28318:RESET_B *6372:5 1.98839e-05 -50 *28319:RESET_B *25789:A 0 -51 *28319:RESET_B *29492:A 0 -52 *28319:RESET_B *6373:7 9.23695e-05 -53 *28319:RESET_B *6376:14 3.06878e-06 -54 *28319:RESET_B *6376:28 0.000316184 -55 *28320:RESET_B *27969:B1 4.50774e-05 -56 *28320:RESET_B *6374:15 8.94268e-05 -57 *28322:RESET_B *6377:185 6.9081e-05 -58 *28323:RESET_B *27972:A 8.94268e-05 -59 *28323:RESET_B *6376:14 5.35012e-05 -60 *28400:RESET_B *25779:A1 2.32625e-05 -61 *28400:RESET_B *6352:14 3.42984e-05 -62 *28822:RESET_B *25595:A1 0 -63 *28822:RESET_B *29527:A 0 -64 *28822:RESET_B *6180:30 8.5662e-05 -65 *5779:8 *5780:91 0.000524543 -66 *5779:8 *5780:106 0.000175892 -67 *5779:8 *5824:120 5.01117e-05 -68 *5779:14 *25779:A1 9.96238e-05 -69 *5779:14 *5798:12 0 -70 *5779:26 *25804:A 0.000273309 -71 *5779:26 *5798:12 0 -72 *5779:67 *6377:185 0.000148035 -73 *5779:99 *5798:15 8.27532e-05 -74 *5779:119 *6370:12 1.52978e-05 -75 *5779:132 *27969:B1 4.46186e-06 -76 *5779:132 *6370:12 3.25078e-05 -77 *5779:143 *27969:B1 0.000194486 -78 *5779:143 *6374:15 1.90936e-05 -79 *5779:157 *27969:B1 2.58997e-05 -80 *5779:181 *25593:A0 0.000385717 -81 *5779:181 *25595:A1 0 -82 *25500:A1 *25804:B 0.00014285 -83 *25500:A1 *5779:26 0.000269428 -84 *25513:A2 *5779:119 9.10431e-06 -85 *25518:B1 *28316:RESET_B 0.000213209 -86 *25535:A1 *5779:175 0 -87 *25591:A1 *5779:181 0.000239098 -88 *27995:A1 *28822:RESET_B 0.000105696 -89 *28072:D *5779:181 5.18319e-05 -90 *28072:RESET_B *5779:181 0.000147629 -91 *28074:RESET_B *5779:181 0 -92 *28315:D *5779:175 0 -93 *28315:D *5779:181 0 -94 *28322:CLK *5779:74 0.000310307 -95 *28813:D *28813:RESET_B 4.9661e-05 -96 *28823:D *28822:RESET_B 0 -97 *28824:D *28822:RESET_B 0.000222163 -98 *28884:A *27972:B 0.000356022 -99 *29888:A *28400:RESET_B 2.89016e-05 -100 *1172:18 *5779:157 0 -101 *1782:8 *5779:74 0.000222163 -102 *1782:23 *5779:74 4.73656e-05 -103 *1782:29 *5779:74 0.000113038 -104 *1782:29 *5779:108 3.57163e-05 -105 *1782:29 *5779:119 0.000100913 -106 *1816:8 *5779:175 0 -107 *1816:8 *5779:181 0 -108 *1816:16 *5779:35 0 -109 *1816:16 *5779:41 0 -110 *1816:16 *5779:56 0 -111 *1862:11 *28813:RESET_B 0.000133233 -112 *1871:10 *25789:B 0.00014285 -113 *1871:10 *5779:8 0 -114 *1871:10 *5779:14 0 -115 *1871:10 *5779:26 0 -116 *1871:10 *5779:35 7.50697e-05 -117 *1871:10 *5779:41 0.000131472 -118 *1871:10 *5779:56 7.60306e-05 -119 *1871:10 *5779:67 8.46829e-05 -120 *1874:8 *5779:74 2.6949e-05 -121 *1884:23 *28321:RESET_B 9.51514e-06 -122 *3510:7 *27972:B 0.000178847 -123 *3567:17 *5779:8 6.09572e-05 -124 *3567:17 *5779:14 3.17067e-05 -125 *3567:33 *5779:99 0.000268521 -126 *3578:85 *5779:8 0.000686081 -127 *3583:10 *27972:B 0.000140933 -128 *3583:79 *28316:RESET_B 0.000264678 -129 *3583:85 *28319:RESET_B 1.21258e-05 -130 *3583:126 *5779:175 0 -131 *3583:130 *5779:165 0.000103004 -132 *3583:149 *5779:181 0 -133 *3583:160 *5779:181 0 -134 *5420:6 *28813:RESET_B 0.000100831 -135 *5729:92 *5779:99 0.000268521 -136 *5729:92 *5779:108 0.000103267 -137 *5729:92 *5779:119 0.000320031 -138 *5729:92 *5779:132 7.83659e-05 -139 *5729:92 *5779:143 0.000405031 -140 *5729:92 *5779:157 0.000358394 -141 *5763:20 *5779:181 7.41547e-05 -*RES -1 *29058:X *5779:8 22.1393 -2 *5779:8 *28830:RESET_B 4.5 -3 *5779:8 *5779:14 8.32143 -4 *5779:14 *28400:RESET_B 12.3661 -5 *5779:14 *5779:26 11.7679 -6 *5779:26 *25804:B 10.6571 -7 *5779:26 *5779:35 6.44643 -8 *5779:35 *28317:RESET_B 4.5 -9 *5779:35 *5779:41 3.16071 -10 *5779:41 *5779:43 4.5 -11 *5779:43 *27972:B 20.1036 -12 *5779:43 *25813:B 9.3 -13 *5779:41 *5779:56 6.75 -14 *5779:56 *25789:B 12.7107 -15 *5779:56 *5779:67 3.96429 -16 *5779:67 *5779:74 14.4107 -17 *5779:74 *28319:RESET_B 15.0446 -18 *5779:74 *28323:RESET_B 3.49107 -19 *28323:RESET_B *5779:99 13.4107 -20 *5779:99 *28318:RESET_B 2.52679 -21 *5779:99 *5779:108 5.83929 -22 *5779:108 *28324:RESET_B 5.40179 -23 *5779:108 *5779:119 4.07143 -24 *5779:119 *28316:RESET_B 11.6875 -25 *5779:119 *5779:132 1.03571 -26 *5779:132 *28321:RESET_B 5.40179 -27 *5779:132 *5779:143 5.28571 -28 *5779:143 *28320:RESET_B 5.38393 -29 *5779:143 *5779:157 10.9643 -30 *5779:157 *5779:165 10.5179 -31 *5779:165 *28813:RESET_B 7.13393 -32 *5779:165 *5779:175 3.76786 -33 *5779:175 *28315:SET_B 4.5 -34 *5779:175 *5779:181 24.4107 -35 *5779:181 *28823:RESET_B 4.5 -36 *5779:181 *28822:RESET_B 9.48214 -37 *5779:157 *28304:RESET_B 0.473214 -38 *5779:67 *28322:RESET_B 2.9375 -*END - -*D_NET *5780 0.0405458 -*CONN -*I *28828:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28829:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28824:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28827:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28826:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28825:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28831:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *29058:A I *D sky130_fd_sc_hd__buf_6 -*I *28401:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *28402:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28403:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28404:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28405:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *28406:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *29059:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *28828:RESET_B 5.97854e-05 -2 *28829:RESET_B 0.000325331 -3 *28824:RESET_B 0.000532818 -4 *28827:RESET_B 3.08897e-05 -5 *28826:RESET_B 0 -6 *28825:RESET_B 8.22502e-06 -7 *28831:RESET_B 1.10427e-05 -8 *29058:A 0 -9 *28401:RESET_B 5.41428e-05 -10 *28402:RESET_B 9.94409e-05 -11 *28403:RESET_B 0 -12 *28404:RESET_B 2.18047e-05 -13 *28405:RESET_B 0.00052015 -14 *28406:RESET_B 3.37302e-05 -15 *29059:X 8.54909e-05 -16 *5780:163 0.000985358 -17 *5780:142 0.00122865 -18 *5780:134 0.000234477 -19 *5780:125 0.00196821 -20 *5780:110 0.00354943 -21 *5780:106 0.00236553 -22 *5780:91 0.00132989 -23 *5780:79 0.00194919 -24 *5780:61 0.00212583 -25 *5780:47 0.00139449 -26 *5780:32 0.000810797 -27 *5780:18 0.000955473 -28 *5780:8 0.000316152 -29 *28401:RESET_B *6353:10 2.87516e-05 -30 *28402:RESET_B *5798:7 3.63775e-05 -31 *28402:RESET_B *6354:7 1.98839e-05 -32 *28405:RESET_B *25767:S 5.95659e-05 -33 *28405:RESET_B *6357:10 0.000133695 -34 *28406:RESET_B *6358:7 4.27935e-05 -35 *28824:RESET_B *6362:9 4.17828e-05 -36 *28825:RESET_B *5914:80 4.85567e-05 -37 *28825:RESET_B *6363:9 5.048e-05 -38 *28828:RESET_B *6374:61 0.000302417 -39 *28829:RESET_B *6374:61 7.6644e-05 -40 *5780:18 *28231:D 4.46186e-06 -41 *5780:18 *5824:28 6.19181e-05 -42 *5780:18 *6358:7 1.98839e-05 -43 *5780:32 *28231:D 0.00015739 -44 *5780:32 *5824:28 0.000158492 -45 *5780:47 *26712:A1 0 -46 *5780:47 *26735:A1 0.000189748 -47 *5780:47 *5938:31 0.000322531 -48 *5780:47 *6356:24 2.72967e-05 -49 *5780:61 *28346:D 8.25755e-05 -50 *5780:61 *5938:8 1.46624e-05 -51 *5780:79 *25898:A0 9.10431e-06 -52 *5780:79 *5798:7 0.000171968 -53 *5780:106 *25904:A1 0.00012401 -54 *5780:106 *5833:175 0.000122542 -55 *5780:110 *25853:B 0 -56 *5780:110 *25856:A 0 -57 *5780:110 *5799:10 0 -58 *5780:110 *5833:175 0 -59 *5780:110 *5961:10 0 -60 *5780:110 *6374:37 0 -61 *5780:110 *6376:8 0 -62 *5780:125 *25587:A_N 0.000179127 -63 *5780:125 *25599:A0 0.00103488 -64 *5780:125 *27969:B1 5.31185e-05 -65 *5780:125 *29008:A 0 -66 *5780:125 *29174:A 0 -67 *5780:125 *5853:8 3.34672e-05 -68 *5780:125 *5914:80 0.000438781 -69 *5780:125 *5934:20 0 -70 *5780:125 *6363:9 8.27532e-05 -71 *5780:125 *6374:61 0 -72 *5780:134 *6377:21 0.000275094 -73 *5780:142 *6377:21 0.000100823 -74 *5780:163 *6365:10 2.33196e-05 -75 *25485:A0 *5780:125 7.44918e-05 -76 *25566:B2 *5780:91 0.00120006 -77 *25587:B *5780:125 0 -78 *25767:A0 *28405:RESET_B 5.33005e-05 -79 *25767:A0 *5780:8 0.000173804 -80 *25883:A1 *5780:47 5.28537e-05 -81 *25897:S *5780:79 7.4826e-05 -82 *25905:A1 *5780:110 4.53124e-05 -83 *26727:A *5780:61 2.84494e-05 -84 *26763:C *5780:47 0 -85 *26763:C *5780:61 0 -86 *27668:D *5780:110 0 -87 *27969:A2 *5780:125 9.91086e-05 -88 *28011:A1 *5780:91 0.000502191 -89 *28076:CLK *5780:125 6.8283e-05 -90 *28231:CLK *28405:RESET_B 6.05161e-06 -91 *28336:CLK *5780:18 3.75052e-05 -92 *28405:CLK *28405:RESET_B 0.000185533 -93 *28406:CLK *28406:RESET_B 8.79458e-05 -94 *28406:CLK *5780:18 0.000304394 -95 *28578:D *5780:110 0.000128146 -96 *28578:SET_B *5780:110 7.4185e-05 -97 *28586:CLK *5780:106 9.41642e-05 -98 *28586:D *5780:106 5.71472e-05 -99 *28827:CLK *28824:RESET_B 6.36254e-05 -100 *28827:D *28824:RESET_B 0.000142856 -101 *28829:CLK *28829:RESET_B 7.43578e-06 -102 *29061:A *28828:RESET_B 0.000293167 -103 *29061:A *28829:RESET_B 7.83587e-05 -104 *29061:A *5780:125 0 -105 *29292:A *5780:110 0.000129868 -106 *29342:A *5780:47 0.000101545 -107 *29595:A *5780:47 1.99099e-05 -108 *29595:A *5780:61 0.000279841 -109 *29728:A *5780:79 0.000503906 -110 *29803:A *28405:RESET_B 9.99644e-06 -111 *29811:A *5780:61 0.000440892 -112 *30101:A *5780:79 0.000172829 -113 *30179:A *5780:110 0.00022894 -114 *1816:16 *5780:79 0 -115 *1816:26 *5780:79 0.000717703 -116 *1820:10 *5780:125 0 -117 *1867:16 *5780:61 0.000943072 -118 *1891:10 *5780:79 0.000131481 -119 *1892:14 *5780:110 7.06079e-05 -120 *1892:16 *5780:106 1.52681e-05 -121 *1892:16 *5780:110 8.54326e-05 -122 *2554:17 *5780:8 0.000242056 -123 *2772:85 *5780:110 0 -124 *3553:26 *5780:8 3.2746e-05 -125 *3566:8 *5780:79 0.000131216 -126 *3566:8 *5780:91 0.000215172 -127 *3578:85 *5780:91 0.000330124 -128 *3578:85 *5780:106 0.000344713 -129 *3581:33 *28402:RESET_B 0.000131765 -130 *3581:165 *5780:134 0 -131 *3583:24 *5780:61 0.000175786 -132 *3656:8 *5780:61 0.000253915 -133 *3656:84 *5780:61 0.00012401 -134 *5312:13 *28831:RESET_B 2.51837e-05 -135 *5312:13 *5780:125 3.75236e-05 -136 *5458:46 *5780:125 0 -137 *5669:19 *5780:106 0.00010139 -138 *5669:245 *5780:110 0 -139 *5688:173 *5780:79 0.000338351 -140 *5697:23 *5780:61 1.18064e-05 -141 *5715:51 *5780:110 0.00019918 -142 *5719:6 *5780:125 0 -143 *5719:8 *5780:110 0 -144 *5719:8 *5780:125 0 -145 *5719:10 *5780:110 0 -146 *5719:12 *5780:110 0 -147 *5721:19 *28402:RESET_B 0.000261011 -148 *5721:19 *5780:79 0.000496275 -149 *5721:20 *5780:79 0.00195562 -150 *5721:20 *5780:91 0.00112784 -151 *5760:45 *5780:79 0 -152 *5779:8 *5780:91 0.000524543 -153 *5779:8 *5780:106 0.000175892 -*RES -1 *29059:X *5780:8 21.4607 -2 *5780:8 *28406:RESET_B 0.883929 -3 *5780:8 *5780:18 9.14286 -4 *5780:18 *28405:RESET_B 13.5982 -5 *5780:18 *5780:32 10.0536 -6 *5780:32 *28404:RESET_B 0.473214 -7 *5780:32 *5780:47 16.875 -8 *5780:47 *28403:RESET_B 4.5 -9 *5780:47 *5780:61 35.9821 -10 *5780:61 *28402:RESET_B 2.9375 -11 *5780:61 *5780:79 44.1071 -12 *5780:79 *28401:RESET_B 5.38393 -13 *5780:79 *5780:91 31.2321 -14 *5780:91 *29058:A 9.3 -15 *5780:91 *5780:106 17.9554 -16 *5780:106 *5780:110 39.9911 -17 *5780:110 *28831:RESET_B 0.473214 -18 *5780:110 *5780:125 45.5 -19 *5780:125 *28825:RESET_B 0.473214 -20 *5780:125 *5780:134 7.96429 -21 *5780:134 *28826:RESET_B 4.5 -22 *5780:134 *5780:142 5.83929 -23 *5780:142 *28827:RESET_B 0.901786 -24 *5780:142 *28824:RESET_B 8.00893 -25 *5780:142 *5780:163 11.1964 -26 *5780:163 *28829:RESET_B 9.4375 -27 *5780:163 *28828:RESET_B 8.26786 -*END - -*D_NET *5781 0.0466458 -*CONN -*I *25318:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30709:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *25318:A1 0.000445677 -2 *30709:X 1.1624e-05 -3 *5781:42 0.00459747 -4 *5781:12 0.00779967 -5 *5781:10 0.00588286 -6 *5781:7 0.00224661 -7 *5781:10 *5800:43 0 -8 *5781:10 *5829:42 2.23898e-05 -9 *5781:10 *5863:32 0.000115602 -10 *5781:10 *6284:17 0.000335032 -11 *5781:12 *5829:68 0 -12 *25197:C *5781:42 3.27463e-05 -13 *25318:A2 *25318:A1 0.000210842 -14 *25318:B2 *25318:A1 5.7661e-06 -15 *25325:A *25318:A1 5.74499e-06 -16 *26859:A1 *5781:7 5.52238e-05 -17 *26859:A1 *5781:10 0.00019501 -18 *26986:S *5781:10 6.53083e-05 -19 *27130:A1 *5781:10 7.5779e-05 -20 *27134:S *5781:10 0.000367379 -21 *27353:A2 *5781:42 0 -22 *27389:A1 *5781:12 9.77956e-05 -23 *27723:C1 *5781:12 0 -24 *27724:A2 *5781:12 5.37709e-05 -25 *27726:A2 *5781:12 5.62056e-05 -26 *27728:A2 *5781:12 1.90936e-05 -27 *27733:A *5781:12 0.0010339 -28 *27733:B *5781:12 0.00100376 -29 *28454:CLK *5781:7 5.52238e-05 -30 *28762:RESET_B *5781:10 0 -31 *29981:A *5781:10 0.000893769 -32 *29989:A *5781:12 0.00010362 -33 *61:14 *5781:10 0 -34 *785:21 *5781:10 0 -35 *978:14 *5781:10 2.4296e-05 -36 *1261:41 *5781:42 0 -37 *1269:231 *25318:A1 3.77147e-05 -38 *1281:145 *5781:42 0.000135624 -39 *1285:37 *25318:A1 1.57203e-05 -40 *1826:154 *5781:42 0.000503111 -41 *2762:16 *5781:12 0.000215228 -42 *2770:118 *5781:12 0.000602451 -43 *2770:127 *5781:10 0 -44 *2786:136 *5781:10 0.000349918 -45 *2786:172 *5781:10 1.64442e-05 -46 *2859:214 *5781:42 5.99199e-06 -47 *2859:283 *5781:12 0.000110498 -48 *2859:283 *5781:42 0.000241253 -49 *2860:242 *5781:42 0.000581627 -50 *2867:222 *5781:42 0.000482967 -51 *2867:249 *5781:42 6.14836e-06 -52 *2871:277 *5781:42 0.000640397 -53 *2880:11 *5781:42 0 -54 *2894:237 *5781:42 2.61232e-05 -55 *2894:303 *5781:42 7.68942e-05 -56 *2922:27 *25318:A1 0.000125355 -57 *2932:34 *5781:42 0.000185916 -58 *3273:10 *5781:12 8.59148e-05 -59 *3283:8 *5781:12 7.31073e-05 -60 *3293:14 *5781:42 0.000131231 -61 *3538:75 *5781:10 0 -62 *3580:11 *5781:42 0.000137561 -63 *3718:63 *5781:12 1.0946e-05 -64 *3731:62 *5781:12 0.00230662 -65 *3783:41 *5781:12 0.000156415 -66 *3887:97 *5781:10 0 -67 *3926:40 *5781:12 6.50686e-05 -68 *3926:44 *5781:12 0.00559185 -69 *3978:66 *5781:42 0.000187973 -70 *4082:6 *5781:10 0 -71 *4082:6 *5781:12 0.000113809 -72 *4095:67 *5781:42 5.48724e-05 -73 *4108:123 *5781:42 8.76591e-06 -74 *5590:191 *5781:10 0 -75 *5596:47 *5781:42 0.000174647 -76 *5603:43 *5781:42 0 -77 *5630:161 *5781:42 0.000425914 -78 *5630:246 *5781:42 0.000226612 -79 *5641:204 *5781:12 4.00349e-05 -80 *5643:160 *5781:42 0.000203343 -81 *5647:82 *5781:42 0.000413701 -82 *5654:86 *5781:42 0.000184639 -83 *5654:88 *5781:12 0.00423908 -84 *5654:88 *5781:42 4.12469e-05 -85 *5656:327 *5781:12 0.000812452 -86 *5656:350 *5781:12 5.41794e-05 -87 *5663:69 *5781:42 3.63095e-05 -88 *5669:296 *5781:12 8.66107e-05 -89 *5695:67 *5781:10 0 -90 *5695:252 *5781:10 0.000611335 -*RES -1 *30709:X *5781:7 14.3357 -2 *5781:7 *5781:10 46.3036 -3 *5781:10 *5781:12 119.125 -4 *5781:12 *5781:42 44.1796 -5 *5781:42 *25318:A1 16.3179 -*END - -*D_NET *5782 0.0902313 -*CONN -*I *26096:B I *D sky130_fd_sc_hd__or4bb_4 -*I *25969:C1 I *D sky130_fd_sc_hd__o211a_4 -*I *25967:B I *D sky130_fd_sc_hd__nand2_2 -*I *26022:C I *D sky130_fd_sc_hd__and3_4 -*I *26226:A3 I *D sky130_fd_sc_hd__a41o_1 -*I *26452:A1 I *D sky130_fd_sc_hd__a31o_1 -*I *26008:A I *D sky130_fd_sc_hd__nor2_8 -*I *26009:A I *D sky130_fd_sc_hd__or2_4 -*I *26000:A I *D sky130_fd_sc_hd__nand2_8 -*I *24862:A I *D sky130_fd_sc_hd__clkinv_8 -*I *26083:A I *D sky130_fd_sc_hd__nand2_8 -*I *26095:A I *D sky130_fd_sc_hd__nor2_1 -*I *26054:A I *D sky130_fd_sc_hd__nor2_4 -*I *26397:A1 I *D sky130_fd_sc_hd__a2111o_1 -*I *26119:A I *D sky130_fd_sc_hd__nand2_4 -*I *26156:A I *D sky130_fd_sc_hd__nor2_2 -*I *26186:A I *D sky130_fd_sc_hd__or2_2 -*I *26185:A I *D sky130_fd_sc_hd__nor2_2 -*I *26161:D I *D sky130_fd_sc_hd__and4_4 -*I *26194:D I *D sky130_fd_sc_hd__or4_4 -*I *29060:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *26096:B 0.00036237 -2 *25969:C1 0.000513831 -3 *25967:B 7.83636e-05 -4 *26022:C 0.000567478 -5 *26226:A3 0.000375607 -6 *26452:A1 0.000523112 -7 *26008:A 0 -8 *26009:A 0.000136422 -9 *26000:A 0.000255254 -10 *24862:A 0 -11 *26083:A 0 -12 *26095:A 2.03236e-05 -13 *26054:A 4.29478e-05 -14 *26397:A1 0.00099822 -15 *26119:A 0.000296949 -16 *26156:A 0.000141383 -17 *26186:A 0.000891215 -18 *26185:A 0.000497811 -19 *26161:D 3.14025e-05 -20 *26194:D 0.000602707 -21 *29060:X 0.000137935 -22 *5782:237 0.00017581 -23 *5782:232 0.00156957 -24 *5782:210 0.000574246 -25 *5782:203 0.000889502 -26 *5782:192 0.00181386 -27 *5782:183 0.00404057 -28 *5782:164 0.00272525 -29 *5782:149 0.00337158 -30 *5782:126 0.00268579 -31 *5782:116 0.00260019 -32 *5782:90 0.00249387 -33 *5782:86 0.00141756 -34 *5782:62 0.00184655 -35 *5782:51 0.000632073 -36 *5782:46 0.00214755 -37 *5782:28 0.00228735 -38 *5782:20 0.00423048 -39 *5782:11 0.00462811 -40 *5782:6 0.000829758 -41 *26185:A *6291:95 5.92455e-05 -42 *26194:D *26194:B 0.000233746 -43 *26452:A1 *6180:11 1.92789e-05 -44 *5782:20 *6291:40 0.000130661 -45 *24863:A *26022:C 6.05161e-06 -46 *25966:C *25969:C1 2.86824e-05 -47 *25967:A *25967:B 3.63587e-05 -48 *26003:D *26194:D 1.82328e-05 -49 *26003:D *5782:46 0.000386115 -50 *26008:B *5782:237 5.52238e-05 -51 *26009:B *26009:A 0.000519496 -52 *26009:B *5782:237 2.58472e-05 -53 *26020:C *26185:A 0 -54 *26022:A *26022:C 0.000108535 -55 *26022:B *26022:C 0.000178847 -56 *26045:A *26186:A 3.36871e-05 -57 *26045:A *5782:116 1.40034e-05 -58 *26082:A *5782:183 0.000166973 -59 *26090:B *5782:210 0.000114102 -60 *26095:B *26095:A 5.91512e-06 -61 *26095:B *5782:192 0.00153162 -62 *26112:A *5782:183 0 -63 *26148:B *5782:232 0.000251754 -64 *26175:B1 *26161:D 5.33005e-05 -65 *26175:B1 *5782:51 0.000371961 -66 *26175:B1 *5782:62 0.000261114 -67 *26182:B *26186:A 2.89114e-05 -68 *26182:B *5782:116 0.000220304 -69 *26190:A *26186:A 0.000502801 -70 *26191:A *26186:A 5.7661e-06 -71 *26191:B *26186:A 0.000145772 -72 *26194:C *26194:D 1.49011e-05 -73 *26195:A1 *26186:A 3.97677e-05 -74 *26196:B1 *26186:A 0.000136958 -75 *26224:D1 *5782:149 0 -76 *26226:A1 *26226:A3 0.000318252 -77 *26227:B *5782:183 0.000761327 -78 *26262:B *26096:B 0.00052086 -79 *26290:A *5782:46 2.59355e-05 -80 *26290:B *26194:D 4.85049e-06 -81 *26290:B *5782:46 8.94592e-05 -82 *26325:A1 *5782:20 0.000158357 -83 *26334:C1 *25969:C1 0.00103505 -84 *26334:C1 *5782:11 0.000259413 -85 *26335:B1 *5782:6 0 -86 *26335:B1 *5782:11 0 -87 *26362:A *5782:164 0.00028612 -88 *26365:C *26119:A 5.52238e-05 -89 *26381:A2 *26119:A 0.000171881 -90 *26397:A2 *26397:A1 0.000135028 -91 *26417:B *26186:A 9.73258e-05 -92 *26426:B *26186:A 0.000178742 -93 *26452:A3 *26452:A1 5.18016e-06 -94 *26583:B *5782:183 1.92303e-05 -95 *26584:C_N *5782:183 6.35864e-05 -96 *26686:B *5782:183 5.65581e-05 -97 *26790:A3 *5782:183 0.000125724 -98 *26791:B1 *5782:149 0.000522917 -99 *27991:B1 *26000:A 0.000171313 -100 *29674:A *26000:A 0 -101 *30593:A *25969:C1 0.000112667 -102 *30620:A *5782:6 0 -103 *288:10 *26000:A 4.88515e-05 -104 *290:21 *26000:A 0.000159286 -105 *1187:12 *26452:A1 0.000178625 -106 *1187:12 *5782:232 9.87983e-06 -107 *1188:8 *5782:210 6.30388e-05 -108 *1188:18 *5782:203 0.000362221 -109 *1188:54 *5782:183 0.000164766 -110 *1189:14 *5782:51 0.000283493 -111 *1771:58 *26095:A 0 -112 *1771:58 *5782:192 0.000186669 -113 *1771:58 *5782:203 0.000437756 -114 *1909:7 *25967:B 5.45307e-05 -115 *1909:30 *26185:A 5.52302e-05 -116 *1910:27 *26186:A 0.00010186 -117 *1910:35 *26186:A 1.97695e-05 -118 *1911:9 *25969:C1 0 -119 *1930:17 *5782:232 0.000116403 -120 *1930:152 *26156:A 4.29471e-05 -121 *1930:152 *5782:126 0.00081877 -122 *1932:37 *5782:46 3.9506e-05 -123 *1932:63 *5782:62 0.000312703 -124 *1933:11 *26009:A 7.32272e-05 -125 *1933:17 *26009:A 1.02936e-05 -126 *1935:182 *5782:203 0.000924693 -127 *1935:182 *5782:210 0.000633941 -128 *1940:32 *5782:126 0.000214813 -129 *1940:32 *5782:149 0.000250919 -130 *1941:50 *5782:183 2.59024e-05 -131 *1945:10 *5782:46 6.05161e-06 -132 *1945:65 *5782:126 7.59572e-05 -133 *1946:15 *5782:20 0.000108996 -134 *1946:173 *5782:116 0.000422713 -135 *1946:208 *5782:164 0.000108368 -136 *1948:17 *5782:116 0.000970035 -137 *1949:8 *26452:A1 0.000148189 -138 *1951:10 *26009:A 0.000175701 -139 *1951:10 *5782:237 9.90431e-05 -140 *1952:34 *5782:116 0.000212123 -141 *1952:39 *26156:A 0.000109572 -142 *1952:39 *5782:116 2.45832e-05 -143 *1955:52 *5782:46 4.13873e-05 -144 *1963:8 *5782:51 1.08524e-05 -145 *1966:17 *26226:A3 7.38616e-05 -146 *1985:40 *5782:210 0 -147 *1986:51 *26054:A 0.000135028 -148 *1986:96 *5782:183 4.20683e-05 -149 *1986:97 *5782:183 3.9733e-05 -150 *1986:125 *5782:183 0.000180691 -151 *1986:125 *5782:232 4.11173e-05 -152 *1996:25 *5782:183 0.000202775 -153 *1996:48 *5782:149 0.000202835 -154 *1996:48 *5782:164 0.00036988 -155 *1996:75 *5782:149 0.00024026 -156 *1997:31 *5782:192 5.33882e-05 -157 *2005:45 *26119:A 4.50033e-05 -158 *2006:12 *26119:A 4.32957e-05 -159 *2006:75 *5782:164 1.41029e-05 -160 *2006:75 *5782:183 0.000530684 -161 *2007:64 *5782:232 0.000271204 -162 *2021:8 *5782:164 4.49293e-05 -163 *2021:10 *5782:149 0.000472659 -164 *2021:10 *5782:164 0.000634415 -165 *2022:20 *5782:164 7.02772e-05 -166 *2022:28 *5782:149 0.000178947 -167 *2022:28 *5782:164 2.2628e-05 -168 *2025:6 *5782:203 0.000590257 -169 *2025:12 *5782:203 0.000104227 -170 *2026:10 *26156:A 0.000273575 -171 *2026:10 *5782:116 0.000910877 -172 *2032:89 *5782:20 7.50003e-05 -173 *2032:170 *26186:A 4.81698e-05 -174 *2037:9 *5782:192 4.46186e-06 -175 *2038:193 *5782:232 0.000161266 -176 *2038:197 *5782:183 5.26699e-05 -177 *2038:197 *5782:232 1.43288e-05 -178 *2040:17 *26452:A1 6.49287e-05 -179 *2040:24 *5782:192 0 -180 *2046:10 *5782:46 9.89509e-05 -181 *2046:66 *5782:62 0.000854926 -182 *2048:19 *26186:A 0.000175892 -183 *2056:8 *5782:164 0.000243627 -184 *2056:8 *5782:183 0.000241036 -185 *2061:18 *26119:A 5.03772e-05 -186 *2099:13 *5782:126 0.00137315 -187 *2099:18 *5782:126 0.000388984 -188 *2123:6 *5782:86 8.42062e-05 -189 *2123:6 *5782:90 7.83659e-05 -190 *2124:41 *5782:126 0.000199358 -191 *2126:8 *5782:86 8.84757e-05 -192 *2126:8 *5782:90 7.6644e-05 -193 *2127:15 *26185:A 8.89458e-05 -194 *2127:77 *26185:A 0.000611141 -195 *2127:77 *5782:86 0.000135204 -196 *2128:7 *26186:A 2.08465e-06 -197 *2131:22 *26185:A 2.83129e-05 -198 *2131:22 *5782:46 0.000469746 -199 *2131:22 *5782:86 0.000331089 -200 *2131:23 *26186:A 2.42516e-05 -201 *2141:16 *5782:20 0.000150803 -202 *2141:106 *5782:46 9.64979e-05 -203 *2142:10 *5782:20 0.000124653 -204 *2152:102 *26185:A 6.28203e-05 -205 *2152:125 *5782:20 0.000501475 -206 *2152:157 *25969:C1 0.000261895 -207 *2152:157 *5782:11 3.81062e-05 -208 *2152:157 *5782:20 8.02222e-05 -209 *2157:81 *5782:62 2.90068e-05 -210 *2159:117 *5782:28 0 -211 *2161:35 *26185:A 6.25005e-05 -212 *2176:27 *26186:A 0.000177941 -213 *2176:27 *5782:62 1.9643e-05 -214 *2176:101 *5782:46 9.89509e-05 -215 *2187:11 *5782:46 0.000175892 -216 *2187:109 *5782:46 0.000302493 -217 *2187:109 *5782:51 0.000400681 -218 *2187:115 *5782:62 6.1684e-05 -219 *2234:16 *5782:126 0.000332483 -220 *2260:33 *5782:46 1.40034e-05 -221 *2304:14 *26397:A1 0.000135028 -222 *2343:22 *5782:149 8.40264e-05 -223 *2347:8 *26186:A 0.000404181 -224 *2439:9 *5782:149 0.000164145 -225 *2470:11 *26186:A 0.000179656 -226 *2501:11 *26452:A1 0.000902116 -227 *2501:11 *5782:232 1.90936e-05 -228 *2520:18 *5782:183 5.01707e-05 -229 *2565:11 *5782:149 0.000125466 -230 *2569:6 *5782:149 0.000111676 -231 *2595:15 *26054:A 0.000135028 -232 *2595:21 *5782:164 0.00022287 -233 *2632:12 *5782:149 0.000175445 -234 *2632:12 *5782:164 6.05538e-05 -235 *2727:11 *5782:164 0.000102868 -236 *2741:11 *5782:183 0.000595722 -237 *4353:34 *5782:20 0.00150504 -238 *4353:68 *26194:D 2.8078e-05 -239 *4353:88 *26161:D 6.05161e-06 -240 *4353:88 *5782:62 1.17968e-05 -241 *4475:21 *5782:6 0.000213152 -242 *4475:21 *5782:11 0.000242208 -243 *4508:42 *5782:11 7.3025e-06 -244 *4508:77 *25967:B 0.000260078 -245 *4519:30 *5782:28 0 -246 *4530:72 *5782:86 8.34787e-05 -247 *4541:22 *5782:20 0.000127987 -248 *4541:58 *26022:C 5.87969e-05 -249 *4552:86 *5782:20 0.000202206 -250 *4552:86 *5782:28 9.11048e-06 -251 *4552:112 *26161:D 9.71197e-05 -252 *4552:112 *5782:51 0.000382744 -253 *4552:220 *5782:46 0.000421074 -254 *5007:48 *5782:183 5.68312e-06 -255 *5673:251 *5782:86 0 -256 *5673:264 *5782:46 0.00102283 -257 *5673:264 *5782:51 8.84874e-05 -258 *5722:69 *5782:28 0.000245767 -259 *5723:75 *5782:164 0.000196262 -260 *5724:6 *26000:A 0.000191918 -261 *5724:6 *5782:210 9.24395e-05 -*RES -1 *29060:X *5782:6 16.6571 -2 *5782:6 *5782:11 7.16587 -3 *5782:11 *5782:20 20.4225 -4 *5782:20 *5782:28 11.9643 -5 *5782:28 *26194:D 16.8357 -6 *5782:28 *5782:46 40.4821 -7 *5782:46 *5782:51 13.6071 -8 *5782:51 *26161:D 10.2464 -9 *5782:51 *5782:62 19.1154 -10 *5782:62 *26185:A 20.1862 -11 *5782:62 *5782:86 13.2066 -12 *5782:86 *5782:90 5.53571 -13 *5782:90 *26186:A 47.1571 -14 *5782:90 *5782:116 31.5268 -15 *5782:116 *26156:A 17.4161 -16 *5782:116 *5782:126 7.27653 -17 *5782:126 *26119:A 22.4511 -18 *5782:126 *5782:149 17.7784 -19 *5782:149 *26397:A1 24.6036 -20 *5782:149 *5782:164 29.9821 -21 *5782:164 *26054:A 15.1571 -22 *5782:164 *5782:183 39.6002 -23 *5782:183 *5782:192 31.1071 -24 *5782:192 *26095:A 9.72857 -25 *5782:192 *5782:203 25.25 -26 *5782:203 *26083:A 13.8 -27 *5782:203 *5782:210 9.83929 -28 *5782:210 *24862:A 13.8 -29 *5782:210 *26000:A 20.55 -30 *5782:183 *5782:232 10.1744 -31 *5782:232 *5782:237 5.875 -32 *5782:237 *26009:A 14.3536 -33 *5782:237 *26008:A 9.3 -34 *5782:232 *26452:A1 26.7286 -35 *5782:86 *26226:A3 18.8893 -36 *5782:46 *26022:C 20.8179 -37 *5782:20 *25967:B 16.4071 -38 *5782:11 *25969:C1 20.4416 -39 *5782:6 *26096:B 18.8536 -*END - -*D_NET *5783 0.000455453 -*CONN -*I *28783:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *28784:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 *28783:D 0.00013282 -2 *28784:HI 0.00013282 -3 *28783:RESET_B *28783:D 9.54798e-06 -4 *1717:14 *28783:D 4.49983e-05 -5 *4352:19 *28783:D 3.84902e-05 -6 *5548:16 *28783:D 9.67754e-05 -*RES -1 *28784:HI *28783:D 29.8857 -*END - -*D_NET *5784 0.00183731 -*CONN -*I *28067:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *24858:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *28067:CLK 0.000339491 -2 *24858:Y 0.000339491 -3 *28067:CLK *5824:120 0.000175846 -4 *1393:39 *28067:CLK 5.17614e-05 -5 *1677:26 *28067:CLK 0.000111676 -6 *1869:29 *28067:CLK 0.000128154 -7 *3567:17 *28067:CLK 0.000690894 -*RES -1 *24858:Y *28067:CLK 37.475 -*END - -*D_NET *5785 0.000642751 -*CONN -*I *25565:B I *D sky130_fd_sc_hd__nor2_2 -*I *30828:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *25565:B 0.000204936 -2 *30828:Y 0.000204936 -3 *25565:B *6036:52 0.000127446 -4 *25565:A *25565:B 3.34295e-05 -5 *30828:A *25565:B 1.40415e-05 -6 *3581:26 *25565:B 1.13406e-05 -7 *5778:123 *25565:B 4.66203e-05 -*RES -1 *30828:Y *25565:B 31.0107 -*END - -*D_NET *5786 0.00295686 -*CONN -*I *25603:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *29061:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25603:A0 0.00091537 -2 *29061:X 0.00091537 -3 *25603:A0 *6366:8 9.01238e-05 -4 *25603:A0 *6374:61 0 -5 *28829:D *25603:A0 5.01117e-05 -6 *29061:A *25603:A0 0.000428908 -7 *1821:18 *25603:A0 0.000429124 -8 *3530:15 *25603:A0 5.33005e-05 -9 *5544:11 *25603:A0 7.45483e-05 -*RES -1 *29061:X *25603:A0 46.0643 -*END - -*D_NET *5787 0.0130769 -*CONN -*I *26953:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29074:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29702:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26953:A1 0.00268422 -2 *29074:A 0.000718478 -3 *29702:X 0.000814901 -4 *5787:9 0.0042176 -5 *26953:A1 *6261:17 0.000492602 -6 *26953:A1 *6271:17 0.000142978 -7 *26953:A1 *6278:11 0.000269428 -8 *29074:A *5897:13 4.87854e-05 -9 *29074:A *5905:41 0.000646398 -10 *29074:A *6260:10 0.000120267 -11 *29074:A *6262:14 2.80768e-05 -12 *5787:9 *6261:17 6.147e-05 -13 *26850:A0 *26953:A1 0 -14 *26857:A0 *5787:9 3.52877e-05 -15 *26986:S *26953:A1 0 -16 *29967:A *26953:A1 0 -17 *29975:A *26953:A1 0.000442661 -18 *778:15 *5787:9 0.000110151 -19 *869:15 *26953:A1 6.01201e-05 -20 *972:21 *26953:A1 0.000106531 -21 *2756:10 *29074:A 0.000391132 -22 *2756:31 *29074:A 0.000328494 -23 *2770:134 *26953:A1 0 -24 *2770:134 *5787:9 0 -25 *3604:92 *26953:A1 6.82827e-05 -26 *3604:92 *29074:A 9.58324e-05 -27 *3604:92 *5787:9 4.49768e-05 -28 *3604:110 *29074:A 0.000215039 -29 *3604:124 *29074:A 0.000308152 -30 *3608:89 *26953:A1 4.64488e-06 -31 *4817:18 *26953:A1 6.15613e-05 -32 *5462:33 *29074:A 7.51077e-05 -33 *5772:83 *5787:9 0.000483707 -*RES -1 *29702:X *5787:9 20.5497 -2 *5787:9 *29074:A 35.4868 -3 *5787:9 *26953:A1 33.6263 -*END - -*D_NET *5788 0.00610202 -*CONN -*I *28536:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29813:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28536:D 0.000108816 -2 *29813:X 0.00172104 -3 *5788:18 0.00182985 -4 *28536:D *6270:11 0.000104523 -5 *5788:18 *6221:16 4.33438e-05 -6 *24827:A *5788:18 5.21626e-05 -7 *28910:A *5788:18 0.000377573 -8 *29421:A *5788:18 0.000424885 -9 *29966:A *5788:18 1.94879e-05 -10 *30580:A *5788:18 0.000487467 -11 *1083:15 *5788:18 1.83954e-05 -12 *2794:69 *28536:D 0.000158902 -13 *2794:69 *5788:18 0.000472727 -14 *3694:28 *5788:18 0.000282842 -*RES -1 *29813:X *5788:18 48.2107 -2 *5788:18 *28536:D 16.8 -*END - -*D_NET *5789 0.00270665 -*CONN -*I *25593:A0 I *D sky130_fd_sc_hd__mux2_8 -*I *29924:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25593:A0 0.000933883 -2 *29924:X 0.000933883 -3 *25593:A0 *29656:A 0.000308469 -4 *1817:16 *25593:A0 0.000144702 -5 *3583:149 *25593:A0 0 -6 *5779:181 *25593:A0 0.000385717 -*RES -1 *29924:X *25593:A0 42.2786 -*END - -*D_NET *5790 0.00814522 -*CONN -*I *30479:A I *D sky130_fd_sc_hd__buf_6 -*I *30035:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *30479:A 0.000282945 -2 *30035:X 0.00285076 -3 *5790:9 0.0031337 -4 *30479:A *5795:19 0.000179629 -5 *5790:9 *5836:312 1.21258e-05 -6 *28562:CLK *5790:9 0.000378428 -7 *28562:D *5790:9 1.92905e-05 -8 *28562:SET_B *5790:9 2.79421e-05 -9 *28744:CLK *5790:9 0.000103977 -10 *28744:D *5790:9 6.09804e-05 -11 *29456:A *5790:9 0.000218315 -12 *3601:76 *5790:9 0.00015733 -13 *3699:13 *5790:9 2.59355e-05 -14 *3907:16 *30479:A 0.000285337 -15 *5708:278 *30479:A 0.000316069 -16 *5769:32 *5790:9 9.24555e-05 -*RES -1 *30035:X *5790:9 47.925 -2 *5790:9 *30479:A 21.2821 -*END - -*D_NET *5791 0.00220709 -*CONN -*I *28760:D I *D sky130_fd_sc_hd__dfstp_2 -*I *30146:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28760:D 0.000695475 -2 *30146:X 0.000695475 -3 *28760:D *5795:163 0.000134471 -4 *28760:D *5824:153 0.000170467 -5 *28548:CLK *28760:D 0.000220449 -6 *28760:CLK *28760:D 5.30637e-06 -7 *28760:SET_B *28760:D 2.51935e-05 -8 *2763:207 *28760:D 0.000177204 -9 *4054:7 *28760:D 2.59355e-05 -10 *5736:24 *28760:D 5.71119e-05 -*RES -1 *30146:X *28760:D 41.9571 -*END - -*D_NET *5792 0.0365993 -*CONN -*I *25250:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30710:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *25250:A1 0.000652608 -2 *30710:X 0.00152233 -3 *5792:40 0.00172847 -4 *5792:28 0.00323509 -5 *5792:26 0.00349284 -6 *5792:23 0.0014968 -7 *5792:15 0.00168551 -8 *25250:A1 *5836:284 0.0002837 -9 *5792:15 *25544:S 5.52302e-05 -10 *5792:15 *25740:S 0.000228558 -11 *5792:15 *5879:237 0 -12 *5792:15 *5893:14 0 -13 *5792:15 *5926:49 2.15363e-05 -14 *5792:23 *25544:S 0.000171375 -15 *5792:28 *5824:147 7.51332e-05 -16 *5792:28 *6280:17 0.000110498 -17 *25112:A2 *5792:28 0.000519113 -18 *25130:A1 *25250:A1 0.000121016 -19 *25141:C1 *5792:28 0.000169357 -20 *25741:A1 *5792:15 1.02504e-05 -21 *26929:A0 *5792:23 0.000482601 -22 *27196:A1 *5792:15 0.000448764 -23 *27196:S *5792:15 0.000487467 -24 *27412:A2 *25250:A1 0.000284426 -25 *27413:A1 *5792:40 6.09572e-05 -26 *27415:A1 *5792:40 0.000150575 -27 *28574:CLK *5792:28 2.26973e-05 -28 *28751:D *5792:15 0.000208394 -29 *30328:A *5792:28 0.00012401 -30 *30710:A *5792:15 2.27416e-05 -31 *30846:A *5792:15 0 -32 *552:14 *5792:15 4.72595e-05 -33 *1557:16 *25250:A1 0 -34 *2764:46 *5792:26 0.000171334 -35 *2788:105 *5792:28 0.000887826 -36 *2788:124 *5792:28 0 -37 *2788:139 *5792:28 0.000750814 -38 *2788:139 *5792:40 0.000231702 -39 *2893:24 *5792:28 0.000133986 -40 *2893:24 *5792:40 0.00133695 -41 *2970:25 *5792:40 0.000242577 -42 *3304:22 *5792:40 0.000932589 -43 *3602:35 *5792:23 0.000195555 -44 *3732:11 *5792:28 0.00138485 -45 *3822:110 *5792:15 0 -46 *4024:23 *5792:26 0 -47 *4024:23 *5792:28 0.00161051 -48 *4043:54 *5792:26 0.00293391 -49 *4043:54 *5792:28 0 -50 *4043:58 *5792:28 0.00026777 -51 *4043:70 *5792:40 2.49484e-05 -52 *4102:8 *5792:26 1.02504e-05 -53 *4102:12 *5792:26 0.00286378 -54 *4133:32 *5792:40 0.000835301 -55 *5397:23 *5792:15 2.95641e-05 -56 *5462:21 *5792:23 0.000482601 -57 *5630:274 *5792:40 4.59075e-05 -58 *5688:97 *5792:40 0.000138793 -59 *5704:6 *5792:28 0.000464924 -60 *5704:18 *5792:28 0.00190708 -61 *5772:50 *5792:15 0.000796514 -*RES -1 *30710:X *5792:15 49.4607 -2 *5792:15 *5792:23 20.6964 -3 *5792:23 *5792:26 44.1339 -4 *5792:26 *5792:28 69.3393 -5 *5792:28 *5792:40 46.0625 -6 *5792:40 *25250:A1 27.9429 -*END - -*D_NET *5793 0.00277845 -*CONN -*I *25593:A1 I *D sky130_fd_sc_hd__mux2_8 -*I *30257:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25593:A1 0.000829199 -2 *30257:X 0.000829199 -3 *25593:A1 *25595:A0 0.000202835 -4 *25593:A1 *25595:A1 0 -5 *25593:A1 *25597:A1 0.000118231 -6 *25593:A1 *29527:A 3.14048e-05 -7 *25591:A1 *25593:A1 0.000447566 -8 *27998:A1 *25593:A1 4.57445e-05 -9 *28823:CLK *25593:A1 0.00014285 -10 *28823:D *25593:A1 7.61932e-05 -11 *28825:D *25593:A1 0 -12 *30257:A *25593:A1 5.52302e-05 -13 *5672:8 *25593:A1 0 -*RES -1 *30257:X *25593:A1 36.925 -*END - -*D_NET *5794 0.00361181 -*CONN -*I *30035:A I *D sky130_fd_sc_hd__buf_6 -*I *30368:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *30035:A 0.000926334 -2 *30368:X 0.000926334 -3 *30035:A *25605:A0 0.000573169 -4 *30035:A *28980:A 0.000352387 -5 *30035:A *5836:312 0.000462839 -6 *29902:A *30035:A 0.000271352 -7 *5688:10 *30035:A 9.93955e-05 -*RES -1 *30368:X *30035:A 38.8679 -*END - -*D_NET *5795 0.124048 -*CONN -*I *25714:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *26867:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *6519:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *26851:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *26912:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26939:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28997:A I *D sky130_fd_sc_hd__buf_6 -*I *27206:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28999:A I *D sky130_fd_sc_hd__buf_8 -*I *30479:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *25714:A1 0.000252698 -2 *26867:A0 0 -3 *6519:DIODE 0 -4 *26851:A1 0 -5 *26912:A0 5.7364e-05 -6 *26939:A0 7.78609e-05 -7 *28997:A 0.00113753 -8 *27206:A0 0 -9 *28999:A 0.000527274 -10 *30479:X 2.13113e-05 -11 *5795:229 0.000483154 -12 *5795:221 0.00210363 -13 *5795:206 0.00455992 -14 *5795:188 0.00371462 -15 *5795:181 0.0019839 -16 *5795:163 0.00255559 -17 *5795:113 0.00261396 -18 *5795:106 0.0026458 -19 *5795:96 0.00536543 -20 *5795:82 0.00544214 -21 *5795:78 0.00207166 -22 *5795:70 0.00191859 -23 *5795:61 0.00185228 -24 *5795:60 0.00175557 -25 *5795:50 0.00157622 -26 *5795:44 0.00220725 -27 *5795:36 0.000380266 -28 *5795:19 0.00255787 -29 *5795:5 0.00226916 -30 *25714:A1 *26867:S 5.33005e-05 -31 *26912:A0 *5820:31 4.58976e-05 -32 *26912:A0 *5820:46 0.000117024 -33 *26939:A0 *5810:97 0.000137983 -34 *5795:36 *5863:66 4.03996e-05 -35 *5795:36 *5863:77 1.06172e-05 -36 *5795:50 *5863:77 2.77916e-05 -37 *5795:60 *5824:153 0.00162571 -38 *5795:70 *5810:86 0.000170654 -39 *5795:70 *5810:97 5.33005e-05 -40 *5795:70 *5863:98 0.00072019 -41 *5795:78 *27122:S 0.000194447 -42 *5795:96 *5861:52 0.000132869 -43 *5795:96 *6280:18 0.000158925 -44 *5795:181 *5903:28 0.000817991 -45 *5795:188 *5810:77 0.000223599 -46 *5795:206 *5873:281 0.000348611 -47 *5795:221 *27107:A0 9.73694e-05 -48 *5795:221 *5829:149 0.00019699 -49 *5795:221 *5863:132 0.000161258 -50 *5795:221 *5873:257 9.60875e-05 -51 *5795:221 *5873:281 2.14079e-05 -52 mgmt_gpio_oeb[15] *25714:A1 0.000200406 -53 *24934:B *5795:106 0.000223469 -54 *24948:B *5795:106 0.000461937 -55 *25107:C1 *5795:96 8.80596e-06 -56 *25315:C1 *5795:70 4.3244e-05 -57 *25334:B *5795:113 0.0012893 -58 *25864:B *28997:A 6.7848e-05 -59 *25869:A0 *28997:A 0 -60 *26851:A0 *5795:163 5.50052e-05 -61 *26851:A0 *5795:181 0.000182799 -62 *26851:S *5795:163 6.05161e-06 -63 *26851:S *5795:181 2.28598e-05 -64 *26867:A1 *25714:A1 0.000255972 -65 *26887:A0 *5795:181 2.96942e-05 -66 *26887:A0 *5795:188 0.000145753 -67 *26922:A0 *5795:163 0.00014465 -68 *26922:A1 *5795:163 2.44318e-05 -69 *26922:S *5795:163 0.000255347 -70 *26939:A1 *26939:A0 5.52302e-05 -71 *26940:A1 *5795:61 0.000341276 -72 *27015:A1 *5795:206 8.93351e-05 -73 *27112:A1 *5795:181 0.000121982 -74 *27146:A1 *5795:60 0.000162966 -75 *27180:A0 *5795:50 5.59013e-05 -76 *27191:A0 *5795:19 0.000239957 -77 *27191:A1 *5795:19 8.97864e-05 -78 *27200:S *5795:70 0.000500376 -79 *27206:A1 *5795:44 2.85234e-05 -80 *27414:B2 *5795:78 0.00102564 -81 *27578:A2 *28997:A 8.73679e-05 -82 *27592:A1 *5795:113 0.000219289 -83 *27592:A2 *5795:113 6.17358e-05 -84 *27592:B1 *5795:113 5.28563e-05 -85 *27592:B2 *5795:113 0.000136525 -86 *27609:A2 *5795:60 0.000175892 -87 *27674:A1 *5795:96 4.63209e-05 -88 *27704:B1 *5795:188 4.54897e-05 -89 *27747:B1 *5795:70 0.000130366 -90 *27779:A2 *5795:206 5.85851e-05 -91 *28477:RESET_B *5795:188 0.000123181 -92 *28501:CLK *5795:181 0.000563706 -93 *28534:D *28999:A 0.000289315 -94 *28534:RESET_B *5795:19 7.60972e-05 -95 *28597:CLK *5795:221 0.000230304 -96 *28597:RESET_B *5795:221 9.73563e-05 -97 *28597:RESET_B *5795:229 1.3701e-05 -98 *28599:D *5795:206 0.000111257 -99 *28635:D *5795:78 0.000245222 -100 *28667:D *5795:96 4.22135e-06 -101 *28669:CLK *5795:206 0.000211507 -102 *28669:D *5795:206 0.000250532 -103 *28669:RESET_B *5795:206 0.000327562 -104 *28729:D *5795:61 0.000281226 -105 *28737:RESET_B *5795:50 5.6197e-05 -106 *28760:D *5795:163 0.000134471 -107 *29049:A *5795:19 0.000330552 -108 *29062:A *5795:163 8.21234e-05 -109 *29122:A *5795:163 5.4684e-05 -110 *29125:A *5795:163 0.000170999 -111 *29413:A *5795:44 8.88686e-05 -112 *29420:A *5795:221 0.00013921 -113 *29423:A *5795:50 3.34366e-05 -114 *29423:A *5795:60 0.000204618 -115 *29617:A *5795:221 0.000421074 -116 *29665:A *5795:70 0.000306919 -117 *29747:A *26939:A0 4.43157e-05 -118 *29857:A *28999:A 0.00019127 -119 *30209:A *5795:19 6.8445e-06 -120 *30212:A *5795:221 9.41642e-05 -121 *30415:A *5795:181 0.000370575 -122 *30425:A *5795:82 0.000180978 -123 *30479:A *5795:19 0.000179629 -124 *792:24 *5795:221 0.000197851 -125 *890:19 *5795:221 0.000589407 -126 *922:17 *5795:221 0.00107249 -127 *1258:49 *5795:78 0.000260635 -128 *1265:161 *5795:181 3.25078e-05 -129 *1266:104 *5795:96 8.98342e-05 -130 *1279:102 *5795:96 8.92786e-05 -131 *1399:19 *5795:113 0.000913361 -132 *1423:54 *28997:A 0.00150169 -133 *1430:90 *5795:106 0.000193833 -134 *1576:8 *5795:96 2.22043e-05 -135 *1576:27 *5795:96 7.01638e-05 -136 *1605:13 *5795:96 0.000348361 -137 *1633:29 *5795:78 1.79205e-05 -138 *1633:29 *5795:82 0.00115754 -139 *1659:34 *5795:96 0.00559707 -140 *1685:22 *5795:113 0.000790777 -141 *1697:32 *5795:221 0.000527315 -142 *2754:28 *5795:188 0 -143 *2754:34 *5795:181 0.00068492 -144 *2754:41 *5795:163 4.58194e-05 -145 *2759:111 *5795:60 0.000111243 -146 *2763:207 *5795:163 6.1293e-05 -147 *2767:188 *28999:A 0.000285215 -148 *2767:190 *28999:A 0 -149 *2771:65 *5795:181 0.000175892 -150 *2776:257 *5795:61 1.98839e-05 -151 *2776:257 *5795:70 9.41642e-05 -152 *2779:80 *5795:78 0.00013878 -153 *2779:96 *5795:96 0.000240952 -154 *2779:121 *5795:96 1.47871e-05 -155 *2781:98 *5795:96 0.000187857 -156 *2791:66 *5795:206 6.82498e-05 -157 *2794:95 *5795:44 0.000140684 -158 *2794:95 *5795:50 0.000595844 -159 *2845:286 *5795:82 0.000131656 -160 *2848:123 *28997:A 8.90826e-05 -161 *2877:299 *5795:96 0.00067121 -162 *2879:118 *5795:96 0.000731503 -163 *2888:291 *5795:206 0.000512839 -164 *2893:43 *5795:96 9.37899e-06 -165 *2978:22 *5795:96 0.00458256 -166 *3156:162 *28997:A 0 -167 *3164:374 *5795:188 4.69011e-05 -168 *3216:142 *28997:A 6.60156e-05 -169 *3578:27 *28999:A 0 -170 *3578:36 *28999:A 0 -171 *3582:13 *5795:181 0.000177815 -172 *3582:134 *5795:206 9.47909e-05 -173 *3603:95 *5795:44 9.58632e-05 -174 *3607:29 *5795:206 0.000592363 -175 *3685:89 *5795:106 0.00141819 -176 *3686:27 *5795:36 5.29921e-05 -177 *3699:24 *5795:106 0.000195555 -178 *3712:28 *5795:96 0.000210142 -179 *3728:31 *5795:113 0.000194143 -180 *3739:122 *5795:96 0 -181 *3757:15 *5795:206 0.000223514 -182 *3757:102 *25714:A1 6.12434e-05 -183 *3757:102 *5795:221 2.72695e-05 -184 *3757:102 *5795:229 0.000279362 -185 *3797:101 *5795:82 0.000477196 -186 *3797:101 *5795:96 0.000493772 -187 *3832:43 *5795:113 0.000195851 -188 *3846:8 *5795:60 0.00162571 -189 *3848:14 *5795:181 0.00023313 -190 *3848:14 *5795:188 0.00171342 -191 *3849:69 *5795:106 0.00183869 -192 *3857:80 *5795:113 0.00100031 -193 *3857:91 *5795:106 0.000378432 -194 *3867:80 *5795:96 4.88232e-05 -195 *3871:50 *28997:A 2.59355e-05 -196 *3871:51 *5795:113 0.000518305 -197 *3887:7 *5795:206 7.61264e-05 -198 *3887:9 *5795:206 4.58194e-05 -199 *3887:17 *5795:206 7.31843e-05 -200 *3907:101 *5795:19 0.00122096 -201 *3911:17 *5795:82 0.000975912 -202 *3911:51 *5795:82 0.000133009 -203 *3914:26 *5795:78 0.000248026 -204 *3948:41 *28997:A 0.000305759 -205 *3978:44 *5795:188 0.000561182 -206 *3984:73 *28997:A 0 -207 *4029:16 *5795:44 0.000149113 -208 *4029:16 *5795:50 0.000954622 -209 *4030:27 *5795:206 0.000135028 -210 *4033:14 *5795:206 9.30833e-05 -211 *4054:8 *5795:50 1.44428e-05 -212 *4054:8 *5795:60 0.000139965 -213 *4064:40 *5795:113 0.000224096 -214 *4080:22 *5795:60 0.000559726 -215 *4080:41 *5795:70 0.000170007 -216 *4080:41 *5795:78 0.000437804 -217 *4080:44 *5795:78 5.26224e-05 -218 *4080:44 *5795:82 0.000371998 -219 *4080:44 *5795:96 4.32182e-06 -220 *4135:17 *5795:19 0.000510635 -221 *4144:35 *28997:A 5.33005e-05 -222 *4144:47 *5795:113 0.000584528 -223 *4512:14 *5795:221 0.000449575 -224 *5582:103 *5795:70 1.90936e-05 -225 *5582:156 *5795:206 0.000475551 -226 *5586:98 *25714:A1 0.000580874 -227 *5593:20 *5795:70 0.00153117 -228 *5593:20 *5795:78 7.18027e-05 -229 *5593:33 *5795:70 9.4422e-05 -230 *5595:69 *5795:206 0.000505898 -231 *5627:237 *5795:206 8.7425e-05 -232 *5640:176 *5795:70 0.00031763 -233 *5645:156 *5795:78 4.21517e-05 -234 *5661:232 *5795:82 0.000982737 -235 *5661:232 *5795:96 1.91937e-05 -236 *5689:70 *5795:19 5.10039e-05 -237 *5708:34 *5795:70 1.84726e-05 -238 *5708:80 *5795:82 0.000205263 -239 *5708:100 *5795:96 0.00129224 -240 *5709:10 *28999:A 0.000318774 -241 *5709:20 *28999:A 0.000852814 -242 *5709:32 *28999:A 8.1932e-05 -243 *5709:254 *5795:19 0.000163327 -244 *5709:263 *5795:19 0.00133799 -245 *5713:45 *5795:96 6.90381e-06 -246 *5713:336 *5795:96 0.000984523 -247 *5716:121 *26912:A0 0.00022266 -248 *5763:97 *5795:19 8.89716e-05 -249 *5771:107 *5795:181 1.97381e-05 -250 *5772:7 *5795:19 0.000129239 -251 *5772:22 *5795:19 0.000695467 -252 *5774:51 *5795:206 8.48807e-05 -253 *5777:94 *5795:19 0.00022674 -*RES -1 *30479:X *5795:5 9.72857 -2 *5795:5 *5795:19 49.2143 -3 *5795:19 *28999:A 36.3714 -4 *5795:5 *5795:36 13.0179 -5 *5795:36 *27206:A0 9.3 -6 *5795:36 *5795:44 9.03571 -7 *5795:44 *5795:50 13.8393 -8 *5795:50 *5795:60 46.7857 -9 *5795:60 *5795:61 11.625 -10 *5795:61 *5795:70 36.1161 -11 *5795:70 *5795:78 23.1964 -12 *5795:78 *5795:82 37.0893 -13 *5795:82 *5795:96 49.8938 -14 *5795:96 *5795:106 47 -15 *5795:106 *5795:113 48.7679 -16 *5795:113 *28997:A 30.7289 -17 *5795:61 *26939:A0 11.4964 -18 *5795:50 *26912:A0 15.9786 -19 *5795:44 *5795:163 31.9821 -20 *5795:163 *26851:A1 9.3 -21 *5795:163 *5795:181 40.8036 -22 *5795:181 *5795:188 32 -23 *5795:188 *5795:206 48.4442 -24 *5795:206 *5795:221 46.4286 -25 *5795:221 *6519:DIODE 9.3 -26 *5795:221 *5795:229 4.44643 -27 *5795:229 *26867:A0 9.3 -28 *5795:229 *25714:A1 27.4786 -*END - -*D_NET *5796 0.00437381 -*CONN -*I *28446:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29062:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28446:D 0.00168503 -2 *29062:X 0.00168503 -3 *28446:D *5829:207 0.000351474 -4 *28446:D *6274:8 2.00085e-05 -5 *28446:RESET_B *28446:D 2.59355e-05 -6 *29062:A *28446:D 0.000368753 -7 *3604:30 *28446:D 0.000140086 -8 *5771:116 *28446:D 9.7497e-05 -*RES -1 *29062:X *28446:D 39.7295 -*END - -*D_NET *5797 0.0129788 -*CONN -*I *31019:A I *D sky130_fd_sc_hd__buf_4 -*I *29173:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *31019:A 8.38477e-06 -2 *29173:X 0.000130148 -3 *5797:11 0.00372939 -4 *5797:7 0.00385116 -5 *5797:7 *5838:11 0.000182694 -6 *5797:11 *24886:A1 0.000241205 -7 *5797:11 *25862:S 0 -8 *5797:11 *25863:S 0 -9 *5797:11 *5812:8 0.00121477 -10 *5797:11 *5836:104 0.000120711 -11 *5797:11 *5850:46 0.000149826 -12 *5797:11 *5900:10 5.26184e-05 -13 *24875:B1 *5797:11 0 -14 *25449:A1 *5797:11 0.000262092 -15 *25862:A1 *5797:11 4.03109e-05 -16 *28282:CLK *5797:11 0.000144784 -17 *28308:RESET_B *5797:11 0 -18 *29658:A *5797:11 0.000124865 -19 *29909:A *5797:11 0 -20 *440:29 *5797:11 0 -21 *1173:96 *5797:11 0 -22 *1173:130 *5797:11 0 -23 *1427:161 *5797:11 1.08359e-05 -24 *1472:25 *5797:11 0.000387227 -25 *1862:34 *5797:11 0.000208613 -26 *1862:36 *5797:11 0.000160375 -27 *1875:36 *5797:11 0 -28 *3196:179 *5797:11 2.86703e-05 -29 *3701:19 *5797:11 0 -30 *3701:32 *31019:A 1.01912e-05 -31 *3702:26 *5797:11 0.000382474 -32 *3711:79 *5797:11 0.000815635 -33 *3882:47 *5797:11 1.36734e-05 -34 *4103:68 *5797:11 0.00015501 -35 *4143:72 *31019:A 2.11419e-05 -36 *4156:60 *5797:11 0 -37 *4157:45 *5797:11 0.000305173 -38 *5688:242 *5797:11 3.91575e-05 -39 *5719:115 *5797:11 6.19181e-05 -40 *5742:268 *5797:11 0.000125717 -*RES -1 *29173:X *5797:7 15.5679 -2 *5797:7 *5797:11 47.5023 -3 *5797:11 *31019:A 17.4868 -*END - -*D_NET *5798 0.020578 -*CONN -*I *25588:A1 I *D sky130_fd_sc_hd__o21a_4 -*I *25589:A1 I *D sky130_fd_sc_hd__o21ai_4 -*I *29284:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25588:A1 0.000399649 -2 *25589:A1 0 -3 *29284:X 0.00220003 -4 *5798:15 0.000802604 -5 *5798:12 0.00255231 -6 *5798:10 0.00300047 -7 *5798:7 0.00305114 -8 *25588:A1 *25589:B1 0.00052833 -9 *25588:A1 *5799:7 0.00104038 -10 *25588:A1 *5823:13 7.61932e-05 -11 *5798:7 *28242:D 2.05418e-05 -12 *5798:7 *6354:7 9.41642e-05 -13 *5798:10 *28363:D 0.00010326 -14 *5798:12 *25804:A 7.95674e-05 -15 *5798:12 *25813:A 0.000407474 -16 *5798:12 *27972:A 5.51406e-05 -17 *5798:12 *6371:18 1.69115e-05 -18 *5798:15 *6372:5 0.000263524 -19 *25199:A2 *5798:12 6.19181e-05 -20 *25517:B1 *5798:15 7.8401e-05 -21 *25589:A2 *25588:A1 0.000137983 -22 *28318:RESET_B *5798:15 9.90431e-05 -23 *28322:D *5798:12 0.000125717 -24 *28323:D *5798:12 0.000100831 -25 *28402:RESET_B *5798:7 3.63775e-05 -26 *28893:A *5798:10 0.000129967 -27 *28893:A *5798:12 0 -28 *29395:A *25588:A1 2.42662e-05 -29 *29724:A *5798:7 0.000107985 -30 *29727:A *5798:10 0.000221163 -31 *1174:51 *5798:12 0.000559794 -32 *1782:8 *5798:12 0.000179873 -33 *1782:23 *5798:12 2.84494e-05 -34 *1782:29 *5798:12 0.00024068 -35 *1869:29 *5798:10 0 -36 *1883:29 *25588:A1 7.8327e-05 -37 *3567:8 *5798:12 5.09858e-05 -38 *3567:17 *5798:12 0.00052463 -39 *3581:33 *5798:7 0.000389516 -40 *3581:38 *5798:7 0.000126639 -41 *3592:50 *5798:12 0 -42 *3765:14 *5798:10 0.00103905 -43 *4078:10 *5798:12 0 -44 *4088:15 *5798:12 0 -45 *4350:103 *25588:A1 7.6021e-05 -46 *5669:30 *5798:10 0 -47 *5709:79 *5798:10 0.000392211 -48 *5729:41 *5798:12 0 -49 *5729:60 *5798:12 0 -50 *5729:92 *5798:12 9.13939e-05 -51 *5760:58 *5798:10 0.000167614 -52 *5760:119 *5798:10 0.000186304 -53 *5760:138 *5798:10 0.000376467 -54 *5779:14 *5798:12 0 -55 *5779:26 *5798:12 0 -56 *5779:99 *5798:15 8.27532e-05 -57 *5780:79 *5798:7 0.000171968 -*RES -1 *29284:X *5798:7 39.8 -2 *5798:7 *5798:10 25.7232 -3 *5798:10 *5798:12 49.7411 -4 *5798:12 *5798:15 12.0179 -5 *5798:15 *25589:A1 9.3 -6 *5798:15 *25588:A1 31.8893 -*END - -*D_NET *5799 0.0372203 -*CONN -*I *25735:D I *D sky130_fd_sc_hd__or4_4 -*I *26865:D I *D sky130_fd_sc_hd__or4_4 -*I *25744:D I *D sky130_fd_sc_hd__or4_4 -*I *29395:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25735:D 0.000167605 -2 *26865:D 8.47514e-05 -3 *25744:D 2.25043e-05 -4 *29395:X 0 -5 *5799:27 0.0019047 -6 *5799:12 0.00242874 -7 *5799:10 0.00324076 -8 *5799:7 0.00314713 -9 *5799:4 0.00396495 -10 *25744:D *25084:A 9.58126e-05 -11 *5799:7 *5823:13 1.39726e-05 -12 *5799:10 *25853:B 0.000187012 -13 *5799:10 *6376:8 0.000442792 -14 *5799:10 *6377:174 0.000153047 -15 *5799:10 *6377:185 0.000200413 -16 *5799:12 *25914:A1 0.000267807 -17 *5799:12 *25928:A1 0.000125724 -18 *5799:12 *5823:32 3.61031e-05 -19 *5799:12 *5823:34 0.00511264 -20 *5799:12 *5833:11 0.000530236 -21 *25588:A1 *5799:7 0.00104038 -22 *25711:A2 *5799:27 0.00034011 -23 *26865:C *26865:D 0.000144427 -24 *27895:A1 *5799:12 0.000701163 -25 *27920:A1 *5799:12 0.000932115 -26 *27920:B1 *5799:12 5.41794e-05 -27 *28354:D *5799:10 0.000579323 -28 *28578:CLK *5799:10 4.38511e-05 -29 *28578:D *5799:10 0 -30 *29395:A *5799:7 0.000527837 -31 *29731:A *5799:12 0.000126439 -32 *1283:9 *5799:7 5.49544e-05 -33 *1283:9 *5799:27 0.00159148 -34 *1283:20 *5799:27 5.52238e-05 -35 *1361:68 *5799:27 3.06878e-06 -36 *1475:42 *5799:12 7.6644e-05 -37 *1475:60 *5799:12 0.000620087 -38 *1476:238 *25744:D 9.77423e-05 -39 *1896:23 *5799:12 9.90787e-05 -40 *3543:6 *5799:10 0.000231695 -41 *3543:6 *5799:12 0.000193878 -42 *3591:60 *5799:10 2.38063e-05 -43 *3762:17 *5799:12 1.94879e-05 -44 *4012:11 *5799:12 0.000461329 -45 *4025:21 *5799:12 0.000197087 -46 *4025:31 *5799:12 0.000121752 -47 *4035:33 *5799:12 0.000134695 -48 *4039:8 *5799:12 0.000326686 -49 *4083:20 *25735:D 0.000487233 -50 *4083:20 *26865:D 0.000241072 -51 *5457:22 *5799:10 0.000128905 -52 *5457:22 *5799:12 0.00102088 -53 *5458:40 *5799:12 0.000512936 -54 *5584:163 *26865:D 4.14482e-05 -55 *5630:307 *5799:7 0.000196179 -56 *5641:96 *5799:12 0.0014003 -57 *5719:12 *5799:10 2.84494e-05 -58 *5719:17 *5799:10 0.000982518 -59 *5719:17 *5799:12 0.000519496 -60 *5729:198 *25735:D 0.000496483 -61 *5729:198 *26865:D 2.24646e-05 -62 *5762:193 *5799:10 8.98801e-05 -63 *5762:209 *5799:10 0.000126785 -64 *5780:110 *5799:10 0 -*RES -1 *29395:X *5799:4 9.3 -2 *5799:4 *5799:7 34.6071 -3 *5799:7 *5799:10 29.0446 -4 *5799:10 *5799:12 91.6518 -5 *5799:12 *25744:D 14.7464 -6 *5799:4 *5799:27 26.5357 -7 *5799:27 *26865:D 16.9607 -8 *5799:27 *25735:D 20.3 -*END - -*D_NET *5800 0.0567497 -*CONN -*I *26873:S I *D sky130_fd_sc_hd__mux2_1 -*I *26867:S I *D sky130_fd_sc_hd__mux2_1 -*I *26869:S I *D sky130_fd_sc_hd__mux2_1 -*I *26870:S I *D sky130_fd_sc_hd__mux2_1 -*I *26868:S I *D sky130_fd_sc_hd__mux2_1 -*I *26866:S I *D sky130_fd_sc_hd__mux2_1 -*I *26871:S I *D sky130_fd_sc_hd__mux2_1 -*I *26872:S I *D sky130_fd_sc_hd__mux2_1 -*I *29506:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26873:S 0.000675034 -2 *26867:S 0.000120306 -3 *26869:S 3.00142e-05 -4 *26870:S 4.87656e-05 -5 *26868:S 0.00176143 -6 *26866:S 3.04135e-05 -7 *26871:S 0.000465475 -8 *26872:S 0.000203545 -9 *29506:X 0.000254083 -10 *5800:119 0.00305566 -11 *5800:108 0.00228829 -12 *5800:90 0.00159017 -13 *5800:85 0.000940881 -14 *5800:84 0.00188049 -15 *5800:78 0.00274799 -16 *5800:68 0.00318175 -17 *5800:43 0.00112476 -18 *5800:19 0.0028704 -19 *5800:11 0.00362723 -20 *26868:S *28517:D 0.000178847 -21 *26869:S *25718:A1 3.57366e-05 -22 *26870:S *6207:19 5.24487e-05 -23 *26871:S *27186:B 0.000135028 -24 *26871:S *5911:5 9.60875e-05 -25 *26871:S *5911:268 9.41642e-05 -26 *26872:S *25724:A0 4.43299e-05 -27 *26872:S *5911:43 0.000352063 -28 *5800:78 *6086:23 0.00116528 -29 *5800:108 *25718:A1 3.14163e-05 -30 *5800:108 *6206:11 0.000171375 -31 *5800:119 *25718:A1 9.76297e-05 -32 *5800:119 *5824:306 0.000456783 -33 *5800:119 *5824:322 0.000178847 -34 *5800:119 *5824:328 0.000329865 -35 *5800:119 *5911:115 9.94769e-05 -36 *5800:119 *6001:17 0 -37 mgmt_gpio_out[15] *5800:84 0.000222687 -38 *25051:B1 *5800:11 0.000355056 -39 *25051:B1 *5800:68 1.36114e-05 -40 *25714:A1 *26867:S 5.33005e-05 -41 *26867:A1 *5800:90 0 -42 *26905:S *5800:108 0.000337527 -43 *26946:A *5800:19 0.000125685 -44 *27006:A1 *5800:78 0.000785056 -45 *27006:S *5800:78 9.60939e-05 -46 *27126:A *5800:43 0.000175207 -47 *27150:S *5800:43 0.000583908 -48 *27152:S *5800:11 0.000116734 -49 *28517:RESET_B *26868:S 8.94203e-05 -50 *29069:A *5800:78 0.000135028 -51 *29329:A *26872:S 2.47808e-05 -52 *29329:A *5800:43 6.88204e-05 -53 *29783:A *5800:78 0.000303362 -54 *30698:A *26873:S 5.97254e-05 -55 *30698:A *5800:84 0 -56 *30837:A *5800:119 0.000103267 -57 *30875:A *5800:108 0.000136951 -58 *30876:A *26866:S 5.33005e-05 -59 *30876:A *5800:90 0.000290925 -60 *244:127 *5800:85 0.000270733 -61 *244:131 *5800:85 7.83659e-05 -62 *940:17 *5800:68 9.25014e-06 -63 *1260:184 *5800:119 0.000199486 -64 *1265:161 *5800:19 2.48919e-05 -65 *1275:164 *26868:S 0.000495611 -66 *1275:164 *5800:119 0.000130976 -67 *1286:103 *26871:S 0.000550238 -68 *1286:117 *26871:S 5.33334e-05 -69 *1292:153 *26872:S 0.000382001 -70 *1855:11 *5800:108 0.00111934 -71 *2759:147 *5800:84 8.64347e-05 -72 *2761:8 *5800:108 5.36422e-05 -73 *2763:42 *5800:119 0.00131004 -74 *2764:108 *5800:119 3.09981e-05 -75 *2767:18 *5800:119 2.4692e-05 -76 *2771:36 *5800:78 7.42369e-05 -77 *2772:45 *5800:68 9.83442e-05 -78 *2788:168 *5800:43 0.000178847 -79 *2792:62 *5800:19 2.66789e-06 -80 *3215:309 *5800:11 8.65622e-05 -81 *3537:35 *5800:19 0.000687402 -82 *3537:38 *5800:68 4.26825e-05 -83 *3538:75 *5800:43 0.00146467 -84 *3582:134 *5800:68 0.000565999 -85 *3692:8 *5800:90 0.000176234 -86 *3692:29 *5800:90 0.000138671 -87 *3718:157 *5800:119 0.000376748 -88 *3733:59 *26868:S 0.000497326 -89 *3733:59 *5800:119 0.000125992 -90 *3757:102 *26873:S 0.000905248 -91 *3757:102 *5800:85 6.79451e-06 -92 *3796:176 *26873:S 0.000137983 -93 *3939:102 *5800:68 4.21517e-05 -94 *3939:104 *26872:S 5.4528e-05 -95 *3939:104 *5800:19 0.000135968 -96 *3939:104 *5800:43 0.0002535 -97 *3978:21 *5800:68 7.00294e-06 -98 *4084:18 *5800:68 1.95705e-05 -99 *4108:13 *26868:S 0.000286857 -100 *4137:16 *5800:68 2.84349e-05 -101 *4147:31 *5800:11 4.88795e-05 -102 *4149:34 *5800:68 0.000347785 -103 *4149:34 *5800:78 2.99011e-05 -104 *4206:29 *5800:78 0.000432819 -105 *4206:36 *5800:68 8.59207e-05 -106 *4206:36 *5800:78 0.000698996 -107 *4214:20 *5800:108 2.37761e-05 -108 *4393:9 *5800:84 0.000128146 -109 *5461:28 *5800:108 0.000771179 -110 *5584:194 *5800:43 0.000134904 -111 *5586:98 *5800:85 0.000228944 -112 *5586:98 *5800:90 0.000296445 -113 *5586:122 *5800:108 0.000180056 -114 *5586:138 *5800:108 0.000232132 -115 *5590:191 *26872:S 2.63982e-05 -116 *5590:191 *5800:19 0.000133706 -117 *5602:100 *5800:119 0.000117161 -118 *5651:378 *5800:11 0.000154281 -119 *5651:378 *5800:68 0.00105946 -120 *5655:238 *5800:19 0 -121 *5659:18 *5800:108 0.000771992 -122 *5668:11 *26871:S 5.33005e-05 -123 *5668:31 *26871:S 0.000435832 -124 *5668:50 *5800:11 8.83919e-05 -125 *5680:106 *5800:84 0.000302718 -126 *5682:84 *5800:19 3.09819e-05 -127 *5682:141 *5800:78 7.47077e-05 -128 *5683:57 *26871:S 0.00192111 -129 *5692:8 *26873:S 0.000344657 -130 *5692:8 *5800:85 0.000432395 -131 *5692:8 *5800:90 0.000507925 -132 *5692:13 *5800:108 0.000177821 -133 *5695:110 *5800:11 6.39803e-05 -134 *5695:110 *5800:19 0.000385948 -135 *5766:43 *26868:S 0.000244746 -136 *5773:146 *5800:84 0 -137 *5775:21 *26872:S 2.13687e-05 -138 *5781:10 *5800:43 0 -*RES -1 *29506:X *5800:11 19.2827 -2 *5800:11 *5800:19 14.9786 -3 *5800:19 *26872:S 23.0917 -4 *5800:19 *5800:43 32.7232 -5 *5800:43 *26871:S 27.9071 -6 *5800:11 *5800:68 41.1984 -7 *5800:68 *5800:78 49.6964 -8 *5800:78 *5800:84 12.5162 -9 *5800:84 *5800:85 10.2946 -10 *5800:85 *5800:90 20.2857 -11 *5800:90 *26866:S 9.83571 -12 *5800:90 *5800:108 49.5536 -13 *5800:108 *5800:119 37.6763 -14 *5800:119 *26868:S 39.1214 -15 *5800:119 *26870:S 14.7643 -16 *5800:108 *26869:S 10.0321 -17 *5800:85 *26867:S 15.5679 -18 *5800:84 *26873:S 33.2018 -*END - -*D_NET *5801 0.00771116 -*CONN -*I *28459:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29617:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28459:D 4.99045e-05 -2 *29617:X 0.00212727 -3 *5801:19 0.00217717 -4 *25381:A2 *5801:19 5.96899e-05 -5 *25712:A1 *28459:D 4.87953e-05 -6 *25712:A1 *5801:19 5.74499e-06 -7 *27031:S *5801:19 9.90022e-05 -8 *28459:CLK *5801:19 6.57032e-05 -9 *1697:20 *5801:19 0 -10 *1853:77 *5801:19 1.10149e-05 -11 *2759:149 *5801:19 4.94271e-05 -12 *2767:118 *5801:19 2.01997e-05 -13 *2770:11 *5801:19 8.6229e-06 -14 *2773:46 *5801:19 0.000144757 -15 *2773:60 *5801:19 0.000760682 -16 *2776:207 *5801:19 0.000860956 -17 *3582:146 *5801:19 7.38537e-05 -18 *3692:29 *5801:19 7.63748e-05 -19 *3744:20 *5801:19 0.000380077 -20 *3891:13 *5801:19 7.16486e-05 -21 *3891:29 *5801:19 0 -22 *4045:50 *5801:19 1.34436e-05 -23 *4069:32 *5801:19 0.000285955 -24 *4465:17 *5801:19 0.000179189 -25 *5680:106 *5801:19 6.34336e-06 -26 *5696:77 *5801:19 0.000135334 -*RES -1 *29617:X *5801:19 49.6919 -2 *5801:19 *28459:D 10.2464 -*END - -*D_NET *5802 0.00507792 -*CONN -*I *24881:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *24873:A1 I *D sky130_fd_sc_hd__a21bo_1 -*I *29658:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *24881:A0 0.000440613 -2 *24873:A1 0.000590499 -3 *29658:X 0.000279392 -4 *5802:7 0.0013105 -5 *24873:A1 *24867:A2 5.71472e-05 -6 *24873:A1 *24875:A2 0.000185037 -7 *24873:A1 *5865:85 0 -8 *24881:A0 *24883:S 3.51442e-05 -9 *24881:A0 *5843:8 0.000302368 -10 *24875:A1 *5802:7 0.000354676 -11 *25440:B *24881:A0 0 -12 *29173:A *24873:A1 0.000392001 -13 *29658:A *5802:7 5.33005e-05 -14 *30069:A *24873:A1 0.000205072 -15 *1199:8 *24873:A1 2.89114e-05 -16 *1744:25 *24881:A0 0.000338359 -17 *1744:38 *24873:A1 0.000148993 -18 *1744:38 *24881:A0 4.88223e-05 -19 *4156:22 *24873:A1 1.12323e-05 -20 *4156:22 *24881:A0 0.000295844 -*RES -1 *29658:X *5802:7 18.8536 -2 *5802:7 *24873:A1 29.3357 -3 *5802:7 *24881:A0 25.7643 -*END - -*D_NET *5803 0.0271322 -*CONN -*I *25203:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30711:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *25203:A1 0.00041468 -2 *30711:X 2.85076e-05 -3 *5803:27 0.00258881 -4 *5803:14 0.00320594 -5 *5803:10 0.00286777 -6 *5803:7 0.00186447 -7 *25203:A1 *27200:A1 0.000216755 -8 *5803:10 *5927:14 0 -9 *5803:14 *5820:31 1.50181e-05 -10 *5803:14 *6351:12 2.43817e-05 -11 *5803:27 *6351:12 0.000412155 -12 *25186:A2 *5803:27 0.000118028 -13 *25203:A2 *25203:A1 0.000157993 -14 *26967:A1 *5803:10 0.000259921 -15 *27003:S *5803:14 0.000142958 -16 *27699:B1 *5803:27 0.000242028 -17 *27708:B *5803:27 0.000457262 -18 *27708:C *5803:14 2.90068e-05 -19 *28445:CLK *5803:10 0 -20 *28447:CLK *5803:10 0.000171355 -21 *28463:CLK *5803:14 0.00017309 -22 *29113:A *5803:10 0.000320349 -23 *61:14 *5803:10 4.46186e-06 -24 *553:13 *5803:7 5.52238e-05 -25 *1361:45 *25203:A1 0.000105559 -26 *1393:23 *5803:27 0.000300137 -27 *1523:7 *25203:A1 0.00010186 -28 *2759:111 *5803:27 0.000134119 -29 *2772:63 *5803:14 0.000496592 -30 *2894:319 *5803:27 0.000417582 -31 *3179:167 *5803:27 0.000169713 -32 *3179:186 *5803:27 0.000809648 -33 *3306:6 *5803:27 0.000103267 -34 *3581:145 *5803:27 0.000700481 -35 *3603:164 *5803:10 0 -36 *3678:18 *5803:10 0 -37 *3678:18 *5803:14 0 -38 *3691:8 *5803:10 0 -39 *3781:22 *5803:27 4.91854e-05 -40 *3809:32 *25203:A1 0.000535692 -41 *3951:8 *5803:10 0.000968961 -42 *3951:8 *5803:14 4.30584e-06 -43 *3951:15 *5803:14 0.00257676 -44 *3951:18 *5803:14 9.3242e-05 -45 *3951:18 *5803:27 0.000117373 -46 *3951:20 *5803:27 0.000788115 -47 *4057:10 *5803:27 0.000291637 -48 *4081:17 *5803:14 5.31684e-05 -49 *4115:28 *25203:A1 0.000208982 -50 *4231:14 *5803:10 0 -51 *4402:16 *5803:10 0.000319265 -52 *4884:17 *5803:10 0.000209911 -53 *4970:17 *5803:14 0.000195856 -54 *5587:102 *5803:27 4.98422e-05 -55 *5593:20 *25203:A1 0.000210704 -56 *5607:71 *5803:27 0.00146967 -57 *5628:202 *5803:14 0.00114937 -58 *5638:130 *5803:27 0.000165911 -59 *5655:232 *5803:27 6.48939e-05 -60 *5688:53 *25203:A1 1.07719e-05 -61 *5708:46 *25203:A1 0.000175892 -62 *5748:10 *5803:27 0.000156563 -63 *5771:30 *5803:10 0 -64 *5771:75 *5803:10 5.72346e-05 -65 *5771:77 *5803:10 3.00971e-05 -66 *5771:81 *5803:10 6.97231e-05 -*RES -1 *30711:X *5803:7 14.3357 -2 *5803:7 *5803:10 40.7321 -3 *5803:10 *5803:14 35.7232 -4 *5803:14 *5803:27 49.3243 -5 *5803:27 *25203:A1 26.3714 -*END - -*D_NET *5804 0.00221006 -*CONN -*I *24875:A2 I *D sky130_fd_sc_hd__o21ai_2 -*I *29669:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *24875:A2 0.000415526 -2 *29669:X 0.000415526 -3 *24875:A2 *5843:8 0.00012401 -4 *24875:A2 *5865:85 0.000691632 -5 *24873:A1 *24875:A2 0.000185037 -6 *24875:A1 *24875:A2 0.000221628 -7 *24875:B1 *24875:A2 0.000135028 -8 *30069:A *24875:A2 2.16719e-05 -*RES -1 *29669:X *24875:A2 39.5286 -*END - -*D_NET *5805 0.0182471 -*CONN -*I *24905:A I *D sky130_fd_sc_hd__or3_4 -*I *24933:B I *D sky130_fd_sc_hd__or3b_4 -*I *24879:C_N I *D sky130_fd_sc_hd__or3b_1 -*I *24925:B I *D sky130_fd_sc_hd__or3_4 -*I *24921:C_N I *D sky130_fd_sc_hd__or3b_4 -*I *24894:A I *D sky130_fd_sc_hd__nand2_1 -*I *29680:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *24905:A 0 -2 *24933:B 0.000526514 -3 *24879:C_N 0.00300326 -4 *24925:B 5.31355e-05 -5 *24921:C_N 0 -6 *24894:A 0.000104914 -7 *29680:X 0.000107581 -8 *5805:38 0.00311829 -9 *5805:37 0.000830446 -10 *5805:22 0.000313763 -11 *5805:17 0.000451924 -12 *5805:5 0.000592699 -13 *24879:C_N *5838:31 0.000250163 -14 *24933:B *24871:B1_N 0.000282134 -15 *24933:B *24903:A 5.52238e-05 -16 *24933:B *24933:C_N 0.000703523 -17 *24933:B *5838:44 6.42095e-05 -18 *5805:22 *24905:C 1.69115e-05 -19 *5805:22 *5900:10 0.000195562 -20 *5805:37 *24871:A2 0.000218679 -21 *5805:37 *30790:A 0.000120505 -22 *5805:37 *5889:19 0.000216755 -23 *5805:37 *5901:27 0.000182694 -24 *5805:38 *24871:B1_N 5.41794e-05 -25 *24871:A1 *5805:37 9.3111e-05 -26 *27566:A2 *24879:C_N 3.28686e-05 -27 *27566:B2 *24879:C_N 0.000137777 -28 *27569:C *24879:C_N 0.000216755 -29 *28138:RESET_B *24879:C_N 0.00013307 -30 *29306:A *5805:17 0.000326714 -31 *29680:A *5805:5 0.000177821 -32 *29680:A *5805:17 0.000164481 -33 *30244:A *24925:B 5.33005e-05 -34 *30276:A *24925:B 2.89114e-05 -35 *31015:A *24879:C_N 0.000175743 -36 *1173:96 *24925:B 6.54117e-05 -37 *1173:96 *24933:B 0.000547183 -38 *1173:96 *5805:38 0.000150886 -39 *1231:17 *5805:22 0.000150618 -40 *1287:14 *24933:B 0.000409182 -41 *1287:21 *24933:B 3.93035e-05 -42 *1456:64 *24879:C_N 0.000132428 -43 *1456:82 *24879:C_N 2.35215e-05 -44 *1875:36 *5805:37 0.000163475 -45 *3461:26 *24879:C_N 0 -46 *3657:10 *24925:B 2.86754e-05 -47 *3657:10 *24933:B 5.49065e-05 -48 *3657:10 *5805:38 5.24359e-05 -49 *3723:25 *24879:C_N 6.24588e-05 -50 *3741:27 *24879:C_N 0.00105919 -51 *4113:86 *24879:C_N 0.00057444 -52 *4127:75 *24879:C_N 0.000333268 -53 *4189:41 *24894:A 0.000364111 -54 *4192:239 *24894:A 0.000364111 -55 *5077:11 *5805:17 0.000352047 -56 *5209:39 *24933:B 0.000304394 -57 *5742:163 *5805:37 1.14671e-05 -*RES -1 *29680:X *5805:5 11.0679 -2 *5805:5 *24894:A 22.9786 -3 *5805:5 *5805:17 8.35714 -4 *5805:17 *5805:22 11.5536 -5 *5805:22 *24921:C_N 9.3 -6 *5805:22 *5805:37 20.2321 -7 *5805:37 *5805:38 2.09821 -8 *5805:38 *24925:B 15.2196 -9 *5805:38 *24879:C_N 38.1536 -10 *5805:37 *24933:B 31.1929 -11 *5805:17 *24905:A 9.3 -*END - -*D_NET *5806 0.00933403 -*CONN -*I *24903:B I *D sky130_fd_sc_hd__or2_2 -*I *24895:B I *D sky130_fd_sc_hd__or2_4 -*I *29691:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *24903:B 0.000146531 -2 *24895:B 0.00113959 -3 *29691:X 0.000803376 -4 *5806:8 0.0020895 -5 *24895:B *25838:A0 0.000259549 -6 *24895:B *28292:D 9.90431e-05 -7 *25879:A0 *5806:8 0.000729706 -8 *28092:RESET_B *5806:8 6.63007e-05 -9 *28292:CLK *5806:8 0.000381852 -10 *28332:D *5806:8 9.41642e-05 -11 *28938:A *24903:B 0.00022459 -12 *29455:A *5806:8 0.000438781 -13 *29703:A *24903:B 0.00022459 -14 *29911:A *24895:B 0.000175782 -15 *30401:A *5806:8 0.000379097 -16 *1829:26 *5806:8 1.46717e-05 -17 *3626:70 *24903:B 0.000241198 -18 *3626:70 *5806:8 0.000140368 -19 *3637:111 *24895:B 0.000104587 -20 *3637:116 *24895:B 0.00010992 -21 *3697:33 *24895:B 9.70328e-05 -22 *3871:50 *24895:B 4.884e-05 -23 *3936:33 *24903:B 0.000167684 -24 *3936:33 *5806:8 0.000574458 -25 *4064:37 *24895:B 9.70328e-05 -26 *5686:100 *24895:B 0.000421074 -27 *5693:56 *24895:B 4.09477e-05 -28 *5778:49 *24903:B 2.37761e-05 -*RES -1 *29691:X *5806:8 35.3 -2 *5806:8 *24895:B 41.2464 -3 *5806:8 *24903:B 19.1393 -*END - -*D_NET *5807 0.00117382 -*CONN -*I *30781:A I *D sky130_fd_sc_hd__buf_12 -*I *25155:A I *D sky130_fd_sc_hd__nor2_8 -*I *29703:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *30781:A 0.000116184 -2 *25155:A 0 -3 *29703:X 0.000112089 -4 *5807:8 0.000228273 -5 *25639:A1 *30781:A 9.90431e-05 -6 *28938:A *5807:8 0.00010096 -7 *1427:161 *30781:A 5.33433e-05 -8 *3626:70 *30781:A 7.63945e-05 -9 *3626:70 *5807:8 4.57445e-05 -10 *5778:49 *30781:A 0.00021192 -11 *5778:49 *5807:8 0.000129868 -*RES -1 *29703:X *5807:8 16.3893 -2 *5807:8 *25155:A 13.8 -3 *5807:8 *30781:A 17.6036 -*END - -*D_NET *5808 0.0299908 -*CONN -*I *24941:A I *D sky130_fd_sc_hd__nor2_8 -*I *25126:B I *D sky130_fd_sc_hd__nor2_2 -*I *30780:A I *D sky130_fd_sc_hd__buf_12 -*I *25090:A I *D sky130_fd_sc_hd__nor2_4 -*I *25075:A I *D sky130_fd_sc_hd__nor2_8 -*I *25143:A I *D sky130_fd_sc_hd__nor2_4 -*I *25128:A I *D sky130_fd_sc_hd__nor2_8 -*I *29714:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *24941:A 0.000352393 -2 *25126:B 0.000106973 -3 *30780:A 0.000120222 -4 *25090:A 0 -5 *25075:A 0.0003673 -6 *25143:A 0 -7 *25128:A 0.000307633 -8 *29714:X 0.00042839 -9 *5808:95 0.00133901 -10 *5808:67 0.000482949 -11 *5808:53 0.00164797 -12 *5808:46 0.0027131 -13 *5808:36 0.00163053 -14 *5808:12 0.00145104 -15 *25126:B *25126:A 1.24368e-05 -16 *25090:B *30780:A 4.25176e-05 -17 *25090:B *5808:67 0.000175892 -18 *25110:A1 *30780:A 5.33005e-05 -19 *25128:B *25128:A 0.000155661 -20 *25129:A1 *5808:12 0.000347168 -21 *25129:B2 *5808:12 0.000298483 -22 *25143:B *5808:46 5.7661e-06 -23 *25372:B1 *24941:A 0.0001218 -24 *25372:B1 *5808:95 0.000172006 -25 *25784:A0 *25128:A 6.05161e-06 -26 *26847:B *24941:A 0.000225781 -27 *27582:B1 *25128:A 0.00014724 -28 *27583:B2 *5808:12 9.22222e-06 -29 *1284:55 *5808:67 0.000587484 -30 *1287:113 *25075:A 3.17148e-05 -31 *1287:113 *5808:53 0.000214386 -32 *1287:113 *5808:67 5.33005e-05 -33 *1287:132 *5808:53 0.000477319 -34 *1360:84 *25075:A 5.33005e-05 -35 *1360:95 *25075:A 0.00061886 -36 *1362:40 *5808:46 2.44318e-05 -37 *1362:48 *5808:36 0.000972971 -38 *1362:48 *5808:46 4.27437e-05 -39 *1396:109 *25075:A 0.000103211 -40 *1396:189 *5808:95 1.27625e-05 -41 *1411:7 *5808:67 0.000357733 -42 *1435:76 *5808:46 0.000384819 -43 *1435:76 *5808:95 0 -44 *1436:13 *5808:95 0.000251453 -45 *1447:15 *25126:B 3.43708e-05 -46 *1448:100 *30780:A 5.33005e-05 -47 *1449:9 *25128:A 9.25014e-06 -48 *1449:9 *5808:12 0.000328661 -49 *1450:28 *5808:53 0.000742141 -50 *1450:28 *5808:95 0.00037666 -51 *1463:23 *5808:95 0.000270024 -52 *1464:8 *5808:46 9.71197e-05 -53 *1464:135 *5808:46 0.00205737 -54 *1483:10 *5808:36 0.000207352 -55 *1507:15 *30780:A 2.59355e-05 -56 *1507:15 *5808:67 0.000178955 -57 *1573:22 *5808:95 0.000129769 -58 *1646:11 *5808:36 0.000265408 -59 *1646:11 *5808:46 0.000380521 -60 *1685:40 *25075:A 3.17148e-05 -61 *1685:44 *25075:A 6.38303e-05 -62 *1685:46 *24941:A 0.000113782 -63 *1685:46 *5808:95 0.00017644 -64 *1689:8 *5808:95 2.51744e-05 -65 *2864:230 *25128:A 4.44192e-05 -66 *2864:230 *5808:36 0.000133527 -67 *3136:12 *5808:12 0.000382312 -68 *3541:13 *5808:53 0.000298483 -69 *3686:83 *5808:53 0.000317785 -70 *3738:58 *5808:53 0.000196122 -71 *3742:36 *24941:A 0.000750517 -72 *3881:56 *25075:A 0.000193125 -73 *3881:56 *5808:53 0.000678682 -74 *3975:31 *5808:12 8.55871e-05 -75 *4066:46 *5808:12 1.59145e-05 -76 *4088:56 *30780:A 0.000213269 -77 *4091:64 *5808:36 0.000289408 -78 *4105:78 *5808:53 7.6644e-05 -79 *4113:125 *5808:53 0.00120605 -80 *4113:125 *5808:95 0.000601428 -81 *4118:50 *5808:12 3.51442e-05 -82 *4199:12 *30780:A 0.000213269 -83 *5231:7 *5808:36 4.87854e-05 -84 *5231:12 *5808:12 7.14021e-05 -85 *5231:12 *5808:36 0.000325609 -86 *5607:27 *5808:95 0.000134788 -87 *5669:81 *5808:36 5.39931e-05 -88 *5719:55 *5808:12 0.000268814 -89 *5778:181 *25075:A 0.000880666 -*RES -1 *29714:X *5808:12 36.2018 -2 *5808:12 *25128:A 20.1304 -3 *5808:12 *5808:36 25.9018 -4 *5808:36 *25143:A 9.3 -5 *5808:36 *5808:46 27.2321 -6 *5808:46 *5808:53 36.8393 -7 *5808:53 *25075:A 25.5321 -8 *5808:53 *5808:67 14.0714 -9 *5808:67 *25090:A 9.3 -10 *5808:67 *30780:A 22.2286 -11 *5808:46 *5808:95 19.1078 -12 *5808:95 *25126:B 15.7286 -13 *5808:95 *24941:A 22.9607 -*END - -*D_NET *5809 0.0189073 -*CONN -*I *26937:A I *D sky130_fd_sc_hd__nand2_4 -*I *29725:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26937:A 7.70474e-05 -2 *29725:X 0.00182325 -3 *5809:22 0.00250664 -4 *5809:13 0.00425284 -5 *26937:A *5810:34 0.000135028 -6 *27359:A1 *5809:13 0.000295873 -7 *27359:A2 *5809:13 3.28686e-05 -8 *27381:A2 *5809:22 0.000258188 -9 *27381:C1 *5809:22 4.31193e-05 -10 *27390:B1 *5809:22 0.0001354 -11 *27429:A2 *5809:22 0.000117847 -12 *27731:A1 *5809:13 0.000512233 -13 *27731:B2 *5809:13 0.000178847 -14 *27732:C1 *5809:13 0.000342924 -15 *27776:A2 *5809:22 2.14879e-05 -16 *31010:A *5809:13 0.000260152 -17 *1185:175 *5809:22 0.000106864 -18 *1185:184 *5809:22 4.8817e-05 -19 *1265:128 *5809:13 5.33005e-05 -20 *2848:333 *5809:22 2.30813e-05 -21 *2848:369 *5809:22 0.000276279 -22 *2855:219 *5809:13 0.000581341 -23 *2872:376 *5809:22 7.61417e-05 -24 *2874:359 *5809:22 0.00131392 -25 *3170:245 *5809:13 9.41642e-05 -26 *3215:305 *5809:22 0 -27 *3251:11 *5809:13 6.12335e-05 -28 *3291:17 *5809:22 3.03967e-05 -29 *3320:18 *5809:22 0 -30 *3705:51 *5809:22 0.001035 -31 *3785:44 *5809:22 1.53908e-05 -32 *3837:33 *5809:22 0.00150952 -33 *5593:15 *5809:13 6.23954e-05 -34 *5595:57 *5809:22 4.65519e-05 -35 *5595:69 *5809:22 0.00146552 -36 *5631:58 *5809:22 4.65519e-05 -37 *5656:313 *5809:22 0.000691106 -38 *5777:223 *5809:22 0.000375989 -*RES -1 *29725:X *5809:13 43.6571 -2 *5809:13 *5809:22 49.2618 -3 *5809:22 *26937:A 10.6571 -*END - -*D_NET *5810 0.0421066 -*CONN -*I *26940:S I *D sky130_fd_sc_hd__mux2_1 -*I *26939:S I *D sky130_fd_sc_hd__mux2_1 -*I *26938:S I *D sky130_fd_sc_hd__mux2_1 -*I *26942:S I *D sky130_fd_sc_hd__mux2_1 -*I *26943:S I *D sky130_fd_sc_hd__mux2_1 -*I *26944:S I *D sky130_fd_sc_hd__mux2_1 -*I *26945:S I *D sky130_fd_sc_hd__mux2_1 -*I *26941:S I *D sky130_fd_sc_hd__mux2_1 -*I *29736:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26940:S 0 -2 *26939:S 0 -3 *26938:S 0 -4 *26942:S 0 -5 *26943:S 0 -6 *26944:S 0.000583254 -7 *26945:S 0 -8 *26941:S 0 -9 *29736:X 7.70325e-05 -10 *5810:115 0.00180211 -11 *5810:97 0.00221057 -12 *5810:86 0.000789204 -13 *5810:77 0.00145483 -14 *5810:47 0.00182937 -15 *5810:34 0.0025476 -16 *5810:17 0.00315649 -17 *5810:7 0.00300612 -18 *26944:S *5847:43 0.000142316 -19 *26944:S *6004:15 0.000122591 -20 *5810:47 *28528:D 0.00022092 -21 *5810:47 *6004:15 0.000748402 -22 *5810:86 *5863:98 1.17968e-05 -23 *5810:97 *28126:D 9.25014e-06 -24 *5810:97 *5879:32 2.04825e-05 -25 *5810:97 *5879:34 1.44954e-05 -26 *25042:A2 *5810:47 0.000167421 -27 *25042:B2 *5810:47 0.000676812 -28 *25383:A1 *5810:115 0.000177815 -29 *25383:B1 *5810:115 1.39841e-05 -30 *25383:B2 *5810:115 3.19002e-05 -31 *26937:A *5810:34 0.000135028 -32 *26937:B *5810:34 0.000226507 -33 *26938:A0 *5810:115 4.88515e-05 -34 *26938:A1 *5810:97 5.33005e-05 -35 *26938:A1 *5810:115 5.33005e-05 -36 *26939:A0 *5810:97 0.000137983 -37 *26941:A0 *5810:17 0.000137983 -38 *26941:A0 *5810:34 1.5424e-05 -39 *26941:A1 *5810:34 7.7099e-05 -40 *26943:A1 *5810:34 9.41642e-05 -41 *26944:A0 *26944:S 9.41642e-05 -42 *27392:A2 *5810:77 5.1588e-05 -43 *27426:A1 *5810:47 0.000185629 -44 *27430:A1 *5810:47 0.000347397 -45 *27789:B1 *5810:47 0.000682649 -46 *28526:D *5810:115 5.52238e-05 -47 *28527:D *5810:34 4.30452e-05 -48 *28527:D *5810:47 0.00012501 -49 *28529:RESET_B *26944:S 7.56718e-05 -50 *28678:CLK *5810:115 9.91086e-05 -51 *28678:RESET_B *5810:115 0.000464172 -52 *29120:A *5810:97 0.000186672 -53 *29120:A *5810:115 1.33343e-05 -54 *29384:A *26944:S 0.000552531 -55 *29699:A *5810:47 0.000428674 -56 *29747:A *5810:97 1.21258e-05 -57 *30077:A *26944:S 0.000140602 -58 *30336:A *5810:115 0.000172292 -59 *1269:134 *5810:17 0.000822691 -60 *1269:145 *5810:34 0.00103154 -61 *1286:55 *5810:115 0.000169769 -62 *1364:19 *5810:47 8.25843e-06 -63 *1680:29 *5810:17 9.25287e-05 -64 *1680:29 *5810:34 0.000776158 -65 *1826:251 *5810:86 0.000347181 -66 *2759:68 *5810:115 0.000932121 -67 *2772:77 *5810:115 0.000393761 -68 *2774:31 *5810:77 0.0014089 -69 *2774:31 *5810:86 0.000971952 -70 *2775:111 *5810:77 0.00280306 -71 *2775:111 *5810:86 0.000307462 -72 *2776:257 *5810:97 6.21188e-05 -73 *2864:321 *5810:7 9.41642e-05 -74 *3165:74 *5810:47 0.000645016 -75 *3335:14 *5810:34 1.50738e-05 -76 *3600:30 *5810:115 2.89114e-05 -77 *3848:14 *5810:17 0.000392783 -78 *3848:14 *5810:77 0.000518976 -79 *3965:29 *5810:17 0.000136958 -80 *3978:44 *5810:77 7.81263e-05 -81 *4017:56 *5810:17 9.41642e-05 -82 *4115:10 *5810:97 0.000531303 -83 *4123:14 *5810:34 1.02504e-05 -84 *4123:14 *5810:47 0.000253796 -85 *4149:34 *5810:47 3.81293e-05 -86 *4149:40 *5810:47 0.000432177 -87 *4320:16 *26944:S 0.000135028 -88 *4320:16 *5810:47 0.000479172 -89 *5589:74 *5810:115 9.91086e-05 -90 *5593:33 *5810:86 0.000191403 -91 *5631:71 *5810:17 0.000219289 -92 *5631:88 *5810:77 1.90936e-05 -93 *5640:235 *5810:97 0.000338871 -94 *5645:235 *5810:17 0.000975511 -95 *5650:352 *5810:17 0.000262504 -96 *5651:357 *5810:34 8.6229e-06 -97 *5658:149 *5810:17 0.000397276 -98 *5658:149 *5810:77 6.81083e-05 -99 *5680:129 *26944:S 0 -100 *5703:42 *5810:47 9.91086e-05 -101 *5708:34 *5810:97 0.000341801 -102 *5766:196 *26944:S 1.24368e-05 -103 *5777:82 *5810:115 9.0145e-05 -104 *5795:70 *5810:86 0.000170654 -105 *5795:70 *5810:97 5.33005e-05 -106 *5795:188 *5810:77 0.000223599 -*RES -1 *29736:X *5810:7 14.7464 -2 *5810:7 *5810:17 40.875 -3 *5810:17 *26941:S 9.3 -4 *5810:17 *5810:34 30.2857 -5 *5810:34 *5810:47 42.8214 -6 *5810:47 *26945:S 9.3 -7 *5810:47 *26944:S 30.0857 -8 *5810:34 *26943:S 13.8 -9 *5810:7 *5810:77 38.9464 -10 *5810:77 *5810:86 21.5536 -11 *5810:86 *5810:97 21.8036 -12 *5810:97 *5810:115 41.8929 -13 *5810:115 *26942:S 9.3 -14 *5810:97 *26938:S 9.3 -15 *5810:86 *26939:S 9.3 -16 *5810:77 *26940:S 9.3 -*END - -*D_NET *5811 0.00145064 -*CONN -*I *28523:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29747:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28523:D 0.000408015 -2 *29747:X 0.000408015 -3 *28523:SET_B *28523:D 2.35926e-05 -4 *29422:A *28523:D 1.08359e-05 -5 *1697:55 *28523:D 0.000287779 -6 *1826:251 *28523:D 0.000148196 -7 *2791:199 *28523:D 6.05161e-06 -8 *4119:7 *28523:D 5.03772e-05 -9 *4119:8 *28523:D 2.94103e-05 -10 *5768:93 *28523:D 7.83659e-05 -*RES -1 *29747:X *28523:D 35.8321 -*END - -*D_NET *5812 0.00455717 -*CONN -*I *24886:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *24888:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29758:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *24886:A1 0.000149796 -2 *24888:A0 2.38731e-05 -3 *29758:X 0.000581325 -4 *5812:8 0.000754994 -5 *24886:A1 *5836:104 7.90803e-05 -6 *24886:A1 *5836:108 0.000144038 -7 *24888:A0 *6460:DIODE 5.52302e-05 -8 *24888:S *24888:A0 2.14658e-05 -9 *25431:C *24886:A1 5.96516e-05 -10 *28282:CLK *5812:8 0.000242566 -11 *29658:A *5812:8 0.000150618 -12 *1743:30 *24888:A0 9.71197e-05 -13 *1862:36 *24886:A1 6.37408e-06 -14 *1862:36 *5812:8 0.000411448 -15 *4157:31 *24886:A1 0.000224577 -16 *4195:143 *24886:A1 9.90367e-05 -17 *5797:11 *24886:A1 0.000241205 -18 *5797:11 *5812:8 0.00121477 -*RES -1 *29758:X *5812:8 30.6571 -2 *5812:8 *24888:A0 14.7464 -3 *5812:8 *24886:A1 19.1393 -*END - -*D_NET *5813 0.00491554 -*CONN -*I *24889:A0 I *D sky130_fd_sc_hd__mux2_4 -*I *29769:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *24889:A0 0.0015997 -2 *29769:X 0.0015997 -3 *24889:A1 *24889:A0 0.00038021 -4 *27560:A1 *24889:A0 4.61858e-05 -5 *27560:B1 *24889:A0 6.57032e-05 -6 *27560:B2 *24889:A0 5.87854e-05 -7 *27561:A1 *24889:A0 5.35755e-05 -8 *27561:A2 *24889:A0 7.41811e-05 -9 *27561:B1 *24889:A0 2.16416e-05 -10 *27561:B2 *24889:A0 2.84269e-05 -11 *27937:B2 *24889:A0 0.000137983 -12 *3115:19 *24889:A0 0.000139907 -13 *4065:53 *24889:A0 0.000643756 -14 *4113:104 *24889:A0 6.57914e-05 -*RES -1 *29769:X *24889:A0 41.35 -*END - -*D_NET *5814 0.054113 -*CONN -*I *25118:A1 I *D sky130_fd_sc_hd__a221o_2 -*I *30712:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *25118:A1 0.000309435 -2 *30712:X 0.00058335 -3 *5814:21 0.00151166 -4 *5814:12 0.00753258 -5 *5814:10 0.0069137 -6 *5814:10 *5912:54 5.01117e-05 -7 *5814:10 *6270:11 5.52238e-05 -8 *5814:12 *27148:A1 0.000230869 -9 *5814:12 *28464:D 0.000168579 -10 *25026:A1 *5814:21 0.00034622 -11 *25069:C *5814:12 0.000151978 -12 *25118:B2 *25118:A1 3.34295e-05 -13 *25561:A0 *5814:12 0.000107634 -14 *26880:S *5814:12 0.00164938 -15 *27069:S *5814:10 0.000193584 -16 *27422:B2 *5814:12 0.000269652 -17 *27423:B1 *5814:12 5.58875e-06 -18 *27423:C1 *5814:12 0 -19 *28125:D *5814:12 0.00025686 -20 *28485:RESET_B *5814:21 0.000108392 -21 *28639:RESET_B *5814:10 8.94561e-05 -22 *28639:RESET_B *5814:12 2.09897e-05 -23 *29957:A *5814:10 4.11173e-05 -24 *866:20 *5814:10 8.6229e-06 -25 *1268:74 *5814:12 2.06178e-05 -26 *1293:220 *5814:21 0.000972562 -27 *2758:132 *5814:12 0.000531317 -28 *2758:132 *5814:21 0.000358148 -29 *2767:167 *5814:12 2.06178e-05 -30 *2773:84 *5814:12 0.000191389 -31 *2773:94 *5814:12 9.71108e-05 -32 *2786:83 *5814:12 0.00266265 -33 *2786:87 *5814:12 0.000607467 -34 *2786:89 *5814:21 0 -35 *2853:292 *5814:12 0 -36 *2864:156 *5814:21 0.000158586 -37 *2867:249 *5814:12 0.000305586 -38 *2871:393 *5814:12 0.000829288 -39 *2874:169 *25118:A1 0.000809087 -40 *3029:20 *5814:12 7.81028e-05 -41 *3040:19 *5814:21 0.000312013 -42 *3174:188 *25118:A1 0.000755006 -43 *3174:209 *25118:A1 0.000137224 -44 *3537:50 *5814:12 0.000719683 -45 *3537:50 *5814:21 0.00212818 -46 *3552:44 *5814:12 0.000246796 -47 *3627:50 *25118:A1 2.07371e-05 -48 *3852:24 *5814:12 0.000136227 -49 *3852:24 *5814:21 0.00019358 -50 *3915:37 *5814:12 0.00013668 -51 *3939:104 *5814:10 4.28321e-05 -52 *3965:22 *5814:12 4.11876e-05 -53 *4004:16 *5814:10 9.37872e-05 -54 *4004:16 *5814:12 3.79772e-05 -55 *4056:53 *5814:10 4.3605e-05 -56 *4138:18 *5814:12 0.00831769 -57 *4138:31 *5814:12 0.00256042 -58 *4205:22 *5814:12 0.00154655 -59 *5595:57 *5814:12 0.000221723 -60 *5601:67 *5814:12 0.00014101 -61 *5604:63 *5814:21 0.000379664 -62 *5644:68 *5814:12 0.00267595 -63 *5650:231 *5814:12 0.000198705 -64 *5650:327 *5814:12 0.00022117 -65 *5650:335 *5814:12 0.00283625 -66 *5658:31 *5814:21 0.00022266 -67 *5676:26 *5814:10 8.14485e-05 -68 *5676:26 *5814:12 6.28109e-05 -69 *5676:47 *5814:12 0.000378042 -70 *5676:292 *5814:10 0 -71 *5775:41 *5814:12 0.000748651 -72 *5775:50 *5814:12 0.00019384 -*RES -1 *30712:X *5814:10 25.7732 -2 *5814:10 *5814:12 212.661 -3 *5814:12 *5814:21 46.9732 -4 *5814:21 *25118:A1 25.4607 -*END - -*D_NET *5815 0.0107058 -*CONN -*I *24912:B I *D sky130_fd_sc_hd__and2_4 -*I *24908:B I *D sky130_fd_sc_hd__nor2_8 -*I *24896:A_N I *D sky130_fd_sc_hd__and2b_4 -*I *24890:B I *D sky130_fd_sc_hd__and2b_4 -*I *29780:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *24912:B 0.00018329 -2 *24908:B 0 -3 *24896:A_N 0.000366359 -4 *24890:B 0.00144143 -5 *29780:X 0 -6 *5815:35 0.0008805 -7 *5815:29 0.00128438 -8 *5815:4 0.00202838 -9 *24890:B *24885:B 5.49489e-05 -10 *24890:B *24890:A_N 0.000257619 -11 *24890:B *5867:20 5.31635e-05 -12 *24912:B *5845:33 0.000175892 -13 *5815:35 *24954:A 0.000424029 -14 *5815:35 *25040:B 1.32056e-05 -15 *5815:35 *5868:5 5.49489e-05 -16 *24909:A *24896:A_N 4.09925e-05 -17 *24923:A *24896:A_N 9.66977e-05 -18 *1185:102 *24896:A_N 0.000556303 -19 *1185:102 *5815:35 5.69386e-05 -20 *1233:24 *24896:A_N 0.000306068 -21 *1239:13 *5815:29 0.000149797 -22 *1257:142 *24912:B 0.000302557 -23 *1257:142 *5815:35 0.000222524 -24 *1403:16 *24890:B 7.40571e-05 -25 *1652:18 *24896:A_N 0.000204476 -26 *1685:40 *24890:B 7.40571e-05 -27 *3542:21 *24890:B 0.000575728 -28 *4104:49 *24912:B 0.000175892 -29 *5216:29 *24896:A_N 0.000135863 -30 *5600:50 *24912:B 0.000291505 -31 *5600:50 *5815:35 0.000224231 -*RES -1 *29780:X *5815:4 9.3 -2 *5815:4 *24890:B 38.1571 -3 *5815:4 *5815:29 10.7857 -4 *5815:29 *5815:35 14.4821 -5 *5815:35 *24896:A_N 27.9964 -6 *5815:35 *24908:B 9.3 -7 *5815:29 *24912:B 19.6393 -*END - -*D_NET *5816 0.00558559 -*CONN -*I *24898:A I *D sky130_fd_sc_hd__nand2_8 -*I *24917:A I *D sky130_fd_sc_hd__nand2_8 -*I *24915:B I *D sky130_fd_sc_hd__nand2_8 -*I *24901:A I *D sky130_fd_sc_hd__nand2_8 -*I *29791:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *24898:A 0 -2 *24917:A 3.39029e-05 -3 *24915:B 0.000223929 -4 *24901:A 0.000220118 -5 *29791:X 0.000193671 -6 *5816:24 0.000852382 -7 *5816:12 0.000577352 -8 *5816:10 0.000328785 -9 *25104:B1 *24901:A 0.000135028 -10 *29791:A *5816:10 9.41642e-05 -11 *30235:A *5816:24 3.5808e-06 -12 *1185:102 *24917:A 2.59355e-05 -13 *1224:19 *5816:24 9.11825e-07 -14 *1224:92 *5816:10 8.95982e-05 -15 *1235:113 *5816:24 1.27073e-05 -16 *1326:97 *24901:A 0.000337106 -17 *1326:116 *5816:24 6.33877e-05 -18 *1511:22 *5816:10 2.47753e-05 -19 *3183:169 *24901:A 1.53472e-05 -20 *3183:169 *5816:10 0.000148182 -21 *3183:169 *5816:12 1.41885e-05 -22 *3183:169 *5816:24 0.000112611 -23 *3542:42 *5816:12 0.000333015 -24 *3542:42 *5816:24 0.000230589 -25 *3706:35 *24901:A 0.000371382 -26 *3706:35 *5816:24 2.6502e-05 -27 *3849:69 *5816:24 2.63501e-05 -28 *4070:50 *5816:10 2.0319e-05 -29 *4070:50 *5816:12 0.00037117 -30 *4070:50 *5816:24 0.000232304 -31 *4104:49 *5816:10 0.000257619 -32 *5653:145 *5816:10 1.98839e-05 -33 *5700:29 *24915:B 0.000188798 -*RES -1 *29791:X *5816:10 18.8714 -2 *5816:10 *5816:12 4.98214 -3 *5816:12 *5816:24 12.2724 -4 *5816:24 *24901:A 20.1393 -5 *5816:24 *24915:B 17.6214 -6 *5816:12 *24917:A 14.3357 -7 *5816:10 *24898:A 13.8 -*END - -*D_NET *5817 0.0205355 -*CONN -*I *24959:B I *D sky130_fd_sc_hd__nor2_2 -*I *24949:A I *D sky130_fd_sc_hd__nor2_8 -*I *24963:B I *D sky130_fd_sc_hd__nor2_4 -*I *24916:B I *D sky130_fd_sc_hd__nor2_8 -*I *24956:A I *D sky130_fd_sc_hd__nor2_8 -*I *29802:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *24959:B 0.000481896 -2 *24949:A 2.9238e-05 -3 *24963:B 0 -4 *24916:B 0.000141671 -5 *24956:A 0.000125686 -6 *29802:X 4.77263e-05 -7 *5817:43 0.00057923 -8 *5817:16 0.00190373 -9 *5817:15 0.00215385 -10 *5817:8 0.000744222 -11 *5817:7 0.000342567 -12 *24959:B *5892:122 0.000341744 -13 *5817:8 *5892:122 0.000551635 -14 *5817:15 *5892:122 0.00104722 -15 *5817:16 *5892:122 4.34472e-05 -16 *5817:16 *5892:124 0.000359213 -17 *5817:16 *6258:12 0.000154703 -18 *5817:43 *5892:122 0.000192257 -19 *24915:A *24959:B 6.17358e-05 -20 *24916:A *24916:B 5.33005e-05 -21 *24959:A *24959:B 3.63587e-05 -22 *25104:B1 *24949:A 5.33005e-05 -23 *25138:B1 *5817:16 0.000650126 -24 *25185:A2 *5817:16 4.11218e-05 -25 *25225:C *5817:16 0.00105939 -26 *29802:A *5817:7 7.37323e-05 -27 *1228:30 *5817:7 8.16945e-05 -28 *1241:8 *24959:B 0.000404908 -29 *1241:8 *5817:8 3.23658e-05 -30 *1241:8 *5817:43 0.000185428 -31 *1241:10 *5817:8 0.000519356 -32 *1241:10 *5817:15 0.00104681 -33 *1242:9 *24916:B 0.00034188 -34 *1271:131 *24956:A 0.000219374 -35 *1271:131 *5817:16 0.00141133 -36 *1271:161 *5817:16 0.000301064 -37 *1288:20 *5817:7 9.99853e-05 -38 *1422:75 *5817:7 4.10926e-05 -39 *1451:39 *5817:15 9.41642e-05 -40 *1594:35 *24956:A 0.00021785 -41 *1594:35 *5817:16 0.00121807 -42 *2852:191 *24916:B 0.000142979 -43 *2893:64 *5817:16 2.31408e-05 -44 *2893:72 *5817:16 7.87026e-07 -45 *3194:106 *24956:A 1.83053e-05 -46 *3305:19 *5817:16 0.00229502 -47 *4133:85 *24959:B 1.41029e-05 -48 *5587:17 *24959:B 1.24368e-05 -49 *5604:20 *5817:16 1.08524e-05 -50 *5605:99 *5817:16 1.90936e-05 -51 *5621:34 *5817:16 0.00024863 -52 *5626:49 *5817:16 1.94879e-05 -53 *5700:50 *24959:B 0.00024619 -*RES -1 *29802:X *5817:7 15.5679 -2 *5817:7 *5817:8 7.41071 -3 *5817:8 *5817:15 24.0357 -4 *5817:15 *5817:16 53.8571 -5 *5817:16 *24956:A 17.6214 -6 *5817:16 *24916:B 17.6393 -7 *5817:8 *24963:B 13.8 -8 *5817:7 *5817:43 2.55357 -9 *5817:43 *24949:A 14.3357 -10 *5817:43 *24959:B 24.7821 -*END - -*D_NET *5818 0.00071911 -*CONN -*I *30760:A I *D sky130_fd_sc_hd__buf_8 -*I *29814:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *30760:A 0.000200477 -2 *29814:X 0.000200477 -3 *1262:128 *30760:A 6.44554e-05 -4 *2893:51 *30760:A 6.74324e-05 -5 *4129:90 *30760:A 0.000144745 -6 *5584:13 *30760:A 6.05161e-06 -7 *5585:54 *30760:A 3.54716e-05 -*RES -1 *29814:X *30760:A 31.725 -*END - -*D_NET *5819 0.0296086 -*CONN -*I *26910:A I *D sky130_fd_sc_hd__nand2_4 -*I *29825:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26910:A 0.000172599 -2 *29825:X 0.00115665 -3 *5819:24 0.00191967 -4 *5819:22 0.00177362 -5 *5819:20 0.00147915 -6 *5819:13 0.00293192 -7 *5819:10 0.00263596 -8 *5819:10 *27085:A0 6.33321e-05 -9 *5819:10 *27094:A1 2.4454e-05 -10 *5819:10 *5824:242 7.69776e-06 -11 *5819:10 *6351:26 0.000525972 -12 *5819:20 *5926:72 0.00230452 -13 *25172:B1 *5819:24 1.94895e-05 -14 *25172:B2 *5819:24 0.000121003 -15 *26904:A1 *5819:13 1.07719e-05 -16 *26910:B *26910:A 3.99614e-06 -17 *27390:C1 *5819:20 4.936e-05 -18 *27390:C1 *5819:24 0.000783966 -19 *27392:A1 *5819:24 1.94879e-05 -20 *27420:A2 *5819:24 1.90936e-05 -21 *27424:B1 *5819:13 0.00221265 -22 *27726:A1 *5819:24 9.91086e-05 -23 *27740:B2 *5819:24 0.000115096 -24 *1185:184 *5819:20 1.94879e-05 -25 *1524:38 *5819:10 8.67567e-05 -26 *2762:16 *26910:A 0.000219711 -27 *2784:162 *5819:24 0.000562895 -28 *2853:251 *5819:10 0.00109191 -29 *3206:293 *5819:24 0.000266404 -30 *3692:58 *5819:20 0 -31 *3705:22 *5819:24 0.000840297 -32 *3718:63 *26910:A 0.000192679 -33 *3718:89 *5819:20 0.000214842 -34 *3730:8 *5819:10 7.74644e-05 -35 *3783:89 *5819:13 9.58181e-05 -36 *3887:41 *5819:24 9.79037e-06 -37 *3887:42 *5819:20 4.09488e-06 -38 *3991:38 *5819:20 0.00230452 -39 *4068:16 *5819:10 4.22135e-06 -40 *4082:42 *5819:24 0.000315172 -41 *5631:113 *5819:24 0.000468738 -42 *5635:173 *5819:24 0.000944309 -43 *5644:106 *5819:24 0.00187546 -44 *5649:137 *5819:10 1.00073e-05 -45 *5656:313 *5819:20 0.000155293 -46 *5656:313 *5819:24 1.09026e-05 -47 *5656:321 *5819:24 0.00059018 -48 *5660:189 *5819:20 0.000158398 -49 *5668:31 *26910:A 9.206e-05 -50 *5668:50 *26910:A 7.90925e-05 -51 *5692:31 *5819:20 0.000124677 -52 *5692:41 *5819:20 0.00034381 -*RES -1 *29825:X *5819:10 36.6214 -2 *5819:10 *5819:13 29.2679 -3 *5819:13 *5819:20 49.5804 -4 *5819:20 *5819:22 0.535714 -5 *5819:22 *5819:24 54.9196 -6 *5819:24 *26910:A 18.4607 -*END - -*D_NET *5820 0.0293759 -*CONN -*I *26914:S I *D sky130_fd_sc_hd__mux2_1 -*I *26917:S I *D sky130_fd_sc_hd__mux2_1 -*I *26916:S I *D sky130_fd_sc_hd__mux2_1 -*I *26918:S I *D sky130_fd_sc_hd__mux2_1 -*I *26912:S I *D sky130_fd_sc_hd__mux2_1 -*I *26911:S I *D sky130_fd_sc_hd__mux2_1 -*I *26915:S I *D sky130_fd_sc_hd__mux2_1 -*I *26913:S I *D sky130_fd_sc_hd__mux2_1 -*I *29836:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26914:S 0 -2 *26917:S 0.000596053 -3 *26916:S 0.000219303 -4 *26918:S 0 -5 *26912:S 0 -6 *26911:S 0.000269342 -7 *26915:S 1.69531e-05 -8 *26913:S 3.23816e-05 -9 *29836:X 0 -10 *5820:93 0.000830331 -11 *5820:85 0.00116595 -12 *5820:73 0.00133451 -13 *5820:46 0.0013665 -14 *5820:31 0.00186191 -15 *5820:15 0.0020161 -16 *5820:5 0.00138555 -17 *26911:S *5879:19 3.65015e-05 -18 *26911:S *5881:54 0.000540567 -19 *26913:S *5914:29 4.87953e-05 -20 *26915:S *26915:A1 5.33005e-05 -21 *26917:S *5829:221 9.41642e-05 -22 *5820:15 *25722:A0 0.000122942 -23 *5820:15 *5914:29 0.000322609 -24 *5820:46 *5870:21 8.20421e-05 -25 *5820:46 *5881:54 0.000589486 -26 *5820:85 *5911:28 0.000119153 -27 *25053:B1 *26916:S 0.00058198 -28 *25053:B1 *26917:S 0.000146171 -29 *25356:A1 *26915:S 5.33005e-05 -30 *25722:A1 *5820:15 0.000121418 -31 *26911:A0 *26911:S 0.000508682 -32 *26911:A0 *5820:46 5.41794e-05 -33 *26911:A1 *26911:S 9.25014e-06 -34 *26912:A0 *5820:31 4.58976e-05 -35 *26912:A0 *5820:46 0.000117024 -36 *26912:A1 *5820:31 0.000562289 -37 *26912:A1 *5820:46 8.51874e-05 -38 *26913:A1 *26913:S 9.41642e-05 -39 *26913:A1 *5820:15 0.00051654 -40 *26914:A0 *5820:73 5.64954e-05 -41 *27112:A0 *5820:15 1.39726e-05 -42 *27112:S *5820:15 0.000177821 -43 *27170:A1 *5820:46 0.000177821 -44 *27706:A1 *5820:31 8.25843e-06 -45 *27706:A2 *5820:31 9.41642e-05 -46 *27723:B1 *5820:85 5.33334e-05 -47 *27724:A1 *5820:15 0.000650928 -48 *28463:CLK *5820:31 1.76039e-05 -49 *28464:CLK *5820:85 0.000200507 -50 *28464:RESET_B *5820:85 3.67419e-05 -51 *28572:CLK *5820:46 1.36181e-05 -52 *28737:CLK *5820:46 4.87854e-05 -53 *28902:A *5820:46 0.000126446 -54 *29229:A *26917:S 0.000240655 -55 *29423:A *5820:31 0.000136958 -56 *29870:A *5820:85 0.000268409 -57 *29922:A *26917:S 5.58875e-06 -58 *29989:A *5820:73 0.00022459 -59 *1265:161 *5820:73 7.31147e-05 -60 *1265:161 *5820:85 0.000229369 -61 *2764:35 *5820:31 0.00113176 -62 *2764:35 *5820:46 8.43535e-06 -63 *2786:22 *5820:85 2.26973e-05 -64 *2791:246 *5820:46 0.000325258 -65 *2792:26 *5820:46 4.50033e-05 -66 *2792:65 *5820:85 0.00149351 -67 *2792:65 *5820:93 5.33005e-05 -68 *3601:10 *5820:46 0.000129318 -69 *3601:24 *5820:46 9.71197e-05 -70 *3678:18 *5820:31 0.000397859 -71 *3686:27 *5820:46 9.8958e-05 -72 *3704:13 *5820:31 1.90303e-05 -73 *3822:108 *5820:46 0 -74 *3839:24 *26916:S 0.00058198 -75 *3839:24 *26917:S 0.000561831 -76 *3900:99 *5820:85 0.0013185 -77 *3913:21 *5820:85 2.11419e-05 -78 *3926:29 *5820:85 0.000829762 -79 *4030:18 *5820:73 1.43048e-05 -80 *4033:8 *26917:S 0 -81 *4137:14 *26917:S 0.000599685 -82 *4137:16 *26917:S 0 -83 *5590:194 *26917:S 0 -84 *5628:202 *5820:31 0.000157958 -85 *5628:212 *5820:31 0.000221284 -86 *5640:267 *5820:15 5.45582e-05 -87 *5668:31 *5820:15 5.48376e-05 -88 *5668:31 *5820:73 0.000181793 -89 *5669:278 *5820:15 0.000109578 -90 *5669:296 *5820:85 1.54142e-05 -91 *5669:312 *5820:85 0.00106819 -92 *5669:312 *5820:93 4.08637e-05 -93 *5680:77 *5820:85 8.56851e-05 -94 *5695:80 *5820:85 1.01912e-05 -95 *5695:183 *5820:15 2.67767e-05 -96 *5695:183 *5820:73 1.76039e-05 -97 *5695:186 *5820:15 3.98662e-05 -98 *5708:9 *5820:46 5.33005e-05 -99 *5708:17 *5820:46 0.000140933 -100 *5709:327 *26917:S 9.41642e-05 -101 *5716:67 *5820:46 0.000208726 -102 *5716:121 *5820:31 8.43535e-06 -103 *5716:121 *5820:46 7.22864e-05 -104 *5716:214 *5820:46 6.73244e-05 -105 *5725:17 *26911:S 2.58997e-05 -106 *5803:14 *5820:31 1.50181e-05 -*RES -1 *29836:X *5820:5 13.8 -2 *5820:5 *5820:15 34.1964 -3 *5820:15 *26913:S 10.2464 -4 *5820:15 *5820:31 31.3929 -5 *5820:31 *5820:46 37.7857 -6 *5820:46 *26915:S 14.3357 -7 *5820:46 *26911:S 22.05 -8 *5820:31 *26912:S 9.3 -9 *5820:5 *5820:73 9.66071 -10 *5820:73 *5820:85 40.4034 -11 *5820:85 *26918:S 9.3 -12 *5820:85 *5820:93 5.03571 -13 *5820:93 *26916:S 21.5143 -14 *5820:93 *26917:S 29.4429 -15 *5820:73 *26914:S 9.3 -*END - -*D_NET *5821 0.00229174 -*CONN -*I *28499:D I *D sky130_fd_sc_hd__dfstp_2 -*I *29847:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28499:D 0.000412617 -2 *29847:X 0.000412617 -3 *29847:A *28499:D 0.000370108 -4 *5628:217 *28499:D 0.000174431 -5 *5629:182 *28499:D 0.000636112 -6 *5629:186 *28499:D 0.000198768 -7 *5716:121 *28499:D 8.70873e-05 -*RES -1 *29847:X *28499:D 30.7786 -*END - -*D_NET *5822 0.00223015 -*CONN -*I *25597:A0 I *D sky130_fd_sc_hd__mux2_4 -*I *29858:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25597:A0 0.00042043 -2 *29858:X 0.00042043 -3 *25597:A0 *5878:12 5.75803e-05 -4 *25487:A0 *25597:A0 0.000140011 -5 *28074:D *25597:A0 0.000126409 -6 *3581:165 *25597:A0 0.000875206 -7 *5284:8 *25597:A0 0.000164529 -8 *5778:142 *25597:A0 2.55587e-05 -*RES -1 *29858:X *25597:A0 39.9036 -*END - -*D_NET *5823 0.0481697 -*CONN -*I *28985:A I *D sky130_fd_sc_hd__buf_8 -*I *28988:A I *D sky130_fd_sc_hd__buf_8 -*I *29869:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28985:A 0.000741338 -2 *28988:A 6.44092e-05 -3 *29869:X 0.000699879 -4 *5823:58 0.00233637 -5 *5823:51 0.00322358 -6 *5823:34 0.00336577 -7 *5823:32 0.00218192 -8 *5823:17 0.00191433 -9 *5823:13 0.00210509 -10 *28985:A *5836:104 0.000362054 -11 *5823:32 *5833:175 0.000167944 -12 *5823:51 *5878:14 9.9566e-05 -13 *5823:58 *5878:22 0.000346489 -14 *25110:B2 *5823:51 0.000112954 -15 *25191:B2 *28985:A 2.14757e-05 -16 *25588:A1 *5823:13 7.61932e-05 -17 *25912:A *5823:34 0.000117144 -18 *27589:B2 *5823:58 0.000114154 -19 *27666:A2 *5823:17 0.000482414 -20 *27667:A1 *5823:13 0.000559981 -21 *27920:A1 *5823:32 0.000721903 -22 *27955:D *5823:51 0.000143957 -23 *29395:A *5823:13 0.00018372 -24 *29618:A *5823:34 0.000423825 -25 *29762:A *5823:34 0.00035768 -26 *1327:28 *5823:51 0 -27 *1396:13 *5823:51 1.08359e-05 -28 *1405:83 *5823:34 0.000200588 -29 *1412:17 *5823:51 0.000382959 -30 *1423:37 *5823:51 5.46303e-05 -31 *1435:33 *5823:51 0.000189828 -32 *1511:10 *28985:A 0.000348586 -33 *1883:29 *5823:13 0.00100211 -34 *3216:184 *5823:51 2.77258e-05 -35 *3216:184 *5823:58 0.00041835 -36 *3542:27 *5823:58 0.00261968 -37 *3659:14 *5823:51 0.0024446 -38 *3675:13 *28985:A 0.000178307 -39 *3738:18 *5823:17 0.000589478 -40 *3738:28 *5823:17 0.000148903 -41 *3752:19 *5823:58 0.000177815 -42 *3858:22 *5823:58 0.000193125 -43 *3948:24 *5823:58 0.000269137 -44 *4013:17 *5823:51 1.4477e-06 -45 *4025:31 *5823:51 0.000109428 -46 *4025:32 *5823:51 0.000110498 -47 *4026:8 *5823:34 0.000332238 -48 *4026:24 *5823:34 0.00127993 -49 *4035:33 *5823:34 0.001794 -50 *4038:17 *5823:34 9.90115e-06 -51 *4089:12 *5823:17 0.00180932 -52 *4113:116 *5823:51 0.000170256 -53 *4118:26 *28985:A 0.000499005 -54 *4152:60 *5823:58 0.000206725 -55 *4350:96 *5823:13 0.000210533 -56 *4350:103 *5823:13 7.44824e-05 -57 *5196:8 *5823:32 0.000229512 -58 *5196:8 *5823:34 0.000103383 -59 *5457:22 *5823:34 0.000297104 -60 *5457:22 *5823:51 0.00224124 -61 *5458:40 *5823:51 0.000123605 -62 *5607:50 *5823:17 0.000148215 -63 *5634:156 *5823:51 1.721e-05 -64 *5640:142 *5823:32 1.5942e-05 -65 *5641:78 *5823:51 0.00112193 -66 *5641:96 *5823:51 0 -67 *5694:7 *28985:A 4.10926e-05 -68 *5697:11 *28988:A 9.60875e-05 -69 *5697:11 *5823:32 0.000137983 -70 *5716:14 *5823:13 7.07908e-05 -71 *5716:14 *5823:17 4.43836e-05 -72 *5719:86 *28985:A 0.000269322 -73 *5729:301 *5823:58 0.00126062 -74 *5799:7 *5823:13 1.39726e-05 -75 *5799:12 *5823:32 3.61031e-05 -76 *5799:12 *5823:34 0.00511264 -*RES -1 *29869:X *5823:13 42.4607 -2 *5823:13 *5823:17 38.8036 -3 *5823:17 *28988:A 10.6571 -4 *5823:17 *5823:32 17.8125 -5 *5823:32 *5823:34 66.3214 -6 *5823:34 *5823:51 39.8403 -7 *5823:51 *5823:58 49.0536 -8 *5823:58 *28985:A 23.9786 -*END - -*D_NET *5824 0.160895 -*CONN -*I *25928:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25916:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25718:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *26869:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *6571:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *26968:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26932:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *6559:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27094:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27085:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28986:A I *D sky130_fd_sc_hd__buf_6 -*I *28987:A I *D sky130_fd_sc_hd__clkbuf_4 -*I *25904:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *6558:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25781:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25898:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25769:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25787:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25850:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25862:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25886:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29880:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *25928:A0 0.00122127 -2 *25916:A0 0.000384352 -3 *25718:A1 0.00056058 -4 *26869:A0 0 -5 *6571:DIODE 0 -6 *26968:A0 0 -7 *26932:A0 0.000513344 -8 *6559:DIODE 4.36033e-05 -9 *27094:A1 0.00016548 -10 *27085:A0 0.000729242 -11 *28986:A 0.000281103 -12 *28987:A 0.0015843 -13 *25904:A0 0 -14 *6558:DIODE 0 -15 *25781:A0 0 -16 *25898:A1 7.27808e-05 -17 *25769:A0 0 -18 *25787:A1 0 -19 *25850:A0 0 -20 *25862:A0 0 -21 *25886:A1 0 -22 *29880:X 0.000213895 -23 *5824:328 0.000678941 -24 *5824:322 0.000176926 -25 *5824:306 0.00214254 -26 *5824:286 0.00410398 -27 *5824:266 0.00637236 -28 *5824:265 0.00437057 -29 *5824:242 0.000220824 -30 *5824:219 0.00206659 -31 *5824:213 0.00521351 -32 *5824:170 0.00559404 -33 *5824:159 0.00490037 -34 *5824:153 0.00256154 -35 *5824:152 0.00195201 -36 *5824:150 0.00572783 -37 *5824:147 0.00575817 -38 *5824:142 0.00394045 -39 *5824:128 0.000655547 -40 *5824:120 0.00150971 -41 *5824:108 0.00234198 -42 *5824:90 0.00143206 -43 *5824:84 0.00136073 -44 *5824:63 0.00153399 -45 *5824:50 0.00248973 -46 *5824:37 0.00271654 -47 *5824:30 0.0017608 -48 *5824:28 0.001205 -49 *5824:27 0.00134346 -50 *5824:23 0.000712814 -51 *5824:11 0.000837173 -52 *5824:8 0.00117189 -53 *5824:5 0.00167594 -54 *25718:A1 *25718:A0 0.000585557 -55 *25718:A1 *26869:A1 3.57366e-05 -56 *25718:A1 *6004:15 2.59355e-05 -57 *25916:A0 *28363:D 9.60939e-05 -58 *26932:A0 *26932:A1 3.93247e-05 -59 *26932:A0 *6001:17 0.000385089 -60 *27085:A0 *6225:135 0.000600774 -61 *27085:A0 *6351:26 0.000675389 -62 *27094:A1 *27094:A0 2.84026e-05 -63 *28987:A *28641:D 0.000645023 -64 *28987:A *5913:15 0.000604166 -65 *28987:A *6271:17 0.000567271 -66 *28987:A *6279:29 9.41642e-05 -67 *5824:8 *5833:40 0.000270379 -68 *5824:23 *25886:A0 0.000580835 -69 *5824:23 *25896:A0 0.000136951 -70 *5824:28 *25768:S 0 -71 *5824:28 *28231:D 0 -72 *5824:28 *5938:33 0 -73 *5824:37 *28301:D 3.4879e-05 -74 *5824:63 *28262:D 0.000125567 -75 *5824:63 *28283:D 0.000613007 -76 *5824:84 *28242:D 0.000344217 -77 *5824:84 *5833:40 9.25014e-06 -78 *5824:108 *25897:A1 1.18064e-05 -79 *5824:120 *25781:A1 5.52302e-05 -80 *5824:142 *25904:A1 3.97677e-05 -81 *5824:147 *28351:D 2.84942e-05 -82 *5824:153 *27189:S 0.000198502 -83 *5824:159 *27189:S 2.2209e-06 -84 *5824:159 *5912:67 4.038e-06 -85 *5824:170 *28641:D 3.61629e-06 -86 *5824:170 *5828:17 0 -87 *5824:170 *6259:38 2.48017e-05 -88 *5824:170 *6278:11 0.000252446 -89 *5824:219 *6225:135 8.52131e-06 -90 *5824:265 *6351:24 1.78465e-05 -91 *5824:286 *5847:43 0.000119004 -92 *5824:286 *5911:94 0.000323727 -93 *5824:286 *6005:32 0.000149498 -94 *5824:306 *5911:115 0.000120909 -95 *5824:306 *6001:17 0.000190252 -96 *5824:322 *6004:15 4.13496e-05 -97 *5824:328 *6004:15 0.00016968 -98 *24824:A *28987:A 8.45367e-05 -99 *24846:A *5824:306 0 -100 *24869:B *5824:63 0 -101 *25186:A2 *5824:213 8.16924e-05 -102 *25190:B1 *5824:265 4.6995e-05 -103 *25238:B2 *5824:219 0.000648015 -104 *25765:A *5824:11 0.00038021 -105 *25765:A *5824:84 2.04825e-05 -106 *25769:A1 *5824:23 4.27935e-05 -107 *25769:A1 *5824:27 0.000310286 -108 *25803:A0 *5824:63 9.25014e-06 -109 *25822:B *5824:63 0.000185825 -110 *25850:A1 *5824:37 2.90075e-05 -111 *25882:A *5824:5 9.71197e-05 -112 *25883:A1 *5824:28 0 -113 *25896:A1 *5824:11 0.000161326 -114 *25896:A1 *5824:23 4.5966e-05 -115 *25896:S *5824:23 0.00019867 -116 *25898:S *25898:A1 5.33005e-05 -117 *25902:S *5824:147 0.000128419 -118 *25904:S *5824:142 0.000345257 -119 *26256:A *5824:50 9.92409e-05 -120 *26258:C *5824:50 0 -121 *26715:A1 *5824:37 5.71472e-05 -122 *26715:A1 *5824:50 0.000572143 -123 *26715:A2 *5824:37 9.31893e-05 -124 *26869:S *25718:A1 3.57366e-05 -125 *26925:A0 *5824:286 0.000222524 -126 *26925:A1 *5824:286 0.000144416 -127 *26932:S *26932:A0 9.60875e-05 -128 *26945:A0 *5824:286 0.000261122 -129 *26950:A1 *5824:170 2.79421e-05 -130 *26985:S *5824:159 0.000242669 -131 *27023:A1 *5824:150 0.000726417 -132 *27181:A1 *28987:A 0.000696639 -133 *27199:A0 *28986:A 5.33005e-05 -134 *27367:A *5824:213 0.000207659 -135 *27369:A2 *5824:219 0.000494254 -136 *27371:C1 *5824:213 0.000100257 -137 *27371:C1 *5824:219 0.00218468 -138 *27371:C1 *5824:265 3.50637e-05 -139 *27426:A1 *5824:286 0 -140 *27429:B1 *5824:286 2.83129e-05 -141 *27430:B1 *5824:286 1.90936e-05 -142 *27697:A2 *5824:213 0.000236084 -143 *27750:A2 *5824:147 0.000140769 -144 *27750:C1 *5824:147 0.000319818 -145 *27784:B2 *5824:286 0.00031376 -146 *28067:CLK *5824:120 0.000175846 -147 *28230:CLK *5824:28 0.000179553 -148 *28244:RESET_B *5824:108 0.000324935 -149 *28249:RESET_B *5824:28 0.000187284 -150 *28301:CLK *5824:37 2.59355e-05 -151 *28301:SET_B *5824:37 4.70821e-05 -152 *28305:RESET_B *5824:63 1.37292e-05 -153 *28373:CLK *25928:A0 2.45626e-05 -154 *28373:RESET_B *25928:A0 3.39152e-05 -155 *28536:CLK *28987:A 0.000105559 -156 *28548:CLK *5824:153 5.66564e-05 -157 *28556:D *5824:170 1.40306e-05 -158 *28559:D *28987:A 0.000551905 -159 *28559:RESET_B *28987:A 0.000217929 -160 *28586:SET_B *5824:142 0.000304394 -161 *28588:RESET_B *5824:213 7.87139e-05 -162 *28637:RESET_B *5824:170 4.35436e-05 -163 *28641:CLK *28987:A 6.86693e-05 -164 *28641:CLK *5824:170 0.000366539 -165 *28760:D *5824:153 0.000170467 -166 *28865:A *5824:37 5.33005e-05 -167 *29082:A *5824:170 0.000806742 -168 *29197:A *5824:153 6.74867e-05 -169 *29205:A *5824:153 0.000356695 -170 *29227:A *5824:150 0 -171 *29227:A *5824:153 0.000210081 -172 *29227:A *5824:213 0 -173 *29249:A *5824:28 0 -174 *29341:A *5824:8 5.60967e-05 -175 *29341:A *5824:84 1.08691e-05 -176 *29413:A *5824:153 0.00021962 -177 *29431:A *5824:37 6.39231e-05 -178 *29573:A *5824:108 0.000196269 -179 *29629:A *25916:A0 0.000159966 -180 *29629:A *5824:84 1.92905e-05 -181 *29670:A *5824:108 9.60939e-05 -182 *29683:A *5824:37 9.41642e-05 -183 *29726:A *5824:84 0.000509479 -184 *29863:A *5824:147 0.00126574 -185 *29887:A *5824:63 0.00069769 -186 *29967:A *28987:A 5.71472e-05 -187 *29973:A *5824:170 1.92905e-05 -188 *30077:A *5824:286 4.15183e-05 -189 *30108:A *5824:84 7.02611e-05 -190 *30115:A *25898:A1 0.000178847 -191 *30180:A *5824:63 0.000350055 -192 *30225:A *5824:28 0.000152333 -193 *30457:A *5824:50 0.000183726 -194 *30828:A *5824:120 6.28356e-05 -195 *30836:A *26932:A0 9.41642e-05 -196 *30836:A *5824:306 8.36572e-05 -197 *30837:A *5824:306 0.000103974 -198 *30875:A *5824:286 0.000360935 -199 *778:15 *5824:170 8.7935e-05 -200 *794:23 *5824:286 0.000255618 -201 *1252:207 *6559:DIODE 5.33005e-05 -202 *1252:207 *27094:A1 0.000303402 -203 *1260:135 *5824:286 9.09604e-05 -204 *1267:80 *5824:213 0.00031457 -205 *1286:101 *5824:153 0.00118391 -206 *1287:97 *5824:63 7.10647e-05 -207 *1362:48 *5824:37 9.60939e-05 -208 *1464:105 *5824:108 0.000160414 -209 *1524:37 *27085:A0 6.14836e-06 -210 *1524:37 *5824:219 9.51343e-06 -211 *1524:38 *27094:A1 5.4826e-05 -212 *1524:38 *5824:242 1.04707e-05 -213 *1816:26 *5824:108 8.42484e-06 -214 *1853:94 *5824:306 0 -215 *1857:17 *25718:A1 9.41642e-05 -216 *1869:14 *5824:108 0.000497337 -217 *1869:34 *5824:108 0.000124828 -218 *1869:34 *5824:120 1.54142e-05 -219 *1871:10 *5824:120 4.66203e-05 -220 *1871:12 *5824:120 0.00113235 -221 *1873:8 *5824:108 0.000157955 -222 *1873:12 *5824:108 0 -223 *1892:23 *5824:142 0.000446869 -224 *1892:23 *5824:147 3.80257e-05 -225 *2160:8 *5824:50 0.000142856 -226 *2198:6 *5824:50 0 -227 *2198:23 *5824:50 0 -228 *2700:9 *5824:28 0.000988417 -229 *2759:111 *5824:213 0.000379069 -230 *2759:149 *25718:A1 0.00030226 -231 *2760:157 *5824:265 1.34692e-05 -232 *2763:29 *5824:286 1.24368e-05 -233 *2763:29 *5824:322 0.000139491 -234 *2766:79 *5824:170 0.000219009 -235 *2767:18 *5824:306 0.000905916 -236 *2767:118 *5824:306 0.000276279 -237 *2768:107 *5824:153 1.90936e-05 -238 *2773:118 *5824:213 0.00147539 -239 *2773:139 *5824:147 0.0010509 -240 *2773:139 *5824:150 0.000754575 -241 *2776:207 *25718:A1 0.000100831 -242 *2781:138 *27085:A0 1.58163e-05 -243 *2794:95 *5824:159 0 -244 *2794:101 *5824:153 7.97588e-05 -245 *2794:102 *5824:153 2.06178e-05 -246 *2794:202 *28986:A 0 -247 *2794:202 *5824:159 0 -248 *2855:219 *5824:265 0 -249 *2882:303 *5824:286 1.75792e-05 -250 *2889:92 *27085:A0 9.52037e-06 -251 *2889:92 *5824:219 9.93862e-05 -252 *2892:253 *5824:266 0.000306343 -253 *2895:291 *5824:213 0 -254 *2895:291 *5824:265 0 -255 *2997:20 *5824:286 0.000153466 -256 *3165:343 *5824:63 0.001338 -257 *3179:167 *5824:213 0.000205951 -258 *3259:13 *5824:265 0 -259 *3259:25 *5824:219 0 -260 *3541:23 *5824:37 0.00033577 -261 *3541:68 *5824:90 0.00015134 -262 *3567:17 *5824:120 0 -263 *3567:32 *5824:120 0.000118749 -264 *3581:19 *5824:120 6.37792e-05 -265 *3581:19 *5824:128 5.30637e-06 -266 *3581:118 *5824:128 0.000116787 -267 *3581:118 *5824:142 6.26177e-05 -268 *3581:138 *5824:150 0 -269 *3581:138 *5824:213 0 -270 *3585:41 *5824:28 1.18451e-05 -271 *3598:18 *5824:213 0 -272 *3604:110 *5824:170 0.000135028 -273 *3609:77 *28987:A 0.000292006 -274 *3617:121 *25718:A1 0.000142571 -275 *3617:125 *25718:A1 3.32442e-05 -276 *3655:70 *25928:A0 0.000257619 -277 *3655:79 *5824:8 1.90936e-05 -278 *3655:100 *5824:8 0.000215106 -279 *3655:100 *5824:84 1.04707e-05 -280 *3658:10 *5824:50 0.000101545 -281 *3687:26 *5824:37 0.000150493 -282 *3705:62 *26932:A0 0.0001073 -283 *3705:62 *5824:306 0.000177821 -284 *3710:15 *5824:63 0 -285 *3710:77 *5824:50 0 -286 *3710:77 *5824:63 0 -287 *3718:157 *5824:306 0.000355352 -288 *3740:30 *5824:50 5.33978e-05 -289 *3767:7 *5824:84 3.15101e-05 -290 *3835:10 *5824:170 3.30337e-05 -291 *3839:7 *28987:A 1.74352e-05 -292 *3839:7 *5824:170 0 -293 *3846:8 *5824:153 0.0012141 -294 *3846:8 *5824:159 0.00019608 -295 *3861:38 *5824:265 4.27853e-05 -296 *3948:24 *5824:28 0.000958905 -297 *3951:20 *5824:213 4.53834e-05 -298 *3978:65 *5824:213 4.33002e-05 -299 *3978:65 *5824:219 2.32455e-05 -300 *3993:14 *5824:286 0.000104296 -301 *3995:58 *5824:286 1.8995e-06 -302 *4013:7 *25928:A0 0.00030294 -303 *4017:82 *28987:A 0.000354054 -304 *4025:21 *25928:A0 2.28499e-05 -305 *4025:31 *25928:A0 0.000216755 -306 *4029:24 *5824:153 0.000301024 -307 *4033:16 *5824:286 1.90936e-05 -308 *4043:58 *5824:147 0.000239646 -309 *4068:15 *27094:A1 3.69047e-06 -310 *4068:16 *27085:A0 0.000206173 -311 *4087:12 *5824:108 0.000498741 -312 *4087:20 *5824:8 0.00010332 -313 *4087:20 *5824:84 0.000219627 -314 *4087:20 *5824:108 0.000628806 -315 *4087:22 *5824:8 7.87329e-05 -316 *4087:61 *5824:120 6.42242e-05 -317 *4097:11 *5824:286 5.05056e-05 -318 *4107:39 *5824:219 2.63937e-05 -319 *4124:43 *25718:A1 9.41642e-05 -320 *4125:23 *5824:286 1.54309e-05 -321 *4147:97 *5824:306 0.000640656 -322 *4215:14 *25718:A1 9.99644e-06 -323 *4218:18 *5824:286 0.00100942 -324 *4357:21 *5824:286 0.000126582 -325 *5111:13 *25928:A0 0.000180777 -326 *5111:13 *5824:5 0.000679989 -327 *5209:6 *5824:50 0.000482156 -328 *5209:30 *5824:50 1.99881e-05 -329 *5209:30 *5824:63 0 -330 *5267:11 *5824:50 2.05612e-05 -331 *5434:5 *5824:266 0.00434365 -332 *5461:28 *5824:306 0.000636519 -333 *5582:95 *5824:213 0 -334 *5582:156 *5824:213 0 -335 *5584:250 *5824:213 0.000392961 -336 *5587:102 *5824:213 0 -337 *5589:40 *5824:219 0.000608582 -338 *5590:134 *5824:153 0.000353784 -339 *5593:15 *5824:213 0.00072529 -340 *5602:100 *5824:306 0.000114258 -341 *5611:27 *5824:63 8.69811e-05 -342 *5628:121 *5824:286 0.00010443 -343 *5628:137 *5824:213 0.000397903 -344 *5630:230 *5824:286 0.000417176 -345 *5633:97 *5824:265 1.59782e-05 -346 *5640:235 *5824:150 0 -347 *5645:136 *5824:213 0.000117095 -348 *5669:7 *5824:142 0.000124158 -349 *5669:19 *5824:142 3.19123e-05 -350 *5669:39 *25928:A0 5.34654e-05 -351 *5669:39 *5824:5 2.59355e-05 -352 *5669:43 *5824:5 0.000270562 -353 *5688:173 *5824:84 0.00012798 -354 *5688:176 *5824:8 0.000215441 -355 *5688:225 *5824:37 0.000833109 -356 *5701:126 *5824:153 0.000353404 -357 *5702:16 *28986:A 5.33005e-05 -358 *5702:38 *5824:213 6.08478e-05 -359 *5702:202 *28987:A 0.000108525 -360 *5702:202 *5824:170 0.00162701 -361 *5702:212 *28987:A 0.000420106 -362 *5702:214 *28987:A 0.000231396 -363 *5704:18 *5824:147 7.40571e-05 -364 *5709:85 *5824:90 0.00015134 -365 *5716:121 *5824:153 0.000193833 -366 *5719:86 *5824:63 0.000304767 -367 *5719:98 *5824:63 0.000221634 -368 *5721:10 *5824:28 0.00359677 -369 *5721:10 *5824:37 0.00083138 -370 *5736:10 *28986:A 0.000388096 -371 *5736:10 *5824:159 0 -372 *5736:24 *5824:153 0 -373 *5758:166 *5824:108 6.42338e-05 -374 *5760:45 *5824:84 5.52302e-05 -375 *5779:8 *5824:120 5.01117e-05 -376 *5780:18 *5824:28 6.19181e-05 -377 *5780:32 *5824:28 0.000158492 -378 *5792:28 *5824:147 7.51332e-05 -379 *5795:60 *5824:153 0.00162571 -380 *5800:108 *25718:A1 3.14163e-05 -381 *5800:119 *25718:A1 9.76297e-05 -382 *5800:119 *5824:306 0.000456783 -383 *5800:119 *5824:322 0.000178847 -384 *5800:119 *5824:328 0.000329865 -385 *5819:10 *27085:A0 6.33321e-05 -386 *5819:10 *27094:A1 2.4454e-05 -387 *5819:10 *5824:242 7.69776e-06 -*RES -1 *29880:X *5824:5 15.9964 -2 *5824:5 *5824:8 11.9107 -3 *5824:8 *5824:11 9.96429 -4 *5824:11 *25886:A1 9.3 -5 *5824:11 *5824:23 12.6071 -6 *5824:23 *5824:27 7.5 -7 *5824:27 *5824:28 46.2679 -8 *5824:28 *5824:30 4.5 -9 *5824:30 *5824:37 38.8929 -10 *5824:37 *5824:50 32.0268 -11 *5824:50 *5824:63 41.4375 -12 *5824:63 *25862:A0 9.3 -13 *5824:37 *25850:A0 9.3 -14 *5824:30 *25787:A1 9.3 -15 *5824:23 *25769:A0 9.3 -16 *5824:8 *5824:84 20.5179 -17 *5824:84 *5824:90 14.3571 -18 *5824:90 *25898:A1 11.0679 -19 *5824:90 *5824:108 40.2321 -20 *5824:108 *25781:A0 9.3 -21 *5824:108 *5824:120 33.7857 -22 *5824:120 *6558:DIODE 9.3 -23 *5824:120 *5824:128 3.83929 -24 *5824:128 *25904:A0 9.3 -25 *5824:128 *5824:142 11.4821 -26 *5824:142 *5824:147 49.0089 -27 *5824:147 *5824:150 8.78993 -28 *5824:150 *5824:152 3.41 -29 *5824:152 *5824:153 59.6786 -30 *5824:153 *5824:159 11.0714 -31 *5824:159 *5824:170 49.1751 -32 *5824:170 *28987:A 49.55 -33 *5824:159 *28986:A 19.4696 -34 *5824:150 *5824:213 41.8427 -35 *5824:213 *5824:219 12.1388 -36 *5824:219 *27085:A0 29.5373 -37 *5824:219 *5824:242 3.68679 -38 *5824:242 *27094:A1 17.5321 -39 *5824:242 *6559:DIODE 14.3357 -40 *5824:213 *5824:265 9.82913 -41 *5824:265 *5824:266 49.4107 -42 *5824:266 *5824:286 38.0299 -43 *5824:286 *5824:306 46.6132 -44 *5824:306 *26932:A0 18.1929 -45 *5824:306 *26968:A0 9.3 -46 *5824:286 *5824:322 2.17857 -47 *5824:322 *6571:DIODE 9.3 -48 *5824:322 *5824:328 3.41071 -49 *5824:328 *26869:A0 9.3 -50 *5824:328 *25718:A1 31.2821 -51 *5824:84 *25916:A0 15.5857 -52 *5824:5 *25928:A0 27.3714 -*END - -*D_NET *5825 0.015864 -*CONN -*I *25054:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30713:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25054:A1 0.0013884 -2 *30713:X 9.70537e-05 -3 *5825:8 0.00532914 -4 *5825:7 0.00403779 -5 *25054:A1 *25051:B2 2.84269e-05 -6 *25054:A1 *5863:132 1.94879e-05 -7 *5825:7 *5859:11 0.000140933 -8 *5825:8 *5847:8 0 -9 *5825:8 *5847:12 0 -10 *5825:8 *5914:6 0 -11 mgmt_gpio_oeb[35] *5825:8 0.000130274 -12 *25051:A1 *25054:A1 6.20572e-05 -13 *25051:A2 *25054:A1 1.35174e-05 -14 *25051:C1 *25054:A1 5.33005e-05 -15 *25054:A2 *25054:A1 1.57155e-05 -16 *25055:A *25054:A1 6.24874e-05 -17 *25055:D *25054:A1 1.92905e-05 -18 *27004:S *25054:A1 0.000134276 -19 *27183:A1 *5825:8 0 -20 *27204:B *5825:8 0.00014904 -21 *27782:A1 *25054:A1 0.00034188 -22 *27782:A2 *25054:A1 1.22485e-05 -23 *27832:B2 *25054:A1 2.09826e-05 -24 *28764:D *5825:8 7.68193e-05 -25 *29272:A *5825:8 0.000191243 -26 *30269:A *5825:8 3.91192e-05 -27 *30539:A *5825:8 0 -28 *30721:A *5825:8 0.000231841 -29 *30860:A *5825:8 0 -30 *540:15 *25054:A1 0.000345251 -31 *2772:45 *25054:A1 0.00094803 -32 *2776:224 *25054:A1 0.000369838 -33 *2776:231 *25054:A1 0.000241355 -34 *3718:27 *5825:8 7.69776e-06 -35 *3731:18 *5825:8 0.000372965 -36 *3811:15 *5825:8 3.86885e-05 -37 *3891:113 *5825:8 0 -38 *4781:15 *5825:8 0.000262502 -39 *5669:317 *5825:8 0.000546194 -40 *5669:338 *5825:8 0.000136169 -*RES -1 *30713:X *5825:7 15.1571 -2 *5825:7 *5825:8 79.2054 -3 *5825:8 *25054:A1 37.3016 -*END - -*D_NET *5826 0.0013661 -*CONN -*I *28302:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29891:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28302:D 0.000401895 -2 *29891:X 0.000401895 -3 *25850:A1 *28302:D 0.00016963 -4 *26440:A1 *28302:D 8.71847e-05 -5 *30058:A *28302:D 0.00015234 -6 *3684:64 *28302:D 0.000153153 -*RES -1 *29891:X *28302:D 35.1893 -*END - -*D_NET *5827 0.00270701 -*CONN -*I *25605:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29902:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25605:A0 0.00091968 -2 *29902:X 0.00091968 -3 *25605:A0 *5836:312 4.3049e-05 -4 *25603:S *25605:A0 8.00806e-05 -5 *29490:A *25605:A0 2.13481e-06 -6 *29524:A *25605:A0 7.03132e-05 -7 *29902:A *25605:A0 8.86512e-05 -8 *30035:A *25605:A0 0.000573169 -9 *1821:18 *25605:A0 1.02504e-05 -10 *1883:10 *25605:A0 0 -*RES -1 *29902:X *25605:A0 40.2607 -*END - -*D_NET *5828 0.00973176 -*CONN -*I *28970:A I *D sky130_fd_sc_hd__buf_6 -*I *29913:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28970:A 0 -2 *29913:X 0.00384474 -3 *5828:17 0.00384474 -4 *5828:17 *28993:A 5.52302e-05 -5 *5828:17 *5879:237 0 -6 *5828:17 *5893:10 0.000442475 -7 *5828:17 *5897:13 0.00025217 -8 *28177:CLK *5828:17 0 -9 *30710:A *5828:17 0.000828719 -10 *1835:6 *5828:17 0 -11 *3602:35 *5828:17 4.29471e-05 -12 *5544:11 *5828:17 5.33005e-05 -13 *5680:5 *5828:17 0.0001399 -14 *5680:18 *5828:17 0.000227532 -15 *5736:10 *5828:17 0 -16 *5824:170 *5828:17 0 -*RES -1 *29913:X *5828:17 48.1772 -2 *5828:17 *28970:A 9.3 -*END - -*D_NET *5829 0.125444 -*CONN -*I *28969:A I *D sky130_fd_sc_hd__buf_6 -*I *27212:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *6560:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27107:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *6572:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25726:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *26873:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27080:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27008:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28968:A I *D sky130_fd_sc_hd__buf_8 -*I *25692:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25743:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29925:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *28969:A 0.000170314 -2 *27212:A0 0.000155338 -3 *6560:DIODE 0 -4 *27107:A0 0.000163182 -5 *6572:DIODE 0 -6 *25726:A1 0 -7 *26873:A0 0.000270412 -8 *27080:A0 0 -9 *27008:A0 0 -10 *28968:A 7.45272e-05 -11 *25692:A1 0 -12 *25743:A0 0.000140673 -13 *29925:X 0 -14 *5829:221 0.00141311 -15 *5829:207 0.00197936 -16 *5829:169 0.000762504 -17 *5829:165 0.00211601 -18 *5829:149 0.00427862 -19 *5829:127 0.00126564 -20 *5829:126 0.00229699 -21 *5829:116 0.00430289 -22 *5829:113 0.00487292 -23 *5829:96 0.00217551 -24 *5829:85 0.00166383 -25 *5829:76 0.00430566 -26 *5829:75 0.00364332 -27 *5829:68 0.0057874 -28 *5829:50 0.00379776 -29 *5829:42 0.00190616 -30 *5829:19 0.00176733 -31 *5829:4 0.000454346 -32 *25743:A0 *25743:S 9.60875e-05 -33 *25743:A0 *5893:46 0.000224583 -34 *26873:A0 *26873:A1 8.00931e-05 -35 *27107:A0 *5873:281 9.60875e-05 -36 *27212:A0 *27212:S 0.000185124 -37 *28969:A *5881:33 6.12434e-05 -38 *5829:19 *5879:237 9.41642e-05 -39 *5829:42 *5879:237 0.000137983 -40 *5829:76 *5926:72 0.00114821 -41 *5829:85 *27080:A1 7.20217e-06 -42 *5829:85 *5926:72 0.00314116 -43 *5829:96 *5910:123 0.00018077 -44 *5829:149 *5863:132 0.000308595 -45 *5829:149 *5873:281 0.00317322 -46 *5829:165 *5892:25 7.76348e-05 -47 *5829:169 *25727:A0 3.92854e-05 -48 *5829:207 *27208:A1 9.75253e-05 -49 *5829:207 *6201:11 0.000617025 -50 *5829:221 *27203:A1 0.00014167 -51 *5829:221 *28758:D 7.22574e-05 -52 *5829:221 *5863:25 7.22574e-05 -53 mgmt_gpio_oeb[16] *26873:A0 6.57648e-05 -54 *25188:C1 *5829:76 4.46689e-05 -55 *25188:C1 *5829:85 5.41797e-06 -56 *25692:S *5829:42 5.51706e-05 -57 *25693:A1 *5829:19 5.71472e-05 -58 *25727:A1 *5829:169 0.000150618 -59 *25743:A1 *25743:A0 0.000186084 -60 *25743:A1 *5829:19 0.00057022 -61 *26859:A1 *5829:42 0.000140111 -62 *26883:A *26873:A0 0.000178867 -63 *26917:S *5829:221 9.41642e-05 -64 *26954:A1 *28969:A 0.000136958 -65 *26964:B *5829:126 9.41642e-05 -66 *27134:S *5829:42 0.000143372 -67 *27176:A0 *28968:A 2.89114e-05 -68 *27176:A1 *5829:113 1.21258e-05 -69 *27381:B1 *5829:76 0.00107166 -70 *27390:A2 *5829:76 0.000640786 -71 *27779:C1 *5829:149 0.000863032 -72 *28446:D *5829:207 0.000351474 -73 *28597:D *5829:165 0.000603322 -74 *28649:RESET_B *5829:85 0.000120879 -75 *28679:RESET_B *5829:149 0.000199801 -76 *28695:D *5829:76 2.04825e-05 -77 *28709:CLK *5829:50 0.000213257 -78 *28709:CLK *5829:68 0.000397389 -79 *28742:CLK *5829:221 3.87671e-05 -80 *28758:RESET_B *5829:221 4.86156e-05 -81 *29053:A *5829:68 6.72288e-05 -82 *29053:A *5829:75 0.00110469 -83 *29053:A *5829:149 0.000107157 -84 *29062:A *5829:207 2.59355e-05 -85 *29262:A *5829:221 0.000771339 -86 *29373:A *5829:42 0 -87 *29420:A *5829:149 4.35597e-05 -88 *29927:A *5829:221 0.000207836 -89 *29974:A *5829:42 0.000691865 -90 *29978:A *5829:207 0.000551498 -91 *29989:A *5829:68 0 -92 *30212:A *5829:149 1.98839e-05 -93 *30233:A *5829:76 0.000302507 -94 *30559:A *5829:42 0.00145333 -95 *30697:A *5829:165 7.48091e-05 -96 *61:14 *5829:42 2.33162e-05 -97 *244:65 *5829:126 8.54375e-05 -98 *244:65 *5829:127 0.00425581 -99 *783:17 *5829:42 0.000213047 -100 *792:24 *5829:149 0.000106924 -101 *798:11 *26873:A0 0 -102 *922:17 *5829:165 0.00014784 -103 *1026:19 *5829:42 0.000109066 -104 *1185:78 *5829:85 1.10868e-05 -105 *1185:78 *5829:96 4.82947e-05 -106 *1185:86 *5829:96 0.000133298 -107 *1185:125 *5829:85 4.24765e-05 -108 *1185:144 *5829:85 0.000125774 -109 *1185:175 *5829:76 0.00163985 -110 *1268:95 *5829:126 0.00102083 -111 *1268:96 *5829:126 0.000347558 -112 *1270:94 *5829:126 0.00168313 -113 *1286:168 *5829:76 0.000265941 -114 *1288:229 *28968:A 2.59355e-05 -115 *1288:229 *5829:116 0.00194017 -116 *1328:183 *5829:113 7.6644e-05 -117 *1334:22 *5829:126 0.000853134 -118 *1350:25 *5829:126 0.000434797 -119 *1506:18 *5829:76 0.00116699 -120 *1513:10 *5829:68 0.000324293 -121 *1697:32 *5829:149 0.00121956 -122 *1826:117 *5829:85 0.000133937 -123 *2763:124 *5829:126 0.000618191 -124 *2770:127 *5829:68 0 -125 *2776:117 *5829:127 0.00252988 -126 *2776:128 *5829:127 0.000863833 -127 *2776:139 *5829:127 9.87983e-06 -128 *2780:308 *5829:85 6.04127e-05 -129 *2780:308 *5829:96 0.000168339 -130 *2786:136 *5829:42 0.000201539 -131 *2786:136 *5829:50 0.000172956 -132 *2786:172 *5829:42 0.00018567 -133 *2787:128 *28968:A 0.000146474 -134 *2787:128 *5829:96 0.000303727 -135 *2791:87 *5829:113 0.00087546 -136 *2791:163 *5829:149 5.87925e-05 -137 *2792:62 *5829:68 8.23597e-06 -138 *2855:219 *5829:76 0.000372338 -139 *2874:192 *5829:96 0.000307021 -140 *2874:336 *5829:76 0.000568595 -141 *2880:93 *5829:85 0.000107427 -142 *2883:226 *5829:76 7.6644e-05 -143 *2888:291 *5829:149 0.00010919 -144 *2891:147 *5829:113 0.000121596 -145 *3153:165 *5829:96 7.48356e-05 -146 *3164:319 *5829:75 9.1584e-05 -147 *3185:229 *5829:149 0.000143542 -148 *3285:16 *5829:76 7.6644e-05 -149 *3291:17 *5829:76 0.00127947 -150 *3338:8 *5829:149 9.0213e-05 -151 *3537:35 *28969:A 0.000436736 -152 *3537:35 *5829:68 0 -153 *3538:92 *26873:A0 6.20855e-06 -154 *3538:92 *5829:169 8.49285e-05 -155 *3582:44 *5829:50 9.58632e-05 -156 *3582:55 *5829:207 9.44309e-06 -157 *3603:164 *5829:19 2.50022e-05 -158 *3608:5 *5829:207 0.000268049 -159 *3608:10 *5829:207 0.000308913 -160 *3705:7 *28969:A 0.00018077 -161 *3705:14 *5829:68 0.0005473 -162 *3718:92 *5829:85 0 -163 *3731:109 *26873:A0 0.00014183 -164 *3731:109 *5829:169 4.18895e-05 -165 *3757:102 *5829:169 0.00121406 -166 *3887:97 *5829:42 0.00126852 -167 *3887:97 *5829:50 0.000540306 -168 *3887:97 *5829:68 0.000946417 -169 *3913:106 *5829:42 4.1879e-05 -170 *3915:19 *5829:149 0.000114438 -171 *3915:37 *5829:149 1.09611e-05 -172 *3926:29 *5829:207 0.000121897 -173 *3926:32 *5829:68 8.79856e-06 -174 *3926:40 *5829:68 0.000105093 -175 *3991:52 *5829:68 3.8645e-05 -176 *3991:72 *5829:221 0.000126278 -177 *4032:8 *5829:221 0.000125467 -178 *4045:50 *5829:165 0.000303769 -179 *4047:8 *5829:221 0.000182811 -180 *4056:13 *5829:207 0.0001489 -181 *4056:18 *5829:207 0.00013331 -182 *4082:33 *5829:68 4.31187e-05 -183 *4082:80 *28968:A 0.000148196 -184 *4082:80 *5829:96 0.000295191 -185 *4098:13 *5829:126 0.000193125 -186 *4134:6 *5829:68 0.000769859 -187 *4136:8 *5829:207 6.96346e-05 -188 *4147:5 *5829:165 1.98839e-05 -189 *4147:20 *5829:165 0.00136074 -190 *4147:46 *5829:68 0.000399883 -191 *4147:46 *5829:75 0.00111675 -192 *4147:46 *5829:76 0 -193 *4147:58 *5829:76 0.00388995 -194 *4150:31 *5829:126 2.42516e-05 -195 *4150:65 *5829:127 3.03244e-05 -196 *4206:10 *5829:169 0.000245046 -197 *4206:29 *5829:169 0.000157465 -198 *4231:14 *5829:42 0.000964676 -199 *4412:11 *26873:A0 0.000175519 -200 *4455:11 *5829:149 5.49544e-05 -201 *4512:14 *5829:149 5.52238e-05 -202 *5173:19 *5829:19 1.98839e-05 -203 *5386:12 *5829:126 0.000462174 -204 *5461:32 *26873:A0 0 -205 *5462:33 *25743:A0 0.000335171 -206 *5462:33 *5829:42 8.43535e-06 -207 *5586:84 *26873:A0 9.57209e-05 -208 *5586:98 *5829:165 7.80759e-05 -209 *5601:40 *5829:85 0.00253544 -210 *5602:53 *5829:127 0.00102676 -211 *5604:109 *5829:113 7.83587e-05 -212 *5629:229 *5829:68 0 -213 *5631:71 *5829:76 4.98496e-06 -214 *5631:113 *5829:68 7.83659e-05 -215 *5635:165 *5829:76 0.000311084 -216 *5639:199 *5829:149 0.000250895 -217 *5649:116 *5829:113 0.000464471 -218 *5652:128 *5829:116 0.000175892 -219 *5655:238 *5829:68 0.000752959 -220 *5656:321 *5829:68 0 -221 *5658:44 *5829:85 0.000169619 -222 *5660:134 *5829:96 0.000167361 -223 *5675:338 *5829:116 0.000369085 -224 *5683:29 *25743:A0 5.52238e-05 -225 *5683:43 *28969:A 6.27058e-05 -226 *5695:124 *5829:149 0.000170871 -227 *5695:133 *5829:76 0.000559455 -228 *5695:183 *5829:68 0.000177815 -229 *5703:13 *5829:221 9.84729e-05 -230 *5709:290 *5829:42 5.64657e-05 -231 *5709:312 *5829:207 0.000180202 -232 *5709:312 *5829:221 7.22772e-05 -233 *5709:327 *27212:A0 0.000355409 -234 *5709:327 *5829:221 0.000182253 -235 *5776:20 *5829:207 4.41167e-05 -236 *5777:160 *5829:68 0.000177119 -237 *5777:215 *5829:76 0.000129512 -238 *5781:10 *5829:42 2.23898e-05 -239 *5781:12 *5829:68 0 -240 *5795:221 *27107:A0 9.73694e-05 -241 *5795:221 *5829:149 0.00019699 -*RES -1 *29925:X *5829:4 9.3 -2 *5829:4 *25743:A0 15.3179 -3 *5829:4 *5829:19 15.6071 -4 *5829:19 *25692:A1 9.3 -5 *5829:19 *5829:42 41.191 -6 *5829:42 *5829:50 17.1786 -7 *5829:50 *5829:68 48.9389 -8 *5829:68 *5829:75 9.36583 -9 *5829:75 *5829:76 102.125 -10 *5829:76 *5829:85 46.9554 -11 *5829:85 *5829:96 26.8214 -12 *5829:96 *28968:A 16.2821 -13 *5829:96 *5829:113 33.5536 -14 *5829:113 *5829:116 42.4107 -15 *5829:116 *5829:126 47.0714 -16 *5829:126 *5829:127 54.4643 -17 *5829:127 *27008:A0 13.8 -18 *5829:85 *27080:A0 9.3 -19 *5829:68 *5829:149 31.0077 -20 *5829:149 *5829:165 42.625 -21 *5829:165 *5829:169 20.6429 -22 *5829:169 *26873:A0 25.8536 -23 *5829:169 *25726:A1 9.3 -24 *5829:149 *6572:DIODE 9.3 -25 *5829:149 *27107:A0 12.3 -26 *5829:50 *5829:207 44.4107 -27 *5829:207 *5829:221 37.3393 -28 *5829:221 *6560:DIODE 9.3 -29 *5829:221 *27212:A0 13.7464 -30 *5829:42 *28969:A 19.2643 -*END - -*D_NET *5830 0.0112661 -*CONN -*I *28211:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29936:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28211:D 0.0015868 -2 *29936:X 0.000996649 -3 *5830:16 0.00258345 -4 *5830:16 *5926:63 0.00169999 -5 *5830:16 *6011:17 0.000130568 -6 *5830:16 *6259:24 9.01724e-06 -7 *5830:16 *6268:23 0.000231572 -8 *26857:S *28211:D 0.0004903 -9 *28211:RESET_B *28211:D 8.94268e-05 -10 *28454:RESET_B *5830:16 4.05174e-06 -11 *29965:A *5830:16 1.15058e-05 -12 *30712:A *5830:16 0 -13 *783:17 *5830:16 0.000170228 -14 *2756:31 *5830:16 4.19624e-06 -15 *2756:72 *5830:16 2.04825e-05 -16 *4043:21 *28211:D 0.000800997 -17 *4803:18 *5830:16 0.000139913 -18 *5451:23 *5830:16 0.000332844 -19 *5701:29 *5830:16 0.000391507 -20 *5701:58 *5830:16 0.00157261 -*RES -1 *29936:X *5830:16 37.9974 -2 *5830:16 *28211:D 31.2107 -*END - -*D_NET *5831 0.00273132 -*CONN -*I *25595:A0 I *D sky130_fd_sc_hd__mux2_4 -*I *29947:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25595:A0 0.000758828 -2 *29947:X 0.000758828 -3 *25595:A0 *25597:A1 0.000168759 -4 *25595:A0 *5836:324 0 -5 *25591:A1 *25595:A0 0 -6 *25593:A1 *25595:A0 0.000202835 -7 *25595:S *25595:A0 0.0001399 -8 *28073:CLK *25595:A0 0.000187131 -9 *28073:D *25595:A0 0.000104974 -10 *28074:RESET_B *25595:A0 5.09908e-05 -11 *30169:A *25595:A0 7.32955e-05 -12 *3567:39 *25595:A0 0.000197378 -13 *4178:9 *25595:A0 6.18937e-05 -14 *5672:8 *25595:A0 0 -15 *5778:142 *25595:A0 2.65105e-05 -*RES -1 *29947:X *25595:A0 44.9214 -*END - -*D_NET *5832 0.0631919 -*CONN -*I *28994:A I *D sky130_fd_sc_hd__buf_4 -*I *28991:A I *D sky130_fd_sc_hd__buf_8 -*I *29958:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28994:A 0 -2 *28991:A 0 -3 *29958:X 0.000107684 -4 *5832:46 0.00178469 -5 *5832:27 0.00240287 -6 *5832:25 0.00362084 -7 *5832:8 0.00868828 -8 *5832:6 0.00936268 -9 *5832:6 *28980:A 0.000197006 -10 *5832:8 *26948:A0 9.24299e-05 -11 *5832:8 *28351:D 7.6644e-05 -12 *5832:8 *28531:D 0.000128154 -13 *5832:8 *28980:A 0.00127209 -14 *5832:46 *26949:A0 8.50152e-05 -15 *5832:46 *5833:200 0 -16 *5832:46 *6280:6 4.038e-06 -17 *25104:A1 *5832:25 8.69554e-05 -18 *25127:B *5832:8 0.000120724 -19 *25153:B1 *5832:8 0.000165932 -20 *26884:A0 *5832:8 9.60337e-06 -21 *26956:A0 *5832:6 0 -22 *27028:A1 *5832:8 0.000139742 -23 *27041:A0 *5832:8 9.24299e-05 -24 *27399:B1 *5832:8 0.000386575 -25 *27561:A2 *5832:27 9.41642e-05 -26 *27655:B1 *5832:8 0.000583733 -27 *27870:A1 *5832:8 6.61507e-05 -28 *28494:D *5832:8 0.00012501 -29 *28510:CLK *5832:8 0.000101804 -30 *28530:D *5832:46 5.57914e-05 -31 *28532:RESET_B *5832:46 0.000385717 -32 *28542:CLK *5832:46 0.000449208 -33 *28542:D *5832:46 5.52302e-05 -34 *29327:A *5832:8 0.000236657 -35 *29862:A *5832:8 7.22604e-05 -36 *30185:A *5832:8 4.25809e-05 -37 *30188:A *5832:8 0.000426376 -38 *1233:21 *5832:25 1.09611e-05 -39 *1235:148 *5832:8 0.00013364 -40 *1242:61 *5832:8 0.000181041 -41 *1248:120 *5832:25 7.25338e-05 -42 *1262:28 *5832:8 0.00011435 -43 *1262:53 *5832:8 8.22391e-05 -44 *1269:21 *5832:8 7.69776e-06 -45 *1269:40 *5832:25 2.1698e-05 -46 *1411:50 *5832:8 4.28365e-05 -47 *1411:60 *5832:25 0.000262373 -48 *1422:55 *5832:27 0.000340995 -49 *1422:74 *5832:27 0.000497359 -50 *1451:6 *5832:8 0.00677839 -51 *1464:56 *5832:8 0.000215234 -52 *1631:16 *5832:8 0.00124756 -53 *1631:16 *5832:25 0.000218041 -54 *1672:16 *5832:8 0 -55 *1781:16 *5832:8 0.000573835 -56 *1781:25 *5832:8 0.000363356 -57 *1823:12 *5832:8 0 -58 *2759:80 *5832:8 0.00139402 -59 *2766:88 *5832:46 0 -60 *2767:188 *5832:8 0 -61 *2770:156 *5832:46 6.07232e-05 -62 *2775:156 *5832:8 0.000199935 -63 *2874:305 *5832:8 0.000460891 -64 *2878:120 *5832:27 0.000720155 -65 *2891:236 *5832:8 5.21676e-05 -66 *3173:158 *5832:8 0.000383178 -67 *3173:158 *5832:25 0.000255156 -68 *3177:136 *5832:8 6.39829e-05 -69 *3552:30 *5832:8 0.000237969 -70 *3589:18 *5832:8 0 -71 *3589:23 *5832:8 0 -72 *3676:17 *5832:27 0.000595423 -73 *3676:34 *5832:27 0.000712635 -74 *3771:22 *5832:8 0.000301819 -75 *3784:79 *5832:8 0.000380464 -76 *3803:40 *5832:8 0.000766827 -77 *3888:12 *5832:8 3.35083e-05 -78 *3888:12 *5832:25 9.88444e-05 -79 *3920:20 *5832:8 0 -80 *3920:31 *5832:8 0 -81 *3959:20 *5832:8 0.000146578 -82 *4022:47 *5832:8 0.00049612 -83 *4022:59 *5832:8 0.000496919 -84 *4088:26 *5832:8 0.00309395 -85 *4088:39 *5832:8 2.90329e-05 -86 *4109:46 *5832:8 0.00134836 -87 *4109:53 *5832:8 0.000422728 -88 *4117:32 *5832:27 0.00014506 -89 *4128:10 *5832:46 0.000178092 -90 *4128:18 *5832:8 6.91215e-06 -91 *4128:20 *5832:8 0 -92 *4148:7 *5832:46 5.52302e-05 -93 *4199:8 *5832:8 0.000168401 -94 *4246:11 *5832:46 0.000400445 -95 *5246:10 *5832:46 9.60875e-05 -96 *5544:11 *5832:46 0.000120118 -97 *5613:46 *5832:8 0.000267735 -98 *5630:307 *5832:8 0.000819047 -99 *5641:133 *5832:8 0.000383288 -100 *5641:162 *5832:8 4.32957e-05 -101 *5649:249 *5832:8 0.00045065 -102 *5651:150 *5832:25 0.00099282 -103 *5651:150 *5832:27 0.000977337 -104 *5656:174 *5832:27 0.000118368 -105 *5663:98 *5832:8 9.71108e-05 -106 *5667:25 *5832:27 9.77264e-06 -107 *5700:28 *5832:27 0.00230692 -108 *5709:32 *5832:8 0.000120644 -109 *5715:6 *5832:6 0 -110 *5715:6 *5832:8 0 -111 *5715:17 *5832:8 0 -*RES -1 *29958:X *5832:6 16.3536 -2 *5832:6 *5832:8 227.348 -3 *5832:8 *5832:25 32.8864 -4 *5832:25 *5832:27 54.1339 -5 *5832:27 *28991:A 9.3 -6 *5832:6 *5832:46 44.0179 -7 *5832:46 *28994:A 9.3 -*END - -*D_NET *5833 0.0708484 -*CONN -*I *26949:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *28993:A I *D sky130_fd_sc_hd__buf_4 -*I *25731:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25903:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25915:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25897:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25927:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25780:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25885:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25768:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25849:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25843:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25861:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25786:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29969:X O *D sky130_fd_sc_hd__buf_4 -*CAP -1 *26949:A1 0 -2 *28993:A 0.00046485 -3 *25731:A0 0.000536659 -4 *25903:A0 0 -5 *25915:A0 0 -6 *25897:A1 0.000186415 -7 *25927:A0 0.000447602 -8 *25780:A0 0 -9 *25885:A1 7.03212e-05 -10 *25768:A0 0 -11 *25849:A0 0 -12 *25843:A0 0.000106094 -13 *25861:A0 0.00103256 -14 *25786:A1 2.04426e-05 -15 *29969:X 0 -16 *5833:200 0.00160666 -17 *5833:194 0.000722231 -18 *5833:191 0.00218884 -19 *5833:190 0.003883 -20 *5833:178 0.00205932 -21 *5833:175 0.00138483 -22 *5833:94 0.00129411 -23 *5833:91 0.00199089 -24 *5833:65 0.00292189 -25 *5833:57 0.00240218 -26 *5833:40 0.00228906 -27 *5833:23 0.000993887 -28 *5833:20 0.000871928 -29 *5833:16 0.00126761 -30 *5833:11 0.00113554 -31 *5833:4 0.0015041 -32 *25731:A0 *5926:35 0.000218741 -33 *25731:A0 *6192:22 8.07879e-05 -34 *25786:A1 *25786:A0 5.33005e-05 -35 *25843:A0 *5849:11 0.000136951 -36 *25861:A0 *24961:A 0.000436947 -37 *25861:A0 *25861:S 9.41642e-05 -38 *25861:A0 *5839:53 0.000266092 -39 *25885:A1 *25885:A0 9.71197e-05 -40 *25897:A1 *25897:A0 5.33005e-05 -41 *25927:A0 *25780:A1 0.000347187 -42 *5833:11 *25915:A1 7.83587e-05 -43 *5833:23 *25780:A1 0.000218679 -44 *5833:57 *25768:S 8.43386e-05 -45 *5833:65 *25768:S 0.000482977 -46 *5833:65 *25786:A0 9.41642e-05 -47 *5833:65 *30785:A 0.000173804 -48 *5833:94 *25848:A1 7.83659e-05 -49 *5833:94 *5839:53 0.000375993 -50 *5833:175 *25904:A1 3.35542e-05 -51 *5833:178 *25903:A1 5.33005e-05 -52 *5833:190 *25903:A1 4.27935e-05 -53 *5833:194 *26949:A0 5.49489e-05 -54 *25128:B *5833:65 0.000389861 -55 *25128:B *5833:91 0.000285104 -56 *25162:B *5833:65 0.000144512 -57 *25187:A1 *5833:91 1.96263e-05 -58 *25199:B1 *5833:16 8.55871e-05 -59 *25361:B1 *5833:91 0.000425829 -60 *25545:A0 *25731:A0 0.000128161 -61 *25731:S *25731:A0 0.000201497 -62 *25765:A *5833:23 3.99614e-06 -63 *25765:A *5833:40 1.24368e-05 -64 *25777:A *25927:A0 9.60875e-05 -65 *25788:S *5833:65 4.73656e-05 -66 *25843:S *25861:A0 0.000266092 -67 *25843:S *5833:94 6.91157e-05 -68 *25849:A1 *5833:91 5.52302e-05 -69 *25860:A0 *25861:A0 0.000438405 -70 *25896:A1 *5833:23 5.74499e-06 -71 *25896:A1 *5833:40 4.00679e-05 -72 *25905:A1 *5833:175 0.000221163 -73 *25924:B *25927:A0 0.000713739 -74 *26897:A1 *5833:191 0.000127439 -75 *26897:S *5833:191 1.41029e-05 -76 *27028:A0 *5833:190 0.000768666 -77 *27028:A1 *5833:190 0.000292184 -78 *27041:A1 *5833:190 0.000629072 -79 *27041:S *5833:190 0.000214716 -80 *27169:A1 *28993:A 0.000125196 -81 *27169:A1 *5833:200 3.69047e-06 -82 *27920:A1 *5833:175 2.18827e-05 -83 *28230:CLK *5833:65 0 -84 *28230:D *5833:65 9.35307e-05 -85 *28294:RESET_B *25861:A0 2.88624e-05 -86 *28303:RESET_B *5833:91 4.94646e-05 -87 *28339:CLK *5833:57 5.97935e-05 -88 *28339:D *5833:57 0.000113415 -89 *28339:RESET_B *5833:57 8.0732e-05 -90 *28364:CLK *5833:11 4.65258e-05 -91 *28364:CLK *5833:16 0.000193356 -92 *28364:RESET_B *5833:11 9.66977e-05 -93 *28364:RESET_B *5833:16 5.33005e-05 -94 *28530:D *5833:200 5.57914e-05 -95 *28586:CLK *5833:175 0.000786356 -96 *28706:D *5833:191 0.000120975 -97 *28706:SET_B *5833:191 0.00199484 -98 *28850:A *5833:175 0.00018569 -99 *29101:A *5833:191 0.000496829 -100 *29139:A *28993:A 0.00035074 -101 *29207:A *5833:191 0.000774315 -102 *29249:A *5833:65 3.67279e-06 -103 *29354:A *5833:191 0 -104 *29354:A *5833:200 0 -105 *29573:A *25897:A1 0.000237775 -106 *29727:A *5833:16 0.0003482 -107 *29732:A *25927:A0 5.33005e-05 -108 *29740:A *5833:40 0.00020042 -109 *29750:A *5833:65 2.85234e-05 -110 *29778:A *5833:194 8.71761e-05 -111 *29819:A *5833:178 8.55871e-05 -112 *29819:A *5833:190 2.89114e-05 -113 *29969:A *5833:11 7.32173e-05 -114 *30024:A *25861:A0 0 -115 *30249:A *28993:A 0.000699626 -116 *30298:A *5833:190 1.58163e-05 -117 *30331:A *5833:190 2.59355e-05 -118 *30755:A *25731:A0 5.52238e-05 -119 *1287:97 *25861:A0 0.000910856 -120 *1362:48 *5833:65 0.00012401 -121 *1362:48 *5833:91 0.000241198 -122 *1433:23 *5833:191 9.30065e-05 -123 *1464:38 *5833:40 0.000434797 -124 *1464:38 *5833:57 0.000262948 -125 *1816:26 *25897:A1 0.000122682 -126 *1862:82 *25731:A0 0 -127 *1869:9 *25927:A0 1.02821e-05 -128 *1870:8 *5833:65 0.000103267 -129 *1870:24 *5833:65 5.03492e-05 -130 *1870:29 *25786:A1 2.59355e-05 -131 *1870:29 *5833:65 1.98839e-05 -132 *1871:12 *5833:16 0.000767028 -133 *1871:12 *5833:20 0.00112589 -134 *1871:20 *25927:A0 4.18895e-05 -135 *1871:20 *5833:23 0.000218685 -136 *1871:20 *5833:40 0.00026083 -137 *1891:7 *25897:A1 2.14757e-05 -138 *1892:14 *5833:178 8.11273e-05 -139 *1892:14 *5833:190 2.44318e-05 -140 *2483:28 *5833:65 5.94353e-05 -141 *2766:88 *5833:200 0.000187742 -142 *2766:93 *5833:200 4.46186e-06 -143 *2766:101 *5833:191 0 -144 *2776:257 *5833:190 4.00826e-05 -145 *2780:261 *5833:191 0 -146 *3543:6 *5833:175 2.39779e-05 -147 *3589:107 *5833:194 2.89114e-05 -148 *3589:121 *5833:200 0 -149 *3590:8 *5833:191 0.000291644 -150 *3590:45 *5833:191 0.000382591 -151 *3602:33 *25731:A0 1.91317e-05 -152 *3602:35 *25731:A0 0.000346988 -153 *3655:79 *5833:40 4.12053e-05 -154 *3754:5 *5833:57 0.000136733 -155 *3762:17 *5833:40 0.00011802 -156 *3766:25 *25897:A1 8.17274e-05 -157 *3767:8 *5833:40 6.27233e-05 -158 *3767:8 *5833:57 0.000263948 -159 *3803:40 *5833:190 0.000435366 -160 *3830:51 *25861:A0 0.000647998 -161 *3924:18 *28993:A 0.000436908 -162 *3957:22 *5833:65 0 -163 *3957:67 *5833:57 0.000126446 -164 *4040:5 *5833:178 9.75337e-06 -165 *4040:19 *5833:178 5.91452e-05 -166 *4087:20 *5833:40 0.00028572 -167 *4087:22 *5833:40 0.00048803 -168 *4087:22 *5833:57 9.69302e-05 -169 *4091:20 *5833:91 0.000502044 -170 *4092:21 *5833:65 0.000347956 -171 *4092:21 *5833:91 0.000442411 -172 *4102:32 *5833:191 1.94879e-05 -173 *4103:68 *5833:94 0.000108135 -174 *4135:18 *5833:191 0.0013905 -175 *4143:72 *5833:91 0.000196267 -176 *4246:11 *5833:200 0.000863392 -177 *5111:13 *5833:40 0.000182019 -178 *5196:7 *5833:175 6.05161e-06 -179 *5196:8 *5833:175 0.000266659 -180 *5246:10 *5833:194 0.000489717 -181 *5301:13 *5833:57 0.000193892 -182 *5301:40 *5833:16 0.000757063 -183 *5301:40 *5833:20 0.00112346 -184 *5457:22 *5833:11 0.000138531 -185 *5457:22 *5833:175 0.00011927 -186 *5458:40 *5833:11 0.000242828 -187 *5544:11 *28993:A 0.000517406 -188 *5544:11 *5833:200 0.00053165 -189 *5545:30 *25861:A0 0 -190 *5640:142 *5833:175 0.00110106 -191 *5641:111 *5833:175 0.000129194 -192 *5669:19 *5833:175 2.6949e-05 -193 *5669:201 *25927:A0 5.33005e-05 -194 *5688:25 *5833:191 1.86382e-05 -195 *5688:143 *5833:16 0.000176622 -196 *5689:56 *5833:191 3.34783e-05 -197 *5689:58 *5833:191 0.000174751 -198 *5689:62 *5833:191 0.000261675 -199 *5690:7 *25731:A0 1.98839e-05 -200 *5715:17 *5833:191 0.000247778 -201 *5715:137 *5833:91 0.000337936 -202 *5729:35 *5833:175 0.000170993 -203 *5729:41 *5833:175 2.42516e-05 -204 *5760:50 *25897:A1 0.000128321 -205 *5761:66 *5833:91 0.000110107 -206 *5762:41 *5833:175 0.000146474 -207 *5762:181 *5833:175 0.000144203 -208 *5762:222 *5833:178 1.24368e-05 -209 *5780:106 *5833:175 0.000122542 -210 *5780:110 *5833:175 0 -211 *5799:12 *5833:11 0.000530236 -212 *5823:32 *5833:175 0.000167944 -213 *5824:8 *5833:40 0.000270379 -214 *5824:84 *5833:40 9.25014e-06 -215 *5824:108 *25897:A1 1.18064e-05 -216 *5828:17 *28993:A 5.52302e-05 -217 *5832:46 *5833:200 0 -*RES -1 *29969:X *5833:4 9.3 -2 *5833:4 *5833:11 19.3393 -3 *5833:11 *5833:16 25.1429 -4 *5833:16 *5833:20 18.8929 -5 *5833:20 *5833:23 3.01786 -6 *5833:23 *5833:40 30.7355 -7 *5833:40 *5833:57 27.25 -8 *5833:57 *5833:65 35.0714 -9 *5833:65 *25786:A1 9.83571 -10 *5833:65 *5833:91 43.125 -11 *5833:91 *5833:94 9.48214 -12 *5833:94 *25861:A0 47.1929 -13 *5833:94 *25843:A0 15.1571 -14 *5833:91 *25849:A0 9.3 -15 *5833:57 *25768:A0 9.3 -16 *5833:40 *25885:A1 14.7464 -17 *5833:23 *25780:A0 9.3 -18 *5833:20 *25927:A0 19.2821 -19 *5833:16 *25897:A1 27.5679 -20 *5833:11 *25915:A0 9.3 -21 *5833:4 *5833:175 48.1964 -22 *5833:175 *5833:178 9.14286 -23 *5833:178 *25903:A0 9.3 -24 *5833:178 *5833:190 38.4464 -25 *5833:190 *5833:191 62.6607 -26 *5833:191 *5833:194 9.14286 -27 *5833:194 *5833:200 26.2857 -28 *5833:200 *25731:A0 31.5143 -29 *5833:200 *28993:A 26.2821 -30 *5833:194 *26949:A1 9.3 -*END - -*D_NET *5834 0.00084455 -*CONN -*I *28296:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29980:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28296:D 0.000119405 -2 *29980:X 0.000119405 -3 *1396:25 *28296:D 0.00025665 -4 *5778:80 *28296:D 0.000349092 -*RES -1 *29980:X *28296:D 23.2429 -*END - -*D_NET *5835 0.00813281 -*CONN -*I *24870:A1 I *D sky130_fd_sc_hd__o31a_1 -*I *24867:A1 I *D sky130_fd_sc_hd__a21oi_1 -*I *29991:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *24870:A1 0.000424871 -2 *24867:A1 0 -3 *29991:X 0 -4 *5835:11 0.00113559 -5 *5835:4 0.00156046 -6 *5835:11 *24867:A2 0.000390322 -7 *5835:11 *24867:B1 0.000761051 -8 *5835:11 *24877:A1 0.000135028 -9 *31002:A *5835:11 0.000789184 -10 *399:18 *5835:11 0.00147712 -11 *419:9 *24870:A1 2.39165e-05 -12 *419:9 *5835:11 1.22751e-05 -13 *1193:9 *5835:11 1.21258e-05 -14 *1323:33 *5835:11 0.000111243 -15 *1323:57 *5835:11 3.34783e-05 -16 *1324:23 *5835:11 0.000448848 -17 *1730:56 *5835:11 1.68244e-05 -18 *1745:38 *24870:A1 0.000122935 -19 *4159:14 *5835:11 4.49293e-05 -20 *4159:33 *5835:11 5.58941e-05 -21 *4159:64 *5835:11 0.000100832 -22 *4160:38 *24870:A1 0.000121411 -23 *5575:30 *5835:11 1.02481e-05 -24 *5727:8 *5835:11 0.000344225 -*RES -1 *29991:X *5835:4 9.3 -2 *5835:4 *5835:11 40.8214 -3 *5835:11 *24867:A1 9.3 -4 *5835:4 *24870:A1 23.7643 -*END - -*D_NET *5836 0.201669 -*CONN -*I *6536:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6459:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25240:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25591:A0 I *D sky130_fd_sc_hd__mux2_8 -*I *25490:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *6461:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25456:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25495:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25575:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *25469:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25483:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25493:A2 I *D sky130_fd_sc_hd__a31o_1 -*I *25471:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *24888:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *6460:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25550:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30714:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *6536:DIODE 0 -2 *6459:DIODE 0 -3 *25240:A1 0.00010092 -4 *25591:A0 0 -5 *25490:A0 0.000797312 -6 *6461:DIODE 0.000234454 -7 *25456:A1 0 -8 *25495:A1 0.000969847 -9 *25575:A1 0.00133981 -10 *25469:A0 0 -11 *25483:A1 8.44592e-05 -12 *25493:A2 0.000743025 -13 *25471:A0 0 -14 *24888:A1 0 -15 *6460:DIODE 9.69217e-05 -16 *25550:A1 0 -17 *30714:X 0.000188394 -18 *5836:324 0.00285029 -19 *5836:312 0.00379262 -20 *5836:300 0.0039585 -21 *5836:298 0.00329844 -22 *5836:284 0.00300732 -23 *5836:262 0.00207917 -24 *5836:257 0.000626105 -25 *5836:241 0.000234454 -26 *5836:211 0.00318276 -27 *5836:176 0.00196759 -28 *5836:168 0.00140826 -29 *5836:162 0.00170676 -30 *5836:150 0.00180744 -31 *5836:135 0.00241815 -32 *5836:131 0.00240302 -33 *5836:108 0.000159561 -34 *5836:104 0.00496788 -35 *5836:89 0.00466528 -36 *5836:78 0.00608446 -37 *5836:55 0.0083419 -38 *5836:45 0.0101366 -39 *5836:43 0.00755174 -40 *5836:40 0.00175954 -41 *5836:19 0.00193841 -42 *5836:11 0.000505911 -43 *5836:8 0.00419076 -44 *5836:7 0.00413593 -45 *25493:A2 *6147:31 1.041e-05 -46 *25495:A1 *25546:A1 9.59532e-06 -47 *5836:8 *5947:118 0.0109259 -48 *5836:78 *5848:20 2.01997e-05 -49 *5836:78 *5857:33 6.20441e-06 -50 *5836:78 *5892:119 0.000129512 -51 *5836:78 *6258:12 0.00394432 -52 *5836:78 *6280:18 0.000144038 -53 *5836:89 *5845:7 5.49489e-05 -54 *5836:89 *5846:8 4.00679e-05 -55 *5836:89 *5857:9 0.000400655 -56 *5836:135 *5887:14 1.79038e-05 -57 *5836:150 *24877:A1 1.6959e-05 -58 *5836:150 *5887:14 0.000689751 -59 *5836:176 *6147:31 2.15882e-05 -60 *5836:211 *25546:S 0.000144038 -61 *5836:257 *6280:18 0.000287496 -62 *5836:298 *27104:S 0.000180066 -63 *5836:300 *6258:12 0.000341717 -64 *5836:312 *28980:A 0.000187998 -65 *5836:324 *5935:30 9.35622e-05 -66 *24865:B *5836:176 0.000126463 -67 *24886:A1 *5836:104 7.90803e-05 -68 *24886:A1 *5836:108 0.000144038 -69 *24888:A0 *6460:DIODE 5.52302e-05 -70 *24888:S *6460:DIODE 9.60875e-05 -71 *24998:B *5836:150 6.35819e-05 -72 *25111:A1 *5836:284 0.00144093 -73 *25130:A1 *5836:284 1.5942e-05 -74 *25145:B2 *5836:298 0.00020104 -75 *25146:B2 *5836:284 8.91326e-05 -76 *25147:A1 *5836:284 7.98259e-06 -77 *25147:A1 *5836:298 0.000422809 -78 *25147:B1 *5836:284 4.54885e-06 -79 *25191:A1 *5836:104 1.98839e-05 -80 *25191:A2 *5836:104 0.000387803 -81 *25191:B2 *5836:104 0.000138881 -82 *25240:A2 *25240:A1 2.23337e-05 -83 *25240:B1 *25240:A1 5.99292e-05 -84 *25240:B1 *5836:262 4.47273e-05 -85 *25240:B2 *25240:A1 8.25843e-06 -86 *25250:A1 *5836:284 0.0002837 -87 *25250:B1 *5836:284 0.000146386 -88 *25251:D *5836:284 0.000298368 -89 *25256:B *5836:78 0.00012783 -90 *25257:A *5836:55 0.000151317 -91 *25257:C *5836:78 0.000518585 -92 *25431:C *5836:131 0.000135028 -93 *25433:D *25493:A2 8.94491e-05 -94 *25446:A1 *5836:135 6.48068e-05 -95 *25456:A0 *6461:DIODE 0.000155086 -96 *25456:S *6461:DIODE 1.73088e-05 -97 *25459:A0 *5836:162 8.17274e-05 -98 *25459:A0 *5836:168 0.000345251 -99 *25469:A1 *5836:168 5.33005e-05 -100 *25469:A1 *5836:176 0.000126518 -101 *25471:A1 *5836:150 1.84884e-05 -102 *25471:A1 *5836:162 2.85321e-05 -103 *25480:B *25493:A2 0.000148196 -104 *25483:B1 *25483:A1 5.65955e-05 -105 *25483:B2 *25483:A1 5.7661e-06 -106 *25488:A1 *5836:324 6.13757e-06 -107 *25490:S *25490:A0 2.22999e-05 -108 *25493:A1 *25493:A2 2.59355e-05 -109 *25493:A3 *25493:A2 0.000207606 -110 *25493:B1 *25493:A2 0.000136958 -111 *25495:A2 *25575:A1 0.000246653 -112 *25498:A1 *5836:168 6.90625e-05 -113 *25538:B2 *5836:162 8.25512e-05 -114 *25538:B2 *5836:168 0.000176696 -115 *25538:B2 *5836:211 0.000681202 -116 *25550:A0 *5836:19 5.52302e-05 -117 *25550:S *5836:11 7.8401e-05 -118 *25575:S *25575:A1 1.58163e-05 -119 *25591:A1 *5836:324 1.69497e-05 -120 *25595:A0 *5836:324 0 -121 *25605:A0 *5836:312 4.3049e-05 -122 *25729:A1 *25575:A1 0 -123 *25794:S *5836:131 0.000488451 -124 *25794:S *5836:135 0.000597099 -125 *25808:A0 *5836:135 0.000453292 -126 *27005:A1 *5836:300 4.21517e-05 -127 *27308:A2 *5836:257 0.000140577 -128 *27342:A1 *5836:45 0.000346197 -129 *27342:A1 *5836:55 0 -130 *27342:A2 *5836:45 5.38242e-05 -131 *27343:D *5836:45 0.0011722 -132 *27406:C1 *5836:298 0.000135789 -133 *27412:A2 *5836:284 0.000192983 -134 *27463:A1 *5836:45 8.25843e-06 -135 *27463:A2 *5836:45 0.00190821 -136 *27464:A1 *5836:45 0.000218637 -137 *27464:A2 *5836:45 9.75337e-06 -138 *27464:B1 *5836:45 0.000135028 -139 *27464:C1 *5836:45 0.000296351 -140 *27574:C1 *5836:89 3.69047e-06 -141 *27841:A *5836:43 0.000222244 -142 *27841:A *5836:45 5.96516e-05 -143 *27841:B *5836:43 0.000180348 -144 *28048:A *5836:162 0.000218454 -145 *28068:D *25495:A1 4.99185e-05 -146 *28069:D *25493:A2 8.43535e-06 -147 *28073:D *5836:324 0 -148 *28076:CLK *5836:324 0.000160302 -149 *28076:D *5836:324 0.000253476 -150 *28080:D *5836:150 3.08382e-06 -151 *28086:CLK *5836:176 8.85664e-07 -152 *28086:D *5836:162 3.25078e-05 -153 *28093:RESET_B *5836:150 0.00158194 -154 *28148:D *5836:150 7.13477e-05 -155 *28411:D *6461:DIODE 5.49995e-05 -156 *28494:CLK *5836:300 0 -157 *28526:D *5836:300 0.000123852 -158 *28534:D *5836:312 9.60875e-05 -159 *28553:D *5836:40 2.89114e-05 -160 *28562:CLK *5836:312 0.000476702 -161 *28603:CLK *5836:257 0.000303705 -162 *28646:D *5836:300 0.000100823 -163 *28985:A *5836:104 0.000362054 -164 *29282:A *25575:A1 0.000385717 -165 *29295:A *25575:A1 0.000315172 -166 *29297:A *25575:A1 0.000315179 -167 *29490:A *5836:312 0.000137983 -168 *29524:A *5836:312 9.91086e-05 -169 *29535:A *5836:211 0.000591342 -170 *29559:A *25493:A2 0.000173804 -171 *29570:A *25493:A2 0.000543881 -172 *29902:A *5836:312 0.000235184 -173 *30035:A *5836:312 0.000462839 -174 *30059:A *5836:40 3.99614e-06 -175 *30091:A *5836:89 0.000837304 -176 *30102:A *5836:89 0.000717246 -177 *30215:A *5836:300 0.000262408 -178 *30245:A *5836:104 5.73644e-05 -179 *30256:A *25575:A1 0 -180 *30259:A *25575:A1 0 -181 *30313:A *5836:78 0.000213138 -182 *30526:A *5836:211 0.000176451 -183 *30535:A *5836:104 2.64807e-05 -184 *373:10 *5836:162 5.23095e-05 -185 *385:18 *25493:A2 0.000567464 -186 *389:8 *25493:A2 3.70428e-05 -187 *399:17 *5836:176 4.88232e-05 -188 *399:18 *5836:176 1.31942e-05 -189 *401:13 *5836:150 7.6644e-05 -190 *418:8 *5836:162 3.12742e-05 -191 *418:8 *5836:211 0.000474591 -192 *1192:25 *5836:150 9.49022e-05 -193 *1202:43 *5836:150 0 -194 *1210:19 *5836:89 0.000172007 -195 *1211:12 *5836:78 8.60787e-05 -196 *1214:11 *6460:DIODE 1.5424e-05 -197 *1215:9 *5836:89 0.00142205 -198 *1224:19 *5836:78 4.28104e-05 -199 *1224:92 *5836:78 0.000228368 -200 *1230:51 *5836:78 0.000130541 -201 *1233:21 *5836:78 0 -202 *1249:67 *5836:78 1.81922e-05 -203 *1251:29 *25493:A2 1.41203e-05 -204 *1251:29 *5836:176 0.000171444 -205 *1261:199 *5836:298 0.000182757 -206 *1269:40 *5836:78 4.54918e-05 -207 *1288:20 *5836:78 0.000419386 -208 *1292:24 *5836:78 0.00296503 -209 *1292:65 *25240:A1 6.13706e-05 -210 *1292:65 *5836:262 4.31391e-05 -211 *1292:65 *5836:284 0.00016592 -212 *1293:35 *5836:78 0.000170034 -213 *1323:24 *5836:176 8.8225e-05 -214 *1396:120 *5836:78 0 -215 *1406:38 *5836:55 0.000384819 -216 *1433:23 *5836:300 0.000211593 -217 *1456:38 *5836:78 8.92205e-05 -218 *1467:27 *5836:284 2.04825e-05 -219 *1488:24 *5836:104 1.90936e-05 -220 *1488:33 *5836:104 0.00227281 -221 *1488:33 *5836:131 8.99355e-05 -222 *1509:16 *5836:284 0.000164994 -223 *1511:10 *5836:104 0.00119829 -224 *1557:16 *5836:284 0 -225 *1563:26 *5836:89 0.000307607 -226 *1573:22 *5836:284 0 -227 *1574:21 *5836:45 0.000464471 -228 *1576:8 *5836:78 0.000144038 -229 *1626:27 *5836:284 1.46617e-05 -230 *1738:26 *5836:211 3.57844e-05 -231 *1738:40 *5836:211 0.000195662 -232 *1742:77 *6461:DIODE 1.21258e-05 -233 *1743:30 *6460:DIODE 0.000180259 -234 *1770:67 *25483:A1 6.9264e-05 -235 *1771:58 *25490:A0 2.51343e-06 -236 *1771:76 *5836:324 9.7659e-05 -237 *1780:13 *25495:A1 1.73088e-05 -238 *1810:36 *25495:A1 7.46489e-05 -239 *1817:16 *5836:324 4.46186e-06 -240 *1834:49 *5836:298 0.000414013 -241 *1834:49 *5836:300 4.45714e-05 -242 *1834:64 *5836:284 6.10402e-05 -243 *1862:36 *5836:104 0.000313011 -244 *1862:36 *5836:108 0.000144038 -245 *1871:94 *5836:135 0.00012041 -246 *2772:77 *5836:300 0.000329982 -247 *2780:184 *5836:78 0.000411735 -248 *2780:259 *5836:300 6.26233e-05 -249 *2780:261 *5836:300 0.00337259 -250 *2871:305 *5836:45 1.74787e-05 -251 *2871:305 *5836:55 5.33005e-05 -252 *2871:318 *5836:55 4.30858e-05 -253 *2872:279 *5836:55 0.00051354 -254 *2882:246 *5836:78 9.09469e-06 -255 *2885:129 *5836:45 2.79421e-05 -256 *2886:295 *5836:284 8.77926e-05 -257 *3179:96 *5836:40 2.84026e-05 -258 *3179:238 *5836:40 0.000212745 -259 *3535:13 *5836:312 4.78056e-05 -260 *3539:7 *5836:131 0.000269428 -261 *3541:11 *5836:78 0.000185417 -262 *3552:22 *5836:284 0 -263 *3561:57 *5836:150 0.000257624 -264 *3561:59 *5836:150 0.000164347 -265 *3581:118 *5836:300 1.95266e-05 -266 *3590:12 *5836:300 0 -267 *3618:69 *5836:40 5.7139e-05 -268 *3618:74 *5836:40 0.000221628 -269 *3683:9 *5836:40 0.000154629 -270 *3683:11 *5836:40 0.000267529 -271 *3683:13 *5836:40 0.00113876 -272 *3702:26 *5836:104 0 -273 *3722:23 *5836:40 0.000178425 -274 *3738:58 *5836:78 4.00349e-05 -275 *3742:48 *5836:257 4.61418e-05 -276 *3742:48 *5836:262 1.83136e-05 -277 *3755:7 *5836:55 7.37323e-05 -278 *3758:43 *5836:78 4.22135e-06 -279 *3762:41 *5836:78 0.000250834 -280 *3776:44 *5836:78 4.18834e-05 -281 *3783:95 *5836:55 4.87854e-05 -282 *3797:91 *5836:55 0.000107938 -283 *3849:48 *5836:284 2.63501e-05 -284 *3901:63 *5836:300 0.00117047 -285 *3901:79 *5836:284 3.92073e-05 -286 *3901:79 *5836:298 5.54075e-05 -287 *3953:6 *5836:298 1.09026e-05 -288 *3953:6 *5836:300 0.00597104 -289 *3953:12 *5836:298 2.24654e-05 -290 *3953:28 *5836:284 0.000331245 -291 *3953:28 *5836:298 2.30116e-06 -292 *3979:32 *5836:298 0.000208705 -293 *4065:53 *5836:78 0.000212041 -294 *4069:187 *5836:8 0.0134338 -295 *4076:48 *5836:298 0.000134693 -296 *4076:48 *5836:300 0.000219068 -297 *4076:52 *5836:284 5.29096e-05 -298 *4076:52 *5836:298 0.000364686 -299 *4076:72 *5836:78 0.00053077 -300 *4104:38 *5836:89 0.00128934 -301 *4104:38 *5836:104 1.38323e-05 -302 *4118:26 *5836:104 1.90936e-05 -303 *4125:24 *5836:40 0.000102602 -304 *4133:32 *5836:257 0.000251863 -305 *4133:46 *5836:257 1.4396e-05 -306 *4142:34 *5836:104 0.00049162 -307 *4147:106 *5836:40 0.000176226 -308 *4154:6 *5836:135 0.000348513 -309 *4154:6 *5836:150 0.000104774 -310 *4155:15 *6460:DIODE 0.000239331 -311 *4155:35 *5836:135 4.94245e-05 -312 *4160:27 *5836:150 8.93351e-05 -313 *4161:28 *5836:150 0 -314 *4163:93 *5836:162 1.21258e-05 -315 *4163:93 *5836:168 2.37452e-05 -316 *4164:153 *5836:162 4.32957e-05 -317 *4164:153 *5836:176 0.000111774 -318 *4167:14 *25483:A1 8.41751e-05 -319 *4183:78 *25575:A1 0 -320 *4187:18 *25575:A1 1.31235e-05 -321 *4187:18 *5836:211 0.000170211 -322 *4187:20 *25575:A1 3.47468e-05 -323 *4187:22 *25575:A1 0.000472874 -324 *4192:20 *5836:150 0.000238607 -325 *4192:28 *5836:150 0.000164864 -326 *4192:35 *5836:162 0.000331696 -327 *4192:44 *5836:176 1.90936e-05 -328 *4194:8 *5836:150 0.000276853 -329 *4194:10 *5836:150 0.000272573 -330 *4194:12 *5836:150 0.000105924 -331 *4194:65 *5836:176 6.20441e-06 -332 *4195:90 *5836:135 0.00155119 -333 *4195:133 *5836:131 0.000304387 -334 *4195:143 *5836:131 0.000278297 -335 *5007:10 *25493:A2 1.98839e-05 -336 *5253:21 *5836:150 2.85321e-05 -337 *5253:21 *5836:162 3.01245e-06 -338 *5256:23 *5836:150 0.00016417 -339 *5348:17 *25483:A1 0.000161013 -340 *5386:11 *25495:A1 0 -341 *5464:24 *5836:8 0.000708514 -342 *5542:10 *25575:A1 0 -343 *5542:12 *25575:A1 0 -344 *5585:28 *5836:78 0.000614282 -345 *5587:44 *5836:284 0.00171078 -346 *5587:44 *5836:298 6.62299e-06 -347 *5601:175 *5836:284 0.00091465 -348 *5625:8 *5836:8 0.00237407 -349 *5630:110 *5836:89 6.46371e-05 -350 *5630:274 *5836:284 0.000247411 -351 *5644:237 *5836:284 0.000163251 -352 *5652:27 *5836:78 0.000257619 -353 *5652:45 *5836:284 4.86358e-05 -354 *5653:104 *5836:89 0.00018806 -355 *5653:104 *5836:104 0.000753894 -356 *5672:8 *5836:324 0.000158579 -357 *5681:27 *5836:40 0.000177934 -358 *5688:10 *5836:312 0.000185118 -359 *5688:97 *5836:284 3.31789e-05 -360 *5688:115 *5836:284 4.99259e-05 -361 *5693:16 *5836:104 0 -362 *5719:76 *5836:104 0.000383166 -363 *5719:78 *5836:104 0.000724077 -364 *5719:86 *5836:104 9.52987e-05 -365 *5727:8 *5836:150 5.04417e-05 -366 *5727:20 *5836:162 0.000722411 -367 *5727:36 *25575:A1 0 -368 *5727:36 *5836:211 8.68665e-05 -369 *5727:38 *25575:A1 0 -370 *5727:45 *25575:A1 0 -371 *5728:10 *5836:131 0 -372 *5728:10 *5836:135 0 -373 *5728:12 *5836:135 0 -374 *5728:27 *5836:135 0 -375 *5742:51 *25493:A2 0.000203861 -376 *5742:207 *5836:135 0.000127006 -377 *5764:65 *5836:55 4.58194e-05 -378 *5764:76 *5836:55 0.000106286 -379 *5790:9 *5836:312 1.21258e-05 -380 *5797:11 *5836:104 0.000120711 -*RES -1 *30714:X *5836:7 16.3893 -2 *5836:7 *5836:8 193.5 -3 *5836:8 *5836:11 7.5 -4 *5836:11 *25550:A1 9.3 -5 *5836:11 *5836:19 3.83929 -6 *5836:19 *5836:40 49.8214 -7 *5836:40 *5836:43 2.60714 -8 *5836:43 *5836:45 86.375 -9 *5836:45 *5836:55 36.9107 -10 *5836:55 *5836:78 49.8486 -11 *5836:78 *5836:89 44.9464 -12 *5836:89 *5836:104 47.0711 -13 *5836:104 *5836:108 6.44643 -14 *5836:108 *6460:DIODE 13.1214 -15 *5836:108 *24888:A1 9.3 -16 *5836:104 *5836:131 30.2857 -17 *5836:131 *5836:135 35.1161 -18 *5836:135 *5836:150 41.0625 -19 *5836:150 *25471:A0 9.3 -20 *5836:150 *5836:162 22.4821 -21 *5836:162 *5836:168 6.01786 -22 *5836:168 *5836:176 12.0367 -23 *5836:176 *25493:A2 34.0934 -24 *5836:176 *25483:A1 20.2725 -25 *5836:168 *25469:A0 9.3 -26 *5836:162 *5836:211 29.9732 -27 *5836:211 *25575:A1 45.2375 -28 *5836:211 *25495:A1 23.4935 -29 *5836:131 *5836:241 4.5 -30 *5836:241 *25456:A1 9.3 -31 *5836:241 *6461:DIODE 13.55 -32 *5836:55 *5836:257 15.7857 -33 *5836:257 *5836:262 5.61607 -34 *5836:262 *5836:284 45.2745 -35 *5836:284 *5836:298 32.0536 -36 *5836:298 *5836:300 81.3304 -37 *5836:300 *5836:312 46.2143 -38 *5836:312 *5836:324 37.9454 -39 *5836:324 *25490:A0 18.05 -40 *5836:324 *25591:A0 9.3 -41 *5836:262 *25240:A1 15.6482 -42 *5836:257 *6459:DIODE 9.3 -43 *5836:19 *6536:DIODE 9.3 -*END - -*D_NET *5837 0.00262594 -*CONN -*I *24871:B1_N I *D sky130_fd_sc_hd__o21bai_1 -*I *24893:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *30002:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *24871:B1_N 0.000294268 -2 *24893:B1 0 -3 *30002:X 0.000208483 -4 *5837:10 0.000502751 -5 *24871:B1_N *24871:A2 0.000257619 -6 *5837:10 *30790:A 0.00031275 -7 *24933:B *24871:B1_N 0.000282134 -8 *1202:17 *5837:10 7.3237e-05 -9 *1875:36 *5837:10 0.000313458 -10 *3657:10 *24871:B1_N 0.000327064 -11 *5805:38 *24871:B1_N 5.41794e-05 -*RES -1 *30002:X *5837:10 23.7286 -2 *5837:10 *24893:B1 9.3 -3 *5837:10 *24871:B1_N 25.2643 -*END - -*D_NET *5838 0.0188775 -*CONN -*I *24903:A I *D sky130_fd_sc_hd__or2_2 -*I *24933:A I *D sky130_fd_sc_hd__or3b_4 -*I *24879:B I *D sky130_fd_sc_hd__or3b_1 -*I *24925:A I *D sky130_fd_sc_hd__or3_4 -*I *30013:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *24903:A 0.000622453 -2 *24933:A 0 -3 *24879:B 0 -4 *24925:A 2.7056e-05 -5 *30013:X 0.000873008 -6 *5838:44 0.000772623 -7 *5838:31 0.00178812 -8 *5838:14 0.00227004 -9 *5838:11 0.00147805 -10 *5838:31 *24879:A 1.24368e-05 -11 *24879:C_N *5838:31 0.000250163 -12 *24933:B *24903:A 5.52238e-05 -13 *24933:B *5838:44 6.42095e-05 -14 *25453:B1 *24903:A 5.7836e-06 -15 *25651:A0 *5838:31 2.89114e-05 -16 *25651:A1 *5838:31 9.71197e-05 -17 *25876:B *5838:31 0.000115752 -18 *25881:A0 *5838:31 9.41642e-05 -19 *25881:A1 *5838:31 5.33005e-05 -20 *25881:S *5838:31 5.85965e-05 -21 *27155:A0 *5838:31 0.000109271 -22 *27155:A1 *5838:31 0.000306505 -23 *27158:S *5838:31 5.33005e-05 -24 *27566:A2 *5838:31 3.28686e-05 -25 *27566:B2 *5838:31 7.63245e-05 -26 *27569:C *5838:31 8.43535e-06 -27 *28938:A *24903:A 0.000148266 -28 *29703:A *24903:A 0.000744086 -29 *30244:A *24925:A 4.87854e-05 -30 *30244:A *5838:31 0.000694551 -31 *30276:A *24925:A 9.80173e-05 -32 *30276:A *5838:31 0.000462336 -33 *30278:A *5838:11 0.00038907 -34 *30494:A *5838:31 9.77074e-05 -35 *30495:A *5838:31 0.000809342 -36 *1197:15 *5838:11 0.00110737 -37 *1251:21 *5838:31 0.000136951 -38 *1827:61 *24903:A 0.000356816 -39 *1888:5 *5838:31 0.000441742 -40 *1888:27 *5838:31 3.97677e-05 -41 *2789:44 *5838:31 5.33005e-05 -42 *3561:19 *5838:11 5.74499e-06 -43 *3570:97 *5838:14 0.00117943 -44 *3570:97 *5838:44 7.83659e-05 -45 *3637:29 *5838:31 7.19774e-05 -46 *3657:10 *5838:14 0.00118186 -47 *3657:10 *5838:44 6.91157e-05 -48 *3710:18 *24903:A 0 -49 *3936:33 *24903:A 0.000476702 -50 *4156:46 *24903:A 4.23299e-05 -51 *5209:39 *24903:A 1.98839e-05 -52 *5686:43 *5838:31 0.000634259 -53 *5719:98 *24903:A 5.33433e-05 -54 *5797:7 *5838:11 0.000182694 -*RES -1 *30013:X *5838:11 29.2643 -2 *5838:11 *5838:14 20.1071 -3 *5838:14 *24925:A 10.2464 -4 *5838:14 *5838:31 42.1071 -5 *5838:31 *24879:B 9.3 -6 *5838:11 *5838:44 7.75 -7 *5838:44 *24933:A 9.3 -8 *5838:44 *24903:A 33.9429 -*END - -*D_NET *5839 0.0310351 -*CONN -*I *30785:A I *D sky130_fd_sc_hd__buf_12 -*I *25162:A I *D sky130_fd_sc_hd__nor2_4 -*I *25101:A I *D sky130_fd_sc_hd__nor2_4 -*I *25078:B I *D sky130_fd_sc_hd__nor2_4 -*I *30024:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *30785:A 0.000375993 -2 *25162:A 0 -3 *25101:A 0 -4 *25078:B 0 -5 *30024:X 0.000260263 -6 *5839:63 0.00143022 -7 *5839:53 0.00238824 -8 *5839:47 0.00309968 -9 *5839:35 0.00483005 -10 *5839:26 0.00290178 -11 *5839:11 0.00276569 -12 *30785:A *25785:A0 4.08637e-05 -13 *5839:26 *5844:17 1.90936e-05 -14 *5839:53 *25848:A1 0.000388745 -15 *5839:63 *25785:A0 0.000392019 -16 *25785:A1 *5839:63 0.000128409 -17 *25785:S *30785:A 6.57032e-05 -18 *25785:S *5839:63 0.000132879 -19 *25841:A1 *5839:53 7.57673e-05 -20 *25843:S *5839:53 2.16719e-05 -21 *25844:A1 *5839:26 0.000142905 -22 *25849:A1 *5839:53 0.0005448 -23 *25859:A0 *5839:11 0.000103267 -24 *25860:A0 *5839:11 0.000103267 -25 *25861:A0 *5839:53 0.000266092 -26 *25867:A0 *5839:26 9.10431e-06 -27 *26771:B *5839:63 8.18783e-05 -28 *27578:A1 *5839:47 9.5385e-05 -29 *27578:A2 *5839:47 0.000316055 -30 *27591:A *5839:47 6.43196e-05 -31 *27591:C *5839:35 4.37671e-05 -32 *27592:B2 *5839:47 2.87555e-06 -33 *27908:A2 *5839:26 6.48906e-05 -34 *27908:A2 *5839:53 6.09427e-05 -35 *27908:B1 *5839:11 0.000306042 -36 *27935:C1 *5839:26 0.000487804 -37 *27964:A1 *5839:47 6.91594e-05 -38 *27964:A2 *5839:47 1.81774e-05 -39 *27964:C1 *5839:47 0.000123194 -40 *28312:D *5839:26 1.90936e-05 -41 *29785:A *5839:63 4.79842e-05 -42 *30241:A *5839:26 2.4065e-05 -43 *30358:A *5839:26 0.000182425 -44 *1327:37 *30785:A 0.000386121 -45 *1399:11 *5839:47 5.33005e-05 -46 *1422:75 *5839:47 0.000809913 -47 *1878:8 *5839:53 0 -48 *1878:37 *5839:53 0 -49 *2020:28 *5839:63 6.42242e-05 -50 *2544:30 *5839:63 0 -51 *2678:16 *5839:63 5.33433e-05 -52 *2730:13 *5839:63 9.45471e-05 -53 *3115:19 *5839:35 0.000101545 -54 *3192:118 *5839:26 0 -55 *3192:119 *5839:26 9.05332e-05 -56 *3192:126 *5839:26 0.000287559 -57 *3192:126 *5839:53 0.000363656 -58 *3450:13 *5839:26 0.000188551 -59 *3450:13 *5839:35 0.000103977 -60 *3450:23 *5839:35 0.00129019 -61 *3504:18 *5839:47 0.000209828 -62 *3551:10 *5839:53 0 -63 *3551:10 *5839:63 0 -64 *3585:7 *30785:A 6.05161e-06 -65 *3684:21 *5839:26 5.60891e-05 -66 *3688:17 *5839:53 0 -67 *3688:57 *5839:53 0 -68 *3715:5 *5839:35 9.60875e-05 -69 *3715:16 *5839:35 0.00071852 -70 *3871:94 *5839:11 0.000603757 -71 *3957:22 *5839:35 0.000103253 -72 *4026:37 *5839:47 9.41642e-05 -73 *4092:21 *30785:A 0.000124612 -74 *4131:56 *5839:35 9.58181e-05 -75 *4142:26 *5839:26 0.000191101 -76 *4142:34 *5839:26 0.00079433 -77 *4143:41 *5839:26 0.000162225 -78 *4143:72 *5839:53 0.00036346 -79 *5007:44 *5839:53 0 -80 *5007:44 *5839:63 0 -81 *5590:14 *5839:47 0.000112995 -82 *5611:34 *5839:53 0.000123288 -83 *5653:70 *5839:47 2.81672e-05 -84 *5699:10 *5839:26 8.12645e-05 -85 *5743:135 *5839:26 0.000105696 -86 *5743:147 *5839:26 3.25745e-05 -87 *5833:65 *30785:A 0.000173804 -88 *5833:94 *5839:53 0.000375993 -*RES -1 *30024:X *5839:11 30.0143 -2 *5839:11 *5839:26 42.8895 -3 *5839:26 *5839:35 34.4286 -4 *5839:35 *5839:47 40.875 -5 *5839:47 *25078:B 9.3 -6 *5839:11 *5839:53 31.0893 -7 *5839:53 *25101:A 13.8 -8 *5839:53 *5839:63 26.375 -9 *5839:63 *25162:A 9.3 -10 *5839:63 *30785:A 26.55 -*END - -*D_NET *5840 0.00300804 -*CONN -*I *25846:A I *D sky130_fd_sc_hd__nand2_2 -*I *30036:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25846:A 0.000730529 -2 *30036:X 0.000730529 -3 *25846:A *25787:A0 0.000218685 -4 *29682:A *25846:A 0.000197984 -5 *30036:A *25846:A 8.56752e-05 -6 *1473:56 *25846:A 0.000179745 -7 *3684:72 *25846:A 4.85178e-05 -8 *3957:22 *25846:A 0.000501694 -9 *4091:20 *25846:A 0.000314682 -*RES -1 *30036:X *25846:A 41.2071 -*END - -*D_NET *5841 0.00695513 -*CONN -*I *25851:S I *D sky130_fd_sc_hd__mux2_1 -*I *25848:S I *D sky130_fd_sc_hd__mux2_1 -*I *25850:S I *D sky130_fd_sc_hd__mux2_1 -*I *25849:S I *D sky130_fd_sc_hd__mux2_1 -*I *25847:S I *D sky130_fd_sc_hd__mux2_1 -*I *30047:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25851:S 4.24316e-05 -2 *25848:S 0 -3 *25850:S 0.000237414 -4 *25849:S 0.000413575 -5 *25847:S 2.7056e-05 -6 *30047:X 3.87786e-05 -7 *5841:22 0.000741907 -8 *5841:13 0.00022735 -9 *5841:11 0.000628839 -10 *5841:8 0.000600674 -11 *25847:A0 *25847:S 9.80173e-05 -12 *25847:A0 *5841:13 0.000214198 -13 *25847:A1 *25850:S 0 -14 *25847:A1 *5841:22 0 -15 *25860:A0 *5841:13 0.000266479 -16 *25860:A0 *5841:22 1.19402e-05 -17 *26715:A1 *25850:S 5.59013e-05 -18 *26715:A2 *25850:S 1.19402e-05 -19 *28299:RESET_B *25849:S 3.02581e-06 -20 *28299:RESET_B *5841:22 0.000198705 -21 *29427:A *5841:11 9.71197e-05 -22 *30058:A *25849:S 0.000267377 -23 *30457:A *25850:S 0.000171375 -24 *30457:A *5841:22 0.000175519 -25 *30529:A *25850:S 0 -26 *1422:20 *5841:8 0.000100823 -27 *1448:46 *5841:8 0.000100823 -28 *3564:11 *25847:S 4.87854e-05 -29 *3564:11 *25851:S 1.21258e-05 -30 *3564:11 *5841:11 3.98431e-05 -31 *3564:11 *5841:13 6.87574e-05 -32 *3586:64 *5841:22 0 -33 *3697:8 *25850:S 0 -34 *3698:78 *25851:S 4.08637e-05 -35 *3698:78 *5841:11 0.000429934 -36 *5611:34 *5841:11 0.000347181 -37 *5611:34 *5841:13 3.68898e-05 -38 *5688:225 *25851:S 6.05161e-06 -39 *5688:225 *5841:11 3.97677e-05 -40 *5688:242 *5841:11 7.02611e-05 -41 *5709:112 *5841:11 0.000403637 -42 *5709:112 *5841:13 8.36572e-05 -43 *5715:149 *5841:13 0.000178847 -44 *5761:66 *25849:S 0.000417262 -*RES -1 *30047:X *5841:8 19.6393 -2 *5841:8 *5841:11 12.4643 -3 *5841:11 *5841:13 4.64286 -4 *5841:13 *25847:S 10.2464 -5 *5841:13 *5841:22 7.66071 -6 *5841:22 *25849:S 22.1571 -7 *5841:22 *25850:S 18.4786 -8 *5841:11 *25848:S 9.3 -9 *5841:8 *25851:S 10.2643 -*END - -*D_NET *5842 0.00097341 -*CONN -*I *28301:D I *D sky130_fd_sc_hd__dfstp_2 -*I *30058:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28301:D 0.000129693 -2 *30058:X 0.000129693 -3 *28301:D *6358:12 0.000315179 -4 *29431:A *28301:D 4.87854e-05 -5 *5007:44 *28301:D 0.000315179 -6 *5824:37 *28301:D 3.4879e-05 -*RES -1 *30058:X *28301:D 32.6179 -*END - -*D_NET *5843 0.00433113 -*CONN -*I *24886:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *24883:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30069:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *24886:A0 0.000161438 -2 *24883:A1 0 -3 *30069:X 0.000613302 -4 *5843:8 0.00077474 -5 *24886:A0 *5855:8 0.000164809 -6 *5843:8 *24881:A1 0.000129657 -7 *5843:8 *24883:S 0.000384032 -8 *5843:8 *5855:8 2.84494e-05 -9 *5843:8 *5865:85 0.00010234 -10 *24875:A2 *5843:8 0.00012401 -11 *24881:A0 *5843:8 0.000302368 -12 *24888:S *24886:A0 7.79781e-06 -13 *25431:C *24886:A0 6.73313e-05 -14 *29758:A *5843:8 0.000690776 -15 *30069:A *5843:8 0.000155877 -16 *1862:36 *24886:A0 0 -17 *4155:16 *24886:A0 7.50601e-05 -18 *4155:16 *5843:8 6.0749e-05 -19 *4155:20 *5843:8 0.000127918 -20 *4156:22 *5843:8 0.000305244 -21 *4192:239 *5843:8 5.52302e-05 -*RES -1 *30069:X *5843:8 33.8893 -2 *5843:8 *24883:A1 13.8 -3 *5843:8 *24886:A0 18.175 -*END - -*D_NET *5844 0.00703951 -*CONN -*I *24887:A0 I *D sky130_fd_sc_hd__mux2_4 -*I *30080:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *24887:A0 0 -2 *30080:X 0.00153344 -3 *5844:17 0.00153344 -4 *5844:17 *5856:19 0.000552531 -5 *5844:17 *5866:17 5.33005e-05 -6 *24882:A1 *5844:17 0.000428915 -7 *24882:S *5844:17 5.33005e-05 -8 *24884:A1 *5844:17 0.000341276 -9 *24884:S *5844:17 0.000175892 -10 *25191:A2 *5844:17 8.55586e-05 -11 *27560:A2 *5844:17 6.20441e-06 -12 *27565:B2 *5844:17 0.000109304 -13 *27908:A2 *5844:17 1.44039e-05 -14 *27935:C1 *5844:17 3.69047e-06 -15 *27937:A2 *5844:17 3.80522e-05 -16 *30302:A *5844:17 0.000293777 -17 *1221:21 *5844:17 8.88417e-05 -18 *1488:24 *5844:17 0.000327346 -19 *3119:13 *5844:17 0.000317716 -20 *3192:118 *5844:17 0.000197845 -21 *3450:13 *5844:17 9.87983e-06 -22 *3858:22 *5844:17 8.40344e-05 -23 *4104:11 *5844:17 2.15245e-05 -24 *4104:23 *5844:17 0.000660693 -25 *5651:189 *5844:17 8.94491e-05 -26 *5839:26 *5844:17 1.90936e-05 -*RES -1 *30080:X *5844:17 43.829 -2 *5844:17 *24887:A0 9.3 -*END - -*D_NET *5845 0.012413 -*CONN -*I *24908:A I *D sky130_fd_sc_hd__nor2_8 -*I *24896:B I *D sky130_fd_sc_hd__and2b_4 -*I *24912:A I *D sky130_fd_sc_hd__and2_4 -*I *24890:A_N I *D sky130_fd_sc_hd__and2b_4 -*I *30091:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *24908:A 0 -2 *24896:B 0.000269018 -3 *24912:A 0 -4 *24890:A_N 0.000204533 -5 *30091:X 0.000268773 -6 *5845:33 0.000752499 -7 *5845:25 0.0014536 -8 *5845:7 0.00144343 -9 *24890:A_N *5857:18 4.46186e-06 -10 *24890:A_N *5857:33 5.41797e-06 -11 *24890:B *24890:A_N 0.000257619 -12 *24912:B *5845:33 0.000175892 -13 *27946:A2 *5845:25 3.24225e-05 -14 *27948:A1 *5845:25 2.08447e-05 -15 *1211:12 *24890:A_N 8.49861e-06 -16 *1362:15 *5845:7 0.000424029 -17 *1429:18 *24896:B 7.90785e-05 -18 *1430:90 *5845:25 2.06112e-05 -19 *1451:6 *24896:B 0.000192821 -20 *1451:6 *5845:33 0.000556307 -21 *1511:18 *5845:25 0.00143344 -22 *1647:46 *24890:A_N 0 -23 *3542:21 *24890:A_N 0.00012783 -24 *3790:71 *5845:25 1.90936e-05 -25 *4022:60 *24896:B 0.000193396 -26 *4022:60 *5845:33 0.000566557 -27 *4022:75 *24896:B 5.49489e-05 -28 *4065:53 *5845:25 9.9462e-05 -29 *4104:38 *5845:7 0.00017422 -30 *4104:49 *5845:33 0.000301626 -31 *5216:12 *5845:25 0.000242269 -32 *5640:64 *5845:25 5.41797e-06 -33 *5641:70 *5845:25 0.00110828 -34 *5653:97 *24890:A_N 0.000165418 -35 *5653:97 *5845:25 2.20282e-05 -36 *5653:104 *5845:7 0.000795977 -37 *5653:145 *5845:7 4.08637e-05 -38 *5653:145 *5845:33 0.000837311 -39 *5836:89 *5845:7 5.49489e-05 -*RES -1 *30091:X *5845:7 22.1393 -2 *5845:7 *24890:A_N 18.6393 -3 *5845:7 *5845:25 15.0347 -4 *5845:25 *24912:A 9.3 -5 *5845:25 *5845:33 20.25 -6 *5845:33 *24896:B 19.7821 -7 *5845:33 *24908:A 13.8 -*END - -*D_NET *5846 0.00394802 -*CONN -*I *25038:A I *D sky130_fd_sc_hd__nand2_8 -*I *24937:A I *D sky130_fd_sc_hd__nand2_8 -*I *24891:B I *D sky130_fd_sc_hd__nand2_8 -*I *25040:A I *D sky130_fd_sc_hd__nand2_8 -*I *30102:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *25038:A 0 -2 *24937:A 0 -3 *24891:B 0.000141991 -4 *25040:A 0.000152726 -5 *30102:X 0.000138315 -6 *5846:25 0.000225088 -7 *5846:23 0.000509431 -8 *5846:8 0.000717375 -9 *25040:A *25040:B 0.000303542 -10 *5846:23 *25040:B 0.000505335 -11 *30113:A *24891:B 2.79421e-05 -12 *1327:19 *24891:B 9.41642e-05 -13 *1327:19 *25040:A 5.15925e-05 -14 *1327:19 *5846:8 9.82592e-05 -15 *1403:16 *24891:B 4.07201e-05 -16 *1403:16 *5846:23 5.58941e-05 -17 *1403:16 *5846:25 0.00021874 -18 *1685:40 *5846:23 4.15161e-05 -19 *3170:106 *25040:A 6.57032e-05 -20 *3176:202 *24891:B 0.00010744 -21 *3496:13 *25040:A 5.33072e-05 -22 *3496:13 *5846:8 9.14383e-05 -23 *3920:63 *24891:B 0.000163042 -24 *3920:63 *5846:23 9.86249e-07 -25 *3920:63 *5846:25 0.0001034 -26 *5836:89 *5846:8 4.00679e-05 -*RES -1 *30102:X *5846:8 16.4964 -2 *5846:8 *25040:A 17.5321 -3 *5846:8 *5846:23 14.7857 -4 *5846:23 *5846:25 2.85714 -5 *5846:25 *24891:B 17.6571 -6 *5846:25 *24937:A 13.8 -7 *5846:23 *25038:A 13.8 -*END - -*D_NET *5847 0.0650692 -*CONN -*I *25031:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30715:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *25031:B2 0.00130752 -2 *30715:X 3.92213e-05 -3 *5847:74 0.00304823 -4 *5847:59 0.00288805 -5 *5847:49 0.0012275 -6 *5847:44 0.00256796 -7 *5847:43 0.00360566 -8 *5847:12 0.00357831 -9 *5847:10 0.00249378 -10 *5847:8 0.00216467 -11 *5847:7 0.00217055 -12 *25031:B2 *5936:15 0.00031852 -13 *5847:8 *6228:10 0 -14 *5847:12 *27099:A 0.00109293 -15 *5847:12 *28673:D 0.000342158 -16 *5847:12 *5873:281 0.000155877 -17 *5847:43 *27099:A 0.000455033 -18 *5847:43 *5872:42 0.000439122 -19 *5847:43 *5928:20 5.32956e-05 -20 *5847:44 *25720:A0 0.000638667 -21 *5847:44 *26870:A0 0.000130027 -22 *5847:44 *5872:42 0.000293576 -23 *5847:44 *5873:59 0 -24 *5847:44 *5954:15 0.000191756 -25 *5847:59 *5872:25 0.000637551 -26 *5847:59 *5873:74 0.00331408 -27 *5847:74 *5863:163 7.82403e-05 -28 *5847:74 *5873:76 0.00161379 -29 *24990:B1 *5847:74 0.000111243 -30 *25031:A2 *25031:B2 7.13226e-06 -31 *25031:B1 *25031:B2 2.19273e-05 -32 *25031:C1 *25031:B2 1.38323e-05 -33 *25032:D *25031:B2 0.000721419 -34 *25061:B1 *5847:44 0.000445186 -35 *25061:C1 *5847:44 0 -36 *25684:A1 *5847:8 0.000573689 -37 *26925:A0 *5847:43 3.75305e-05 -38 *26944:S *5847:43 0.000142316 -39 *26998:S *5847:44 0.000244047 -40 *27183:A1 *5847:8 0.000272665 -41 *27204:B *5847:8 0.000221609 -42 *27786:A1 *5847:43 0.000227826 -43 *27840:C *5847:44 0.00145085 -44 *28575:RESET_B *5847:43 2.01997e-05 -45 *28577:D *5847:44 8.80151e-05 -46 *28583:CLK *5847:12 1.87142e-05 -47 *28583:RESET_B *5847:12 9.90819e-06 -48 *28616:CLK *5847:44 9.07311e-05 -49 *28671:D *5847:44 0.000328766 -50 *28673:RESET_B *5847:12 4.62213e-05 -51 *28764:RESET_B *5847:12 0.000595437 -52 *29315:A *5847:43 1.90936e-05 -53 *29903:A *5847:43 8.77988e-05 -54 *30077:A *5847:43 0.000168496 -55 *30214:A *5847:12 0.000125731 -56 *30269:A *5847:8 2.49484e-05 -57 *30357:A *5847:12 0.000164508 -58 *30721:A *5847:8 0.000398299 -59 *30862:A *5847:7 5.52302e-05 -60 *68:11 *5847:8 0 -61 *826:27 *5847:12 5.33983e-05 -62 *849:17 *5847:43 8.84819e-05 -63 *849:17 *5847:44 2.06112e-05 -64 *1272:155 *5847:44 0.000936049 -65 *1355:20 *25031:B2 0.000306785 -66 *1358:19 *5847:59 9.34324e-05 -67 *1367:43 *5847:59 0.000102658 -68 *1367:43 *5847:74 0.000512626 -69 *1835:90 *5847:8 0.00020785 -70 *2771:22 *5847:12 0.00042463 -71 *2771:36 *5847:12 0.000132134 -72 *2771:171 *5847:44 6.66506e-05 -73 *2772:14 *5847:12 0.000885127 -74 *2772:27 *5847:12 0.000136711 -75 *2773:8 *5847:44 0.000182654 -76 *2775:14 *5847:43 6.71336e-05 -77 *2775:82 *5847:12 0.000315472 -78 *2775:82 *5847:43 2.36897e-05 -79 *2776:177 *5847:44 0.000121516 -80 *2888:177 *25031:B2 0.00014491 -81 *2894:361 *25031:B2 0.000587062 -82 *3018:22 *25031:B2 6.06291e-05 -83 *3381:13 *5847:44 6.35864e-05 -84 *3721:68 *25031:B2 0.000714673 -85 *3744:20 *5847:12 4.00349e-05 -86 *3783:24 *5847:12 8.28978e-05 -87 *3787:37 *25031:B2 0.000143188 -88 *3811:15 *5847:12 5.20564e-05 -89 *3889:8 *5847:44 0.000146983 -90 *3889:31 *5847:44 3.18672e-05 -91 *3889:61 *5847:44 1.18554e-05 -92 *3889:62 *5847:44 0.00498966 -93 *3889:62 *5847:59 0.00331636 -94 *3890:47 *5847:74 0.000241979 -95 *3995:58 *5847:43 0.00057886 -96 *4045:18 *5847:12 1.40849e-05 -97 *4058:15 *5847:12 0.000460899 -98 *4060:11 *5847:8 0.000169083 -99 *4071:11 *5847:12 0.000394733 -100 *4097:11 *5847:43 4.79506e-05 -101 *4108:13 *5847:44 0.000131237 -102 *4108:36 *5847:44 7.79781e-06 -103 *4110:16 *5847:44 7.6644e-05 -104 *4217:15 *5847:44 1.17968e-05 -105 *4222:21 *5847:12 1.01241e-05 -106 *4357:21 *5847:43 3.20775e-05 -107 *4781:15 *5847:8 5.2177e-05 -108 *5386:12 *5847:74 0 -109 *5589:123 *5847:43 0.000570736 -110 *5601:128 *5847:74 2.72709e-05 -111 *5628:67 *5847:74 0.00161589 -112 *5637:19 *5847:44 4.34543e-05 -113 *5639:147 *5847:44 0.00031613 -114 *5642:71 *5847:74 6.14836e-06 -115 *5656:261 *5847:44 0.000327999 -116 *5656:275 *5847:44 0.000246701 -117 *5662:43 *5847:74 0.000114089 -118 *5682:141 *5847:12 0.000601992 -119 *5682:158 *5847:12 6.09762e-05 -120 *5696:6 *5847:8 0.000223687 -121 *5696:11 *5847:8 0.00103993 -122 *5696:11 *5847:12 0.000805583 -123 *5696:77 *5847:12 4.99283e-06 -124 *5773:23 *5847:12 6.62299e-06 -125 *5776:64 *5847:8 6.57648e-05 -126 *5824:286 *5847:43 0.000119004 -127 *5825:8 *5847:8 0 -128 *5825:8 *5847:12 0 -*RES -1 *30715:X *5847:7 14.3357 -2 *5847:7 *5847:8 53.4018 -3 *5847:8 *5847:10 0.535714 -4 *5847:10 *5847:12 64.0268 -5 *5847:12 *5847:43 34.597 -6 *5847:43 *5847:44 85.5804 -7 *5847:44 *5847:49 9.94643 -8 *5847:49 *5847:59 49.4878 -9 *5847:59 *5847:74 43.8783 -10 *5847:74 *25031:B2 45.4786 -*END - -*D_NET *5848 0.0341832 -*CONN -*I *25142:A I *D sky130_fd_sc_hd__nor2_4 -*I *25126:A I *D sky130_fd_sc_hd__nor2_2 -*I *25089:A I *D sky130_fd_sc_hd__nor2_4 -*I *25079:A I *D sky130_fd_sc_hd__nor2_4 -*I *25086:A I *D sky130_fd_sc_hd__nor2_8 -*I *30113:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 *25142:A 0.000736621 -2 *25126:A 0.000364767 -3 *25089:A 6.97736e-05 -4 *25079:A 0 -5 *25086:A 0.000560149 -6 *30113:X 0 -7 *5848:82 0.00209869 -8 *5848:63 0.0023106 -9 *5848:27 0.00149673 -10 *5848:20 0.0020075 -11 *5848:5 0.00231445 -12 *24892:B *5848:27 5.68977e-06 -13 *25081:A2 *5848:27 0.00198486 -14 *25122:A2 *5848:27 0.000163301 -15 *25122:B1 *5848:27 0.000675234 -16 *25123:B *5848:63 0.000119924 -17 *25126:B *25126:A 1.24368e-05 -18 *25167:A *5848:63 0.000119924 -19 *25173:A2 *25089:A 9.41642e-05 -20 *25218:B2 *25086:A 0.000177815 -21 *25296:A2 *5848:82 0.000356352 -22 *27947:A2 *5848:63 0.000433148 -23 *27956:A *5848:63 2.09826e-05 -24 *28841:A *5848:20 4.99344e-06 -25 *30313:A *5848:20 5.00194e-05 -26 *1217:24 *5848:20 5.11316e-05 -27 *1217:24 *5848:27 0.000501634 -28 *1327:19 *5848:63 3.76253e-05 -29 *1399:96 *5848:63 4.9641e-05 -30 *1399:96 *5848:82 0.00187467 -31 *1400:21 *25086:A 6.05161e-06 -32 *1401:117 *25086:A 0.000897353 -33 *1410:5 *25089:A 0 -34 *1410:19 *25089:A 6.36787e-05 -35 *1435:76 *25142:A 2.42057e-05 -36 *1439:37 *5848:27 4.22135e-06 -37 *1439:49 *5848:20 0.000702763 -38 *1440:85 *25086:A 0.000525879 -39 *1447:15 *25126:A 0.000755739 -40 *1447:44 *25142:A 0.000177591 -41 *1450:33 *25142:A 1.94945e-05 -42 *1460:41 *5848:20 0.000820399 -43 *1463:23 *25142:A 0.000302545 -44 *1472:25 *5848:63 6.13793e-06 -45 *1483:68 *5848:63 0.000598553 -46 *1511:18 *5848:20 4.73891e-05 -47 *1565:20 *25126:A 9.19756e-05 -48 *1565:20 *25142:A 0.000874113 -49 *1573:22 *25142:A 0 -50 *1573:22 *5848:82 6.39808e-05 -51 *1615:14 *5848:20 7.37503e-05 -52 *1615:14 *5848:63 0.00141327 -53 *1665:20 *5848:63 0.000295968 -54 *1689:21 *25086:A 5.73414e-05 -55 *2866:119 *5848:27 0.000164949 -56 *3176:181 *25086:A 0.000204611 -57 *3484:20 *25142:A 1.22506e-05 -58 *3484:20 *5848:82 0.000179031 -59 *3484:31 *5848:82 1.81709e-05 -60 *3506:19 *25086:A 0.000158398 -61 *3506:19 *5848:27 8.55772e-05 -62 *3686:83 *5848:63 0.000295237 -63 *3700:72 *5848:63 5.18606e-05 -64 *3762:41 *5848:63 0.000508894 -65 *3920:83 *5848:27 0.000189948 -66 *4012:39 *5848:82 0.000360308 -67 *4014:24 *25142:A 1.94945e-05 -68 *4014:24 *5848:63 0.000129243 -69 *4014:24 *5848:82 0.00107537 -70 *4079:43 *25142:A 2.11419e-05 -71 *4126:85 *5848:27 0.000382744 -72 *5210:25 *25086:A 0.000175892 -73 *5590:47 *5848:20 0.000293723 -74 *5590:47 *5848:63 4.38221e-06 -75 *5605:114 *5848:20 0.00188425 -76 *5605:114 *5848:27 1.53472e-05 -77 *5607:27 *5848:63 0.00027457 -78 *5623:41 *25086:A 3.88665e-05 -79 *5623:41 *5848:27 0.000175892 -80 *5623:47 *5848:27 0.000180355 -81 *5623:137 *5848:27 1.33343e-05 -82 *5641:70 *5848:20 0.00053115 -83 *5649:176 *5848:63 2.65052e-05 -84 *5713:37 *5848:27 1.90936e-05 -85 *5715:117 *5848:82 0.000136577 -86 *5729:9 *5848:82 2.06178e-05 -87 *5836:78 *5848:20 2.01997e-05 -*RES -1 *30113:X *5848:5 13.8 -2 *5848:5 *5848:20 40.2481 -3 *5848:20 *5848:27 35.4375 -4 *5848:27 *25086:A 34.5321 -5 *5848:27 *25079:A 9.3 -6 *5848:5 *5848:63 20.2004 -7 *5848:63 *25089:A 15.4786 -8 *5848:63 *5848:82 31.1964 -9 *5848:82 *25126:A 21.3179 -10 *5848:82 *25142:A 24.718 -*END - -*D_NET *5849 0.00996948 -*CONN -*I *25858:A I *D sky130_fd_sc_hd__nand2_2 -*I *30124:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25858:A 0 -2 *30124:X 0.000146128 -3 *5849:11 0.00160912 -4 *5849:8 0.00175525 -5 *5849:11 *6358:27 0.00140684 -6 *25361:B2 *5849:11 0.00026353 -7 *25843:A0 *5849:11 0.000136951 -8 *25843:A1 *5849:11 0.000516547 -9 *29980:A *5849:11 0.000736643 -10 *30047:A *5849:11 0.000139907 -11 *1396:13 *5849:11 0.00159479 -12 *1411:15 *5849:11 9.41642e-05 -13 *3165:348 *5849:11 0.000279558 -14 *3165:355 *5849:11 3.98547e-05 -15 *3975:31 *5849:8 0.000346501 -16 *4350:31 *5849:11 0.000348207 -17 *5231:12 *5849:8 0.000348923 -18 *5611:47 *5849:11 0.000206568 -*RES -1 *30124:X *5849:8 22.9786 -2 *5849:8 *5849:11 40.8036 -3 *5849:11 *25858:A 9.3 -*END - -*D_NET *5850 0.0086142 -*CONN -*I *25861:S I *D sky130_fd_sc_hd__mux2_1 -*I *25860:S I *D sky130_fd_sc_hd__mux2_1 -*I *25859:S I *D sky130_fd_sc_hd__mux2_1 -*I *25863:S I *D sky130_fd_sc_hd__mux2_1 -*I *25862:S I *D sky130_fd_sc_hd__mux2_1 -*I *30135:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25861:S 0.00014333 -2 *25860:S 1.69549e-05 -3 *25859:S 0.000173505 -4 *25863:S 4.14645e-05 -5 *25862:S 0.00033835 -6 *30135:X 0.000488938 -7 *5850:46 0.000435725 -8 *5850:30 0.000704025 -9 *5850:13 0.000929697 -10 *5850:10 0.0012939 -11 *25861:S *24961:A 0.000175892 -12 *5850:10 *24961:A 0.000240228 -13 *5850:10 *25863:A1 0 -14 *24961:B *5850:10 7.73022e-05 -15 *25822:B *25859:S 0 -16 *25859:A0 *25859:S 6.71327e-05 -17 *25860:A0 *25860:S 3.23206e-05 -18 *25861:A0 *25861:S 9.41642e-05 -19 *25862:A1 *25862:S 6.21466e-05 -20 *28305:CLK *5850:10 0.00017309 -21 *28307:CLK *5850:10 0.000168782 -22 *28308:RESET_B *25862:S 6.72598e-05 -23 *30135:A *5850:10 1.21258e-05 -24 *1195:6 *5850:10 0 -25 *1287:97 *25861:S 3.97677e-05 -26 *1448:60 *25859:S 9.60939e-05 -27 *1665:29 *25860:S 5.52302e-05 -28 *1665:29 *5850:13 0.000774545 -29 *1665:29 *5850:30 0.000345257 -30 *3586:16 *5850:10 0.000183245 -31 *3701:19 *25862:S 0 -32 *3701:19 *25863:S 0 -33 *3702:26 *5850:46 0.00056243 -34 *3710:15 *25859:S 0.000123847 -35 *3710:15 *5850:46 3.37678e-05 -36 *5209:30 *25861:S 0.00020504 -37 *5209:30 *5850:46 1.90936e-05 -38 *5611:34 *25861:S 0.000214377 -39 *5611:34 *5850:46 1.90936e-05 -40 *5742:268 *25862:S 0 -41 *5778:49 *5850:10 5.62546e-05 -42 *5797:11 *25862:S 0 -43 *5797:11 *25863:S 0 -44 *5797:11 *5850:46 0.000149826 -*RES -1 *30135:X *5850:10 29.0857 -2 *5850:10 *5850:13 12.0179 -3 *5850:13 *25862:S 20.3 -4 *5850:13 *25863:S 14.5321 -5 *5850:10 *5850:30 7.91071 -6 *5850:30 *25859:S 17.2107 -7 *5850:30 *5850:46 8.58894 -8 *5850:46 *25860:S 14.3357 -9 *5850:46 *25861:S 18.425 -*END - -*D_NET *5851 0.00162143 -*CONN -*I *28308:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30147:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28308:D 0.000534527 -2 *30147:X 0.000534527 -3 *28308:D *5855:8 9.91086e-05 -4 *28042:B *28308:D 0 -5 *28308:CLK *28308:D 0.0001399 -6 *29415:A *28308:D 7.61932e-05 -7 *30147:A *28308:D 0.000237175 -8 *4189:41 *28308:D 0 -*RES -1 *30147:X *28308:D 36.9214 -*END - -*D_NET *5852 0.00312777 -*CONN -*I *25595:A1 I *D sky130_fd_sc_hd__mux2_4 -*I *30158:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25595:A1 0.00101114 -2 *30158:X 0.00101114 -3 *25595:A1 *29527:A 7.6644e-05 -4 *25595:A1 *6180:30 0.000738573 -5 *25595:A1 *6362:9 2.24736e-05 -6 *25591:A1 *25595:A1 0 -7 *25593:A1 *25595:A1 0 -8 *27998:A1 *25595:A1 0.000267807 -9 *28822:RESET_B *25595:A1 0 -10 *5779:181 *25595:A1 0 -*RES -1 *30158:X *25595:A1 43.2607 -*END - -*D_NET *5853 0.00908036 -*CONN -*I *29958:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30169:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *29958:A 0.001011 -2 *30169:X 0.0018922 -3 *5853:8 0.0029032 -4 *29958:A *29503:A 9.60875e-05 -5 *29958:A *5930:11 0.000509008 -6 *5853:8 *25599:A0 9.77699e-05 -7 *5853:8 *25599:S 2.59355e-05 -8 *5853:8 *6364:14 0 -9 *25595:S *5853:8 7.03295e-05 -10 *28828:CLK *5853:8 0 -11 *29061:A *5853:8 0.000459727 -12 *29452:A *29958:A 0.000356028 -13 *30169:A *5853:8 0.00069962 -14 *1820:10 *5853:8 5.52302e-05 -15 *3530:15 *5853:8 0.000245342 -16 *5197:11 *5853:8 0.000261188 -17 *5233:17 *5853:8 0.000364238 -18 *5780:125 *5853:8 3.34672e-05 -*RES -1 *30169:X *5853:8 46.4964 -2 *5853:8 *29958:A 32.8179 -*END - -*D_NET *5854 0.000434709 -*CONN -*I *28307:D I *D sky130_fd_sc_hd__dfstp_1 -*I *30180:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28307:D 7.80517e-05 -2 *30180:X 7.80517e-05 -3 *28307:D *6358:27 5.52302e-05 -4 *30180:A *28307:D 3.34687e-05 -5 *3710:77 *28307:D 0.000129868 -6 *5761:40 *28307:D 6.0038e-05 -*RES -1 *30180:X *28307:D 29.7786 -*END - -*D_NET *5855 0.00365362 -*CONN -*I *24883:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *24881:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30191:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *24883:A0 1.40355e-05 -2 *24881:A1 0.00022427 -3 *30191:X 0.000974193 -4 *5855:8 0.0012125 -5 *24881:A1 *24883:S 7.74135e-05 -6 *24886:A0 *5855:8 0.000164809 -7 *25440:A *5855:8 0 -8 *25440:B *24881:A1 0 -9 *25440:B *5855:8 0 -10 *28087:RESET_B *5855:8 0.000443654 -11 *28308:D *5855:8 9.91086e-05 -12 *30147:A *5855:8 0 -13 *1198:11 *5855:8 0 -14 *1209:13 *24883:A0 5.52302e-05 -15 *1212:12 *5855:8 3.92854e-05 -16 *1757:23 *24881:A1 0.000132631 -17 *1862:36 *5855:8 0 -18 *4158:46 *24883:A0 2.89114e-05 -19 *4189:41 *5855:8 0 -20 *5742:268 *5855:8 2.94741e-05 -21 *5843:8 *24881:A1 0.000129657 -22 *5843:8 *5855:8 2.84494e-05 -*RES -1 *30191:X *5855:8 30.2107 -2 *5855:8 *24881:A1 18.7107 -3 *5855:8 *24883:A0 14.3357 -*END - -*D_NET *5856 0.00648207 -*CONN -*I *24884:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *30202:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *24884:A0 0 -2 *30202:X 0.00166217 -3 *5856:19 0.00166217 -4 *25187:B2 *5856:19 0.000194131 -5 *27560:A2 *5856:19 2.78357e-05 -6 *27936:A1 *5856:19 2.06178e-05 -7 *27937:A2 *5856:19 5.73466e-05 -8 *27939:A *5856:19 0.000280311 -9 *27939:C *5856:19 0.000573334 -10 *30302:A *5856:19 0.000555487 -11 *2850:121 *5856:19 3.38088e-05 -12 *2895:172 *5856:19 0.000443507 -13 *3119:13 *5856:19 0 -14 *3197:105 *5856:19 9.09604e-05 -15 *3698:31 *5856:19 4.01462e-06 -16 *3713:94 *5856:19 0 -17 *4117:32 *5856:19 0.000257215 -18 *5656:189 *5856:19 0 -19 *5661:133 *5856:19 6.663e-05 -20 *5844:17 *5856:19 0.000552531 -*RES -1 *30202:X *5856:19 47.2187 -2 *5856:19 *24884:A0 9.3 -*END - -*D_NET *5857 0.00552154 -*CONN -*I *24906:B I *D sky130_fd_sc_hd__nand2_1 -*I *24897:A_N I *D sky130_fd_sc_hd__and2b_4 -*I *24885:B I *D sky130_fd_sc_hd__and2b_4 -*I *24900:B I *D sky130_fd_sc_hd__nor2_8 -*I *30213:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *24906:B 0.000249809 -2 *24897:A_N 0 -3 *24885:B 1.96377e-05 -4 *24900:B 0 -5 *30213:X 0.000273974 -6 *5857:33 0.000713593 -7 *5857:18 0.000721912 -8 *5857:9 0.000512465 -9 *24885:B *5867:20 1.21258e-05 -10 *5857:9 *24900:A 5.33005e-05 -11 *24890:A_N *5857:18 4.46186e-06 -12 *24890:A_N *5857:33 5.41797e-06 -13 *24890:B *24885:B 5.49489e-05 -14 *24907:A *24906:B 0.000105471 -15 *30102:A *5857:9 0.000136676 -16 *30102:A *5857:18 0.000262679 -17 *30313:A *5857:18 5.45307e-05 -18 *1211:12 *5857:33 0.000520566 -19 *1647:46 *5857:18 5.17342e-05 -20 *1647:46 *5857:33 4.19624e-06 -21 *3176:202 *24906:B 0.00017754 -22 *3542:21 *24885:B 6.05161e-06 -23 *3542:21 *5857:18 4.11218e-05 -24 *3542:21 *5857:33 0.000176033 -25 *3738:58 *24906:B 0.000255585 -26 *3738:58 *5857:33 0.000127836 -27 *3762:41 *5857:33 2.09562e-05 -28 *3762:42 *5857:18 2.07158e-05 -29 *3776:44 *24906:B 0.000246037 -30 *3776:44 *5857:33 0.000127836 -31 *4025:37 *5857:9 7.29563e-05 -32 *4065:53 *5857:33 1.80569e-05 -33 *5630:63 *24906:B 1.46148e-05 -34 *5653:97 *5857:18 5.18401e-05 -35 *5836:78 *5857:33 6.20441e-06 -36 *5836:89 *5857:9 0.000400655 -*RES -1 *30213:X *5857:9 15.3179 -2 *5857:9 *24900:B 9.3 -3 *5857:9 *5857:18 9.94643 -4 *5857:18 *24885:B 14.3357 -5 *5857:18 *5857:33 9.8753 -6 *5857:33 *24897:A_N 13.8 -7 *5857:33 *24906:B 20.2821 -*END - -*D_NET *5858 0.0173856 -*CONN -*I *25216:A1 I *D sky130_fd_sc_hd__a221o_2 -*I *30716:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25216:A1 0 -2 *30716:X 0.00178441 -3 *5858:23 0.00275963 -4 *5858:17 0.00454404 -5 *5858:17 *27227:A1 8.43535e-06 -6 *5858:17 *27227:B1 1.80624e-05 -7 *5858:17 *27228:B 7.20831e-05 -8 *5858:17 *27228:C 3.47702e-06 -9 *5858:17 *5997:10 0.00176525 -10 *5858:17 *6381:101 3.25446e-05 -11 *5858:23 *25563:A0 4.00679e-05 -12 *5858:23 *25564:A0 9.85599e-06 -13 *5858:23 *6387:22 4.10102e-05 -14 *24973:A1 *5858:17 0.000202816 -15 *24973:B1 *5858:17 1.80624e-05 -16 *24973:B2 *5858:17 0.000550528 -17 *25216:A2 *5858:23 0.00032413 -18 *25216:B2 *5858:23 3.69047e-06 -19 *26839:A0 *5858:23 0.000895746 -20 *27227:A3 *5858:17 5.49489e-05 -21 *27230:B *5858:17 5.49544e-05 -22 *27270:B1 *5858:17 4.62423e-05 -23 *27271:S *5858:23 6.47172e-06 -24 *27275:B *5858:23 5.96516e-05 -25 *28783:CLK *5858:23 2.57619e-05 -26 *28783:RESET_B *5858:23 0.000174888 -27 *29032:A *5858:17 0.000351476 -28 *29032:A *5858:23 4.37451e-05 -29 *30662:A *5858:23 3.89281e-05 -30 *30689:A *5858:23 4.11572e-05 -31 *348:16 *5858:23 5.74499e-06 -32 *1245:92 *5858:23 0.000561922 -33 *1254:40 *5858:23 4.68148e-05 -34 *2805:40 *5858:17 0.00146785 -35 *5581:8 *5858:23 0.000180207 -36 *5609:33 *5858:23 3.70863e-05 -37 *5609:45 *5858:23 0.00111393 -*RES -1 *30716:X *5858:17 45.4607 -2 *5858:17 *5858:23 40.9286 -3 *5858:23 *25216:A1 9.3 -*END - -*D_NET *5859 0.0172169 -*CONN -*I *24976:A1 I *D sky130_fd_sc_hd__a221o_4 -*I *30717:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *24976:A1 0.000872598 -2 *30717:X 0.00116725 -3 *5859:14 0.00268395 -4 *5859:11 0.00297861 -5 *24976:A1 *5870:8 0.000231243 -6 *5859:14 *5870:8 0 -7 *5859:14 *5913:15 0.00013933 -8 mgmt_gpio_oeb[32] *5859:14 0.000446519 -9 mgmt_gpio_oeb[34] *5859:11 0.00100926 -10 mgmt_gpio_out[31] *5859:14 0 -11 mgmt_gpio_out[32] *5859:11 0.000357831 -12 mgmt_gpio_out[35] *5859:11 0.000216062 -13 *24826:A *5859:11 9.90367e-05 -14 *24976:A2 *24976:A1 3.31924e-05 -15 *24976:B2 *24976:A1 0.00014183 -16 *24976:C1 *24976:A1 7.10034e-05 -17 *27124:A1 *5859:14 0 -18 *29411:A *5859:14 3.44075e-05 -19 *29708:A *5859:14 0 -20 *30120:A *5859:14 4.15914e-05 -21 *30578:A *5859:14 0 -22 *30584:A *24976:A1 6.09476e-05 -23 *30713:A *5859:11 0.00158631 -24 *30718:A *5859:14 0 -25 *30857:A *5859:14 0 -26 *30894:A *5859:14 0.000134285 -27 *790:22 *5859:14 0.000366524 -28 *2764:21 *24976:A1 8.23419e-05 -29 *2769:182 *24976:A1 0.000521308 -30 *2791:13 *24976:A1 0.000432425 -31 *3965:134 *24976:A1 0 -32 *3965:134 *5859:14 0.00195726 -33 *4047:8 *24976:A1 0.000774503 -34 *5408:42 *5859:11 0.000636336 -35 *5453:32 *5859:14 0 -36 *5825:7 *5859:11 0.000140933 -*RES -1 *30717:X *5859:11 46.7107 -2 *5859:11 *5859:14 38.0089 -3 *5859:14 *24976:A1 38.358 -*END - -*D_NET *5860 0.00989758 -*CONN -*I *24898:B I *D sky130_fd_sc_hd__nand2_8 -*I *25038:B I *D sky130_fd_sc_hd__nand2_8 -*I *24931:A I *D sky130_fd_sc_hd__nand2_8 -*I *24929:A I *D sky130_fd_sc_hd__nand2_8 -*I *30224:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *24898:B 0.0004573 -2 *25038:B 0.00088202 -3 *24931:A 0 -4 *24929:A 0.00034117 -5 *30224:X 1.62984e-05 -6 *5860:33 0.00139813 -7 *5860:26 0.000240621 -8 *5860:5 0.00053928 -9 *24929:A *24901:B 0.000469523 -10 *25038:B *24954:A 8.40933e-05 -11 *25038:B *25040:B 0.000345902 -12 *25038:B *5868:5 1.98839e-05 -13 *24927:A *24898:B 0.000257619 -14 *24929:B *24929:A 5.49544e-05 -15 *28843:A *24898:B 0.000966594 -16 *1239:13 *25038:B 9.41642e-05 -17 *1242:61 *5860:26 0.000196615 -18 *1248:120 *24929:A 0.000743176 -19 *1429:18 *24898:B 0.000419287 -20 *1429:18 *5860:26 5.90911e-05 -21 *1429:18 *5860:33 0.000170298 -22 *1627:12 *5860:26 0.000258503 -23 *3542:39 *24898:B 0.000359415 -24 *4064:62 *25038:B 9.41642e-05 -25 *4088:56 *24898:B 0.000412249 -26 *4088:56 *5860:26 0.000140671 -27 *4088:56 *5860:33 0.000160209 -28 *5651:150 *24929:A 0.00056704 -29 *5651:150 *5860:5 2.12087e-05 -30 *5656:174 *24929:A 7.48005e-05 -31 *5656:174 *5860:5 5.33005e-05 -*RES -1 *30224:X *5860:5 9.83571 -2 *5860:5 *24929:A 21.1036 -3 *5860:5 *5860:26 9.83929 -4 *5860:26 *24931:A 13.8 -5 *5860:26 *5860:33 2.25 -6 *5860:33 *25038:B 27.3893 -7 *5860:33 *24898:B 28.9607 -*END - -*D_NET *5861 0.0513287 -*CONN -*I *24930:B I *D sky130_fd_sc_hd__nor2_8 -*I *24934:A I *D sky130_fd_sc_hd__nor2_8 -*I *24939:B I *D sky130_fd_sc_hd__nor2_8 -*I *25298:B I *D sky130_fd_sc_hd__nor2_2 -*I *24945:B I *D sky130_fd_sc_hd__nor2_8 -*I *24942:B I *D sky130_fd_sc_hd__nor2_4 -*I *30235:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *24930:B 0.000161725 -2 *24934:A 4.29478e-05 -3 *24939:B 0 -4 *25298:B 0.00165292 -5 *24945:B 8.09507e-05 -6 *24942:B 0.000168409 -7 *30235:X 0.000155207 -8 *5861:75 0.00224355 -9 *5861:69 0.00219899 -10 *5861:65 0.00212214 -11 *5861:55 0.000432825 -12 *5861:53 0.00178459 -13 *5861:52 0.00334462 -14 *5861:34 0.00213004 -15 *5861:16 0.00359516 -16 *5861:14 0.000804184 -17 *5861:5 0.00100467 -18 *5861:65 *5869:27 0.000132085 -19 *5861:75 *28398:D 7.50601e-05 -20 *24934:B *24934:A 0.000135028 -21 *24942:A *5861:34 1.30665e-05 -22 *25107:A1 *5861:34 3.27526e-05 -23 *25107:C1 *5861:52 0.00111495 -24 *25209:B1 *5861:53 2.23393e-05 -25 *25225:A *5861:53 5.20298e-05 -26 *25334:C *5861:34 1.38247e-05 -27 *25584:A *25298:B 0.000103832 -28 *28340:CLK *5861:69 3.24434e-05 -29 *28340:D *5861:69 0.000113775 -30 *28394:RESET_B *25298:B 0.000200592 -31 *28436:D *5861:65 0.000142966 -32 *29548:A *25298:B 1.83053e-05 -33 *1178:82 *5861:52 1.45385e-05 -34 *1237:17 *5861:69 0.00187049 -35 *1240:41 *24945:B 7.95487e-05 -36 *1240:41 *5861:75 0.000108882 -37 *1243:58 *5861:52 0.00240852 -38 *1243:58 *5861:53 0.00110742 -39 *1262:19 *5861:34 0.000238077 -40 *1268:11 *24942:B 4.58194e-05 -41 *1268:37 *5861:34 0.000156603 -42 *1271:162 *5861:14 0.000691787 -43 *1271:162 *5861:16 0.000204618 -44 *1271:162 *5861:34 0.000108511 -45 *1273:14 *5861:75 0.000158935 -46 *1282:12 *5861:53 9.96717e-06 -47 *1282:12 *5861:65 0.000492275 -48 *1282:12 *5861:69 8.85664e-07 -49 *1282:20 *5861:69 0.000478879 -50 *1285:11 *5861:14 4.58194e-05 -51 *1285:26 *5861:14 3.97677e-05 -52 *1289:44 *5861:69 0.000359347 -53 *1400:95 *5861:65 0.000135028 -54 *1417:10 *24945:B 0.00022287 -55 *1417:10 *5861:75 0.000109652 -56 *1421:93 *5861:69 0.000154359 -57 *1421:100 *5861:52 7.19891e-05 -58 *1449:84 *5861:52 0.000685099 -59 *1449:84 *5861:53 0.00263814 -60 *1457:15 *25298:B 5.04841e-06 -61 *1476:160 *5861:53 0.00156285 -62 *1538:37 *5861:52 9.34324e-05 -63 *1593:12 *5861:69 0.000276231 -64 *1652:18 *5861:34 0.000144038 -65 *1657:56 *5861:34 0.000162531 -66 *1700:24 *5861:14 0.000613553 -67 *1701:11 *5861:75 0.000554175 -68 *2778:79 *5861:65 7.83663e-05 -69 *2778:79 *5861:69 0.00251926 -70 *2778:83 *5861:65 3.59108e-05 -71 *2780:161 *24930:B 0.000245182 -72 *2780:161 *5861:5 0.000301438 -73 *2855:32 *5861:69 1.94945e-05 -74 *2880:180 *24942:B 0.000145239 -75 *2880:180 *5861:34 0.00195222 -76 *3739:122 *5861:52 0 -77 *3841:68 *5861:14 0.000181211 -78 *3841:68 *5861:52 0.000103635 -79 *3857:91 *24934:A 0.000135028 -80 *3867:80 *5861:52 0.000202123 -81 *3868:32 *5861:69 8.3e-05 -82 *3875:93 *5861:34 0 -83 *3921:77 *5861:65 6.81786e-05 -84 *3988:8 *25298:B 9.80173e-05 -85 *4043:70 *5861:14 0.000564292 -86 *4043:81 *5861:16 0.000182834 -87 *4043:81 *5861:34 0.000108511 -88 *4129:63 *5861:53 0.00261462 -89 *4129:90 *5861:14 0 -90 *5584:13 *5861:14 7.80096e-05 -91 *5584:20 *5861:52 0.000143985 -92 *5585:54 *5861:14 3.50559e-05 -93 *5585:54 *5861:16 7.05143e-06 -94 *5585:54 *5861:52 0.000180706 -95 *5585:56 *5861:53 0.000204227 -96 *5587:17 *5861:34 2.36643e-05 -97 *5612:22 *5861:14 0.000190363 -98 *5618:47 *25298:B 0.000465884 -99 *5691:149 *5861:69 4.65779e-05 -100 *5691:149 *5861:75 0 -101 *5711:186 *5861:69 0 -102 *5711:186 *5861:75 6.10018e-06 -103 *5795:96 *5861:52 0.000132869 -*RES -1 *30235:X *5861:5 12.3 -2 *5861:5 *5861:14 32.5179 -3 *5861:14 *5861:16 2.70536 -4 *5861:16 *5861:34 47.3839 -5 *5861:34 *24942:B 16.8 -6 *5861:16 *5861:52 20.2482 -7 *5861:52 *5861:53 56.7411 -8 *5861:53 *5861:55 4.5 -9 *5861:55 *5861:65 14.7679 -10 *5861:65 *5861:69 47.3661 -11 *5861:69 *5861:75 12.5804 -12 *5861:75 *24945:B 16.6571 -13 *5861:75 *25298:B 35.2286 -14 *5861:55 *24939:B 9.3 -15 *5861:14 *24934:A 15.1571 -16 *5861:5 *24930:B 12.3179 -*END - -*D_NET *5862 0.0319195 -*CONN -*I *27204:A I *D sky130_fd_sc_hd__nand2_8 -*I *30246:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27204:A 0.000298506 -2 *30246:X 0.00113156 -3 *5862:44 0.00174351 -4 *5862:35 0.00289576 -5 *5862:26 0.00234484 -6 *5862:23 0.00305549 -7 *5862:14 0.00329297 -8 *5862:23 *5879:112 0.000152811 -9 *5862:35 *5911:165 0.000137103 -10 *5862:44 *27195:B 0.000169804 -11 *5862:44 *5863:117 0.000191976 -12 *5862:44 *5911:43 0.000111346 -13 *5862:44 *5914:18 0 -14 *24975:A1 *5862:44 5.91367e-05 -15 *24978:A2 *5862:44 0.000219711 -16 *25053:A1 *5862:35 0.000347785 -17 *25054:B2 *5862:35 0.000349708 -18 *25055:A *5862:35 0.00048122 -19 *25071:B *5862:26 0.000108112 -20 *25071:B *5862:35 5.58875e-06 -21 *25071:C *5862:35 0.00036746 -22 *27195:A *5862:44 0.000356035 -23 *27204:B *27204:A 0.000146732 -24 *27422:B1 *5862:14 0.000219257 -25 *27422:C1 *5862:14 9.82344e-05 -26 *27430:B1 *5862:26 0.000145351 -27 *27430:B2 *5862:26 0.000951553 -28 *27435:A1 *5862:23 4.35597e-05 -29 *27435:B1 *5862:23 1.55885e-05 -30 *27737:A1 *5862:14 6.02036e-05 -31 *27737:A2 *5862:14 3.44143e-05 -32 *27737:B2 *5862:14 0.000110029 -33 *27738:C1 *5862:14 0.000136951 -34 *27771:A2 *5862:44 0.000890267 -35 *27782:A1 *5862:44 3.17148e-05 -36 *27821:A1 *5862:44 0.000201244 -37 *27821:B1 *5862:44 2.91887e-05 -38 *29092:A *5862:35 0.000142231 -39 *1271:221 *5862:23 0.00141994 -40 *1372:12 *5862:26 0.000154026 -41 *1372:12 *5862:35 0.000929066 -42 *1377:14 *5862:35 0.00146338 -43 *1392:10 *5862:23 0.000513662 -44 *1697:32 *5862:35 2.1645e-05 -45 *2774:10 *5862:35 0.000785792 -46 *2776:224 *5862:35 2.04825e-05 -47 *2792:78 *5862:44 0 -48 *2794:5 *5862:44 3.18676e-05 -49 *2794:37 *5862:44 5.51819e-05 -50 *2861:51 *5862:26 0.00179093 -51 *2866:311 *5862:26 0.0006114 -52 *2997:20 *5862:26 6.6289e-05 -53 *3367:18 *5862:44 3.93986e-05 -54 *3538:76 *27204:A 8.80158e-05 -55 *3552:44 *5862:14 9.91086e-05 -56 *3694:32 *5862:44 0.000537965 -57 *3902:24 *5862:35 9.88324e-05 -58 *3941:9 *5862:23 9.41642e-05 -59 *3980:20 *5862:26 0.000110948 -60 *4019:18 *5862:44 0.00016384 -61 *4033:16 *5862:23 0.000515384 -62 *4084:18 *5862:35 8.6281e-05 -63 *4085:16 *5862:35 8.51296e-05 -64 *4085:18 *5862:26 8.54768e-06 -65 *4085:18 *5862:35 8.73815e-05 -66 *4086:16 *5862:35 4.13896e-05 -67 *4086:28 *5862:26 0.000125496 -68 *4149:57 *5862:23 1.32056e-05 -69 *5643:166 *5862:14 2.42516e-05 -70 *5643:181 *5862:14 7.32099e-05 -71 *5643:192 *5862:23 0.000422119 -72 *5644:94 *5862:14 6.71264e-05 -73 *5650:329 *5862:14 8.51637e-06 -74 *5676:87 *5862:26 0.000106316 -75 *5682:126 *5862:35 8.23119e-05 -*RES -1 *30246:X *5862:14 35.1036 -2 *5862:14 *5862:23 46.0179 -3 *5862:23 *5862:26 29.3482 -4 *5862:26 *5862:35 45.9911 -5 *5862:35 *5862:44 48.6071 -6 *5862:44 *27204:A 19.2821 -*END - -*D_NET *5863 0.115373 -*CONN -*I *27212:S I *D sky130_fd_sc_hd__mux2_1 -*I *27210:S I *D sky130_fd_sc_hd__mux2_1 -*I *27211:S I *D sky130_fd_sc_hd__mux2_1 -*I *27207:S I *D sky130_fd_sc_hd__mux2_1 -*I *27206:S I *D sky130_fd_sc_hd__mux2_1 -*I *27209:S I *D sky130_fd_sc_hd__mux2_1 -*I *27205:S I *D sky130_fd_sc_hd__mux2_1 -*I *27208:S I *D sky130_fd_sc_hd__mux2_1 -*I *30258:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *27212:S 0.000292792 -2 *27210:S 0.000407205 -3 *27211:S 0.000211097 -4 *27207:S 0 -5 *27206:S 0 -6 *27209:S 0 -7 *27205:S 2.17614e-05 -8 *27208:S 0 -9 *30258:X 0.000385375 -10 *5863:195 0.001346 -11 *5863:191 0.00300101 -12 *5863:177 0.00560029 -13 *5863:163 0.0102716 -14 *5863:161 0.0081915 -15 *5863:143 0.00424692 -16 *5863:132 0.00369282 -17 *5863:117 0.0029607 -18 *5863:110 0.00174629 -19 *5863:98 0.00210911 -20 *5863:77 0.00338901 -21 *5863:66 0.00218315 -22 *5863:60 0.00214294 -23 *5863:32 0.00310258 -24 *5863:25 0.00345977 -25 *5863:11 0.0019605 -26 *27210:S *5875:13 9.58181e-05 -27 *5863:11 *28742:D 0.000172687 -28 *5863:11 *5925:26 4.72217e-05 -29 *5863:25 *27192:S 0.000294904 -30 *5863:25 *28742:D 0.000429124 -31 *5863:32 *27208:A1 0.00133922 -32 *5863:60 *5903:28 5.12787e-05 -33 *5863:60 *6274:8 0.000388481 -34 *5863:98 *5881:54 0.000176107 -35 *5863:117 *5892:6 0 -36 *5863:117 *5925:26 0.000461402 -37 *5863:132 *5875:13 0.000349458 -38 *5863:132 *5925:26 0.000148887 -39 *5863:143 *5873:26 0.000166675 -40 *5863:143 *6005:32 4.08789e-05 -41 *5863:161 *5892:40 0 -42 *5863:163 *5892:40 0 -43 *5863:163 *5892:57 0 -44 *24970:A2 *5863:163 2.21972e-05 -45 *24990:A1 *5863:163 2.30043e-05 -46 *25030:B2 *5863:163 0 -47 *25054:A1 *5863:132 1.94879e-05 -48 *25342:C1 *5863:98 2.07552e-05 -49 *26939:A1 *5863:98 0.000657408 -50 *26970:A1 *5863:161 0.000267731 -51 *26998:S *5863:163 0 -52 *27096:A0 *5863:161 0 -53 *27168:A *5863:117 0 -54 *27180:A0 *5863:77 3.07555e-05 -55 *27206:A1 *5863:77 0.000196845 -56 *27210:A1 *27210:S 5.07259e-05 -57 *27210:A1 *5863:132 9.87983e-06 -58 *27211:A0 *27211:S 1.07719e-05 -59 *27212:A0 *27212:S 0.000185124 -60 *27212:A1 *27212:S 5.33005e-05 -61 *27433:A1 *5863:143 0.000429543 -62 *27610:A2 *5863:98 5.31158e-05 -63 *27612:B *5863:195 6.05161e-06 -64 *27613:C *5863:191 2.09826e-05 -65 *27633:C *5863:195 3.63775e-05 -66 *27638:B *5863:191 0.000155959 -67 *27638:C *5863:191 8.33859e-06 -68 *27638:C *5863:195 1.40034e-05 -69 *27641:B *5863:195 1.39726e-05 -70 *27641:C *5863:195 0.000297032 -71 *27645:B *5863:195 0.000510366 -72 *27650:A *5863:191 4.19624e-06 -73 *27771:A1 *5863:132 1.71642e-05 -74 *27784:B1 *5863:143 0.000741068 -75 *27790:A1 *5863:143 0 -76 *27797:B2 *5863:177 4.73891e-05 -77 *27798:A2 *5863:161 9.59532e-06 -78 *27798:B2 *5863:161 4.12854e-05 -79 *27832:A1 *5863:117 0 -80 *27832:A2 *5863:117 6.27272e-06 -81 *27832:B2 *5863:132 0.000210366 -82 *27832:C1 *5863:117 0.000227162 -83 *27839:A2 *5863:163 2.28378e-05 -84 *27839:C1 *5863:163 5.93693e-05 -85 *28447:CLK *5863:60 0.000625424 -86 *28487:D *5863:161 0 -87 *28489:D *5863:163 8.91822e-05 -88 *28495:CLK *5863:143 7.83385e-05 -89 *28499:CLK *5863:77 3.47641e-06 -90 *28508:RESET_B *5863:60 0.000241495 -91 *28548:D *5863:60 2.24804e-05 -92 *28663:CLK *5863:161 0 -93 *28737:RESET_B *5863:77 0.000203716 -94 *28742:CLK *5863:25 2.97829e-05 -95 *28748:CLK *5863:32 6.30084e-05 -96 *28748:D *5863:25 0.000816628 -97 *28757:RESET_B *5863:163 0.000193413 -98 *28759:CLK *5863:77 5.03772e-05 -99 *28759:SET_B *5863:77 7.42199e-05 -100 *29064:A *27210:S 0.000100166 -101 *29064:A *5863:117 6.09762e-05 -102 *29064:A *5863:132 1.93327e-05 -103 *29069:A *5863:132 0.000197444 -104 *29123:A *5863:60 0.000136727 -105 *29124:A *5863:66 0.000139337 -106 *29168:A *27205:S 9.71197e-05 -107 *29168:A *5863:77 0.000127476 -108 *29420:A *5863:132 5.49489e-05 -109 *29420:A *5863:143 7.04364e-05 -110 *29639:A *5863:60 6.77513e-05 -111 *29928:A *5863:25 0.000122091 -112 *29971:A *5863:60 0.000403668 -113 *29978:A *5863:60 0.000243824 -114 *30076:A *5863:143 0 -115 *30127:A *5863:161 6.65398e-05 -116 *30146:A *5863:66 0.000433203 -117 *30258:A *5863:11 0.000186765 -118 *30441:A *5863:98 3.38578e-05 -119 *30488:A *27211:S 0.00026432 -120 *30577:A *5863:25 0.000517549 -121 *30808:A *5863:195 5.33005e-05 -122 *849:17 *5863:143 4.19624e-06 -123 *1260:202 *5863:163 0 -124 *1261:64 *5863:163 0 -125 *1288:241 *5863:163 0.000321589 -126 *1292:110 *5863:98 0.000371424 -127 *1292:234 *5863:163 0.000192827 -128 *1304:6 *5863:117 0.000739735 -129 *1304:6 *5863:132 0.00159248 -130 *1304:6 *5863:143 0 -131 *1304:16 *5863:161 0.000778885 -132 *1317:30 *5863:163 0 -133 *1347:19 *5863:177 5.00194e-05 -134 *1354:16 *5863:163 0.000148903 -135 *1361:54 *5863:98 0.000336868 -136 *1383:13 *5863:161 0.000320868 -137 *1659:34 *5863:98 0 -138 *1697:20 *5863:143 1.90936e-05 -139 *2759:31 *27210:S 0.000232445 -140 *2760:164 *5863:60 0.000146853 -141 *2763:207 *5863:77 7.6644e-05 -142 *2764:43 *27205:S 9.71197e-05 -143 *2764:43 *5863:77 0.000137983 -144 *2774:180 *5863:163 0.000227422 -145 *2792:26 *5863:77 8.85532e-05 -146 *2794:95 *5863:77 0.000349055 -147 *2844:140 *5863:195 7.50697e-05 -148 *2855:12 *5863:195 0.00126095 -149 *2855:279 *5863:195 0.000179392 -150 *2888:14 *5863:195 0.000386121 -151 *2888:167 *5863:191 0.000645043 -152 *2888:167 *5863:195 0.00344656 -153 *2895:13 *5863:195 9.41642e-05 -154 *3149:41 *5863:191 0.000129165 -155 *3160:97 *5863:191 0.000333705 -156 *3160:97 *5863:195 0.000119599 -157 *3165:73 *5863:161 0.000865208 -158 *3179:238 *5863:163 0 -159 *3193:5 *5863:195 9.41642e-05 -160 *3193:37 *5863:195 0.000342302 -161 *3333:17 *5863:143 0 -162 *3343:10 *5863:177 0.000258066 -163 *3348:17 *5863:177 0.000182497 -164 *3378:8 *5863:143 0 -165 *3386:10 *5863:163 1.84018e-05 -166 *3582:44 *5863:60 0.000432194 -167 *3601:32 *5863:77 4.63127e-05 -168 *3603:95 *5863:66 0.000146254 -169 *3603:95 *5863:77 1.00887e-05 -170 *3604:30 *5863:60 0.000128628 -171 *3681:11 *5863:161 2.01732e-05 -172 *3681:17 *5863:161 0.000159272 -173 *3718:27 *5863:117 6.2589e-06 -174 *3733:31 *5863:132 0.000417158 -175 *3796:173 *27210:S 9.41642e-05 -176 *3813:47 *5863:163 4.21517e-05 -177 *3851:54 *5863:191 1.94879e-05 -178 *3864:39 *5863:163 0.000101908 -179 *3874:91 *5863:60 4.6845e-05 -180 *3889:31 *5863:143 0 -181 *3890:47 *5863:163 0 -182 *3891:29 *5863:143 0.00151174 -183 *3891:65 *5863:161 1.09595e-05 -184 *3891:65 *5863:163 4.78056e-05 -185 *3900:99 *5863:25 0.000115185 -186 *3965:130 *5863:25 6.05176e-05 -187 *3982:46 *5863:177 0.000315034 -188 *3982:56 *5863:163 0.00180236 -189 *3982:56 *5863:177 0.000141393 -190 *3982:71 *5863:163 0.00111541 -191 *3995:62 *5863:132 0.000336682 -192 *4017:20 *27212:S 0.000158667 -193 *4017:20 *5863:117 0.000249985 -194 *4032:8 *5863:25 5.33005e-05 -195 *4045:18 *5863:117 0 -196 *4045:50 *27210:S 2.01732e-05 -197 *4054:8 *5863:77 0.000753313 -198 *4058:23 *5863:132 0.000173398 -199 *4086:68 *5863:191 2.06112e-05 -200 *4110:16 *5863:161 0 -201 *4125:24 *5863:161 0 -202 *4136:8 *5863:25 0.000115185 -203 *4150:31 *5863:163 0.000148189 -204 *4219:11 *5863:161 0.000269372 -205 *4511:10 *5863:143 9.91224e-05 -206 *5586:74 *5863:132 0 -207 *5589:123 *5863:161 0 -208 *5593:33 *5863:98 2.11875e-05 -209 *5603:17 *5863:163 6.99087e-05 -210 *5604:91 *5863:163 0.000907484 -211 *5630:217 *5863:161 2.99247e-05 -212 *5630:217 *5863:163 1.76304e-05 -213 *5638:16 *5863:195 0.000136958 -214 *5639:90 *5863:163 0 -215 *5639:147 *5863:163 0.00257521 -216 *5639:158 *5863:161 0.000198437 -217 *5639:165 *5863:143 0.000410013 -218 *5640:235 *5863:98 0.0001764 -219 *5641:180 *5863:98 0.000178709 -220 *5642:57 *5863:163 0.000284255 -221 *5642:80 *5863:163 0 -222 *5642:82 *5863:163 0 -223 *5642:95 *5863:163 5.35998e-05 -224 *5642:126 *5863:161 0.000830291 -225 *5643:39 *5863:195 0.000148196 -226 *5643:316 *5863:163 1.13346e-05 -227 *5652:109 *5863:163 0.0010269 -228 *5652:128 *5863:163 0.000185902 -229 *5656:240 *5863:163 8.74594e-05 -230 *5656:261 *5863:163 0 -231 *5660:52 *5863:163 0.00046282 -232 *5660:64 *5863:163 0.000121573 -233 *5660:75 *5863:163 8.32242e-05 -234 *5660:102 *5863:163 0.000752482 -235 *5662:52 *5863:163 0.00302758 -236 *5675:58 *5863:163 0.000158318 -237 *5675:338 *5863:163 1.64247e-05 -238 *5676:154 *5863:163 0.000153047 -239 *5683:299 *5863:161 0 -240 *5695:67 *5863:32 6.94441e-06 -241 *5702:147 *5863:161 2.06112e-05 -242 *5703:13 *5863:11 5.49544e-05 -243 *5708:17 *5863:77 0.000117662 -244 *5708:17 *5863:98 0.000181525 -245 *5708:34 *5863:98 0.00155988 -246 *5709:272 *5863:60 0.000188545 -247 *5709:290 *5863:60 0.000112071 -248 *5709:312 *5863:32 6.9252e-05 -249 *5709:327 *27212:S 1.51489e-05 -250 *5716:133 *5863:117 7.59867e-05 -251 *5781:10 *5863:32 0.000115602 -252 *5795:36 *5863:66 4.03996e-05 -253 *5795:36 *5863:77 1.06172e-05 -254 *5795:50 *5863:77 2.77916e-05 -255 *5795:70 *5863:98 0.00072019 -256 *5795:221 *5863:132 0.000161258 -257 *5810:86 *5863:98 1.17968e-05 -258 *5829:149 *5863:132 0.000308595 -259 *5829:221 *5863:25 7.22574e-05 -260 *5847:74 *5863:163 7.82403e-05 -261 *5862:44 *5863:117 0.000191976 -*RES -1 *30258:X *5863:11 24.8179 -2 *5863:11 *5863:25 38.9821 -3 *5863:25 *5863:32 34.0536 -4 *5863:32 *27208:S 9.3 -5 *5863:32 *5863:60 46.5179 -6 *5863:60 *5863:66 24.2143 -7 *5863:66 *5863:77 38.8393 -8 *5863:77 *27205:S 10.2464 -9 *5863:77 *5863:98 49.6796 -10 *5863:98 *27209:S 13.8 -11 *5863:66 *27206:S 13.8 -12 *5863:11 *5863:110 4.5 -13 *5863:110 *5863:117 38.2054 -14 *5863:117 *5863:132 48.4196 -15 *5863:132 *5863:143 47.1875 -16 *5863:143 *5863:161 48.7589 -17 *5863:161 *5863:163 164.205 -18 *5863:163 *5863:177 26.7207 -19 *5863:177 *5863:191 36.6094 -20 *5863:191 *5863:195 46.4107 -21 *5863:195 *27207:S 13.8 -22 *5863:177 *27211:S 13.1393 -23 *5863:117 *27210:S 22.5106 -24 *5863:110 *27212:S 20.4607 -*END - -*D_NET *5864 0.00225827 -*CONN -*I *28766:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30269:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28766:D 0.000821258 -2 *30269:X 0.000821258 -3 *28766:CLK *28766:D 0.000432026 -4 *30269:A *28766:D 0.000183726 -*RES -1 *30269:X *28766:D 31.2071 -*END - -*D_NET *5865 0.0250425 -*CONN -*I *24881:S I *D sky130_fd_sc_hd__mux2_1 -*I *24883:S I *D sky130_fd_sc_hd__mux2_1 -*I *24873:A2 I *D sky130_fd_sc_hd__a21bo_1 -*I *24867:A2 I *D sky130_fd_sc_hd__a21oi_1 -*I *24868:B I *D sky130_fd_sc_hd__and2_1 -*I *24877:A2 I *D sky130_fd_sc_hd__a21bo_1 -*I *24814:A I *D sky130_fd_sc_hd__clkinv_2 -*I *30280:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *24881:S 0 -2 *24883:S 0.000236879 -3 *24873:A2 0 -4 *24867:A2 0.00142034 -5 *24868:B 0.000723555 -6 *24877:A2 0.000428903 -7 *24814:A 0.000705748 -8 *30280:X 4.53842e-05 -9 *5865:85 0.0011316 -10 *5865:56 0.00145436 -11 *5865:53 0.00149296 -12 *5865:40 0.00132208 -13 *5865:29 0.000820789 -14 *5865:7 0.00110871 -15 *24867:A2 *5901:5 9.41642e-05 -16 *24867:A2 *5901:17 0.000525388 -17 *24877:A2 *30790:A 0.000392013 -18 *24877:A2 *5887:14 0.000281407 -19 *5865:56 *5901:17 0.000129515 -20 *6538:DIODE *24814:A 0.000176541 -21 *24873:A1 *24867:A2 5.71472e-05 -22 *24873:A1 *5865:85 0 -23 *24873:B1_N *24867:A2 9.90367e-05 -24 *24875:A2 *5865:85 0.000691632 -25 *24881:A0 *24883:S 3.51442e-05 -26 *24881:A1 *24883:S 7.74135e-05 -27 *25285:S *24867:A2 9.60939e-05 -28 *25435:A0 *24877:A2 0.000383166 -29 *25439:A0 *5865:53 0 -30 *25440:B *24883:S 0 -31 *25451:A1 *24883:S 9.41642e-05 -32 *25657:A1 *24868:B 5.20359e-06 -33 *25657:S *24868:B 0.000128419 -34 *28133:D *24814:A 0.000339256 -35 *28148:CLK *5865:29 0 -36 *28414:RESET_B *24867:A2 0.000254757 -37 *29118:A *24867:A2 0.000139913 -38 *29173:A *5865:53 0 -39 *29173:A *5865:85 0 -40 *29758:A *5865:85 0.000688346 -41 *30276:A *5865:53 0.000298138 -42 *30502:A *24877:A2 5.33005e-05 -43 *434:13 *24814:A 2.61714e-05 -44 *501:10 *24868:B 0.00026353 -45 *501:10 *24877:A2 0.000970016 -46 *1173:11 *24814:A 2.51343e-06 -47 *1173:82 *24814:A 0.000516428 -48 *1173:82 *5865:29 0.000618022 -49 *1191:52 *24867:A2 8.27532e-05 -50 *1193:9 *24867:A2 6.34436e-05 -51 *1199:8 *24867:A2 7.47252e-05 -52 *1199:8 *5865:56 4.01768e-05 -53 *1207:11 *24883:S 5.52238e-05 -54 *1207:11 *5865:85 8.17274e-05 -55 *1757:23 *24883:S 3.7557e-05 -56 *1757:23 *5865:85 4.58194e-05 -57 *1766:33 *24814:A 5.49544e-05 -58 *1795:19 *5865:7 2.59355e-05 -59 *1810:19 *24814:A 2.73871e-05 -60 *1862:36 *24814:A 0.000157404 -61 *1862:36 *5865:29 0.000813739 -62 *1862:36 *5865:40 5.05056e-05 -63 *1862:36 *5865:53 0.00103082 -64 *1862:36 *5865:85 0.000919539 -65 *3561:24 *24867:A2 0.000121573 -66 *3570:97 *24868:B 0.000138922 -67 *3616:67 *5865:53 1.78394e-05 -68 *3657:10 *24868:B 0.000137336 -69 *3871:17 *24868:B 0.000113005 -70 *4155:16 *24883:S 0.000238376 -71 *4155:20 *5865:85 5.41794e-05 -72 *4158:10 *5865:85 0.000244145 -73 *4158:46 *5865:85 0.000300411 -74 *4159:56 *5865:53 7.6644e-05 -75 *4159:64 *24867:A2 9.60939e-05 -76 *4159:71 *24867:A2 0.000180951 -77 *4161:10 *5865:40 1.03926e-05 -78 *4161:10 *5865:53 6.09273e-05 -79 *4161:17 *24877:A2 4.13595e-05 -80 *4161:28 *24877:A2 0.00025453 -81 *4175:10 *24867:A2 0.000123295 -82 *4548:15 *24814:A 6.59974e-05 -83 *5835:11 *24867:A2 0.000390322 -84 *5843:8 *24883:S 0.000384032 -85 *5843:8 *5865:85 0.00010234 -*RES -1 *30280:X *5865:7 14.3357 -2 *5865:7 *24814:A 29.1036 -3 *5865:7 *5865:29 11.2857 -4 *5865:29 *24877:A2 27.9071 -5 *5865:29 *5865:40 0.732143 -6 *5865:40 *24868:B 32.675 -7 *5865:40 *5865:53 13.7857 -8 *5865:53 *5865:56 5.85714 -9 *5865:56 *24867:A2 44.05 -10 *5865:56 *24873:A2 9.3 -11 *5865:53 *5865:85 32.9464 -12 *5865:85 *24883:S 33.8893 -13 *5865:85 *24881:S 9.3 -*END - -*D_NET *5866 0.00612577 -*CONN -*I *24882:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *30291:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *24882:A0 0 -2 *30291:X 0.0010021 -3 *5866:17 0.0010021 -4 *27560:B1 *5866:17 0.000349827 -5 *27565:A2 *5866:17 5.03772e-05 -6 *27936:A1 *5866:17 0.000219366 -7 *27937:A2 *5866:17 0.000247856 -8 *28312:D *5866:17 6.58734e-05 -9 *30241:A *5866:17 0.000387966 -10 *30302:A *5866:17 0.000175892 -11 *1263:175 *5866:17 0.00186141 -12 *1488:24 *5866:17 4.48128e-05 -13 *3119:13 *5866:17 8.69117e-05 -14 *3216:148 *5866:17 0.000211023 -15 *3216:163 *5866:17 2.2628e-05 -16 *3480:11 *5866:17 0.000299797 -17 *5661:133 *5866:17 4.45178e-05 -18 *5844:17 *5866:17 5.33005e-05 -*RES -1 *30291:X *5866:17 47.6929 -2 *5866:17 *24882:A0 9.3 -*END - -*D_NET *5867 0.00625762 -*CONN -*I *24906:A I *D sky130_fd_sc_hd__nand2_1 -*I *24897:B I *D sky130_fd_sc_hd__and2b_4 -*I *24885:A_N I *D sky130_fd_sc_hd__and2b_4 -*I *24900:A I *D sky130_fd_sc_hd__nor2_8 -*I *30302:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *24906:A 0.000121414 -2 *24897:B 2.37855e-05 -3 *24885:A_N 0 -4 *24900:A 9.08916e-05 -5 *30302:X 0.000833466 -6 *5867:30 0.000291532 -7 *5867:20 0.000761418 -8 *5867:5 0.00153944 -9 *24885:B *5867:20 1.21258e-05 -10 *24890:B *5867:20 5.31635e-05 -11 *27946:C1 *24900:A 0.000145753 -12 *1210:19 *5867:5 0.000263918 -13 *1327:19 *24906:A 0.000231346 -14 *1399:93 *5867:20 5.72597e-05 -15 *1399:93 *5867:30 0.000162366 -16 *1488:24 *5867:5 1.48207e-05 -17 *1647:46 *5867:20 5.72597e-05 -18 *1647:46 *5867:30 0.00015364 -19 *3496:13 *24906:A 0.000231346 -20 *3542:21 *5867:5 0.000139202 -21 *3542:21 *5867:20 0.000546198 -22 *4026:26 *24900:A 0.000144038 -23 *4104:38 *24906:A 4.20734e-05 -24 *4104:38 *5867:30 0.000176336 -25 *5653:145 *24906:A 2.57194e-05 -26 *5653:145 *5867:30 8.58032e-05 -27 *5857:9 *24900:A 5.33005e-05 -*RES -1 *30302:X *5867:5 18.8714 -2 *5867:5 *24900:A 20.7821 -3 *5867:5 *5867:20 12.75 -4 *5867:20 *24885:A_N 13.8 -5 *5867:20 *5867:30 8.52232 -6 *5867:30 *24897:B 9.72857 -7 *5867:30 *24906:A 21.992 -*END - -*D_NET *5868 0.0129468 -*CONN -*I *24954:A I *D sky130_fd_sc_hd__nand2_8 -*I *24901:B I *D sky130_fd_sc_hd__nand2_8 -*I *25002:A I *D sky130_fd_sc_hd__nand2_8 -*I *25040:B I *D sky130_fd_sc_hd__nand2_8 -*I *30313:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *24954:A 0.00113839 -2 *24901:B 0.000549658 -3 *25002:A 0 -4 *25040:B 0.00117463 -5 *30313:X 0 -6 *5868:27 0.000807544 -7 *5868:5 0.00144778 -8 *5868:4 0.00115365 -9 *24913:A *24954:A 0.000461937 -10 *24923:A *24901:B 0.000421074 -11 *24923:A *5868:27 3.88131e-05 -12 *24929:A *24901:B 0.000469523 -13 *24954:B *24954:A 0.000558829 -14 *25002:B *5868:27 0.000149958 -15 *25038:B *24954:A 8.40933e-05 -16 *25038:B *25040:B 0.000345902 -17 *25038:B *5868:5 1.98839e-05 -18 *25040:A *25040:B 0.000303542 -19 *25104:B1 *24901:B 9.83442e-05 -20 *25107:A1 *24901:B 0 -21 *1185:102 *24954:A 6.05161e-06 -22 *1257:13 *5868:27 4.13589e-05 -23 *1257:142 *5868:27 0.00016001 -24 *1263:163 *25040:B 5.83386e-05 -25 *1360:7 *25040:B 2.79421e-05 -26 *1399:81 *25040:B 0.000221822 -27 *1429:18 *24901:B 4.31525e-05 -28 *1448:127 *5868:27 1.13346e-05 -29 *1631:16 *24901:B 0.000229547 -30 *1631:16 *24954:A 0.000148911 -31 *1652:18 *24901:B 1.15916e-05 -32 *3888:12 *24954:A 0.00014734 -33 *4022:75 *24901:B 0.000229547 -34 *4064:62 *24954:A 6.90644e-05 -35 *5251:15 *24954:A 0.00018401 -36 *5600:50 *5868:27 0.000326903 -37 *5651:150 *24901:B 0.000471172 -38 *5700:29 *24901:B 0.000202631 -39 *5700:29 *5868:27 0.000135028 -40 *5815:35 *24954:A 0.000424029 -41 *5815:35 *25040:B 1.32056e-05 -42 *5815:35 *5868:5 5.49489e-05 -43 *5846:23 *25040:B 0.000505335 -*RES -1 *30313:X *5868:4 9.3 -2 *5868:4 *5868:5 0.535714 -3 *5868:5 *25040:B 29.7107 -4 *5868:5 *5868:27 15.5893 -5 *5868:27 *25002:A 9.3 -6 *5868:27 *24901:B 42.2286 -7 *5868:4 *24954:A 39.4071 -*END - -*D_NET *5869 0.040098 -*CONN -*I *24966:B I *D sky130_fd_sc_hd__nor2_8 -*I *24958:B I *D sky130_fd_sc_hd__nor2_4 -*I *24962:B I *D sky130_fd_sc_hd__nor2_8 -*I *24965:B I *D sky130_fd_sc_hd__nor2_2 -*I *25335:B I *D sky130_fd_sc_hd__nor2_2 -*I *24955:B I *D sky130_fd_sc_hd__nor2_8 -*I *30324:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *24966:B 0.000173173 -2 *24958:B 0.000278035 -3 *24962:B 3.95732e-05 -4 *24965:B 0 -5 *25335:B 0.000105815 -6 *24955:B 0.000284591 -7 *30324:X 0 -8 *5869:95 0.00070597 -9 *5869:87 0.000294336 -10 *5869:85 0.00167897 -11 *5869:74 0.00341345 -12 *5869:32 0.0020676 -13 *5869:30 0.00225292 -14 *5869:27 0.00147853 -15 *5869:8 0.00116905 -16 *5869:5 0.00200072 -17 *24962:B *5892:122 0 -18 *5869:85 *6258:12 0.000111841 -19 *5869:85 *6280:18 0.000587682 -20 *5869:95 *5892:122 0 -21 *24958:A *24958:B 3.20741e-05 -22 *25107:C1 *5869:85 2.98984e-05 -23 *25183:B1 *5869:74 2.97164e-05 -24 *25209:C1 *5869:74 6.62859e-05 -25 *25221:B1 *5869:85 7.05585e-05 -26 *25284:C *5869:74 0.000290384 -27 *25289:B1 *5869:32 0.000288854 -28 *25335:A *25335:B 5.7661e-06 -29 *25353:B *5869:27 0.000365573 -30 *25387:B1 *5869:32 0.000113241 -31 *25404:A1 *24955:B 6.71755e-05 -32 *25411:B2 *5869:27 8.55871e-05 -33 *29380:A *5869:32 0.000298084 -34 *30772:A *24958:B 0.000145239 -35 *1218:38 *5869:30 0.00121532 -36 *1230:51 *5869:85 2.05803e-05 -37 *1243:58 *5869:85 0.000334476 -38 *1243:67 *5869:30 0.00125159 -39 *1243:67 *5869:32 0.000472076 -40 *1243:69 *24955:B 0.000271342 -41 *1243:69 *5869:32 0.00049699 -42 *1252:12 *5869:32 0.000201413 -43 *1253:34 *5869:85 0.000278369 -44 *1271:131 *5869:74 1.0945e-05 -45 *1271:161 *5869:74 2.26424e-05 -46 *1279:11 *5869:85 0.000123589 -47 *1279:102 *5869:85 3.95014e-05 -48 *1282:12 *5869:27 0.000181723 -49 *1282:136 *5869:74 6.5254e-05 -50 *1288:20 *5869:95 8.50971e-06 -51 *1289:34 *5869:8 0.000550522 -52 *1289:34 *5869:74 0.00144097 -53 *1327:141 *24955:B 0.000267377 -54 *1360:44 *5869:27 0.000135502 -55 *1421:100 *5869:74 8.52859e-05 -56 *1421:100 *5869:85 0.0001802 -57 *1460:13 *5869:85 0.000168062 -58 *1476:160 *5869:27 0.000221879 -59 *1476:183 *5869:85 6.25005e-05 -60 *1538:37 *5869:74 8.67228e-05 -61 *1576:27 *5869:74 0.000184063 -62 *1576:27 *5869:85 0.00267679 -63 *1594:35 *5869:8 4.48462e-05 -64 *1704:26 *5869:32 2.21972e-05 -65 *2778:66 *5869:32 1.56763e-05 -66 *2778:83 *5869:27 0.000347488 -67 *2778:83 *5869:74 6.51926e-05 -68 *2778:120 *5869:74 0.000451278 -69 *2778:120 *5869:85 0.000621191 -70 *2867:39 *5869:27 4.00349e-05 -71 *2875:63 *5869:27 0.000190229 -72 *2893:64 *5869:74 0.000174716 -73 *2893:72 *5869:8 0.000167586 -74 *2893:72 *5869:74 0.00164319 -75 *2894:44 *5869:27 0.000169986 -76 *3153:32 *5869:27 0.000214166 -77 *3174:56 *5869:27 1.90936e-05 -78 *3214:36 *5869:32 1.90936e-05 -79 *3425:20 *5869:32 0.000104976 -80 *3546:22 *5869:27 0.000177545 -81 *3758:43 *24962:B 6.52967e-05 -82 *3758:43 *24966:B 0.000399533 -83 *3758:43 *5869:95 0.000453389 -84 *3816:43 *5869:30 0 -85 *3816:43 *5869:32 7.27647e-05 -86 *3844:20 *5869:32 0.000452863 -87 *3854:37 *5869:32 2.30169e-05 -88 *4053:12 *5869:32 0.000322752 -89 *4053:24 *5869:32 7.59459e-05 -90 *4061:10 *5869:32 9.5919e-05 -91 *4076:87 *24966:B 0.000189624 -92 *4076:87 *5869:95 0.000131383 -93 *4129:63 *5869:27 0.000217234 -94 *4129:77 *5869:85 0.00011887 -95 *4352:35 *24955:B 0.000137983 -96 *5483:135 *5869:32 0 -97 *5604:20 *5869:74 0.000605622 -98 *5609:89 *5869:32 4.32957e-05 -99 *5616:44 *5869:85 2.01997e-05 -100 *5618:47 *25335:B 2.89114e-05 -101 *5618:57 *25335:B 2.14658e-05 -102 *5618:61 *24955:B 0.000272313 -103 *5618:61 *5869:32 2.94103e-05 -104 *5618:85 *5869:32 6.30388e-05 -105 *5621:34 *5869:74 0.000114637 -106 *5621:42 *5869:8 0.000109568 -107 *5621:69 *5869:8 9.54798e-06 -108 *5626:49 *5869:85 4.00349e-05 -109 *5634:52 *5869:27 0.000190229 -110 *5640:53 *24962:B 5.7836e-06 -111 *5640:53 *5869:95 1.5318e-05 -112 *5641:55 *5869:95 2.04825e-05 -113 *5691:139 *5869:32 0.00032963 -114 *5691:149 *5869:32 0.00121647 -115 *5861:65 *5869:27 0.000132085 -*RES -1 *30324:X *5869:5 13.8 -2 *5869:5 *5869:8 11.9107 -3 *5869:8 *5869:27 41.3874 -4 *5869:27 *5869:30 17.3571 -5 *5869:30 *5869:32 44.75 -6 *5869:32 *24955:B 23.1929 -7 *5869:32 *25335:B 15.5857 -8 *5869:8 *24965:B 9.3 -9 *5869:5 *5869:74 43.7231 -10 *5869:74 *5869:85 47.2157 -11 *5869:85 *5869:87 3.41 -12 *5869:87 *24962:B 14.6839 -13 *5869:87 *5869:95 6.04464 -14 *5869:95 *24958:B 18.05 -15 *5869:95 *24966:B 19.0857 -*END - -*D_NET *5870 0.0330386 -*CONN -*I *25380:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30718:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 -*CAP -1 *25380:B2 0.000827788 -2 *30718:X 8.79036e-05 -3 *5870:21 0.00478462 -4 *5870:19 0.00567626 -5 *5870:8 0.00383352 -6 *5870:7 0.00220199 -7 *5870:8 *5913:15 0.000177456 -8 *5870:8 *6011:17 0.000509062 -9 *5870:8 *6221:16 0.000583906 -10 *5870:21 *27063:B 0.000117553 -11 *5870:21 *5910:17 0.00176417 -12 *5870:21 *5910:42 0.000135028 -13 *24976:A1 *5870:8 0.000231243 -14 *24977:B1 *5870:19 8.17274e-05 -15 *24977:B2 *5870:19 6.86792e-05 -16 *24978:A2 *5870:19 0 -17 *25380:A2 *25380:B2 0.000306359 -18 *25380:B1 *25380:B2 2.58821e-05 -19 *26979:A1 *5870:8 7.13326e-05 -20 *27063:A *5870:21 1.21258e-05 -21 *27124:A1 *5870:8 7.48356e-05 -22 *27170:A1 *25380:B2 4.97097e-05 -23 *27170:A1 *5870:21 6.06291e-06 -24 *27181:S *5870:8 0.000538134 -25 *28737:CLK *5870:21 9.68931e-05 -26 *28737:D *5870:21 1.51553e-05 -27 *28910:A *5870:8 0 -28 *29167:A *25380:B2 2.59355e-05 -29 *29410:A *5870:8 0 -30 *29982:A *5870:8 0.000487846 -31 *29983:A *5870:8 0 -32 *30435:A *5870:8 1.01075e-05 -33 *30578:A *5870:8 0.000515041 -34 *30718:A *5870:7 0.000316184 -35 *30858:A *5870:8 1.763e-05 -36 *30859:A *5870:7 0.000241294 -37 *30894:A *5870:8 4.04691e-06 -38 *68:11 *5870:8 0 -39 *1225:203 *5870:19 0.00100651 -40 *1286:117 *5870:19 0.00193605 -41 *2764:21 *5870:19 8.43535e-06 -42 *2764:30 *5870:19 0.00189519 -43 *2764:30 *5870:21 0.00119093 -44 *2779:10 *5870:21 1.57414e-05 -45 *2791:20 *5870:19 9.49984e-05 -46 *2791:246 *25380:B2 0.00127097 -47 *2791:275 *5870:19 0.000225462 -48 *2792:30 *25380:B2 0.000159872 -49 *2794:95 *5870:21 0.000145337 -50 *3694:28 *5870:8 0.000156631 -51 *3694:32 *5870:8 0.000192817 -52 *3916:18 *5870:19 0.000309148 -53 *3965:130 *5870:19 5.44547e-05 -54 *4047:8 *5870:8 0.000220065 -55 *4924:17 *5870:8 3.09981e-05 -56 *5408:42 *5870:8 0 -57 *5458:67 *5870:7 6.87574e-05 -58 *5462:70 *5870:8 9.20464e-06 -59 *5584:198 *5870:19 3.46507e-05 -60 *5680:66 *5870:19 0 -61 *5701:58 *5870:8 3.48013e-05 -62 *5820:46 *5870:21 8.20421e-05 -63 *5859:14 *5870:8 0 -*RES -1 *30718:X *5870:7 18.0321 -2 *5870:7 *5870:8 48.8482 -3 *5870:8 *5870:19 38.8632 -4 *5870:19 *5870:21 51.2589 -5 *5870:21 *25380:B2 27.7911 -*END - -*D_NET *5871 0.000631592 -*CONN -*I *30773:A I *D sky130_fd_sc_hd__buf_12 -*I *30335:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *30773:A 0.000114813 -2 *30335:X 0.000114813 -3 *1455:11 *30773:A 9.41642e-05 -4 *5483:135 *30773:A 0.00015304 -5 *5618:61 *30773:A 0.000154762 -*RES -1 *30335:X *30773:A 30.4929 -*END - -*D_NET *5872 0.0461118 -*CONN -*I *27099:A I *D sky130_fd_sc_hd__nand2_8 -*I *30346:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27099:A 0.00106279 -2 *30346:X 0.00202344 -3 *5872:42 0.00238565 -4 *5872:26 0.00284172 -5 *5872:25 0.00296161 -6 *5872:19 0.0038436 -7 *5872:10 0.00442427 -8 *27099:A *5892:25 0.000436966 -9 *27099:A *5929:17 5.31113e-05 -10 *5872:25 *5873:74 0.000561979 -11 *5872:25 *5910:114 0.000156125 -12 *5872:26 *5873:59 0.000719692 -13 *5872:26 *5892:40 0.000418994 -14 *5872:26 *5910:107 0.000728614 -15 *5872:26 *5910:114 0.00118316 -16 *5872:42 *25720:A0 0.000712087 -17 *5872:42 *5873:59 4.30412e-05 -18 *5872:42 *5892:40 9.24387e-05 -19 *24970:B1 *5872:25 0.000316716 -20 *24996:A *5872:19 0.000668789 -21 *25060:B1 *27099:A 7.69776e-06 -22 *26898:A1 *5872:42 0.000123295 -23 *27470:A1 *5872:26 0.000414003 -24 *27786:A1 *5872:42 0.00010415 -25 *27786:A2 *5872:42 2.11362e-05 -26 *27836:A2 *5872:26 0.000100831 -27 *27837:C1 *5872:26 0.00233814 -28 *28495:RESET_B *27099:A 1.856e-05 -29 *28663:RESET_B *5872:42 0.000139637 -30 *28671:D *5872:42 0.000326337 -31 *29781:A *5872:26 0.000123295 -32 *30045:A *5872:26 0.000146474 -33 *791:11 *27099:A 5.33005e-05 -34 *849:17 *5872:42 1.90605e-05 -35 *1261:64 *5872:25 0.00123923 -36 *1261:101 *5872:19 0 -37 *1269:154 *27099:A 2.59355e-05 -38 *1270:88 *5872:25 0 -39 *1272:160 *5872:25 0.000106316 -40 *1288:241 *5872:19 0.000183761 -41 *1315:12 *5872:25 0.000106316 -42 *2758:125 *5872:10 0.00118827 -43 *2761:19 *27099:A 5.29189e-05 -44 *2771:22 *27099:A 0.000178953 -45 *2773:8 *5872:25 0.000121503 -46 *2871:224 *5872:10 9.96264e-05 -47 *2886:191 *5872:19 0.000254042 -48 *3177:175 *5872:26 0.000279906 -49 *3179:244 *27099:A 0.00035296 -50 *3179:244 *5872:42 0.00249906 -51 *3721:44 *5872:19 0.000105471 -52 *3889:31 *5872:42 0.000121805 -53 *3889:62 *5872:25 0.00017963 -54 *3995:44 *5872:25 0.0006039 -55 *3995:44 *5872:26 0.000115584 -56 *4108:13 *5872:42 5.49544e-05 -57 *4108:36 *5872:42 0 -58 *5386:12 *5872:25 0 -59 *5601:119 *5872:19 1.19751e-05 -60 *5604:72 *5872:10 9.80405e-05 -61 *5628:67 *5872:25 0.000546052 -62 *5639:104 *5872:25 0.00103406 -63 *5639:147 *5872:25 0.00133858 -64 *5642:82 *5872:19 0.000256189 -65 *5656:261 *5872:25 0.000118353 -66 *5656:261 *5872:26 0.000967906 -67 *5656:275 *5872:26 0.00116567 -68 *5675:34 *5872:19 0.000215921 -69 *5847:12 *27099:A 0.00109293 -70 *5847:43 *27099:A 0.000455033 -71 *5847:43 *5872:42 0.000439122 -72 *5847:44 *5872:42 0.000293576 -73 *5847:59 *5872:25 0.000637551 -*RES -1 *30346:X *5872:10 42.3536 -2 *5872:10 *5872:19 45.6658 -3 *5872:19 *5872:25 13.7713 -4 *5872:25 *5872:26 58.4107 -5 *5872:26 *5872:42 48.3304 -6 *5872:42 *27099:A 39.7107 -*END - -*D_NET *5873 0.186254 -*CONN -*I *27103:S I *D sky130_fd_sc_hd__mux2_1 -*I *27104:S I *D sky130_fd_sc_hd__mux2_1 -*I *27107:S I *D sky130_fd_sc_hd__mux2_1 -*I *27105:S I *D sky130_fd_sc_hd__mux2_1 -*I *27101:S I *D sky130_fd_sc_hd__mux2_1 -*I *27106:S I *D sky130_fd_sc_hd__mux2_1 -*I *27102:S I *D sky130_fd_sc_hd__mux2_1 -*I *6561:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6520:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27100:S I *D sky130_fd_sc_hd__mux2_1 -*I *30357:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *27103:S 0 -2 *27104:S 0.00146514 -3 *27107:S 0 -4 *27105:S 0 -5 *27101:S 0.00118969 -6 *27106:S 0.000321919 -7 *27102:S 0 -8 *6561:DIODE 0 -9 *6520:DIODE 0 -10 *27100:S 0.000134997 -11 *30357:X 0.000387516 -12 *5873:321 0.00443908 -13 *5873:304 0.00388067 -14 *5873:289 0.00341784 -15 *5873:281 0.00547696 -16 *5873:257 0.00325576 -17 *5873:232 0.00447355 -18 *5873:220 0.00718524 -19 *5873:175 0.00309735 -20 *5873:164 0.00419116 -21 *5873:153 0.00182464 -22 *5873:142 0.00228058 -23 *5873:125 0.00190932 -24 *5873:123 0.000249867 -25 *5873:120 0.00293488 -26 *5873:114 0.00607428 -27 *5873:106 0.00363106 -28 *5873:94 0.000804017 -29 *5873:91 0.00185463 -30 *5873:76 0.00357181 -31 *5873:74 0.00279875 -32 *5873:70 0.00194322 -33 *5873:60 0.00602463 -34 *5873:59 0.00198828 -35 *5873:37 0.000890023 -36 *5873:31 0.00170434 -37 *5873:26 0.00250076 -38 *5873:5 0.00162147 -39 *5873:26 *5892:25 1.08691e-05 -40 *5873:31 *5892:25 0.00100828 -41 *5873:31 *5954:15 9.41642e-05 -42 *5873:37 *28517:D 9.60939e-05 -43 *5873:37 *5892:39 0.000305306 -44 *5873:59 *5892:39 1.98839e-05 -45 *5873:70 *5910:107 0.00067633 -46 *5873:123 *27102:A1 5.33005e-05 -47 *5873:125 *27102:A1 9.58126e-05 -48 *5873:153 *27161:A0 0.000121596 -49 *5873:257 *5911:71 0.000593418 -50 *6611:DIODE *5873:164 0.000177815 -51 *25066:C1 *5873:220 0.000270965 -52 *25083:A1 *5873:321 8.98138e-05 -53 *25083:B2 *5873:321 0.000403464 -54 *25085:C1 *5873:321 0.000304594 -55 *25148:C *27104:S 0.000143135 -56 *25190:A1 *5873:289 4.28628e-05 -57 *25249:B2 *27101:S 0.000257619 -58 *25249:C1 *27101:S 1.00073e-05 -59 *25249:C1 *5873:232 1.14338e-05 -60 *25263:A1 *5873:120 2.79421e-05 -61 *25302:A2 *5873:175 0.00155753 -62 *25381:C1 *5873:5 4.13496e-05 -63 *25381:C1 *5873:26 0.000285895 -64 *26885:A1 *27101:S 0.00038021 -65 *26907:A1 *5873:31 0.000119681 -66 *26923:A0 *5873:281 0 -67 *26945:A1 *5873:31 0.00015698 -68 *26988:A0 *5873:31 0.000210277 -69 *27073:A0 *5873:175 1.95404e-05 -70 *27100:A1 *27100:S 9.0145e-05 -71 *27100:A1 *5873:175 0.000162863 -72 *27101:A0 *27101:S 5.63843e-05 -73 *27107:A0 *5873:281 9.60875e-05 -74 *27322:A1 *5873:153 0.000527386 -75 *27369:A2 *5873:304 2.09826e-05 -76 *27383:A1 *5873:232 2.12087e-05 -77 *27383:B1 *5873:232 3.4016e-05 -78 *27383:B2 *5873:232 0.000107946 -79 *27393:A1 *5873:289 5.13222e-05 -80 *27606:C *5873:114 0.000434794 -81 *27644:A *5873:114 2.59355e-05 -82 *27652:D *5873:114 0.00022459 -83 *27702:B1 *5873:304 3.17148e-05 -84 *27727:B1 *5873:289 0.00113653 -85 *27762:B2 *27104:S 0.000186793 -86 *27784:A2 *5873:31 0.00056198 -87 *27786:A2 *5873:31 0.0015098 -88 *27786:A2 *5873:37 0.000305306 -89 *27786:A2 *5873:59 0.000430236 -90 *27788:A1 *5873:281 0.000750392 -91 *27805:A2 *5873:106 0.000102997 -92 *27805:A2 *5873:114 0.000159673 -93 *27840:A *5873:74 0.000122814 -94 *28466:CLK *5873:164 1.08894e-05 -95 *28475:SET_B *5873:232 0.000193445 -96 *28495:D *5873:26 0.000391353 -97 *28529:CLK *5873:31 0.000821487 -98 *28539:SET_B *5873:232 0 -99 *28588:CLK *5873:321 0.000125355 -100 *28597:CLK *5873:257 5.33005e-05 -101 *28642:D *5873:164 8.69631e-05 -102 *28663:CLK *5873:59 0.000267111 -103 *28663:RESET_B *5873:59 0.000150269 -104 *28667:D *27101:S 0.000461937 -105 *28686:CLK *5873:321 0.000168915 -106 *28725:D *27106:S 0.000386924 -107 *28725:D *5873:91 0.00011994 -108 *28725:RESET_B *5873:94 2.34372e-06 -109 *28736:SET_B *5873:142 0.000344835 -110 *28765:D *5873:91 1.80827e-05 -111 *29081:A *5873:26 0.000333931 -112 *29375:A *5873:175 5.33005e-05 -113 *29436:A *5873:153 8.09493e-05 -114 *29437:A *5873:153 0.000155712 -115 *30027:A *5873:281 0.000175892 -116 *30114:A *5873:31 7.86194e-05 -117 *30419:A *27101:S 0.000128321 -118 *30465:A *27106:S 5.33005e-05 -119 *791:11 *5873:26 0.000200151 -120 *792:24 *5873:281 9.74942e-05 -121 *1182:32 *5873:164 0.00136938 -122 *1245:51 *5873:175 0.000415358 -123 *1246:146 *5873:289 0.00017799 -124 *1250:47 *5873:164 5.33005e-05 -125 *1250:72 *5873:164 0.000216755 -126 *1261:11 *27101:S 0.000103851 -127 *1261:227 *5873:289 0.000123388 -128 *1266:119 *5873:232 0.000300406 -129 *1269:154 *5873:26 0.000391978 -130 *1270:88 *5873:60 0 -131 *1294:147 *5873:164 0.000289309 -132 *1294:193 *5873:76 0.000531544 -133 *1317:29 *5873:91 0.000280439 -134 *1317:29 *5873:106 0 -135 *1367:18 *5873:91 0.000252683 -136 *1367:22 *5873:76 0.00415333 -137 *1367:43 *5873:74 0.000117972 -138 *1367:43 *5873:76 0.000285129 -139 *1371:51 *5873:60 0.00021931 -140 *1390:15 *5873:220 5.00194e-05 -141 *1446:12 *5873:142 0.00113342 -142 *1501:22 *5873:232 6.98971e-05 -143 *1582:25 *5873:142 8.25114e-05 -144 *1691:10 *5873:164 0.00153513 -145 *1700:65 *5873:175 6.05161e-06 -146 *2761:38 *5873:26 7.69776e-06 -147 *2761:38 *5873:31 4.06087e-07 -148 *2771:22 *5873:281 0.000157591 -149 *2774:29 *5873:281 5.72984e-05 -150 *2774:31 *5873:281 0.000256997 -151 *2774:31 *5873:289 0.000584823 -152 *2775:111 *5873:281 0.000879019 -153 *2775:111 *5873:289 0.00117098 -154 *2777:59 *5873:120 0.000128154 -155 *2778:155 *5873:304 0.000160692 -156 *2780:43 *5873:164 0.000533624 -157 *2780:223 *27104:S 3.33642e-05 -158 *2787:78 *5873:153 1.10978e-05 -159 *2788:76 *5873:289 5.00194e-05 -160 *2788:139 *5873:321 5.14926e-05 -161 *2790:77 *5873:142 5.26224e-05 -162 *2792:129 *5873:114 0.000147699 -163 *2792:129 *5873:120 0.00345312 -164 *2834:31 *5873:120 1.0818e-05 -165 *2834:40 *5873:142 0.000175892 -166 *2839:38 *5873:142 5.42764e-05 -167 *2848:333 *5873:289 0 -168 *2850:37 *5873:125 0.000203196 -169 *2850:37 *5873:142 4.3023e-05 -170 *2853:236 *5873:232 0.00013921 -171 *2864:293 *5873:289 8.9828e-05 -172 *2871:393 *5873:220 0.000123465 -173 *2872:237 *5873:220 0.00110879 -174 *2872:237 *5873:232 0.000463971 -175 *2874:32 *5873:153 0.000165123 -176 *2877:313 *5873:232 0.000304164 -177 *2877:349 *5873:232 4.98422e-05 -178 *2882:46 *5873:175 2.66851e-05 -179 *2888:291 *5873:281 9.11021e-05 -180 *2889:48 *5873:232 4.65519e-05 -181 *2891:270 *5873:304 0.000650899 -182 *2894:54 *5873:175 1.98839e-05 -183 *2922:20 *5873:232 1.8995e-06 -184 *2932:6 *5873:304 0.000122697 -185 *2933:12 *5873:304 0.00085684 -186 *2970:25 *5873:321 9.70394e-05 -187 *2998:8 *5873:220 0.000272679 -188 *3154:26 *5873:114 9.87001e-05 -189 *3165:99 *5873:289 0.000219201 -190 *3176:254 *5873:220 8.97453e-05 -191 *3177:19 *5873:114 0.000985828 -192 *3177:175 *5873:59 0.000155802 -193 *3177:175 *5873:60 0.00057688 -194 *3177:175 *5873:70 0.000196514 -195 *3179:238 *5873:60 0.000731609 -196 *3179:244 *5873:59 0.000162918 -197 *3192:33 *5873:153 0.000136951 -198 *3204:15 *5873:114 0.0001399 -199 *3304:22 *5873:321 5.33005e-05 -200 *3352:10 *5873:106 5.75368e-06 -201 *3378:8 *5873:26 0 -202 *3381:13 *5873:59 5.52634e-05 -203 *3385:11 *5873:70 0.00140629 -204 *3537:74 *5873:114 4.64416e-05 -205 *3538:16 *5873:289 0.000152133 -206 *3560:18 *5873:153 0.000208159 -207 *3598:21 *5873:321 0.000700335 -208 *3607:26 *5873:281 1.90936e-05 -209 *3613:20 *5873:257 0.000439375 -210 *3613:29 *5873:257 0.000163301 -211 *3615:8 *5873:31 0.000188967 -212 *3615:10 *5873:31 5.66157e-05 -213 *3615:12 *5873:31 0.000445948 -214 *3615:12 *5873:59 0.00014576 -215 *3615:80 *5873:31 0.000511648 -216 *3642:84 *5873:120 7.19623e-05 -217 *3668:34 *5873:220 7.07702e-05 -218 *3704:14 *5873:232 4.15161e-05 -219 *3705:62 *5873:37 9.41642e-05 -220 *3721:14 *5873:220 0 -221 *3771:22 *27104:S 0.00034188 -222 *3796:60 *5873:120 0.000126439 -223 *3807:16 *5873:232 4.34472e-05 -224 *3816:55 *5873:175 0.000200992 -225 *3836:21 *5873:321 3.65133e-05 -226 *3865:17 *27106:S 7.54676e-05 -227 *3865:33 *5873:91 0.000111976 -228 *3868:15 *5873:153 2.06112e-05 -229 *3874:18 *5873:232 6.81895e-05 -230 *3876:38 *5873:281 0.000105621 -231 *3886:79 *5873:304 0.000123295 -232 *3889:62 *5873:60 0.000171395 -233 *3889:62 *5873:74 6.2914e-05 -234 *3889:62 *5873:76 0.00585256 -235 *3890:24 *5873:91 0.00124586 -236 *3890:47 *5873:76 0.000126976 -237 *3894:34 *5873:142 0.0011323 -238 *3951:20 *5873:304 0.000359035 -239 *3982:46 *5873:106 0.000215288 -240 *3989:66 *5873:153 7.14469e-05 -241 *3989:66 *5873:164 0.00122711 -242 *3992:25 *5873:153 1.58163e-05 -243 *3994:13 *27106:S 5.49544e-05 -244 *3995:32 *5873:91 0.000711064 -245 *3995:41 *5873:91 0.000132491 -246 *3995:44 *5873:59 0.000626042 -247 *3995:44 *5873:60 0.000135968 -248 *3995:44 *5873:70 0.00127555 -249 *3995:44 *5873:74 7.23902e-05 -250 *4007:6 *5873:91 0.00182204 -251 *4015:10 *5873:142 7.62176e-05 -252 *4022:94 *5873:175 8.43535e-06 -253 *4025:60 *27104:S 0.000800165 -254 *4045:50 *5873:26 0.000175482 -255 *4069:162 *5873:289 0.00036814 -256 *4108:36 *5873:59 8.77815e-05 -257 *4133:28 *5873:321 4.60554e-05 -258 *4320:16 *5873:31 0 -259 *4512:14 *5873:26 1.9774e-05 -260 *5386:11 *5873:175 0.000960411 -261 *5483:151 *5873:175 0.000198904 -262 *5492:22 *5873:91 0.000863759 -263 *5505:42 *5873:164 8.53839e-05 -264 *5583:58 *5873:175 0 -265 *5584:250 *5873:304 0.000175892 -266 *5587:130 *5873:289 0.00108239 -267 *5595:69 *5873:281 0.000751703 -268 *5600:14 *5873:175 2.12005e-05 -269 *5600:151 *5873:232 3.10461e-05 -270 *5602:52 *5873:91 0.000121787 -271 *5628:67 *5873:74 0.00345444 -272 *5628:67 *5873:76 3.27579e-05 -273 *5628:73 *5873:70 1.27625e-05 -274 *5628:73 *5873:74 0.000278776 -275 *5628:98 *5873:31 0.000110498 -276 *5628:98 *5873:59 6.20441e-06 -277 *5628:98 *5873:60 0.000102335 -278 *5628:98 *5873:70 0.000192525 -279 *5628:189 *5873:289 1.87691e-05 -280 *5633:97 *5873:304 0.000177821 -281 *5635:15 *5873:114 7.02611e-05 -282 *5639:147 *5873:70 0.00015606 -283 *5639:165 *5873:26 0 -284 *5639:168 *5873:281 2.15327e-05 -285 *5639:199 *5873:281 8.45533e-06 -286 *5642:144 *5873:304 0.000854703 -287 *5642:151 *5873:304 5.33005e-05 -288 *5642:158 *27104:S 0.00112311 -289 *5642:158 *5873:321 0.000163564 -290 *5642:172 *27104:S 9.04678e-05 -291 *5646:213 *5873:321 0.000388889 -292 *5646:232 *5873:304 4.33438e-05 -293 *5647:116 *5873:289 0.000748874 -294 *5650:285 *27104:S 0.000163129 -295 *5650:352 *5873:281 2.04825e-05 -296 *5652:204 *5873:289 0.000192125 -297 *5656:275 *5873:60 0.000483189 -298 *5656:275 *5873:70 0.00104236 -299 *5656:275 *5873:220 0 -300 *5662:33 *5873:91 0.000831112 -301 *5678:141 *5873:91 0.000109831 -302 *5678:158 *5873:94 7.69776e-06 -303 *5678:158 *5873:106 9.43938e-05 -304 *5687:129 *5873:142 0 -305 *5687:142 *5873:142 2.84026e-05 -306 *5696:77 *5873:5 0.000428493 -307 *5696:77 *5873:26 0.000136951 -308 *5708:117 *27101:S 0.000417459 -309 *5708:141 *27101:S 2.67281e-05 -310 *5708:141 *5873:232 8.93691e-05 -311 *5748:15 *27101:S 0.000120895 -312 *5753:38 *5873:94 2.95076e-06 -313 *5753:38 *5873:106 4.03519e-05 -314 *5754:18 *5873:175 0.000216351 -315 *5759:18 *5873:220 0 -316 *5764:144 *5873:232 2.996e-06 -317 *5764:274 *5873:321 5.98611e-05 -318 *5766:7 *5873:59 9.41642e-05 -319 *5773:20 *5873:26 9.39621e-05 -320 *5795:206 *5873:281 0.000348611 -321 *5795:221 *5873:257 9.60875e-05 -322 *5795:221 *5873:281 2.14079e-05 -323 *5829:149 *5873:281 0.00317322 -324 *5836:298 *27104:S 0.000180066 -325 *5847:12 *5873:281 0.000155877 -326 *5847:44 *5873:59 0 -327 *5847:59 *5873:74 0.00331408 -328 *5847:74 *5873:76 0.00161379 -329 *5863:143 *5873:26 0.000166675 -330 *5872:25 *5873:74 0.000561979 -331 *5872:26 *5873:59 0.000719692 -332 *5872:42 *5873:59 4.30412e-05 -*RES -1 *30357:X *5873:5 14.3536 -2 *5873:5 *5873:26 34.5982 -3 *5873:26 *5873:31 47.9196 -4 *5873:31 *5873:37 14.0179 -5 *5873:37 *5873:59 49.8061 -6 *5873:59 *5873:60 3.8822 -7 *5873:60 *5873:70 26.1343 -8 *5873:70 *5873:74 48.0179 -9 *5873:74 *5873:76 75.2589 -10 *5873:76 *5873:91 48.3693 -11 *5873:91 *5873:94 3.68679 -12 *5873:94 *5873:106 14.3571 -13 *5873:106 *5873:114 45.9464 -14 *5873:114 *5873:120 48.1429 -15 *5873:120 *5873:123 5.03571 -16 *5873:123 *5873:125 4.64286 -17 *5873:125 *5873:142 45.75 -18 *5873:142 *5873:153 31.0893 -19 *5873:153 *5873:164 49.0796 -20 *5873:164 *5873:175 42.9085 -21 *5873:175 *27100:S 11.4964 -22 *5873:175 *6520:DIODE 9.3 -23 *5873:125 *6561:DIODE 9.3 -24 *5873:123 *27102:S 9.3 -25 *5873:94 *27106:S 19.8179 -26 *5873:60 *5873:220 40.5421 -27 *5873:220 *5873:232 41.0622 -28 *5873:232 *27101:S 29.0321 -29 *5873:37 *27105:S 9.3 -30 *5873:5 *5873:257 17.9643 -31 *5873:257 *27107:S 9.3 -32 *5873:257 *5873:281 37.3243 -33 *5873:281 *5873:289 48.082 -34 *5873:289 *5873:304 43.1689 -35 *5873:304 *5873:321 49.3929 -36 *5873:321 *27104:S 46.4429 -37 *5873:281 *27103:S 9.3 -*END - -*D_NET *5874 0.00169917 -*CONN -*I *28673:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30369:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28673:D 0.000378247 -2 *30369:X 0.000378247 -3 *27107:A1 *28673:D 0.000104383 -4 *28583:CLK *28673:D 5.41797e-06 -5 *28673:CLK *28673:D 7.02611e-05 -6 *28673:RESET_B *28673:D 3.47641e-06 -7 *792:24 *28673:D 5.95974e-05 -8 *3582:146 *28673:D 0.000139913 -9 *3613:20 *28673:D 1.30747e-05 -10 *3613:29 *28673:D 2.55512e-05 -11 *4455:11 *28673:D 0.000178847 -12 *5847:12 *28673:D 0.000342158 -*RES -1 *30369:X *28673:D 35.4036 -*END - -*D_NET *5875 0.00766119 -*CONN -*I *26873:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25726:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30380:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26873:A1 0.00018196 -2 *25726:A0 1.02575e-05 -3 *30380:X 0.00132183 -4 *5875:13 0.00151405 -5 mgmt_gpio_oeb[16] *5875:13 2.66513e-05 -6 *26873:A0 *26873:A1 8.00931e-05 -7 *26883:A *25726:A0 1.90936e-05 -8 *26883:A *26873:A1 0.000106473 -9 *26889:A0 *5875:13 0.000222666 -10 *26889:A1 *5875:13 5.33005e-05 -11 *27210:A0 *5875:13 0.000311568 -12 *27210:S *5875:13 9.58181e-05 -13 *27771:A1 *5875:13 0.000436071 -14 *28581:D *5875:13 5.65001e-05 -15 *28605:D *5875:13 0.000110952 -16 *28913:A *5875:13 0.000221212 -17 *2759:11 *5875:13 0.000894856 -18 *2776:224 *5875:13 0.000315587 -19 *3538:92 *25726:A0 2.06112e-05 -20 *3538:92 *26873:A1 0.000449294 -21 *3796:173 *5875:13 0.000267556 -22 *3796:176 *26873:A1 1.07719e-05 -23 *4412:11 *26873:A1 0.000176226 -24 *5586:84 *5875:13 0.000350322 -25 *5588:130 *5875:13 5.80069e-05 -26 *5863:132 *5875:13 0.000349458 -*RES -1 *30380:X *5875:13 43.1217 -2 *5875:13 *25726:A0 14.0768 -3 *5875:13 *26873:A1 20.0768 -*END - -*D_NET *5876 0.00544486 -*CONN -*I *28465:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30391:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28465:D 6.96286e-05 -2 *30391:X 0.000582753 -3 *5876:16 0.000652382 -4 *28465:CLK *28465:D 7.49251e-05 -5 *28479:D *5876:16 0.000431531 -6 *28613:RESET_B *5876:16 0.000351162 -7 *28913:A *5876:16 0.000106543 -8 *540:15 *28465:D 0.000269205 -9 *798:11 *5876:16 0.00123838 -10 *3796:173 *5876:16 9.60875e-05 -11 *3891:113 *5876:16 0.000467424 -12 *4222:21 *28465:D 2.96581e-05 -13 *5669:317 *5876:16 0.000175512 -14 *5774:185 *5876:16 0.000899665 -*RES -1 *30391:X *5876:16 48.7286 -2 *5876:16 *28465:D 11.8893 -*END - -*D_NET *5877 0.0029981 -*CONN -*I *25599:A0 I *D sky130_fd_sc_hd__mux2_4 -*I *30402:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25599:A0 0.000893937 -2 *30402:X 0.000893937 -3 *25599:A0 *25599:S 2.59355e-05 -4 *25599:A0 *6374:61 0 -5 *25485:A1 *25599:A0 0 -6 *29061:A *25599:A0 0 -7 *1820:10 *25599:A0 2.27416e-05 -8 *5197:11 *25599:A0 2.89016e-05 -9 *5780:125 *25599:A0 0.00103488 -10 *5853:8 *25599:A0 9.77699e-05 -*RES -1 *30402:X *25599:A0 46.5643 -*END - -*D_NET *5878 0.0545737 -*CONN -*I *28982:A I *D sky130_fd_sc_hd__buf_6 -*I *28979:A I *D sky130_fd_sc_hd__buf_6 -*I *30413:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28982:A 0.00014626 -2 *28979:A 0 -3 *30413:X 0.000128202 -4 *5878:35 0.00131655 -5 *5878:22 0.00251646 -6 *5878:14 0.00618116 -7 *5878:12 0.00676956 -8 *5878:8 0.00206277 -9 *28982:A *25734:A0 2.77289e-05 -10 *28982:A *6364:32 9.58181e-05 -11 *5878:8 *27996:A1 9.49984e-05 -12 *5878:8 *27996:B2 4.21422e-05 -13 *5878:12 *29351:A 0.000148189 -14 *5878:12 *6180:30 0 -15 *5878:14 *25084:A 0.000195555 -16 *5878:14 *25854:A 0.000121573 -17 *5878:14 *28372:D 0.000170523 -18 *5878:14 *29593:A 0.000246057 -19 *5878:14 *5890:46 3.63514e-05 -20 *5878:14 *6370:49 0.000632233 -21 *25110:B2 *5878:14 1.17921e-05 -22 *25329:A1 *5878:14 0.00017309 -23 *25329:B1 *5878:14 0.000535403 -24 *25486:S *5878:12 0.000219468 -25 *25487:A0 *5878:12 0.000260993 -26 *25500:A1 *5878:14 1.31516e-05 -27 *25597:A0 *5878:12 5.75803e-05 -28 *25734:S *28982:A 5.96516e-05 -29 *25857:C1 *5878:14 0.000469443 -30 *25929:A0 *5878:14 4.78056e-05 -31 *27578:A1 *5878:22 0.000101545 -32 *27578:A1 *5878:35 2.59355e-05 -33 *27578:A2 *5878:35 0.000169375 -34 *27948:B2 *5878:22 0.0015499 -35 *27955:D *5878:14 4.22135e-06 -36 *27960:C1 *5878:35 0.000172647 -37 *27961:B2 *5878:22 0.000101125 -38 *27996:A2 *28982:A 0.000339093 -39 *27998:A0 *5878:8 0 -40 *27998:A0 *5878:12 0 -41 *28001:A0 *5878:12 0 -42 *28067:SET_B *5878:14 0.000102545 -43 *28361:CLK *5878:14 0.000362538 -44 *28826:D *5878:12 0.000344217 -45 *28827:D *5878:12 0 -46 *29514:A *5878:12 0.000102971 -47 *29558:A *5878:12 0.000125724 -48 *30370:A *5878:8 1.30681e-05 -49 *30370:A *5878:12 0.000175172 -50 *30413:A *5878:8 2.59355e-05 -51 *1174:37 *5878:14 0.00139533 -52 *1327:28 *5878:14 1.09501e-05 -53 *1396:13 *5878:14 0.000145753 -54 *1409:6 *5878:35 0.000292241 -55 *1412:17 *5878:14 2.90164e-05 -56 *1423:37 *5878:14 0.000244145 -57 *1423:54 *5878:35 0.00027433 -58 *1435:14 *5878:14 0.0005032 -59 *1435:33 *5878:14 0.000200842 -60 *1771:89 *5878:12 0.000171375 -61 *1882:27 *5878:14 0.00108846 -62 *2848:123 *5878:35 0.000172737 -63 *2864:196 *5878:35 0.00100752 -64 *3184:130 *5878:35 0.00016968 -65 *3214:121 *5878:22 0.0023967 -66 *3523:16 *28982:A 0.000181796 -67 *3567:33 *5878:12 0.000113223 -68 *3567:33 *5878:14 0 -69 *3567:84 *5878:12 5.65621e-05 -70 *3581:158 *5878:14 1.59782e-05 -71 *3581:165 *5878:12 0 -72 *3581:165 *5878:14 0.000268528 -73 *3592:8 *5878:14 0.00105364 -74 *3592:10 *5878:14 0.000173076 -75 *3592:35 *5878:14 0.000358345 -76 *3592:50 *5878:14 0.000291709 -77 *3655:10 *5878:14 0.000170519 -78 *3659:14 *5878:14 0.000551009 -79 *3685:80 *5878:35 5.03616e-05 -80 *3765:30 *5878:35 0.000846177 -81 *3766:40 *5878:14 0.000389139 -82 *3766:53 *5878:14 0.000594321 -83 *3832:49 *5878:22 0.000236327 -84 *3910:51 *5878:22 0.000120771 -85 *4013:18 *5878:14 0 -86 *4023:8 *5878:14 0.000171368 -87 *4023:10 *5878:14 0.00420292 -88 *4078:16 *5878:14 0 -89 *4105:32 *5878:22 0.000178839 -90 *4619:21 *28982:A 6.05161e-06 -91 *4619:21 *5878:8 4.00349e-05 -92 *5197:11 *5878:12 0.00048339 -93 *5284:8 *5878:12 0.000642303 -94 *5634:156 *5878:14 0.000310307 -95 *5640:98 *5878:14 0.00223215 -96 *5640:120 *5878:14 0.000889506 -97 *5672:8 *5878:12 0 -98 *5690:5 *28982:A 0 -99 *5709:76 *5878:14 0.00193995 -100 *5729:60 *5878:14 0.000193125 -101 *5729:67 *5878:14 0.00147915 -102 *5729:83 *5878:14 0.000198691 -103 *5758:75 *5878:14 0.00033921 -104 *5778:109 *5878:14 0.000331071 -105 *5778:113 *5878:14 0.000419282 -106 *5823:51 *5878:14 9.9566e-05 -107 *5823:58 *5878:22 0.000346489 -*RES -1 *30413:X *5878:8 16.2821 -2 *5878:8 *5878:12 43.9196 -3 *5878:12 *5878:14 176.955 -4 *5878:14 *5878:22 48.6071 -5 *5878:22 *5878:35 46.875 -6 *5878:35 *28979:A 9.3 -7 *5878:8 *28982:A 18.4607 -*END - -*D_NET *5879 0.103499 -*CONN -*I *25686:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *26861:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *28980:A I *D sky130_fd_sc_hd__buf_6 -*I *28981:A I *D sky130_fd_sc_hd__buf_4 -*I *25740:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26915:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25630:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27209:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27200:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27122:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26870:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25720:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *6521:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27140:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26978:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30424:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *25686:A1 0.00016068 -2 *26861:A0 0 -3 *28980:A 0.000674989 -4 *28981:A 0.00222761 -5 *25740:A0 1.65993e-05 -6 *26915:A0 0 -7 *25630:A0 0.000172236 -8 *27209:A0 7.14714e-05 -9 *27200:A0 0 -10 *27122:A0 5.37304e-05 -11 *26870:A0 0.000420343 -12 *25720:A1 0 -13 *6521:DIODE 0 -14 *27140:A0 0.00107512 -15 *26978:A0 0.000351274 -16 *30424:X 0.000270167 -17 *5879:237 0.00420489 -18 *5879:227 0.00502789 -19 *5879:195 0.00471013 -20 *5879:188 0.00339985 -21 *5879:176 0.00225463 -22 *5879:167 5.04505e-05 -23 *5879:118 0.000689755 -24 *5879:112 0.00204908 -25 *5879:98 0.00460008 -26 *5879:97 0.00392377 -27 *5879:74 0.00278299 -28 *5879:66 0.00115073 -29 *5879:58 0.000825514 -30 *5879:44 0.0014167 -31 *5879:38 0.00102284 -32 *5879:34 0.000705828 -33 *5879:32 0.00158026 -34 *5879:19 0.00255947 -35 *5879:10 0.00159784 -36 *26870:A0 *26870:A1 5.49544e-05 -37 *26870:A0 *5954:15 0.00019017 -38 *26870:A0 *6207:19 0.00064179 -39 *26978:A0 *26978:A1 9.58181e-05 -40 *28981:A *30001:A 0.000181803 -41 *28981:A *6192:13 0.000638234 -42 *5879:19 *26915:A1 5.50052e-05 -43 *5879:19 *5984:11 0.000500484 -44 *5879:32 *5881:54 0.000210175 -45 *5879:44 *28558:D 2.06178e-05 -46 *5879:188 *5926:35 0.000411241 -47 *5879:188 *6247:6 0 -48 *5879:188 *6280:6 0.000260519 -49 *5879:195 *6192:22 0.00204537 -50 *5879:227 *5926:35 0.000776258 -51 *5879:227 *5926:39 1.5424e-05 -52 *5879:237 *28453:D 0.000217581 -53 *5879:237 *5897:13 0.000608147 -54 *5879:237 *5926:49 0.000150625 -55 *24835:A *5879:237 8.40344e-05 -56 *25061:C1 *26870:A0 3.26697e-05 -57 *25141:A1 *26978:A0 0.000222244 -58 *25141:A1 *5879:58 0.000165314 -59 *25190:A1 *5879:98 0.000135028 -60 *25190:A2 *5879:98 2.14658e-05 -61 *25190:B1 *5879:98 3.25552e-05 -62 *25190:B2 *5879:98 6.26774e-06 -63 *25190:C1 *5879:98 5.96516e-05 -64 *25237:A1 *5879:98 0.000261801 -65 *25242:A1 *27122:A0 9.41642e-05 -66 *25243:B1 *5879:66 0.000178813 -67 *25243:C1 *5879:66 0 -68 *25314:B2 *27209:A0 0.000123617 -69 *25314:B2 *5879:44 0.000136958 -70 *25356:A1 *5879:19 1.21258e-05 -71 *25356:A1 *5879:32 0.000218734 -72 *25364:B2 *5879:44 6.97253e-05 -73 *25605:A1 *28981:A 0.000139907 -74 *25692:S *5879:237 3.98559e-05 -75 *25731:S *5879:188 3.40043e-05 -76 *25733:A1 *28981:A 0.000594937 -77 *25741:A1 *25686:A1 0 -78 *26898:A1 *5879:112 6.8529e-05 -79 *26911:A0 *5879:19 0.000471025 -80 *26911:A1 *5879:19 0.000873714 -81 *26911:S *5879:19 3.65015e-05 -82 *26956:A0 *28980:A 0 -83 *26956:A1 *28980:A 9.59532e-06 -84 *26956:A1 *5879:195 0 -85 *26956:S *28980:A 0.000594314 -86 *26978:S *26978:A0 0.000150509 -87 *26992:A1 *5879:32 0.000164567 -88 *27023:S *25630:A0 0.000425953 -89 *27117:A *5879:98 2.59355e-05 -90 *27205:A1 *5879:32 0.000297375 -91 *27367:A *5879:97 4.37992e-05 -92 *27403:A2 *5879:74 0.000643267 -93 *27407:A2 *27209:A0 6.57032e-05 -94 *27407:A2 *5879:44 6.57032e-05 -95 *27436:A2 *5879:112 7.13226e-06 -96 *27436:B1 *5879:112 8.25843e-06 -97 *27609:B1 *5879:32 0.000194691 -98 *27610:A1 *5879:32 0.000215583 -99 *27610:C1 *5879:32 0.000267445 -100 *27701:A1 *5879:98 0.000426141 -101 *27774:B1 *5879:98 9.77423e-05 -102 *28175:CLK *5879:10 0 -103 *28453:CLK *5879:237 1.58163e-05 -104 *28538:SET_B *28981:A 9.89973e-05 -105 *28574:CLK *5879:66 0.00037398 -106 *28574:CLK *5879:74 0.000174546 -107 *28682:SET_B *5879:19 7.02197e-05 -108 *28707:CLK *5879:32 4.98496e-06 -109 *28751:SET_B *5879:188 3.34366e-05 -110 *28755:RESET_B *5879:44 3.28967e-05 -111 *28759:D *5879:32 0.000123137 -112 *29120:A *5879:34 0.00013624 -113 *29145:A *5879:19 0.000315172 -114 *29667:A *25630:A0 0.000385089 -115 *29678:A *26978:A0 3.77147e-05 -116 *29678:A *5879:44 0 -117 *29681:A *5879:188 0.000532299 -118 *30035:A *28980:A 0.000352387 -119 *30136:A *5879:112 9.99853e-05 -120 *30145:A *5879:98 2.12005e-05 -121 *30194:A *5879:195 8.6229e-06 -122 *30370:A *28981:A 5.71472e-05 -123 *30441:A *5879:32 3.67414e-05 -124 *30846:A *25686:A1 0.000162118 -125 *30846:A *5879:237 0.000277839 -126 *552:14 *5879:227 9.60875e-05 -127 *554:20 *5879:237 8.30243e-05 -128 *849:17 *26870:A0 7.40571e-05 -129 *1174:68 *28981:A 4.11173e-05 -130 *1258:33 *5879:66 4.19624e-06 -131 *1261:177 *5879:66 3.73917e-05 -132 *1267:83 *5879:66 9.23367e-05 -133 *1271:221 *5879:98 0.00038021 -134 *1361:45 *5879:58 0.000384431 -135 *1361:45 *5879:66 7.83659e-05 -136 *1361:68 *5879:32 0.0001384 -137 *1557:16 *27140:A0 4.65519e-05 -138 *1574:6 *5879:97 8.6985e-06 -139 *1633:16 *5879:66 3.98524e-05 -140 *1672:11 *5879:32 0.000261227 -141 *1826:251 *25630:A0 0.000108525 -142 *1835:6 *5879:188 0.00013059 -143 *1862:93 *5879:188 8.45527e-05 -144 *1883:10 *28981:A 2.07158e-05 -145 *2756:66 *5879:237 0.000522346 -146 *2760:135 *5879:98 0.000543665 -147 *2760:135 *5879:112 0.00105339 -148 *2767:188 *28980:A 0.000149389 -149 *2767:190 *28980:A 0.000718241 -150 *2771:11 *5879:112 9.6589e-05 -151 *2771:171 *26870:A0 6.47405e-05 -152 *2774:35 *25630:A0 0.000178847 -153 *2774:74 *5879:44 0 -154 *2778:152 *5879:97 0.00242028 -155 *2778:194 *5879:98 0.00262933 -156 *2779:90 *27140:A0 0 -157 *2785:10 *5879:98 0.000343534 -158 *2791:246 *5879:32 7.75022e-05 -159 *2855:189 *27140:A0 0.000863553 -160 *2855:189 *5879:74 0.000117447 -161 *2855:198 *5879:97 0.000727927 -162 *2894:319 *5879:44 0.000338137 -163 *3153:221 *5879:97 0.000762815 -164 *3162:8 *5879:32 0.000407976 -165 *3162:8 *5879:34 0.00094629 -166 *3162:8 *5879:38 0.000532299 -167 *3162:8 *5879:44 0.000129608 -168 *3162:18 *5879:66 0.000562703 -169 *3162:18 *5879:74 0.000170865 -170 *3162:20 *27140:A0 0.000112208 -171 *3174:239 *5879:98 8.27532e-05 -172 *3177:101 *5879:98 0.000869129 -173 *3177:117 *5879:98 0.00107312 -174 *3179:154 *5879:97 0.000209003 -175 *3552:22 *27140:A0 5.49489e-05 -176 *3565:24 *5879:98 1.65169e-05 -177 *3602:8 *5879:10 5.75803e-05 -178 *3602:8 *5879:19 3.15645e-05 -179 *3602:19 *5879:10 4.75903e-05 -180 *3604:124 *5879:237 5.38242e-05 -181 *3693:11 *5879:44 5.59433e-05 -182 *3836:21 *5879:66 0 -183 *3848:95 *5879:237 8.55586e-05 -184 *3901:27 *5879:44 1.89507e-06 -185 *3941:9 *5879:98 4.58194e-05 -186 *3941:9 *5879:112 0.000110763 -187 *4076:8 *5879:32 0.000250296 -188 *4080:41 *5879:66 0.000128155 -189 *4081:34 *5879:97 4.00658e-06 -190 *4102:8 *5879:188 9.90367e-05 -191 *4102:12 *5879:188 0 -192 *4107:17 *5879:112 5.49489e-05 -193 *4107:31 *5879:98 5.74499e-06 -194 *4110:16 *26870:A0 7.6644e-05 -195 *4110:23 *5879:112 3.38536e-05 -196 *4115:10 *5879:34 0.000780527 -197 *4115:10 *5879:38 0.000532299 -198 *4120:47 *5879:66 0.000118982 -199 *4132:38 *27140:A0 0 -200 *4149:57 *5879:112 0.000219525 -201 *4230:17 *5879:237 0.000118533 -202 *4231:14 *5879:237 0.00106113 -203 *4360:11 *26870:A0 5.33005e-05 -204 *4360:11 *5879:112 0.000135028 -205 *4360:11 *5879:118 0.000339346 -206 *4502:21 *5879:32 0.00034405 -207 *5197:11 *28981:A 0.000440705 -208 *5462:33 *5879:237 1.11474e-05 -209 *5584:250 *5879:74 9.23226e-05 -210 *5588:19 *25686:A1 1.21258e-05 -211 *5588:27 *25686:A1 1.24368e-05 -212 *5609:190 *27140:A0 0.000709523 -213 *5609:190 *5879:74 7.68549e-05 -214 *5609:219 *5879:74 0.000194979 -215 *5637:19 *26870:A0 4.15183e-05 -216 *5640:170 *5879:44 0.000132207 -217 *5640:235 *5879:32 0.000491077 -218 *5640:235 *5879:34 0.000120246 -219 *5640:235 *5879:44 0.000276059 -220 *5646:232 *5879:74 2.41653e-05 -221 *5650:335 *5879:98 6.06291e-05 -222 *5661:245 *27140:A0 2.01997e-05 -223 *5661:267 *27140:A0 1.14338e-05 -224 *5663:138 *5879:98 0.000135028 -225 *5683:29 *5879:237 0.00113591 -226 *5683:266 *5879:112 0 -227 *5689:5 *28981:A 0.000827576 -228 *5689:30 *28981:A 0.00117538 -229 *5701:29 *5879:237 0 -230 *5708:34 *5879:58 0.000394681 -231 *5708:34 *5879:66 5.59013e-05 -232 *5708:46 *5879:66 4.11218e-05 -233 *5708:80 *27140:A0 0.000108633 -234 *5716:67 *5879:32 0.000101555 -235 *5716:77 *5879:32 4.43698e-05 -236 *5716:214 *5879:19 0.00110061 -237 *5716:214 *5879:32 0.000171306 -238 *5716:234 *5879:19 0 -239 *5716:244 *5879:19 0 -240 *5725:10 *25740:A0 8.07951e-05 -241 *5725:10 *5879:10 0.000355878 -242 *5725:10 *5879:19 0.000552437 -243 *5759:11 *5879:237 0 -244 *5763:145 *28981:A 0 -245 *5763:145 *5879:195 0 -246 *5763:163 *28981:A 0.000235969 -247 *5765:129 *5879:74 2.6878e-05 -248 *5792:15 *5879:237 0 -249 *5810:97 *5879:32 2.04825e-05 -250 *5810:97 *5879:34 1.44954e-05 -251 *5828:17 *5879:237 0 -252 *5829:19 *5879:237 9.41642e-05 -253 *5829:42 *5879:237 0.000137983 -254 *5832:6 *28980:A 0.000197006 -255 *5832:8 *28980:A 0.00127209 -256 *5836:312 *28980:A 0.000187998 -257 *5847:44 *26870:A0 0.000130027 -258 *5862:23 *5879:112 0.000152811 -*RES -1 *30424:X *5879:10 20.9607 -2 *5879:10 *5879:19 42.9643 -3 *5879:19 *5879:32 41.2411 -4 *5879:32 *5879:34 12.4196 -5 *5879:34 *5879:38 11.6071 -6 *5879:38 *5879:44 24.1429 -7 *5879:44 *26978:A0 14.9071 -8 *5879:44 *5879:58 11.9643 -9 *5879:58 *5879:66 17.4952 -10 *5879:66 *5879:74 11.0219 -11 *5879:74 *27140:A0 25.5467 -12 *5879:74 *5879:97 16.0191 -13 *5879:97 *5879:98 59.2679 -14 *5879:98 *5879:112 36.2143 -15 *5879:112 *6521:DIODE 9.3 -16 *5879:112 *5879:118 3.41071 -17 *5879:118 *25720:A1 9.3 -18 *5879:118 *26870:A0 28.9071 -19 *5879:66 *27122:A0 14.7464 -20 *5879:58 *27200:A0 13.8 -21 *5879:38 *27209:A0 11.0857 -22 *5879:34 *25630:A0 19.675 -23 *5879:19 *26915:A0 9.3 -24 *5879:10 *5879:167 0.535714 -25 *5879:167 *25740:A0 14.8357 -26 *5879:167 *5879:176 4.5 -27 *5879:176 *5879:188 41.4286 -28 *5879:188 *5879:195 28.5425 -29 *5879:195 *28981:A 49.8782 -30 *5879:195 *28980:A 42.7546 -31 *5879:176 *5879:227 13.6607 -32 *5879:227 *5879:237 43.7854 -33 *5879:237 *26861:A0 9.3 -34 *5879:227 *25686:A1 16.5857 -*END - -*D_NET *5880 0.00760974 -*CONN -*I *28454:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30435:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28454:D 0.000224424 -2 *30435:X 0.00225202 -3 *5880:24 0.00247645 -4 *28454:D *6285:21 0.000346734 -5 *5880:24 *26862:A1 0.000293996 -6 *5880:24 *6285:21 1.89266e-05 -7 *5880:24 *6286:16 0.00073633 -8 *24829:A *5880:24 7.14469e-05 -9 *26862:A0 *5880:24 6.98957e-05 -10 *26864:A0 *28454:D 9.41642e-05 -11 *26864:A0 *5880:24 5.68722e-05 -12 *28453:RESET_B *28454:D 0.000125877 -13 *28457:CLK *5880:24 9.76689e-05 -14 *29085:A *5880:24 0.000225616 -15 *29154:A *5880:24 4.38518e-05 -16 *785:21 *28454:D 0.000212109 -17 *785:21 *5880:24 1.39841e-05 -18 *4056:53 *28454:D 0.000225609 -19 *5452:16 *5880:24 2.37696e-05 -*RES -1 *30435:X *5880:24 49.3714 -2 *5880:24 *28454:D 17.6393 -*END - -*D_NET *5881 0.0340405 -*CONN -*I *25342:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30719:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *25342:B2 0.000185604 -2 *30719:X 0.00136669 -3 *5881:54 0.00188317 -4 *5881:43 0.00431709 -5 *5881:33 0.005557 -6 *5881:26 0.00409543 -7 *5881:13 0.00252464 -8 *5881:13 *5892:6 0.00152154 -9 *5881:26 *5912:7 0.00018077 -10 *5881:26 *6201:11 5.30637e-06 -11 *5881:33 *6284:17 0.00018018 -12 *5881:43 *29702:A 3.15318e-05 -13 *24824:A *5881:13 0 -14 *25342:B1 *25342:B2 3.03567e-05 -15 *26911:S *5881:54 0.000540567 -16 *26953:S *5881:26 0.000190547 -17 *27181:S *5881:26 2.89016e-05 -18 *27183:A0 *5881:26 0.000376466 -19 *27183:A1 *5881:26 9.78108e-05 -20 *27189:A1 *5881:43 0.000988173 -21 *27609:B1 *25342:B2 0.000177815 -22 *27609:B1 *5881:54 0.000169797 -23 *27610:A2 *5881:54 5.41794e-05 -24 *27610:C1 *5881:54 0.000267445 -25 *28124:CLK *25342:B2 2.84269e-05 -26 *28445:CLK *5881:43 0.000236756 -27 *28445:RESET_B *5881:43 0.000376947 -28 *28535:RESET_B *5881:33 8.94203e-05 -29 *28570:SET_B *25342:B2 0.000175892 -30 *28707:CLK *5881:54 0.000331073 -31 *28969:A *5881:33 6.12434e-05 -32 *29094:A *5881:13 0 -33 *29125:A *5881:43 0.000123295 -34 *30584:A *5881:13 0.000203411 -35 *30719:A *5881:13 5.018e-05 -36 *1863:14 *5881:54 0.00046131 -37 *2769:182 *5881:26 0.000266479 -38 *2792:181 *5881:26 1.5424e-05 -39 *2794:37 *5881:13 0 -40 *3537:35 *5881:33 0.00068397 -41 *3691:8 *5881:43 0.00010096 -42 *3837:11 *5881:33 0.000614046 -43 *3839:13 *5881:26 0.000235476 -44 *3978:130 *5881:26 1.59689e-05 -45 *4017:20 *5881:26 0.000170952 -46 *4029:16 *5881:43 1.74903e-05 -47 *4029:16 *5881:54 9.60939e-05 -48 *4136:60 *5881:33 0.000300245 -49 *4827:14 *5881:13 2.04228e-05 -50 *4827:14 *5881:26 0.000352053 -51 *4829:13 *5881:33 0.000164241 -52 *4898:11 *5881:43 0.000161798 -53 *5593:36 *25342:B2 0.000229139 -54 *5640:238 *5881:54 7.80929e-05 -55 *5641:180 *25342:B2 0.000233032 -56 *5641:180 *5881:54 8.40351e-06 -57 *5682:11 *5881:33 0.000261386 -58 *5682:26 *5881:33 2.14757e-05 -59 *5682:251 *5881:26 0.000177821 -60 *5701:85 *5881:43 2.14378e-05 -61 *5703:7 *5881:13 0.000141729 -62 *5703:13 *5881:26 0 -63 *5708:17 *5881:54 0 -64 *5716:67 *5881:54 0.000347733 -65 *5725:17 *5881:54 0.00124448 -66 *5769:44 *5881:54 0.000141985 -67 *5771:18 *5881:43 3.34366e-05 -68 *5820:46 *5881:54 0.000589486 -69 *5863:98 *5881:54 0.000176107 -70 *5879:32 *5881:54 0.000210175 -*RES -1 *30719:X *5881:13 49.8893 -2 *5881:13 *5881:26 38.375 -3 *5881:26 *5881:33 38.8571 -4 *5881:33 *5881:43 44.0536 -5 *5881:43 *5881:54 49.6429 -6 *5881:54 *25342:B2 28.0143 -*END - -*D_NET *5882 0.00331976 -*CONN -*I *25848:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30446:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25848:A1 0.000781637 -2 *30446:X 0.000781637 -3 *3553:17 *25848:A1 0.000399848 -4 *3564:11 *25848:A1 0.00026546 -5 *4103:68 *25848:A1 0.00039512 -6 *5007:44 *25848:A1 0.000228945 -7 *5833:94 *25848:A1 7.83659e-05 -8 *5839:53 *25848:A1 0.000388745 -*RES -1 *30446:X *25848:A1 44.7607 -*END - -*D_NET *5883 0.00172313 -*CONN -*I *28300:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30457:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28300:D 0.000395232 -2 *30457:X 0.000395232 -3 *28300:D *6356:41 0.000135942 -4 *25367:B2 *28300:D 0.000180777 -5 *30457:A *28300:D 0.00061595 -*RES -1 *30457:X *28300:D 27.35 -*END - -*D_NET *5884 0.00115372 -*CONN -*I *25860:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *30468:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25860:A1 0.000376518 -2 *30468:X 0.000376518 -3 *25822:B *25860:A1 5.20298e-05 -4 *1448:60 *25860:A1 0.000200054 -5 *3165:343 *25860:A1 0.000119174 -6 *5209:30 *25860:A1 2.94203e-05 -*RES -1 *30468:X *25860:A1 33.0643 -*END - -*D_NET *5885 0.00176419 -*CONN -*I *28306:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *30480:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28306:D 0.000401319 -2 *30480:X 0.000401319 -3 *30024:A *28306:D 0.000134887 -4 *3701:19 *28306:D 0.000826667 -5 *5688:242 *28306:D 0 -*RES -1 *30480:X *28306:D 37.7786 -*END - -*D_NET *5886 0.00350797 -*CONN -*I *24866:A I *D sky130_fd_sc_hd__and2_1 -*I *30491:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *24866:A 0.000667864 -2 *30491:X 0.000667864 -3 *28080:RESET_B *24866:A 0.000141526 -4 *28082:RESET_B *24866:A 8.38362e-05 -5 *29641:A *24866:A 2.06178e-05 -6 *419:9 *24866:A 0.00102389 -7 *1175:31 *24866:A 0.000263524 -8 *1202:43 *24866:A 0.000449957 -9 *1323:24 *24866:A 0.000126391 -10 *5412:27 *24866:A 6.25005e-05 -*RES -1 *30491:X *24866:A 43.975 -*END - -*D_NET *5887 0.00702185 -*CONN -*I *24867:B1 I *D sky130_fd_sc_hd__a21oi_1 -*I *30502:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *24867:B1 0.000985313 -2 *30502:X 0.000854114 -3 *5887:14 0.00183943 -4 *24867:B1 *24877:A1 0.000103977 -5 *5887:14 *24877:A1 0 -6 *24877:A2 *5887:14 0.000281407 -7 *25000:A1 *5887:14 0.000750006 -8 *29107:A *24867:B1 1.58163e-05 -9 *29107:A *5887:14 0.000187567 -10 *501:10 *5887:14 0.000262504 -11 *1193:9 *24867:B1 4.51309e-05 -12 *4195:90 *5887:14 0.000227883 -13 *5377:15 *5887:14 0 -14 *5835:11 *24867:B1 0.000761051 -15 *5836:135 *5887:14 1.79038e-05 -16 *5836:150 *5887:14 0.000689751 -*RES -1 *30502:X *5887:14 38.1214 -2 *5887:14 *24867:B1 21.7643 -*END - -*D_NET *5888 0.00715584 -*CONN -*I *24871:A2 I *D sky130_fd_sc_hd__o21bai_1 -*I *24893:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *30513:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *24871:A2 0.000591803 -2 *24893:A2 0 -3 *30513:X 0.00117547 -4 *5888:16 0.00176727 -5 *24871:A2 *5889:19 0.000299312 -6 *5888:16 *30790:A 7.90803e-05 -7 *5888:16 *5900:10 3.075e-05 -8 *5888:16 *5902:8 7.6644e-05 -9 *24871:A1 *24871:A2 6.09899e-05 -10 *24871:B1_N *24871:A2 0.000257619 -11 *25434:B *5888:16 0.00051443 -12 *28094:RESET_B *5888:16 0.00010326 -13 *28332:CLK *24871:A2 1.76039e-05 -14 *29306:A *24871:A2 5.20132e-05 -15 *30524:A *24871:A2 2.59355e-05 -16 *30524:A *5888:16 0.000522432 -17 *31015:A *24871:A2 0.000218685 -18 *1324:37 *5888:16 9.5508e-05 -19 *1744:38 *5888:16 0.000340194 -20 *1827:61 *24871:A2 0.000387162 -21 *3710:18 *24871:A2 0 -22 *4192:239 *5888:16 5.74562e-05 -23 *5077:11 *24871:A2 0.000184466 -24 *5742:163 *5888:16 7.90803e-05 -25 *5805:37 *24871:A2 0.000218679 -*RES -1 *30513:X *5888:16 47.5857 -2 *5888:16 *24893:A2 9.3 -3 *5888:16 *24871:A2 32.9696 -*END - -*D_NET *5889 0.0114033 -*CONN -*I *24921:A I *D sky130_fd_sc_hd__or3b_4 -*I *24895:A I *D sky130_fd_sc_hd__or2_4 -*I *24905:C I *D sky130_fd_sc_hd__or3_4 -*I *30524:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *24921:A 0.000280876 -2 *24895:A 0.000130159 -3 *24905:C 0.000130483 -4 *30524:X 0 -5 *5889:28 0.0014858 -6 *5889:19 0.00217753 -7 *5889:4 0.000671497 -8 *24905:C *24905:B 0.000176028 -9 *24921:A *30790:A 0.000116982 -10 *24921:A *5901:27 5.33005e-05 -11 *24921:A *5901:35 9.41642e-05 -12 *5889:19 *5900:10 0.000183726 -13 *24871:A1 *24921:A 0.000212732 -14 *24871:A2 *5889:19 0.000299312 -15 *24893:A1 *5889:19 9.71197e-05 -16 *27924:A2 *5889:28 0.000326809 -17 *28293:RESET_B *24895:A 0.000136958 -18 *28334:RESET_B *5889:28 0.000230072 -19 *30524:A *5889:19 8.43535e-06 -20 *31015:A *5889:28 0.000858208 -21 *1195:6 *24921:A 9.03762e-05 -22 *1195:6 *5889:28 7.83587e-05 -23 *1231:17 *24905:C 6.59746e-05 -24 *1247:12 *24921:A 5.42547e-05 -25 *3164:146 *5889:28 0 -26 *3164:150 *5889:28 0.000323163 -27 *3616:99 *24921:A 9.96264e-05 -28 *3616:99 *5889:28 7.6644e-05 -29 *3637:96 *24895:A 0.000473753 -30 *4113:8 *5889:28 1.69115e-05 -31 *4144:35 *24895:A 0.000174317 -32 *5545:30 *5889:28 0.00055615 -33 *5545:50 *5889:28 9.34059e-06 -34 *5626:11 *5889:28 0.000642319 -35 *5666:75 *5889:28 4.04292e-05 -36 *5699:17 *5889:28 1.77525e-05 -37 *5699:26 *5889:28 0.000269965 -38 *5719:115 *24905:C 0.000150625 -39 *5719:120 *24905:C 0.000219585 -40 *5719:120 *5889:19 0.0001399 -41 *5805:22 *24905:C 1.69115e-05 -42 *5805:37 *5889:19 0.000216755 -*RES -1 *30524:X *5889:4 9.3 -2 *5889:4 *24905:C 22.9786 -3 *5889:4 *5889:19 12.9821 -4 *5889:19 *5889:28 46.9107 -5 *5889:28 *24895:A 13.9429 -6 *5889:19 *24921:A 20.7643 -*END - -*D_NET *5890 0.0485596 -*CONN -*I *24961:A I *D sky130_fd_sc_hd__nor2_8 -*I *24960:A I *D sky130_fd_sc_hd__nor2_8 -*I *25039:A I *D sky130_fd_sc_hd__nor2_8 -*I *30782:A I *D sky130_fd_sc_hd__buf_12 -*I *30783:A I *D sky130_fd_sc_hd__buf_12 -*I *25114:A I *D sky130_fd_sc_hd__nor2_4 -*I *30535:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *24961:A 0.00135837 -2 *24960:A 0.000961934 -3 *25039:A 6.5337e-05 -4 *30782:A 0 -5 *30783:A 0.000122244 -6 *25114:A 0 -7 *30535:X 8.87057e-05 -8 *5890:124 0.0022966 -9 *5890:81 0.00131473 -10 *5890:68 0.00356635 -11 *5890:46 0.000546665 -12 *5890:42 0.00194843 -13 *5890:24 0.00507189 -14 *5890:13 0.002982 -15 *5890:6 0.00373994 -16 *24960:A *6269:12 8.5641e-05 -17 *25039:A *5892:81 7.02611e-05 -18 *25166:A *5890:68 6.3155e-05 -19 *25247:A2 *25039:A 0.000170764 -20 *25247:B1 *5890:68 0.000169036 -21 *25317:B2 *5890:68 0.00092452 -22 *25317:B2 *5890:81 5.52302e-05 -23 *25329:A1 *5890:46 0.00017309 -24 *25329:B1 *30783:A 0.00021192 -25 *25329:B1 *5890:46 0.00012501 -26 *25861:A0 *24961:A 0.000436947 -27 *25861:S *24961:A 0.000175892 -28 *27580:B2 *5890:13 0.000136951 -29 *27907:A2 *5890:124 9.60939e-05 -30 *27908:A1 *24961:A 0.000393943 -31 *27908:B1 *24961:A 1.58163e-05 -32 *27908:B2 *24961:A 6.26774e-06 -33 *27946:B2 *5890:42 0.00013248 -34 *27951:B1 *5890:124 4.43256e-05 -35 *27955:A *5890:42 0.00068219 -36 *27955:A *5890:68 0.00026563 -37 *27955:B *5890:42 0.000149904 -38 *27956:A *5890:42 0 -39 *28305:CLK *24961:A 4.58194e-05 -40 *28305:RESET_B *24961:A 0.000174374 -41 *28309:RESET_B *24961:A 1.21258e-05 -42 *28654:D *24960:A 2.53722e-05 -43 *29012:A *24961:A 9.90367e-05 -44 *30180:A *24961:A 0.000224583 -45 *30480:A *24961:A 1.11428e-05 -46 *1195:6 *24961:A 0 -47 *1242:61 *5890:68 2.3968e-05 -48 *1260:73 *24960:A 0.000241346 -49 *1274:59 *5890:68 2.75989e-05 -50 *1287:11 *24961:A 0.00010096 -51 *1287:97 *24961:A 0.000211753 -52 *1287:97 *5890:124 0.000180996 -53 *1293:42 *5890:68 4.28365e-05 -54 *1327:19 *5890:24 0.000169376 -55 *1360:101 *24960:A 0.000137939 -56 *1360:101 *5890:81 1.02504e-05 -57 *1422:115 *30783:A 9.66977e-05 -58 *1435:14 *5890:46 0.00012401 -59 *1449:21 *5890:46 0.000301438 -60 *1472:25 *5890:68 0.000111612 -61 *1494:22 *24960:A 1.77707e-05 -62 *1657:70 *5890:68 1.52749e-05 -63 *1661:19 *24960:A 0.000134215 -64 *1661:19 *5890:81 0.000144619 -65 *1665:20 *5890:24 2.99066e-05 -66 *1700:20 *24960:A 1.21955e-05 -67 *1878:7 *5890:124 0.000140933 -68 *2779:121 *24960:A 0.000416863 -69 *2779:121 *5890:81 0.000116624 -70 *2851:24 *5890:68 0.000351519 -71 *3196:179 *5890:124 0.000598025 -72 *3216:184 *5890:13 0.00193654 -73 *3437:14 *5890:42 0.000148706 -74 *3487:10 *5890:42 0.000716827 -75 *3541:38 *5890:124 3.88221e-05 -76 *3564:103 *5890:42 0.000467939 -77 *3686:83 *5890:24 0.000259079 -78 *3700:40 *5890:124 1.38323e-05 -79 *3700:72 *5890:42 0.000957181 -80 *3724:88 *5890:42 0.000126705 -81 *3724:91 *5890:42 2.16719e-05 -82 *3738:58 *5890:24 1.90936e-05 -83 *3762:30 *5890:42 0.000624039 -84 *3762:41 *5890:24 0.000259079 -85 *3762:41 *5890:42 4.81218e-05 -86 *3762:41 *5890:68 2.52313e-05 -87 *3776:44 *5890:24 2.04825e-05 -88 *3947:45 *5890:13 0.00125607 -89 *3998:46 *24960:A 2.11419e-05 -90 *4013:18 *30783:A 9.04073e-05 -91 *4036:38 *5890:42 0.00077337 -92 *4091:20 *5890:6 0.000164572 -93 *4091:20 *5890:124 0.000165911 -94 *4092:65 *5890:13 0.00141785 -95 *4133:77 *5890:68 0.000105728 -96 *4142:34 *5890:124 0.00014236 -97 *4152:60 *5890:6 3.89502e-05 -98 *4152:60 *5890:124 7.43679e-05 -99 *4952:14 *24960:A 0.00115656 -100 *5601:162 *5890:68 4.11218e-05 -101 *5612:12 *5890:81 0.000175892 -102 *5614:76 *5890:42 8.68423e-05 -103 *5641:78 *30783:A 4.51691e-05 -104 *5641:78 *5890:46 0.000502198 -105 *5656:116 *5890:68 0.00092452 -106 *5656:116 *5890:81 7.64598e-05 -107 *5656:120 *5890:68 4.10981e-05 -108 *5656:137 *5890:68 1.29535e-05 -109 *5657:132 *5890:6 7.97198e-05 -110 *5721:9 *5890:124 0.000143486 -111 *5729:301 *24961:A 0.00145452 -112 *5729:301 *5890:124 1.02936e-05 -113 *5777:32 *24960:A 0.000358623 -114 *5778:99 *5890:42 9.2831e-05 -115 *5778:103 *5890:42 0.00014716 -116 *5850:10 *24961:A 0.000240228 -117 *5878:14 *5890:46 3.63514e-05 -*RES -1 *30535:X *5890:6 16.05 -2 *5890:6 *5890:13 46.25 -3 *5890:13 *5890:24 15.8857 -4 *5890:24 *5890:42 48.5936 -5 *5890:42 *5890:46 14 -6 *5890:46 *25114:A 13.8 -7 *5890:46 *30783:A 17.6036 -8 *5890:24 *5890:68 23.4994 -9 *5890:68 *30782:A 9.3 -10 *5890:68 *5890:81 10.8214 -11 *5890:81 *25039:A 16.0143 -12 *5890:81 *24960:A 36.1059 -13 *5890:6 *5890:124 30.1786 -14 *5890:124 *24961:A 49.7821 -*END - -*D_NET *5891 0.0408723 -*CONN -*I *24932:A I *D sky130_fd_sc_hd__nor2_8 -*I *25735:A I *D sky130_fd_sc_hd__or4_4 -*I *24957:A I *D sky130_fd_sc_hd__nor2_2 -*I *25142:B I *D sky130_fd_sc_hd__nor2_4 -*I *25350:A I *D sky130_fd_sc_hd__nor2_1 -*I *25744:A I *D sky130_fd_sc_hd__or4_4 -*I *25084:A I *D sky130_fd_sc_hd__nor2_4 -*I *30546:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *24932:A 3.80513e-05 -2 *25735:A 6.19284e-05 -3 *24957:A 2.39583e-05 -4 *25142:B 0.000243425 -5 *25350:A 0.000125786 -6 *25744:A 0 -7 *25084:A 0.000242941 -8 *30546:X 7.54329e-05 -9 *5891:92 0.000991942 -10 *5891:76 0.00346918 -11 *5891:33 0.00154161 -12 *5891:28 0.00179489 -13 *5891:18 0.00285913 -14 *5891:11 0.00487396 -15 *24932:B *24932:A 2.14658e-05 -16 *24932:B *5891:92 2.89114e-05 -17 *25154:A *25350:A 7.56942e-05 -18 *25154:A *5891:33 0.000128537 -19 *25154:B *5891:33 1.98839e-05 -20 *25372:B1 *5891:33 0.000136676 -21 *25744:B *25084:A 0.00046908 -22 *25744:C *25084:A 1.51489e-05 -23 *25744:C *5891:33 0.000219711 -24 *25744:D *25084:A 9.58126e-05 -25 *26848:A1 *25142:B 6.05161e-06 -26 *26848:S *25142:B 4.04359e-05 -27 *26902:A0 *25142:B 0.000137561 -28 *26906:A1 *5891:76 0 -29 *26969:A1 *5891:92 0.000470804 -30 *26969:S *5891:92 0.000355329 -31 *27281:A1 *5891:18 0.000452327 -32 *27763:C1 *5891:76 1.03403e-05 -33 *27766:C *5891:76 0 -34 *28444:D *5891:33 9.41642e-05 -35 *29328:A *5891:33 0.000382744 -36 *30315:A *5891:92 9.71197e-05 -37 *1235:170 *24957:A 5.49544e-05 -38 *1239:90 *5891:28 8.92267e-05 -39 *1257:173 *5891:92 0.00149715 -40 *1257:186 *25735:A 0.000170661 -41 *1269:86 *5891:18 0.00031703 -42 *1269:86 *5891:28 0.000613421 -43 *1287:132 *25142:B 4.8817e-05 -44 *1327:28 *25084:A 4.46186e-06 -45 *1327:37 *25084:A 5.52302e-05 -46 *1405:49 *5891:28 2.04745e-05 -47 *1405:96 *5891:11 0.000122842 -48 *1415:27 *25142:B 4.20146e-05 -49 *1434:76 *5891:18 8.98407e-05 -50 *1436:13 *5891:33 0.000257619 -51 *1447:44 *25142:B 0.000172536 -52 *1463:23 *25142:B 2.12801e-05 -53 *1475:8 *5891:33 0.00079138 -54 *1476:238 *25084:A 0.00020234 -55 *1476:238 *25350:A 2.55806e-05 -56 *1476:238 *5891:33 0.000154467 -57 *1565:20 *25142:B 2.63501e-05 -58 *1627:10 *5891:18 0.000127059 -59 *1627:12 *5891:28 2.06112e-05 -60 *1667:8 *25350:A 4.82865e-05 -61 *1672:16 *5891:76 3.65015e-05 -62 *1719:12 *5891:18 0.00448348 -63 *1719:12 *5891:28 0.000607202 -64 *2767:188 *5891:76 0.000337651 -65 *2767:188 *5891:92 0.000166976 -66 *2775:132 *5891:18 0.000419936 -67 *3389:20 *5891:18 0.00125459 -68 *3562:16 *5891:18 2.11419e-05 -69 *3562:16 *5891:76 0.000735226 -70 *3562:25 *5891:76 0.00348719 -71 *3766:53 *25084:A 0.000182608 -72 *3771:22 *5891:18 0.0004259 -73 *3771:28 *5891:28 1.90936e-05 -74 *3790:102 *5891:18 0.000103244 -75 *3803:40 *5891:76 0 -76 *3810:26 *5891:76 0 -77 *4013:18 *25084:A 6.057e-07 -78 *4025:75 *5891:11 0.000129386 -79 *4096:32 *5891:76 0 -80 *4096:48 *5891:76 0.000146829 -81 *4128:24 *5891:18 0.000540271 -82 *4135:70 *5891:11 3.16666e-05 -83 *5589:74 *25735:A 0.000170661 -84 *5613:22 *5891:18 0.000535166 -85 *5613:46 *5891:18 6.49084e-05 -86 *5613:46 *5891:76 0.000392831 -87 *5617:19 *25084:A 5.33005e-05 -88 *5671:7 *5891:92 0.000308859 -89 *5688:25 *5891:92 1.97695e-05 -90 *5688:39 *24932:A 5.33005e-05 -91 *5688:39 *5891:92 0.00110385 -92 *5688:123 *5891:18 0.000147552 -93 *5762:105 *5891:76 0.000123359 -94 *5878:14 *25084:A 0.000195555 -*RES -1 *30546:X *5891:11 16.425 -2 *5891:11 *5891:18 17.5386 -3 *5891:18 *5891:28 13.0773 -4 *5891:28 *5891:33 23.5714 -5 *5891:33 *25084:A 26.4429 -6 *5891:33 *25744:A 9.3 -7 *5891:28 *25350:A 11.9071 -8 *5891:18 *25142:B 25.2477 -9 *5891:11 *5891:76 60.2321 -10 *5891:76 *24957:A 14.3357 -11 *5891:76 *5891:92 33.0893 -12 *5891:92 *25735:A 20.55 -13 *5891:92 *24932:A 10.2643 -*END - -*D_NET *5892 0.138098 -*CONN -*I *6457:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6458:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25582:A I *D sky130_fd_sc_hd__and2_1 -*I *25247:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *30720:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 *6457:DIODE 0.000599741 -2 *6458:DIODE 0 -3 *25582:A 0.00054424 -4 *25247:A1 4.70791e-05 -5 *30720:X 0 -6 *5892:163 0.00363009 -7 *5892:151 0.00585124 -8 *5892:145 0.00950394 -9 *5892:124 0.00844443 -10 *5892:122 0.00279493 -11 *5892:119 0.00195768 -12 *5892:96 0.00165297 -13 *5892:81 0.00329385 -14 *5892:74 0.00564338 -15 *5892:63 0.00435282 -16 *5892:57 0.00441963 -17 *5892:40 0.00471022 -18 *5892:39 0.00306738 -19 *5892:25 0.00297153 -20 *5892:6 0.00512461 -21 *5892:5 0.00315826 -22 *25582:A *25581:A 0.000130011 -23 *25582:A *25582:B 0.00018077 -24 *25582:A *5947:100 0.000178847 -25 *5892:6 *5898:17 0 -26 *5892:6 *5925:26 0 -27 *5892:25 *27099:B 4.33002e-05 -28 *5892:25 *5929:17 2.67601e-05 -29 *5892:40 *5910:107 0.000718459 -30 *5892:57 *5910:114 0.000107807 -31 *5892:151 *5909:76 0.000112655 -32 *5892:151 *5947:85 0.000240715 -33 *5892:151 *5947:89 0.00218453 -34 *5892:163 *5947:100 0.000395804 -35 *5892:163 *6036:27 0.000456839 -36 *5892:163 *6169:148 6.65282e-05 -37 *24824:A *5892:6 0 -38 *24959:B *5892:122 0.000341744 -39 *24962:B *5892:122 0 -40 *24966:A *5892:119 2.3362e-05 -41 *25039:A *5892:81 7.02611e-05 -42 *25060:B1 *5892:25 2.06178e-05 -43 *25138:B1 *5892:124 0.000650126 -44 *25185:A2 *5892:124 3.36969e-05 -45 *25214:B1 *5892:145 0.000110895 -46 *25225:C *5892:124 0.00106207 -47 *25247:A2 *25247:A1 7.66438e-05 -48 *25247:A2 *5892:81 0.00106187 -49 *25247:B1 *6457:DIODE 0.000216755 -50 *25247:B1 *25247:A1 3.57366e-05 -51 *25247:C1 *25247:A1 2.14318e-05 -52 *25295:A2 *5892:145 0.000372051 -53 *25317:B2 *6457:DIODE 0.000588985 -54 *25347:A *5892:119 0.000137561 -55 *25627:A0 *5892:74 9.41642e-05 -56 *25627:A1 *5892:74 0.00063637 -57 *25684:A1 *5892:6 0 -58 *25943:S *5892:163 1.90936e-05 -59 *26889:A1 *5892:6 0.000318313 -60 *26923:A0 *5892:6 2.06178e-05 -61 *26945:A1 *5892:25 0.000341771 -62 *26991:A *5892:39 4.04292e-05 -63 *26998:S *5892:40 6.43348e-05 -64 *27099:A *5892:25 0.000436966 -65 *27197:A0 *5892:74 0.000962302 -66 *27292:B2 *5892:122 6.30734e-05 -67 *27351:B1 *5892:74 0.0014312 -68 *27470:A1 *5892:40 0.000354047 -69 *27495:B2 *5892:151 2.04825e-05 -70 *27499:B1 *5892:151 6.09762e-05 -71 *27786:A1 *5892:25 7.45284e-05 -72 *27786:A2 *5892:39 0.000308241 -73 *27786:A2 *5892:40 9.96458e-06 -74 *27832:A1 *5892:6 0.000964491 -75 *27834:B2 *5892:40 8.22793e-06 -76 *27836:A2 *5892:40 9.00185e-05 -77 *27836:B1 *5892:40 0.000100413 -78 *27860:C1 *5892:151 0.000257619 -79 *27861:B1 *5892:145 0 -80 *27862:B2 *5892:145 0 -81 *28051:A *5892:163 5.49489e-05 -82 *28495:RESET_B *5892:25 0.000149911 -83 *28663:CLK *5892:40 0.000170575 -84 *28752:CLK *5892:74 2.09554e-05 -85 *28752:CLK *5892:81 5.56564e-05 -86 *28752:D *5892:81 2.38044e-05 -87 *28766:RESET_B *5892:6 6.27272e-06 -88 *29070:A *5892:6 1.80461e-05 -89 *29085:A *5892:6 1.94879e-05 -90 *29093:A *5892:6 0.000159546 -91 *29781:A *5892:40 0.000122765 -92 *29998:A *5892:6 4.48113e-05 -93 *30045:A *5892:40 0.000145484 -94 *30114:A *5892:25 0.000106727 -95 *30258:A *5892:6 0.000313527 -96 *30324:A *5892:145 0.000145169 -97 *30369:A *5892:25 0.000127446 -98 *30588:A *5892:6 0.000107119 -99 *30875:A *5892:25 4.63122e-05 -100 *31014:A *5892:145 9.33133e-05 -101 *68:11 *5892:6 0.000873187 -102 *922:17 *5892:25 0.000235969 -103 *1185:88 *5892:119 0.000136676 -104 *1224:36 *5892:145 0.000247239 -105 *1230:51 *5892:122 2.56046e-05 -106 *1235:52 *5892:145 6.00085e-05 -107 *1235:116 *6457:DIODE 9.91086e-05 -108 *1241:10 *5892:122 1.27625e-05 -109 *1241:10 *5892:124 0.00427915 -110 *1242:88 *5892:81 0.000142461 -111 *1257:46 *5892:145 0.000135286 -112 *1260:161 *5892:39 0.000291352 -113 *1260:202 *5892:40 7.33405e-05 -114 *1266:22 *5892:119 0.000282536 -115 *1271:131 *5892:124 0.00151095 -116 *1275:164 *5892:25 0 -117 *1288:20 *5892:122 0.000686255 -118 *1293:35 *5892:122 0.00050322 -119 *1383:13 *5892:39 0.000216755 -120 *1401:77 *5892:145 0.000376373 -121 *1437:29 *5892:145 0.00198126 -122 *1455:93 *5892:145 8.61584e-05 -123 *1479:17 *5892:145 8.81958e-05 -124 *1733:41 *5892:163 1.77065e-05 -125 *1810:36 *5892:163 0 -126 *2761:19 *5892:25 0.000118157 -127 *2771:11 *5892:39 5.00194e-05 -128 *2771:22 *5892:6 0 -129 *2771:22 *5892:25 0.000248243 -130 *2771:36 *5892:6 0.000317703 -131 *2775:99 *5892:25 0.000498927 -132 *2780:184 *5892:96 0.000122157 -133 *2780:184 *5892:119 2.22456e-05 -134 *2794:37 *5892:6 0 -135 *2794:141 *5892:74 9.06203e-05 -136 *2848:64 *5892:145 0.000132862 -137 *2859:73 *5892:145 0 -138 *2865:115 *5892:151 0.00065147 -139 *2867:39 *5892:145 0.000357928 -140 *2872:90 *5892:151 0.000306927 -141 *2877:234 *5892:63 0.000482248 -142 *2877:234 *5892:74 0.00126915 -143 *2888:212 *5892:74 2.01997e-05 -144 *2894:63 *5892:145 5.07917e-05 -145 *2895:61 *5892:145 2.60996e-05 -146 *2895:79 *5892:145 0.000500658 -147 *3029:10 *5892:63 0.000367035 -148 *3099:17 *5892:145 0.000150568 -149 *3101:29 *5892:145 2.33863e-05 -150 *3165:73 *5892:40 0 -151 *3174:61 *5892:145 0 -152 *3179:238 *5892:40 0.000156319 -153 *3179:244 *5892:25 0.000450139 -154 *3179:244 *5892:39 0.00195141 -155 *3183:70 *5892:145 0.000260574 -156 *3194:106 *5892:145 9.83638e-05 -157 *3197:152 *5892:151 0.000286693 -158 *3197:164 *5892:151 2.11068e-05 -159 *3250:26 *5892:74 8.72919e-05 -160 *3305:19 *5892:122 3.03764e-05 -161 *3314:26 *6457:DIODE 0.000102545 -162 *3370:18 *5892:63 0.000368559 -163 *3457:22 *5892:145 0.000816882 -164 *3482:19 *5892:145 6.06099e-05 -165 *3503:19 *5892:145 3.00664e-05 -166 *3720:19 *5892:39 4.65519e-05 -167 *3731:18 *5892:6 0.000181397 -168 *3736:25 *5892:145 7.88144e-05 -169 *3739:101 *5892:122 0.000580487 -170 *3756:18 *5892:74 6.39808e-05 -171 *3758:43 *5892:119 0.000893044 -172 *3758:43 *5892:122 0 -173 *3813:19 *5892:57 2.97812e-05 -174 *3831:53 *5892:145 0.000133682 -175 *3847:87 *5892:74 6.59118e-05 -176 *3891:58 *5892:40 0 -177 *3892:75 *5892:145 0.000242366 -178 *3954:8 *5892:39 9.91086e-05 -179 *3954:40 *5892:39 0.000400038 -180 *3971:42 *5892:145 0 -181 *3995:58 *5892:39 0.000160526 -182 *4045:18 *5892:6 0.000657883 -183 *4045:50 *5892:6 0.00129311 -184 *4045:50 *5892:25 0.000961788 -185 *4060:23 *5892:6 0.000768619 -186 *4068:31 *5892:74 8.55772e-05 -187 *4076:72 *5892:96 7.18605e-05 -188 *4076:72 *5892:119 8.6051e-05 -189 *4076:87 *5892:119 0.0011547 -190 *4133:85 *5892:122 1.27784e-05 -191 *5386:11 *5892:145 0 -192 *5457:21 *5892:163 0.000282717 -193 *5587:31 *5892:119 0.00029677 -194 *5587:31 *5892:122 0.000145701 -195 *5601:162 *5892:119 1.74899e-05 -196 *5605:99 *5892:124 2.04825e-05 -197 *5620:18 *5892:145 0.000357574 -198 *5621:34 *5892:124 0.000250713 -199 *5626:49 *5892:124 2.09826e-05 -200 *5626:109 *5892:145 0.000580754 -201 *5639:147 *5892:57 0.000277381 -202 *5640:53 *5892:122 0.000106493 -203 *5642:82 *5892:57 0.000277255 -204 *5642:95 *5892:40 2.89791e-06 -205 *5642:95 *5892:57 2.63501e-05 -206 *5645:91 *5892:63 0.000104174 -207 *5645:91 *5892:74 0.000103478 -208 *5656:275 *5892:40 0.00133685 -209 *5661:70 *5892:145 0 -210 *5666:179 *5892:145 6.67783e-05 -211 *5682:158 *5892:6 0.000450745 -212 *5683:266 *5892:39 0.000316932 -213 *5696:6 *5892:6 0 -214 *5708:211 *5892:57 0.00208224 -215 *5727:73 *5892:163 0.000185181 -216 *5727:79 *5892:163 1.51571e-05 -217 *5739:78 *25582:A 0.000470804 -218 *5739:78 *5892:163 4.25176e-05 -219 *5764:179 *5892:74 0.00145069 -220 *5766:7 *5892:39 0.000175892 -221 *5766:17 *5892:39 0.000171794 -222 *5766:29 *5892:39 2.11419e-05 -223 *5778:190 *6457:DIODE 6.43196e-05 -224 *5778:190 *25247:A1 0.000140088 -225 *5778:190 *5892:81 0.00145499 -226 *5817:8 *5892:122 0.000551635 -227 *5817:15 *5892:122 0.00104722 -228 *5817:16 *5892:122 4.34472e-05 -229 *5817:16 *5892:124 0.000359213 -230 *5817:43 *5892:122 0.000192257 -231 *5829:165 *5892:25 7.76348e-05 -232 *5836:78 *5892:119 0.000129512 -233 *5863:117 *5892:6 0 -234 *5863:161 *5892:40 0 -235 *5863:163 *5892:40 0 -236 *5863:163 *5892:57 0 -237 *5869:95 *5892:122 0 -238 *5872:26 *5892:40 0.000418994 -239 *5872:42 *5892:40 9.24387e-05 -240 *5873:26 *5892:25 1.08691e-05 -241 *5873:31 *5892:25 0.00100828 -242 *5873:37 *5892:39 0.000305306 -243 *5873:59 *5892:39 1.98839e-05 -244 *5881:13 *5892:6 0.00152154 -*RES -1 *30720:X *5892:5 13.8 -2 *5892:5 *5892:6 83.9018 -3 *5892:6 *5892:25 47.4305 -4 *5892:25 *5892:39 47.9386 -5 *5892:39 *5892:40 48.8482 -6 *5892:40 *5892:57 48.6245 -7 *5892:57 *5892:63 27.9018 -8 *5892:63 *5892:74 49.0041 -9 *5892:74 *5892:81 46.4464 -10 *5892:81 *25247:A1 11.0857 -11 *5892:81 *5892:96 7.51786 -12 *5892:96 *5892:119 45.1786 -13 *5892:119 *5892:122 31.7768 -14 *5892:122 *5892:124 56.7411 -15 *5892:124 *5892:145 46.6872 -16 *5892:145 *5892:151 48.4368 -17 *5892:151 *5892:163 26.6865 -18 *5892:163 *25582:A 20.1036 -19 *5892:163 *6458:DIODE 9.3 -20 *5892:96 *6457:DIODE 32.1929 -*END - -*D_NET *5893 0.0457096 -*CONN -*I *25739:S I *D sky130_fd_sc_hd__mux2_1 -*I *25737:S I *D sky130_fd_sc_hd__mux2_1 -*I *25736:S I *D sky130_fd_sc_hd__mux2_1 -*I *25738:S I *D sky130_fd_sc_hd__mux2_1 -*I *25743:S I *D sky130_fd_sc_hd__mux2_1 -*I *25742:S I *D sky130_fd_sc_hd__mux2_1 -*I *25741:S I *D sky130_fd_sc_hd__mux2_1 -*I *25740:S I *D sky130_fd_sc_hd__mux2_1 -*I *30557:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25739:S 0.000373306 -2 *25737:S 0 -3 *25736:S 0.000658088 -4 *25738:S 0 -5 *25743:S 2.46213e-05 -6 *25742:S 0.000201258 -7 *25741:S 6.82328e-05 -8 *25740:S 0.000504984 -9 *30557:X 0.000885358 -10 *5893:113 0.00176047 -11 *5893:101 0.00171367 -12 *5893:92 0.0025624 -13 *5893:81 0.00417742 -14 *5893:47 0.000269564 -15 *5893:46 0.00304091 -16 *5893:14 0.00118203 -17 *5893:10 0.00189178 -18 *25740:S *25686:A0 0.000122091 -19 *25740:S *25741:A0 2.28598e-05 -20 *25740:S *5926:35 0.00058378 -21 *25740:S *5926:39 9.0145e-05 -22 *25742:S *5927:14 0.000396892 -23 *5893:46 *5926:63 0.000605198 -24 *5893:46 *5927:14 5.1588e-05 -25 *5893:81 *28177:D 0.000384839 -26 *5893:81 *6285:21 0.00109813 -27 *5893:81 *6286:16 6.24939e-05 -28 *5893:92 *5903:11 0.000651301 -29 *5893:113 *25737:A1 3.90513e-05 -30 *5893:113 *6048:8 0.000380908 -31 mgmt_gpio_oeb[18] *5893:113 7.19919e-05 -32 *24831:A *5893:81 4.79414e-05 -33 *25678:A1 *25736:S 0.000314323 -34 *25679:S *25736:S 0 -35 *25680:A1 *25736:S 4.66511e-05 -36 *25680:A1 *5893:113 0.000179656 -37 *25681:A1 *5893:113 0.000101545 -38 *25683:A0 *5893:92 1.21258e-05 -39 *25683:A1 *5893:113 0 -40 *25684:A0 *25739:S 0 -41 *25685:A0 *25739:S 0 -42 *25685:A1 *25739:S 1.59312e-05 -43 *25688:A1 *5893:46 1.30798e-05 -44 *25739:A0 *25739:S 0.000126639 -45 *25741:A1 *25741:S 1.19309e-05 -46 *25741:A1 *5893:14 0 -47 *25743:A0 *25743:S 9.60875e-05 -48 *25743:A0 *5893:46 0.000224583 -49 *25743:A1 *5893:46 0.000181796 -50 *26861:A1 *5893:81 0.00014285 -51 *26985:A0 *5893:10 0.000307615 -52 *28177:CLK *5893:10 3.75052e-05 -53 *28177:CLK *5893:46 4.53611e-05 -54 *28206:D *5893:101 1.09026e-05 -55 *28207:D *5893:101 0.000243635 -56 *28450:CLK *5893:81 6.40275e-05 -57 *28452:D *5893:81 0.00110037 -58 *28457:CLK *5893:81 4.46839e-05 -59 *28691:D *5893:10 8.2395e-05 -60 *29153:A *5893:81 2.03618e-05 -61 *29154:A *5893:81 6.18969e-06 -62 *29274:A *25736:S 0.000411134 -63 *29373:A *5893:81 0.000346177 -64 *29458:A *5893:10 0.000102207 -65 *29589:A *5893:113 2.72545e-05 -66 *29923:A *5893:92 0.0015998 -67 *29925:A *5893:81 0 -68 *29939:A *5893:81 0.00116233 -69 *29983:A *5893:81 0.000227539 -70 *30006:A *25739:S 1.21955e-05 -71 *30006:A *5893:101 0 -72 *30170:A *25736:S 7.38632e-05 -73 *30351:A *5893:101 0 -74 *30538:A *5893:101 0.000437756 -75 *30563:A *5893:92 0.000911901 -76 *30563:A *5893:101 0.000310314 -77 *30700:A *5893:113 0 -78 *30701:A *5893:101 2.61337e-05 -79 *30721:A *5893:92 0.000399841 -80 *30863:A *25739:S 0.000167988 -81 *30880:A *5893:101 8.15939e-05 -82 *30880:A *5893:113 0.000353079 -83 *56:13 *25740:S 0 -84 *60:13 *5893:81 0.000103331 -85 *61:14 *25742:S 0.000160394 -86 *61:14 *5893:47 4.18827e-05 -87 *61:14 *5893:81 3.1412e-05 -88 *552:14 *25741:S 0.00018372 -89 *553:13 *25742:S 0.000398822 -90 *554:20 *5893:81 0.002418 -91 *786:13 *5893:81 3.47641e-06 -92 *976:31 *5893:10 1.63453e-05 -93 *2770:134 *5893:10 0.000225616 -94 *3538:92 *25736:S 0 -95 *3603:129 *5893:10 0.000172499 -96 *3603:136 *5893:10 8.17615e-05 -97 *3603:164 *25742:S 0 -98 *3603:164 *5893:81 0 -99 *3704:6 *5893:10 9.4724e-05 -100 *3704:10 *5893:10 0.000212297 -101 *3924:34 *5893:10 0.000185266 -102 *4229:27 *5893:46 0.000650934 -103 *4229:27 *5893:81 0 -104 *4925:25 *5893:92 5.71472e-05 -105 *5181:22 *5893:81 1.69961e-05 -106 *5181:22 *5893:92 0.000365058 -107 *5461:32 *25736:S 0.000636112 -108 *5461:32 *5893:113 0.000568355 -109 *5462:33 *25743:S 9.60875e-05 -110 *5462:33 *5893:14 0.000616982 -111 *5462:33 *5893:46 0.000225616 -112 *5462:70 *25739:S 3.22339e-05 -113 *5462:70 *5893:92 0.00084491 -114 *5588:85 *5893:81 0.000204564 -115 *5680:18 *5893:81 7.88542e-05 -116 *5680:30 *5893:81 0.00206398 -117 *5683:29 *5893:46 1.5424e-05 -118 *5702:16 *5893:10 0 -119 *5702:18 *5893:10 0 -120 *5709:332 *25736:S 0.000174539 -121 *5736:10 *25741:S 0 -122 *5736:10 *5893:10 0 -123 *5736:10 *5893:14 0 -124 *5769:149 *25740:S 5.66157e-05 -125 *5792:15 *25740:S 0.000228558 -126 *5792:15 *5893:14 0 -127 *5828:17 *5893:10 0.000442475 -*RES -1 *30557:X *5893:10 41.2464 -2 *5893:10 *5893:14 13.2321 -3 *5893:14 *25740:S 35.2821 -4 *5893:14 *25741:S 16.7107 -5 *5893:10 *5893:46 25.3571 -6 *5893:46 *5893:47 0.732143 -7 *5893:47 *25742:S 19.8714 -8 *5893:47 *25743:S 14.7464 -9 *5893:46 *5893:81 41.6553 -10 *5893:81 *5893:92 41.3571 -11 *5893:92 *5893:101 25.2679 -12 *5893:101 *25738:S 9.3 -13 *5893:101 *5893:113 31 -14 *5893:113 *25736:S 33.3536 -15 *5893:113 *25737:S 13.8 -16 *5893:92 *25739:S 20.8357 -*END - -*D_NET *5894 0.0101405 -*CONN -*I *28210:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *30568:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28210:D 0.00206147 -2 *30568:X 0.00040234 -3 *5894:14 0.00246381 -4 *28210:D *5927:14 0.00103449 -5 *26857:A1 *5894:14 0.0011021 -6 *28210:CLK *28210:D 0.000360776 -7 *28210:RESET_B *28210:D 7.49387e-06 -8 *29083:A *5894:14 0.000433781 -9 *29373:A *5894:14 2.22594e-05 -10 *29965:A *5894:14 9.90431e-05 -11 *553:13 *28210:D 0.00105983 -12 *553:13 *5894:14 0.000888201 -13 *783:17 *28210:D 5.33005e-05 -14 *2756:31 *5894:14 1.10997e-05 -15 *4230:17 *28210:D 4.88637e-05 -16 *5462:55 *5894:14 9.16074e-05 -*RES -1 *30568:X *5894:14 34.4964 -2 *5894:14 *28210:D 38.233 -*END - -*D_NET *5895 0.00414048 -*CONN -*I *25603:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *30579:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25603:A1 0 -2 *30579:X 0.000803548 -3 *5895:15 0.000803548 -4 *5895:15 *6036:95 2.05938e-05 -5 *25605:A1 *5895:15 0.000464586 -6 *27986:C *5895:15 0.000100831 -7 *28010:A1 *5895:15 0.000529528 -8 *28010:S *5895:15 0.000363252 -9 *1821:18 *5895:15 0.000449666 -10 *1822:11 *5895:15 3.38171e-05 -11 *1883:10 *5895:15 0.0001008 -12 *3514:8 *5895:15 1.08359e-05 -13 *3516:6 *5895:15 0.000333341 -14 *3532:10 *5895:15 4.69061e-05 -15 *3578:56 *5895:15 5.52302e-05 -16 *5763:163 *5895:15 2.39923e-05 -*RES -1 *30579:X *5895:15 41.3179 -2 *5895:15 *25603:A1 9.3 -*END - -*D_NET *5896 0.0110706 -*CONN -*I *29702:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29063:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *29702:A 0.00104617 -2 *29063:X 0.0013477 -3 *5896:17 0.00239388 -4 *5896:17 *5912:81 0.000561398 -5 *5896:17 *5912:100 0.00144335 -6 *26857:A0 *29702:A 0 -7 *26983:A1 *5896:17 0.000180239 -8 *27188:A0 *5896:17 1.74352e-05 -9 *28445:CLK *29702:A 0.000239712 -10 *28508:D *29702:A 0.00064714 -11 *28745:D *5896:17 9.01312e-06 -12 *28745:RESET_B *29702:A 0.000169427 -13 *29105:A *29702:A 0.000130881 -14 *29113:A *29702:A 0.000156317 -15 *29116:A *29702:A 0.000486447 -16 *29116:A *5896:17 0.000149895 -17 *29302:A *5896:17 0.000181381 -18 *30455:A *5896:17 0.000122879 -19 *30456:A *5896:17 0.000104523 -20 *3603:83 *5896:17 7.31177e-05 -21 *3603:95 *5896:17 0.000105163 -22 *3822:110 *5896:17 0.000240349 -23 *3835:26 *29702:A 8.6229e-06 -24 *3951:8 *29702:A 0.000142726 -25 *4029:10 *29702:A 0.000440698 -26 *4699:9 *5896:17 0.000604185 -27 *5771:18 *29702:A 3.63775e-05 -28 *5881:43 *29702:A 3.15318e-05 -*RES -1 *29063:X *5896:17 49.8 -2 *5896:17 *29702:A 44.5857 -*END - -*D_NET *5897 0.00659238 -*CONN -*I *28973:A I *D sky130_fd_sc_hd__buf_12 -*I *29074:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28973:A 0 -2 *29074:X 0.00196473 -3 *5897:13 0.00196473 -4 *5897:13 *28177:D 1.40131e-05 -5 *25692:S *5897:13 0.000163154 -6 *28450:CLK *5897:13 1.98839e-05 -7 *28450:RESET_B *5897:13 0.000222789 -8 *28451:CLK *5897:13 7.17235e-05 -9 *29074:A *5897:13 4.87854e-05 -10 *29373:A *5897:13 0.000136388 -11 *29925:A *5897:13 0.000271345 -12 *30559:A *5897:13 9.39059e-05 -13 *30710:A *5897:13 4.51526e-05 -14 *3604:124 *5897:13 7.02611e-05 -15 *5462:33 *5897:13 7.7019e-05 -16 *5680:5 *5897:13 0.0001399 -17 *5680:18 *5897:13 0.000428274 -18 *5828:17 *5897:13 0.00025217 -19 *5879:237 *5897:13 0.000608147 -*RES -1 *29074:X *5897:13 48.9607 -2 *5897:13 *28973:A 9.3 -*END - -*D_NET *5898 0.00684135 -*CONN -*I *28456:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29085:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28456:D 0.000215855 -2 *29085:X 0.00159565 -3 *5898:17 0.00181151 -4 *28456:D *6242:23 0.000532058 -5 *5898:17 *5925:10 0 -6 *5898:17 *6242:23 0.000978186 -7 *24824:A *5898:17 0.000290208 -8 *25739:A0 *5898:17 0.000653264 -9 *28455:RESET_B *28456:D 0.00022346 -10 *28456:RESET_B *28456:D 0.00013324 -11 *29085:A *5898:17 4.82865e-05 -12 *30856:A *5898:17 9.90367e-05 -13 *30863:A *5898:17 0.000162577 -14 *1835:90 *5898:17 9.80173e-05 -15 *5892:6 *5898:17 0 -*RES -1 *29085:X *5898:17 46.7107 -2 *5898:17 *28456:D 17.2286 -*END - -*D_NET *5899 0.0125967 -*CONN -*I *24877:A1 I *D sky130_fd_sc_hd__a21bo_1 -*I *24872:A I *D sky130_fd_sc_hd__nand2_1 -*I *29096:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *24877:A1 0.000538666 -2 *24872:A 0.00113804 -3 *29096:X 0 -4 *5899:20 0.0020652 -5 *5899:4 0.00146583 -6 *24872:A *6169:107 9.95087e-05 -7 *5899:20 *6169:126 0.000151841 -8 *24867:B1 *24877:A1 0.000103977 -9 *24872:B *24872:A 0.000137054 -10 *25168:A0 *24872:A 0.000300981 -11 *25168:A1 *24872:A 0.000379935 -12 *25168:A1 *5899:20 9.92498e-05 -13 *25168:S *24872:A 2.39876e-05 -14 *25805:S *5899:20 3.4323e-06 -15 *27977:A0 *24872:A 0.000168604 -16 *27977:A1 *24872:A 3.0189e-05 -17 *28062:A *5899:20 0.000137561 -18 *28148:D *24877:A1 0 -19 *28414:D *5899:20 0.000125241 -20 *28818:D *24872:A 5.96994e-06 -21 *28849:A *24872:A 7.54868e-05 -22 *29107:A *24877:A1 8.19848e-05 -23 *29470:A *5899:20 0.00052271 -24 *29476:A *5899:20 0.000571559 -25 *593:19 *24872:A 0.000303175 -26 *743:11 *5899:20 8.55319e-05 -27 *1191:52 *5899:20 0.000144684 -28 *1191:85 *24872:A 1.27625e-05 -29 *1191:85 *5899:20 9.75027e-06 -30 *1193:9 *24877:A1 8.40933e-05 -31 *1202:18 *24877:A1 0.000801503 -32 *1202:43 *24877:A1 1.41706e-05 -33 *1324:113 *5899:20 0.000146474 -34 *1742:12 *24877:A1 0.000134422 -35 *1755:10 *24872:A 3.96861e-05 -36 *1755:10 *5899:20 8.69063e-05 -37 *4159:64 *24877:A1 0.00017754 -38 *4160:15 *24877:A1 0.000381703 -39 *4161:28 *24877:A1 6.8944e-05 -40 *4175:10 *5899:20 1.24368e-05 -41 *5238:13 *5899:20 0.000475755 -42 *5242:18 *5899:20 1.15916e-05 -43 *5350:8 *5899:20 0.000579684 -44 *5356:11 *24872:A 0.000171504 -45 *5377:15 *24877:A1 0.000269174 -46 *5575:30 *24877:A1 6.9253e-05 -47 *5728:36 *5899:20 0.000136949 -48 *5835:11 *24877:A1 0.000135028 -49 *5836:150 *24877:A1 1.6959e-05 -50 *5887:14 *24877:A1 0 -*RES -1 *29096:X *5899:4 9.3 -2 *5899:4 *5899:20 41.0804 -3 *5899:20 *24872:A 45.9161 -4 *5899:4 *24877:A1 35.8893 -*END - -*D_NET *5900 0.00587827 -*CONN -*I *24878:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29107:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *24878:A0 0 -2 *29107:X 0.00173673 -3 *5900:10 0.00173673 -4 *25435:A1 *5900:10 0.000229176 -5 *25435:S *5900:10 4.46231e-06 -6 *25436:A1 *5900:10 0.000135028 -7 *30277:A *5900:10 0.000312757 -8 *30524:A *5900:10 5.62934e-05 -9 *1173:96 *5900:10 0.000548857 -10 *1193:9 *5900:10 5.75147e-05 -11 *1197:15 *5900:10 1.74932e-05 -12 *1231:17 *5900:10 6.71851e-05 -13 *5719:115 *5900:10 0 -14 *5719:120 *5900:10 2.14757e-05 -15 *5742:163 *5900:10 0.000491912 -16 *5797:11 *5900:10 5.26184e-05 -17 *5805:22 *5900:10 0.000195562 -18 *5888:16 *5900:10 3.075e-05 -19 *5889:19 *5900:10 0.000183726 -*RES -1 *29107:X *5900:10 47.7107 -2 *5900:10 *24878:A0 9.3 -*END - -*D_NET *5901 0.0197461 -*CONN -*I *24933:C_N I *D sky130_fd_sc_hd__or3b_4 -*I *24925:C I *D sky130_fd_sc_hd__or3_4 -*I *24879:A I *D sky130_fd_sc_hd__or3b_1 -*I *24921:B I *D sky130_fd_sc_hd__or3b_4 -*I *24905:B I *D sky130_fd_sc_hd__or3_4 -*I *24894:B I *D sky130_fd_sc_hd__nand2_1 -*I *29118:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *24933:C_N 0.000366564 -2 *24925:C 0 -3 *24879:A 0.00113893 -4 *24921:B 0 -5 *24905:B 5.56924e-05 -6 *24894:B 0.000175941 -7 *29118:X 5.67792e-05 -8 *5901:57 0.00363089 -9 *5901:36 0.00263526 -10 *5901:35 0.000537843 -11 *5901:27 0.000163966 -12 *5901:17 0.000647936 -13 *5901:5 0.000688971 -14 *5901:27 *30790:A 9.41642e-05 -15 *5901:35 *30790:A 8.17274e-05 -16 *24867:A2 *5901:5 9.41642e-05 -17 *24867:A2 *5901:17 0.000525388 -18 *24871:A1 *5901:17 1.82657e-05 -19 *24871:A1 *5901:27 3.6392e-05 -20 *24905:C *24905:B 0.000176028 -21 *24921:A *5901:27 5.33005e-05 -22 *24921:A *5901:35 9.41642e-05 -23 *24933:B *24933:C_N 0.000703523 -24 *25416:A2 *24879:A 6.63776e-05 -25 *25416:A2 *5901:57 0.000147829 -26 *25644:A1 *24879:A 3.05522e-05 -27 *25645:S *24879:A 0.000595847 -28 *25645:S *5901:57 0 -29 *25775:S *5901:57 0.000153282 -30 *27569:B *24879:A 6.28248e-05 -31 *27569:C *24879:A 4.96113e-05 -32 *28144:CLK *5901:57 2.59355e-05 -33 *28144:D *5901:57 2.89016e-05 -34 *28260:D *5901:57 0.000522037 -35 *29306:A *24933:C_N 0.000136958 -36 *740:19 *5901:57 0.000878409 -37 *1173:96 *24933:C_N 0.000240135 -38 *1173:96 *5901:36 0.000375102 -39 *1173:96 *5901:57 0.000125731 -40 *1202:17 *5901:57 0.000143362 -41 *1231:17 *24905:B 0.000174448 -42 *1247:12 *5901:27 4.43256e-05 -43 *1251:21 *5901:57 4.31721e-05 -44 *1287:21 *24933:C_N 0.000233234 -45 *1287:21 *5901:36 0.000364852 -46 *1287:21 *5901:57 0.000127446 -47 *1423:66 *24879:A 0.000301896 -48 *1771:29 *24894:B 0.000625639 -49 *3558:38 *5901:57 9.02517e-05 -50 *3657:10 *24933:C_N 0.000703523 -51 *3710:18 *5901:57 0.00016516 -52 *3962:13 *24879:A 5.56679e-05 -53 *4103:7 *5901:57 5.49489e-05 -54 *4152:51 *24879:A 0.000117447 -55 *4189:41 *24894:B 0.000625639 -56 *5077:11 *24933:C_N 0.000135028 -57 *5805:37 *5901:27 0.000182694 -58 *5838:31 *24879:A 1.24368e-05 -59 *5865:56 *5901:17 0.000129515 -*RES -1 *29118:X *5901:5 10.2464 -2 *5901:5 *24894:B 26.3179 -3 *5901:5 *5901:17 8.35714 -4 *5901:17 *24905:B 20.55 -5 *5901:17 *5901:27 3.41071 -6 *5901:27 *24921:B 9.3 -7 *5901:27 *5901:35 5.44643 -8 *5901:35 *5901:36 4.98214 -9 *5901:36 *5901:57 49.5714 -10 *5901:57 *24879:A 34.9429 -11 *5901:36 *24925:C 13.8 -12 *5901:35 *24933:C_N 36.55 -*END - -*D_NET *5902 0.0473823 -*CONN -*I *30790:A I *D sky130_fd_sc_hd__buf_12 -*I *25149:A I *D sky130_fd_sc_hd__nor2_4 -*I *25109:A I *D sky130_fd_sc_hd__nor2_8 -*I *29129:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *30790:A 0.00106826 -2 *25149:A 0 -3 *25109:A 0.00418183 -4 *29129:X 0.000454321 -5 *5902:28 0.00555584 -6 *5902:22 0.0110356 -7 *5902:8 0.0111841 -8 *24877:A2 *30790:A 0.000392013 -9 *24921:A *30790:A 0.000116982 -10 *25087:A *25109:A 2.06112e-05 -11 *25430:A_N *5902:8 0.000218679 -12 *25434:B *5902:8 5.63704e-05 -13 *25439:A0 *5902:22 5.4028e-05 -14 *25539:A2_N *5902:22 0.000135968 -15 *25657:S *30790:A 0.000219711 -16 *25830:A1 *5902:28 0.000480441 -17 *25907:A1 *25109:A 0.000107807 -18 *27849:B2 *5902:28 0.000131813 -19 *27888:C1 *5902:28 0.00164231 -20 *27890:D *5902:28 0.00015304 -21 *28068:RESET_B *5902:22 0.000127987 -22 *28146:CLK *5902:22 0.000110113 -23 *28168:D *5902:22 0.000114602 -24 *28408:RESET_B *30790:A 0.000213526 -25 *29173:A *5902:8 1.74909e-05 -26 *30282:A *30790:A 0.000309854 -27 *501:10 *30790:A 9.79256e-05 -28 *759:16 *25109:A 2.01997e-05 -29 *1173:20 *5902:22 0.000164783 -30 *1192:34 *5902:22 0 -31 *1194:14 *5902:8 0.000131546 -32 *1194:14 *5902:22 2.06178e-05 -33 *1231:17 *5902:8 5.96516e-05 -34 *1247:12 *30790:A 0.00038021 -35 *1362:174 *5902:28 0 -36 *1617:17 *25109:A 0.000619151 -37 *1730:41 *5902:22 0.000310049 -38 *1744:38 *5902:8 0.000245517 -39 *1744:46 *5902:22 0.000162927 -40 *1810:19 *5902:22 0 -41 *1875:36 *30790:A 0.000282186 -42 *3184:207 *5902:22 2.11419e-05 -43 *3184:207 *5902:28 0.000399237 -44 *3561:88 *5902:22 0 -45 *3651:10 *25109:A 2.21972e-05 -46 *3749:54 *5902:22 1.1594e-05 -47 *3749:54 *5902:28 0.000657098 -48 *3802:26 *25109:A 0 -49 *3806:33 *5902:28 0.00143975 -50 *3815:59 *5902:28 0.000661791 -51 *3973:41 *25109:A 0.000508997 -52 *3973:83 *25109:A 0.000426387 -53 *4127:75 *30790:A 4.39567e-05 -54 *4161:17 *30790:A 5.52238e-05 -55 *4161:17 *5902:8 4.18834e-05 -56 *4161:17 *5902:22 1.90936e-05 -57 *4189:40 *5902:22 0.00017179 -58 *4196:18 *5902:22 0.000124005 -59 *5256:23 *5902:22 0 -60 *5291:29 *5902:22 0.000135968 -61 *5430:12 *25109:A 0 -62 *5457:21 *5902:22 0 -63 *5542:12 *5902:22 0.000409535 -64 *5665:76 *25109:A 0.000210729 -65 *5710:76 *5902:28 0.000120141 -66 *5710:78 *5902:28 0 -67 *5727:36 *5902:22 0.00028972 -68 *5742:163 *30790:A 0.000249148 -69 *5805:37 *30790:A 0.000120505 -70 *5837:10 *30790:A 0.00031275 -71 *5888:16 *30790:A 7.90803e-05 -72 *5888:16 *5902:8 7.6644e-05 -73 *5901:27 *30790:A 9.41642e-05 -74 *5901:35 *30790:A 8.17274e-05 -*RES -1 *29129:X *5902:8 21.5857 -2 *5902:8 *5902:22 33.2756 -3 *5902:22 *5902:28 46.7768 -4 *5902:28 *25109:A 36.9539 -5 *5902:22 *25149:A 13.8 -6 *5902:8 *30790:A 49.3714 -*END - -*D_NET *5903 0.0339196 -*CONN -*I *25205:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30721:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *25205:B2 6.05505e-05 -2 *30721:X 0.0050058 -3 *5903:35 0.00151644 -4 *5903:31 0.00162479 -5 *5903:28 0.00154492 -6 *5903:11 0.00638182 -7 *5903:11 *5905:37 0.00255341 -8 *5903:11 *6011:17 0 -9 *25205:A1 *25205:B2 1.06722e-05 -10 *25205:B1 *5903:35 0.00123539 -11 *25683:A0 *5903:11 0.000356022 -12 *25722:S *5903:35 0.000348328 -13 *27112:S *5903:35 0.00144687 -14 *28501:CLK *5903:28 0.000157568 -15 *28676:D *5903:35 0.000306163 -16 *28693:RESET_B *5903:11 0.000162121 -17 *28746:CLK *5903:28 0.000304915 -18 *29410:A *5903:11 0 -19 *29639:A *5903:28 0 -20 *29955:A *5903:11 0.000301273 -21 *29964:A *5903:11 0.000518147 -22 *30009:A *5903:11 0.000194095 -23 *30563:A *5903:11 0.000134559 -24 *889:22 *5903:11 8.60328e-05 -25 *970:16 *5903:11 2.79405e-05 -26 *970:16 *5903:28 0.000174625 -27 *1265:161 *5903:28 0.00023792 -28 *1272:113 *25205:B2 6.05161e-06 -29 *1361:147 *5903:35 0.000201065 -30 *1697:44 *5903:35 2.04825e-05 -31 *2754:28 *5903:35 5.84591e-05 -32 *2754:34 *5903:28 0.00015875 -33 *2754:34 *5903:35 0.000183121 -34 *2764:30 *5903:28 2.49484e-05 -35 *2769:209 *5903:11 0.000350813 -36 *2773:94 *5903:35 9.65217e-05 -37 *2792:13 *5903:31 0.000219711 -38 *2864:321 *5903:35 0.000188452 -39 *3604:18 *5903:28 0.000374141 -40 *3848:14 *5903:28 0.000450469 -41 *3861:40 *5903:35 0.000687526 -42 *3900:21 *5903:35 0.000130527 -43 *3900:26 *5903:35 0.000449499 -44 *3900:28 *5903:35 2.90049e-05 -45 *3913:106 *5903:11 0.0014598 -46 *3991:72 *5903:11 0.000238022 -47 *4043:32 *5903:28 0.000570602 -48 *5701:29 *5903:11 0.000214798 -49 *5701:58 *5903:11 0.000230213 -50 *5701:61 *5903:11 0.000111451 -51 *5709:290 *5903:28 0.00125421 -52 *5795:181 *5903:28 0.000817991 -53 *5863:60 *5903:28 5.12787e-05 -54 *5893:92 *5903:11 0.000651301 -*RES -1 *30721:X *5903:11 48.8916 -2 *5903:11 *5903:28 48.1154 -3 *5903:28 *5903:31 6.67857 -4 *5903:31 *5903:35 49.7857 -5 *5903:35 *25205:B2 10.4652 -*END - -*D_NET *5904 0.00155312 -*CONN -*I *30774:A I *D sky130_fd_sc_hd__buf_8 -*I *29140:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *30774:A 0.000432254 -2 *29140:X 0.000432254 -3 *29140:A *30774:A 0.00012783 -4 *1241:10 *30774:A 0.000319859 -5 *4063:59 *30774:A 0.00024092 -*RES -1 *29140:X *30774:A 35.1 -*END - -*D_NET *5905 0.0762581 -*CONN -*I *27123:S I *D sky130_fd_sc_hd__mux2_1 -*I *27120:S I *D sky130_fd_sc_hd__mux2_1 -*I *27122:S I *D sky130_fd_sc_hd__mux2_1 -*I *27119:S I *D sky130_fd_sc_hd__mux2_1 -*I *27125:S I *D sky130_fd_sc_hd__mux2_1 -*I *27121:S I *D sky130_fd_sc_hd__mux2_1 -*I *27118:S I *D sky130_fd_sc_hd__mux2_1 -*I *27124:S I *D sky130_fd_sc_hd__mux2_1 -*I *29151:X O *D sky130_fd_sc_hd__clkbuf_8 -*CAP -1 *27123:S 1.16062e-05 -2 *27120:S 1.96766e-05 -3 *27122:S 0.000936415 -4 *27119:S 0.000183844 -5 *27125:S 0.00112636 -6 *27121:S 0 -7 *27118:S 0.00011126 -8 *27124:S 0.000245892 -9 *29151:X 0.000108823 -10 *5905:124 0.00198 -11 *5905:110 0.00392193 -12 *5905:86 0.00519238 -13 *5905:79 0.00235154 -14 *5905:68 0.00178326 -15 *5905:48 0.00347092 -16 *5905:41 0.00370039 -17 *5905:37 0.00119547 -18 *5905:18 0.00167309 -19 *5905:16 0.00259297 -20 *5905:9 0.00257296 -21 *5905:16 *25724:A0 0.000776463 -22 *5905:18 *26986:A1 3.34783e-05 -23 *5905:37 *6260:10 1.12323e-05 -24 *5905:37 *6262:14 2.06112e-05 -25 *5905:41 *6260:10 9.25014e-06 -26 *5905:41 *6262:14 0.000562114 -27 *25190:C1 *27122:S 4.83837e-05 -28 *25194:A2 *5905:79 3.46362e-05 -29 *25238:B2 *27120:S 5.93245e-05 -30 *25238:B2 *5905:110 0.000282109 -31 *25238:B2 *5905:124 0.000113285 -32 *25242:A1 *27122:S 9.60875e-05 -33 *25308:B2 *5905:124 0.000257619 -34 *25629:A0 *5905:16 4.11218e-05 -35 *25629:S *5905:16 4.9436e-05 -36 *25725:S *5905:16 0 -37 *26937:B *5905:79 0.000121573 -38 *26961:A1 *5905:68 0.000174046 -39 *27015:A1 *5905:79 0.000631222 -40 *27024:A1 *5905:79 3.33e-05 -41 *27069:A0 *5905:16 0 -42 *27069:A0 *5905:18 0 -43 *27114:S *5905:68 9.62145e-05 -44 *27114:S *5905:79 9.25014e-06 -45 *27118:A1 *27118:S 5.33005e-05 -46 *27124:A0 *27124:S 2.14757e-05 -47 *27124:A1 *27124:S 5.52238e-05 -48 *27125:A0 *27125:S 1.21258e-05 -49 *27125:A1 *27125:S 5.33005e-05 -50 *27141:S *5905:110 0.00139021 -51 *27339:A1 *27119:S 9.60939e-05 -52 *27339:B2 *5905:124 0.000341276 -53 *27388:A2 *5905:110 0.000200848 -54 *27403:A2 *27122:S 0.000325747 -55 *27422:A2 *5905:110 1.8995e-06 -56 *27428:B1 *27125:S 0.000154943 -57 *27433:B1 *5905:86 3.77315e-05 -58 *28196:RESET_B *5905:16 0 -59 *28525:D *5905:79 7.83659e-05 -60 *28643:SET_B *5905:48 0.000100832 -61 *28683:D *27119:S 3.97677e-05 -62 *28688:CLK *5905:18 0.000158832 -63 *28697:RESET_B *5905:18 0.000683049 -64 *29065:A *5905:79 0.000590196 -65 *29074:A *5905:41 0.000646398 -66 *29459:A *27118:S 9.33907e-05 -67 *29955:A *5905:37 0.00249114 -68 *29978:A *5905:16 0 -69 *30228:A *27125:S 9.58181e-05 -70 *30426:A *27119:S 0.000175892 -71 *1026:19 *27124:S 0.000313241 -72 *1246:111 *27125:S 0.000848169 -73 *1271:211 *5905:110 0.000498086 -74 *1549:14 *5905:124 0.000884044 -75 *1660:31 *27119:S 0.000232889 -76 *1660:31 *27122:S 0.000571768 -77 *1697:44 *27123:S 5.52302e-05 -78 *1826:188 *5905:79 9.443e-05 -79 *1826:188 *5905:86 0.000604399 -80 *1826:226 *5905:68 1.49682e-05 -81 *1826:226 *5905:79 2.8266e-06 -82 *1853:64 *5905:9 6.46107e-05 -83 *2766:32 *5905:16 2.34204e-05 -84 *2769:209 *5905:37 2.02078e-05 -85 *2779:26 *5905:48 0.000200385 -86 *2779:83 *27122:S 2.46668e-05 -87 *2779:90 *27122:S 0.000437156 -88 *2780:297 *5905:48 0.00148876 -89 *2785:12 *5905:68 0.000174284 -90 *2785:12 *5905:79 8.28277e-05 -91 *2787:161 *5905:110 0.000411309 -92 *2787:161 *5905:124 0.000409661 -93 *2787:167 *5905:124 0.000221932 -94 *2788:21 *5905:110 9.88827e-05 -95 *2792:62 *5905:16 0.000119907 -96 *2855:189 *27122:S 0.000159527 -97 *2860:259 *5905:110 4.70253e-05 -98 *2860:261 *5905:110 0.000287091 -99 *2860:271 *5905:110 5.82101e-05 -100 *2867:260 *5905:110 0 -101 *2874:359 *5905:79 9.41642e-05 -102 *2883:250 *5905:124 0.00106683 -103 *3206:293 *5905:9 0.000252361 -104 *3206:293 *5905:16 0.000158552 -105 *3215:286 *27125:S 5.5193e-05 -106 *3215:286 *5905:86 1.96234e-05 -107 *3538:75 *5905:16 8.45651e-05 -108 *3603:62 *5905:48 0.000298341 -109 *3604:92 *5905:41 6.35819e-05 -110 *3607:76 *5905:9 0.000224048 -111 *3607:76 *5905:16 0.000158552 -112 *3607:98 *5905:16 0 -113 *3608:10 *5905:16 5.92441e-05 -114 *3608:12 *5905:16 0.000116145 -115 *3608:14 *5905:16 0.000194408 -116 *3608:21 *5905:16 5.41797e-06 -117 *3608:66 *5905:16 0 -118 *3608:89 *5905:18 0.000621663 -119 *3609:9 *27124:S 0.000246321 -120 *3609:11 *27124:S 0.000210742 -121 *3691:34 *5905:110 0.000107157 -122 *3694:69 *5905:79 8.72495e-05 -123 *3694:77 *5905:79 0.00112898 -124 *3694:77 *5905:86 0.000831712 -125 *3746:12 *5905:79 0.000734195 -126 *3746:19 *5905:79 0.00124845 -127 *3783:66 *5905:110 0.000600146 -128 *3837:11 *5905:16 3.2534e-05 -129 *3848:13 *5905:48 9.41642e-05 -130 *3861:53 *5905:48 0.000269422 -131 *3863:21 *27125:S 0.00012642 -132 *3898:41 *5905:124 9.55596e-05 -133 *3912:27 *27120:S 6.69937e-05 -134 *3912:27 *5905:124 0.000111348 -135 *3930:22 *5905:37 0 -136 *3939:104 *5905:16 0 -137 *3967:18 *5905:9 6.46107e-05 -138 *3967:18 *5905:68 0 -139 *3967:29 *5905:86 0.000201906 -140 *3978:21 *5905:16 0.000361373 -141 *4017:33 *5905:16 0.000653794 -142 *4080:41 *27122:S 0.000196573 -143 *4080:44 *27119:S 0.000234604 -144 *4080:44 *27122:S 0.000563233 -145 *4121:62 *27125:S 8.15672e-05 -146 *4509:13 *27118:S 5.52302e-05 -147 *4812:13 *5905:48 3.06878e-06 -148 *4854:17 *5905:41 7.69776e-06 -149 *4884:17 *5905:48 6.57815e-05 -150 *5364:13 *5905:18 0 -151 *5364:13 *5905:37 0 -152 *5582:89 *5905:124 0.000259549 -153 *5589:57 *5905:124 3.2687e-05 -154 *5589:95 *5905:110 2.14223e-05 -155 *5595:32 *5905:110 7.85291e-05 -156 *5595:57 *5905:110 0.000675745 -157 *5605:170 *5905:110 0.000148954 -158 *5627:237 *5905:79 1.37292e-05 -159 *5630:246 *5905:110 4.79453e-05 -160 *5631:58 *27125:S 0.00115865 -161 *5631:58 *5905:86 0.0011028 -162 *5632:90 *27125:S 0.000171668 -163 *5632:90 *5905:86 0.000549257 -164 *5635:147 *27125:S 0 -165 *5639:199 *27123:S 5.52302e-05 -166 *5642:151 *27122:S 9.60875e-05 -167 *5644:94 *5905:110 1.95291e-05 -168 *5646:232 *27122:S 2.01997e-05 -169 *5658:124 *27125:S 0.000955793 -170 *5662:111 *5905:86 8.92267e-05 -171 *5680:52 *27124:S 0 -172 *5680:66 *5905:16 0.000768417 -173 *5680:77 *5905:16 0.000122657 -174 *5680:89 *5905:16 7.69776e-06 -175 *5682:26 *5905:16 0 -176 *5682:28 *5905:16 0 -177 *5683:83 *5905:16 0.000281871 -178 *5683:106 *5905:16 0.000386502 -179 *5683:166 *27125:S 0.000121402 -180 *5725:10 *27118:S 0.000243403 -181 *5764:274 *27122:S 4.65519e-05 -182 *5765:58 *27122:S 9.02928e-05 -183 *5770:30 *27118:S 1.67455e-05 -184 *5771:139 *5905:48 0.000344232 -185 *5774:22 *5905:68 0.00010413 -186 *5774:128 *5905:68 0.000412876 -187 *5776:167 *5905:18 7.55759e-05 -188 *5777:240 *5905:110 5.00194e-05 -189 *5795:78 *27122:S 0.000194447 -190 *5903:11 *5905:37 0.00255341 -*RES -1 *29151:X *5905:9 18.5013 -2 *5905:9 *5905:16 48.1018 -3 *5905:16 *5905:18 13.8839 -4 *5905:18 *27124:S 20.9429 -5 *5905:18 *5905:37 12.0634 -6 *5905:37 *5905:41 13.7321 -7 *5905:41 *5905:48 49.125 -8 *5905:48 *27118:S 17.4964 -9 *5905:37 *27121:S 13.8 -10 *5905:9 *5905:68 14.0618 -11 *5905:68 *5905:79 47.4643 -12 *5905:79 *5905:86 20.5712 -13 *5905:86 *27125:S 37.1366 -14 *5905:86 *5905:110 15.175 -15 *5905:110 *5905:124 33.0804 -16 *5905:124 *27119:S 19.1571 -17 *5905:124 *27122:S 40.857 -18 *5905:110 *27120:S 14.6839 -19 *5905:68 *27123:S 14.3357 -*END - -*D_NET *5906 0.00553613 -*CONN -*I *28688:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29162:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28688:D 0 -2 *29162:X 0.000821329 -3 *5906:15 0.000821329 -4 *5906:15 *27071:A1 7.32272e-05 -5 *5906:15 *6217:20 0.000255019 -6 *26979:A1 *5906:15 0.000268403 -7 *27124:A1 *5906:15 0.000268396 -8 *28910:A *5906:15 0.000520509 -9 *29162:A *5906:15 0.000192078 -10 *29424:A *5906:15 0.000393849 -11 *974:20 *5906:15 0.000236944 -12 *3916:14 *5906:15 1.98839e-05 -13 *3930:32 *5906:15 8.359e-05 -14 *3965:134 *5906:15 0.000168939 -15 *4034:42 *5906:15 0.00113961 -16 *4252:9 *5906:15 0.000152813 -17 *5701:61 *5906:15 0.000120211 -*RES -1 *29162:X *5906:15 46.4071 -2 *5906:15 *28688:D 9.3 -*END - -*D_NET *5907 0.00114966 -*CONN -*I *25587:A_N I *D sky130_fd_sc_hd__nand2b_1 -*I *29174:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25587:A_N 0.000271573 -2 *29174:X 0.000271573 -3 *25587:A_N *27969:B1 7.43578e-06 -4 *25587:A_N *29174:A 2.97074e-05 -5 *25587:A_N *6374:5 0 -6 *25587:A_N *6374:36 0.000229456 -7 *25587:A_N *6379:6 8.78746e-05 -8 *25587:B *25587:A_N 1.38106e-05 -9 *29185:A *25587:A_N 1.92789e-05 -10 *5366:28 *25587:A_N 3.98225e-05 -11 *5780:125 *25587:A_N 0.000179127 -*RES -1 *29174:X *25587:A_N 34.8857 -*END - -*D_NET *5908 0.00330313 -*CONN -*I *25588:B1 I *D sky130_fd_sc_hd__o21a_4 -*I *25589:B1 I *D sky130_fd_sc_hd__o21ai_4 -*I *29185:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25588:B1 0 -2 *25589:B1 0.00020699 -3 *29185:X 0.000404884 -4 *5908:8 0.000611874 -5 *25589:B1 *5909:17 0.000197977 -6 *25589:B1 *5961:10 0 -7 *5908:8 *25588:A2 1.91414e-05 -8 *5908:8 *28015:A2 5.52302e-05 -9 *5908:8 *29196:A 0.000503913 -10 *5908:8 *5909:17 0.000152333 -11 *5908:8 *5961:10 0 -12 *25588:A1 *25589:B1 0.00052833 -13 *29395:A *25589:B1 0.000291542 -14 *29473:A *25589:B1 2.8266e-06 -15 *29473:A *5908:8 0.000256374 -16 *4350:103 *5908:8 7.17146e-05 -17 *5366:28 *5908:8 0 -*RES -1 *29185:X *5908:8 25.6929 -2 *5908:8 *25589:B1 21.4071 -3 *5908:8 *25588:B1 13.8 -*END - -*D_NET *5909 0.0986812 -*CONN -*I *30823:A I *D sky130_fd_sc_hd__buf_12 -*I *28964:A I *D sky130_fd_sc_hd__buf_12 -*I *26827:B I *D sky130_fd_sc_hd__nand2_1 -*I *28966:A I *D sky130_fd_sc_hd__buf_12 -*I *29196:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *30823:A 0.000488765 -2 *28964:A 3.39029e-05 -3 *26827:B 0.00122087 -4 *28966:A 8.48042e-05 -5 *29196:X 0.000107613 -6 *5909:85 0.00240046 -7 *5909:82 0.00370924 -8 *5909:76 0.00314408 -9 *5909:62 0.00243153 -10 *5909:61 0.00312389 -11 *5909:44 0.0117775 -12 *5909:17 0.0115727 -13 *5909:7 0.00164751 -14 *5909:17 *25588:A2 0.000175512 -15 *5909:17 *5961:10 0 -16 *25097:A2 *26827:B 0.00121694 -17 *25151:B1 *5909:62 0.0018174 -18 *25307:B2 *5909:62 0.000327406 -19 *25589:B1 *5909:17 0.000197977 -20 *25642:A0 *5909:76 0.000623364 -21 *25645:A0 *5909:62 0.000508657 -22 *25864:B *5909:61 0.000380655 -23 *25870:B *5909:62 2.21972e-05 -24 *25874:S *5909:61 5.11642e-05 -25 *25900:A *5909:44 0.000136501 -26 *27037:A1 *26827:B 0.000132165 -27 *27037:S *26827:B 0.000137561 -28 *27534:A1 *5909:62 0.00159453 -29 *27560:B1 *5909:61 0.000105717 -30 *27563:A1 *5909:61 2.51133e-05 -31 *27566:B2 *5909:61 2.01997e-05 -32 *27566:B2 *5909:62 4.43299e-05 -33 *27585:A2 *5909:44 0.00093522 -34 *27586:B1 *5909:44 7.68942e-05 -35 *27667:C1 *5909:17 0.00106899 -36 *27668:D *5909:17 0 -37 *27946:B2 *5909:44 0.000362086 -38 *27948:A1 *5909:44 0.00141662 -39 *27959:A2 *5909:61 4.18413e-05 -40 *27964:C1 *5909:44 0 -41 *28135:RESET_B *5909:76 1.93337e-05 -42 *28586:D *5909:17 0.000345811 -43 *28861:A *26827:B 0.000445497 -44 *29371:A *5909:76 0.000251987 -45 *30255:A *5909:44 5.88095e-05 -46 *30255:A *5909:61 0.000145399 -47 *30786:A *26827:B 0.000175892 -48 *1263:28 *5909:44 0.000396797 -49 *1360:72 *5909:44 0.00177794 -50 *1433:34 *5909:44 0.000307204 -51 *1463:32 *5909:44 0.000395407 -52 *1463:42 *5909:44 0.000439942 -53 *1471:95 *5909:62 0.000300747 -54 *1472:11 *5909:62 4.19535e-05 -55 *1476:21 *5909:44 0 -56 *1547:51 *5909:85 0.00171552 -57 *1887:22 *5909:62 4.04292e-05 -58 *1897:19 *5909:82 0.000981424 -59 *2772:85 *28966:A 2.22043e-05 -60 *2772:91 *5909:44 0.000498937 -61 *2773:155 *5909:44 0.000344006 -62 *2848:44 *26827:B 0.000590017 -63 *2853:61 *5909:85 0.000713183 -64 *2855:103 *5909:61 0.000118342 -65 *2855:121 *5909:44 0.00158333 -66 *2859:35 *26827:B 0.000245802 -67 *2859:35 *5909:85 0.00375092 -68 *2865:115 *5909:76 1.27625e-05 -69 *2865:133 *5909:61 0.000496952 -70 *2866:168 *5909:82 8.86413e-05 -71 *2871:108 *5909:62 9.33876e-05 -72 *2871:110 *5909:62 1.88154e-05 -73 *2877:69 *5909:62 0.000174551 -74 *2877:87 *5909:62 0.000885213 -75 *2894:87 *5909:76 0.000520979 -76 *3156:162 *5909:61 0.00076335 -77 *3168:153 *5909:44 0.000201965 -78 *3197:152 *5909:76 0.000391392 -79 *3216:142 *5909:44 2.9995e-05 -80 *3216:142 *5909:61 0.000215808 -81 *3413:16 *5909:44 0 -82 *3413:41 *5909:44 0.000740429 -83 *3450:23 *28964:A 2.59355e-05 -84 *3484:20 *5909:44 0.000230779 -85 *3578:85 *28966:A 2.06178e-05 -86 *3581:118 *28966:A 8.94491e-05 -87 *3581:118 *5909:17 0.000219695 -88 *3686:83 *5909:44 0.00312319 -89 *3715:32 *5909:44 0.0021884 -90 *3724:20 *5909:62 0.000154399 -91 *3724:27 *5909:62 0.00143749 -92 *3724:88 *5909:44 0.000307665 -93 *3725:10 *5909:17 0.000928431 -94 *3736:25 *5909:76 2.24813e-05 -95 *3741:38 *5909:44 2.57066e-05 -96 *3776:44 *5909:44 0.000276743 -97 *3947:68 *5909:61 0.000887726 -98 *4012:39 *5909:44 0.00242372 -99 *4014:24 *5909:44 0.000277731 -100 *4035:52 *5909:44 8.3633e-05 -101 *4040:20 *5909:44 0.000303586 -102 *4105:32 *5909:44 1.60961e-05 -103 *4350:96 *5909:17 0.000119881 -104 *4350:103 *5909:17 0.000354584 -105 *5386:11 *5909:76 4.70487e-05 -106 *5457:22 *5909:44 0 -107 *5458:31 *5909:82 0.00252497 -108 *5461:7 *26827:B 0.00363291 -109 *5461:7 *5909:85 0.000189554 -110 *5606:33 *26827:B 0.000175892 -111 *5613:22 *5909:44 0 -112 *5640:63 *5909:44 0 -113 *5646:163 *5909:44 0.000285694 -114 *5650:304 *5909:17 0.000630365 -115 *5661:80 *5909:76 2.62977e-05 -116 *5661:117 *5909:62 0.000171997 -117 *5661:123 *5909:62 0.000224036 -118 *5666:6 *5909:61 0 -119 *5666:36 *5909:61 1.10632e-05 -120 *5669:245 *5909:17 0 -121 *5671:7 *30823:A 0.000184728 -122 *5671:7 *5909:7 3.63775e-05 -123 *5686:35 *5909:62 2.18863e-05 -124 *5711:193 *26827:B 2.22618e-05 -125 *5715:63 *5909:44 0.000169715 -126 *5715:83 *5909:44 0.000192083 -127 *5715:117 *5909:44 0.0018619 -128 *5718:174 *5909:82 0.000986498 -129 *5892:151 *5909:76 0.000112655 -130 *5908:8 *5909:17 0.000152333 -*RES -1 *29196:X *5909:7 11.0857 -2 *5909:7 *5909:17 40.4867 -3 *5909:17 *28966:A 17.6419 -4 *5909:17 *5909:44 46.6976 -5 *5909:44 *5909:61 31.1867 -6 *5909:61 *5909:62 55.9821 -7 *5909:62 *5909:76 25.3757 -8 *5909:76 *5909:82 49 -9 *5909:82 *5909:85 37.8214 -10 *5909:85 *26827:B 49.3 -11 *5909:44 *28964:A 14.3357 -12 *5909:7 *30823:A 18.0857 -*END - -*D_NET *5910 0.0835091 -*CONN -*I *27009:B I *D sky130_fd_sc_hd__nand2_8 -*I *26892:B I *D sky130_fd_sc_hd__nand2_8 -*I *26973:B I *D sky130_fd_sc_hd__nand2_8 -*I *28965:A I *D sky130_fd_sc_hd__buf_12 -*I *29207:X O *D sky130_fd_sc_hd__buf_8 -*CAP -1 *27009:B 0 -2 *26892:B 0.00012852 -3 *26973:B 2.13113e-05 -4 *28965:A 9.51428e-05 -5 *29207:X 0.00161423 -6 *5910:123 0.00256595 -7 *5910:122 0.00271668 -8 *5910:114 0.00141821 -9 *5910:107 0.0020373 -10 *5910:91 0.00128802 -11 *5910:88 0.00144323 -12 *5910:80 0.00243233 -13 *5910:79 0.00147928 -14 *5910:69 0.00113536 -15 *5910:63 0.00326693 -16 *5910:47 0.00496851 -17 *5910:42 0.0042629 -18 *5910:17 0.00221297 -19 *5910:16 0.00218429 -20 *28965:A *6351:10 6.26466e-05 -21 *5910:42 *25722:A0 0.000822576 -22 *5910:63 *5926:72 0.000420238 -23 *5910:69 *26944:A1 0.000175892 -24 *5910:79 *26901:B 0.000216755 -25 *5910:88 *25061:B2 4.65872e-05 -26 *5910:88 *26932:A1 0.000100979 -27 *5910:88 *28496:D 6.07328e-05 -28 *24970:A2 *5910:122 2.10729e-05 -29 *24970:A2 *5910:123 0.000180079 -30 *24970:B2 *5910:122 9.6788e-05 -31 *24992:B2 *5910:114 0.0002005 -32 *25057:B2 *5910:63 2.99722e-05 -33 *25071:A *5910:63 8.78375e-05 -34 *25071:B *5910:63 6.06291e-06 -35 *25071:C *5910:63 2.0876e-05 -36 *25071:D *5910:63 2.95143e-05 -37 *25380:A2 *5910:16 0.000273643 -38 *25381:B2 *5910:80 0.000552525 -39 *25676:B *5910:16 0.000160279 -40 *25723:A0 *5910:42 0.000304727 -41 *25723:A1 *5910:42 0.000444238 -42 *26892:A *26892:B 0.000146474 -43 *26913:A1 *5910:42 9.80415e-05 -44 *26973:A *5910:69 0.00031032 -45 *26973:A *5910:79 0.000129147 -46 *26999:A1 *5910:88 0.000100823 -47 *27009:A *5910:91 5.33005e-05 -48 *27705:B1 *5910:42 0 -49 *27705:B1 *5910:47 0.00122045 -50 *27789:A1 *5910:69 6.06291e-05 -51 *27791:B *5910:69 0.00048587 -52 *27840:D *5910:114 0.00105415 -53 *27842:B2 *5910:123 0.00107996 -54 *28513:D *5910:91 3.69047e-06 -55 *28676:CLK *5910:42 4.86911e-05 -56 *28677:RESET_B *5910:42 0.000265385 -57 *28737:D *5910:17 0.000210448 -58 *29638:A *5910:17 6.62761e-05 -59 *29730:A *5910:88 1.69115e-05 -60 *29972:A *28965:A 5.33005e-05 -61 *30501:A *5910:69 3.08664e-05 -62 *1225:163 *5910:16 0.000185465 -63 *1261:64 *5910:114 0.000638668 -64 *1261:101 *5910:122 1.60335e-05 -65 *1266:205 *5910:63 5.21937e-05 -66 *1275:164 *5910:80 4.28365e-05 -67 *1275:178 *5910:80 0.000134754 -68 *1286:101 *5910:16 2.06178e-05 -69 *1288:149 *5910:42 0 -70 *1288:264 *5910:123 0.000178847 -71 *1292:133 *5910:16 0.000424103 -72 *1318:14 *5910:114 0.000157734 -73 *1367:43 *5910:123 0.00105129 -74 *1393:10 *5910:63 1.29493e-05 -75 *1393:10 *5910:69 4.58194e-05 -76 *1490:166 *5910:80 0.00360106 -77 *1853:94 *5910:80 0.000387439 -78 *2757:21 *5910:42 0.000186124 -79 *2759:43 *5910:42 1.99881e-05 -80 *2763:25 *5910:80 5.4869e-05 -81 *2763:42 *5910:88 2.11543e-05 -82 *2763:44 *5910:88 0.0022739 -83 *2767:18 *5910:88 0 -84 *2767:20 *5910:88 1.69115e-05 -85 *2773:7 *5910:91 2.14757e-05 -86 *2773:45 *5910:88 0.00051784 -87 *2773:45 *5910:91 0.000142979 -88 *2774:180 *5910:114 0.000229129 -89 *2775:28 *5910:88 0.000139523 -90 *2775:33 *5910:88 7.92169e-05 -91 *2776:177 *5910:91 0.000259542 -92 *2779:67 *5910:42 0 -93 *2794:95 *5910:17 5.52238e-05 -94 *2845:347 *26892:B 6.57032e-05 -95 *2880:108 *26892:B 0.000148182 -96 *3164:319 *5910:63 0.00125824 -97 *3165:85 *5910:63 0.000419028 -98 *3177:175 *5910:107 0.00053192 -99 *3205:235 *26892:B 0.000135028 -100 *3251:12 *5910:47 0.00126112 -101 *3581:146 *5910:47 0.00136218 -102 *3606:19 *5910:42 6.0911e-05 -103 *3606:39 *5910:42 6.84481e-05 -104 *3696:12 *5910:123 3.16198e-05 -105 *3730:8 *5910:42 0.00104352 -106 *3730:8 *5910:47 0 -107 *3770:28 *5910:42 0 -108 *3770:35 *5910:47 2.52026e-05 -109 *3836:10 *5910:16 0.000183378 -110 *3924:38 *5910:16 4.19624e-06 -111 *3991:38 *5910:63 0.000418715 -112 *3995:44 *5910:107 0.000121549 -113 *3995:44 *5910:114 0.00322564 -114 *3998:13 *5910:16 0.00027032 -115 *4071:11 *5910:69 0.000508354 -116 *4071:15 *5910:69 0.000562267 -117 *4071:19 *5910:69 0.000200329 -118 *4124:19 *5910:69 0.000139254 -119 *4744:15 *5910:69 0.00127779 -120 *4970:17 *5910:42 2.47627e-05 -121 *5528:55 *5910:80 0.00183943 -122 *5602:100 *5910:88 0.000218141 -123 *5629:217 *5910:42 2.11543e-05 -124 *5638:162 *5910:42 4.33002e-05 -125 *5639:147 *5910:107 5.00194e-05 -126 *5642:82 *5910:114 3.94002e-05 -127 *5642:82 *5910:122 0.000179859 -128 *5642:96 *5910:107 0.000144894 -129 *5643:316 *5910:123 0.000262679 -130 *5654:108 *5910:47 1.94945e-05 -131 *5656:240 *5910:122 4.1694e-05 -132 *5656:261 *5910:114 1.88026e-05 -133 *5656:275 *5910:107 4.65519e-05 -134 *5656:350 *5910:42 2.15363e-05 -135 *5663:48 *5910:123 0.00015339 -136 *5663:50 *5910:123 0.00418057 -137 *5663:192 *5910:42 0.000173804 -138 *5680:115 *5910:80 2.09897e-05 -139 *5680:129 *5910:88 7.02611e-05 -140 *5680:147 *5910:88 0.000523799 -141 *5716:124 *5910:42 5.86416e-05 -142 *5716:192 *28965:A 0.000173797 -143 *5716:192 *5910:42 1.18064e-05 -144 *5725:17 *5910:16 1.15281e-05 -145 *5771:91 *5910:42 0.000197371 -146 *5829:96 *5910:123 0.00018077 -147 *5870:21 *5910:17 0.00176417 -148 *5870:21 *5910:42 0.000135028 -149 *5872:25 *5910:114 0.000156125 -150 *5872:26 *5910:107 0.000728614 -151 *5872:26 *5910:114 0.00118316 -152 *5873:70 *5910:107 0.00067633 -153 *5892:40 *5910:107 0.000718459 -154 *5892:57 *5910:114 0.000107807 -*RES -1 *29207:X *5910:16 35.8035 -2 *5910:16 *5910:17 16.9643 -3 *5910:17 *28965:A 21.0857 -4 *5910:17 *5910:42 44.6339 -5 *5910:42 *5910:47 48.5982 -6 *5910:47 *5910:63 47.4464 -7 *5910:63 *5910:69 27.375 -8 *5910:69 *26973:B 9.72857 -9 *5910:69 *5910:79 7.08929 -10 *5910:79 *5910:80 47.4821 -11 *5910:80 *5910:88 46.375 -12 *5910:88 *5910:91 7.125 -13 *5910:91 *5910:107 32.6768 -14 *5910:107 *5910:114 48.6803 -15 *5910:114 *5910:122 14.0931 -16 *5910:122 *5910:123 52.2857 -17 *5910:123 *26892:B 22.0321 -18 *5910:91 *27009:B 9.3 -*END - -*D_NET *5911 0.0790948 -*CONN -*I *27186:B I *D sky130_fd_sc_hd__nand2_4 -*I *27126:B I *D sky130_fd_sc_hd__nand2_8 -*I *26856:B I *D sky130_fd_sc_hd__nand2_4 -*I *27063:B I *D sky130_fd_sc_hd__nand2_8 -*I *27195:B I *D sky130_fd_sc_hd__nand2_8 -*I *27168:B I *D sky130_fd_sc_hd__nand2_8 -*I *26883:B I *D sky130_fd_sc_hd__nand2_8 -*I *26919:B I *D sky130_fd_sc_hd__nand2_8 -*I *27099:B I *D sky130_fd_sc_hd__nand2_8 -*I *27018:B I *D sky130_fd_sc_hd__and2_4 -*I *27117:B I *D sky130_fd_sc_hd__nand2_8 -*I *27144:B I *D sky130_fd_sc_hd__nand2_8 -*I *26901:B I *D sky130_fd_sc_hd__nand2_8 -*I *27027:B I *D sky130_fd_sc_hd__nand2_8 -*I *26955:B I *D sky130_fd_sc_hd__nand2_8 -*I *26991:B I *D sky130_fd_sc_hd__nand2_8 -*I *26946:B I *D sky130_fd_sc_hd__and2_4 -*I *27177:B I *D sky130_fd_sc_hd__nand2_8 -*I *29218:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *27186:B 3.95488e-05 -2 *27126:B 6.41137e-05 -3 *26856:B 2.23854e-05 -4 *27063:B 0.000570769 -5 *27195:B 8.62823e-05 -6 *27168:B 0 -7 *26883:B 0.000795855 -8 *26919:B 0 -9 *27099:B 3.39834e-05 -10 *27018:B 2.37855e-05 -11 *27117:B 0.000941404 -12 *27144:B 0.00111958 -13 *26901:B 0.000979266 -14 *27027:B 0.000171171 -15 *26955:B 0 -16 *26991:B 0 -17 *26946:B 0 -18 *27177:B 3.69339e-05 -19 *29218:X 2.46213e-05 -20 *5911:268 0.000128962 -21 *5911:246 0.000739534 -22 *5911:173 0.00361106 -23 *5911:165 0.00350494 -24 *5911:153 0.0021735 -25 *5911:115 0.00187336 -26 *5911:94 0.00291593 -27 *5911:81 0.00150196 -28 *5911:79 0.0017158 -29 *5911:71 0.00125172 -30 *5911:64 0.00204237 -31 *5911:53 0.00305589 -32 *5911:46 0.0011132 -33 *5911:43 0.00158773 -34 *5911:28 0.00195612 -35 *5911:10 0.000939943 -36 *5911:8 0.000379581 -37 *5911:5 0.000135361 -38 *27177:B *6275:11 0.000142856 -39 *5911:53 *5914:6 0 -40 *5911:115 *28193:D 7.6644e-05 -41 *24978:A2 *5911:43 0.000232778 -42 *25057:A2 *5911:173 0.000931095 -43 *25060:B1 *5911:165 0 -44 *25201:A1 *27144:B 2.42516e-05 -45 *25201:C1 *27144:B 6.05161e-06 -46 *25206:B *27144:B 4.49725e-05 -47 *25679:A0 *5911:53 0.000177948 -48 *25712:A0 *26901:B 6.09762e-05 -49 *25720:S *5911:115 7.6644e-05 -50 *26856:A *5911:8 0.000120697 -51 *26871:S *27186:B 0.000135028 -52 *26871:S *5911:5 9.60875e-05 -53 *26871:S *5911:268 9.41642e-05 -54 *26872:S *5911:43 0.000352063 -55 *26925:A1 *5911:94 9.25014e-06 -56 *26928:B *5911:53 8.66063e-05 -57 *26941:A1 *5911:173 0.00010047 -58 *26943:A0 *5911:165 0.000181403 -59 *26946:A *5911:28 5.52238e-05 -60 *26946:A *5911:43 0.000375796 -61 *26955:A *5911:115 5.33005e-05 -62 *26970:A1 *5911:115 9.11048e-06 -63 *26973:A *26901:B 4.66075e-05 -64 *27018:A *5911:173 9.41642e-05 -65 *27027:A *27027:B 0.000166606 -66 *27031:S *5911:153 8.99252e-05 -67 *27126:A *5911:43 9.4677e-05 -68 *27144:A *27144:B 0.000112967 -69 *27168:A *5911:43 0.000546698 -70 *27168:A *5911:53 0.000354934 -71 *27204:B *5911:53 0.000271345 -72 *27390:C1 *27144:B 9.142e-05 -73 *27821:A1 *5911:43 0.000111346 -74 *28190:D *27027:B 0.000266719 -75 *28516:D *5911:94 6.05161e-06 -76 *28519:D *5911:94 0.000145154 -77 *28573:D *5911:53 0.000103267 -78 *28573:D *5911:64 0.0003507 -79 *28583:CLK *5911:71 0.000112448 -80 *28914:A *5911:71 8.51806e-06 -81 *28914:A *5911:79 7.57819e-06 -82 *28918:A *5911:115 0.000421074 -83 *29054:A *5911:43 6.09762e-05 -84 *29272:A *26883:B 0.000978876 -85 *29329:A *5911:43 2.04825e-05 -86 *29639:A *27063:B 0.000173804 -87 *29972:A *27063:B 0.000244646 -88 *29972:A *5911:246 0.00016345 -89 *30077:A *27027:B 0.000140933 -90 *30077:A *5911:81 6.8646e-06 -91 *30077:A *5911:94 1.98917e-05 -92 *30118:A *5911:94 0.000190098 -93 *30178:A *5911:53 0.000107109 -94 *30236:A *27117:B 0.000303372 -95 *30840:A *26883:B 0.000319316 -96 *244:65 *5911:64 0.000495604 -97 *244:158 *5911:64 0.000190798 -98 *791:11 *27099:B 5.33005e-05 -99 *844:19 *5911:94 2.89016e-05 -100 *900:15 *5911:81 3.26503e-05 -101 *938:9 *26883:B 0.000811236 -102 *1225:184 *5911:8 5.31158e-05 -103 *1242:159 *5911:43 3.20034e-05 -104 *1256:173 *5911:28 0.00109758 -105 *1256:183 *5911:43 0.00017305 -106 *1260:161 *5911:115 4.65519e-05 -107 *1260:184 *5911:115 0.000660018 -108 *1261:227 *27144:B 0.00133219 -109 *1269:154 *27099:B 2.89114e-05 -110 *1275:164 *5911:94 9.21127e-05 -111 *1275:195 *5911:153 0 -112 *1286:103 *27186:B 0.000123617 -113 *1286:103 *5911:5 9.60875e-05 -114 *1286:103 *5911:268 8.36572e-05 -115 *1288:194 *5911:64 3.31256e-05 -116 *1292:133 *27177:B 0.000140933 -117 *1292:153 *5911:28 9.71197e-05 -118 *1292:153 *5911:43 0.00126618 -119 *1293:149 *27144:B 0.000669617 -120 *1303:10 *5911:53 6.8377e-06 -121 *1382:16 *5911:94 0.00027535 -122 *1393:11 *27144:B 0.000543665 -123 *1857:17 *5911:115 0.000123295 -124 *2763:25 *5911:64 9.60939e-05 -125 *2763:145 *5911:64 0.00033105 -126 *2764:21 *5911:46 0.00055984 -127 *2764:21 *5911:53 0.00142054 -128 *2766:14 *5911:28 2.44318e-05 -129 *2767:7 *5911:94 2.59355e-05 -130 *2767:18 *5911:115 0.000416286 -131 *2767:142 *5911:64 4.21301e-05 -132 *2769:5 *26901:B 0.000259318 -133 *2769:30 *27027:B 3.17346e-05 -134 *2769:146 *26901:B 0.000122591 -135 *2770:97 *26901:B 0.00010928 -136 *2771:22 *27099:B 4.33002e-05 -137 *2771:22 *5911:153 6.71341e-05 -138 *2771:171 *5911:115 0.000329702 -139 *2772:14 *5911:71 0.000136951 -140 *2772:160 *5911:115 0.000461698 -141 *2774:10 *5911:165 8.55871e-05 -142 *2774:244 *5911:71 1.90936e-05 -143 *2775:18 *5911:94 0.000102011 -144 *2775:82 *5911:79 0.000125622 -145 *2775:82 *5911:81 0 -146 *2775:99 *5911:153 0.000135737 -147 *2775:99 *5911:165 1.7754e-05 -148 *2779:10 *27063:B 7.89933e-05 -149 *2786:22 *27126:B 0.000197984 -150 *2786:80 *27117:B 0.000152353 -151 *2788:9 *27144:B 3.29905e-05 -152 *2791:13 *5911:46 0.000567042 -153 *2792:62 *5911:28 0.000866527 -154 *2792:62 *5911:43 1.5424e-05 -155 *2848:369 *27117:B 2.06112e-05 -156 *3215:305 *27117:B 0.000501121 -157 *3281:8 *27144:B 8.34009e-05 -158 *3320:18 *5911:173 0.000302244 -159 *3612:20 *5911:64 0 -160 *3612:22 *5911:64 0 -161 *3612:33 *5911:64 0 -162 *3612:35 *5911:64 0 -163 *3612:39 *5911:64 0 -164 *3613:20 *5911:71 5.91696e-05 -165 *3613:29 *5911:71 1.11048e-05 -166 *3617:97 *5911:115 6.95625e-05 -167 *3617:110 *5911:115 1.91317e-05 -168 *3694:32 *27195:B 0 -169 *3707:13 *26901:B 0.000241185 -170 *3718:157 *26901:B 6.25005e-05 -171 *3718:157 *5911:94 0.000135028 -172 *3720:19 *5911:94 4.58194e-05 -173 *3733:51 *5911:79 0 -174 *3733:59 *5911:94 5.58941e-05 -175 *3759:16 *5911:173 8.03927e-05 -176 *3796:173 *5911:64 0.000196526 -177 *3824:12 *27117:B 0.000324551 -178 *3835:36 *27144:B 8.53558e-05 -179 *3861:40 *27063:B 9.24395e-05 -180 *3887:41 *27144:B 8.98342e-05 -181 *3891:113 *5911:53 0 -182 *3891:113 *5911:64 0.000403683 -183 *3900:99 *5911:28 2.29026e-05 -184 *3902:24 *5911:165 0.000135165 -185 *3913:21 *27126:B 1.36591e-05 -186 *3915:37 *27117:B 0.000828487 -187 *3926:29 *5911:28 2.42954e-05 -188 *3939:104 *5911:43 3.4323e-06 -189 *3965:22 *5911:28 7.69776e-06 -190 *3965:35 *27117:B 0.000158206 -191 *3965:39 *27117:B 0.000555178 -192 *3969:14 *5911:173 0.000881131 -193 *3991:38 *27117:B 0.000501434 -194 *4030:18 *27126:B 0.0002226 -195 *4030:18 *27177:B 1.90936e-05 -196 *4030:18 *5911:8 0.000188376 -197 *4030:18 *5911:10 0.000344712 -198 *4047:8 *27195:B 0.00020792 -199 *4047:8 *5911:43 9.25014e-06 -200 *4206:29 *5911:64 5.13107e-05 -201 *4239:17 *26901:B 0.000623507 -202 *4239:17 *5911:79 0.00022379 -203 *4320:16 *27027:B 5.33005e-05 -204 *4320:16 *5911:94 0.000455883 -205 *4357:21 *5911:94 9.99668e-05 -206 *4399:14 *5911:64 0.000137561 -207 *4399:14 *5911:71 3.37161e-05 -208 *4455:11 *5911:64 1.38323e-05 -209 *4455:11 *5911:71 0.000218679 -210 *4744:15 *5911:79 1.68659e-05 -211 *4744:15 *5911:81 0.000471249 -212 *4744:15 *5911:94 9.25014e-06 -213 *5084:20 *5911:81 1.08601e-05 -214 *5176:11 *26901:B 4.69022e-05 -215 *5432:36 *5911:94 0.000122889 -216 *5432:36 *5911:115 5.52955e-05 -217 *5435:16 *5911:165 0.000307694 -218 *5584:269 *27144:B 0.000296557 -219 *5584:269 *5911:173 0.000698672 -220 *5587:169 *5911:94 0.00026634 -221 *5588:113 *5911:53 7.6021e-05 -222 *5589:123 *5911:115 2.4725e-05 -223 *5589:135 *5911:53 2.50463e-05 -224 *5590:191 *5911:28 0.000234317 -225 *5590:191 *5911:43 0.00127821 -226 *5602:100 *5911:64 0.000101444 -227 *5607:102 *5911:173 0.000169594 -228 *5607:115 *5911:71 1.04707e-05 -229 *5607:115 *5911:79 0.00020495 -230 *5627:216 *5911:173 0.000224168 -231 *5637:19 *5911:115 6.76786e-05 -232 *5639:165 *5911:165 4.37712e-06 -233 *5647:175 *27144:B 0.000184094 -234 *5647:175 *5911:173 0.000135014 -235 *5654:157 *5911:165 4.33374e-05 -236 *5654:157 *5911:173 5.2234e-05 -237 *5668:11 *27063:B 0.000346149 -238 *5668:31 *27177:B 6.2589e-06 -239 *5668:31 *5911:8 4.98055e-06 -240 *5668:31 *5911:10 0.000114103 -241 *5669:317 *5911:71 0.000427892 -242 *5680:129 *5911:115 0.000414564 -243 *5682:197 *5911:165 0.000412607 -244 *5683:299 *5911:115 2.11419e-05 -245 *5696:77 *5911:165 0.000286115 -246 *5702:160 *5911:115 2.28598e-05 -247 *5716:141 *26883:B 0.00025467 -248 *5773:46 *5911:79 0.000103266 -249 *5800:119 *5911:115 9.94769e-05 -250 *5820:85 *5911:28 0.000119153 -251 *5824:286 *5911:94 0.000323727 -252 *5824:306 *5911:115 0.000120909 -253 *5862:35 *5911:165 0.000137103 -254 *5862:44 *27195:B 0.000169804 -255 *5862:44 *5911:43 0.000111346 -256 *5870:21 *27063:B 0.000117553 -257 *5873:257 *5911:71 0.000593418 -258 *5892:25 *27099:B 4.33002e-05 -259 *5910:79 *26901:B 0.000216755 -*RES -1 *29218:X *5911:5 10.2464 -2 *5911:5 *5911:8 7.05357 -3 *5911:8 *5911:10 4.52679 -4 *5911:10 *27177:B 15.4339 -5 *5911:10 *5911:28 15.0405 -6 *5911:28 *26946:B 9.3 -7 *5911:28 *5911:43 22.7089 -8 *5911:43 *5911:46 14.8929 -9 *5911:46 *5911:53 27.5357 -10 *5911:53 *5911:64 36.9286 -11 *5911:64 *5911:71 19.6339 -12 *5911:71 *5911:79 9.82143 -13 *5911:79 *5911:81 6.34821 -14 *5911:81 *5911:94 38.2143 -15 *5911:94 *5911:115 47.4219 -16 *5911:115 *26991:B 9.3 -17 *5911:94 *26955:B 9.3 -18 *5911:81 *27027:B 18.8893 -19 *5911:79 *26901:B 31.7563 -20 *5911:71 *5911:153 4.1056 -21 *5911:153 *5911:165 17.0887 -22 *5911:165 *5911:173 44.7321 -23 *5911:173 *27144:B 41.7754 -24 *5911:173 *27117:B 45.4429 -25 *5911:165 *27018:B 9.72857 -26 *5911:153 *27099:B 18.3261 -27 *5911:64 *26919:B 9.3 -28 *5911:53 *26883:B 42.8179 -29 *5911:46 *27168:B 9.3 -30 *5911:43 *27195:B 16.6571 -31 *5911:8 *5911:246 7.5 -32 *5911:246 *27063:B 31.0857 -33 *5911:246 *26856:B 9.72857 -34 *5911:5 *5911:268 0.946429 -35 *5911:268 *27126:B 21.1571 -36 *5911:268 *27186:B 10.6571 -*END - -*D_NET *5912 0.0333779 -*CONN -*I *27193:S I *D sky130_fd_sc_hd__mux2_1 -*I *27190:S I *D sky130_fd_sc_hd__mux2_1 -*I *27191:S I *D sky130_fd_sc_hd__mux2_1 -*I *27187:S I *D sky130_fd_sc_hd__mux2_1 -*I *27188:S I *D sky130_fd_sc_hd__mux2_1 -*I *27189:S I *D sky130_fd_sc_hd__mux2_1 -*I *27194:S I *D sky130_fd_sc_hd__mux2_1 -*I *27192:S I *D sky130_fd_sc_hd__mux2_1 -*I *29229:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27193:S 0 -2 *27190:S 2.23854e-05 -3 *27191:S 0 -4 *27187:S 0.000319827 -5 *27188:S 0 -6 *27189:S 0.000191731 -7 *27194:S 0 -8 *27192:S 0.000551369 -9 *29229:X 0.000132708 -10 *5912:100 0.00151815 -11 *5912:81 0.00153862 -12 *5912:67 0.00261205 -13 *5912:54 0.00376097 -14 *5912:30 0.00191919 -15 *5912:28 0.000513958 -16 *5912:7 0.000937398 -17 *27187:S *5914:64 0.000316103 -18 *27187:S *6258:12 0.000130991 -19 *27192:S *27150:A1 0.000324118 -20 *5912:28 *27194:A1 6.57815e-05 -21 *5912:30 *27194:A1 0.00107434 -22 *5912:54 *26986:A1 0.000159245 -23 *5912:54 *27194:A1 4.72818e-05 -24 *5912:67 *30567:A 9.60939e-05 -25 *5912:100 *5914:64 0.000197622 -26 *5912:100 *6258:12 0.000104988 -27 *26983:A0 *5912:100 3.23161e-05 -28 *26983:A1 *5912:100 0.000212263 -29 *26985:S *5912:67 0.000100831 -30 *26986:A0 *5912:54 8.43535e-06 -31 *27069:A0 *5912:54 1.21258e-05 -32 *27069:A1 *5912:54 0.000225616 -33 *27074:S *5912:81 5.52302e-05 -34 *27187:A1 *27187:S 9.66977e-05 -35 *27190:A0 *5912:54 9.93135e-05 -36 *27190:A0 *5912:67 5.03772e-05 -37 *27194:A0 *5912:54 4.70078e-05 -38 *28639:CLK *5912:54 0.00057215 -39 *28643:D *5912:67 0.000564335 -40 *28758:CLK *27192:S 0.000306716 -41 *28758:CLK *5912:28 0.000128161 -42 *28758:RESET_B *27192:S 0.000261851 -43 *29100:A *27187:S 0.000148196 -44 *29104:A *5912:67 0.000186224 -45 *29113:A *5912:67 3.33051e-05 -46 *29126:A *5912:54 5.33005e-05 -47 *29229:A *27192:S 0.000902904 -48 *29457:A *5912:100 0 -49 *29974:A *5912:54 4.41e-05 -50 *29974:A *5912:67 5.72869e-05 -51 *29981:A *5912:54 0.000347187 -52 *30161:A *5912:67 0.00047371 -53 *30209:A *5912:100 6.01582e-05 -54 *30577:A *27192:S 0.000598355 -55 *972:21 *5912:54 0.000149313 -56 *976:31 *5912:81 0.000554872 -57 *1083:15 *5912:54 7.49387e-06 -58 *2760:179 *5912:67 0.000520522 -59 *2770:134 *5912:81 4.18895e-05 -60 *2770:142 *5912:81 0.000894874 -61 *2770:142 *5912:100 0.00210058 -62 *2770:156 *5912:100 7.31843e-05 -63 *3699:15 *27187:S 9.83442e-05 -64 *3939:104 *5912:54 9.91086e-05 -65 *3965:130 *27192:S 7.32955e-05 -66 *4033:8 *27192:S 0.000103856 -67 *4033:8 *5912:28 0.00016855 -68 *4699:9 *5912:81 3.53079e-05 -69 *4818:9 *5912:28 0.0001399 -70 *4818:9 *5912:30 0.00055182 -71 *4818:9 *5912:54 0.000824269 -72 *5715:26 *5912:100 0.000133012 -73 *5715:32 *27187:S 0.000391173 -74 *5715:32 *5912:100 0.000502725 -75 *5736:10 *27189:S 1.65181e-05 -76 *5736:10 *5912:67 0.000121573 -77 *5736:24 *27189:S 0.00019404 -78 *5772:70 *5912:67 3.97677e-05 -79 *5775:78 *27192:S 0.00012626 -80 *5775:78 *5912:28 0.000269137 -81 *5775:103 *5912:28 0.00019817 -82 *5814:10 *5912:54 5.01117e-05 -83 *5824:153 *27189:S 0.000198502 -84 *5824:159 *27189:S 2.2209e-06 -85 *5824:159 *5912:67 4.038e-06 -86 *5863:25 *27192:S 0.000294904 -87 *5881:26 *5912:7 0.00018077 -88 *5896:17 *5912:81 0.000561398 -89 *5896:17 *5912:100 0.00144335 -*RES -1 *29229:X *5912:7 15.5679 -2 *5912:7 *27192:S 34.4429 -3 *5912:7 *5912:28 12.4821 -4 *5912:28 *5912:30 10.3929 -5 *5912:30 *27194:S 9.3 -6 *5912:30 *5912:54 39.25 -7 *5912:54 *5912:67 37.7679 -8 *5912:67 *27189:S 19.0857 -9 *5912:67 *5912:81 17.9107 -10 *5912:81 *27188:S 9.3 -11 *5912:81 *5912:100 39.2321 -12 *5912:100 *27187:S 24.5857 -13 *5912:100 *27191:S 13.8 -14 *5912:54 *27190:S 9.72857 -15 *5912:28 *27193:S 9.3 -*END - -*D_NET *5913 0.00440634 -*CONN -*I *28749:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29240:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28749:D 0 -2 *29240:X 0.00116897 -3 *5913:15 0.00116897 -4 *28749:CLK *5913:15 0.000114802 -5 *28987:A *5913:15 0.000604166 -6 *29416:A *5913:15 0.000393943 -7 *30120:A *5913:15 7.83587e-05 -8 *869:15 *5913:15 0.000261376 -9 *3609:93 *5913:15 0.000120114 -10 *4033:7 *5913:15 0.000178847 -11 *5859:14 *5913:15 0.00013933 -12 *5870:8 *5913:15 0.000177456 -*RES -1 *29240:X *5913:15 42.0321 -2 *5913:15 *28749:D 9.3 -*END - -*D_NET *5914 0.0761625 -*CONN -*I *25112:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *6569:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *28838:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *6535:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *30722:X O *D sky130_fd_sc_hd__clkbuf_4 -*CAP -1 *25112:B2 0 -2 *6569:DIODE 0 -3 *28838:A 0.000180523 -4 *6535:DIODE 0 -5 *30722:X 0 -6 *5914:99 0.00212804 -7 *5914:90 0.00624534 -8 *5914:88 0.00609126 -9 *5914:80 0.00482175 -10 *5914:64 0.00448305 -11 *5914:48 0.001565 -12 *5914:43 0.00157572 -13 *5914:29 0.00410106 -14 *5914:27 0.00402465 -15 *5914:18 0.00496289 -16 *5914:6 0.00611891 -17 *5914:5 0.00254506 -18 *5914:18 *26918:A1 0.000268409 -19 *5914:29 *25722:A0 5.33005e-05 -20 *5914:64 *6258:12 0.000218298 -21 *5914:80 *6363:9 3.71789e-06 -22 *5914:88 *29656:A 0.000270482 -23 *5914:88 *6363:9 7.30879e-05 -24 *25112:A2 *5914:43 8.55871e-05 -25 *25685:A0 *5914:6 0.000115689 -26 *25722:S *5914:29 2.59355e-05 -27 *25728:B *5914:64 0.000294799 -28 *25987:A *5914:90 1.54142e-05 -29 *25987:A *5914:99 1.33343e-05 -30 *25987:C *5914:99 6.57032e-05 -31 *26146:A2 *5914:90 0.000451266 -32 *26151:A1 *5914:90 5.04723e-05 -33 *26151:A2 *5914:90 1.58163e-05 -34 *26151:B1 *5914:90 0.000558443 -35 *26151:C1 *5914:90 5.74499e-06 -36 *26317:A *5914:99 0.000222666 -37 *26321:A1 *5914:99 2.14757e-05 -38 *26458:A3 *5914:99 1.02936e-05 -39 *26463:A *5914:90 1.54142e-05 -40 *26569:B1 *5914:90 5.27209e-07 -41 *26569:B2 *5914:90 0.000184745 -42 *26573:A1 *5914:99 1.74352e-05 -43 *26573:A3 *5914:99 0.000306317 -44 *26573:A4 *5914:99 5.33005e-05 -45 *26573:B1 *5914:99 0.000108535 -46 *26913:A0 *5914:29 5.52302e-05 -47 *26913:A1 *5914:29 3.30237e-05 -48 *26913:S *5914:29 4.87953e-05 -49 *26947:A0 *5914:64 0.000401939 -50 *26948:A1 *5914:80 0.000223558 -51 *27187:S *5914:64 0.000316103 -52 *27610:A2 *5914:29 9.76435e-06 -53 *27610:A2 *5914:43 0.000181275 -54 *27610:B1 *5914:29 1.24368e-05 -55 *27610:B1 *5914:43 7.41052e-05 -56 *27610:B2 *5914:43 1.26529e-05 -57 *27610:C1 *5914:43 2.86878e-05 -58 *27771:B1 *5914:18 6.6289e-05 -59 *27821:A1 *5914:18 4.60387e-05 -60 *27821:B1 *5914:18 0.000166269 -61 *28196:CLK *5914:27 0.000212646 -62 *28196:RESET_B *5914:18 7.69776e-06 -63 *28196:RESET_B *5914:27 0.000361013 -64 *28707:D *5914:29 0.000181796 -65 *28732:CLK *5914:18 2.04825e-05 -66 *28735:D *5914:80 0.000247479 -67 *28825:CLK *5914:88 0.000796784 -68 *28825:RESET_B *5914:80 4.85567e-05 -69 *29049:A *5914:64 0.000147921 -70 *29095:A *5914:80 0.000137634 -71 *29100:A *5914:64 0.000146474 -72 *29294:A *5914:29 0.00042994 -73 *29354:A *5914:64 4.9773e-05 -74 *29457:A *5914:64 0.000199698 -75 *30209:A *5914:64 0.000123137 -76 *30539:A *5914:6 0.000305448 -77 *1433:10 *5914:43 2.57487e-05 -78 *1433:10 *5914:48 9.3111e-05 -79 *1834:14 *5914:48 8.08022e-05 -80 *1834:14 *5914:64 0.000287849 -81 *1835:99 *5914:6 0 -82 *1863:7 *5914:43 0.00087488 -83 *1927:15 *5914:99 0.000181752 -84 *1956:47 *5914:99 0.000389077 -85 *2054:38 *5914:90 0.000135028 -86 *2054:48 *5914:90 0.00105099 -87 *2111:26 *5914:99 0.000179656 -88 *2131:163 *5914:99 0.000473325 -89 *2144:22 *5914:99 0.000177941 -90 *2509:10 *5914:90 0.00229796 -91 *2513:7 *5914:99 0.000301438 -92 *2762:16 *5914:29 0.000773532 -93 *2769:182 *5914:18 0.000344535 -94 *3162:7 *5914:43 0.000178847 -95 *3578:118 *5914:64 0.000539465 -96 *3589:9 *5914:80 0.000112969 -97 *3589:11 *5914:80 0.000210261 -98 *3589:17 *5914:80 0.00012104 -99 *3891:113 *5914:6 0.000653134 -100 *3978:130 *5914:18 0.000292646 -101 *4011:5 *5914:80 1.8631e-06 -102 *4017:33 *5914:18 0 -103 *4083:20 *5914:43 7.87182e-05 -104 *4128:10 *5914:64 0.000662223 -105 *4226:23 *5914:6 0.000527085 -106 *5386:12 *5914:6 0.000666983 -107 *5462:70 *5914:6 0 -108 *5588:113 *5914:6 0 -109 *5629:229 *5914:18 0.000453514 -110 *5640:264 *5914:29 0.000443878 -111 *5641:204 *5914:29 0.000954763 -112 *5668:31 *5914:29 0.000602243 -113 *5669:251 *5914:48 8.25098e-05 -114 *5669:251 *5914:64 0.000300064 -115 *5669:278 *5914:29 0.000341202 -116 *5669:312 *5914:18 0.0015852 -117 *5682:63 *5914:27 0.000218685 -118 *5695:183 *5914:27 0.000159627 -119 *5695:183 *5914:29 1.74352e-05 -120 *5701:101 *5914:29 0.000135028 -121 *5709:20 *5914:80 0.000126566 -122 *5715:17 *5914:64 0.000271345 -123 *5715:26 *5914:64 0.00018372 -124 *5715:32 *5914:64 0 -125 *5729:193 *5914:43 0.000134834 -126 *5729:198 *5914:43 1.79387e-05 -127 *5780:125 *5914:80 0.000438781 -128 *5820:15 *5914:29 0.000322609 -129 *5825:8 *5914:6 0 -130 *5862:44 *5914:18 0 -131 *5911:53 *5914:6 0 -132 *5912:100 *5914:64 0.000197622 -*RES -1 *30722:X *5914:5 13.8 -2 *5914:5 *5914:6 51.125 -3 *5914:6 *5914:18 47.8096 -4 *5914:18 *5914:27 22.8571 -5 *5914:27 *5914:29 50.6429 -6 *5914:29 *5914:43 24.5536 -7 *5914:43 *5914:48 7.30357 -8 *5914:48 *6535:DIODE 13.8 -9 *5914:48 *5914:64 45.6071 -10 *5914:64 *5914:80 43.375 -11 *5914:80 *5914:88 27.5982 -12 *5914:88 *5914:90 55.7768 -13 *5914:90 *5914:99 44.25 -14 *5914:99 *28838:A 11.8 -15 *5914:99 *6569:DIODE 9.3 -16 *5914:43 *25112:B2 9.3 -*END - -*D_NET *5915 0.00394803 -*CONN -*I *26917:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29251:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26917:A1 0.00130822 -2 *29251:X 0.00130822 -3 *26917:A1 *28504:D 0.000958981 -4 *29251:A *26917:A1 5.40148e-05 -5 *3839:13 *26917:A1 0.000121891 -6 *5709:312 *26917:A1 0.000121891 -7 *5709:327 *26917:A1 7.48172e-05 -*RES -1 *29251:X *26917:A1 45.3857 -*END - -*D_NET *5916 0.00265029 -*CONN -*I *28504:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29262:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28504:D 0.000450109 -2 *29262:X 0.000450109 -3 *26917:A1 *28504:D 0.000958981 -4 *29251:A *28504:D 0.000204911 -5 *29262:A *28504:D 0.000221978 -6 *3582:55 *28504:D 0.000221177 -7 *5709:312 *28504:D 9.61187e-05 -8 *5709:327 *28504:D 4.69061e-05 -*RES -1 *29262:X *28504:D 32.8321 -*END - -*D_NET *5917 0.00133109 -*CONN -*I *27080:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29273:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27080:A1 0.00023088 -2 *29273:X 0.00023088 -3 *6615:DIODE *27080:A1 0.000105471 -4 *1185:78 *27080:A1 0.000634867 -5 *2780:308 *27080:A1 9.76435e-06 -6 *3852:9 *27080:A1 3.11088e-05 -7 *3852:24 *27080:A1 8.09163e-05 -8 *5829:85 *27080:A1 7.20217e-06 -*RES -1 *29273:X *27080:A1 24.8857 -*END - -*D_NET *5918 0.00116726 -*CONN -*I *28649:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29285:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28649:D 0.000338749 -2 *29285:X 0.000338749 -3 *27482:A2 *28649:D 4.48647e-05 -4 *2874:192 *28649:D 0.000100823 -5 *2880:108 *28649:D 0.00024153 -6 *5660:134 *28649:D 0.000102545 -*RES -1 *29285:X *28649:D 32.7607 -*END - -*D_NET *5919 0.00105942 -*CONN -*I *27122:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29296:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27122:A1 0.000264925 -2 *29296:X 0.000264925 -3 *25242:A1 *27122:A1 4.77415e-05 -4 *28574:RESET_B *27122:A1 0.000108633 -5 *1633:16 *27122:A1 2.2504e-05 -6 *4080:41 *27122:A1 0.000253975 -7 *5708:54 *27122:A1 9.67203e-05 -*RES -1 *29296:X *27122:A1 32.0107 -*END - -*D_NET *5920 0.00265033 -*CONN -*I *28686:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29307:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28686:D 0.000866529 -2 *29307:X 0.000866529 -3 *29307:A *28686:D 0.000315848 -4 *5645:156 *28686:D 0.000507258 -5 *5708:54 *28686:D 9.41642e-05 -*RES -1 *29307:X *28686:D 31.1402 -*END - -*D_NET *5921 0.00546008 -*CONN -*I *26872:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25724:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29318:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26872:A1 5.35233e-05 -2 *25724:A0 0.000567822 -3 *29318:X 0.000690394 -4 *5921:8 0.00131174 -5 *26872:A0 *26872:A1 6.05161e-06 -6 *26872:S *25724:A0 4.43299e-05 -7 *28196:RESET_B *25724:A0 0 -8 *28732:CLK *25724:A0 0.000190682 -9 *29870:A *25724:A0 0.000301008 -10 *29948:A *5921:8 0.000683983 -11 *3718:63 *25724:A0 1.9036e-05 -12 *3783:41 *25724:A0 6.87574e-05 -13 *4017:33 *25724:A0 5.71472e-05 -14 *5586:31 *25724:A0 3.9846e-05 -15 *5586:51 *25724:A0 5.33005e-05 -16 *5680:66 *25724:A0 7.50814e-05 -17 *5680:66 *5921:8 0.000233996 -18 *5680:77 *25724:A0 0.00017788 -19 *5682:44 *25724:A0 0 -20 *5775:21 *25724:A0 5.42715e-05 -21 *5775:21 *5921:8 5.47643e-05 -22 *5905:16 *25724:A0 0.000776463 -*RES -1 *29318:X *5921:8 23.9607 -2 *5921:8 *25724:A0 29.4071 -3 *5921:8 *26872:A1 14.3357 -*END - -*D_NET *5922 0.00371316 -*CONN -*I *28464:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29329:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28464:D 0.00124423 -2 *29329:X 0.00124423 -3 *28464:D *27148:A1 0.00018077 -4 *29054:A *28464:D 0.000348207 -5 *29055:A *28464:D 0.000114843 -6 *2764:21 *28464:D 5.33005e-05 -7 *2764:30 *28464:D 0.00012324 -8 *3965:22 *28464:D 0.000168579 -9 *5776:20 *28464:D 6.71854e-05 -10 *5814:12 *28464:D 0.000168579 -*RES -1 *29329:X *28464:D 45.1357 -*END - -*D_NET *5923 0.00109532 -*CONN -*I *25601:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29340:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25601:A0 0.000322621 -2 *29340:X 0.000322621 -3 *29489:A *25601:A0 6.42338e-05 -4 *29490:A *25601:A0 2.47093e-05 -5 *29523:A *25601:A0 5.35386e-05 -6 *3535:13 *25601:A0 0 -7 *4182:8 *25601:A0 7.60306e-05 -8 *5716:265 *25601:A0 0.000231561 -*RES -1 *29340:X *25601:A0 34.85 -*END - -*D_NET *5924 0.00221839 -*CONN -*I *29429:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29351:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *29429:A 0.000743471 -2 *29351:X 0.000743471 -3 *29429:A *6036:71 0.000357054 -4 *29429:A *6364:32 2.88424e-05 -5 *27989:A0 *29429:A 0 -6 *27995:A0 *29429:A 0 -7 *28202:CLK *29429:A 2.49484e-05 -8 *28203:CLK *29429:A 3.92854e-05 -9 *30632:A *29429:A 0.00014285 -10 *3523:16 *29429:A 0 -11 *4652:20 *29429:A 9.27284e-05 -12 *5684:8 *29429:A 4.57445e-05 -*RES -1 *29351:X *29429:A 40.3857 -*END - -*D_NET *5925 0.0211918 -*CONN -*I *28839:A I *D sky130_fd_sc_hd__buf_2 -*I *25051:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30723:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 -*CAP -1 *28839:A 2.76349e-05 -2 *25051:B2 0.000725064 -3 *30723:X 0.00161211 -4 *5925:26 0.00280017 -5 *5925:17 0.00304888 -6 *5925:10 0.00167595 -7 *5925:9 0.00228664 -8 mgmt_gpio_oeb[33] *5925:9 0.000651243 -9 mgmt_gpio_out[33] *5925:10 0.000175512 -10 mgmt_gpio_out[34] *5925:9 8.00431e-05 -11 *24824:A *5925:10 0 -12 *24824:A *5925:17 0 -13 *25051:A2 *25051:B2 1.89507e-06 -14 *25054:A1 *25051:B2 2.84269e-05 -15 *25054:B2 *25051:B2 0.000517566 -16 *25055:A *25051:B2 1.38323e-05 -17 *25739:A0 *5925:10 0 -18 *27168:A *5925:26 0.000202808 -19 *27782:C1 *25051:B2 0.000262504 -20 *27832:A1 *5925:26 0 -21 *27832:C1 *5925:26 0.000140407 -22 *28479:CLK *25051:B2 4.85049e-06 -23 *28764:CLK *5925:26 4.57535e-05 -24 *29064:A *5925:26 8.79856e-06 -25 *29939:A *5925:10 5.36308e-05 -26 *30583:A *5925:17 0.000183222 -27 *30720:A *5925:10 0 -28 *30723:A *5925:9 4.05411e-05 -29 *30898:A *5925:9 0.000241087 -30 *1303:10 *5925:26 0.000150625 -31 *1304:6 *5925:26 0 -32 *2792:78 *25051:B2 0.000168174 -33 *2794:37 *5925:26 0.0013132 -34 *2794:69 *5925:17 1.90936e-05 -35 *3367:18 *25051:B2 8.78064e-05 -36 *3378:8 *5925:26 0 -37 *3991:72 *5925:10 0.00122571 -38 *3991:72 *5925:17 0.00126627 -39 *4058:23 *25051:B2 0.00038103 -40 *5187:15 *5925:17 0.000261234 -41 *5544:5 *28839:A 2.89114e-05 -42 *5703:13 *5925:17 0 -43 *5703:13 *5925:26 0.000803681 -44 *5863:11 *5925:26 4.72217e-05 -45 *5863:117 *5925:26 0.000461402 -46 *5863:132 *5925:26 0.000148887 -47 *5892:6 *5925:26 0 -48 *5898:17 *5925:10 0 -*RES -1 *30723:X *5925:9 34.7821 -2 *5925:9 *5925:10 16.2143 -3 *5925:10 *5925:17 28.9286 -4 *5925:17 *5925:26 48.3214 -5 *5925:26 *25051:B2 32.4607 -6 *5925:10 *28839:A 14.3357 -*END - -*D_NET *5926 0.197033 -*CONN -*I *25741:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27051:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *6562:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25750:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25705:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27165:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *27078:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25602:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25613:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25622:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *26823:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26842:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *6573:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *28975:A I *D sky130_fd_sc_hd__buf_6 -*I *25734:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29362:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *25741:A0 3.03643e-05 -2 *27051:A0 4.53808e-05 -3 *6562:DIODE 0.000246663 -4 *25750:A0 0 -5 *25705:A1 0.000180966 -6 *27165:A1 8.77444e-06 -7 *27078:A0 2.8444e-05 -8 *25602:A1 0.000211183 -9 *25613:A1 0 -10 *25622:A1 2.37855e-05 -11 *26823:A0 0.00220793 -12 *26842:A0 2.99504e-05 -13 *6573:DIODE 0 -14 *28975:A 0 -15 *25734:A0 0.00282691 -16 *29362:X 0 -17 *5926:291 0.000246663 -18 *5926:235 0.00396972 -19 *5926:224 0.00198157 -20 *5926:221 0.00202017 -21 *5926:204 0.00316659 -22 *5926:194 0.00209155 -23 *5926:186 0.00217171 -24 *5926:174 0.00131056 -25 *5926:171 0.00145044 -26 *5926:152 0.00474268 -27 *5926:136 0.00451686 -28 *5926:122 0.00264647 -29 *5926:120 0.00274584 -30 *5926:117 0.00184368 -31 *5926:110 0.000866815 -32 *5926:108 0.000649347 -33 *5926:105 0.00310021 -34 *5926:103 0.0042905 -35 *5926:90 0.00195426 -36 *5926:81 0.000472841 -37 *5926:78 0.00136418 -38 *5926:72 0.00551078 -39 *5926:70 0.00447561 -40 *5926:68 0.00170064 -41 *5926:66 0.00321195 -42 *5926:63 0.00313003 -43 *5926:49 0.00213971 -44 *5926:39 0.00067372 -45 *5926:35 0.00163418 -46 *5926:9 0.0038608 -47 *5926:4 0.00258774 -48 *6562:DIODE *5999:5 5.33005e-05 -49 *25602:A1 *5944:17 5.33005e-05 -50 *25705:A1 *25705:A0 6.86693e-05 -51 *25734:A0 *25734:A1 0.000144773 -52 *25734:A0 *28976:A 0.000911895 -53 *26823:A0 *5979:21 7.46642e-05 -54 *26842:A0 *6325:12 9.41642e-05 -55 *5926:9 *28013:A1 5.52302e-05 -56 *5926:35 *25686:A0 3.62291e-05 -57 *5926:35 *5984:11 7.02611e-05 -58 *5926:49 *28209:D 0.000852907 -59 *5926:63 *28209:D 8.27532e-05 -60 *5926:63 *6011:17 0.00256994 -61 *5926:66 *6259:24 0 -62 *5926:66 *6277:9 0 -63 *5926:66 *6278:11 2.68817e-05 -64 *5926:103 *27051:A1 9.76435e-06 -65 *5926:108 *25705:A0 3.14003e-05 -66 *5926:110 *25705:A0 0.000334644 -67 *5926:120 *28214:D 0 -68 *5926:122 *25701:A0 0.000476042 -69 *5926:122 *28181:D 5.74562e-05 -70 *5926:122 *6112:8 7.83659e-05 -71 *5926:136 *27049:A1 0.000544088 -72 *5926:136 *28724:D 0.000480519 -73 *5926:152 *27217:A 9.11238e-05 -74 *5926:152 *27233:A 0 -75 *5926:152 *6331:13 0.000168939 -76 *5926:171 *25563:A1 0.000104976 -77 *5926:171 *26840:A1 0.000449428 -78 *5926:171 *29738:A 0.00134796 -79 *5926:171 *5998:11 0.000980812 -80 *5926:171 *6063:27 6.81786e-05 -81 *5926:171 *6317:33 1.94879e-05 -82 *5926:171 *6385:32 0.000357356 -83 *5926:171 *6386:17 0.000476702 -84 *5926:174 *25037:A1 0.000104976 -85 *5926:186 *6385:32 0.0029955 -86 *5926:194 *25613:A0 5.52238e-05 -87 *5926:194 *6071:10 1.90936e-05 -88 *5926:194 *6385:32 0.00186317 -89 *5926:204 *25613:A0 5.71472e-05 -90 *5926:204 *27593:A2 0.000852786 -91 *5926:221 *27593:A2 3.05874e-05 -92 *5926:221 *28119:D 0.00016052 -93 *5926:221 *6055:23 0.000162368 -94 *5926:235 *6333:7 5.49489e-05 -95 pll90_sel[0] *26823:A0 0.000814004 -96 *25018:A1 *5926:171 0.00168272 -97 *25045:A2 *5926:152 0 -98 *25118:A2 *5926:81 7.43578e-06 -99 *25201:B1 *5926:72 2.04825e-05 -100 *25261:A2 *5926:186 0.00165752 -101 *25299:A1 *5926:235 4.74489e-06 -102 *25359:B1 *26823:A0 0.000217947 -103 *25523:A_N *5926:152 3.34476e-05 -104 *25600:A0 *5926:204 9.02916e-05 -105 *25600:A1 *5926:204 0.000262504 -106 *25623:S *5926:221 6.86693e-05 -107 *25623:S *5926:224 0.000304394 -108 *25701:A1 *5926:122 1.00375e-05 -109 *25705:S *25705:A1 1.56e-05 -110 *25706:S *6562:DIODE 0.000125877 -111 *25710:A1 *5926:122 0.0007912 -112 *25731:A0 *5926:35 0.000218741 -113 *25731:S *5926:35 4.87953e-05 -114 *25740:S *25741:A0 2.28598e-05 -115 *25740:S *5926:35 0.00058378 -116 *25740:S *5926:39 9.0145e-05 -117 *25741:A1 *5926:49 3.07555e-05 -118 *25742:A0 *5926:63 0 -119 *25742:A1 *5926:63 0 -120 *25750:S *5926:108 2.23393e-05 -121 *25952:A1 *5926:186 0.000931091 -122 *26808:A *26823:A0 9.76771e-05 -123 *26808:B *26823:A0 9.67754e-05 -124 *26823:S *26823:A0 2.59355e-05 -125 *26825:A0 *25602:A1 0.000256302 -126 *26842:S *5926:171 0.000202149 -127 *26842:S *5926:186 0.000255848 -128 *26850:A0 *5926:66 5.87595e-05 -129 *26954:A1 *5926:66 0.000379504 -130 *26964:A *5926:105 0.000135028 -131 *26964:B *5926:105 0.000541924 -132 *27017:A1 *5926:117 7.6644e-05 -133 *27049:S *27165:A1 4.3537e-05 -134 *27049:S *5926:152 9.60939e-05 -135 *27078:S *27078:A0 6.74893e-05 -136 *27078:S *5926:171 9.90431e-05 -137 *27079:A0 *5926:152 4.41233e-05 -138 *27176:A1 *5926:78 8.99641e-05 -139 *27202:A0 *5926:105 7.95355e-05 -140 *27202:S *5926:105 9.41642e-05 -141 *27229:A *5926:152 0 -142 *27229:A *5926:171 0.000106943 -143 *27273:B1 *5926:171 0.000188071 -144 *27380:A1 *5926:72 2.11419e-05 -145 *27380:B1 *5926:72 9.10894e-05 -146 *27453:B1 *5926:103 7.83587e-05 -147 *27462:A0 *5926:152 8.00806e-05 -148 *27742:C1 *5926:68 0.00102972 -149 *27811:B2 *5926:103 0.000185006 -150 *27869:A1 *5926:221 5.19522e-06 -151 *27869:A2 *5926:221 9.60337e-06 -152 *27869:B1 *5926:221 5.52302e-05 -153 *27996:A2 *25734:A0 0.000808572 -154 *28013:B1 *25734:A0 0.000143635 -155 *28013:B1 *5926:9 1.33343e-05 -156 *28129:RESET_B *5926:152 0.000526281 -157 *28180:D *5926:120 3.56976e-05 -158 *28209:RESET_B *5926:49 5.048e-05 -159 *28417:CLK *26823:A0 3.92049e-05 -160 *28417:D *26823:A0 4.10114e-05 -161 *28417:RESET_B *26823:A0 0.000350068 -162 *28593:D *5926:117 1.05999e-05 -163 *28649:RESET_B *5926:72 3.80575e-05 -164 *28693:RESET_B *5926:66 6.51414e-05 -165 *28708:RESET_B *5926:81 4.58194e-05 -166 *28708:RESET_B *5926:90 1.38323e-05 -167 *28795:D *5926:235 9.21611e-05 -168 *28795:RESET_B *5926:235 2.28783e-05 -169 *28982:A *25734:A0 2.77289e-05 -170 *29037:A *27051:A0 0.000136958 -171 *29037:A *5926:90 0.00018077 -172 *29099:A *5926:35 5.49489e-05 -173 *29182:A *5926:194 3.69047e-06 -174 *29362:A *5926:9 0.000841894 -175 *29597:A *25734:A0 0.000369854 -176 *29681:A *5926:35 0.000534222 -177 *29772:A *27165:A1 5.49544e-05 -178 *29772:A *5926:152 9.41642e-05 -179 *29805:A *27078:A0 0.000142157 -180 *29805:A *5926:171 5.52238e-05 -181 *29916:A *5926:136 9.80173e-05 -182 *29967:A *5926:66 7.07921e-05 -183 *29975:A *5926:66 4.02302e-05 -184 *29979:A *5926:66 0 -185 *30027:A *5926:68 0.000210833 -186 *30233:A *5926:72 0.000307337 -187 *30285:A *5926:152 3.93537e-05 -188 *30833:A *5926:122 6.27272e-06 -189 *30871:A *5926:122 1.48924e-05 -190 *30893:A *5926:120 0.00062299 -191 *30920:A *5926:235 0 -192 *61:14 *5926:63 0 -193 *471:9 *5926:224 1.21258e-05 -194 *471:9 *5926:235 1.39726e-05 -195 *552:14 *25741:A0 5.52302e-05 -196 *552:14 *5926:39 0.000136951 -197 *554:20 *5926:63 2.11515e-05 -198 *1018:16 *5926:66 1.34009e-05 -199 *1026:19 *5926:66 2.06178e-05 -200 *1185:175 *5926:72 0.000417574 -201 *1225:33 *5926:81 0.000112229 -202 *1244:62 *5926:136 0.000123295 -203 *1244:62 *5926:152 0.000593103 -204 *1245:116 *5926:152 0 -205 *1262:220 *5926:105 9.41642e-05 -206 *1264:56 *5926:186 7.81497e-05 -207 *1264:56 *5926:194 0.000644134 -208 *1264:83 *5926:171 8.16748e-05 -209 *1264:116 *5926:152 0.000674705 -210 *1293:150 *5926:72 0.00018509 -211 *1357:10 *5926:108 0.000195228 -212 *1371:14 *5926:122 0 -213 *1371:19 *5926:122 0 -214 *1616:23 *5926:221 3.4689e-05 -215 *1616:34 *5926:221 6.92966e-06 -216 *1616:46 *5926:235 0.000812326 -217 *1671:37 *5926:235 0.000781716 -218 *1675:10 *5926:221 0.00169033 -219 *1717:14 *5926:174 9.47364e-06 -220 *1815:37 *5926:204 0.000178847 -221 *1826:93 *5926:136 0.000148182 -222 *1826:117 *5926:78 0.000177341 -223 *1844:160 *25705:A1 1.00073e-05 -224 *1862:82 *5926:35 2.19684e-05 -225 *1864:151 *5926:108 0.00156814 -226 *1864:170 *5926:108 1.73789e-05 -227 *1864:170 *5926:110 2.59093e-05 -228 *1864:170 *5926:117 0.000177858 -229 *1900:30 *25602:A1 1.90936e-05 -230 *2766:14 *5926:66 1.41665e-05 -231 *2766:14 *5926:68 0.000745756 -232 *2766:57 *5926:66 0 -233 *2768:22 *5926:105 0.000259542 -234 *2768:25 *27051:A0 6.86792e-05 -235 *2768:25 *5926:81 8.57572e-06 -236 *2768:25 *5926:103 0.000804959 -237 *2768:141 *5926:105 0.0010442 -238 *2788:168 *5926:68 0.000142593 -239 *2791:275 *5926:66 0.00138489 -240 *2844:220 *5926:78 0.00034188 -241 *2874:169 *5926:78 5.25192e-06 -242 *2874:190 *5926:78 0.00129134 -243 *2874:192 *5926:78 4.46689e-05 -244 *2874:336 *5926:72 0.000299418 -245 *2892:140 *5926:103 7.6644e-05 -246 *2998:30 *5926:90 4.56437e-05 -247 *2998:30 *5926:103 7.97314e-05 -248 *3146:17 *5926:204 0.00128595 -249 *3153:177 *5926:72 0.000763757 -250 *3153:284 *5926:72 4.66511e-05 -251 *3174:188 *5926:78 0.000730112 -252 *3174:209 *5926:78 0.000350017 -253 *3185:229 *5926:68 0.000300506 -254 *3291:17 *5926:68 0.000165753 -255 *3291:17 *5926:72 0.00178391 -256 *3360:11 *5926:103 0.000135028 -257 *3523:16 *25734:A0 0.000118546 -258 *3571:60 *5926:235 7.14629e-05 -259 *3573:44 *5926:171 1.94879e-05 -260 *3634:38 *5926:136 0.000146474 -261 *3648:22 *5926:204 4.3656e-05 -262 *3649:7 *5926:204 0.00038021 -263 *3650:91 *5926:221 6.36238e-05 -264 *3653:52 *26823:A0 8.35129e-05 -265 *3705:14 *5926:66 0 -266 *3731:45 *5926:68 5.41797e-06 -267 *3757:75 *5926:68 1.27625e-05 -268 *3791:148 *5926:204 0.000467263 -269 *3874:102 *5926:63 0 -270 *3982:44 *5926:152 0 -271 *3991:34 *5926:72 0.00188356 -272 *3991:38 *5926:68 0.00155648 -273 *3991:38 *5926:72 0.00139457 -274 *3991:52 *5926:68 0.000228492 -275 *4037:8 *5926:35 2.80081e-05 -276 *4056:18 *5926:66 0.000155852 -277 *4056:18 *5926:68 3.65903e-05 -278 *4082:80 *5926:78 0.002694 -279 *4147:58 *5926:72 0.0038922 -280 *4150:31 *5926:105 0.00117855 -281 *4150:65 *5926:105 9.41642e-05 -282 *4229:27 *5926:49 0.000335867 -283 *4229:27 *5926:63 2.28499e-05 -284 *4230:17 *5926:63 0.000453565 -285 *4231:14 *5926:63 5.66248e-05 -286 *4574:37 *5926:171 0.000129384 -287 *4619:21 *25734:A0 5.33005e-05 -288 *5011:12 *5926:235 0.00018193 -289 *5019:50 *25734:A0 0.000783399 -290 *5352:22 *5926:171 1.05334e-05 -291 *5454:8 *5926:120 0.000270236 -292 *5461:18 *5926:120 0.00184629 -293 *5462:33 *5926:63 3.98559e-05 -294 *5465:37 *5926:117 0.0010858 -295 *5468:25 *5926:120 0 -296 *5468:25 *5926:122 0.00108438 -297 *5468:29 *5926:120 0.000376007 -298 *5485:102 *5926:221 9.07601e-05 -299 *5485:102 *5926:235 0.000396922 -300 *5485:145 *5926:90 5.41794e-05 -301 *5485:145 *5926:103 7.80167e-05 -302 *5488:55 *26823:A0 0.000737497 -303 *5520:48 *5926:221 3.07527e-05 -304 *5529:9 *5926:122 0.00020042 -305 *5529:22 *5926:122 0.000583175 -306 *5538:21 *5926:171 0.000116457 -307 *5544:10 *5926:66 0 -308 *5548:16 *5926:171 5.05056e-05 -309 *5601:37 *5926:72 0.000135968 -310 *5639:90 *5926:105 0.000435366 -311 *5651:284 *5926:72 0.000429939 -312 *5654:55 *5926:78 0.00034188 -313 *5656:43 *5926:103 8.43535e-06 -314 *5656:43 *5926:105 4.58194e-05 -315 *5656:68 *5926:103 0.000641148 -316 *5658:44 *5926:72 0.00015702 -317 *5658:69 *5926:72 0.00108595 -318 *5659:28 *5926:108 0.00201052 -319 *5659:28 *5926:110 0.00037571 -320 *5659:28 *5926:117 0.00131796 -321 *5659:32 *5926:117 1.27529e-05 -322 *5659:32 *5926:120 0.00107204 -323 *5659:32 *5926:122 0 -324 *5660:134 *5926:72 0.00024013 -325 *5660:175 *5926:72 0.00160736 -326 *5663:222 *5926:68 5.35901e-05 -327 *5665:138 *26823:A0 0.000304047 -328 *5667:230 *5926:152 0.000476417 -329 *5675:213 *5926:152 8.83682e-05 -330 *5675:213 *5926:171 0.000808082 -331 *5680:257 *5926:152 5.28368e-06 -332 *5683:29 *5926:63 0.000490328 -333 *5683:32 *5926:66 0 -334 *5690:7 *25734:A0 2.07491e-05 -335 *5690:7 *5926:9 0.000445066 -336 *5690:7 *5926:35 0.000889329 -337 *5691:190 *5926:204 0.000264542 -338 *5691:190 *5926:221 4.04683e-05 -339 *5694:195 *5926:136 0.000910869 -340 *5694:217 *5926:122 0.00171946 -341 *5694:217 *5926:136 0.000140933 -342 *5695:67 *5926:68 0.000139543 -343 *5695:80 *5926:68 0.00047439 -344 *5695:99 *5926:68 0.000530337 -345 *5695:124 *5926:68 0.000341107 -346 *5698:165 *5926:221 0.000204854 -347 *5701:29 *5926:63 0.00100315 -348 *5702:202 *5926:66 0 -349 *5718:70 *5926:171 0.000113854 -350 *5718:70 *5926:174 5.25862e-06 -351 *5718:70 *5926:186 0.000162047 -352 *5720:13 *5926:152 4.46618e-05 -353 *5720:87 *5926:171 1.94879e-05 -354 *5738:11 *5926:235 4.44622e-06 -355 *5738:31 *5926:235 0.00022367 -356 *5745:61 *25602:A1 9.94699e-05 -357 *5746:132 *26842:A0 9.41642e-05 -358 *5746:132 *5926:174 3.10885e-05 -359 *5746:146 *5926:171 1.578e-05 -360 *5746:152 *5926:171 0.000135028 -361 *5747:37 *5926:171 0.000161336 -362 *5751:83 *5926:152 0.000290285 -363 *5752:77 *5926:136 8.93488e-05 -364 *5755:113 *5926:117 2.59355e-05 -365 *5755:113 *5926:120 0.000162227 -366 *5755:125 *5926:120 0.000250208 -367 *5777:160 *5926:68 0.00120868 -368 *5777:215 *5926:72 0.000143321 -369 *5777:223 *5926:72 4.6387e-05 -370 *5792:15 *5926:49 2.15363e-05 -371 *5819:20 *5926:72 0.00230452 -372 *5829:76 *5926:72 0.00114821 -373 *5829:85 *5926:72 0.00314116 -374 *5830:16 *5926:63 0.00169999 -375 *5879:188 *5926:35 0.000411241 -376 *5879:227 *5926:35 0.000776258 -377 *5879:227 *5926:39 1.5424e-05 -378 *5879:237 *5926:49 0.000150625 -379 *5893:46 *5926:63 0.000605198 -380 *5910:63 *5926:72 0.000420238 -*RES -1 *29362:X *5926:4 9.3 -2 *5926:4 *5926:9 20.6964 -3 *5926:9 *25734:A0 49.8179 -4 *5926:4 *5926:35 49.7679 -5 *5926:35 *5926:39 2.19643 -6 *5926:39 *5926:49 22.6071 -7 *5926:49 *28975:A 9.3 -8 *5926:49 *5926:63 26.7459 -9 *5926:63 *5926:66 31.4286 -10 *5926:66 *5926:68 52.3393 -11 *5926:68 *5926:70 0.535714 -12 *5926:70 *5926:72 155.098 -13 *5926:72 *5926:78 47.75 -14 *5926:78 *5926:81 7.91071 -15 *5926:81 *6573:DIODE 9.3 -16 *5926:81 *5926:90 7.41071 -17 *5926:90 *5926:103 36.375 -18 *5926:103 *5926:105 45.7143 -19 *5926:105 *5926:108 30.125 -20 *5926:108 *5926:110 4.98214 -21 *5926:110 *5926:117 28.8036 -22 *5926:117 *5926:120 44.6518 -23 *5926:120 *5926:122 46.1161 -24 *5926:122 *5926:136 46.4643 -25 *5926:136 *5926:152 44.7548 -26 *5926:152 *5926:171 49.2201 -27 *5926:171 *5926:174 4.90107 -28 *5926:174 *26842:A0 14.7464 -29 *5926:174 *5926:186 9.36647 -30 *5926:186 *5926:194 11.7242 -31 *5926:194 *5926:204 41.0893 -32 *5926:204 *5926:221 45.6731 -33 *5926:221 *5926:224 7.91071 -34 *5926:224 *5926:235 38.5179 -35 *5926:235 *26823:A0 42.3 -36 *5926:224 *25622:A1 9.72857 -37 *5926:194 *25613:A1 9.3 -38 *5926:186 *25602:A1 21.3618 -39 *5926:152 *27078:A0 10.6571 -40 *5926:136 *27165:A1 9.83571 -41 *5926:110 *25705:A1 15.9786 -42 *5926:108 *5926:291 4.5 -43 *5926:291 *25750:A0 9.3 -44 *5926:291 *6562:DIODE 12.3 -45 *5926:90 *27051:A0 15.1571 -46 *5926:39 *25741:A0 10.2643 -*END - -*D_NET *5927 0.00883121 -*CONN -*I *28209:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29373:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28209:D 0.000274011 -2 *29373:X 0.00136049 -3 *5927:14 0.0016345 -4 *25688:A1 *5927:14 3.47641e-06 -5 *25742:S *5927:14 0.000396892 -6 *26857:A1 *5927:14 0.000657188 -7 *28177:CLK *5927:14 2.96981e-05 -8 *28209:RESET_B *28209:D 0.000113835 -9 *28210:D *5927:14 0.00103449 -10 *61:14 *5927:14 0 -11 *553:13 *5927:14 0.000262808 -12 *778:15 *5927:14 9.92409e-05 -13 *3603:164 *5927:14 0.000100061 -14 *4230:17 *5927:14 0.000393936 -15 *4898:11 *5927:14 0.000268403 -16 *5462:33 *28209:D 0.00117348 -17 *5771:50 *5927:14 4.14422e-05 -18 *5803:10 *5927:14 0 -19 *5893:46 *5927:14 5.1588e-05 -20 *5926:49 *28209:D 0.000852907 -21 *5926:63 *28209:D 8.27532e-05 -*RES -1 *29373:X *5927:14 49.7464 -2 *5927:14 *28209:D 27.0679 -*END - -*D_NET *5928 0.00682627 -*CONN -*I *25715:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29384:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25715:A0 0.000868782 -2 *29384:X 0.000606545 -3 *5928:20 0.00147533 -4 *5928:20 *5929:17 0.000178847 -5 *29384:A *5928:20 7.02795e-05 -6 *30076:A *5928:20 0.000481282 -7 *30077:A *5928:20 5.1588e-05 -8 *534:25 *5928:20 0.000955883 -9 *2985:14 *5928:20 0.000178425 -10 *3164:304 *5928:20 0.000145154 -11 *3891:43 *5928:20 0.000143439 -12 *5692:17 *25715:A0 0.00113517 -13 *5692:17 *5928:20 0.000482247 -14 *5847:43 *5928:20 5.32956e-05 -*RES -1 *29384:X *5928:20 44.7464 -2 *5928:20 *25715:A0 20.5143 -*END - -*D_NET *5929 0.00778032 -*CONN -*I *28191:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29396:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28191:D 0.000406552 -2 *29396:X 0.00145664 -3 *5929:17 0.00186319 -4 *25713:S *5929:17 5.23296e-05 -5 *27099:A *5929:17 5.31113e-05 -6 *28191:CLK *28191:D 4.58194e-05 -7 *29315:A *5929:17 1.98839e-05 -8 *534:25 *5929:17 0.00203666 -9 *2770:18 *28191:D 0.00059254 -10 *2773:46 *28191:D 0.000590832 -11 *2776:201 *28191:D 0.000218679 -12 *4097:11 *28191:D 2.89016e-05 -13 *4124:43 *28191:D 3.05203e-05 -14 *5692:17 *5929:17 0.000179053 -15 *5892:25 *5929:17 2.67601e-05 -16 *5928:20 *5929:17 0.000178847 -*RES -1 *29396:X *5929:17 45.9964 -2 *5929:17 *28191:D 25.3357 -*END - -*D_NET *5930 0.004256 -*CONN -*I *25601:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29407:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25601:A1 0 -2 *29407:X 0.00128132 -3 *5930:11 0.00128132 -4 *5930:11 *25601:S 5.52302e-05 -5 *5930:11 *29503:A 9.60875e-05 -6 *28007:A1 *5930:11 9.41642e-05 -7 *28010:A1 *5930:11 0.000434806 -8 *28690:CLK *5930:11 0.000140331 -9 *28690:D *5930:11 0.000129868 -10 *28735:SET_B *5930:11 0 -11 *29489:A *5930:11 8.91726e-05 -12 *29958:A *5930:11 0.000509008 -13 *1823:12 *5930:11 3.18676e-05 -14 *3535:13 *5930:11 0 -15 *3589:50 *5930:11 6.27272e-06 -16 *5716:265 *5930:11 0.000106542 -*RES -1 *29407:X *5930:11 45.7464 -2 *5930:11 *25601:A1 9.3 -*END - -*D_NET *5931 0.00166781 -*CONN -*I *29351:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29418:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *29351:A 0.000163247 -2 *29418:X 0.000163247 -3 *29351:A *6036:71 0.00057215 -4 *29351:A *6364:32 0.00057215 -5 *5197:11 *29351:A 4.46186e-06 -6 *5672:8 *29351:A 4.43698e-05 -7 *5878:12 *29351:A 0.000148189 -*RES -1 *29418:X *29351:A 35.0107 -*END - -*D_NET *5932 0.00240954 -*CONN -*I *28976:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *29429:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28976:A 0.00036102 -2 *29429:X 0.00036102 -3 *25734:A0 *28976:A 0.000911895 -4 *25734:S *28976:A 0.000353907 -5 *27995:A0 *28976:A 9.49984e-05 -6 *29597:A *28976:A 0.000140933 -7 *30632:A *28976:A 6.54263e-05 -8 *3523:16 *28976:A 0.000114289 -9 *4652:20 *28976:A 6.05161e-06 -*RES -1 *29429:X *28976:A 39.4929 -*END - -*D_NET *5933 0.0117206 -*CONN -*I *28119:D I *D sky130_fd_sc_hd__dfstp_2 -*I *29440:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28119:D 0.00117698 -2 *29440:X 0.00134915 -3 *5933:17 0.00252613 -4 *28119:D *27571:A2 5.68747e-05 -5 *28119:D *6055:23 0.000198257 -6 *5933:17 *6055:13 5.90443e-06 -7 pll_trim[5] *28119:D 0 -8 *25359:A2 *28119:D 0.000204276 -9 *25400:B2 *5933:17 5.06537e-05 -10 *27853:C1 *5933:17 0.000487514 -11 *27869:A1 *28119:D 0.000130295 -12 *27869:A2 *28119:D 0 -13 *27869:B1 *28119:D 0.00065691 -14 *28381:RESET_B *28119:D 0.000220448 -15 *244:20 *28119:D 5.71472e-05 -16 *714:23 *28119:D 2.06112e-05 -17 *714:23 *5933:17 0.00037677 -18 *1264:41 *28119:D 0.000448285 -19 *1264:162 *5933:17 0 -20 *1671:24 *5933:17 7.52389e-06 -21 *3145:32 *28119:D 0.000198899 -22 *3411:32 *5933:17 0.000800882 -23 *3661:31 *5933:17 0 -24 *4618:21 *5933:17 0.00124382 -25 *5016:17 *5933:17 0.00014764 -26 *5074:15 *5933:17 1.13786e-05 -27 *5430:12 *5933:17 2.48858e-05 -28 *5522:32 *5933:17 7.35478e-05 -29 *5523:36 *28119:D 8.90474e-05 -30 *5524:40 *28119:D 4.00349e-05 -31 *5699:156 *5933:17 0.000956237 -32 *5926:221 *28119:D 0.00016052 -*RES -1 *29440:X *5933:17 41.8734 -2 *5933:17 *28119:D 45.0535 -*END - -*D_NET *5934 0.00750457 -*CONN -*I *25599:S I *D sky130_fd_sc_hd__mux2_4 -*I *25605:S I *D sky130_fd_sc_hd__mux2_1 -*I *25597:S I *D sky130_fd_sc_hd__mux2_4 -*I *29008:A I *D sky130_fd_sc_hd__buf_12 -*I *29451:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25599:S 7.50167e-05 -2 *25605:S 0.000579112 -3 *25597:S 6.1396e-05 -4 *29008:A 0.000335243 -5 *29451:X 0 -6 *5934:29 0.00067552 -7 *5934:20 0.000912847 -8 *5934:5 0.0011653 -9 *25599:S *6377:19 0.000165269 -10 *25605:S *25601:S 0.000353086 -11 *29008:A *27969:B1 4.46186e-06 -12 *5934:29 *6377:19 5.59013e-05 -13 *25485:A0 *5934:20 0.000334836 -14 *25593:S *25597:S 0.0001399 -15 *25593:S *25605:S 9.41642e-05 -16 *25593:S *29008:A 0.000163989 -17 *25593:S *5934:20 0.000194645 -18 *25599:A0 *25599:S 2.59355e-05 -19 *25605:A1 *25605:S 5.52302e-05 -20 *27969:A1 *29008:A 0.000563912 -21 *27971:B1 *29008:A 0.000298617 -22 *28076:CLK *5934:20 1.03904e-05 -23 *29657:A *5934:20 0.000548341 -24 *30402:A *5934:20 0.000126409 -25 *1823:12 *25605:S 0.000111491 -26 *4181:22 *25605:S 6.29366e-05 -27 *5457:22 *5934:20 0.000136691 -28 *5458:46 *25599:S 0.000173812 -29 *5458:46 *5934:29 5.41794e-05 -30 *5780:125 *29008:A 0 -31 *5780:125 *5934:20 0 -32 *5853:8 *25599:S 2.59355e-05 -*RES -1 *29451:X *5934:5 13.8 -2 *5934:5 *29008:A 23.3357 -3 *5934:5 *5934:20 24.9464 -4 *5934:20 *25597:S 10.6571 -5 *5934:20 *5934:29 5.23214 -6 *5934:29 *25605:S 25.1571 -7 *5934:29 *25599:S 16.5857 -*END - -*D_NET *5935 0.0116046 -*CONN -*I *25591:S I *D sky130_fd_sc_hd__mux2_8 -*I *25588:A2 I *D sky130_fd_sc_hd__o21a_4 -*I *29462:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25591:S 0.000401746 -2 *25588:A2 0.000183719 -3 *29462:X 0.000420198 -4 *5935:30 0.00157867 -5 *5935:7 0.00178084 -6 *25588:A2 *29196:A 0.000245349 -7 *25591:S *6377:19 0.000200406 -8 *5935:30 *29196:A 0.000188734 -9 *25603:S *5935:30 0 -10 *28073:D *25591:S 0.00027032 -11 *28075:RESET_B *5935:30 0 -12 *28076:CLK *5935:30 0.000440711 -13 *28076:D *5935:30 0.00014493 -14 *28506:D *5935:30 7.80929e-05 -15 *29333:A *5935:30 0 -16 *29435:A *5935:30 0.000900098 -17 *1771:89 *25591:S 0.000219711 -18 *1771:95 *25591:S 0.00021023 -19 *1817:16 *25591:S 8.10658e-05 -20 *1881:8 *25588:A2 1.58163e-05 -21 *1883:10 *5935:30 0.00158299 -22 *1884:23 *5935:7 0.000610064 -23 *4350:103 *25588:A2 0.000455554 -24 *4350:103 *5935:30 0.00108049 -25 *5312:13 *5935:30 2.79421e-05 -26 *5458:46 *25591:S 0.000198698 -27 *5729:163 *5935:30 0 -28 *5836:324 *5935:30 9.35622e-05 -29 *5908:8 *25588:A2 1.91414e-05 -30 *5909:17 *25588:A2 0.000175512 -*RES -1 *29462:X *5935:7 19.675 -2 *5935:7 *25588:A2 20.2286 -3 *5935:7 *5935:30 49.2321 -4 *5935:30 *25591:S 19.0268 -*END - -*D_NET *5936 0.111801 -*CONN -*I *25552:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25496:C I *D sky130_fd_sc_hd__nor3_2 -*I *25222:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *6456:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25567:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *6568:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *30724:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *25552:A1 6.94563e-05 -2 *25496:C 0.00275461 -3 *25222:A1 4.90725e-05 -4 *6456:DIODE 0 -5 *25567:A1 0.000242354 -6 *6568:DIODE 7.99556e-05 -7 *30724:X 0 -8 *5936:138 0.00249146 -9 *5936:136 0.00283435 -10 *5936:125 0.00328075 -11 *5936:111 0.00194264 -12 *5936:87 0.00128455 -13 *5936:79 0.00658818 -14 *5936:78 0.00765989 -15 *5936:70 0.00821958 -16 *5936:43 0.00625734 -17 *5936:40 0.000328977 -18 *5936:33 0.00449813 -19 *5936:29 0.00453492 -20 *5936:25 0.00315023 -21 *5936:15 0.00420073 -22 *5936:11 0.00333877 -23 *5936:6 0.00286971 -24 *5936:5 0.00183059 -25 *25567:A1 *6448:DIODE 0.000221634 -26 *25567:A1 *25567:A0 3.57366e-05 -27 *5936:6 *5947:14 6.48099e-05 -28 *5936:25 *28468:D 0.000125355 -29 *5936:29 *28468:D 5.74499e-06 -30 *5936:33 *27030:A1 6.595e-05 -31 *5936:70 *25336:A1 8.3175e-05 -32 *5936:78 *5947:74 0.000109481 -33 *5936:87 *25547:A1 4.73514e-05 -34 mgmt_gpio_oeb[3] *5936:6 4.70981e-05 -35 mgmt_gpio_oeb[4] *5936:6 0.000117421 -36 mgmt_gpio_oeb[5] *5936:6 4.70981e-05 -37 mgmt_gpio_oeb[6] *5936:138 6.99087e-05 -38 mgmt_gpio_oeb[7] *5936:138 4.50149e-05 -39 mgmt_gpio_oeb[8] *5936:138 0.000114129 -40 mgmt_gpio_out[4] *5936:6 4.70981e-05 -41 mgmt_gpio_out[5] *5936:6 7.19919e-05 -42 mgmt_gpio_out[6] *5936:138 4.50149e-05 -43 mgmt_gpio_out[8] *5936:138 4.50149e-05 -44 *24850:A *5936:138 0 -45 *24899:A *25496:C 4.16203e-05 -46 *24899:B *25496:C 0.000145454 -47 *24964:B *5936:111 0.000373816 -48 *25031:B2 *5936:15 0.00031852 -49 *25032:A *5936:11 5.33005e-05 -50 *25032:A *5936:15 0.000139187 -51 *25032:D *5936:15 0.000382372 -52 *25033:D *5936:11 0.000203495 -53 *25034:A *5936:138 0 -54 *25107:C1 *5936:70 0 -55 *25221:A1 *5936:111 0.000225928 -56 *25222:B1 *25222:A1 3.84842e-05 -57 *25222:B2 *5936:43 1.21032e-05 -58 *25222:C1 *5936:40 3.43655e-05 -59 *25292:C1 *5936:111 0.000137298 -60 *25292:C1 *5936:125 0.000854705 -61 *25295:A2 *5936:70 0.000127725 -62 *25410:B2 *5936:78 2.36158e-05 -63 *25547:A0 *5936:87 3.05475e-05 -64 *25552:A0 *25552:A1 5.33334e-05 -65 *25567:S *25567:A1 9.71197e-05 -66 *25703:A1 *5936:6 0.000192214 -67 *25706:S *5936:6 0.000163906 -68 *25729:A0 *5936:87 0.000789243 -69 *25943:A0 *5936:79 0.000395863 -70 *25943:A0 *5936:87 0.000166231 -71 *27292:C1 *5936:40 0.000266365 -72 *27292:C1 *5936:111 0.000397339 -73 *27454:A1 *5936:25 2.59355e-05 -74 *27454:B2 *5936:25 0.000136892 -75 *27454:C1 *5936:25 2.21721e-05 -76 *27486:B2 *5936:79 0.000256034 -77 *27635:B2 *5936:70 0.000633605 -78 *27719:A1 *5936:29 2.59355e-05 -79 *27719:A2 *5936:29 0.00029388 -80 *27851:A1 *5936:78 8.43535e-06 -81 *27861:A2 *5936:79 0.000144847 -82 *27900:B1 *5936:78 0.000219711 -83 *27932:A1 *6568:DIODE 2.5868e-05 -84 *27932:A1 *25222:A1 9.45387e-06 -85 *27932:A1 *5936:43 8.25843e-06 -86 *28389:D *5936:78 0.000135028 -87 *28468:RESET_B *5936:29 6.84259e-05 -88 *28468:RESET_B *5936:33 4.9444e-05 -89 *28480:RESET_B *5936:138 0 -90 *29723:A *5936:25 1.04232e-05 -91 *29723:A *5936:29 0.000131734 -92 *30272:A *5936:87 0.000420767 -93 *30394:A *5936:25 5.52302e-05 -94 *30725:A *5936:6 0.000365025 -95 *30726:A *5936:136 2.54101e-05 -96 *30728:A *5936:138 5.10602e-05 -97 *30729:A *5936:138 3.69573e-05 -98 *30864:A *5936:6 0.00013975 -99 *30868:A *5936:138 4.11927e-05 -100 *30869:A *5936:138 0 -101 *74:11 *5936:70 0.000317204 -102 *1178:69 *5936:33 5.52955e-05 -103 *1218:37 *5936:70 0.000900033 -104 *1218:188 *5936:15 0.000794336 -105 *1224:95 *25496:C 0.000376103 -106 *1225:15 *25496:C 0.000354627 -107 *1245:51 *5936:70 0.000476144 -108 *1256:6 *5936:111 5.90443e-06 -109 *1260:20 *5936:111 0.00196696 -110 *1260:35 *5936:111 0.000496371 -111 *1260:35 *5936:125 0.000251972 -112 *1273:81 *5936:70 4.58835e-05 -113 *1290:14 *5936:33 6.74084e-05 -114 *1291:31 *5936:70 1.78124e-05 -115 *1292:223 *5936:25 0.000123288 -116 *1326:95 *5936:70 2.15092e-05 -117 *1343:19 *5936:11 0.0001399 -118 *1352:19 *5936:11 0.000145451 -119 *1358:19 *5936:138 1.53908e-05 -120 *1395:29 *5936:70 0.000113877 -121 *1420:22 *5936:70 0.00143324 -122 *1427:94 *5936:70 0.000569597 -123 *1437:84 *5936:70 0.000175255 -124 *1441:115 *5936:70 1.09611e-05 -125 *1555:11 *5936:111 0.000106992 -126 *1585:19 *5936:70 6.78682e-05 -127 *1659:31 *5936:70 0 -128 *1833:36 *5936:79 0.000134471 -129 *1844:163 *5936:6 0.00129853 -130 *1844:183 *5936:6 0.000139727 -131 *1864:178 *5936:6 8.98547e-05 -132 *2758:90 *5936:29 0.000136682 -133 *2775:174 *5936:111 0.00162741 -134 *2775:174 *5936:125 0.000856262 -135 *2775:187 *5936:33 0.000364766 -136 *2775:187 *5936:111 6.84411e-05 -137 *2853:66 *5936:79 0.000883904 -138 *2854:16 *5936:40 1.66595e-05 -139 *2860:363 *5936:15 6.05161e-06 -140 *2867:99 *5936:70 0.000310087 -141 *2888:76 *5936:79 0.000207978 -142 *2888:81 *5936:79 0.000550379 -143 *2894:361 *5936:15 0.000509233 -144 *3051:23 *5936:78 0.000344829 -145 *3176:111 *5936:78 3.58743e-05 -146 *3182:97 *5936:78 0.000165109 -147 *3205:210 *5936:29 0.00139184 -148 *3205:210 *5936:33 7.19308e-05 -149 *3215:178 *5936:25 5.86416e-05 -150 *3269:10 *5936:29 0.000135028 -151 *3404:26 *5936:79 5.94433e-06 -152 *3413:51 *5936:125 7.87534e-06 -153 *3547:12 *5936:40 0.000245809 -154 *3547:12 *5936:70 0.000118769 -155 *3662:30 *5936:78 7.6644e-05 -156 *3666:35 *5936:70 0.000403032 -157 *3667:53 *5936:111 0.000938425 -158 *3721:68 *5936:15 0.000713024 -159 *3748:11 *5936:6 2.49484e-05 -160 *3749:113 *5936:111 0.000168089 -161 *3792:82 *5936:78 7.83659e-05 -162 *3834:24 *5936:70 0.00028587 -163 *3841:55 *5936:70 0.000173981 -164 *3844:75 *5936:33 0.000636786 -165 *3895:29 *5936:79 8.55871e-05 -166 *3895:58 *5936:79 0.000112261 -167 *3913:70 *5936:33 0.000526087 -168 *3987:58 *6568:DIODE 5.49544e-05 -169 *3998:50 *5936:111 5.6387e-05 -170 *4052:36 *5936:70 0.000100823 -171 *4185:59 *5936:70 0.000177 -172 *4186:26 *5936:25 0.000544147 -173 *4186:34 *5936:25 0.000853518 -174 *4952:14 *5936:70 0.00124233 -175 *4952:14 *5936:111 0.000281225 -176 *4952:14 *5936:125 0.000369941 -177 *5386:11 *5936:70 3.2127e-05 -178 *5583:88 *6568:DIODE 0.000135028 -179 *5583:88 *25222:A1 2.80305e-05 -180 *5583:88 *5936:43 0.000138196 -181 *5583:149 *5936:6 5.42384e-07 -182 *5583:151 *5936:6 0.00041059 -183 *5583:156 *5936:6 2.5315e-05 -184 *5605:33 *5936:70 0 -185 *5625:8 *5936:6 0 -186 *5625:8 *5936:136 0 -187 *5625:8 *5936:138 0.00170879 -188 *5646:38 *5936:78 0.000320174 -189 *5659:28 *5936:138 0 -190 *5660:64 *5936:15 9.58181e-05 -191 *5666:216 *5936:70 1.06297e-05 -192 *5675:86 *5936:6 0.000556688 -193 *5678:7 *5936:25 2.79421e-05 -194 *5680:232 *5936:25 4.27437e-05 -195 *5680:239 *5936:25 2.59355e-05 -196 *5687:228 *5936:6 0.00018783 -197 *5691:93 *5936:70 0.000648024 -198 *5700:50 *5936:125 3.38659e-05 -199 *5727:45 *25567:A1 8.25894e-05 -200 *5727:45 *5936:87 2.65105e-05 -201 *5738:130 *5936:70 0.00025461 -202 *5755:151 *5936:6 0.000476659 -203 *5755:159 *5936:6 0.0005111 -204 *5755:159 *5936:136 0.00036587 -*RES -1 *30724:X *5936:5 13.8 -2 *5936:5 *5936:6 60.5357 -3 *5936:6 *5936:11 15.3214 -4 *5936:11 *5936:15 49.3929 -5 *5936:15 *5936:25 32.5 -6 *5936:25 *5936:29 27.0446 -7 *5936:29 *5936:33 38.5089 -8 *5936:33 *5936:40 9.53571 -9 *5936:40 *5936:43 1.78571 -10 *5936:43 *6568:DIODE 11.0679 -11 *5936:43 *5936:70 48.9721 -12 *5936:70 *5936:78 38.8929 -13 *5936:78 *5936:79 64.8125 -14 *5936:79 *5936:87 21.2232 -15 *5936:87 *25567:A1 14.5679 -16 *5936:87 *6456:DIODE 9.3 -17 *5936:40 *25222:A1 10.6929 -18 *5936:33 *5936:111 49.1518 -19 *5936:111 *5936:125 30.0911 -20 *5936:125 *25496:C 40.55 -21 *5936:6 *5936:136 9.66964 -22 *5936:136 *5936:138 58.6161 -23 *5936:138 *25552:A1 14.7464 -*END - -*D_NET *5937 0.00155419 -*CONN -*I *29196:A I *D sky130_fd_sc_hd__buf_6 -*I *29473:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *29196:A 0.000291361 -2 *29473:X 0.000291361 -3 *25588:A2 *29196:A 0.000245349 -4 *4350:103 *29196:A 3.34687e-05 -5 *5908:8 *29196:A 0.000503913 -6 *5935:30 *29196:A 0.000188734 -*RES -1 *29473:X *29196:A 35.4571 -*END - -*D_NET *5938 0.0134656 -*CONN -*I *25769:S I *D sky130_fd_sc_hd__mux2_1 -*I *25767:S I *D sky130_fd_sc_hd__mux2_1 -*I *25766:S I *D sky130_fd_sc_hd__mux2_1 -*I *25768:S I *D sky130_fd_sc_hd__mux2_1 -*I *25770:S I *D sky130_fd_sc_hd__mux2_1 -*I *29484:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25769:S 0 -2 *25767:S 0.000552606 -3 *25766:S 0 -4 *25768:S 0.000475235 -5 *25770:S 0.00108586 -6 *29484:X 0.000205901 -7 *5938:33 0.000612624 -8 *5938:31 0.00128721 -9 *5938:10 0.00173394 -10 *5938:8 0.000256761 -11 *25770:S *5966:16 6.05161e-06 -12 *5938:8 *25896:A0 5.52302e-05 -13 *5938:31 *26735:A1 3.69865e-05 -14 *5938:31 *28338:D 0.000101545 -15 *25883:A1 *5938:31 0 -16 *25883:A1 *5938:33 0 -17 *26727:A *5938:8 0 -18 *28230:D *25768:S 3.5707e-05 -19 *28231:CLK *25767:S 7.02611e-05 -20 *28233:CLK *5938:31 0.000184229 -21 *28405:RESET_B *25767:S 5.95659e-05 -22 *29249:A *25768:S 0 -23 *29595:A *5938:8 0.000189455 -24 *29595:A *5938:10 0.000113635 -25 *29595:A *5938:31 8.22537e-05 -26 *29606:A *25770:S 0.000742357 -27 *1464:69 *25770:S 0.000274417 -28 *1677:26 *5938:31 0.000134186 -29 *2483:28 *25768:S 0.000380873 -30 *2483:28 *5938:8 0.00011389 -31 *2483:28 *5938:10 3.22555e-05 -32 *2483:28 *5938:31 0.000181541 -33 *2483:28 *5938:33 0.000270229 -34 *3413:25 *25770:S 0.00219305 -35 *3655:79 *25770:S 0.000198429 -36 *3656:42 *5938:31 0.000592064 -37 *3753:75 *25770:S 2.59355e-05 -38 *3762:17 *25770:S 0 -39 *5669:39 *25770:S 0.000276846 -40 *5780:47 *5938:31 0.000322531 -41 *5780:61 *5938:8 1.46624e-05 -42 *5824:28 *25768:S 0 -43 *5824:28 *5938:33 0 -44 *5833:57 *25768:S 8.43386e-05 -45 *5833:65 *25768:S 0.000482977 -*RES -1 *29484:X *5938:8 18.7107 -2 *5938:8 *5938:10 1.49107 -3 *5938:10 *25770:S 28.3501 -4 *5938:10 *5938:31 16.0446 -5 *5938:31 *5938:33 3.46429 -6 *5938:33 *25768:S 24.2464 -7 *5938:33 *25766:S 13.8 -8 *5938:31 *25767:S 20.4071 -9 *5938:8 *25769:S 13.8 -*END - -*D_NET *5939 0.00227974 -*CONN -*I *28233:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29495:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28233:D 0.000903598 -2 *29495:X 0.000903598 -3 *26728:D *28233:D 4.75969e-05 -4 *26791:C1 *28233:D 7.55769e-05 -5 *28233:CLK *28233:D 6.91207e-05 -6 *28403:D *28233:D 2.03486e-05 -7 *29606:A *28233:D 0.000125355 -8 *2544:26 *28233:D 7.72916e-05 -9 *3948:17 *28233:D 5.7256e-05 -*RES -1 *29495:X *28233:D 38.9437 -*END - -*D_NET *5940 0.00322474 -*CONN -*I *25613:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29507:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25613:A0 0.000629987 -2 *29507:X 0.000629987 -3 *25590:B *25613:A0 4.84486e-05 -4 *25600:A0 *25613:A0 0.000305285 -5 *25613:S *25613:A0 5.33005e-05 -6 *29182:A *25613:A0 5.60545e-05 -7 *762:14 *25613:A0 3.68898e-05 -8 *1900:40 *25613:A0 0.000154997 -9 *3412:41 *25613:A0 4.2189e-05 -10 *5483:130 *25613:A0 0.000114587 -11 *5505:41 *25613:A0 0.000521149 -12 *5749:23 *25613:A0 0.000519489 -13 *5926:194 *25613:A0 5.52238e-05 -14 *5926:204 *25613:A0 5.71472e-05 -*RES -1 *29507:X *25613:A0 43.0286 -*END - -*D_NET *5941 0.000400731 -*CONN -*I *28111:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29518:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28111:D 0.000133587 -2 *29518:X 0.000133587 -3 *30943:A *28111:D 7.8327e-05 -4 *5504:33 *28111:D 5.52302e-05 -*RES -1 *29518:X *28111:D 30.0821 -*END - -*D_NET *5942 0.000936668 -*CONN -*I *27140:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29529:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27140:A1 0.000184788 -2 *29529:X 0.000184788 -3 *27140:A1 *28702:D 0.000119303 -4 *29540:A *27140:A1 0.000216755 -5 *3552:22 *27140:A1 0.000231033 -*RES -1 *29529:X *27140:A1 23.2429 -*END - -*D_NET *5943 0.00356989 -*CONN -*I *28702:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29540:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28702:D 0.00119402 -2 *29540:X 0.00119402 -3 *28702:D *6247:31 0.000165064 -4 *25093:A2 *28702:D 6.57032e-05 -5 *27140:A1 *28702:D 0.000119303 -6 *27140:S *28702:D 8.48807e-05 -7 *29540:A *28702:D 0.00054662 -8 *3552:22 *28702:D 5.74499e-06 -9 *3940:11 *28702:D 2.79421e-05 -10 *5590:98 *28702:D 0.000166588 -*RES -1 *29540:X *28702:D 43.2429 -*END - -*D_NET *5944 0.0079911 -*CONN -*I *25602:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29551:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25602:A0 0 -2 *29551:X 0.00105347 -3 *5944:17 0.00105347 -4 *5944:17 *27095:A0 2.14757e-05 -5 *5944:17 *5945:18 0.000656833 -6 *5944:17 *6142:14 0.00024019 -7 *25602:A1 *5944:17 5.33005e-05 -8 *25604:A0 *5944:17 0.00144392 -9 *26825:S *5944:17 0.000308008 -10 *282:15 *5944:17 0.000132903 -11 *1536:13 *5944:17 8.5552e-05 -12 *5481:6 *5944:17 0.000192505 -13 *5512:29 *5944:17 0.000400867 -14 *5512:50 *5944:17 0.000307343 -15 *5675:223 *5944:17 0.00144474 -16 *5675:235 *5944:17 0.000509595 -17 *5680:278 *5944:17 4.58976e-05 -18 *5745:61 *5944:17 4.10359e-05 -*RES -1 *29551:X *5944:17 42.873 -2 *5944:17 *25602:A0 9.3 -*END - -*D_NET *5945 0.00683851 -*CONN -*I *28103:D I *D sky130_fd_sc_hd__dfstp_2 -*I *29562:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28103:D 0.000387738 -2 *29562:X 0.000653835 -3 *5945:18 0.00104157 -4 *5945:18 *27095:A0 0.000208572 -5 *25604:A0 *5945:18 9.39125e-05 -6 *27095:A1 *5945:18 5.33005e-05 -7 *27095:S *5945:18 5.52302e-05 -8 *30946:A *28103:D 9.0145e-05 -9 *456:17 *5945:18 3.4323e-06 -10 *457:17 *28103:D 5.52238e-05 -11 *457:17 *5945:18 0.000148711 -12 *3847:25 *5945:18 3.45503e-05 -13 *4881:17 *5945:18 2.45832e-05 -14 *4952:13 *5945:18 9.54798e-06 -15 *5504:49 *5945:18 0.00046984 -16 *5512:29 *28103:D 9.0145e-05 -17 *5526:16 *5945:18 4.15526e-05 -18 *5609:33 *5945:18 0.000531391 -19 *5680:278 *28103:D 0.000467638 -20 *5680:278 *5945:18 0.00172076 -21 *5944:17 *5945:18 0.000656833 -*RES -1 *29562:X *5945:18 49.7448 -2 *5945:18 *28103:D 22.9607 -*END - -*D_NET *5946 0.00177472 -*CONN -*I *25898:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29573:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25898:A0 0.000461277 -2 *29573:X 0.000461277 -3 *25897:S *25898:A0 8.87698e-05 -4 *25898:S *25898:A0 5.33005e-05 -5 *28348:RESET_B *25898:A0 4.97876e-05 -6 *29573:A *25898:A0 7.60827e-05 -7 *30115:A *25898:A0 5.52238e-05 -8 *1464:105 *25898:A0 6.54117e-05 -9 *1816:26 *25898:A0 6.05161e-06 -10 *1891:10 *25898:A0 0.000248486 -11 *4087:20 *25898:A0 2.68558e-05 -12 *5760:45 *25898:A0 0.00017309 -13 *5780:79 *25898:A0 9.10431e-06 -*RES -1 *29573:X *25898:A0 36.3321 -*END - -*D_NET *5947 0.113633 -*CONN -*I *25061:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *6454:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25581:A I *D sky130_fd_sc_hd__and2_1 -*I *30725:X O *D sky130_fd_sc_hd__buf_4 -*CAP -1 *25061:B2 0.0014373 -2 *6454:DIODE 0 -3 *25581:A 0.000220655 -4 *30725:X 7.00238e-05 -5 *5947:118 0.0048936 -6 *5947:117 0.0035485 -7 *5947:101 0.000340926 -8 *5947:100 0.00273165 -9 *5947:89 0.00327658 -10 *5947:85 0.00222404 -11 *5947:74 0.00338635 -12 *5947:56 0.00355511 -13 *5947:35 0.00361404 -14 *5947:27 0.00384496 -15 *5947:23 0.00347608 -16 *5947:14 0.00383851 -17 *5947:5 0.00248317 -18 *25061:B2 *26908:A1 5.33005e-05 -19 *5947:100 *6058:26 4.13595e-05 -20 mgmt_gpio_oeb[3] *5947:14 2.26467e-05 -21 mgmt_gpio_out[11] *5947:118 0 -22 *25061:A2 *25061:B2 0.000114596 -23 *25061:B1 *25061:B2 7.12204e-05 -24 *25061:C1 *25061:B2 7.12204e-05 -25 *25295:A2 *5947:74 1.46617e-05 -26 *25326:A2 *5947:74 0.000150564 -27 *25411:A1 *5947:56 0.000155305 -28 *25411:A2 *5947:56 0.000241367 -29 *25411:B1 *5947:56 0.000880756 -30 *25411:B2 *5947:56 1.28809e-05 -31 *25411:C1 *5947:56 9.77264e-06 -32 *25412:D *5947:74 0.000122032 -33 *25465:A1 *5947:100 1.21258e-05 -34 *25465:A2 *5947:100 0.000120077 -35 *25465:B1 *5947:100 2.59355e-05 -36 *25550:A0 *5947:118 0 -37 *25582:A *25581:A 0.000130011 -38 *25582:A *5947:100 0.000178847 -39 *25730:A1 *5947:100 2.07382e-05 -40 *27443:A2 *5947:23 8.55871e-05 -41 *27443:B1 *5947:23 0.000175892 -42 *27443:B2 *5947:23 9.41854e-05 -43 *27443:B2 *5947:27 2.89114e-05 -44 *27444:C1 *5947:23 9.60939e-05 -45 *27499:B1 *5947:85 4.20552e-05 -46 *27499:B2 *5947:85 0.000709486 -47 *27601:A *5947:27 0.000887879 -48 *27804:B *5947:23 0.00146312 -49 *27804:C *5947:23 0.00109004 -50 *27804:D *5947:23 2.12005e-05 -51 *27851:A1 *5947:85 0.00356087 -52 *27859:A1 *5947:85 2.4587e-05 -53 *27859:A1 *5947:89 0.000153703 -54 *27859:A2 *5947:85 0.0002785 -55 *27859:B2 *5947:89 1.98839e-05 -56 *27860:C1 *5947:85 0.000259273 -57 *27862:A2 *5947:85 9.58126e-05 -58 *27900:C1 *5947:74 3.72472e-05 -59 *28083:D *5947:100 0.000348406 -60 *28084:RESET_B *5947:89 0.00064401 -61 *28084:RESET_B *5947:100 0.000851019 -62 *28097:RESET_B *5947:100 9.77423e-05 -63 *28157:SET_B *5947:74 9.6475e-06 -64 *28389:RESET_B *5947:74 4.43299e-05 -65 *28436:RESET_B *5947:74 3.6346e-05 -66 *28698:D *5947:35 0.000434369 -67 *28698:SET_B *5947:35 0.000338611 -68 *29281:A *5947:100 0.0011658 -69 *29282:A *5947:100 0.00024603 -70 *29338:A *5947:74 0.000136682 -71 *29398:A *5947:89 5.33005e-05 -72 *29628:A *5947:118 0 -73 *29713:A *5947:23 0.000259542 -74 *29729:A *25061:B2 0.000236423 -75 *30724:A *5947:14 0 -76 *30791:A *5947:74 1.24368e-05 -77 *30864:A *5947:14 0.000304789 -78 *527:16 *5947:14 0 -79 *1248:56 *5947:56 2.05484e-05 -80 *1257:46 *5947:56 9.44553e-05 -81 *1263:50 *5947:56 1.90936e-05 -82 *1326:57 *5947:23 5.74499e-06 -83 *1326:57 *5947:27 1.4966e-05 -84 *1326:57 *5947:35 0.000279699 -85 *1342:26 *5947:14 4.11173e-05 -86 *1383:13 *25061:B2 8.05432e-05 -87 *1442:14 *5947:74 4.26759e-05 -88 *1713:26 *5947:74 0.000170765 -89 *1721:35 *5947:56 0.000543818 -90 *1844:163 *5947:14 0.00193269 -91 *1844:183 *5947:14 0.000609932 -92 *1899:31 *5947:74 8.94491e-05 -93 *2781:38 *5947:14 0 -94 *2848:384 *5947:27 0.000185724 -95 *2852:212 *5947:74 2.05803e-05 -96 *2852:297 *5947:27 0.000107637 -97 *2853:24 *5947:35 0.000100833 -98 *2853:48 *5947:56 0.000966579 -99 *2853:48 *5947:74 0.000672964 -100 *2861:23 *5947:27 0.000507117 -101 *2872:90 *5947:85 0.000308568 -102 *2875:63 *5947:56 0.000165671 -103 *2875:63 *5947:74 0.00114687 -104 *2895:23 *5947:27 0.000135028 -105 *3153:10 *5947:27 0.00241878 -106 *3153:124 *5947:27 4.27935e-05 -107 *3174:29 *5947:35 0.00146925 -108 *3214:78 *5947:74 0.000111233 -109 *3506:19 *5947:74 0.000438686 -110 *3692:8 *5947:118 0.000403704 -111 *3710:39 *5947:89 0.000672059 -112 *3734:23 *5947:23 0.000490801 -113 *3780:34 *5947:74 0.000125917 -114 *3866:44 *5947:85 1.42637e-05 -115 *3921:77 *5947:74 0.000242398 -116 *3970:40 *5947:74 8.94491e-05 -117 *3981:42 *5947:14 4.29471e-05 -118 *4069:187 *5947:118 0.00124443 -119 *4164:29 *5947:100 7.6644e-05 -120 *4213:15 *5947:100 7.83659e-05 -121 *5457:21 *5947:74 0.000154976 -122 *5624:18 *5947:74 0.000184742 -123 *5625:8 *5947:14 0.00103394 -124 *5625:8 *5947:118 0.0118787 -125 *5629:71 *5947:74 8.28344e-06 -126 *5633:40 *5947:27 0.00097785 -127 *5634:53 *5947:85 0.00319548 -128 *5646:34 *5947:74 4.85921e-06 -129 *5661:9 *5947:27 0.00140155 -130 *5661:9 *5947:35 0.0020085 -131 *5661:64 *5947:74 0.000369537 -132 *5680:129 *25061:B2 7.02611e-05 -133 *5727:73 *5947:100 3.34863e-05 -134 *5738:115 *5947:74 0.00172006 -135 *5738:130 *5947:74 4.63017e-05 -136 *5739:78 *25581:A 9.90367e-05 -137 *5739:78 *5947:101 0.000219711 -138 *5766:55 *25061:B2 0.000298483 -139 *5836:8 *5947:118 0.0109259 -140 *5892:151 *5947:85 0.000240715 -141 *5892:151 *5947:89 0.00218453 -142 *5892:163 *5947:100 0.000395804 -143 *5910:88 *25061:B2 4.65872e-05 -144 *5936:6 *5947:14 6.48099e-05 -145 *5936:78 *5947:74 0.000109481 -*RES -1 *30725:X *5947:5 10.2464 -2 *5947:5 *5947:14 46.1126 -3 *5947:14 *5947:23 37.6429 -4 *5947:23 *5947:27 48.9107 -5 *5947:27 *5947:35 37.5536 -6 *5947:35 *5947:56 35.3363 -7 *5947:56 *5947:74 48.4482 -8 *5947:74 *5947:85 49.1741 -9 *5947:85 *5947:89 22.3438 -10 *5947:89 *5947:100 48.9107 -11 *5947:100 *5947:101 2.17857 -12 *5947:101 *25581:A 13.1393 -13 *5947:101 *6454:DIODE 9.3 -14 *5947:5 *5947:117 5.85714 -15 *5947:117 *5947:118 167.393 -16 *5947:118 *25061:B2 36.8536 -*END - -*D_NET *5948 0.00066858 -*CONN -*I *28348:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29584:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28348:D 0.000168061 -2 *29584:X 0.000168061 -3 *28348:CLK *28348:D 9.60875e-05 -4 *29584:A *28348:D 0.000136951 -5 *4350:87 *28348:D 9.94194e-05 -*RES -1 *29584:X *28348:D 22.0107 -*END - -*D_NET *5949 0.00208597 -*CONN -*I *25886:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29595:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25886:A0 0.000644613 -2 *29595:X 0.000644613 -3 *25886:A0 *25896:A0 0.000135028 -4 *29811:A *25886:A0 3.92854e-05 -5 *2483:28 *25886:A0 4.15914e-05 -6 *5824:23 *25886:A0 0.000580835 -*RES -1 *29595:X *25886:A0 36.5643 -*END - -*D_NET *5950 0.00242699 -*CONN -*I *28338:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29606:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28338:D 0.000640213 -2 *29606:X 0.000640213 -3 *29595:A *28338:D 0.000210196 -4 *3656:38 *28338:D 0.000522613 -5 *3948:17 *28338:D 0.000312209 -6 *5938:31 *28338:D 0.000101545 -*RES -1 *29606:X *28338:D 39.0464 -*END - -*D_NET *5951 0.00252882 -*CONN -*I *25916:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29618:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25916:A1 0.000750852 -2 *29618:X 0.000750852 -3 *25365:B1 *25916:A1 0.000121573 -4 *25914:S *25916:A1 1.17968e-05 -5 *29237:A *25916:A1 0.000284165 -6 *29618:A *25916:A1 4.58194e-05 -7 *4035:23 *25916:A1 1.02504e-05 -8 *4036:7 *25916:A1 5.33005e-05 -9 *4038:8 *25916:A1 4.038e-06 -10 *4079:15 *25916:A1 3.96337e-05 -11 *5640:98 *25916:A1 0.00045654 -*RES -1 *29618:X *25916:A1 39.7786 -*END - -*D_NET *5952 0.000481921 -*CONN -*I *28363:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29629:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28363:D 0.000112368 -2 *29629:X 0.000112368 -3 *25916:A0 *28363:D 9.60939e-05 -4 *28893:A *28363:D 4.75812e-05 -5 *5760:58 *28363:D 1.02504e-05 -6 *5798:10 *28363:D 0.00010326 -*RES -1 *29629:X *28363:D 29.8857 -*END - -*D_NET *5953 0.00671682 -*CONN -*I *26870:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25720:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29640:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26870:A1 9.14583e-05 -2 *25720:A0 0.000335018 -3 *29640:X 0.00109389 -4 *5953:11 0.00152037 -5 *26870:A1 *5954:15 8.2607e-05 -6 *26870:A1 *6207:19 2.84026e-05 -7 *5953:11 *5954:15 0.000345604 -8 *26870:A0 *26870:A1 5.49544e-05 -9 *29651:A *26870:A1 6.05161e-06 -10 *29651:A *5953:11 0.00016968 -11 *849:17 *25720:A0 7.57402e-05 -12 *2768:220 *26870:A1 4.61501e-05 -13 *3615:12 *5953:11 0.000143208 -14 *4219:11 *5953:11 0.00122814 -15 *5683:299 *5953:11 0.000144787 -16 *5847:44 *25720:A0 0.000638667 -17 *5872:42 *25720:A0 0.000712087 -*RES -1 *29640:X *5953:11 35.6929 -2 *5953:11 *25720:A0 28.8 -3 *5953:11 *26870:A1 11.4786 -*END - -*D_NET *5954 0.00593292 -*CONN -*I *28462:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29651:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28462:D 0 -2 *29651:X 0.00104961 -3 *5954:15 0.00104961 -4 *25043:B2 *5954:15 0.000307715 -5 *26870:A0 *5954:15 0.00019017 -6 *26870:A1 *5954:15 8.2607e-05 -7 *26934:A0 *5954:15 0.000238053 -8 *26934:S *5954:15 5.52238e-05 -9 *28462:CLK *5954:15 5.56305e-05 -10 *29651:A *5954:15 0.000111243 -11 *2764:99 *5954:15 0.00016834 -12 *2771:171 *5954:15 1.24368e-05 -13 *3681:17 *5954:15 2.36643e-05 -14 *4219:11 *5954:15 0.00133673 -15 *4360:11 *5954:15 1.39726e-05 -16 *5589:123 *5954:15 0.000606382 -17 *5847:44 *5954:15 0.000191756 -18 *5873:31 *5954:15 9.41642e-05 -19 *5953:11 *5954:15 0.000345604 -*RES -1 *29651:X *5954:15 45.8893 -2 *5954:15 *28462:D 9.3 -*END - -*D_NET *5955 0.00145894 -*CONN -*I *27051:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29652:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27051:A1 0.000237971 -2 *29652:X 0.000237971 -3 *27811:B2 *27051:A1 5.33005e-05 -4 *29037:A *27051:A1 0.000472727 -5 *2768:25 *27051:A1 0.000322531 -6 *5649:91 *27051:A1 0.000124677 -7 *5926:103 *27051:A1 9.76435e-06 -*RES -1 *29652:X *27051:A1 25.7071 -*END - -*D_NET *5956 0.00164923 -*CONN -*I *28623:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29653:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28623:D 0.000357678 -2 *29653:X 0.000357678 -3 *28930:A *28623:D 9.38921e-05 -4 *2786:89 *28623:D 8.61743e-05 -5 *2883:168 *28623:D 0.000178786 -6 *3185:48 *28623:D 9.90367e-05 -7 *5485:145 *28623:D 0.000328773 -8 *5649:99 *28623:D 0.000147211 -*RES -1 *29653:X *28623:D 36.3321 -*END - -*D_NET *5957 0.00123399 -*CONN -*I *26879:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29654:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26879:A0 0.000281978 -2 *29654:X 0.000281978 -3 *25324:A1 *26879:A0 6.09899e-05 -4 *1363:108 *26879:A0 9.41642e-05 -5 *2856:137 *26879:A0 0.000321176 -6 *3197:46 *26879:A0 0.00015736 -7 *5687:87 *26879:A0 2.89114e-05 -8 *5687:114 *26879:A0 7.43578e-06 -*RES -1 *29654:X *26879:A0 33.8857 -*END - -*D_NET *5958 0.00576253 -*CONN -*I *25029:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30726:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25029:B2 0 -2 *30726:X 0.00204464 -3 *5958:11 0.00204464 -4 *25029:C1 *5958:11 1.38323e-05 -5 *1864:150 *5958:11 0.000132525 -6 *2773:8 *5958:11 0.00072312 -7 *3812:31 *5958:11 5.56679e-05 -8 *4046:18 *5958:11 0.000663783 -9 *4046:26 *5958:11 5.59013e-05 -10 *5583:142 *5958:11 2.84269e-05 -*RES -1 *30726:X *5958:11 47.2464 -2 *5958:11 *25029:B2 9.3 -*END - -*D_NET *5959 0.0031807 -*CONN -*I *28470:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29655:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28470:D 0.00106876 -2 *29655:X 0.00106876 -3 *28470:CLK *28470:D 1.97559e-05 -4 *28470:RESET_B *28470:D 5.30032e-05 -5 *1363:108 *28470:D 0.000461937 -6 *2784:65 *28470:D 0.000186388 -7 *3783:121 *28470:D 0.000135698 -8 *5448:40 *28470:D 0.000186388 -*RES -1 *29655:X *28470:D 41.5509 -*END - -*D_NET *5960 0.00326915 -*CONN -*I *25597:A1 I *D sky130_fd_sc_hd__mux2_4 -*I *29656:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25597:A1 0.00128778 -2 *29656:X 0.00128778 -3 *25591:A1 *25597:A1 0 -4 *25593:A1 *25597:A1 0.000118231 -5 *25593:S *25597:A1 0.000140202 -6 *25595:A0 *25597:A1 0.000168759 -7 *30169:A *25597:A1 0.00022289 -8 *1817:16 *25597:A1 4.35131e-05 -*RES -1 *29656:X *25597:A1 48.1179 -*END - -*D_NET *5961 0.00422118 -*CONN -*I *29869:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29657:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *29869:A 0 -2 *29657:X 0.000978738 -3 *5961:10 0.000978738 -4 *5961:10 *25856:A 4.02462e-05 -5 *5961:10 *6374:37 6.5185e-05 -6 *5961:10 *6374:61 0.000325204 -7 *25589:B1 *5961:10 0 -8 *27668:D *5961:10 0.000549557 -9 *29185:A *5961:10 0.000148196 -10 *29333:A *5961:10 0.000265378 -11 *29473:A *5961:10 0.000385239 -12 *4350:103 *5961:10 0 -13 *5366:28 *5961:10 0.000125731 -14 *5763:45 *5961:10 0.000358971 -15 *5780:110 *5961:10 0 -16 *5908:8 *5961:10 0 -17 *5909:17 *5961:10 0 -*RES -1 *29657:X *5961:10 43.0857 -2 *5961:10 *29869:A 9.3 -*END - -*D_NET *5962 0.00148923 -*CONN -*I *28701:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29659:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28701:D 0.000491566 -2 *29659:X 0.000491566 -3 *27714:A2 *28701:D 1.24368e-05 -4 *1290:148 *28701:D 0.000178425 -5 *3952:18 *28701:D 3.0305e-05 -6 *4082:80 *28701:D 0.000101341 -7 *5649:66 *28701:D 0.000183591 -*RES -1 *29659:X *28701:D 34.4036 -*END - -*D_NET *5963 0.00172242 -*CONN -*I *26896:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29660:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26896:A1 0.000478967 -2 *29660:X 0.000478967 -3 *26896:S *26896:A1 2.59355e-05 -4 *29661:A *26896:A1 0.000138977 -5 *2758:125 *26896:A1 1.85689e-05 -6 *3153:165 *26896:A1 0.000123295 -7 *3952:46 *26896:A1 6.06291e-05 -8 *3952:50 *26896:A1 3.69047e-06 -9 *5748:36 *26896:A1 0.00027182 -10 *5756:29 *26896:A1 0.000121573 -*RES -1 *29660:X *26896:A1 36.1 -*END - -*D_NET *5964 0.00210669 -*CONN -*I *28485:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29661:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28485:D 0.000639128 -2 *29661:X 0.000639128 -3 *1250:234 *28485:D 0.000128426 -4 *2874:190 *28485:D 1.18064e-05 -5 *3153:165 *28485:D 0.000148903 -6 *3627:33 *28485:D 2.89114e-05 -7 *5748:36 *28485:D 0.000384673 -8 *5756:29 *28485:D 0.000125717 -*RES -1 *29661:X *28485:D 38.0107 -*END - -*D_NET *5965 0.000561191 -*CONN -*I *25928:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29662:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25928:A1 0.000136139 -2 *29662:X 0.000136139 -3 *1896:23 *25928:A1 0.000103267 -4 *3762:17 *25928:A1 4.58194e-05 -5 *5458:40 *25928:A1 1.41029e-05 -6 *5799:12 *25928:A1 0.000125724 -*RES -1 *29662:X *25928:A1 30.1893 -*END - -*D_NET *5966 0.00286027 -*CONN -*I *28373:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29663:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28373:D 0 -2 *29663:X 0.000953394 -3 *5966:16 0.000953394 -4 *25770:S *5966:16 6.05161e-06 -5 *29663:A *5966:16 0.000105471 -6 *1464:69 *5966:16 0.000127439 -7 *3753:75 *5966:16 0.000105866 -8 *3949:5 *5966:16 1.58163e-05 -9 *3949:13 *5966:16 0.000192855 -10 *3949:41 *5966:16 7.96948e-05 -11 *4077:16 *5966:16 0.000194577 -12 *5669:39 *5966:16 0.000125717 -*RES -1 *29663:X *5966:16 41.1929 -2 *5966:16 *28373:D 9.3 -*END - -*D_NET *5967 0.00139634 -*CONN -*I *27209:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29664:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27209:A1 0.000209661 -2 *29664:X 0.000209661 -3 *25314:B2 *27209:A1 0.000290771 -4 *27407:A2 *27209:A1 0.000632952 -5 *29664:A *27209:A1 5.33005e-05 -*RES -1 *29664:X *27209:A1 24.8857 -*END - -*D_NET *5968 0.0015897 -*CONN -*I *28763:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29665:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28763:D 0.000364948 -2 *29665:X 0.000364948 -3 *25314:A2 *28763:D 0.000136951 -4 *27748:A2 *28763:D 6.57603e-05 -5 *28763:RESET_B *28763:D 0.000171603 -6 *1292:85 *28763:D 0.000184339 -7 *2791:199 *28763:D 0.000122446 -8 *3581:138 *28763:D 0.000151181 -9 *5748:6 *28763:D 2.75217e-05 -*RES -1 *29665:X *28763:D 35.9393 -*END - -*D_NET *5969 0.0143432 -*CONN -*I *25124:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30727:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25124:A1 0.00113152 -2 *30727:X 0.000626089 -3 *5969:19 0.00338071 -4 *5969:10 0.00287529 -5 *25124:A1 *6225:102 0.000276624 -6 *5969:10 *6348:6 1.45616e-05 -7 *5969:19 *29544:A 0.000159559 -8 *5969:19 *6302:8 0.000303896 -9 *5969:19 *6302:19 0.000315699 -10 *5969:19 *6348:6 8.19176e-05 -11 *25124:B1 *25124:A1 5.52238e-05 -12 *27225:C1 *5969:10 3.18676e-05 -13 *27418:A2 *5969:19 9.05283e-05 -14 *27419:A0 *5969:19 0.000675122 -15 *28187:D *5969:19 0.000441767 -16 *28774:CLK *25124:A1 7.71581e-05 -17 *28774:D *25124:A1 7.87696e-05 -18 *28786:CLK *5969:10 1.79457e-05 -19 *28786:D *5969:10 2.59355e-05 -20 *29502:A *25124:A1 7.36856e-05 -21 *30727:A *5969:10 0.000356035 -22 *1117:13 *5969:10 1.67149e-05 -23 *1178:18 *5969:10 0.00013028 -24 *1178:18 *5969:19 0.000117604 -25 *1178:33 *5969:19 0.00159574 -26 *1271:29 *5969:19 6.35864e-05 -27 *1277:70 *25124:A1 0.000147955 -28 *1800:14 *5969:19 9.23895e-06 -29 *2817:52 *25124:A1 0 -30 *2839:117 *5969:19 0.00036026 -31 *3569:73 *25124:A1 7.48091e-05 -32 *3573:25 *5969:19 1.21258e-05 -33 *3573:30 *5969:19 0.000103977 -34 *4574:47 *25124:A1 0.000120754 -35 *5515:42 *5969:19 0.000196 -36 *5522:61 *25124:A1 6.2589e-06 -37 *5581:25 *25124:A1 0 -38 *5720:87 *5969:10 2.05612e-05 -39 *5720:97 *5969:10 9.60875e-05 -40 *5720:182 *25124:A1 0.000181374 -*RES -1 *30727:X *5969:10 22.8268 -2 *5969:10 *5969:19 47.9018 -3 *5969:19 *25124:A1 37.6214 -*END - -*D_NET *5970 0.0552925 -*CONN -*I *24983:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *25584:B I *D sky130_fd_sc_hd__and2_2 -*I *6453:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *30728:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *24983:B2 1.74926e-05 -2 *25584:B 2.23854e-05 -3 *6453:DIODE 0.0001328 -4 *30728:X 0.000350727 -5 *5970:53 0.000826628 -6 *5970:51 0.00421601 -7 *5970:47 0.00445583 -8 *5970:43 0.00339097 -9 *5970:27 0.00262108 -10 *5970:18 0.0019971 -11 *5970:8 0.00521804 -12 *5970:7 0.00369554 -13 *6453:DIODE *6317:73 0.000137983 -14 *5970:8 *5981:8 0.00406636 -15 *5970:43 *27252:C 5.58062e-05 -16 *5970:47 *27213:A1 3.66921e-05 -17 *5970:47 *27240:A 0.000714006 -18 *5970:47 *29633:A 9.60939e-05 -19 *5970:47 *6311:11 1.02821e-05 -20 *5970:47 *6320:71 5.33005e-05 -21 *5970:47 *6384:47 0.000393673 -22 *5970:51 *27240:A 2.72695e-05 -23 *5970:51 *6317:73 2.46187e-05 -24 *5970:53 *6317:73 0.00199313 -25 *24983:A2 *5970:27 4.33899e-05 -26 *24983:A2 *5970:43 0.000393667 -27 *24983:B1 *24983:B2 2.44266e-05 -28 *24983:B1 *5970:27 1.24368e-05 -29 *24984:C1 *5970:18 0.000525401 -30 *25219:B2 *5970:51 0.000267818 -31 *25632:A0 *5970:18 0.000803618 -32 *25632:S *5970:18 0.000255966 -33 *25950:A1 *5970:51 1.21289e-05 -34 *25950:S *5970:51 4.87953e-05 -35 *27213:A2 *5970:47 0.000181448 -36 *27260:A3 *5970:43 8.25843e-06 -37 *27260:A3 *5970:47 0.000368937 -38 *27263:A1 *5970:43 3.51393e-05 -39 *27267:B *5970:43 0.000588998 -40 *27267:B *5970:47 8.43535e-06 -41 *28617:CLK *5970:43 8.55871e-05 -42 *28622:CLK *5970:51 0.000223112 -43 *28624:CLK *5970:43 2.52223e-05 -44 *28624:D *5970:43 0.000101346 -45 *28633:D *5970:43 1.65169e-05 -46 *29816:A *5970:51 0.000143833 -47 *30472:A *5970:43 0.000111043 -48 *30833:A *5970:43 0 -49 *30871:A *5970:27 0.000248939 -50 *1271:49 *5970:43 0.000559747 -51 *1273:14 *5970:53 0.000165651 -52 *1317:13 *5970:43 0.000113166 -53 *1826:86 *5970:18 0.00123248 -54 *2778:14 *5970:43 0.000236917 -55 *2790:8 *5970:18 6.27272e-06 -56 *2814:13 *5970:47 0.000685906 -57 *2818:8 *5970:47 6.26177e-05 -58 *2830:11 *5970:47 9.66977e-05 -59 *2831:17 *5970:43 5.52238e-05 -60 *3019:8 *5970:43 0.000298932 -61 *3796:37 *5970:51 0.00132162 -62 *3797:8 *5970:51 0.000172656 -63 *3800:27 *5970:43 0 -64 *3826:26 *5970:27 1.90936e-05 -65 *3877:23 *5970:18 1.94879e-05 -66 *3878:42 *5970:43 8.97999e-05 -67 *4069:187 *5970:8 0.00104768 -68 *4108:78 *5970:8 0.000946537 -69 *4186:47 *5970:8 0.00191058 -70 *4685:19 *5970:43 0.000446394 -71 *5424:8 *5970:8 0.00110092 -72 *5464:24 *5970:8 0.00351646 -73 *5583:178 *5970:18 0.000210969 -74 *5599:8 *5970:51 1.39726e-05 -75 *5602:13 *5970:53 0.000323805 -76 *5602:21 *5970:43 0 -77 *5625:8 *5970:8 0 -78 *5625:14 *5970:8 0 -79 *5667:260 *5970:27 8.97474e-05 -80 *5678:176 *24983:B2 5.02373e-06 -81 *5678:176 *5970:27 6.71391e-06 -82 *5678:176 *5970:43 0.000824573 -83 *5713:146 *5970:51 0.000137983 -84 *5714:13 *5970:27 6.92148e-06 -85 *5745:124 *5970:51 0.000479652 -*RES -1 *30728:X *5970:7 17.6214 -2 *5970:7 *5970:8 137.339 -3 *5970:8 *5970:18 47.2232 -4 *5970:18 *5970:27 12.5398 -5 *5970:27 *5970:43 44.4538 -6 *5970:43 *5970:47 23.8571 -7 *5970:47 *5970:51 44.5893 -8 *5970:51 *5970:53 19.4286 -9 *5970:53 *6453:DIODE 11.4786 -10 *5970:53 *25584:B 9.72857 -11 *5970:27 *24983:B2 9.85804 -*END - -*D_NET *5971 0.0009643 -*CONN -*I *25630:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29666:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25630:A1 0.000114287 -2 *29666:X 0.000114287 -3 *25630:A1 *6247:16 0.000314458 -4 *29667:A *25630:A1 5.52238e-05 -5 *2775:111 *25630:A1 5.33005e-05 -6 *5688:53 *25630:A1 0.000312743 -*RES -1 *29666:X *25630:A1 32.2071 -*END - -*D_NET *5972 0.00238901 -*CONN -*I *28126:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29667:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28126:D 0.000627766 -2 *29667:X 0.000627766 -3 *28126:RESET_B *28126:D 0.000186539 -4 *29747:A *28126:D 2.79421e-05 -5 *2776:257 *28126:D 0.000507506 -6 *3979:7 *28126:D 0.000216755 -7 *4115:10 *28126:D 0.000125724 -8 *5708:34 *28126:D 5.97623e-05 -9 *5810:97 *28126:D 9.25014e-06 -*RES -1 *29667:X *28126:D 41.2786 -*END - -*D_NET *5973 0.00139733 -*CONN -*I *25781:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29668:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25781:A1 0.00049335 -2 *29668:X 0.00049335 -3 *1869:29 *25781:A1 9.90367e-05 -4 *1869:34 *25781:A1 5.52302e-05 -5 *5729:41 *25781:A1 0.000201136 -6 *5824:120 *25781:A1 5.52302e-05 -*RES -1 *29668:X *25781:A1 27.35 -*END - -*D_NET *5974 0.00145572 -*CONN -*I *28243:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29670:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28243:D 0.000383035 -2 *29670:X 0.000383035 -3 *1869:34 *28243:D 0.000170755 -4 *4087:12 *28243:D 8.7392e-05 -5 *5778:122 *28243:D 0.000431504 -*RES -1 *29670:X *28243:D 34.0821 -*END - -*D_NET *5975 0.00297203 -*CONN -*I *27200:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29671:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27200:A1 0.000758306 -2 *29671:X 0.000758306 -3 *25203:A1 *27200:A1 0.000216755 -4 *29671:A *27200:A1 0.000140779 -5 *1246:146 *27200:A1 0.000346759 -6 *1523:7 *27200:A1 5.98611e-05 -7 *3306:6 *27200:A1 9.49949e-05 -8 *3809:32 *27200:A1 0.000502801 -9 *5638:130 *27200:A1 9.34707e-05 -*RES -1 *29671:X *27200:A1 40.2786 -*END - -*D_NET *5976 0.00117495 -*CONN -*I *28755:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29672:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28755:D 0.000368505 -2 *29672:X 0.000368505 -3 *25141:A1 *28755:D 4.46186e-06 -4 *26978:S *28755:D 2.42516e-05 -5 *28755:RESET_B *28755:D 7.6644e-05 -6 *1225:144 *28755:D 2.05938e-05 -7 *1393:23 *28755:D 1.0546e-05 -8 *1519:26 *28755:D 0.000301438 -*RES -1 *29672:X *28755:D 32.6402 -*END - -*D_NET *5977 0.000907181 -*CONN -*I *25734:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29673:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25734:A1 0.000255058 -2 *29673:X 0.000255058 -3 *25734:A0 *25734:A1 0.000144773 -4 *27991:C1 *25734:A1 0.000125615 -5 *27992:A0 *25734:A1 7.5779e-05 -6 *29673:A *25734:A1 0 -7 *30824:A *25734:A1 1.30999e-05 -8 *1880:17 *25734:A1 3.77978e-05 -*RES -1 *29673:X *25734:A1 32.4214 -*END - -*D_NET *5978 0.000548038 -*CONN -*I *28203:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29674:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28203:D 0.000226182 -2 *29674:X 0.000226182 -3 *28203:D *6378:41 5.01117e-05 -4 *5672:5 *28203:D 4.55625e-05 -*RES -1 *29674:X *28203:D 30.7071 -*END - -*D_NET *5979 0.0108312 -*CONN -*I *26823:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29675:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26823:A1 0 -2 *29675:X 0.00211805 -3 *5979:21 0.00211805 -4 *5979:21 *6169:159 0.000692222 -5 *25576:A_N *5979:21 0 -6 *26811:B *5979:21 3.43988e-06 -7 *26820:S *5979:21 8.22793e-06 -8 *26823:A0 *5979:21 7.46642e-05 -9 *28417:D *5979:21 0.000108332 -10 *28435:CLK *5979:21 8.48826e-05 -11 *753:13 *5979:21 3.10885e-05 -12 *756:16 *5979:21 9.15842e-06 -13 *760:20 *5979:21 0.0024053 -14 *4240:33 *5979:21 0.000215293 -15 *4383:16 *5979:21 0.00020846 -16 *5014:24 *5979:21 1.3642e-05 -17 *5043:11 *5979:21 0.000141132 -18 *5069:46 *5979:21 0.00055376 -19 *5204:15 *5979:21 0.00141293 -20 *5474:14 *5979:21 2.58103e-05 -21 *5665:138 *5979:21 0.000606783 -*RES -1 *29675:X *5979:21 44.1032 -2 *5979:21 *26823:A1 9.3 -*END - -*D_NET *5980 0.0215797 -*CONN -*I *28427:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29676:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28427:D 0.000232995 -2 *29676:X 0.00285379 -3 *5980:14 0.00308678 -4 pll90_sel[0] *5980:14 3.41715e-05 -5 *25299:A1 *5980:14 0 -6 *25568:A *5980:14 3.47641e-06 -7 *28426:D *5980:14 0.000944816 -8 *28426:SET_B *5980:14 5.91872e-05 -9 *28427:CLK *28427:D 0.000358977 -10 *29128:A *5980:14 0.000462807 -11 *29175:A *5980:14 0.000587625 -12 *160:14 *5980:14 0.000419024 -13 *244:19 *5980:14 0.000204846 -14 *244:20 *28427:D 6.57815e-05 -15 *4184:45 *5980:14 8.14896e-05 -16 *5000:14 *5980:14 2.22659e-05 -17 *5015:14 *5980:14 0.000908444 -18 *5235:14 *5980:14 0.00393357 -19 *5485:62 *5980:14 0.000821469 -20 *5487:11 *5980:14 0.00163906 -21 *5487:63 *5980:14 0.00173694 -22 *5496:16 *5980:14 0 -23 *5496:40 *5980:14 0.0024976 -24 *5778:245 *5980:14 0.000624548 -*RES -1 *29676:X *5980:14 47.2863 -2 *5980:14 *28427:D 18.4429 -*END - -*D_NET *5981 0.0472403 -*CONN -*I *25375:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *6452:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *30729:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *25375:A1 2.81423e-05 -2 *6452:DIODE 9.99122e-05 -3 *30729:X 0.000403367 -4 *5981:45 0.00274414 -5 *5981:38 0.00487803 -6 *5981:26 0.00494068 -7 *5981:19 0.00389447 -8 *5981:8 0.00403864 -9 *5981:7 0.00322627 -10 *25375:A2 *25375:A1 1.05731e-05 -11 *25375:A2 *5981:45 0.000248943 -12 *25375:B1 *6452:DIODE 0.000257619 -13 *25375:B1 *25375:A1 3.45371e-05 -14 *25701:S *5981:19 4.58194e-05 -15 *27625:B *5981:38 0.000139019 -16 *27640:C *5981:38 0.000418668 -17 *27643:B *5981:38 6.3699e-05 -18 *27652:D *5981:26 1.02504e-05 -19 *28181:CLK *5981:19 2.59355e-05 -20 *28657:D *5981:26 0.000218679 -21 *29960:A *5981:19 5.58927e-05 -22 *29960:A *5981:26 0.000468401 -23 *30807:A *5981:38 4.58194e-05 -24 *30818:A *5981:45 7.01934e-05 -25 *1371:28 *5981:8 0.00139437 -26 *1801:38 *5981:38 0.000137983 -27 *1844:189 *5981:19 0.000202835 -28 *1864:187 *5981:19 0.000198698 -29 *2792:128 *5981:38 6.83915e-05 -30 *2825:45 *5981:38 1.88175e-05 -31 *2844:18 *5981:45 0.000115139 -32 *2847:22 *5981:38 0.000128349 -33 *2864:12 *5981:38 0 -34 *2864:28 *5981:45 0.00153692 -35 *2864:96 *5981:38 0 -36 *2892:111 *5981:38 0.000344508 -37 *3156:41 *5981:45 0 -38 *3156:49 *5981:45 5.39963e-05 -39 *3160:26 *6452:DIODE 9.43546e-06 -40 *3160:26 *5981:45 1.79251e-05 -41 *3177:19 *5981:38 0.000282351 -42 *3196:30 *5981:38 9.22103e-06 -43 *3203:6 *5981:26 4.50033e-05 -44 *3205:10 *5981:26 0.00023929 -45 *3575:76 *5981:38 7.38652e-05 -46 *3782:16 *6452:DIODE 0.000298483 -47 *3782:16 *5981:45 0.000995072 -48 *3825:37 *5981:38 4.43126e-05 -49 *3943:20 *5981:26 1.0946e-05 -50 *4185:67 *5981:8 0.00468506 -51 *4186:47 *5981:8 0.00369272 -52 *5464:21 *5981:19 0 -53 *5592:45 *5981:45 0.000801206 -54 *5643:32 *5981:45 0 -55 *5645:15 *5981:26 0.000825066 -56 *5645:15 *5981:38 0.000125057 -57 *5655:29 *5981:45 7.67699e-05 -58 *5687:129 *5981:45 4.1879e-05 -59 *5700:137 *5981:45 0 -60 *5718:240 *5981:38 0.000136951 -61 *5753:138 *5981:19 6.46081e-05 -62 *5757:76 *5981:45 0.000131038 -63 *5970:8 *5981:8 0.00406636 -*RES -1 *30729:X *5981:7 18.4429 -2 *5981:7 *5981:8 134.607 -3 *5981:8 *5981:19 29.6696 -4 *5981:19 *5981:26 49.4814 -5 *5981:26 *5981:38 47.6272 -6 *5981:38 *5981:45 23.9245 -7 *5981:45 *6452:DIODE 12.3 -8 *5981:45 *25375:A1 10.0321 -*END - -*D_NET *5982 0.000961629 -*CONN -*I *26978:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29677:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26978:A1 0.00017301 -2 *29677:X 0.00017301 -3 *26978:A0 *26978:A1 9.58181e-05 -4 *3712:22 *26978:A1 0.000259895 -5 *5688:53 *26978:A1 0.000259895 -*RES -1 *29677:X *26978:A1 32.0107 -*END - -*D_NET *5983 0.00281493 -*CONN -*I *28558:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29678:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28558:D 0.000896836 -2 *29678:X 0.000896836 -3 *25203:C1 *28558:D 4.6672e-05 -4 *28558:RESET_B *28558:D 0.000106237 -5 *28755:RESET_B *28558:D 1.84523e-06 -6 *29678:A *28558:D 4.58194e-05 -7 *1246:151 *28558:D 0.000187973 -8 *1256:154 *28558:D 8.75702e-05 -9 *2774:74 *28558:D 3.94839e-05 -10 *2894:319 *28558:D 8.62529e-06 -11 *3162:8 *28558:D 1.90936e-05 -12 *5607:71 *28558:D 8.5693e-05 -13 *5777:178 *28558:D 0.000371628 -14 *5879:44 *28558:D 2.06178e-05 -*RES -1 *29678:X *28558:D 42.9402 -*END - -*D_NET *5984 0.00437654 -*CONN -*I *25686:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25740:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29679:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25686:A0 0.000489324 -2 *25740:A1 0 -3 *29679:X 0.000431846 -4 *5984:11 0.00092117 -5 *25687:A1 *25686:A0 3.67142e-05 -6 *25740:S *25686:A0 0.000122091 -7 *28174:RESET_B *25686:A0 2.58856e-05 -8 *29679:A *5984:11 0.000533203 -9 *56:13 *25686:A0 6.31653e-05 -10 *3602:8 *5984:11 5.33882e-05 -11 *4037:8 *5984:11 0 -12 *4043:47 *5984:11 0.00053128 -13 *5443:8 *25686:A0 0.000397918 -14 *5588:19 *25686:A0 7.45235e-05 -15 *5588:27 *25686:A0 1.40034e-05 -16 *5716:244 *5984:11 0 -17 *5769:172 *25686:A0 1.84523e-06 -18 *5770:30 *25686:A0 7.32122e-05 -19 *5879:19 *5984:11 0.000500484 -20 *5926:35 *25686:A0 3.62291e-05 -21 *5926:35 *5984:11 7.02611e-05 -*RES -1 *29679:X *5984:11 31.2107 -2 *5984:11 *25740:A1 9.3 -3 *5984:11 *25686:A0 29.6393 -*END - -*D_NET *5985 0.0017444 -*CONN -*I *28208:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29681:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28208:D 0.000415235 -2 *29681:X 0.000415235 -3 *28175:CLK *28208:D 0 -4 *28200:RESET_B *28208:D 9.25014e-06 -5 *29681:A *28208:D 0.000130274 -6 *1835:16 *28208:D 0.000144215 -7 *1835:35 *28208:D 4.15526e-05 -8 *3602:19 *28208:D 0 -9 *3602:22 *28208:D 7.32955e-05 -10 *3602:24 *28208:D 7.77751e-05 -11 *5408:19 *28208:D 4.46186e-06 -12 *5460:8 *28208:D 0.000319059 -13 *5460:23 *28208:D 0.000114045 -*RES -1 *29681:X *28208:D 37.2964 -*END - -*D_NET *5986 0.00137832 -*CONN -*I *25787:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29682:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25787:A0 0.000330345 -2 *29682:X 0.000330345 -3 *25846:A *25787:A0 0.000218685 -4 *1362:48 *25787:A0 0.000306324 -5 *3684:72 *25787:A0 0.000192619 -*RES -1 *29682:X *25787:A0 25.2964 -*END - -*D_NET *5987 0.00282367 -*CONN -*I *28248:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29683:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28248:D 0.00116391 -2 *29683:X 0.00116391 -3 *28248:RESET_B *28248:D 0.000169673 -4 *29346:A *28248:D 1.55885e-05 -5 *4091:64 *28248:D 2.55568e-05 -6 *5669:81 *28248:D 0.000219711 -7 *5715:137 *28248:D 6.53301e-05 -*RES -1 *29683:X *28248:D 31.8857 -*END - -*D_NET *5988 0.00116684 -*CONN -*I *27008:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29684:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27008:A1 0.000117479 -2 *29684:X 0.000117479 -3 *27008:A1 *28585:D 0.000473753 -4 *29685:A *27008:A1 0.000224583 -5 *3735:34 *27008:A1 0.000233543 -*RES -1 *29684:X *27008:A1 23.2429 -*END - -*D_NET *5989 0.002841 -*CONN -*I *28585:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29685:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28585:D 0.000838484 -2 *29685:X 0.000838484 -3 *25019:C1 *28585:D 0.000243642 -4 *27008:A1 *28585:D 0.000473753 -5 *28585:CLK *28585:D 6.57032e-05 -6 *29685:A *28585:D 0.000163629 -7 *1332:10 *28585:D 6.05161e-06 -8 *3735:34 *28585:D 1.98839e-05 -9 *4046:38 *28585:D 0.000103981 -10 *5628:66 *28585:D 3.34366e-05 -11 *5662:33 *28585:D 5.39552e-05 -*RES -1 *29685:X *28585:D 43.3321 -*END - -*D_NET *5990 0.00215575 -*CONN -*I *25904:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29686:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25904:A1 0.000519689 -2 *29686:X 0.000519689 -3 *28586:SET_B *25904:A1 0.000219711 -4 *5640:142 *25904:A1 5.26184e-05 -5 *5669:19 *25904:A1 0.00064671 -6 *5780:106 *25904:A1 0.00012401 -7 *5824:142 *25904:A1 3.97677e-05 -8 *5833:175 *25904:A1 3.35542e-05 -*RES -1 *29686:X *25904:A1 38.9214 -*END - -*D_NET *5991 0.000782605 -*CONN -*I *28353:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29687:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28353:D 0.000133365 -2 *29687:X 0.000133365 -3 *28011:A2 *28353:D 0.000265172 -4 *29687:A *28353:D 0.000134179 -5 *1174:51 *28353:D 5.68722e-05 -6 *1882:27 *28353:D 5.96516e-05 -*RES -1 *29687:X *28353:D 22.4214 -*END - -*D_NET *5992 0.00214085 -*CONN -*I *25320:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30730:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25320:B2 0.00053309 -2 *30730:X 0.00053309 -3 *24849:A *25320:B2 6.09889e-05 -4 *25014:B1 *25320:B2 6.35798e-05 -5 *25014:B2 *25320:B2 2.32517e-05 -6 *26975:A1 *25320:B2 6.05161e-06 -7 *29773:A *25320:B2 0 -8 *1638:18 *25320:B2 6.26774e-06 -9 *2768:175 *25320:B2 0.000455554 -10 *5625:8 *25320:B2 0 -11 *5659:24 *25320:B2 0.000458977 -*RES -1 *30730:X *25320:B2 48.6759 -*END - -*D_NET *5993 0.00337087 -*CONN -*I *27050:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29688:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27050:A1 0.000900675 -2 *29688:X 0.000900675 -3 *27050:A0 *27050:A1 0.000114587 -4 *1717:25 *27050:A1 9.25014e-06 -5 *2784:54 *27050:A1 0.000411298 -6 *5448:21 *27050:A1 0.000446553 -7 *5667:129 *27050:A1 0.000425959 -8 *5687:153 *27050:A1 0.000161878 -*RES -1 *29688:X *27050:A1 42.7786 -*END - -*D_NET *5994 0.00173152 -*CONN -*I *28622:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29689:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28622:D 0.000359798 -2 *29689:X 0.000359798 -3 *27050:S *28622:D 0.000351943 -4 *5592:64 *28622:D 0.000353665 -5 *5667:129 *28622:D 8.36572e-05 -6 *5667:131 *28622:D 0.00022266 -*RES -1 *29689:X *28622:D 35.2786 -*END - -*D_NET *5995 0.00172091 -*CONN -*I *26915:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29690:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26915:A1 0.000479636 -2 *29690:X 0.000479636 -3 *25356:A1 *26915:A1 0.000159236 -4 *26915:S *26915:A1 5.33005e-05 -5 *28760:CLK *26915:A1 6.54263e-05 -6 *1863:14 *26915:A1 0.000147221 -7 *3907:27 *26915:A1 0.000146425 -8 *5769:53 *26915:A1 0.000135028 -9 *5879:19 *26915:A1 5.50052e-05 -*RES -1 *29690:X *26915:A1 36.9214 -*END - -*D_NET *5996 0.000458093 -*CONN -*I *28502:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29692:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28502:D 0.00011585 -2 *29692:X 0.00011585 -3 *29692:A *28502:D 2.55587e-05 -4 *3998:13 *28502:D 9.96553e-05 -5 *5588:8 *28502:D 0.000101178 -*RES -1 *29692:X *28502:D 29.8857 -*END - -*D_NET *5997 0.0117864 -*CONN -*I *26839:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29693:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26839:A1 0.00226489 -2 *29693:X 0.0015524 -3 *5997:10 0.00381729 -4 *26839:A1 *27225:A2 0.000827218 -5 *26839:A1 *28437:D 1.58163e-05 -6 *26839:A1 *6104:19 1.56097e-05 -7 *26844:S *26839:A1 3.48023e-05 -8 *27270:A2 *5997:10 0.000246589 -9 *27271:A0 *26839:A1 0.000266473 -10 *27271:A1 *26839:A1 1.5424e-05 -11 *28437:RESET_B *26839:A1 8.79458e-05 -12 *29876:A *26839:A1 3.98517e-05 -13 *39:26 *5997:10 0.000102859 -14 *775:23 *26839:A1 0.000149861 -15 *3575:137 *5997:10 0.000100617 -16 *3575:139 *5997:10 1.88482e-05 -17 *5534:14 *5997:10 4.22135e-05 -18 *5746:97 *26839:A1 0.000387238 -19 *5757:31 *26839:A1 3.52028e-05 -20 *5858:17 *5997:10 0.00176525 -*RES -1 *29693:X *5997:10 40.6571 -2 *5997:10 *26839:A1 48.2107 -*END - -*D_NET *5998 0.0112556 -*CONN -*I *28437:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29694:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28437:D 0.000910374 -2 *29694:X 0.0021165 -3 *5998:11 0.00302687 -4 *28437:D *6009:23 0.000171129 -5 *28437:D *6104:19 2.59355e-05 -6 *28437:D *6178:35 0.000860415 -7 *28437:D *6317:20 0.000256329 -8 *5998:11 *6314:11 0.000345563 -9 *5998:11 *6314:19 0 -10 *5998:11 *6314:51 0.000181242 -11 *5998:11 *6345:45 0.000529356 -12 *26839:A1 *28437:D 1.58163e-05 -13 *26844:S *28437:D 0.000158847 -14 *27216:A *5998:11 0.000195588 -15 *28437:RESET_B *28437:D 2.37838e-05 -16 *29761:A *5998:11 1.56445e-05 -17 *1177:11 *5998:11 1.03557e-05 -18 *2839:117 *5998:11 4.59075e-05 -19 *4574:37 *5998:11 0.000529686 -20 *5512:50 *5998:11 0.00012098 -21 *5515:32 *5998:11 0.000239822 -22 *5521:41 *28437:D 3.47641e-06 -23 *5538:21 *5998:11 0.000177895 -24 *5609:45 *5998:11 3.14816e-05 -25 *5746:152 *5998:11 8.6229e-06 -26 *5747:37 *28437:D 0.000258284 -27 *5757:176 *28437:D 1.48456e-05 -28 *5926:171 *5998:11 0.000980812 -*RES -1 *29694:X *5998:11 47.3622 -2 *5998:11 *28437:D 41.8618 -*END - -*D_NET *5999 0.00357889 -*CONN -*I *25750:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25705:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29695:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25750:A1 0 -2 *25705:A0 0.000172431 -3 *29695:X 0.00056433 -4 *5999:5 0.000736762 -5 *6562:DIODE *5999:5 5.33005e-05 -6 *25705:A1 *25705:A0 6.86693e-05 -7 *25705:S *25705:A0 5.33005e-05 -8 *25706:A1 *5999:5 0.00026023 -9 *25706:S *5999:5 0.000594966 -10 *29695:A *5999:5 0.000257619 -11 *29930:A *5999:5 5.38576e-05 -12 *1844:160 *25705:A0 3.97677e-05 -13 *1864:170 *25705:A0 0.000357614 -14 *5926:108 *25705:A0 3.14003e-05 -15 *5926:110 *25705:A0 0.000334644 -*RES -1 *29695:X *5999:5 20.1036 -2 *5999:5 *25705:A0 24.3357 -3 *5999:5 *25750:A1 9.3 -*END - -*D_NET *6000 0.00156453 -*CONN -*I *28217:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29696:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28217:D 0.000467423 -2 *29696:X 0.000467423 -3 *1326:72 *28217:D 0.000315699 -4 *5468:31 *28217:D 0.000313985 -*RES -1 *29696:X *28217:D 35.4929 -*END - -*D_NET *6001 0.0059418 -*CONN -*I *26968:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29697:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26968:A1 0 -2 *29697:X 0.00177607 -3 *6001:17 0.00177607 -4 *6001:17 *28549:D 0.000210787 -5 *26932:A0 *6001:17 0.000385089 -6 *27096:A0 *6001:17 0.000182592 -7 *29698:A *6001:17 0.00026091 -8 *30127:A *6001:17 0.000267738 -9 *1260:184 *6001:17 0.000133417 -10 *2759:149 *6001:17 4.32957e-05 -11 *2764:120 *6001:17 0.000197907 -12 *2771:171 *6001:17 0.000135242 -13 *3617:14 *6001:17 4.50033e-05 -14 *3705:62 *6001:17 0.000140779 -15 *4124:58 *6001:17 2.16755e-05 -16 *5766:29 *6001:17 0.000174979 -17 *5800:119 *6001:17 0 -18 *5824:306 *6001:17 0.000190252 -*RES -1 *29697:X *6001:17 47.521 -2 *6001:17 *26968:A1 9.3 -*END - -*D_NET *6002 0.00537496 -*CONN -*I *28549:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29698:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28549:D 0.000941142 -2 *29698:X 0.000941142 -3 *28549:CLK *28549:D 0.000136958 -4 *29698:A *28549:D 0.00126911 -5 *3679:16 *28549:D 0.00187581 -6 *6001:17 *28549:D 0.000210787 -*RES -1 *29698:X *28549:D 42.9571 -*END - -*D_NET *6003 0.00369788 -*CONN -*I *25576:B I *D sky130_fd_sc_hd__and2b_4 -*I *30731:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25576:B 0 -2 *30731:X 0.00118716 -3 *6003:13 0.00118716 -4 *6003:13 *6158:32 0 -5 pad_flash_clk *6003:13 0 -6 *29228:A *6003:13 7.17136e-05 -7 *244:19 *6003:13 0.000396898 -8 *752:15 *6003:13 0.000396892 -9 *757:12 *6003:13 5.26184e-05 -10 *5014:24 *6003:13 0 -11 *5015:14 *6003:13 4.84486e-05 -12 *5026:15 *6003:13 0.000214443 -13 *5093:19 *6003:13 4.15914e-05 -14 *5485:62 *6003:13 0 -15 *5541:7 *6003:13 0.00010096 -*RES -1 *30731:X *6003:13 42.6214 -2 *6003:13 *25576:B 9.3 -*END - -*D_NET *6004 0.00807763 -*CONN -*I *25718:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *26869:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29699:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25718:A0 0.000425216 -2 *26869:A1 1.41579e-05 -3 *29699:X 0.00097133 -4 *6004:15 0.0014107 -5 *6004:15 *28528:D 5.37828e-05 -6 *25718:A1 *25718:A0 0.000585557 -7 *25718:A1 *26869:A1 3.57366e-05 -8 *25718:A1 *6004:15 2.59355e-05 -9 *26944:S *6004:15 0.000122591 -10 *26945:A0 *6004:15 0.000627419 -11 *29699:A *6004:15 0.000180072 -12 *30077:A *6004:15 0.000165984 -13 *794:23 *6004:15 0.000547031 -14 *1382:16 *6004:15 9.41642e-05 -15 *2763:29 *6004:15 9.58126e-05 -16 *2772:152 *25718:A0 6.28248e-05 -17 *2773:46 *25718:A0 0.000310163 -18 *3333:17 *6004:15 0.000135028 -19 *3617:121 *25718:A0 2.68654e-05 -20 *3617:125 *25718:A0 0.000197984 -21 *3617:130 *25718:A0 5.33005e-05 -22 *3617:130 *6004:15 0.000129147 -23 *3718:157 *6004:15 0.000310423 -24 *4124:19 *25718:A0 4.58194e-05 -25 *4124:19 *6004:15 0.000178425 -26 *4124:43 *25718:A0 1.98839e-05 -27 *4215:14 *25718:A0 0.00019983 -28 *4218:18 *6004:15 4.92844e-05 -29 *4320:16 *6004:15 4.37307e-05 -30 *5810:47 *6004:15 0.000748402 -31 *5824:322 *6004:15 4.13496e-05 -32 *5824:328 *6004:15 0.00016968 -*RES -1 *29699:X *6004:15 44.9429 -2 *6004:15 *26869:A1 9.72857 -3 *6004:15 *25718:A0 30.0321 -*END - -*D_NET *6005 0.00814554 -*CONN -*I *28461:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29700:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28461:D 0.00101838 -2 *29700:X 0.00100292 -3 *6005:32 0.0020213 -4 *28461:D *6207:19 0.000543665 -5 *25042:A2 *6005:32 0.000355847 -6 *26925:A0 *28461:D 5.74499e-06 -7 *26997:A1 *28461:D 0.000125084 -8 *26997:S *28461:D 5.33005e-05 -9 *27433:A2 *6005:32 5.33614e-05 -10 *27434:A1 *6005:32 0.000127359 -11 *27437:C *6005:32 2.21972e-05 -12 *27786:B2 *6005:32 0 -13 *28461:CLK *28461:D 7.02611e-05 -14 *28519:D *28461:D 0.000239005 -15 *29903:A *28461:D 0.000180951 -16 *30875:A *6005:32 0.000325628 -17 *794:23 *6005:32 1.0033e-05 -18 *1260:135 *6005:32 0.000177135 -19 *2882:303 *6005:32 6.14836e-06 -20 *3333:17 *6005:32 0 -21 *3993:14 *6005:32 0.000210182 -22 *4123:33 *6005:32 2.06112e-05 -23 *4218:18 *28461:D 0.000178545 -24 *5587:169 *6005:32 0.000178425 -25 *5628:106 *6005:32 9.14771e-06 -26 *5630:230 *6005:32 0.000337762 -27 *5639:165 *6005:32 0.000474997 -28 *5645:220 *6005:32 0.000207175 -29 *5824:286 *6005:32 0.000149498 -30 *5863:143 *6005:32 4.08789e-05 -*RES -1 *29700:X *6005:32 47.7157 -2 *6005:32 *28461:D 32.55 -*END - -*D_NET *6006 0.002723 -*CONN -*I *26932:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29701:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26932:A1 0.000911802 -2 *29701:X 0.000911802 -3 *26932:A0 *26932:A1 3.93247e-05 -4 *26932:S *26932:A1 3.82357e-05 -5 *29704:A *26932:A1 0 -6 *1260:184 *26932:A1 9.66977e-05 -7 *2763:42 *26932:A1 0 -8 *2767:18 *26932:A1 0.000395907 -9 *3617:49 *26932:A1 4.04969e-05 -10 *3617:56 *26932:A1 5.87889e-05 -11 *4217:15 *26932:A1 0.000128969 -12 *5910:88 *26932:A1 0.000100979 -*RES -1 *29701:X *26932:A1 41.975 -*END - -*D_NET *6007 0.00466326 -*CONN -*I *28517:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29704:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28517:D 0.000636213 -2 *29704:X 0.000636213 -3 *26868:S *28517:D 0.000178847 -4 *29704:A *28517:D 0.00130223 -5 *30126:A *28517:D 5.33005e-05 -6 *1383:13 *28517:D 0.000349458 -7 *2763:42 *28517:D 0.000167449 -8 *3617:56 *28517:D 0.00016587 -9 *3705:62 *28517:D 0.000984973 -10 *4108:13 *28517:D 9.26121e-05 -11 *5873:37 *28517:D 9.60939e-05 -*RES -1 *29704:X *28517:D 48.725 -*END - -*D_NET *6008 0.0106993 -*CONN -*I *27095:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29705:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27095:A0 0.000545662 -2 *29705:X 0.0013461 -3 *6008:26 0.00189176 -4 *6008:26 *6104:19 0.00013126 -5 *6008:26 *6314:11 0.000118445 -6 *6008:26 *6317:9 0.000148224 -7 *25401:B2 *27095:A0 0.00022266 -8 *26839:A0 *27095:A0 0.000303368 -9 *27095:A1 *27095:A0 3.18676e-05 -10 *27095:S *27095:A0 2.89114e-05 -11 *28769:CLK *6008:26 3.05333e-05 -12 *28783:RESET_B *6008:26 0.000117459 -13 *29706:A *6008:26 0.000625303 -14 *30287:A *6008:26 0.000188586 -15 *14:24 *6008:26 2.89114e-05 -16 *40:30 *6008:26 4.1332e-05 -17 *456:17 *6008:26 0.000235532 -18 *457:17 *27095:A0 0.00146275 -19 *775:23 *6008:26 0.000137336 -20 *1717:14 *27095:A0 3.97677e-05 -21 *2806:9 *6008:26 8.37335e-05 -22 *3573:46 *6008:26 5.65801e-06 -23 *4352:19 *6008:26 0.000129568 -24 *4528:14 *6008:26 0.000734815 -25 *4742:17 *6008:26 0.00030563 -26 *5512:29 *27095:A0 0.000402784 -27 *5512:50 *27095:A0 0.000969395 -28 *5515:32 *6008:26 0.000140933 -29 *5746:97 *6008:26 2.09826e-05 -30 *5944:17 *27095:A0 2.14757e-05 -31 *5945:18 *27095:A0 0.000208572 -*RES -1 *29705:X *6008:26 47.8746 -2 *6008:26 *27095:A0 34.8714 -*END - -*D_NET *6009 0.0117487 -*CONN -*I *28662:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29706:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28662:D 0.000489683 -2 *29706:X 0.0014828 -3 *6009:23 0.00197248 -4 *6009:23 *28442:D 0.000190858 -5 *6009:23 *6141:21 0.00088047 -6 *6009:23 *6179:19 0.000492626 -7 *6009:23 *6317:9 0.00021819 -8 *6009:23 *6317:120 0.000967506 -9 *24819:A *28662:D 0.000149489 -10 *24819:A *6009:23 1.21289e-05 -11 *25046:B2 *6009:23 9.54798e-06 -12 *25606:A0 *28662:D 0.000288201 -13 *28437:D *6009:23 0.000171129 -14 *28437:RESET_B *6009:23 0.000256877 -15 *28662:RESET_B *28662:D 0.000121339 -16 *244:50 *6009:23 0.00182574 -17 *977:15 *6009:23 0.000183713 -18 *1178:10 *28662:D 0.000227532 -19 *1178:18 *6009:23 4.37684e-05 -20 *3638:117 *28662:D 0.000636542 -21 *3847:14 *6009:23 5.17596e-05 -22 *3875:7 *28662:D 5.79452e-05 -23 *4742:17 *6009:23 0.000864726 -24 *5521:41 *6009:23 4.06087e-07 -25 *5523:93 *6009:23 0.000113629 -26 *5707:222 *6009:23 1.90936e-05 -27 *5746:21 *6009:23 2.04825e-05 -*RES -1 *29706:X *6009:23 48.0716 -2 *6009:23 *28662:D 24.3536 -*END - -*D_NET *6010 0.0140068 -*CONN -*I *26858:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29707:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26858:A1 0.000845718 -2 *29707:X 0.00174554 -3 *6010:21 0.00259126 -4 *24831:A *6010:21 0.000109066 -5 *24833:A *26858:A1 4.15526e-05 -6 *26858:S *26858:A1 5.33005e-05 -7 *29737:A *26858:A1 0.000119627 -8 *29737:A *6010:21 0.000580074 -9 *29982:A *6010:21 0.000134774 -10 *30543:A *6010:21 0.000578042 -11 *30856:A *6010:21 0.00100544 -12 *66:13 *6010:21 0.00188314 -13 *1180:22 *26858:A1 0.000240239 -14 *4786:16 *6010:21 0.000142856 -15 *4786:21 *26858:A1 0.00020469 -16 *5173:14 *26858:A1 2.04825e-05 -17 *5296:20 *6010:21 0.000107222 -18 *5364:13 *6010:21 0.00017089 -19 *5408:42 *6010:21 6.24939e-05 -20 *5446:21 *26858:A1 0.000438781 -21 *5446:21 *6010:21 0.000365223 -22 *5449:28 *26858:A1 0.00103673 -23 *5449:28 *6010:21 0.000100368 -24 *5457:30 *6010:21 0 -25 *5463:14 *6010:21 0.00142931 -*RES -1 *29707:X *6010:21 49.467 -2 *6010:21 *26858:A1 27.7021 -*END - -*D_NET *6011 0.0168345 -*CONN -*I *28451:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29708:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28451:D 0 -2 *29708:X 0.00361401 -3 *6011:17 0.00361401 -4 *6011:17 *28177:D 2.59355e-05 -5 *6011:17 *28697:D 0.000104587 -6 *6011:17 *6223:17 0.00102872 -7 *25693:A1 *6011:17 0 -8 *26857:S *6011:17 4.5894e-05 -9 *27134:S *6011:17 0.00144333 -10 *28450:RESET_B *6011:17 8.14759e-05 -11 *28451:RESET_B *6011:17 0 -12 *29373:A *6011:17 1.89507e-06 -13 *29410:A *6011:17 0.00041407 -14 *29411:A *6011:17 8.67981e-05 -15 *29920:A *6011:17 0.00011331 -16 *30435:A *6011:17 0.000281709 -17 *778:15 *6011:17 9.60337e-06 -18 *783:17 *6011:17 0.000293881 -19 *787:14 *6011:17 0.000106013 -20 *790:22 *6011:17 0 -21 *2756:72 *6011:17 2.72589e-05 -22 *3603:164 *6011:17 0 -23 *4230:17 *6011:17 0.0013939 -24 *5462:55 *6011:17 0.000407836 -25 *5701:58 *6011:17 0.00053066 -26 *5830:16 *6011:17 0.000130568 -27 *5870:8 *6011:17 0.000509062 -28 *5903:11 *6011:17 0 -29 *5926:63 *6011:17 0.00256994 -*RES -1 *29708:X *6011:17 49.3258 -2 *6011:17 *28451:D 9.3 -*END - -*D_NET *6012 0.00158373 -*CONN -*I *26878:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29709:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26878:A0 0.000219548 -2 *29709:X 0.000219548 -3 *29709:A *26878:A0 0.000260152 -4 *1291:39 *26878:A0 0.000676624 -5 *2882:31 *26878:A0 0.000148205 -6 *2882:173 *26878:A0 5.96516e-05 -*RES -1 *29709:X *26878:A0 25.2964 -*END - -*D_NET *6013 0.00162383 -*CONN -*I *28469:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29710:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28469:D 0.000312097 -2 *29710:X 0.000312097 -3 *28469:RESET_B *28469:D 1.36598e-05 -4 *1182:15 *28469:D 5.49544e-05 -5 *2879:27 *28469:D 0.000319709 -6 *3666:18 *28469:D 0.000154354 -7 *5694:80 *28469:D 0.000139783 -8 *5694:94 *28469:D 0.000186432 -9 *5754:27 *28469:D 0.000104645 -10 *5754:34 *28469:D 2.60969e-05 -*RES -1 *29710:X *28469:D 35.5107 -*END - -*D_NET *6014 0.0149815 -*CONN -*I *25577:B I *D sky130_fd_sc_hd__and2b_4 -*I *25556:A1 I *D sky130_fd_sc_hd__mux2_4 -*I *30732:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 -*CAP -1 *25577:B 0.000763758 -2 *25556:A1 0 -3 *30732:X 0.000651457 -4 *6014:26 0.00102469 -5 *6014:20 0.00229576 -6 *6014:19 0.00268628 -7 *25577:B *25708:A0 0.000269163 -8 *25577:B *6103:34 0.000527786 -9 *6014:19 *6313:17 9.30657e-05 -10 *6014:20 *6103:20 0.000958912 -11 *6014:26 *28218:D 0 -12 *25556:A0 *6014:26 1.02504e-05 -13 *25556:S *25577:B 5.85575e-05 -14 *25557:A0 *6014:20 0.000370865 -15 *25557:S *6014:20 0 -16 *25559:A1 *6014:20 0.000538974 -17 *25577:A_N *25577:B 5.49995e-05 -18 *25617:A0 *6014:20 8.37661e-05 -19 *25696:S *25577:B 0.000530045 -20 *25696:S *6014:20 0.000566348 -21 *25696:S *6014:26 7.47029e-06 -22 *25708:S *25577:B 5.49995e-05 -23 *25833:A1 *6014:20 0 -24 *28150:D *6014:20 9.47364e-06 -25 *28178:RESET_B *6014:20 0 -26 *29994:A *6014:20 0 -27 *30139:A *6014:19 0.000128034 -28 *30732:A *6014:19 0.000144503 -29 *759:16 *6014:20 0.000694068 -30 *1430:46 *6014:20 0 -31 *1430:46 *6014:26 0 -32 *3571:15 *25577:B 2.95726e-05 -33 *3893:41 *6014:20 9.87983e-06 -34 *4183:13 *25577:B 0.000140243 -35 *4240:33 *6014:19 0.00015016 -36 *4240:37 *6014:20 0.000478172 -37 *4316:25 *6014:19 4.73891e-05 -38 *4316:25 *6014:20 1.90936e-05 -39 *5026:35 *6014:20 8.37105e-05 -40 *5069:46 *6014:19 0.000206134 -41 *5094:20 *6014:19 0.00010874 -42 *5160:22 *6014:19 6.31125e-05 -43 *5351:19 *6014:19 0.00030357 -44 *5430:12 *6014:20 0 -45 *5485:52 *6014:19 0.000134271 -46 *5489:19 *6014:19 4.65519e-05 -47 *5519:25 *6014:19 1.5424e-05 -48 *5542:10 *25577:B 5.66971e-05 -49 *5680:371 *6014:26 0.000245356 -50 *5733:48 *6014:19 4.98422e-05 -51 *5778:219 *6014:19 0.00027032 -*RES -1 *30732:X *6014:19 38.1405 -2 *6014:19 *6014:20 51.7321 -3 *6014:20 *6014:26 9.0625 -4 *6014:26 *25556:A1 9.3 -5 *6014:26 *25577:B 37.2821 -*END - -*D_NET *6015 0.00283995 -*CONN -*I *27163:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29711:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27163:A0 0.000772134 -2 *29711:X 0.000772134 -3 *25017:A1 *27163:A0 7.2394e-05 -4 *27163:S *27163:A0 2.26973e-05 -5 *29711:A *27163:A0 5.33005e-05 -6 *2786:112 *27163:A0 9.59532e-06 -7 *3153:140 *27163:A0 1.46617e-05 -8 *3952:18 *27163:A0 1.01912e-05 -9 *4649:20 *27163:A0 0.000152137 -10 *5644:158 *27163:A0 0.000764401 -11 *5650:31 *27163:A0 0.000196301 -*RES -1 *29711:X *27163:A0 41.8528 -*END - -*D_NET *6016 0.000542875 -*CONN -*I *28722:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29712:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28722:D 0.000132198 -2 *29712:X 0.000132198 -3 *2786:112 *28722:D 9.60875e-05 -4 *2872:163 *28722:D 5.95766e-05 -5 *5667:67 *28722:D 0.000122814 -*RES -1 *29712:X *28722:D 30.1893 -*END - -*D_NET *6017 0.00585251 -*CONN -*I *25703:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25749:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29713:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25703:A0 0.000332987 -2 *25749:A1 2.23854e-05 -3 *29713:X 0.00116213 -4 *6017:11 0.0015175 -5 *24981:A2 *6017:11 0.000151179 -6 *25703:S *25703:A0 6.05161e-06 -7 *25749:A0 *25703:A0 3.53079e-05 -8 *25749:A0 *6017:11 2.59355e-05 -9 *29713:A *6017:11 0.000505757 -10 *1864:170 *25703:A0 0.000693212 -11 *1864:178 *25703:A0 5.52302e-05 -12 *3734:23 *6017:11 0.000159071 -13 *3864:23 *6017:11 9.60808e-05 -14 *5583:156 *25703:A0 0.000691497 -15 *5606:78 *6017:11 9.77884e-05 -16 *5628:45 *6017:11 0.000300406 -*RES -1 *29713:X *6017:11 35.9071 -2 *6017:11 *25749:A1 9.72857 -3 *6017:11 *25703:A0 29.1214 -*END - -*D_NET *6018 0.00169307 -*CONN -*I *28216:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29715:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28216:D 0.000391423 -2 *29715:X 0.000391423 -3 *1326:65 *28216:D 0.000393949 -4 *1326:68 *28216:D 0.000314316 -5 *1347:31 *28216:D 0.000201963 -*RES -1 *29715:X *28216:D 35.4929 -*END - -*D_NET *6019 0.00149164 -*CONN -*I *25891:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29716:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25891:A0 0.000240759 -2 *29716:X 0.000240759 -3 *25375:C1 *25891:A0 4.29806e-05 -4 *25891:A1 *25891:A0 0.000631303 -5 *25891:S *25891:A0 5.33005e-05 -6 *29716:A *25891:A0 0.000178847 -7 *29717:A *25891:A0 7.43578e-06 -8 *2878:16 *25891:A0 9.02017e-05 -9 *3156:59 *25891:A0 6.05161e-06 -*RES -1 *29716:X *25891:A0 25.2964 -*END - -*D_NET *6020 0.00252482 -*CONN -*I *28342:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29717:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28342:D 0.00110705 -2 *29717:X 0.00110705 -3 *27759:C1 *28342:D 4.00679e-05 -4 *29717:A *28342:D 7.79129e-05 -5 *3797:53 *28342:D 3.34294e-05 -6 *3992:25 *28342:D 5.74499e-06 -7 *5750:130 *28342:D 0.000153579 -*RES -1 *29717:X *28342:D 30.6938 -*END - -*D_NET *6021 0.000637215 -*CONN -*I *27147:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29718:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27147:A1 9.35152e-05 -2 *29718:X 9.35152e-05 -3 *27147:S *27147:A1 5.33005e-05 -4 *29719:A *27147:A1 1.21258e-05 -5 *1252:146 *27147:A1 9.41642e-05 -6 *1490:26 *27147:A1 0.000144086 -7 *2867:193 *27147:A1 0.000146508 -*RES -1 *29718:X *27147:A1 30.4929 -*END - -*D_NET *6022 0.0023247 -*CONN -*I *28708:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29719:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28708:D 0.000702041 -2 *29719:X 0.000702041 -3 *27457:B1 *28708:D 2.89114e-05 -4 *28708:CLK *28708:D 9.77069e-05 -5 *29719:A *28708:D 0.000178847 -6 *2780:6 *28708:D 0.00014101 -7 *2867:193 *28708:D 0.000142718 -8 *2872:168 *28708:D 0.000139187 -9 *3153:164 *28708:D 8.38728e-05 -10 *3558:213 *28708:D 9.60939e-05 -11 *5643:298 *28708:D 1.22751e-05 -*RES -1 *29719:X *28708:D 39.2607 -*END - -*D_NET *6023 0.00294549 -*CONN -*I *26944:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29720:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26944:A1 0.000655396 -2 *29720:X 0.000655396 -3 *25713:A1 *26944:A1 5.10628e-05 -4 *26919:A *26944:A1 0.000280058 -5 *26982:B *26944:A1 0.000117094 -6 *28529:RESET_B *26944:A1 0.000136676 -7 *244:65 *26944:A1 5.07799e-05 -8 *2769:30 *26944:A1 9.08199e-05 -9 *3718:157 *26944:A1 0.000345663 -10 *4214:20 *26944:A1 0.000126999 -11 *5084:20 *26944:A1 0.000259656 -12 *5910:69 *26944:A1 0.000175892 -*RES -1 *29720:X *26944:A1 41.475 -*END - -*D_NET *6024 0.00344786 -*CONN -*I *28528:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29721:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28528:D 0.00115142 -2 *29721:X 0.00115142 -3 *1304:6 *28528:D 8.00806e-05 -4 *3617:130 *28528:D 6.45628e-05 -5 *4320:16 *28528:D 0.000725669 -6 *5810:47 *28528:D 0.00022092 -7 *6004:15 *28528:D 5.37828e-05 -*RES -1 *29721:X *28528:D 42.6402 -*END - -*D_NET *6025 0.00376997 -*CONN -*I *29057:A I *D sky130_fd_sc_hd__buf_12 -*I *30733:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *29057:A 0.00113891 -2 *30733:X 0.00113891 -3 pll_dco_ena *29057:A 5.19606e-05 -4 *26818:A1 *29057:A 0 -5 *28418:D *29057:A 0 -6 *30924:A *29057:A 5.84007e-05 -7 *468:20 *29057:A 8.24538e-05 -8 *1671:52 *29057:A 9.23883e-05 -9 *2745:13 *29057:A 0.000136958 -10 *5160:22 *29057:A 1.89507e-06 -11 *5488:34 *29057:A 6.1516e-05 -12 *5491:97 *29057:A 0.000136958 -13 *5497:27 *29057:A 0.00010096 -14 *5592:112 *29057:A 1.90936e-05 -15 *5685:173 *29057:A 0.000186142 -16 *5778:219 *29057:A 0.000563423 -*RES -1 *30733:X *29057:A 48.5643 -*END - -*D_NET *6026 0.00100307 -*CONN -*I *26877:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29722:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26877:A0 0.000220089 -2 *29722:X 0.000220089 -3 *3538:24 *26877:A0 0.000261023 -4 *3665:11 *26877:A0 4.25652e-05 -5 *3913:52 *26877:A0 0.000259301 -*RES -1 *29722:X *26877:A0 32.4214 -*END - -*D_NET *6027 0.00248427 -*CONN -*I *28468:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29723:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28468:D 0.000946573 -2 *29723:X 0.000946573 -3 *28468:CLK *28468:D 0.000167133 -4 *28468:RESET_B *28468:D 8.79458e-05 -5 *29723:A *28468:D 9.60875e-05 -6 *2791:117 *28468:D 7.40571e-05 -7 *5718:315 *28468:D 3.48013e-05 -8 *5936:25 *28468:D 0.000125355 -9 *5936:29 *28468:D 5.74499e-06 -*RES -1 *29723:X *28468:D 39.1714 -*END - -*D_NET *6028 0.00254617 -*CONN -*I *25780:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29724:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25780:A1 0.000578646 -2 *29724:X 0.000578646 -3 *25927:A0 *25780:A1 0.000347187 -4 *28234:D *25780:A1 0.000263663 -5 *28363:CLK *25780:A1 0.00010209 -6 *29724:A *25780:A1 0.000358153 -7 *4077:16 *25780:A1 9.91086e-05 -8 *5833:23 *25780:A1 0.000218679 -*RES -1 *29724:X *25780:A1 38.9571 -*END - -*D_NET *6029 0.00129202 -*CONN -*I *28242:D I *D sky130_fd_sc_hd__dfstp_2 -*I *29726:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28242:D 0.000292384 -2 *29726:X 0.000292384 -3 *4087:20 *28242:D 0.000342495 -4 *5798:7 *28242:D 2.05418e-05 -5 *5824:84 *28242:D 0.000344217 -*RES -1 *29726:X *28242:D 34.1536 -*END - -*D_NET *6030 0.00233659 -*CONN -*I *25897:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29727:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25897:A0 0.000752451 -2 *29727:X 0.000752451 -3 *25897:A1 *25897:A0 5.33005e-05 -4 *25897:S *25897:A0 2.28598e-05 -5 *28361:CLK *25897:A0 9.24082e-05 -6 *29573:A *25897:A0 1.39726e-05 -7 *29727:A *25897:A0 8.79776e-05 -8 *1816:26 *25897:A0 5.33005e-05 -9 *3765:14 *25897:A0 0.000208313 -10 *5669:30 *25897:A0 0.000299557 -*RES -1 *29727:X *25897:A0 41.85 -*END - -*D_NET *6031 0.000782658 -*CONN -*I *28347:D I *D sky130_fd_sc_hd__dfstp_2 -*I *29728:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28347:D 0.000175223 -2 *29728:X 0.000175223 -3 *1816:26 *28347:D 0.000125717 -4 *4087:20 *28347:D 0.000125717 -5 *5688:143 *28347:D 0.000180777 -*RES -1 *29728:X *28347:D 31.0107 -*END - -*D_NET *6032 0.00193101 -*CONN -*I *26908:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29729:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26908:A1 0.000275525 -2 *29729:X 0.000275525 -3 *25061:B2 *26908:A1 5.33005e-05 -4 *26908:S *26908:A1 0.000260574 -5 *28460:D *26908:A1 3.97677e-05 -6 *793:13 *26908:A1 0.000344232 -7 *2759:149 *26908:A1 0.000335915 -8 *3617:16 *26908:A1 7.83587e-05 -9 *3617:18 *26908:A1 0.000267814 -*RES -1 *29729:X *26908:A1 35.9214 -*END - -*D_NET *6033 0.00161623 -*CONN -*I *28496:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29730:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28496:D 0.000388052 -2 *29730:X 0.000388052 -3 *29730:A *28496:D 7.83587e-05 -4 *2767:18 *28496:D 1.27625e-05 -5 *2767:20 *28496:D 0.0001786 -6 *4217:15 *28496:D 0.000342302 -7 *5680:147 *28496:D 0.000167368 -8 *5910:88 *28496:D 6.07328e-05 -*RES -1 *29730:X *28496:D 34.7786 -*END - -*D_NET *6034 0.00135187 -*CONN -*I *25927:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29731:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25927:A1 0.000328732 -2 *29731:X 0.000328732 -3 *25924:B *25927:A1 0.000151506 -4 *4026:24 *25927:A1 0.000270236 -5 *5196:8 *25927:A1 0.000272665 -*RES -1 *29731:X *25927:A1 34.0643 -*END - -*D_NET *6035 0.00158515 -*CONN -*I *28372:D I *D sky130_fd_sc_hd__dfstp_2 -*I *29732:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28372:D 0.000412401 -2 *29732:X 0.000412401 -3 *29732:A *28372:D 1.98839e-05 -4 *3576:15 *28372:D 2.97829e-05 -5 *3766:40 *28372:D 0.000218409 -6 *5778:109 *28372:D 0.000321745 -7 *5878:14 *28372:D 0.000170523 -*RES -1 *29732:X *28372:D 35.4929 -*END - -*D_NET *6036 0.105951 -*CONN -*I *25542:S I *D sky130_fd_sc_hd__mux2_4 -*I *25544:S I *D sky130_fd_sc_hd__mux2_2 -*I *25545:S I *D sky130_fd_sc_hd__mux2_2 -*I *25543:S I *D sky130_fd_sc_hd__mux2_2 -*I *30734:X O *D sky130_fd_sc_hd__buf_6 -*CAP -1 *25542:S 0.000498133 -2 *25544:S 0.000549036 -3 *25545:S 0 -4 *25543:S 0 -5 *30734:X 0.00191426 -6 *6036:107 0.00192395 -7 *6036:95 0.00255442 -8 *6036:71 0.00187592 -9 *6036:64 0.00285663 -10 *6036:59 0.00254325 -11 *6036:52 0.00218716 -12 *6036:46 0.00599369 -13 *6036:44 0.00563467 -14 *6036:41 0.00305881 -15 *6036:38 0.00315191 -16 *6036:27 0.00419311 -17 *6036:13 0.0050673 -18 *25542:S *6376:41 0 -19 *25542:S *6378:49 0.000434731 -20 *25544:S *25687:A0 4.31436e-05 -21 *6036:13 *25569:A0 9.77414e-05 -22 *6036:46 *6353:12 0 -23 *6036:52 *6353:10 0 -24 *6036:59 *6376:39 1.81709e-05 -25 *6036:64 *6369:10 0.000128161 -26 *6036:64 *6376:41 0.00106413 -27 *6036:71 *25543:A1 3.5298e-05 -28 *6036:71 *6364:32 0.000458213 -29 *6036:95 *30579:A 9.90431e-05 -30 *6036:95 *6364:14 9.36199e-05 -31 *6036:107 *6192:13 1.69961e-05 -32 *6036:107 *6192:22 0.00313418 -33 *24887:S *6036:46 0.00012051 -34 *25129:A1 *6036:46 0.000355703 -35 *25425:A *6036:13 2.94004e-05 -36 *25542:A0 *25542:S 0.000158937 -37 *25543:A0 *6036:71 0.000140933 -38 *25543:A0 *6036:95 9.41642e-05 -39 *25548:A0 *6036:13 0.000605185 -40 *25565:A *6036:52 0.000232783 -41 *25565:B *6036:52 0.000127446 -42 *25569:A1 *6036:13 0.000558836 -43 *25569:S *6036:13 0.000765655 -44 *25586:B *6036:59 0.000600059 -45 *25641:A0 *6036:38 5.31101e-05 -46 *25643:A0 *6036:38 0.000205454 -47 *25782:A0 *6036:52 0.000103041 -48 *25882:A *6036:46 0.000114759 -49 *25895:A0 *6036:52 0.000148196 -50 *26635:A1 *6036:52 0.000104604 -51 *26956:A0 *6036:107 0.000133028 -52 *26956:S *6036:107 5.33005e-05 -53 *26960:A1 *6036:107 0.000326112 -54 *27524:A1 *6036:38 0.000308395 -55 *27524:C1 *6036:38 0 -56 *27578:A2 *6036:46 0.000190598 -57 *27582:A2 *6036:46 0.000142305 -58 *27971:A2 *6036:59 0.000197991 -59 *27986:B *6036:95 0.000307336 -60 *27986:C *6036:95 0 -61 *27989:A0 *25542:S 0 -62 *27989:A0 *6036:64 0 -63 *27990:A2 *25542:S 2.59355e-05 -64 *27997:B1 *25542:S 5.33005e-05 -65 *28000:B1 *6036:71 1.98839e-05 -66 *28014:A1 *6036:95 0.000227539 -67 *28014:A2 *6036:95 0 -68 *28014:A3 *6036:95 4.72818e-05 -69 *28014:B1 *6036:95 3.33174e-05 -70 *28014:B2 *6036:95 2.59355e-05 -71 *28055:A *6036:38 0 -72 *28084:RESET_B *6036:27 1.90936e-05 -73 *28135:D *6036:38 0.000126587 -74 *28137:D *6036:38 0.000301699 -75 *28199:CLK *6036:13 0.000101823 -76 *28199:CLK *6036:27 0.000378506 -77 *28199:RESET_B *6036:13 5.83242e-05 -78 *28203:RESET_B *6036:71 7.47252e-05 -79 *28244:CLK *6036:52 0.000558289 -80 *28244:D *6036:52 5.56943e-05 -81 *28329:D *6036:46 0.00034918 -82 *28345:RESET_B *6036:46 7.79781e-06 -83 *28538:CLK *6036:95 7.48091e-05 -84 *28538:D *6036:107 7.75022e-05 -85 *28751:D *25544:S 0.000171577 -86 *28822:D *6036:64 0.000103267 -87 *28823:D *6036:64 0 -88 *29000:A *6036:27 1.98839e-05 -89 *29341:A *6036:46 0 -90 *29349:A *6036:107 5.52238e-05 -91 *29351:A *6036:71 0.00057215 -92 *29429:A *6036:71 0.000357054 -93 *29610:A *6036:13 4.13496e-05 -94 *30193:A *6036:107 0.000309266 -95 *30367:A *6036:95 2.8266e-06 -96 *30424:A *25544:S 0.000174753 -97 *30755:A *25544:S 3.08419e-05 -98 *389:8 *6036:13 0.00026546 -99 *1180:25 *25544:S 5.39166e-06 -100 *1427:142 *6036:27 0.000490107 -101 *1434:20 *6036:46 0.00178458 -102 *1476:42 *6036:46 4.00485e-05 -103 *1783:20 *6036:59 0.000408174 -104 *1783:31 *6036:59 0.000368104 -105 *1810:36 *6036:27 2.04825e-05 -106 *1816:8 *6036:64 0 -107 *1820:10 *6036:95 0.000192766 -108 *1828:42 *6036:38 0.000175138 -109 *1835:6 *25544:S 0 -110 *1862:93 *6036:95 0.00057098 -111 *1862:95 *6036:95 0.000388045 -112 *1883:64 *6036:59 0.000207268 -113 *2856:97 *6036:44 7.75093e-05 -114 *2865:126 *6036:41 2.45503e-05 -115 *2872:134 *6036:44 2.09897e-05 -116 *2872:134 *6036:46 0.000165754 -117 *2872:135 *6036:46 0.00485813 -118 *2874:74 *6036:38 0 -119 *2877:105 *6036:46 0.000409536 -120 *2877:112 *6036:46 0.000564576 -121 *2878:92 *6036:44 0.00230534 -122 *2878:92 *6036:46 8.17498e-05 -123 *2886:102 *6036:46 0.000138807 -124 *2891:81 *6036:44 0.00108862 -125 *3136:10 *6036:46 8.81055e-05 -126 *3136:12 *6036:46 4.32294e-05 -127 *3457:22 *6036:27 0.000218679 -128 *3510:10 *6036:52 0.0024263 -129 *3510:10 *6036:59 9.56912e-05 -130 *3578:56 *6036:95 0.000527988 -131 *3583:45 *6036:59 0 -132 *3583:130 *6036:64 4.00025e-05 -133 *3583:149 *6036:64 0.000892563 -134 *3583:160 *6036:64 0.000179863 -135 *3584:60 *6036:27 0.000224655 -136 *3602:33 *25544:S 0.000194807 -137 *3602:35 *25544:S 0.000106392 -138 *3656:116 *6036:46 3.4323e-06 -139 *3656:116 *6036:52 0.000135242 -140 *3687:40 *6036:46 0.000222486 -141 *3687:42 *6036:44 2.71328e-05 -142 *3687:42 *6036:46 4.85826e-05 -143 *3687:52 *6036:44 0.000249478 -144 *3723:34 *6036:38 0.000695562 -145 *3736:25 *6036:38 2.09826e-05 -146 *3753:26 *6036:46 6.90354e-05 -147 *3753:40 *6036:46 0.00158355 -148 *3763:10 *6036:46 0.000561078 -149 *3766:93 *6036:44 8.06427e-05 -150 *3775:20 *6036:46 0.00196714 -151 *3814:63 *6036:41 0.000928743 -152 *3831:40 *6036:27 6.90906e-05 -153 *3841:13 *6036:27 2.13481e-06 -154 *3947:33 *6036:46 0.00173487 -155 *3948:64 *6036:46 0.00176166 -156 *3948:64 *6036:52 0.000221282 -157 *3958:29 *6036:41 0.000550556 -158 *3958:33 *6036:41 9.41642e-05 -159 *3960:28 *6036:38 0.000376231 -160 *3960:62 *6036:38 0.000173874 -161 *4118:50 *6036:46 0.00112978 -162 *4131:62 *6036:46 0.00014265 -163 *4140:66 *6036:27 0.000253183 -164 *4141:21 *6036:107 0 -165 *4152:50 *6036:38 0.000395661 -166 *4153:48 *6036:38 5.84267e-05 -167 *4176:9 *6036:64 0.000506478 -168 *4209:7 *6036:13 2.79421e-05 -169 *4212:8 *25542:S 0.000369071 -170 *4212:8 *6036:71 0.000186669 -171 *5397:23 *25544:S 9.74001e-05 -172 *5397:23 *6036:107 1.98839e-05 -173 *5457:21 *6036:27 0 -174 *5458:14 *6036:13 0.000228764 -175 *5462:19 *6036:71 0.000266479 -176 *5462:19 *6036:95 0.000145238 -177 *5472:7 *6036:13 0.000144389 -178 *5545:11 *6036:59 9.60939e-05 -179 *5651:76 *6036:27 0.000589407 -180 *5686:185 *6036:46 0.00124686 -181 *5688:173 *6036:46 7.05982e-05 -182 *5689:30 *6036:107 0.000271352 -183 *5705:5 *6036:27 0.000137983 -184 *5710:8 *6036:27 0.000505335 -185 *5712:117 *6036:38 0.000237442 -186 *5719:32 *6036:52 0.000439408 -187 *5719:38 *6036:46 0.00163629 -188 *5719:62 *6036:46 0.000423856 -189 *5721:20 *6036:52 0 -190 *5727:92 *6036:13 0.000389077 -191 *5763:154 *6036:107 6.36722e-05 -192 *5763:163 *6036:95 9.25014e-06 -193 *5778:123 *6036:52 0.000266619 -194 *5778:123 *6036:59 0.00245888 -195 *5778:123 *6036:64 0.000906553 -196 *5792:15 *25544:S 5.52302e-05 -197 *5792:23 *25544:S 0.000171375 -198 *5892:163 *6036:27 0.000456839 -199 *5895:15 *6036:95 2.05938e-05 -*RES -1 *30734:X *6036:13 45.7286 -2 *6036:13 *6036:27 48.1865 -3 *6036:27 *6036:38 32.6607 -4 *6036:38 *6036:41 29.2679 -5 *6036:41 *6036:44 30.8661 -6 *6036:44 *6036:46 161.018 -7 *6036:46 *6036:52 45.7321 -8 *6036:52 *6036:59 41.4911 -9 *6036:59 *6036:64 46.5357 -10 *6036:64 *6036:71 22.0179 -11 *6036:71 *25543:S 9.3 -12 *6036:71 *6036:95 47.2321 -13 *6036:95 *6036:107 43.8036 -14 *6036:107 *25545:S 9.3 -15 *6036:107 *25544:S 31.8714 -16 *6036:64 *25542:S 25.4429 -*END - -*D_NET *6037 0.00418082 -*CONN -*I *25707:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25751:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29733:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25707:A0 0.000250761 -2 *25751:A1 0 -3 *29733:X 0.000567642 -4 *6037:8 0.000818403 -5 *25707:A0 *6092:18 0.000978446 -6 *6037:8 *6092:18 0.000123295 -7 *6037:8 *6103:20 0.000135028 -8 *25745:A1 *6037:8 8.43535e-06 -9 *25745:S *25707:A0 3.51442e-05 -10 *25745:S *6037:8 0.000123295 -11 *29599:A *6037:8 6.62868e-05 -12 *30566:A *6037:8 7.2032e-05 -13 *1864:72 *25707:A0 0.000953252 -14 *5710:57 *6037:8 4.87953e-05 -*RES -1 *29733:X *6037:8 22.1393 -2 *6037:8 *25751:A1 13.8 -3 *6037:8 *25707:A0 26.3714 -*END - -*D_NET *6038 0.00143811 -*CONN -*I *28218:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29734:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28218:D 0.000441073 -2 *29734:X 0.000441073 -3 *25556:A0 *28218:D 0 -4 *29734:A *28218:D 0.00040279 -5 *1430:46 *28218:D 0.000153172 -6 *6014:26 *28218:D 0 -*RES -1 *29734:X *28218:D 35.1893 -*END - -*D_NET *6039 0.0137148 -*CONN -*I *25693:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29735:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25693:A0 3.95717e-05 -2 *29735:X 0.00193009 -3 *6039:24 0.00196966 -4 *25693:A0 *6252:13 2.25485e-05 -5 *24821:A *6039:24 0.000497963 -6 *24829:A *6039:24 0.000121201 -7 *25693:A1 *25693:A0 6.24525e-05 -8 *25693:S *25693:A0 1.07928e-05 -9 *29735:A *6039:24 8.57535e-05 -10 *29737:A *6039:24 0.00287347 -11 *29982:A *6039:24 0.00105762 -12 *30848:A *6039:24 8.58829e-05 -13 *30859:A *6039:24 1.80032e-05 -14 *30887:A *6039:24 8.40344e-05 -15 *137:17 *6039:24 0.000247311 -16 *139:26 *6039:24 1.65492e-05 -17 *784:8 *6039:24 0.000351156 -18 *5175:25 *25693:A0 4.69135e-05 -19 *5397:53 *6039:24 0.000663744 -20 *5408:42 *6039:24 6.37188e-06 -21 *5446:21 *6039:24 5.71472e-05 -22 *5453:32 *6039:24 0.000493352 -23 *5457:30 *6039:24 0.000100333 -24 *5463:14 *6039:24 0.00287287 -*RES -1 *29735:X *6039:24 49.9141 -2 *6039:24 *25693:A0 15.0143 -*END - -*D_NET *6040 0.0155678 -*CONN -*I *28177:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29737:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28177:D 0.00211318 -2 *29737:X 0.00135 -3 *6040:20 0.00346317 -4 mgmt_gpio_oeb[30] *6040:20 0 -5 *25688:A1 *28177:D 3.69697e-05 -6 *28177:CLK *28177:D 4.48113e-05 -7 *28450:RESET_B *28177:D 7.94013e-05 -8 *28452:D *28177:D 0.00112546 -9 *29373:A *28177:D 7.69776e-06 -10 *59:21 *28177:D 3.25904e-05 -11 *139:26 *6040:20 0.00010096 -12 *552:14 *28177:D 0.0012142 -13 *783:17 *6040:20 0.00015176 -14 *1835:84 *28177:D 5.58004e-06 -15 *1835:84 *6040:20 0.00122029 -16 *4229:27 *28177:D 0.000215822 -17 *4786:16 *6040:20 2.60996e-05 -18 *5172:23 *28177:D 0.000391865 -19 *5274:20 *6040:20 0.000262273 -20 *5397:45 *6040:20 1.39841e-05 -21 *5451:23 *6040:20 0.000161837 -22 *5453:13 *6040:20 0 -23 *5588:85 *28177:D 0.00213291 -24 *5680:30 *28177:D 0.000973017 -25 *5771:50 *28177:D 1.91512e-05 -26 *5893:81 *28177:D 0.000384839 -27 *5897:13 *28177:D 1.40131e-05 -28 *6011:17 *28177:D 2.59355e-05 -*RES -1 *29737:X *6040:20 47.6475 -2 *6040:20 *28177:D 48.1448 -*END - -*D_NET *6041 0.00714911 -*CONN -*I *26840:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29738:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26840:A1 0.00117423 -2 *29738:X 0.00117423 -3 *26840:A1 *25563:A1 1.90936e-05 -4 *26840:A1 *6345:13 2.23617e-05 -5 *26840:A1 *6386:94 9.54301e-05 -6 *27594:S *26840:A1 0.000137983 -7 *977:15 *26840:A1 0.00174015 -8 *1117:13 *26840:A1 0.00011746 -9 *2782:13 *26840:A1 0.00175875 -10 *2782:27 *26840:A1 6.58294e-06 -11 *2797:38 *26840:A1 1.67002e-05 -12 *5310:18 *26840:A1 2.04825e-05 -13 *5538:21 *26840:A1 0.00027338 -14 *5720:87 *26840:A1 0.00014284 -15 *5926:171 *26840:A1 0.000449428 -*RES -1 *29738:X *26840:A1 49.9026 -*END - -*D_NET *6042 0.00523593 -*CONN -*I *28438:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29739:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28438:D 8.90009e-05 -2 *29739:X 0.00124873 -3 *6042:20 0.00133773 -4 *28438:D *6183:14 3.14078e-05 -5 *6042:20 *25563:A1 2.46182e-05 -6 *6042:20 *6183:14 0.000434819 -7 *6042:20 *6314:19 0.000201816 -8 *6042:20 *6319:70 2.42516e-05 -9 *6042:20 *6325:12 1.91991e-05 -10 *25046:B1 *6042:20 2.04825e-05 -11 *27594:S *6042:20 4.46186e-06 -12 *28189:CLK *6042:20 0.000530254 -13 *29739:A *6042:20 8.12154e-05 -14 *29879:A *6042:20 8.6229e-06 -15 *1294:243 *28438:D 2.89016e-05 -16 *1294:243 *6042:20 1.90936e-05 -17 *2782:27 *28438:D 3.18676e-05 -18 *3573:80 *6042:20 0.000135028 -19 *5310:18 *6042:20 0.000473753 -20 *5485:121 *28438:D 7.32173e-05 -21 *5485:121 *6042:20 8.23597e-06 -22 *5535:70 *6042:20 2.3942e-05 -23 *5718:70 *6042:20 0.000301438 -24 *5746:146 *6042:20 0 -25 *5746:152 *6042:20 8.38438e-05 -*RES -1 *29739:X *6042:20 49.0321 -2 *6042:20 *28438:D 11.4786 -*END - -*D_NET *6043 0.0015568 -*CONN -*I *25885:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29740:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25885:A0 0.000294728 -2 *29740:X 0.000294728 -3 *25885:A0 *28337:D 9.01238e-05 -4 *25885:A0 *28371:D 6.98762e-05 -5 *25885:A1 *25885:A0 9.71197e-05 -6 *28371:CLK *25885:A0 0.000345257 -7 *5301:38 *25885:A0 0.000364967 -*RES -1 *29740:X *25885:A0 35.6893 -*END - -*D_NET *6044 0.00318418 -*CONN -*I *28337:D I *D sky130_fd_sc_hd__dfstp_2 -*I *29741:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28337:D 0.00103849 -2 *29741:X 0.00103849 -3 *28337:D *28371:D 0.000177934 -4 *25115:A1 *28337:D 8.892e-05 -5 *25885:A0 *28337:D 9.01238e-05 -6 *28337:CLK *28337:D 0.000238795 -7 *28337:SET_B *28337:D 5.74499e-06 -8 *1464:38 *28337:D 9.25014e-06 -9 *3541:68 *28337:D 0.000144089 -10 *3752:5 *28337:D 0.000169769 -11 *3752:14 *28337:D 4.87953e-05 -12 *3754:44 *28337:D 5.97719e-05 -13 *5301:38 *28337:D 4.97121e-06 -14 *5709:94 *28337:D 5.75147e-05 -15 *5709:155 *28337:D 1.15281e-05 -*RES -1 *29741:X *28337:D 45.6893 -*END - -*D_NET *6045 0.00380169 -*CONN -*I *25952:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29742:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25952:A0 0.00133879 -2 *29742:X 0.00133879 -3 *25952:A0 *6350:26 8.25843e-06 -4 *25261:A1 *25952:A0 1.72894e-05 -5 *25261:A2 *25952:A0 2.04825e-05 -6 *25261:B1 *25952:A0 5.15685e-05 -7 *25261:B2 *25952:A0 6.26774e-06 -8 *28393:RESET_B *25952:A0 0 -9 *28794:CLK *25952:A0 9.02017e-05 -10 *29742:A *25952:A0 0.000212324 -11 *1580:11 *25952:A0 4.18377e-05 -12 *3987:8 *25952:A0 0.000317579 -13 *5707:200 *25952:A0 0.000358304 -14 *5745:71 *25952:A0 0 -*RES -1 *29742:X *25952:A0 46.475 -*END - -*D_NET *6046 0.00342305 -*CONN -*I *28393:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29743:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28393:D 0.00122889 -2 *29743:X 0.00122889 -3 *25604:A1 *28393:D 6.64705e-05 -4 *28393:CLK *28393:D 0.000141828 -5 *28659:SET_B *28393:D 3.47641e-06 -6 *3638:17 *28393:D 0.000449268 -7 *5504:49 *28393:D 3.80847e-05 -8 *5504:56 *28393:D 0.000105979 -9 *5526:16 *28393:D 8.65986e-05 -10 *5707:200 *28393:D 7.35637e-05 -*RES -1 *29743:X *28393:D 45.8723 -*END - -*D_NET *6047 0.00341787 -*CONN -*I *25553:A1 I *D sky130_fd_sc_hd__mux2_4 -*I *30735:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25553:A1 0.000612461 -2 *30735:X 0.000612461 -3 *25553:A1 *6125:14 0.00087705 -4 *30735:A *25553:A1 2.89016e-05 -5 *3571:22 *25553:A1 0.0012581 -6 *4213:15 *25553:A1 2.89016e-05 -*RES -1 *30735:X *25553:A1 46.6536 -*END - -*D_NET *6048 0.00318043 -*CONN -*I *25680:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25737:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29744:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25680:A0 0 -2 *25737:A1 0.000148598 -3 *29744:X 0.000596145 -4 *6048:8 0.000744743 -5 *25680:A1 *25737:A1 0.000201127 -6 *25737:A0 *25737:A1 9.60875e-05 -7 *29589:A *25737:A1 0.000272672 -8 *29589:A *6048:8 0.000462106 -9 *29744:A *6048:8 0.000168726 -10 *3783:150 *6048:8 7.02611e-05 -11 *5893:113 *25737:A1 3.90513e-05 -12 *5893:113 *6048:8 0.000380908 -*RES -1 *29744:X *6048:8 25.5679 -2 *6048:8 *25737:A1 18.6214 -3 *6048:8 *25680:A0 13.8 -*END - -*D_NET *6049 0.000298809 -*CONN -*I *28205:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29745:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28205:D 8.26713e-05 -2 *29745:X 8.26713e-05 -3 *28205:D *25681:A0 0.000102357 -4 *3611:12 *28205:D 0 -5 *5709:332 *28205:D 3.11088e-05 -*RES -1 *29745:X *28205:D 29.475 -*END - -*D_NET *6050 0.00235854 -*CONN -*I *27048:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29746:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27048:A1 0.000668587 -2 *29746:X 0.000668587 -3 *25183:A1 *27048:A1 8.27184e-06 -4 *27048:S *27048:A1 5.33005e-05 -5 *29746:A *27048:A1 2.42516e-05 -6 *3795:25 *27048:A1 1.58163e-05 -7 *3848:61 *27048:A1 8.7378e-05 -8 *3938:50 *27048:A1 0.000146976 -9 *5600:114 *27048:A1 1.97865e-05 -10 *5641:23 *27048:A1 0.000148698 -11 *5700:83 *27048:A1 0.000339346 -12 *5700:308 *27048:A1 0.000177545 -*RES -1 *29746:X *27048:A1 38.8321 -*END - -*D_NET *6051 0.00259683 -*CONN -*I *28620:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29748:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28620:D 0.000830931 -2 *29748:X 0.000830931 -3 *1527:39 *28620:D 0.000257619 -4 *2850:179 *28620:D 0.00019384 -5 *2920:8 *28620:D 0.000196269 -6 *5600:114 *28620:D 0.000287235 -*RES -1 *29748:X *28620:D 38.6759 -*END - -*D_NET *6052 0.00375099 -*CONN -*I *25768:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29749:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25768:A1 0.000629768 -2 *29749:X 0.000629768 -3 *25766:A1 *25768:A1 0.000289415 -4 *28231:RESET_B *25768:A1 0.000277524 -5 *28335:CLK *25768:A1 4.46186e-06 -6 *29040:A *25768:A1 2.49484e-05 -7 *29749:A *25768:A1 0.000665909 -8 *4350:8 *25768:A1 0.000105374 -9 *5250:8 *25768:A1 0.00106964 -10 *5250:27 *25768:A1 5.41794e-05 -*RES -1 *29749:X *25768:A1 46.6357 -*END - -*D_NET *6053 0.000731132 -*CONN -*I *28232:D I *D sky130_fd_sc_hd__dfstp_2 -*I *29750:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28232:D 0.000207222 -2 *29750:X 0.000207222 -3 *29750:A *28232:D 4.07215e-05 -4 *3656:42 *28232:D 5.33005e-05 -5 *3656:47 *28232:D 0.000222666 -*RES -1 *29750:X *28232:D 22.4214 -*END - -*D_NET *6054 0.00736543 -*CONN -*I *25623:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29751:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25623:A0 0.000281226 -2 *29751:X 0.00123613 -3 *6054:21 0.00151736 -4 *25400:B2 *6054:21 5.24546e-05 -5 *25624:A0 *25623:A0 0.000395256 -6 *25937:A1 *25623:A0 2.16477e-05 -7 *29177:A *6054:21 0.00049869 -8 *4010:26 *6054:21 0.00014236 -9 *4371:19 *6054:21 0.000336659 -10 *4372:35 *25623:A0 4.69231e-05 -11 *5488:79 *6054:21 0.000132869 -12 *5522:32 *25623:A0 1.87955e-05 -13 *5522:32 *6054:21 0.000692189 -14 *5522:38 *6054:21 7.48087e-05 -15 *5522:52 *6054:21 0.000263731 -16 *5523:36 *25623:A0 0.000661029 -17 *5523:41 *6054:21 2.71356e-05 -18 *5699:156 *6054:21 0.000134464 -19 *5706:219 *6054:21 0.000831706 -*RES -1 *29751:X *6054:21 43.2369 -2 *6054:21 *25623:A0 22.5768 -*END - -*D_NET *6055 0.0099206 -*CONN -*I *28120:D I *D sky130_fd_sc_hd__dfstp_2 -*I *29752:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28120:D 0 -2 *29752:X 0.000873922 -3 *6055:23 0.00111262 -4 *6055:13 0.00198654 -5 *6055:13 *28224:D 0.000116395 -6 *6055:23 *27571:A2 0.000170368 -7 *25624:A0 *6055:23 5.52238e-05 -8 *27853:C1 *6055:13 6.42338e-05 -9 *27869:B1 *6055:23 0.000639981 -10 *27918:A2 *6055:23 0.000101545 -11 *28119:D *6055:23 0.000198257 -12 *28381:RESET_B *6055:23 0.000216304 -13 *29386:A *6055:23 4.58194e-05 -14 *29986:A *6055:13 0.000330944 -15 *460:11 *6055:23 5.06576e-05 -16 *472:8 *6055:13 8.50458e-05 -17 *472:8 *6055:23 3.01909e-05 -18 *714:23 *6055:23 1.90936e-05 -19 *1263:122 *6055:13 6.81786e-05 -20 *1264:41 *6055:23 0.000837707 -21 *1498:34 *6055:23 2.89016e-05 -22 *3460:21 *6055:13 8.80915e-05 -23 *3460:21 *6055:23 0.000678721 -24 *3650:91 *6055:23 0 -25 *3780:23 *6055:13 0.000351777 -26 *5016:17 *6055:13 0.000788031 -27 *5052:36 *6055:13 5.20232e-05 -28 *5158:11 *6055:13 0.00030227 -29 *5158:11 *6055:23 0.000123489 -30 *5624:94 *6055:13 0.000135028 -31 *5711:67 *6055:13 6.595e-05 -32 *5738:172 *6055:13 0.000135028 -33 *5926:221 *6055:23 0.000162368 -34 *5933:17 *6055:13 5.90443e-06 -*RES -1 *29752:X *6055:13 43.7821 -2 *6055:13 *6055:23 42.25 -3 *6055:23 *28120:D 9.3 -*END - -*D_NET *6056 0.0010496 -*CONN -*I *27066:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29753:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27066:A1 0.00014427 -2 *29753:X 0.00014427 -3 *27066:A0 *27066:A1 5.52302e-05 -4 *2779:140 *27066:A1 0.000137227 -5 *3907:45 *27066:A1 9.77414e-05 -6 *5604:57 *27066:A1 0.000470858 -*RES -1 *29753:X *27066:A1 23.2429 -*END - -*D_NET *6057 0.00291568 -*CONN -*I *28636:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29754:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28636:D 0.00105325 -2 *29754:X 0.00105325 -3 *28636:CLK *28636:D 3.03993e-05 -4 *3834:11 *28636:D 0.000106142 -5 *3834:57 *28636:D 0.000177545 -6 *4015:37 *28636:D 7.5779e-05 -7 *5604:57 *28636:D 0.000345257 -8 *5644:186 *28636:D 7.40571e-05 -*RES -1 *29754:X *28636:D 40.8143 -*END - -*D_NET *6058 0.0106835 -*CONN -*I *25548:A1 I *D sky130_fd_sc_hd__mux2_8 -*I *30736:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25548:A1 0 -2 *30736:X 0.00193797 -3 *6058:26 0.00123719 -4 *6058:9 0.00317516 -5 *6058:26 *6103:34 0.000191315 -6 *25556:A0 *6058:26 0.000805473 -7 *25730:A1 *6058:26 3.97677e-05 -8 *28084:RESET_B *6058:26 0.000224075 -9 *28132:CLK *6058:26 0.000197028 -10 *28132:D *6058:26 0.000250208 -11 *28201:D *6058:26 0.000174116 -12 *29935:A *6058:9 0.000274294 -13 *29937:A *6058:26 4.25716e-05 -14 *30736:A *6058:9 5.03772e-05 -15 *721:24 *6058:26 5.52302e-05 -16 *1430:46 *6058:26 0 -17 *1430:50 *6058:26 7.63083e-05 -18 *1810:36 *6058:26 0.000566043 -19 *4213:7 *6058:9 0.000324213 -20 *4213:11 *6058:9 0.00102079 -21 *5947:100 *6058:26 4.13595e-05 -*RES -1 *30736:X *6058:9 46.1214 -2 *6058:9 *6058:26 45.875 -3 *6058:26 *25548:A1 4.5 -*END - -*D_NET *6059 0.00286211 -*CONN -*I *27030:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29755:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27030:A1 0.000521184 -2 *29755:X 0.000521184 -3 *1178:69 *27030:A1 0.000257619 -4 *2775:187 *27030:A1 5.33005e-05 -5 *3872:72 *27030:A1 0.000218019 -6 *3977:24 *27030:A1 0.000216297 -7 *5713:305 *27030:A1 0.000710075 -8 *5754:158 *27030:A1 0.000298483 -9 *5936:33 *27030:A1 6.595e-05 -*RES -1 *29755:X *27030:A1 40.1536 -*END - -*D_NET *6060 0.00110684 -*CONN -*I *28604:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29756:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28604:D 0.000315316 -2 *29756:X 0.000315316 -3 *29756:A *28604:D 7.83659e-05 -4 *1864:116 *28604:D 1.11743e-05 -5 *3874:37 *28604:D 0.000303972 -6 *4015:31 *28604:D 7.6644e-05 -7 *5713:305 *28604:D 6.05161e-06 -*RES -1 *29756:X *28604:D 32.2295 -*END - -*D_NET *6061 0.00172512 -*CONN -*I *27129:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29757:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27129:A1 0.000487716 -2 *29757:X 0.000487716 -3 *1328:140 *27129:A1 0.000592967 -4 *3886:51 *27129:A1 7.83587e-05 -5 *4055:33 *27129:A1 7.83587e-05 -*RES -1 *29757:X *27129:A1 34.5107 -*END - -*D_NET *6062 0.00213176 -*CONN -*I *28692:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29759:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28692:D 0.000431456 -2 *29759:X 0.000431456 -3 *25259:A1 *28692:D 0.000109263 -4 *27695:B1 *28692:D 0.000218915 -5 *29759:A *28692:D 0.000125243 -6 *1242:230 *28692:D 6.81459e-05 -7 *2917:26 *28692:D 0.000544986 -8 *3860:38 *28692:D 0.00013415 -9 *5638:62 *28692:D 6.81459e-05 -*RES -1 *29759:X *28692:D 39.0643 -*END - -*D_NET *6063 0.00635235 -*CONN -*I *26842:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29760:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26842:A1 0 -2 *29760:X 0.00100594 -3 *6063:27 0.00100594 -4 *6063:27 *25564:S 0.00018077 -5 *6063:27 *29544:A 0.000338521 -6 *6063:27 *29760:A 0.00119957 -7 *6063:27 *6325:12 0.000166957 -8 *6063:27 *6387:27 3.29297e-05 -9 *6063:27 *6387:34 0.000147638 -10 *29761:A *6063:27 0.000355482 -11 *1177:11 *6063:27 5.33005e-05 -12 *1178:21 *6063:27 0.000139338 -13 *3412:25 *6063:27 0.000234214 -14 *5538:21 *6063:27 4.78014e-06 -15 *5539:17 *6063:27 0.000155086 -16 *5581:8 *6063:27 0.000179918 -17 *5675:223 *6063:27 0.000941837 -18 *5746:132 *6063:27 9.90367e-05 -19 *5746:152 *6063:27 4.29012e-05 -20 *5926:171 *6063:27 6.81786e-05 -*RES -1 *29760:X *6063:27 48.7821 -2 *6063:27 *26842:A1 9.3 -*END - -*D_NET *6064 0.00602097 -*CONN -*I *28440:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29761:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28440:D 0 -2 *29761:X 0.00112678 -3 *6064:19 0.00112678 -4 *6064:19 *29760:A 0.00149685 -5 *6064:19 *6315:7 0.000281623 -6 *28440:RESET_B *6064:19 0.000175285 -7 *1240:130 *6064:19 3.49456e-06 -8 *1240:134 *6064:19 0.00112525 -9 *3412:25 *6064:19 0.000681432 -10 *5539:17 *6064:19 3.47641e-06 -*RES -1 *29761:X *6064:19 45.3179 -2 *6064:19 *28440:D 9.3 -*END - -*D_NET *6065 0.00108871 -*CONN -*I *25915:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29762:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25915:A1 0.000465134 -2 *29762:X 0.000465134 -3 *5458:40 *25915:A1 8.00806e-05 -4 *5833:11 *25915:A1 7.83587e-05 -*RES -1 *29762:X *25915:A1 32.8679 -*END - -*D_NET *6066 0.00109018 -*CONN -*I *28362:D I *D sky130_fd_sc_hd__dfstp_2 -*I *29763:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28362:D 0.000274991 -2 *29763:X 0.000274991 -3 *25365:B1 *28362:D 0.000314458 -4 *29763:A *28362:D 0.000104294 -5 *4038:8 *28362:D 0.000121446 -*RES -1 *29763:X *28362:D 33.4393 -*END - -*D_NET *6067 0.00361094 -*CONN -*I *27182:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29764:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27182:A1 0 -2 *29764:X 0.000770696 -3 *6067:17 0.000770696 -4 *6067:17 *28739:D 0.000408249 -5 *6067:17 *6319:25 0.000220035 -6 *27182:S *6067:17 0.000284564 -7 *29764:A *6067:17 0.000139873 -8 *29765:A *6067:17 0.00018984 -9 *1245:68 *6067:17 1.17968e-05 -10 *1323:5 *6067:17 0.000136676 -11 *2860:31 *6067:17 0.000138606 -12 *2865:31 *6067:17 0.000175892 -13 *3872:28 *6067:17 0.000282842 -14 *5486:22 *6067:17 1.41029e-05 -15 *5750:82 *6067:17 6.70738e-05 -*RES -1 *29764:X *6067:17 44.5679 -2 *6067:17 *27182:A1 9.3 -*END - -*D_NET *6068 0.00140981 -*CONN -*I *28739:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29765:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28739:D 0.000306597 -2 *29765:X 0.000306597 -3 *29765:A *28739:D 2.2628e-05 -4 *1245:68 *28739:D 0.000301001 -5 *3572:34 *28739:D 6.47405e-05 -6 *6067:17 *28739:D 0.000408249 -*RES -1 *29765:X *28739:D 33.85 -*END - -*D_NET *6069 0.0146169 -*CONN -*I *25548:S I *D sky130_fd_sc_hd__mux2_8 -*I *25547:S I *D sky130_fd_sc_hd__mux2_8 -*I *25549:S I *D sky130_fd_sc_hd__mux2_8 -*I *25546:S I *D sky130_fd_sc_hd__mux2_8 -*I *25582:B I *D sky130_fd_sc_hd__and2_1 -*I *30737:X O *D sky130_fd_sc_hd__clkbuf_4 -*CAP -1 *25548:S 0 -2 *25547:S 0 -3 *25549:S 8.1071e-05 -4 *25546:S 0.00262127 -5 *25582:B 0.000648581 -6 *30737:X 0.000114963 -7 *6069:44 0.000237436 -8 *6069:42 0.000484202 -9 *6069:5 0.00305698 -10 *25582:B *6136:16 0.00130707 -11 *25422:B *25546:S 0.000135028 -12 *25424:B *25582:B 0.00018246 -13 *25478:A *25582:B 8.06106e-05 -14 *25478:B *25582:B 0.00010326 -15 *25546:A0 *25546:S 2.51343e-06 -16 *25582:A *25582:B 0.00018077 -17 *25730:A0 *6069:42 0.000380202 -18 *25730:A0 *6069:44 0.000237456 -19 *28096:CLK *25546:S 3.09753e-05 -20 *28097:D *25546:S 0.000175892 -21 *29368:A *6069:42 0.000217042 -22 *448:11 *25546:S 0.000302796 -23 *1738:26 *25546:S 0.000144038 -24 *1778:25 *25546:S 7.4536e-05 -25 *1844:66 *25549:S 0.000169939 -26 *1844:66 *6069:42 0.000962205 -27 *1844:66 *6069:44 0.00036516 -28 *1864:70 *25549:S 5.53675e-05 -29 *1864:70 *6069:42 0.000136525 -30 *1864:70 *6069:44 4.34669e-05 -31 *4164:5 *25546:S 0.00017679 -32 *4164:13 *25546:S 0.000155787 -33 *4187:18 *25546:S 0.000268403 -34 *4188:25 *25546:S 4.00679e-05 -35 *5357:16 *25546:S 0.000850374 -36 *5467:8 *25582:B 0 -37 *5728:93 *25582:B 6.47912e-05 -38 *5728:101 *25582:B 3.07555e-05 -39 *5739:37 *25546:S 0.000354105 -40 *5836:211 *25546:S 0.000144038 -*RES -1 *30737:X *6069:5 10.6571 -2 *6069:5 *25582:B 36.8893 -3 *6069:5 *25546:S 48.9554 -4 *25546:S *6069:42 17.0714 -5 *6069:42 *6069:44 4.98214 -6 *6069:44 *25549:S 6.75 -7 *6069:44 *25547:S 4.5 -8 *6069:42 *25548:S 4.5 -*END - -*D_NET *6070 0.00695786 -*CONN -*I *25614:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29766:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25614:A0 6.04418e-05 -2 *29766:X 0.00191707 -3 *6070:13 0.00197751 -4 *25614:A0 *6142:14 5.19522e-06 -5 *6070:13 *6142:14 0.000748779 -6 pll_trim[22] *25614:A0 7.53324e-05 -7 *25216:C1 *6070:13 0.00174261 -8 *25604:A0 *6070:13 6.09762e-05 -9 *25604:A1 *6070:13 2.6269e-05 -10 *25606:A1 *6070:13 4.00349e-05 -11 *1240:96 *6070:13 1.76304e-05 -12 *1815:18 *6070:13 0.000115446 -13 *4881:17 *6070:13 3.45597e-05 -14 *5504:49 *25614:A0 0.000136001 -*RES -1 *29766:X *6070:13 49.8357 -2 *6070:13 *25614:A0 15.7464 -*END - -*D_NET *6071 0.00541351 -*CONN -*I *28112:D I *D sky130_fd_sc_hd__dfstp_2 -*I *29767:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28112:D 0 -2 *29767:X 0.00106755 -3 *6071:10 0.00106755 -4 *6071:10 *6332:29 0.000324768 -5 *24947:A *6071:10 8.05641e-05 -6 *25105:A1 *6071:10 0 -7 *25260:B2 *6071:10 5.00087e-06 -8 *25614:S *6071:10 0.000353083 -9 *25615:A0 *6071:10 7.96661e-05 -10 *27594:A1 *6071:10 4.1323e-05 -11 *28111:SET_B *6071:10 0.000149904 -12 *28112:CLK *6071:10 6.19181e-05 -13 *29182:A *6071:10 4.26825e-05 -14 *30939:A *6071:10 0 -15 *1240:47 *6071:10 0 -16 *1278:18 *6071:10 0.000487467 -17 *1408:103 *6071:10 0.000866634 -18 *1824:8 *6071:10 0.000592712 -19 *1824:10 *6071:10 0.000173585 -20 *3412:62 *6071:10 0 -21 *5499:34 *6071:10 0 -22 *5926:194 *6071:10 1.90936e-05 -*RES -1 *29767:X *6071:10 45.5857 -2 *6071:10 *28112:D 9.3 -*END - -*D_NET *6072 0.000540338 -*CONN -*I *27164:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29768:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27164:A0 0.000114858 -2 *29768:X 0.000114858 -3 *25263:C1 *27164:A0 8.43535e-06 -4 *1582:25 *27164:A0 1.24368e-05 -5 *2784:57 *27164:A0 0.000141849 -6 *3992:11 *27164:A0 0.000147901 -*RES -1 *29768:X *27164:A0 30.0821 -*END - -*D_NET *6073 0.00340015 -*CONN -*I *28723:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29770:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28723:D 0.000599976 -2 *29770:X 0.000599976 -3 *28723:D *25263:B2 0.000387622 -4 *27164:A1 *28723:D 0.000555481 -5 *29770:A *28723:D 0.000114468 -6 *1250:74 *28723:D 0.000117644 -7 *2790:77 *28723:D 5.33433e-05 -8 *2865:44 *28723:D 4.38056e-05 -9 *3173:17 *28723:D 5.7817e-05 -10 *3794:33 *28723:D 0.000753894 -11 *5585:76 *28723:D 0.00011612 -*RES -1 *29770:X *28723:D 43.3321 -*END - -*D_NET *6074 0.00135418 -*CONN -*I *27165:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29771:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27165:A0 0.000394291 -2 *29771:X 0.000394291 -3 *27049:S *27165:A0 0.000272174 -4 *29771:A *27165:A0 7.8195e-05 -5 *29772:A *27165:A0 0.000145646 -6 *1244:62 *27165:A0 0 -7 *3993:32 *27165:A0 6.95874e-05 -*RES -1 *29771:X *27165:A0 35.3143 -*END - -*D_NET *6075 0.00265817 -*CONN -*I *28724:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29772:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28724:D 0.000569762 -2 *29772:X 0.000569762 -3 *28724:RESET_B *28724:D 1.68581e-05 -4 *3800:13 *28724:D 4.58194e-05 -5 *3889:79 *28724:D 0.000290271 -6 *3993:13 *28724:D 2.0657e-05 -7 *3993:32 *28724:D 1.21258e-05 -8 *5492:10 *28724:D 0.000290271 -9 *5752:69 *28724:D 9.0145e-05 -10 *5752:73 *28724:D 0.000216319 -11 *5752:77 *28724:D 5.56564e-05 -12 *5926:136 *28724:D 0.000480519 -*RES -1 *29772:X *28724:D 42.725 -*END - -*D_NET *6076 0.00113808 -*CONN -*I *26989:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29773:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26989:A1 0.000381154 -2 *29773:X 0.000381154 -3 *25014:B1 *26989:A1 0.000221634 -4 *5461:26 *26989:A1 7.5779e-05 -5 *5659:24 *26989:A1 7.83587e-05 -*RES -1 *29773:X *26989:A1 32.8679 -*END - -*D_NET *6077 0.00073241 -*CONN -*I *28568:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29774:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28568:D 0.000175958 -2 *29774:X 0.000175958 -3 *1338:11 *28568:D 0.000100823 -4 *2769:98 *28568:D 0.000100823 -5 *4134:110 *28568:D 0.000178847 -*RES -1 *29774:X *28568:D 30.7071 -*END - -*D_NET *6078 0.00138984 -*CONN -*I *27162:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29775:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27162:A0 0.000327128 -2 *29775:X 0.000327128 -3 *27162:A1 *27162:A0 1.83053e-05 -4 *27162:S *27162:A0 5.30637e-06 -5 *2867:158 *27162:A0 0.00015208 -6 *2867:184 *27162:A0 0.0001213 -7 *2938:6 *27162:A0 0.000438591 -*RES -1 *29775:X *27162:A0 34.85 -*END - -*D_NET *6079 0.00243234 -*CONN -*I *28721:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29776:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28721:D 0.000905724 -2 *29776:X 0.000905724 -3 *28721:CLK *28721:D 1.0546e-05 -4 *29776:A *28721:D 0.000209205 -5 *1363:114 *28721:D 7.62712e-05 -6 *1844:138 *28721:D 0.000298483 -7 *5627:18 *28721:D 2.63844e-05 -*RES -1 *29776:X *28721:D 38.8009 -*END - -*D_NET *6080 0.00414419 -*CONN -*I *25063:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *30738:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25063:A1 0.000748329 -2 *30738:X 0.000748329 -3 *25063:A1 *27224:A 0.000462755 -4 *25063:A2 *25063:A1 5.74447e-05 -5 *27098:A0 *25063:A1 0.000138435 -6 *27098:A1 *25063:A1 9.90367e-05 -7 *27098:S *25063:A1 2.8266e-06 -8 *27219:A *25063:A1 0.0001827 -9 *27226:A2 *25063:A1 5.52302e-05 -10 *30671:A *25063:A1 3.97677e-05 -11 *30738:A *25063:A1 1.24368e-05 -12 *11:17 *25063:A1 0.000306317 -13 *244:57 *25063:A1 0.000341599 -14 *3878:9 *25063:A1 0.00013921 -15 *3878:22 *25063:A1 0.000285777 -16 *5570:11 *25063:A1 0.000523994 -*RES -1 *30738:X *25063:A1 48.5643 -*END - -*D_NET *6081 0.0136594 -*CONN -*I *25547:A1 I *D sky130_fd_sc_hd__mux2_8 -*I *30739:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25547:A1 0.00113539 -2 *30739:X 0 -3 *6081:7 0.00466224 -4 *6081:4 0.00352685 -5 *6502:DIODE *6081:7 9.80173e-05 -6 *28130:RESET_B *25547:A1 5.83233e-05 -7 *28161:CLK *25547:A1 0.000318309 -8 *28235:D *25547:A1 0.000321777 -9 *29190:A *25547:A1 0.000124327 -10 *29734:A *6081:7 0.000236881 -11 *30739:A *6081:7 5.33334e-05 -12 *1287:60 *25547:A1 0.00018305 -13 *3584:20 *25547:A1 0.000262558 -14 *3584:50 *25547:A1 0.000184222 -15 *3654:85 *25547:A1 0.000462842 -16 *3654:110 *25547:A1 0.000173804 -17 *3654:123 *25547:A1 0.000123738 -18 *3654:137 *25547:A1 0.000531682 -19 *5442:10 *25547:A1 0 -20 *5680:358 *6081:7 0.000161263 -21 *5680:360 *6081:7 0.0002083 -22 *5732:82 *25547:A1 0.000173804 -23 *5732:89 *25547:A1 0.000343217 -24 *5732:158 *25547:A1 0.000268101 -25 *5936:87 *25547:A1 4.73514e-05 -*RES -1 *30739:X *6081:4 9.3 -2 *6081:4 *6081:7 46.5179 -3 *6081:7 *25547:A1 38.6518 -*END - -*D_NET *6082 0.00141107 -*CONN -*I *26949:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29777:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26949:A0 0.000422845 -2 *29777:X 0.000422845 -3 *2770:156 *26949:A0 0.000146474 -4 *4128:10 *26949:A0 4.06875e-05 -5 *4133:9 *26949:A0 0.000108437 -6 *5246:10 *26949:A0 0.000129814 -7 *5832:46 *26949:A0 8.50152e-05 -8 *5833:194 *26949:A0 5.49489e-05 -*RES -1 *29777:X *26949:A0 35.1357 -*END - -*D_NET *6083 0.000635137 -*CONN -*I *28532:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29778:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28532:D 0.000108982 -2 *29778:X 0.000108982 -3 *2770:156 *28532:D 0.000312222 -4 *2786:156 *28532:D 5.9563e-05 -5 *5715:17 *28532:D 4.53889e-05 -*RES -1 *29778:X *28532:D 22.0107 -*END - -*D_NET *6084 0.00213312 -*CONN -*I *27043:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29779:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27043:A1 0.000402784 -2 *29779:X 0.000402784 -3 *30873:A *27043:A1 0.000222878 -4 *2761:213 *27043:A1 4.38511e-05 -5 *2772:160 *27043:A1 0.000342495 -6 *2776:177 *27043:A1 5.33005e-05 -7 *2776:192 *27043:A1 0.000596351 -8 *5680:147 *27043:A1 6.86792e-05 -*RES -1 *29779:X *27043:A1 38.2607 -*END - -*D_NET *6085 0.000729347 -*CONN -*I *28616:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29781:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28616:D 0.000101771 -2 *29781:X 0.000101771 -3 *27798:B2 *28616:D 0.00017802 -4 *3786:25 *28616:D 0.000347785 -*RES -1 *29781:X *28616:D 22.0107 -*END - -*D_NET *6086 0.00888712 -*CONN -*I *25727:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29782:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25727:A0 0.0011238 -2 *29782:X 0.00119476 -3 *6086:23 0.00231856 -4 *6086:23 *6087:19 0.000683655 -5 *27006:A0 *6086:23 9.41642e-05 -6 *27006:A1 *6086:23 4.01013e-05 -7 *27006:S *6086:23 8.62336e-05 -8 *28605:CLK *25727:A0 3.14163e-05 -9 *28605:RESET_B *25727:A0 9.09013e-05 -10 *29069:A *6086:23 0.000135028 -11 *29070:A *6086:23 5.33005e-05 -12 *29783:A *6086:23 0.000305285 -13 *3538:92 *25727:A0 7.83659e-05 -14 *3770:8 *25727:A0 0.00022266 -15 *4149:34 *6086:23 0.000552525 -16 *4206:29 *25727:A0 0.000304998 -17 *4206:29 *6086:23 1.81774e-05 -18 *4206:36 *6086:23 0.000348631 -19 *5800:78 *6086:23 0.00116528 -20 *5829:169 *25727:A0 3.92854e-05 -*RES -1 *29782:X *6086:23 40.7107 -2 *6086:23 *25727:A0 32.6036 -*END - -*D_NET *6087 0.00961058 -*CONN -*I *28197:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29783:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28197:D 0.000693053 -2 *29783:X 0.00144409 -3 *6087:19 0.00213715 -4 *25381:A2 *28197:D 7.21357e-05 -5 *26959:A1 *6087:19 6.27543e-05 -6 *26959:S *6087:19 0.000178847 -7 *27022:A1 *28197:D 6.57032e-05 -8 *28197:CLK *28197:D 4.91708e-05 -9 *28197:RESET_B *28197:D 5.03772e-05 -10 *28583:RESET_B *6087:19 0 -11 *29070:A *6087:19 0.000472026 -12 *30154:A *6087:19 0.000560112 -13 *244:166 *6087:19 2.42516e-05 -14 *1853:64 *6087:19 0 -15 *2767:142 *6087:19 0.00121813 -16 *2774:244 *28197:D 3.58638e-05 -17 *3339:22 *6087:19 1.57049e-05 -18 *3718:147 *28197:D 7.63141e-05 -19 *3733:5 *6087:19 0.000181374 -20 *3733:15 *6087:19 0.000266624 -21 *4095:11 *28197:D 0.000200406 -22 *4455:11 *28197:D 1.15172e-05 -23 *5669:317 *6087:19 0.000133685 -24 *5696:26 *28197:D 0.000103974 -25 *5696:42 *28197:D 0.000284443 -26 *5773:146 *28197:D 0.000133683 -27 *5773:155 *28197:D 0.00045554 -28 *6086:23 *6087:19 0.000683655 -*RES -1 *29783:X *6087:19 49.146 -2 *6087:19 *28197:D 29.2464 -*END - -*D_NET *6088 0.00291546 -*CONN -*I *25786:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29784:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25786:A0 0.000861642 -2 *29784:X 0.000861642 -3 *25252:A1 *25786:A0 0.00022459 -4 *25786:A1 *25786:A0 5.33005e-05 -5 *1473:70 *25786:A0 0.00046212 -6 *1870:24 *25786:A0 2.60984e-05 -7 *1870:29 *25786:A0 1.55885e-05 -8 *4090:18 *25786:A0 0.00012401 -9 *4101:22 *25786:A0 1.21258e-05 -10 *5250:8 *25786:A0 0.000180183 -11 *5833:65 *25786:A0 9.41642e-05 -*RES -1 *29784:X *25786:A0 41.8321 -*END - -*D_NET *6089 0.000683234 -*CONN -*I *28247:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29785:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28247:D 0.000250716 -2 *29785:X 0.000250716 -3 *3585:11 *28247:D 0.000181803 -*RES -1 *29785:X *28247:D 22.8321 -*END - -*D_NET *6090 0.00207889 -*CONN -*I *27085:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29786:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27085:A1 0.000595667 -2 *29786:X 0.000595667 -3 *27385:B1 *27085:A1 1.32056e-05 -4 *2781:138 *27085:A1 3.32631e-05 -5 *2781:162 *27085:A1 2.42516e-05 -6 *2860:259 *27085:A1 0.000425254 -7 *4030:36 *27085:A1 0.000261934 -8 *4069:74 *27085:A1 0.000129649 -*RES -1 *29786:X *27085:A1 36.9393 -*END - -*D_NET *6091 0.000860997 -*CONN -*I *28653:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29787:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28653:D 0.000188047 -2 *29787:X 0.000188047 -3 *28653:RESET_B *28653:D 0.000122887 -4 *1178:174 *28653:D 0.000145239 -5 *3848:40 *28653:D 0.000122887 -6 *3976:73 *28653:D 9.38921e-05 -*RES -1 *29787:X *28653:D 32.2429 -*END - -*D_NET *6092 0.0124061 -*CONN -*I *25549:A1 I *D sky130_fd_sc_hd__mux2_8 -*I *30740:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 -*CAP -1 *25549:A1 0 -2 *30740:X 0.00220971 -3 *6092:18 0.00106374 -4 *6092:11 0.00327345 -5 *6092:18 *6103:20 0.000117239 -6 *6092:18 *6103:34 0.00207872 -7 *25695:A1 *6092:18 0.000200406 -8 *25695:S *6092:18 6.2993e-05 -9 *25696:A1 *6092:11 0.000139913 -10 *25696:A1 *6092:18 9.90431e-05 -11 *25696:S *6092:11 0.000174693 -12 *25696:S *6092:18 1.18358e-05 -13 *25707:A0 *6092:18 0.000978446 -14 *25745:A1 *6092:18 1.18064e-05 -15 *25745:S *6092:18 3.57844e-05 -16 *29259:A *6092:11 8.10658e-05 -17 *29599:A *6092:11 0.00014285 -18 *29599:A *6092:18 3.2534e-05 -19 *1430:46 *6092:18 0 -20 *1844:66 *6092:18 8.81792e-05 -21 *1864:70 *6092:18 0.00111969 -22 *1864:72 *6092:18 9.52278e-05 -23 *4809:5 *6092:18 0.000265453 -24 *5732:36 *6092:18 0 -25 *6037:8 *6092:18 0.000123295 -*RES -1 *30740:X *6092:11 49.3893 -2 *6092:11 *6092:18 48.4821 -3 *6092:18 *25549:A1 4.5 -*END - -*D_NET *6093 0.00130391 -*CONN -*I *27094:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29788:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27094:A0 0.000418335 -2 *29788:X 0.000418335 -3 *27094:A1 *27094:A0 2.84026e-05 -4 *27094:S *27094:A0 0.000342924 -5 *1252:207 *27094:A0 7.22517e-05 -6 *3874:9 *27094:A0 2.36643e-05 -*RES -1 *29788:X *27094:A0 25.2964 -*END - -*D_NET *6094 0.00151824 -*CONN -*I *28661:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29789:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28661:D 0.000351767 -2 *29789:X 0.000351767 -3 *3215:211 *28661:D 0.000339567 -4 *3552:39 *28661:D 0.000210666 -5 *3822:18 *28661:D 9.82379e-05 -6 *3861:21 *28661:D 0.00014411 -7 *4069:66 *28661:D 2.21235e-05 -*RES -1 *29789:X *28661:D 36.2429 -*END - -*D_NET *6095 0.00299002 -*CONN -*I *27049:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29790:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27049:A1 0.000991803 -2 *29790:X 0.000991803 -3 *27049:S *27049:A1 3.93346e-05 -4 *29792:A *27049:A1 0.000221641 -5 *29916:A *27049:A1 9.60875e-05 -6 *30690:A *27049:A1 3.69047e-06 -7 *5592:10 *27049:A1 4.15914e-05 -8 *5659:36 *27049:A1 3.57163e-05 -9 *5694:195 *27049:A1 2.42662e-05 -10 *5700:271 *27049:A1 0 -11 *5926:136 *27049:A1 0.000544088 -*RES -1 *29790:X *27049:A1 45.9214 -*END - -*D_NET *6096 0.00105162 -*CONN -*I *28621:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29792:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28621:D 0.000284475 -2 *29792:X 0.000284475 -3 *29916:A *28621:D 0.00012401 -4 *3572:81 *28621:D 5.90206e-05 -5 *3634:34 *28621:D 6.05161e-06 -6 *3796:14 *28621:D 7.12595e-05 -7 *5503:8 *28621:D 0.000104784 -8 *5714:5 *28621:D 0.000117543 -*RES -1 *29792:X *28621:D 34.3857 -*END - -*D_NET *6097 0.00255605 -*CONN -*I *25731:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29793:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25731:A1 0.00076202 -2 *29793:X 0.00076202 -3 *25731:A1 *28174:D 7.6644e-05 -4 *29681:A *25731:A1 0.000434289 -5 *29905:A *25731:A1 0 -6 *30755:A *25731:A1 0.000322111 -7 *1835:16 *25731:A1 0 -8 *5397:23 *25731:A1 0 -9 *5397:35 *25731:A1 0.000137983 -10 *5769:187 *25731:A1 6.09824e-05 -*RES -1 *29793:X *25731:A1 39.4929 -*END - -*D_NET *6098 0.00158069 -*CONN -*I *28200:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29794:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28200:D 0.000349906 -2 *29794:X 0.000349906 -3 *28200:D *28174:D 5.52302e-05 -4 *28200:CLK *28200:D 2.59355e-05 -5 *29794:A *28200:D 0.000354984 -6 *30755:A *28200:D 0.000173378 -7 *4784:11 *28200:D 0.000271352 -*RES -1 *29794:X *28200:D 35.4036 -*END - -*D_NET *6099 0.00558755 -*CONN -*I *26841:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29795:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26841:A1 0.00189982 -2 *29795:X 0.00189982 -3 *26841:A1 *6350:26 1.15172e-05 -4 *26841:A1 *6384:8 0.00053844 -5 *26840:S *26841:A1 0.000189662 -6 *29739:A *26841:A1 0.00064757 -7 *3573:37 *26841:A1 0.000139187 -8 *3573:115 *26841:A1 0.00026153 -*RES -1 *29795:X *26841:A1 42.8679 -*END - -*D_NET *6100 0.00484932 -*CONN -*I *28439:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29796:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28439:D 0 -2 *29796:X 0.000942894 -3 *6100:17 0.000942894 -4 *6100:17 *29538:A 5.71472e-05 -5 *6100:17 *6319:10 5.33005e-05 -6 *6100:17 *6319:70 0.000181213 -7 *6100:17 *6320:71 1.26641e-05 -8 *6100:17 *6350:35 0.000183726 -9 *6100:17 *6387:54 6.25005e-05 -10 *27220:B *6100:17 2.42516e-05 -11 *1797:11 *6100:17 8.23185e-05 -12 *1826:61 *6100:17 0.000262825 -13 *2797:38 *6100:17 0.000339346 -14 *2840:53 *6100:17 5.01876e-06 -15 *3041:15 *6100:17 0.00097785 -16 *3041:25 *6100:17 0.00026993 -17 *5310:18 *6100:17 0.000323264 -18 *5399:17 *6100:17 0.000128176 -*RES -1 *29796:X *6100:17 43.4607 -2 *6100:17 *28439:D 9.3 -*END - -*D_NET *6101 0.00253388 -*CONN -*I *26833:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29797:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26833:A0 0.000859889 -2 *29797:X 0.000859889 -3 *26833:A0 *28434:D 0.000696677 -4 serial_data_2 *26833:A0 0.000117421 -5 *5659:36 *26833:A0 0 -*RES -1 *29797:X *26833:A0 40.225 -*END - -*D_NET *6102 0.00270403 -*CONN -*I *28434:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29798:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28434:D 0.000583163 -2 *29798:X 0.000583163 -3 *26830:A0 *28434:D 0.000356028 -4 *26833:A0 *28434:D 0.000696677 -5 *26833:S *28434:D 0.000157254 -6 *28431:RESET_B *28434:D 0.000105572 -7 *29798:A *28434:D 9.40156e-05 -8 *2748:20 *28434:D 0 -9 *5503:8 *28434:D 0 -10 *5528:8 *28434:D 0.000128161 -*RES -1 *29798:X *28434:D 42.3679 -*END - -*D_NET *6103 0.0173864 -*CONN -*I *25546:A1 I *D sky130_fd_sc_hd__mux2_8 -*I *30741:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 -*CAP -1 *25546:A1 0.000449572 -2 *30741:X 0.00193565 -3 *6103:34 0.00154098 -4 *6103:20 0.00170636 -5 *6103:9 0.00255061 -6 *25495:A1 *25546:A1 9.59532e-06 -7 *25495:A2 *25546:A1 5.41794e-05 -8 *25547:A0 *6103:34 0.000147628 -9 *25549:A0 *6103:34 0.000105761 -10 *25556:A0 *6103:34 4.5534e-05 -11 *25557:A0 *6103:20 7.92975e-05 -12 *25577:B *6103:34 0.000527786 -13 *25654:A0 *25546:A1 0.000125243 -14 *25696:S *6103:20 0.000170654 -15 *25696:S *6103:34 0.000120767 -16 *25729:A0 *6103:34 0.000196262 -17 *25745:A1 *6103:20 6.05161e-06 -18 *28084:RESET_B *25546:A1 7.40571e-05 -19 *28084:RESET_B *6103:34 1.41805e-05 -20 *28178:D *6103:20 7.79781e-06 -21 *28198:RESET_B *6103:34 4.27935e-05 -22 *28212:D *6103:20 0 -23 *29599:A *6103:20 0 -24 *29994:A *6103:9 0.00027032 -25 *30566:A *6103:20 3.69047e-06 -26 *719:17 *25546:A1 0 -27 *721:24 *6103:34 5.51406e-05 -28 *1430:46 *6103:20 0 -29 *1430:50 *25546:A1 0 -30 *1810:36 *25546:A1 0.000227529 -31 *1810:36 *6103:34 1.70431e-05 -32 *1844:66 *25546:A1 0.000385012 -33 *1844:66 *6103:34 0.00159044 -34 *1844:68 *6103:34 0.000200808 -35 *3584:32 *6103:34 9.31011e-05 -36 *4202:8 *6103:20 7.6021e-05 -37 *4208:5 *6103:34 3.14178e-05 -38 *5063:17 *6103:9 0.00104385 -39 *6014:20 *6103:20 0.000958912 -40 *6037:8 *6103:20 0.000135028 -41 *6058:26 *6103:34 0.000191315 -42 *6092:18 *6103:20 0.000117239 -43 *6092:18 *6103:34 0.00207872 -*RES -1 *30741:X *6103:9 46.1214 -2 *6103:9 *6103:20 29.9107 -3 *6103:20 *6103:34 49.25 -4 *6103:34 *25546:A1 15.8571 -*END - -*D_NET *6104 0.0150037 -*CONN -*I *27075:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29799:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27075:A1 0.000199064 -2 *29799:X 0.00237496 -3 *6104:19 0.00257403 -4 *6104:19 *27225:A1 0.0016313 -5 *6104:19 *6105:17 0.00329308 -6 *6104:19 *6141:21 0.000168408 -7 *6104:19 *6314:11 1.9944e-05 -8 *6104:19 *6380:46 2.0653e-05 -9 *6104:19 *6381:101 6.13772e-05 -10 *26839:A1 *6104:19 1.56097e-05 -11 *27075:A0 *27075:A1 0.000334199 -12 *27226:B2 *6104:19 0.000317082 -13 *27231:B1_N *6104:19 3.14942e-05 -14 *28437:D *6104:19 2.59355e-05 -15 *28437:RESET_B *6104:19 1.82657e-05 -16 *28786:CLK *6104:19 3.28365e-05 -17 *30591:A *6104:19 9.96426e-05 -18 *19:25 *6104:19 0.000382608 -19 *22:18 *6104:19 0 -20 *977:15 *27075:A1 0.000226025 -21 *981:15 *6104:19 0 -22 *1102:18 *6104:19 0.000315165 -23 *1290:89 *6104:19 0 -24 *2780:100 *6104:19 0.00031473 -25 *2800:55 *6104:19 0.000129044 -26 *4352:19 *6104:19 2.04825e-05 -27 *4574:37 *6104:19 0.00147229 -28 *4742:17 *6104:19 0.000209327 -29 *5746:97 *6104:19 7.32173e-05 -30 *5747:21 *6104:19 0.000511656 -31 *6008:26 *6104:19 0.00013126 -*RES -1 *29799:X *6104:19 47.9789 -2 *6104:19 *27075:A1 14.4964 -*END - -*D_NET *6105 0.0150692 -*CONN -*I *28644:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29800:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28644:D 0.000822548 -2 *29800:X 0.00196218 -3 *6105:17 0.00278473 -4 *6105:17 *27225:A1 9.45051e-05 -5 *6105:17 *6382:10 1.0267e-05 -6 *6105:17 *6382:26 0.000111082 -7 *27223:A *6105:17 0.000268053 -8 *28648:CLK *6105:17 0.000407891 -9 *30686:A *28644:D 3.45557e-05 -10 *244:28 *28644:D 0.000258588 -11 *244:50 *28644:D 0.000472721 -12 *775:23 *6105:17 0.000378208 -13 *2780:100 *6105:17 0.00329909 -14 *2803:20 *6105:17 0.000216755 -15 *3573:46 *6105:17 1.90936e-05 -16 *4740:33 *28644:D 2.28598e-05 -17 *5512:50 *6105:17 0.00061302 -18 *6104:19 *6105:17 0.00329308 -*RES -1 *29800:X *6105:17 49.3558 -2 *6105:17 *28644:D 29.2866 -*END - -*D_NET *6106 0.00299449 -*CONN -*I *25767:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29801:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25767:A1 0.000575944 -2 *29801:X 0.000575944 -3 *25767:A1 *26712:A1 0.000383166 -4 *25883:A1 *25767:A1 5.33005e-05 -5 *26763:A *25767:A1 0.000337637 -6 *29801:A *25767:A1 4.51526e-05 -7 *2554:17 *25767:A1 0.000235295 -8 *3553:26 *25767:A1 0.000788056 -*RES -1 *29801:X *25767:A1 43.2071 -*END - -*D_NET *6107 0.00341848 -*CONN -*I *28231:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29803:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28231:D 0.000989069 -2 *29803:X 0.000989069 -3 *25883:A1 *28231:D 0.000176931 -4 *26763:C *28231:D 0.000111924 -5 *28231:CLK *28231:D 2.59355e-05 -6 *28336:CLK *28231:D 5.66157e-05 -7 *29342:A *28231:D 0.000511661 -8 *1871:24 *28231:D 2.76949e-05 -9 *2700:9 *28231:D 1.37292e-05 -10 *3656:47 *28231:D 0.000353995 -11 *5780:18 *28231:D 4.46186e-06 -12 *5780:32 *28231:D 0.00015739 -13 *5824:28 *28231:D 0 -*RES -1 *29803:X *28231:D 44.9393 -*END - -*D_NET *6108 0.00150948 -*CONN -*I *27078:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29804:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27078:A1 0.000189335 -2 *29804:X 0.000189335 -3 *25006:A1 *27078:A1 0.000475857 -4 *27078:S *27078:A1 2.59355e-05 -5 *29805:A *27078:A1 0.000594467 -6 *2809:24 *27078:A1 3.45453e-05 -*RES -1 *29804:X *27078:A1 24.8857 -*END - -*D_NET *6109 0.00363218 -*CONN -*I *28647:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29805:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28647:D 0.000967359 -2 *29805:X 0.000967359 -3 *28647:D *27483:A2 0.00064629 -4 *28647:D *6386:29 9.42368e-05 -5 *25044:A1 *28647:D 4.54704e-05 -6 *25524:B *28647:D 0.000287567 -7 *27229:A *28647:D 9.23975e-05 -8 *28647:RESET_B *28647:D 0.000106423 -9 *1240:134 *28647:D 0.000347664 -10 *1799:19 *28647:D 9.54798e-06 -11 *2838:31 *28647:D 2.14757e-05 -12 *5539:33 *28647:D 4.63902e-05 -*RES -1 *29805:X *28647:D 45.0643 -*END - -*D_NET *6110 0.0024424 -*CONN -*I *25884:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29806:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25884:A0 0.000461192 -2 *29806:X 0.000461192 -3 *3753:25 *25884:A0 0.000216755 -4 *4087:22 *25884:A0 0.000284382 -5 *5301:13 *25884:A0 0.000282859 -6 *5669:173 *25884:A0 5.49995e-05 -7 *5709:94 *25884:A0 0.00062772 -8 *5709:102 *25884:A0 5.33005e-05 -*RES -1 *29806:X *25884:A0 38.6 -*END - -*D_NET *6111 0.00232217 -*CONN -*I *28336:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29807:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28336:D 0.000365347 -2 *29807:X 0.000365347 -3 *29807:A *28336:D 8.49275e-05 -4 *30165:A *28336:D 0.000549418 -5 *3947:15 *28336:D 0.000933277 -6 *5688:205 *28336:D 2.38501e-05 -*RES -1 *29807:X *28336:D 39.4214 -*END - -*D_NET *6112 0.00337203 -*CONN -*I *25701:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *25748:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29808:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25701:A0 0.000361994 -2 *25748:A1 0 -3 *29808:X 0.000152232 -4 *6112:8 0.000514226 -5 *25701:A0 *28181:D 0.000319316 -6 *6112:8 *25702:A0 0.000172735 -7 *6112:8 *28181:D 7.83659e-05 -8 *25701:A1 *25701:A0 0.000528353 -9 *25701:A1 *6112:8 4.08637e-05 -10 *25702:A1 *25701:A0 0.00014285 -11 *29961:A *6112:8 0.000431864 -12 *5592:24 *25701:A0 2.15245e-05 -13 *5694:217 *6112:8 5.33005e-05 -14 *5926:122 *25701:A0 0.000476042 -15 *5926:122 *6112:8 7.83659e-05 -*RES -1 *29808:X *6112:8 19.0679 -2 *6112:8 *25748:A1 13.8 -3 *6112:8 *25701:A0 25.05 -*END - -*D_NET *6113 0.000373612 -*CONN -*I *28215:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29809:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28215:D 6.35122e-05 -2 *29809:X 6.35122e-05 -3 *28215:CLK *28215:D 2.59355e-05 -4 *1339:24 *28215:D 8.50152e-05 -5 *2776:109 *28215:D 0.000100823 -6 *5667:286 *28215:D 3.48139e-05 -*RES -1 *29809:X *28215:D 29.475 -*END - -*D_NET *6114 0.051281 -*CONN -*I *25569:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *30742:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *25569:A0 0.000825918 -2 *30742:X 0.000416281 -3 *6114:39 0.00185924 -4 *6114:24 0.00225541 -5 *6114:18 0.00547463 -6 *6114:17 0.00512479 -7 *6114:10 0.00128852 -8 *6114:10 *6125:8 0.000314935 -9 *6114:17 *6125:8 0.00295475 -10 *6114:17 *6147:14 0.000471017 -11 *6114:18 *6136:8 0.00037474 -12 *6114:24 *6125:8 0.000302609 -13 *6114:24 *6147:14 0.000369198 -14 wb_ack_o *6114:39 0.000162211 -15 *25421:A *25569:A0 0.000626747 -16 *25422:A *6114:39 0.000304394 -17 *25481:B *6114:39 0.00019421 -18 *25482:A_N *6114:39 9.04785e-05 -19 *25482:B *6114:39 0.000208399 -20 *26538:B1 *6114:10 0.000318954 -21 *28082:RESET_B *25569:A0 3.92589e-05 -22 *28271:D *6114:24 0.000100831 -23 *28274:CLK *6114:24 0.0002599 -24 *28274:D *6114:24 0.00010326 -25 *28276:D *6114:24 0.000170955 -26 *28819:D *6114:17 0.000102302 -27 *29556:A *6114:39 0.000399439 -28 *29559:A *6114:39 0.000136958 -29 *29563:A *6114:39 9.41642e-05 -30 *29578:A *6114:39 0.0002122 -31 *30742:A *6114:10 0.000115369 -32 *31003:A *6114:24 6.05161e-06 -33 *389:8 *25569:A0 0.00130367 -34 *407:10 *6114:39 0.000125693 -35 *430:9 *6114:39 0.000305726 -36 *1472:12 *6114:18 0.00481786 -37 *1770:42 *6114:39 3.34295e-05 -38 *1770:67 *6114:39 0.000131874 -39 *2154:45 *6114:10 0.000561976 -40 *2240:146 *6114:10 0.00044153 -41 *2240:146 *6114:17 0.000722628 -42 *2242:8 *6114:10 0.000107927 -43 *2475:11 *6114:10 0.000322446 -44 *3461:20 *6114:18 0.0108018 -45 *3571:22 *6114:24 0.000424681 -46 *3571:29 *6114:24 0.000251319 -47 *3571:38 *6114:24 0.000109809 -48 *4192:117 *6114:39 0.000196916 -49 *4192:128 *6114:39 8.51236e-05 -50 *5316:23 *6114:39 3.30237e-05 -51 *5467:8 *6114:39 2.07776e-05 -52 *5541:8 *6114:24 0.000655661 -53 *5545:75 *6114:24 0.00155392 -54 *5568:14 *6114:17 0.00195663 -55 *5728:88 *25569:A0 0.000540701 -56 *5739:50 *25569:A0 0 -57 *6036:13 *25569:A0 9.77414e-05 -*RES -1 *30742:X *6114:10 30.9339 -2 *6114:10 *6114:17 48.0804 -3 *6114:17 *6114:18 144.929 -4 *6114:18 *6114:24 49.3571 -5 *6114:24 *6114:39 47.25 -6 *6114:39 *25569:A0 43.4481 -*END - -*D_NET *6115 0.00267755 -*CONN -*I *25896:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29810:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25896:A0 0.000582482 -2 *29810:X 0.000582482 -3 *25896:A0 *29479:A 0.000308599 -4 *25886:A0 *25896:A0 0.000135028 -5 *29810:A *25896:A0 0.000703692 -6 *29811:A *25896:A0 0.00017309 -7 *2483:28 *25896:A0 0 -8 *5824:23 *25896:A0 0.000136951 -9 *5938:8 *25896:A0 5.52302e-05 -*RES -1 *29810:X *25896:A0 40.9571 -*END - -*D_NET *6116 0.00179264 -*CONN -*I *28346:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29811:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28346:D 0.000522665 -2 *29811:X 0.000522665 -3 *28346:D *29479:A 9.77024e-05 -4 *26727:A *28346:D 0.00010096 -5 *29811:A *28346:D 0.00027052 -6 *5250:27 *28346:D 0.000195555 -7 *5780:61 *28346:D 8.25755e-05 -*RES -1 *29811:X *28346:D 38.225 -*END - -*D_NET *6117 0.00242093 -*CONN -*I *25926:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29812:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25926:A1 0.000604882 -2 *29812:X 0.000604882 -3 *25926:S *25926:A1 6.24758e-05 -4 *25929:A0 *25926:A1 0.000301438 -5 *30125:A *25926:A1 0.000127439 -6 *3655:17 *25926:A1 2.28598e-05 -7 *4013:17 *25926:A1 9.39578e-05 -8 *4026:24 *25926:A1 0.000454811 -9 *4036:17 *25926:A1 0.000148182 -*RES -1 *29812:X *25926:A1 38.9571 -*END - -*D_NET *6118 0.00202943 -*CONN -*I *28371:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29815:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28371:D 0.000380603 -2 *29815:X 0.000380603 -3 *25115:A1 *28371:D 5.52238e-05 -4 *25885:A0 *28371:D 6.98762e-05 -5 *28337:D *28371:D 0.000177934 -6 *29815:A *28371:D 5.33005e-05 -7 *1434:33 *28371:D 0.000105569 -8 *3541:68 *28371:D 0.000626532 -9 *3576:111 *28371:D 9.90367e-05 -10 *5301:38 *28371:D 0 -11 *5301:40 *28371:D 8.07483e-05 -*RES -1 *29815:X *28371:D 39.1536 -*END - -*D_NET *6119 0.00144163 -*CONN -*I *25950:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29816:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25950:A0 0.000145939 -2 *29816:X 0.000145939 -3 *25950:A0 *6317:73 0.000697696 -4 *25950:A1 *25950:A0 0.000226507 -5 *25950:S *25950:A0 2.14757e-05 -6 *29816:A *25950:A0 0.000144941 -7 *5745:124 *25950:A0 5.91367e-05 -*RES -1 *29816:X *25950:A0 25.2964 -*END - -*D_NET *6120 0.0011434 -*CONN -*I *28391:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29817:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28391:D 0.000296427 -2 *29817:X 0.000296427 -3 *282:21 *28391:D 0.000102545 -4 *5667:131 *28391:D 0.000347181 -5 *5745:124 *28391:D 1.02504e-05 -6 *5745:135 *28391:D 9.0573e-05 -*RES -1 *29817:X *28391:D 32.35 -*END - -*D_NET *6121 0.00235907 -*CONN -*I *25903:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29818:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25903:A1 0.000428082 -2 *29818:X 0.000428082 -3 *25903:A1 *28352:D 0.000279678 -4 *25900:A *25903:A1 0.000197984 -5 *25905:A1 *25903:A1 0.000266479 -6 *29819:A *25903:A1 8.98897e-05 -7 *30179:A *25903:A1 9.71197e-05 -8 *1892:14 *25903:A1 9.80173e-05 -9 *5669:232 *25903:A1 0.000199698 -10 *5669:245 *25903:A1 0.000177941 -11 *5833:178 *25903:A1 5.33005e-05 -12 *5833:190 *25903:A1 4.27935e-05 -*RES -1 *29818:X *25903:A1 39.5107 -*END - -*D_NET *6122 0.00272329 -*CONN -*I *28352:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29819:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28352:D 0.000924618 -2 *29819:X 0.000924618 -3 *25903:A1 *28352:D 0.000279678 -4 *30179:A *28352:D 9.71197e-05 -5 *1882:27 *28352:D 0.000249486 -6 *3581:14 *28352:D 0.000105689 -7 *3581:158 *28352:D 0.000142082 -*RES -1 *29819:X *28352:D 44.4393 -*END - -*D_NET *6123 0.00160558 -*CONN -*I *27084:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29820:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27084:A1 0.000179733 -2 *29820:X 0.000179733 -3 *29820:A *27084:A1 0.000260574 -4 *1547:12 *27084:A1 0.000633837 -5 *2781:51 *27084:A1 0.000222244 -6 *2781:65 *27084:A1 9.41642e-05 -7 *5646:17 *27084:A1 3.5298e-05 -*RES -1 *29820:X *27084:A1 24.8857 -*END - -*D_NET *6124 0.00176643 -*CONN -*I *28652:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29821:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28652:D 0.000440205 -2 *29821:X 0.000440205 -3 *28652:RESET_B *28652:D 8.98691e-05 -4 *3642:18 *28652:D 6.43326e-05 -5 *3847:35 *28652:D 0.000370316 -6 *5629:132 *28652:D 5.58875e-06 -7 *5657:197 *28652:D 0.000355915 -*RES -1 *29821:X *28652:D 36.0107 -*END - -*D_NET *6125 0.0610329 -*CONN -*I *6451:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6450:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6448:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25567:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *6449:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *30743:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *6451:DIODE 0.000166501 -2 *6450:DIODE 0 -3 *6448:DIODE 0.000191487 -4 *25567:A0 3.00142e-05 -5 *6449:DIODE 0 -6 *30743:X 0 -7 *6125:41 0.000313711 -8 *6125:27 0.00060599 -9 *6125:21 0.00216027 -10 *6125:14 0.0026514 -11 *6125:8 0.00596107 -12 *6125:7 0.00519643 -13 *6125:4 0.000258177 -14 *6125:8 *6136:8 0.0203777 -15 *6125:8 *6147:14 0.00017465 -16 *25419:A *6125:21 0.000270507 -17 *25553:A1 *6125:14 0.00087705 -18 *25567:A1 *6448:DIODE 0.000221634 -19 *25567:A1 *25567:A0 3.57366e-05 -20 *26526:C1 *6125:8 0.000126209 -21 *28038:B *6125:21 4.03318e-05 -22 *28050:A *6125:21 0.000634235 -23 *28096:RESET_B *6125:21 4.15914e-05 -24 *28269:CLK *6125:8 0.000100457 -25 *28274:CLK *6125:8 0.000577062 -26 *28276:CLK *6125:8 0.000397645 -27 *28276:D *6125:8 0 -28 *28815:CLK *6125:8 0.000579491 -29 *28820:CLK *6125:8 0.000965887 -30 *30742:A *6125:8 0.000165157 -31 *30743:A *6125:8 0.000113647 -32 *386:10 *6125:8 1.53472e-05 -33 *386:10 *6125:14 0.00103401 -34 *2144:35 *6451:DIODE 2.59355e-05 -35 *2144:35 *6125:7 7.02611e-05 -36 *2144:35 *6125:41 8.55871e-05 -37 *2154:45 *6125:8 0.00056363 -38 *2475:11 *6125:8 6.82086e-05 -39 *3571:22 *6125:8 0.00196979 -40 *3571:22 *6125:14 0.000702841 -41 *3571:29 *6125:8 0.000571119 -42 *3571:38 *6125:8 0.000194299 -43 *3577:38 *6125:8 0.000361502 -44 *3577:40 *6125:8 0.000386207 -45 *3577:42 *6125:8 0.000189659 -46 *3577:44 *6125:8 0.000387394 -47 *3577:46 *6125:8 0.000385192 -48 *3577:48 *6125:8 0.000194299 -49 *3577:50 *6125:8 0.000385192 -50 *3577:52 *6125:8 0.000386396 -51 *3577:54 *6125:8 0.000576084 -52 *3577:114 *6125:8 0.000385192 -53 *3579:8 *6125:8 0.000387394 -54 *3579:14 *6125:8 0.000191743 -55 *3579:16 *6125:8 0.000626108 -56 *3579:18 *6125:8 0.000118337 -57 *3579:55 *6125:8 0.000386396 -58 *3579:62 *6125:8 0.000193095 -59 *3579:69 *6125:8 0.00115231 -60 *3579:76 *6125:8 0.00115898 -61 *4192:79 *6125:21 1.21258e-05 -62 *5474:9 *6125:21 1.24368e-05 -63 *5474:9 *6125:27 0.000351162 -64 *5474:10 *6125:21 0.000266099 -65 *5568:14 *6125:8 0.000384379 -66 *5727:45 *6448:DIODE 5.19842e-06 -67 *5727:45 *6125:27 1.79326e-05 -68 *5727:46 *6125:21 2.47093e-05 -69 *6114:10 *6125:8 0.000314935 -70 *6114:17 *6125:8 0.00295475 -71 *6114:24 *6125:8 0.000302609 -*RES -1 *30743:X *6125:4 9.3 -2 *6125:4 *6125:7 5.85714 -3 *6125:7 *6125:8 257.705 -4 *6125:8 *6125:14 32.6339 -5 *6125:14 *6125:21 32.8393 -6 *6125:21 *6449:DIODE 9.3 -7 *6125:21 *6125:27 5.05357 -8 *6125:27 *25567:A0 10.0321 -9 *6125:27 *6448:DIODE 11.8893 -10 *6125:4 *6125:41 1.76786 -11 *6125:41 *6450:DIODE 9.3 -12 *6125:41 *6451:DIODE 11.0679 -*END - -*D_NET *6126 0.000894536 -*CONN -*I *27138:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29822:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27138:A1 0.000316863 -2 *29822:X 0.000316863 -3 *27138:A1 *28700:D 4.46663e-05 -4 *27138:S *27138:A1 5.55297e-05 -5 *2849:30 *27138:A1 6.15709e-05 -6 *3938:25 *27138:A1 0 -7 *5720:191 *27138:A1 9.90431e-05 -*RES -1 *29822:X *27138:A1 32.6179 -*END - -*D_NET *6127 0.00351317 -*CONN -*I *28700:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29823:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28700:D 0.000995322 -2 *29823:X 0.000995322 -3 *28700:D *6306:33 0.000141985 -4 *27138:A0 *28700:D 5.52238e-05 -5 *27138:A1 *28700:D 4.46663e-05 -6 *29823:A *28700:D 0.000331486 -7 *1218:109 *28700:D 6.05161e-06 -8 *2849:30 *28700:D 0 -9 *2865:31 *28700:D 0.000480116 -10 *3178:29 *28700:D 9.22103e-06 -11 *3212:21 *28700:D 0.000264514 -12 *3938:17 *28700:D 0.000189259 -*RES -1 *29823:X *28700:D 47.2429 -*END - -*D_NET *6128 0.00141765 -*CONN -*I *27102:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29824:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27102:A1 0.000230192 -2 *29824:X 0.000230192 -3 *29824:A *27102:A1 9.60875e-05 -4 *2850:37 *27102:A1 0.000638019 -5 *5720:205 *27102:A1 7.40432e-05 -6 *5873:123 *27102:A1 5.33005e-05 -7 *5873:125 *27102:A1 9.58126e-05 -*RES -1 *29824:X *27102:A1 24.8857 -*END - -*D_NET *6129 0.00178847 -*CONN -*I *28668:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29826:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28668:D 0.000522868 -2 *29826:X 0.000522868 -3 *28668:CLK *28668:D 2.59355e-05 -4 *29826:A *28668:D 3.92757e-05 -5 *2834:31 *28668:D 5.49544e-05 -6 *3572:34 *28668:D 0.000350075 -7 *3642:99 *28668:D 0 -8 *3847:25 *28668:D 0 -9 *5634:15 *28668:D 0.000272497 -*RES -1 *29826:X *28668:D 36.6357 -*END - -*D_NET *6130 0.0019437 -*CONN -*I *27025:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29827:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27025:A0 0.00036709 -2 *29827:X 0.00036709 -3 *25005:A1 *27025:A0 0.000260574 -4 *27025:A1 *27025:A0 5.33005e-05 -5 *1246:104 *27025:A0 1.41029e-05 -6 *1266:132 *27025:A0 0.000347181 -7 *2892:177 *27025:A0 0.000177815 -8 *3760:30 *27025:A0 0.000193693 -9 *5631:36 *27025:A0 0.000162857 -*RES -1 *29827:X *27025:A0 36.2607 -*END - -*D_NET *6131 0.00300272 -*CONN -*I *28600:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29828:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28600:D 0.000963797 -2 *29828:X 0.000963797 -3 *29828:A *28600:D 0.000552531 -4 *1242:128 *28600:D 0.000168089 -5 *1266:132 *28600:D 8.48807e-05 -6 *2774:146 *28600:D 1.98839e-05 -7 *3798:15 *28600:D 0.00012401 -8 *4121:69 *28600:D 0.000125731 -*RES -1 *29828:X *28600:D 41.4036 -*END - -*D_NET *6132 0.00134568 -*CONN -*I *27093:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29829:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27093:A0 0.000408543 -2 *29829:X 0.000408543 -3 *29829:A *27093:A0 2.70189e-05 -4 *3191:35 *27093:A0 0.000174 -5 *3191:37 *27093:A0 3.69047e-06 -6 *3873:6 *27093:A0 1.88175e-05 -7 *5694:119 *27093:A0 4.72244e-05 -8 *5700:159 *27093:A0 5.72966e-05 -9 *5700:174 *27093:A0 0.000200547 -*RES -1 *29829:X *27093:A0 35.6179 -*END - -*D_NET *6133 0.00158862 -*CONN -*I *28660:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29830:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28660:D 0.000330112 -2 *29830:X 0.000330112 -3 *25125:A1 *28660:D 0.000299132 -4 *25271:B1 *28660:D 5.52238e-05 -5 *1218:109 *28660:D 0.000298341 -6 *1323:5 *28660:D 0.000106018 -7 *5608:28 *28660:D 0.00016968 -*RES -1 *29830:X *28660:D 35.6179 -*END - -*D_NET *6134 0.00143018 -*CONN -*I *27011:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29831:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27011:A1 0.000235448 -2 *29831:X 0.000235448 -3 *25246:B1 *27011:A1 0.000549576 -4 *29831:A *27011:A1 0.00034188 -5 *5709:182 *27011:A1 6.78243e-05 -*RES -1 *29831:X *27011:A1 24.8857 -*END - -*D_NET *6135 0.00180881 -*CONN -*I *28587:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29832:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28587:D 0.000456544 -2 *29832:X 0.000456544 -3 *25245:C1 *28587:D 0.000272301 -4 *28587:SET_B *28587:D 5.92871e-05 -5 *1558:48 *28587:D 8.16995e-05 -6 *3484:20 *28587:D 0.000144134 -7 *4014:22 *28587:D 0.0003383 -*RES -1 *29832:X *28587:D 37.85 -*END - -*D_NET *6136 0.0620342 -*CONN -*I *25575:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *30744:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *25575:A0 0.00152884 -2 *30744:X 0.00017558 -3 *6136:16 0.00289008 -4 *6136:13 0.00163852 -5 *6136:8 0.00618776 -6 *6136:7 0.00608606 -7 *6136:8 *6147:14 0.00017465 -8 *6136:16 *6236:8 0 -9 ser_rx *6136:16 0 -10 spi_sdi *6136:16 0 -11 *25424:B *6136:16 0 -12 *25478:B *6136:16 0.000206073 -13 *25582:B *6136:16 0.00130707 -14 *28078:RESET_B *6136:8 6.27457e-05 -15 *30743:A *6136:8 2.23987e-05 -16 *30964:A *6136:16 0 -17 *30970:A *6136:16 0.00152493 -18 *386:10 *6136:8 0.000207732 -19 *1472:12 *6136:8 0.000419219 -20 *1739:10 *6136:16 0.000672311 -21 *1740:16 *6136:8 0.0022071 -22 *2047:66 *6136:8 2.15383e-05 -23 *2144:35 *6136:8 0.00111772 -24 *2154:45 *6136:8 0.00120749 -25 *3461:20 *6136:8 0.0125344 -26 *5476:7 *25575:A0 4.05411e-05 -27 *5541:8 *6136:16 0.000614473 -28 *5545:75 *6136:8 0.000434534 -29 *6114:18 *6136:8 0.00037474 -30 *6125:8 *6136:8 0.0203777 -*RES -1 *30744:X *6136:7 15.5679 -2 *6136:7 *6136:8 271.821 -3 *6136:8 *6136:13 12.4107 -4 *6136:13 *6136:16 47.4286 -5 *6136:16 *25575:A0 32.0143 -*END - -*D_NET *6137 0.0026969 -*CONN -*I *27039:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29833:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27039:A1 0.000600289 -2 *29833:X 0.000600289 -3 *27355:A0 *27039:A1 0.000682246 -4 *27759:A1 *27039:A1 0.000183438 -5 *29498:A *27039:A1 0.00013781 -6 *3572:20 *27039:A1 0.000396737 -7 *3886:47 *27039:A1 9.60939e-05 -*RES -1 *29833:X *27039:A1 40.725 -*END - -*D_NET *6138 0.00174238 -*CONN -*I *28612:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29834:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28612:D 0.000285528 -2 *29834:X 0.000285528 -3 *29834:A *28612:D 2.63501e-05 -4 *1328:115 *28612:D 0.000159061 -5 *2845:22 *28612:D 0.000262679 -6 *2856:25 *28612:D 3.2231e-05 -7 *3156:49 *28612:D 1.08571e-05 -8 *3160:26 *28612:D 3.3168e-05 -9 *3206:17 *28612:D 1.75318e-05 -10 *3206:27 *28612:D 0.00020789 -11 *3214:21 *28612:D 0.000262679 -12 *5591:36 *28612:D 0.00015329 -13 *5687:129 *28612:D 5.58875e-06 -*RES -1 *29834:X *28612:D 40.3267 -*END - -*D_NET *6139 0.00258431 -*CONN -*I *26998:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29835:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26998:A1 0.000925774 -2 *29835:X 0.000925774 -3 *26998:S *26998:A1 5.49544e-05 -4 *29835:A *26998:A1 0.000111569 -5 *3206:236 *26998:A1 0.000121866 -6 *3721:14 *26998:A1 0.000264542 -7 *3800:64 *26998:A1 0.000123395 -8 *5767:166 *26998:A1 5.64416e-05 -*RES -1 *29835:X *26998:A1 39.6357 -*END - -*D_NET *6140 0.00146579 -*CONN -*I *28576:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29837:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28576:D 0.000370105 -2 *29837:X 0.000370105 -3 *29837:A *28576:D 0.000186591 -4 *2866:296 *28576:D 0.000188251 -5 *3721:14 *28576:D 8.86129e-06 -6 *5767:178 *28576:D 0.00034188 -*RES -1 *29837:X *28576:D 33.7473 -*END - -*D_NET *6141 0.0116863 -*CONN -*I *26826:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29838:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26826:A1 0 -2 *29838:X 0.00216786 -3 *6141:21 0.00216786 -4 *6141:21 *6178:35 0.000143928 -5 *25260:A1 *6141:21 0.00013389 -6 *25590:B *6141:21 0.000189485 -7 *26825:S *6141:21 0.000169577 -8 *29838:A *6141:21 0.000410975 -9 *30947:A *6141:21 0 -10 *775:23 *6141:21 0.000142011 -11 *2746:5 *6141:21 9.58689e-05 -12 *2780:100 *6141:21 0.00115364 -13 *4742:17 *6141:21 0.00156115 -14 *5592:78 *6141:21 0.00167435 -15 *5707:200 *6141:21 0.000265445 -16 *5745:30 *6141:21 0.000183586 -17 *5757:21 *6141:21 0.000177838 -18 *6009:23 *6141:21 0.00088047 -19 *6104:19 *6141:21 0.000168408 -*RES -1 *29838:X *6141:21 46.5848 -2 *6141:21 *26826:A1 9.3 -*END - -*D_NET *6142 0.00800023 -*CONN -*I *28429:D I *D sky130_fd_sc_hd__dfstp_2 -*I *29839:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28429:D 0 -2 *29839:X 0.00175038 -3 *6142:14 0.00175038 -4 pll_trim[22] *6142:14 0 -5 pll_trim[24] *6142:14 0 -6 *25604:A0 *6142:14 0.000115769 -7 *25604:A1 *6142:14 0.000223675 -8 *25614:A0 *6142:14 5.19522e-06 -9 *28428:D *6142:14 9.69701e-05 -10 *29507:A *6142:14 0 -11 *29562:A *6142:14 0.000677141 -12 *29839:A *6142:14 0.0002429 -13 *1240:96 *6142:14 8.86292e-05 -14 *1240:130 *6142:14 0.00047759 -15 *1254:14 *6142:14 0.00044813 -16 *2780:90 *6142:14 0 -17 *3412:41 *6142:14 9.94725e-05 -18 *4881:17 *6142:14 4.60232e-06 -19 *5504:33 *6142:14 5.66157e-05 -20 *5504:49 *6142:14 0.000360104 -21 *5505:28 *6142:14 6.32026e-05 -22 *5516:20 *6142:14 1.92646e-05 -23 *5518:62 *6142:14 0.0001455 -24 *5675:235 *6142:14 0.000385737 -25 *5944:17 *6142:14 0.00024019 -26 *6070:13 *6142:14 0.000748779 -*RES -1 *29839:X *6142:14 47.6747 -2 *6142:14 *28429:D 13.8 -*END - -*D_NET *6143 0.000649082 -*CONN -*I *27207:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29840:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27207:A1 0.000231993 -2 *29840:X 0.000231993 -3 *27207:A0 *27207:A1 7.57673e-05 -4 *2842:38 *27207:A1 4.58194e-05 -5 *3192:31 *27207:A1 4.16984e-05 -6 *5643:39 *27207:A1 2.18103e-05 -*RES -1 *29840:X *27207:A1 30.8143 -*END - -*D_NET *6144 0.00207526 -*CONN -*I *28761:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29841:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28761:D 0.000482572 -2 *29841:X 0.000482572 -3 *29841:A *28761:D 0.000219711 -4 *2855:12 *28761:D 5.71472e-05 -5 *3209:21 *28761:D 0.000383166 -6 *3209:37 *28761:D 0.00023162 -7 *5530:58 *28761:D 0.000123288 -8 *5667:312 *28761:D 2.59355e-05 -9 *5694:94 *28761:D 2.04825e-05 -10 *5694:110 *28761:D 4.87617e-05 -*RES -1 *29841:X *28761:D 38.1179 -*END - -*D_NET *6145 0.0011391 -*CONN -*I *26903:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29842:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26903:A1 0.000377151 -2 *29842:X 0.000377151 -3 *2761:121 *26903:A1 0.000200155 -4 *2761:134 *26903:A1 4.96113e-05 -5 *4067:11 *26903:A1 0.000135028 -*RES -1 *29842:X *26903:A1 24.8857 -*END - -*D_NET *6146 0.00112581 -*CONN -*I *28491:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29843:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28491:D 0.000298866 -2 *29843:X 0.000298866 -3 *29843:A *28491:D 0.000174805 -4 *1282:198 *28491:D 5.33005e-05 -5 *1282:205 *28491:D 0.000126887 -6 *1700:16 *28491:D 0.000173083 -*RES -1 *29843:X *28491:D 32.85 -*END - -*D_NET *6147 0.0944336 -*CONN -*I *25571:B I *D sky130_fd_sc_hd__nand2b_1 -*I *30745:X O *D sky130_fd_sc_hd__clkbuf_4 -*CAP -1 *25571:B 0 -2 *30745:X 5.09103e-05 -3 *6147:31 0.0113486 -4 *6147:14 0.0371263 -5 *6147:11 0.0258286 -6 wb_dat_o[0] *6147:31 0.000451215 -7 *24865:B *6147:31 0.000420592 -8 *25433:D *6147:14 0 -9 *25493:A2 *6147:31 1.041e-05 -10 *26528:C1 *6147:14 0.00013235 -11 *26706:A1 *6147:14 0 -12 *26731:D_N *6147:14 0.000116155 -13 *27979:A1 *6147:14 0.000270135 -14 *28082:RESET_B *6147:31 0 -15 *28096:RESET_B *6147:31 0.00014854 -16 *28820:CLK *6147:14 0.000116155 -17 *29128:A *6147:31 8.74121e-05 -18 *29208:A *6147:31 2.06741e-05 -19 *29324:A *6147:31 0.000100368 -20 *29580:A *6147:14 0 -21 *30140:A *6147:31 4.51009e-05 -22 *160:14 *6147:31 2.93047e-05 -23 *1732:29 *6147:31 0.00116877 -24 *1871:50 *6147:14 0.000116155 -25 *1871:55 *6147:14 0.000232309 -26 *1935:26 *6147:14 0 -27 *2038:30 *6147:14 0 -28 *2043:22 *6147:14 0.000572067 -29 *2043:33 *6147:14 0.000270117 -30 *2047:91 *6147:14 0.000143169 -31 *2111:14 *6147:14 0.000128905 -32 *2131:64 *6147:14 0 -33 *2131:72 *6147:14 0 -34 *2133:106 *6147:14 0.000146966 -35 *2144:41 *6147:14 0.000313721 -36 *2154:45 *6147:14 0.000114602 -37 *2154:51 *6147:14 0.000358471 -38 *2204:38 *6147:14 0 -39 *2204:46 *6147:14 0 -40 *2224:20 *6147:14 0.000148082 -41 *2240:111 *6147:11 1.01912e-05 -42 *2240:111 *6147:14 0.000368872 -43 *2240:146 *6147:14 0.000340673 -44 *2242:8 *6147:11 2.11419e-05 -45 *2242:8 *6147:14 0.000889083 -46 *2242:27 *6147:14 0.000167406 -47 *2244:31 *6147:14 0 -48 *2475:11 *6147:14 0.000687001 -49 *2479:8 *6147:14 0.000922163 -50 *3510:60 *6147:14 0.000442006 -51 *3571:22 *6147:14 0.000113204 -52 *3579:16 *6147:14 0.000251371 -53 *3579:69 *6147:14 0.000116155 -54 *3579:76 *6147:14 0.000230756 -55 *4168:20 *6147:31 0.000589427 -56 *4184:38 *6147:31 8.6197e-05 -57 *4909:14 *6147:31 0.000111763 -58 *5027:17 *6147:31 0.000170631 -59 *5332:20 *6147:14 0 -60 *5474:10 *6147:31 0.00137942 -61 *5474:14 *6147:31 0.000679005 -62 *5476:8 *6147:31 0.000262952 -63 *5541:8 *6147:14 0.00237255 -64 *5545:75 *6147:14 0.000110498 -65 *5560:33 *6147:14 0 -66 *5566:8 *6147:14 0.000125685 -67 *5567:12 *6147:14 0.000364647 -68 *5567:14 *6147:14 0.000758938 -69 *5568:10 *6147:14 0.000642769 -70 *5568:14 *6147:14 0.000991887 -71 *5836:176 *6147:31 2.15882e-05 -72 *6114:17 *6147:14 0.000471017 -73 *6114:24 *6147:14 0.000369198 -74 *6125:8 *6147:14 0.00017465 -75 *6136:8 *6147:14 0.00017465 -*RES -1 *30745:X *6147:11 20.9798 -2 *6147:11 *6147:14 49.799 -3 *6147:14 *6147:31 48.3223 -4 *6147:31 *25571:B 9.3 -*END - -*D_NET *6148 0.00152038 -*CONN -*I *25914:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29844:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25914:A1 0.000364548 -2 *29844:X 0.000364548 -3 *1475:60 *25914:A1 3.63775e-05 -4 *3766:25 *25914:A1 0.000219289 -5 *4025:21 *25914:A1 0.000267807 -6 *5799:12 *25914:A1 0.000267807 -*RES -1 *29844:X *25914:A1 34.475 -*END - -*D_NET *6149 0.000919464 -*CONN -*I *28361:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29845:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28361:D 0.000228495 -2 *29845:X 0.000228495 -3 *29845:A *28361:D 0.000114587 -4 *3541:68 *28361:D 0.000174805 -5 *5669:30 *28361:D 0.000173083 -*RES -1 *29845:X *28361:D 32.0286 -*END - -*D_NET *6150 0.00561106 -*CONN -*I *25747:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25699:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29846:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25747:A1 6.14767e-05 -2 *25699:A0 0.000143178 -3 *29846:X 0.000998332 -4 *6150:18 0.00120299 -5 *25700:A1 *25699:A0 0.000515037 -6 *25700:A1 *6150:18 0.000148903 -7 *27088:A0 *6150:18 5.22045e-05 -8 *27088:A1 *6150:18 0.00075656 -9 *27088:S *6150:18 5.52238e-05 -10 *28656:D *6150:18 0.000135028 -11 *29846:A *6150:18 0.000178847 -12 *30461:A *6150:18 0.000157984 -13 *527:16 *6150:18 0.000188028 -14 *1844:189 *25699:A0 3.80904e-05 -15 *1844:189 *6150:18 2.16719e-05 -16 *2781:21 *6150:18 7.39203e-06 -17 *4232:13 *25699:A0 1.41029e-05 -18 *5461:7 *6150:18 7.80821e-05 -19 *5583:156 *25699:A0 0.000564118 -20 *5583:156 *6150:18 0.00018529 -21 *5675:97 *6150:18 7.04599e-05 -22 *5700:260 *25747:A1 1.21258e-05 -23 *5700:269 *25747:A1 2.59355e-05 -*RES -1 *29846:X *6150:18 44.3536 -2 *6150:18 *25699:A0 21.2107 -3 *6150:18 *25747:A1 14.7464 -*END - -*D_NET *6151 0.00121735 -*CONN -*I *28214:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29848:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28214:D 0.000441882 -2 *29848:X 0.000441882 -3 *28180:CLK *28214:D 2.59355e-05 -4 *28180:D *28214:D 7.6644e-05 -5 *557:11 *28214:D 7.02611e-05 -6 *5468:25 *28214:D 0.000160741 -7 *5926:120 *28214:D 0 -*RES -1 *29848:X *28214:D 34.6714 -*END - -*D_NET *6152 0.00216499 -*CONN -*I *26832:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29849:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26832:A0 0.000310691 -2 *29849:X 0.000310691 -3 *26832:A1 *26832:A0 0.000400155 -4 *29849:A *26832:A0 0.00044366 -5 *29850:A *26832:A0 0.00021527 -6 *5530:20 *26832:A0 0.000484518 -*RES -1 *29849:X *26832:A0 30.225 -*END - -*D_NET *6153 0.00165756 -*CONN -*I *28433:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29850:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28433:D 0.000679531 -2 *29850:X 0.000679531 -3 *28433:RESET_B *28433:D 2.51935e-05 -4 *1371:14 *28433:D 4.57538e-05 -5 *5529:9 *28433:D 4.57538e-05 -6 *5530:70 *28433:D 0.000181803 -*RES -1 *29850:X *28433:D 39.225 -*END - -*D_NET *6154 0.0030847 -*CONN -*I *25785:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29851:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25785:A0 0.000575676 -2 *29851:X 0.000575676 -3 *25785:A1 *25785:A0 5.52302e-05 -4 *25788:A1 *25785:A0 9.60939e-05 -5 *30785:A *25785:A0 4.08637e-05 -6 *1473:70 *25785:A0 6.05161e-06 -7 *1870:7 *25785:A0 0.000689875 -8 *3585:41 *25785:A0 0.000197991 -9 *3688:78 *25785:A0 0.000258942 -10 *4092:21 *25785:A0 0.000196276 -11 *5839:63 *25785:A0 0.000392019 -*RES -1 *29851:X *25785:A0 42.725 -*END - -*D_NET *6155 0.000537167 -*CONN -*I *28246:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29852:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28246:D 0.000109533 -2 *29852:X 0.000109533 -3 *28249:D *28246:D 2.22891e-05 -4 *3948:23 *28246:D 0.000100823 -5 *5688:213 *28246:D 0.000100823 -6 *5761:147 *28246:D 9.41642e-05 -*RES -1 *29852:X *28246:D 30.2964 -*END - -*D_NET *6156 0.00221342 -*CONN -*I *27029:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29853:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27029:A1 0.000702991 -2 *29853:X 0.000702991 -3 *27029:A1 *6247:34 0.000158735 -4 *27029:S *27029:A1 5.33005e-05 -5 *2886:295 *27029:A1 0.000133384 -6 *3768:58 *27029:A1 1.24368e-05 -7 *3768:66 *27029:A1 0.000123095 -8 *5584:131 *27029:A1 0.000326485 -*RES -1 *29853:X *27029:A1 39.6179 -*END - -*D_NET *6157 0.00239249 -*CONN -*I *28603:D I *D sky130_fd_sc_hd__dfstp_2 -*I *29854:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28603:D 0.000632733 -2 *29854:X 0.000632733 -3 *25257:A *28603:D 0.000327926 -4 *28571:CLK *28603:D 0.000125355 -5 *28630:RESET_B *28603:D 0.000116649 -6 *28897:A *28603:D 1.80039e-05 -7 *29854:A *28603:D 4.58194e-05 -8 *1265:112 *28603:D 0.000293564 -9 *1558:33 *28603:D 8.43535e-06 -10 *3742:48 *28603:D 0.000191274 -*RES -1 *29854:X *28603:D 39.6179 -*END - -*D_NET *6158 0.0751601 -*CONN -*I *6445:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *28837:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *6443:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6447:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6446:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *30746:X O *D sky130_fd_sc_hd__clkbuf_4 -*CAP -1 *6445:DIODE 0 -2 *28837:A 0 -3 *6443:DIODE 8.98341e-05 -4 *6447:DIODE 0 -5 *6446:DIODE 0 -6 *30746:X 0.000215083 -7 *6158:41 0.00026695 -8 *6158:35 0.000214158 -9 *6158:32 0.00421892 -10 *6158:30 0.00420809 -11 *6158:28 0.00237556 -12 *6158:26 0.00239797 -13 *6158:24 0.00575934 -14 *6158:22 0.00628264 -15 *6158:11 0.000720958 -16 *6158:5 0.000364116 -17 irq[0] *6158:32 0 -18 irq[1] *6158:32 0 -19 irq[2] *6158:32 0 -20 pad_flash_clk *6443:DIODE 5.01643e-05 -21 pad_flash_clk *6158:41 1.97617e-05 -22 ser_rx *6158:28 0 -23 spi_sdi *6158:32 0 -24 spimemio_flash_io1_di *6158:22 0.000160427 -25 *25422:A *6158:28 0.000307014 -26 *25422:B *6158:28 5.53592e-05 -27 *25427:B *6158:28 0.00113795 -28 *25473:A2 *6158:28 0.00012401 -29 *26302:A *6158:22 0.000320386 -30 *26312:A *6158:24 0.000389868 -31 *26337:B *6158:22 2.79092e-05 -32 *26337:D *6158:22 0.000152333 -33 *26526:B1 *6158:24 9.16325e-06 -34 *26528:B1 *6158:24 0.000957071 -35 *26706:A1 *6158:24 0.000874228 -36 *28058:A *6158:28 0.000189832 -37 *28058:B *6158:28 0.000196269 -38 *28082:RESET_B *6158:28 6.37883e-06 -39 *28097:D *6158:28 4.15183e-05 -40 *29256:A *6158:32 0 -41 *29547:A *6158:28 0.000118824 -42 *29552:A *6158:24 2.23987e-05 -43 *29572:A *6158:24 0.00238346 -44 *29580:A *6158:24 0.000367398 -45 *29605:A *6158:24 0.000243635 -46 *29616:A *6158:24 0 -47 *30964:A *6158:28 0 -48 *30977:A *6158:24 0.000126439 -49 *30978:A *6158:24 0.000954653 -50 *30980:A *6158:24 4.00025e-05 -51 *30981:A *6158:24 0.000220422 -52 *30982:A *6158:24 0.000417177 -53 *30986:A *6158:24 2.23987e-05 -54 *30989:A *6158:24 1.08691e-05 -55 *385:18 *6158:28 9.94692e-05 -56 *385:39 *6158:24 0.000276136 -57 *385:39 *6158:28 0.000837078 -58 *407:10 *6158:28 0.000247103 -59 *413:8 *6158:24 0.000105611 -60 *413:8 *6158:28 0.0022778 -61 *448:11 *6158:28 7.47029e-06 -62 *1145:11 *6158:24 2.04866e-05 -63 *1323:96 *6158:24 8.26546e-05 -64 *1545:95 *6158:24 1.23991e-05 -65 *1665:49 *6158:24 0.000642559 -66 *1735:8 *6158:28 6.35892e-05 -67 *1751:8 *6158:24 1.81805e-05 -68 *1874:68 *6158:24 0.00215488 -69 *2047:90 *6158:24 0.00112762 -70 *2151:8 *6158:22 4.75715e-05 -71 *2151:15 *6158:24 0 -72 *2220:40 *6158:22 0.00126188 -73 *2220:45 *6158:24 0 -74 *2234:48 *6158:24 0.000104702 -75 *2244:14 *6158:24 4.06087e-07 -76 *2244:31 *6158:24 0.00184008 -77 *2277:8 *6158:22 3.98428e-05 -78 *3510:108 *6158:24 0.000866632 -79 *4192:117 *6158:28 3.38196e-06 -80 *4192:128 *6158:28 0.000205978 -81 *4213:15 *6158:28 0 -82 *4213:15 *6158:32 0 -83 *5093:19 *6158:32 0 -84 *5331:10 *6158:24 0.00196982 -85 *5331:10 *6158:28 1.65025e-05 -86 *5479:14 *6158:35 2.38501e-05 -87 *5479:14 *6158:41 0.000118439 -88 *5542:44 *6158:22 0 -89 *5542:44 *6158:24 0.0148252 -90 *5547:13 *6158:24 0.0026621 -91 *5552:6 *6158:24 7.99046e-05 -92 *5553:8 *6158:24 0.000320031 -93 *5556:13 *6158:24 0 -94 *5563:43 *6158:24 0.00166561 -95 *5572:15 *6158:24 2.14474e-05 -96 *5573:36 *6158:24 0.0033973 -97 *5727:95 *6158:28 0.000173804 -98 *5727:104 *6158:28 0.000196269 -99 *5727:115 *6158:28 0.000173812 -100 *5739:78 *6158:28 0.000111581 -101 *6003:13 *6158:32 0 -*RES -1 *30746:X *6158:5 11.4786 -2 *6158:5 *6446:DIODE 9.3 -3 *6158:5 *6158:11 1.76786 -4 *6158:11 *6447:DIODE 9.3 -5 *6158:11 *6158:22 22.4554 -6 *6158:22 *6158:24 253.911 -7 *6158:24 *6158:26 0.535714 -8 *6158:26 *6158:28 64.5714 -9 *6158:28 *6158:30 0.535714 -10 *6158:30 *6158:32 74.8661 -11 *6158:32 *6158:35 5.03571 -12 *6158:35 *6158:41 3.14286 -13 *6158:41 *6443:DIODE 11.0679 -14 *6158:41 *28837:A 9.3 -15 *6158:35 *6445:DIODE 9.3 -*END - -*D_NET *6159 0.0016847 -*CONN -*I *27002:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29855:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27002:A1 0.0004732 -2 *29855:X 0.0004732 -3 *27002:S *27002:A1 5.33005e-05 -4 *1450:33 *27002:A1 0.000342502 -5 *4011:54 *27002:A1 0.000342502 -*RES -1 *29855:X *27002:A1 37.0286 -*END - -*D_NET *6160 0.000951164 -*CONN -*I *28579:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29856:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28579:D 0.000282452 -2 *29856:X 0.000282452 -3 *25115:A2 *28579:D 5.7248e-05 -4 *28579:SET_B *28579:D 9.41642e-05 -5 *29856:A *28579:D 0.000150796 -6 *1434:47 *28579:D 7.5779e-05 -7 *4012:22 *28579:D 8.27238e-06 -*RES -1 *29856:X *28579:D 31.8321 -*END - -*D_NET *6161 0.000650484 -*CONN -*I *26948:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29857:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26948:A0 0.00022468 -2 *29857:X 0.00022468 -3 *29857:A *26948:A0 0.000102197 -4 *1823:12 *26948:A0 0 -5 *3589:18 *26948:A0 6.4974e-06 -6 *5832:8 *26948:A0 9.24299e-05 -*RES -1 *29857:X *26948:A0 31.6179 -*END - -*D_NET *6162 0.000528744 -*CONN -*I *28531:D I *D sky130_fd_sc_hd__dfstp_4 -*I *29859:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28531:D 0.000200295 -2 *29859:X 0.000200295 -3 *3589:18 *28531:D 0 -4 *3589:23 *28531:D 0 -5 *5832:8 *28531:D 0.000128154 -*RES -1 *29859:X *28531:D 30.6 -*END - -*D_NET *6163 0.00112756 -*CONN -*I *27083:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29860:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27083:A1 0.000218821 -2 *29860:X 0.000218821 -3 *25257:A *27083:A1 1.76039e-05 -4 *28897:A *27083:A1 0.000315165 -5 *1406:24 *27083:A1 0.000197991 -6 *2771:111 *27083:A1 2.01326e-05 -7 *3576:63 *27083:A1 5.33005e-05 -8 *3576:76 *27083:A1 8.57288e-05 -*RES -1 *29860:X *27083:A1 33.0286 -*END - -*D_NET *6164 0.00233928 -*CONN -*I *28651:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29861:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28651:D 0.000385311 -2 *29861:X 0.000385311 -3 *27681:B2 *28651:D 6.05161e-06 -4 *28651:SET_B *28651:D 0.000259273 -5 *29861:A *28651:D 9.41642e-05 -6 *1276:102 *28651:D 0.000220943 -7 *1700:16 *28651:D 0.00022217 -8 *3185:118 *28651:D 0.000188649 -9 *3576:63 *28651:D 6.34436e-05 -10 *3576:76 *28651:D 0.000146055 -11 *3859:11 *28651:D 5.33005e-05 -12 *3859:29 *28651:D 9.41642e-05 -13 *3998:44 *28651:D 0.000220448 -*RES -1 *29861:X *28651:D 38.7964 -*END - -*D_NET *6165 0.00132757 -*CONN -*I *25902:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29862:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25902:A1 0.000529425 -2 *29862:X 0.000529425 -3 *25902:A0 *25902:A1 8.85631e-05 -4 *29862:A *25902:A1 0.000132879 -5 *29863:A *25902:A1 4.72733e-05 -*RES -1 *29862:X *25902:A1 24.8857 -*END - -*D_NET *6166 0.00296202 -*CONN -*I *28351:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29863:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28351:D 0.00123913 -2 *29863:X 0.00123913 -3 *28351:CLK *28351:D 2.60969e-05 -4 *28351:RESET_B *28351:D 0.000172629 -5 *29862:A *28351:D 7.6644e-05 -6 *29863:A *28351:D 8.5166e-05 -7 *4049:7 *28351:D 1.40415e-05 -8 *4049:38 *28351:D 4.0342e-06 -9 *5824:147 *28351:D 2.84942e-05 -10 *5832:8 *28351:D 7.6644e-05 -*RES -1 *29863:X *28351:D 42.4571 -*END - -*D_NET *6167 0.00164167 -*CONN -*I *26930:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29864:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26930:A1 0.000470781 -2 *29864:X 0.000470781 -3 *27365:B2 *26930:A1 7.5779e-05 -4 *3820:87 *26930:A1 7.5779e-05 -5 *3834:57 *26930:A1 0.00054855 -*RES -1 *29864:X *26930:A1 34.1 -*END - -*D_NET *6168 0.00277871 -*CONN -*I *28515:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29865:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28515:D 0.000733391 -2 *29865:X 0.000733391 -3 *25288:A1 *28515:D 0.00027745 -4 *26930:S *28515:D 0.00026901 -5 *28515:SET_B *28515:D 6.11872e-05 -6 *29865:A *28515:D 0.000298483 -7 *3977:24 *28515:D 0.000125731 -8 *5596:15 *28515:D 0.00012401 -9 *5644:186 *28515:D 0.000156062 -*RES -1 *29865:X *28515:D 40.5821 -*END - -*D_NET *6169 0.0871467 -*CONN -*I *25573:B I *D sky130_fd_sc_hd__or2_1 -*I *6436:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6434:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6431:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6430:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6432:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6435:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6442:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6441:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6440:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6439:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6438:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *6437:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *30747:X O *D sky130_fd_sc_hd__clkbuf_4 -*CAP -1 *25573:B 0 -2 *6436:DIODE 2.81922e-05 -3 *6434:DIODE 0 -4 *6431:DIODE 0 -5 *6430:DIODE 0 -6 *6432:DIODE 0 -7 *6435:DIODE 0 -8 *6442:DIODE 0 -9 *6441:DIODE 0 -10 *6440:DIODE 0 -11 *6439:DIODE 0 -12 *6438:DIODE 0 -13 *6437:DIODE 0 -14 *30747:X 0.000215835 -15 *6169:189 8.74732e-05 -16 *6169:181 0.000229693 -17 *6169:177 0.000240575 -18 *6169:171 0.000124914 -19 *6169:165 8.82719e-05 -20 *6169:159 0.00122273 -21 *6169:150 0.00423296 -22 *6169:148 0.0051963 -23 *6169:144 0.00422588 -24 *6169:126 0.00380825 -25 *6169:113 0.00264536 -26 *6169:107 0.00177012 -27 *6169:92 0.00307646 -28 *6169:90 0.00254772 -29 *6169:82 0.00195692 -30 *6169:62 0.00304014 -31 *6169:44 0.00432423 -32 *6169:43 0.00304602 -33 *6169:35 0.000285081 -34 *6169:29 0.000298027 -35 *6169:23 0.000311042 -36 *6169:17 0.000328031 -37 *6169:11 0.000309347 -38 *6169:5 0.000359283 -39 *24872:A *6169:107 9.95087e-05 -40 *25036:A0 *6169:113 0.000381596 -41 *25168:A1 *6169:113 0 -42 *25418:B *6169:144 0 -43 *25421:A *6169:144 0 -44 *25421:B *6169:148 0.000236227 -45 *25438:B1 *6169:144 8.44271e-06 -46 *25466:A2 *6169:144 4.93833e-05 -47 *25466:B1 *6169:144 3.29605e-05 -48 *25794:A0 *6169:92 0.000149372 -49 *25809:A0 *6169:107 0.000223592 -50 *25809:A1 *6169:107 0.000292701 -51 *25816:S *6169:126 2.70189e-05 -52 *26190:A *6169:44 1.08847e-05 -53 *26207:B *6169:92 0.000396575 -54 *26210:B *6169:92 3.28812e-05 -55 *26240:A *6169:62 0.000143862 -56 *26265:B2 *6169:92 0.000397508 -57 *26284:B *6169:44 7.69776e-06 -58 *26336:A *6169:44 1.91823e-05 -59 *26530:C *6169:62 9.41642e-05 -60 *26649:A2 *6169:82 6.90381e-06 -61 *26650:A3 *6169:82 7.9204e-05 -62 *26653:D *6169:82 9.76123e-05 -63 *26680:A2 *6169:44 1.7922e-05 -64 *26696:A1 *6169:44 0.00012501 -65 *26779:C *6169:90 0.000311293 -66 *27975:A0 *6169:113 1.08359e-05 -67 *27975:A1 *6169:113 0.000155857 -68 *27975:S *6169:113 0.000688857 -69 *28050:A *6169:148 0 -70 *28063:B *6169:107 4.25652e-05 -71 *28079:RESET_B *6169:126 2.11419e-05 -72 *28095:RESET_B *6169:148 0.000262192 -73 *28096:CLK *6169:144 0.00102855 -74 *28097:D *6169:144 0 -75 *28097:RESET_B *6169:144 0.000811053 -76 *28097:RESET_B *6169:148 0.000555127 -77 *28407:RESET_B *6169:126 0 -78 *28410:CLK_N *6169:113 0.0001117 -79 *28411:CLK_N *6169:113 0 -80 *28411:D *6169:107 0.000123898 -81 *28411:RESET_B *6169:107 4.83461e-05 -82 *28412:CLK_N *6169:113 0 -83 *28413:D *6169:126 0.00039425 -84 *28855:A *6169:92 0.000192563 -85 *29299:A *6169:150 0 -86 *29304:A *6169:159 4.13595e-05 -87 *29476:A *6169:126 1.76616e-05 -88 *29550:A *6169:144 9.16325e-06 -89 *29650:A *6169:144 0.000185307 -90 *30979:A *6169:107 0.00021332 -91 *30992:A *6169:82 0.00115204 -92 *30994:A *6169:62 0 -93 *160:14 *6169:159 0.000569709 -94 *244:20 *6436:DIODE 0.000185643 -95 *244:20 *6169:159 5.71472e-05 -96 *244:20 *6169:165 0.00018372 -97 *244:20 *6169:171 0.000178847 -98 *244:20 *6169:177 0.000183726 -99 *244:20 *6169:181 0.000221677 -100 *244:20 *6169:189 0.00018372 -101 *411:19 *6169:144 0.000154032 -102 *743:11 *6169:126 0.00175473 -103 *748:16 *6169:150 0.000123137 -104 *760:20 *6169:159 1.61405e-05 -105 *1191:11 *6169:126 0 -106 *1251:21 *6169:126 0.000154066 -107 *1393:90 *6169:62 0.00127966 -108 *1393:132 *6169:92 0 -109 *1393:165 *6169:126 0.00011994 -110 *1603:76 *6169:126 0.000323873 -111 *1603:102 *6169:113 1.94945e-05 -112 *1730:114 *6169:144 9.67805e-05 -113 *1745:38 *6169:144 0.000178929 -114 *1755:10 *6169:107 0.000189265 -115 *1764:11 *6169:148 5.86416e-05 -116 *1873:51 *6169:107 3.32442e-05 -117 *1873:61 *6169:107 0.000215873 -118 *1874:36 *6169:82 0.000158892 -119 *1942:106 *6169:44 0 -120 *1944:43 *6169:44 2.30116e-06 -121 *1946:105 *6169:62 9.58126e-05 -122 *1965:31 *6169:44 0.000185093 -123 *1965:53 *6169:44 0.000216793 -124 *2042:20 *6169:44 0.000128303 -125 *2043:58 *6169:62 9.05993e-05 -126 *2046:88 *6169:62 0.000130991 -127 *2046:115 *6169:82 0.000508543 -128 *2046:121 *6169:92 0.000224676 -129 *2047:81 *6169:44 1.15211e-05 -130 *2136:36 *6169:44 2.39441e-05 -131 *2136:43 *6169:44 0 -132 *2147:55 *6169:44 0 -133 *2147:81 *6169:82 1.67953e-05 -134 *2151:31 *6169:62 1.15916e-05 -135 *2151:53 *6169:82 0.000322258 -136 *2152:13 *6169:92 0 -137 *2152:88 *6169:82 0.000109214 -138 *2155:106 *6169:82 2.95586e-05 -139 *2159:92 *6169:44 0.000484585 -140 *2159:92 *6169:82 0.000385123 -141 *2159:117 *6169:44 0.00168418 -142 *2162:17 *6169:44 2.99773e-05 -143 *2162:32 *6169:62 0.00120786 -144 *2177:25 *6169:62 1.37853e-05 -145 *2177:25 *6169:82 4.79581e-05 -146 *2177:48 *6169:82 0 -147 *2182:8 *6169:62 9.11048e-06 -148 *2190:80 *6169:82 4.8817e-05 -149 *2190:104 *6169:82 0.00125469 -150 *2190:122 *6169:44 0.000480568 -151 *2190:122 *6169:62 0.000183485 -152 *2190:133 *6169:44 0.000477757 -153 *2204:68 *6169:90 6.33984e-05 -154 *2204:85 *6169:92 0 -155 *2208:8 *6169:82 0.000221011 -156 *2226:31 *6169:44 0 -157 *2230:12 *6169:44 6.90197e-05 -158 *2230:19 *6169:44 0.000103161 -159 *2235:10 *6169:44 0.00175782 -160 *2244:48 *6169:23 2.51343e-06 -161 *2244:48 *6169:29 4.05548e-05 -162 *2244:48 *6169:35 3.83168e-05 -163 *2244:48 *6169:43 4.21437e-05 -164 *2582:28 *6169:82 0.000213105 -165 *2587:23 *6169:82 1.462e-05 -166 *2587:31 *6169:82 2.05695e-05 -167 *2635:11 *6169:44 1.27625e-05 -168 *2635:11 *6169:62 0.0011883 -169 *2667:11 *6169:62 0 -170 *2667:11 *6169:82 0.000669822 -171 *3510:90 *6169:82 0.000489119 -172 *3510:90 *6169:92 0.000207221 -173 *3510:137 *6169:92 0.000268172 -174 *3510:156 *6169:92 0 -175 *3558:59 *6169:126 0.000487899 -176 *3558:71 *6169:126 4.30956e-05 -177 *3558:150 *6169:126 0.000535519 -178 *3561:28 *6169:126 0 -179 *3577:18 *6169:92 0 -180 *3577:20 *6169:92 0 -181 *4163:17 *6169:144 0 -182 *4163:55 *6169:144 0.000372603 -183 *4163:76 *6169:144 0.000153387 -184 *4164:24 *6169:144 1.95453e-05 -185 *4164:115 *6169:144 0 -186 *4167:24 *6169:144 6.45035e-05 -187 *4170:20 *6169:126 0 -188 *4175:10 *6169:126 0.00159245 -189 *4195:196 *6169:144 3.80808e-05 -190 *4353:48 *6169:44 0 -191 *4353:54 *6169:44 0 -192 *4519:53 *6169:44 0.000193392 -193 *4909:14 *6169:150 0 -194 *5014:24 *6169:150 0.000145829 -195 *5014:24 *6169:159 0.000275616 -196 *5026:15 *6169:150 0 -197 *5027:17 *6169:150 2.26973e-05 -198 *5043:11 *6169:159 0.000403217 -199 *5237:29 *6169:126 2.96015e-05 -200 *5316:23 *6169:144 0 -201 *5317:19 *6169:126 3.51075e-05 -202 *5317:19 *6169:144 0.00160484 -203 *5341:12 *6169:126 1.71524e-05 -204 *5341:12 *6169:144 8.15637e-05 -205 *5351:19 *6169:150 3.02545e-05 -206 *5356:11 *6169:92 1.67953e-05 -207 *5356:11 *6169:107 0.000254447 -208 *5412:27 *6169:144 6.24123e-05 -209 *5476:8 *6169:159 0 -210 *5482:18 *6436:DIODE 0.000172309 -211 *5482:18 *6169:181 0.000268396 -212 *5482:18 *6169:189 0.000141316 -213 *5525:10 *6169:150 0.00189205 -214 *5542:41 *6169:113 0 -215 *5560:33 *6169:92 0.00111102 -216 *5564:40 *6169:82 0.000746743 -217 *5564:40 *6169:90 2.7654e-05 -218 *5564:40 *6169:92 0.000259734 -219 *5565:35 *6169:92 0 -220 *5566:52 *6169:90 0 -221 *5566:52 *6169:92 0 -222 *5568:5 *6169:107 9.35114e-06 -223 *5664:182 *6169:90 2.00659e-05 -224 *5664:182 *6169:92 0.000834194 -225 *5722:224 *6169:92 0 -226 *5722:244 *6169:92 5.09156e-05 -227 *5728:88 *6169:144 0 -228 *5733:13 *6169:159 0.00014183 -229 *5733:13 *6169:165 0.000170385 -230 *5733:13 *6169:171 0.000125547 -231 *5892:163 *6169:148 6.65282e-05 -232 *5899:20 *6169:126 0.000151841 -233 *5979:21 *6169:159 0.000692222 -*RES -1 *30747:X *6169:5 11.4786 -2 *6169:5 *6437:DIODE 9.3 -3 *6169:5 *6169:11 1.76786 -4 *6169:11 *6438:DIODE 9.3 -5 *6169:11 *6169:17 1.76786 -6 *6169:17 *6439:DIODE 9.3 -7 *6169:17 *6169:23 1.76786 -8 *6169:23 *6440:DIODE 9.3 -9 *6169:23 *6169:29 1.76786 -10 *6169:29 *6441:DIODE 9.3 -11 *6169:29 *6169:35 1.76786 -12 *6169:35 *6442:DIODE 9.3 -13 *6169:35 *6169:43 6.26786 -14 *6169:43 *6169:44 69.4911 -15 *6169:44 *6169:62 47.1161 -16 *6169:62 *6169:82 48.169 -17 *6169:82 *6169:90 6.9375 -18 *6169:90 *6169:92 51.125 -19 *6169:92 *6169:107 37.2321 -20 *6169:107 *6169:113 27.1071 -21 *6169:113 *6169:126 49.4982 -22 *6169:126 *6169:144 49.5 -23 *6169:144 *6169:148 41.4107 -24 *6169:148 *6169:150 63 -25 *6169:150 *6169:159 22.3198 -26 *6169:159 *6435:DIODE 9.3 -27 *6169:159 *6169:165 1.76786 -28 *6169:165 *6432:DIODE 9.3 -29 *6169:165 *6169:171 1.76786 -30 *6169:171 *6430:DIODE 9.3 -31 *6169:171 *6169:177 1.76786 -32 *6169:177 *6169:181 4.66071 -33 *6169:181 *6431:DIODE 9.3 -34 *6169:181 *6169:189 2.19643 -35 *6169:189 *6434:DIODE 9.3 -36 *6169:189 *6436:DIODE 11.0679 -37 *6169:177 *25573:B 9.3 -*END - -*D_NET *6170 0.00130304 -*CONN -*I *26876:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29866:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26876:A0 0.000433583 -2 *29866:X 0.000433583 -3 *26876:A1 *26876:A0 5.33005e-05 -4 *26876:S *26876:A0 0.000178955 -5 *29866:A *26876:A0 0.000178847 -6 *5643:58 *26876:A0 2.47753e-05 -*RES -1 *29866:X *26876:A0 25.2964 -*END - -*D_NET *6171 0.0015531 -*CONN -*I *28467:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29867:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28467:D 0.000289166 -2 *29867:X 0.000289166 -3 *1293:217 *28467:D 0.000333531 -4 *1438:28 *28467:D 0.000118144 -5 *3558:193 *28467:D 0.000189564 -6 *3794:86 *28467:D 0.000333531 -*RES -1 *29867:X *28467:D 35.7964 -*END - -*D_NET *6172 0.00192665 -*CONN -*I *25725:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29868:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25725:A0 0.000545172 -2 *29868:X 0.000545172 -3 *25725:A1 *25725:A0 7.83659e-05 -4 *28196:RESET_B *25725:A0 7.83659e-05 -5 *3770:21 *25725:A0 0.000679579 -*RES -1 *29868:X *25725:A0 35.3321 -*END - -*D_NET *6173 0.00374577 -*CONN -*I *28196:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29870:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28196:D 0.00110598 -2 *29870:X 0.00110598 -3 *26916:A0 *28196:D 3.98401e-05 -4 *28196:CLK *28196:D 0.000238039 -5 *28196:RESET_B *28196:D 6.42095e-05 -6 *28732:CLK *28196:D 0.000194811 -7 *2791:20 *28196:D 0.00022459 -8 *3837:20 *28196:D 4.11764e-05 -9 *3967:14 *28196:D 0.000282631 -10 *4205:10 *28196:D 0.000221634 -11 *5640:285 *28196:D 0.00019384 -12 *5682:63 *28196:D 3.30352e-05 -*RES -1 *29870:X *28196:D 46.0687 -*END - -*D_NET *6174 0.00141789 -*CONN -*I *26921:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29871:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26921:A1 0.000279561 -2 *29871:X 0.000279561 -3 *25291:A1 *26921:A1 0.000627926 -4 *26921:S *26921:A1 9.58126e-05 -5 *29871:A *26921:A1 0.000135028 -*RES -1 *29871:X *26921:A1 24.8857 -*END - -*D_NET *6175 0.00149738 -*CONN -*I *28507:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29872:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28507:D 0.000535589 -2 *29872:X 0.000535589 -3 *26921:S *28507:D 2.79421e-05 -4 *28483:SET_B *28507:D 8.25843e-06 -5 *28594:SET_B *28507:D 4.58194e-05 -6 *29872:A *28507:D 0.000146948 -7 *1285:26 *28507:D 3.04394e-05 -8 *3574:86 *28507:D 0.000120975 -9 *5667:25 *28507:D 4.58194e-05 -*RES -1 *29872:X *28507:D 34.8321 -*END - -*D_NET *6176 0.00212897 -*CONN -*I *26894:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29873:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26894:A1 0.000525333 -2 *29873:X 0.000525333 -3 *3783:110 *26894:A1 0.000265227 -4 *5653:146 *26894:A1 0.000547852 -5 *5656:96 *26894:A1 0.000265227 -*RES -1 *29873:X *26894:A1 36.5286 -*END - -*D_NET *6177 0.000988437 -*CONN -*I *28483:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29874:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28483:D 0.000194842 -2 *29874:X 0.000194842 -3 *1396:127 *28483:D 0.000171959 -4 *1396:129 *28483:D 5.33005e-05 -5 *4044:14 *28483:D 0.000123295 -6 *4115:30 *28483:D 0.000125731 -7 *5630:52 *28483:D 0.000124467 -*RES -1 *29874:X *28483:D 33.0643 -*END - -*D_NET *6178 0.0128737 -*CONN -*I *26844:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29875:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26844:A1 0 -2 *29875:X 0.00179551 -3 *6178:35 0.00106439 -4 *6178:17 0.0028599 -5 *6178:17 *6314:75 8.95042e-05 -6 *6178:17 *6381:101 0.000224168 -7 *6178:35 *6314:51 0.000236746 -8 *6178:35 *6314:75 0.000152929 -9 *28437:D *6178:35 0.000860415 -10 *28768:CLK *6178:17 2.44318e-05 -11 *28768:D *6178:17 0.000443007 -12 *28768:RESET_B *6178:17 0.000212018 -13 *28769:CLK *6178:35 0.00030977 -14 *28785:RESET_B *6178:35 8.6229e-06 -15 *29706:A *6178:35 0.00014183 -16 *29838:A *6178:35 9.71197e-05 -17 *30689:A *6178:35 9.21418e-06 -18 *12:20 *6178:35 0.000159522 -19 *18:20 *6178:17 9.89983e-05 -20 *244:50 *6178:17 6.33579e-05 -21 *244:57 *6178:17 0.00110418 -22 *775:23 *6178:35 0.000272082 -23 *1368:17 *6178:17 0.000100652 -24 *4740:33 *6178:35 8.50544e-05 -25 *5426:19 *6178:17 0.000175892 -26 *5512:50 *6178:35 0.000164891 -27 *5513:32 *6178:35 8.6229e-06 -28 *5513:53 *6178:35 0.00110252 -29 *5515:32 *6178:35 4.03077e-05 -30 *5521:41 *6178:35 5.33005e-05 -31 *5521:67 *6178:35 0.0001399 -32 *5534:5 *6178:17 9.02916e-05 -33 *5534:5 *6178:35 0.000229456 -34 *5534:14 *6178:17 0.000311183 -35 *6141:21 *6178:35 0.000143928 -*RES -1 *29875:X *6178:17 48.3893 -2 *6178:17 *6178:35 48.7857 -3 *6178:35 *26844:A1 9.3 -*END - -*D_NET *6179 0.0117419 -*CONN -*I *28442:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29876:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28442:D 0.000158311 -2 *29876:X 0.000357528 -3 *6179:19 0.00301076 -4 *6179:10 0.00320997 -5 *6179:10 *6380:46 0.000393527 -6 *25046:B2 *6179:19 0.00113261 -7 *27223:C *6179:10 7.29712e-05 -8 *28442:RESET_B *28442:D 0.000135028 -9 *28769:CLK *6179:19 0.000106141 -10 *28769:D *6179:19 8.90199e-05 -11 *28769:RESET_B *6179:19 0.000161185 -12 *14:24 *6179:19 0.000347187 -13 *40:30 *6179:19 0.000299637 -14 *244:50 *6179:19 2.43626e-05 -15 *244:57 *6179:10 1.74352e-05 -16 *3847:14 *28442:D 0.000192702 -17 *4352:19 *6179:19 0 -18 *5502:41 *28442:D 6.57032e-05 -19 *5502:41 *6179:19 4.82884e-05 -20 *5521:67 *6179:19 0.000136284 -21 *5523:93 *6179:19 0.000536047 -22 *5747:21 *6179:10 6.09762e-05 -23 *5753:28 *6179:10 2.30116e-06 -24 *5753:28 *6179:19 0.000500438 -25 *6009:23 *28442:D 0.000190858 -26 *6009:23 *6179:19 0.000492626 -*RES -1 *29876:X *6179:10 23.5679 -2 *6179:10 *6179:19 48.5615 -3 *6179:19 *28442:D 17.8625 -*END - -*D_NET *6180 0.0237373 -*CONN -*I *25543:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *30748:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 -*CAP -1 *25543:A1 0.000149071 -2 *30748:X 0.000402722 -3 *6180:30 0.00170345 -4 *6180:11 0.00614968 -5 *6180:10 0.00499802 -6 *25543:A1 *6364:32 8.50152e-05 -7 *25543:A1 *6377:21 8.45461e-05 -8 *6180:30 *29526:A 0.000100625 -9 *6180:30 *29527:A 0 -10 *6180:30 *6360:11 0.000142856 -11 *6180:30 *6362:9 0.000219648 -12 *6180:30 *6377:21 0.00012264 -13 *25543:A0 *25543:A1 9.90431e-05 -14 *25595:A1 *6180:30 0.000738573 -15 *25976:A *6180:11 0.000177815 -16 *26055:A *6180:11 2.89114e-05 -17 *26055:B *6180:11 0.000146533 -18 *26098:A *6180:11 4.88637e-05 -19 *26122:A1 *6180:11 0.000207983 -20 *26122:C1 *6180:11 2.79421e-05 -21 *26306:A1 *6180:10 2.59355e-05 -22 *26452:A1 *6180:11 1.92789e-05 -23 *26452:A3 *6180:11 0.000259983 -24 *26458:A1 *6180:11 0.000306311 -25 *26459:B *6180:11 5.52238e-05 -26 *26491:C1 *6180:11 2.89114e-05 -27 *26574:A_N *6180:11 4.58194e-05 -28 *26574:C *6180:11 3.82357e-05 -29 *26680:B1 *6180:11 0.000144363 -30 *27995:A1 *6180:30 3.34366e-05 -31 *28000:B1 *25543:A1 0.000103267 -32 *28001:A0 *25543:A1 4.78647e-05 -33 *28001:A0 *6180:30 0.000563711 -34 *28001:S *6180:30 5.41054e-05 -35 *28822:RESET_B *6180:30 8.5662e-05 -36 *28824:CLK *6180:30 0.000127476 -37 *28827:D *6180:30 0.000150632 -38 *1902:13 *6180:11 0.000225616 -39 *1942:25 *6180:11 9.0145e-05 -40 *1942:106 *6180:10 0.000148189 -41 *1992:11 *6180:11 0.000137983 -42 *1997:11 *6180:11 0.000140933 -43 *2032:127 *6180:10 1.08359e-05 -44 *2032:127 *6180:11 0.000216755 -45 *2040:17 *6180:11 0.00097815 -46 *2040:24 *6180:11 7.48301e-06 -47 *2144:15 *6180:10 0.000232208 -48 *2154:143 *6180:11 0.000118282 -49 *2228:11 *6180:11 0.00122485 -50 *2228:13 *6180:11 5.52302e-05 -51 *2228:34 *6180:10 0.00012401 -52 *2240:98 *6180:11 0.000215354 -53 *2497:14 *6180:11 0.00132542 -54 *2514:10 *6180:11 7.49387e-06 -55 *3583:163 *6180:30 0.000310298 -56 *4552:79 *6180:11 0.000180951 -57 *5233:17 *6180:30 6.05161e-06 -58 *5763:163 *25543:A1 0.000221634 -59 *5878:12 *6180:30 0 -60 *6036:71 *25543:A1 3.5298e-05 -*RES -1 *30748:X *6180:10 26.9429 -2 *6180:10 *6180:11 87.6071 -3 *6180:11 *6180:30 48.4732 -4 *6180:30 *25543:A1 18.6839 -*END - -*D_NET *6181 0.00241619 -*CONN -*I *27056:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29877:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27056:A1 0.000383644 -2 *29877:X 0.000383644 -3 *29877:A *27056:A1 1.98839e-05 -4 *29878:A *27056:A1 0.000284754 -5 *1717:25 *27056:A1 0.000420985 -6 *2778:35 *27056:A1 0.000821531 -7 *3820:18 *27056:A1 1.16078e-05 -8 *5599:39 *27056:A1 9.0145e-05 -*RES -1 *29877:X *27056:A1 30.6536 -*END - -*D_NET *6182 0.001953 -*CONN -*I *28627:D I *D sky130_fd_sc_hd__dfstp_4 -*I *29878:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28627:D 0.000322198 -2 *29878:X 0.000322198 -3 *28627:D *6317:70 0.000565406 -4 *28627:D *6319:25 0.000123295 -5 *29235:A *28627:D 0.000353199 -6 *1624:35 *28627:D 0.000174326 -7 *3820:8 *28627:D 9.23798e-05 -*RES -1 *29878:X *28627:D 37.9929 -*END - -*D_NET *6183 0.00803318 -*CONN -*I *27092:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29879:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27092:A0 0.0012849 -2 *29879:X 0.00114211 -3 *6183:14 0.002427 -4 *28438:D *6183:14 3.14078e-05 -5 *28799:CLK *27092:A0 9.71197e-05 -6 *28799:RESET_B *27092:A0 9.09013e-05 -7 *29739:A *6183:14 0.000641061 -8 *29879:A *6183:14 0.000116773 -9 *1294:243 *6183:14 2.88018e-06 -10 *2751:20 *6183:14 3.28967e-05 -11 *5485:121 *6183:14 0.000685913 -12 *5678:267 *27092:A0 0.00073856 -13 *5707:222 *27092:A0 4.13496e-05 -14 *5718:77 *27092:A0 0.000173031 -15 *5747:37 *27092:A0 1.68834e-05 -16 *5757:31 *6183:14 7.55769e-05 -17 *6042:20 *6183:14 0.000434819 -*RES -1 *29879:X *6183:14 37.2643 -2 *6183:14 *27092:A0 25.8536 -*END - -*D_NET *6184 0.00288769 -*CONN -*I *28659:D I *D sky130_fd_sc_hd__dfstp_2 -*I *29881:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28659:D 0.00058972 -2 *29881:X 0.00058972 -3 *28659:SET_B *28659:D 9.46929e-05 -4 *1264:83 *28659:D 9.25014e-06 -5 *1290:48 *28659:D 0.000740389 -6 *1290:53 *28659:D 0.000118364 -7 *2782:27 *28659:D 0.000143557 -8 *3638:15 *28659:D 0.000189863 -9 *5707:177 *28659:D 0.000412134 -*RES -1 *29881:X *28659:D 44.0107 -*END - -*D_NET *6185 0.00156896 -*CONN -*I *25803:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29882:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25803:A1 0.000234657 -2 *29882:X 0.000234657 -3 *25803:S *25803:A1 9.90367e-05 -4 *4118:26 *25803:A1 0.00010269 -5 *5545:30 *25803:A1 0.000167458 -6 *5693:20 *25803:A1 0.000333558 -7 *5719:86 *25803:A1 0.000396898 -*RES -1 *29882:X *25803:A1 35.7964 -*END - -*D_NET *6186 0.000769101 -*CONN -*I *28262:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29883:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28262:D 0.000166162 -2 *29883:X 0.000166162 -3 *29883:A *28262:D 0.000185643 -4 *3165:343 *28262:D 0.000125567 -5 *5824:63 *28262:D 0.000125567 -*RES -1 *29883:X *28262:D 31.0107 -*END - -*D_NET *6187 0.00138734 -*CONN -*I *25839:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29884:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25839:A0 0.00040387 -2 *29884:X 0.00040387 -3 *25839:A0 *28293:D 4.58194e-05 -4 *25880:S *25839:A0 0.00015304 -5 *29884:A *25839:A0 9.01312e-06 -6 *4143:8 *25839:A0 0.00015304 -7 *5209:39 *25839:A0 0.000218685 -*RES -1 *29884:X *25839:A0 33.7786 -*END - -*D_NET *6188 0.0021767 -*CONN -*I *28293:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29885:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28293:D 0.00044413 -2 *29885:X 0.00044413 -3 *25839:A0 *28293:D 4.58194e-05 -4 *27924:A2 *28293:D 0.000515557 -5 *29884:A *28293:D 0.000115165 -6 *1476:10 *28293:D 9.41642e-05 -7 *3830:36 *28293:D 0.000517735 -*RES -1 *29885:X *28293:D 38.7607 -*END - -*D_NET *6189 0.000815076 -*CONN -*I *25827:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29886:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25827:A0 0.000192923 -2 *29886:X 0.000192923 -3 *29886:A *25827:A0 0.000305291 -4 *1214:11 *25827:A0 1.54142e-05 -5 *1875:15 *25827:A0 5.33005e-05 -6 *5267:17 *25827:A0 5.52238e-05 -*RES -1 *29886:X *25827:A0 22.85 -*END - -*D_NET *6190 0.00247551 -*CONN -*I *28283:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29887:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28283:D 0.000727297 -2 *29887:X 0.000727297 -3 *29887:A *28283:D 0.000206262 -4 *1195:6 *28283:D 0.000100823 -5 *1259:8 *28283:D 0.000100823 -6 *5824:63 *28283:D 0.000613007 -*RES -1 *29887:X *28283:D 38.5107 -*END - -*D_NET *6191 0.0100605 -*CONN -*I *25015:A1 I *D sky130_fd_sc_hd__a221o_2 -*I *30749:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25015:A1 0 -2 *30749:X 0.000769786 -3 *6191:17 0.0014854 -4 *6191:10 0.00225519 -5 *6191:10 *6380:99 0.000301094 -6 *6191:10 *6380:112 0.000204318 -7 *24972:B1 *6191:17 0.00112806 -8 *24997:B *6191:17 0.000138881 -9 *25015:A2 *6191:17 8.7636e-06 -10 *25015:B1 *6191:17 6.10501e-05 -11 *25015:B2 *6191:17 0.000124837 -12 *25018:A1 *6191:10 0 -13 *25018:A1 *6191:17 0 -14 *27217:B *6191:10 6.87834e-06 -15 *27483:B1 *6191:10 4.28249e-05 -16 *23:22 *6191:10 5.01951e-05 -17 *1103:24 *6191:10 6.53937e-05 -18 *1278:58 *6191:17 0.000947578 -19 *1310:11 *6191:17 0.000517566 -20 *2803:20 *6191:10 0.000124019 -21 *3041:8 *6191:17 3.25078e-05 -22 *3942:6 *6191:17 8.15939e-05 -23 *3942:17 *6191:17 0.000381982 -24 *3943:17 *6191:17 6.94744e-05 -25 *5129:18 *6191:10 0.000157606 -26 *5129:18 *6191:17 0.000453768 -27 *5667:244 *6191:17 0 -28 *5707:251 *6191:17 0.000600365 -29 *5720:42 *6191:10 5.13325e-05 -*RES -1 *30749:X *6191:10 26.0411 -2 *6191:10 *6191:17 44.4375 -3 *6191:17 *25015:A1 9.3 -*END - -*D_NET *6192 0.0374304 -*CONN -*I *25545:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *30750:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *25545:A1 0 -2 *30750:X 0.0002596 -3 *6192:22 0.000748915 -4 *6192:13 0.00623042 -5 *6192:11 0.00550389 -6 *6192:9 0.00328352 -7 *6192:7 0.00352074 -8 *25731:A0 *6192:22 8.07879e-05 -9 *25733:A1 *6192:13 0.00167108 -10 *25982:A *6192:9 4.13496e-05 -11 *25982:B *6192:9 2.95726e-05 -12 *26043:A_N *6192:9 0.000446603 -13 *26090:A *6192:13 5.41609e-05 -14 *26097:B *6192:9 0.000132251 -15 *26335:A3 *6192:9 0.000393949 -16 *26473:B1 *6192:9 0.000823237 -17 *26473:B1 *6192:13 0.000207834 -18 *26489:C *6192:13 2.17375e-05 -19 *26559:A *6192:9 3.55279e-05 -20 *26748:B *6192:9 0.000186662 -21 *26748:C *6192:9 4.69993e-05 -22 *26956:S *6192:22 5.33005e-05 -23 *28981:A *6192:13 0.000638234 -24 *29348:A *6192:22 0.00048939 -25 *30370:A *6192:13 5.52302e-05 -26 *30592:A *6192:9 9.02017e-05 -27 *30593:A *6192:9 0.000434806 -28 *30623:A *6192:9 6.42095e-05 -29 *1880:17 *6192:13 2.05464e-05 -30 *1985:29 *6192:9 4.13496e-05 -31 *2032:5 *6192:13 0.000318107 -32 *2032:10 *6192:13 0.00116003 -33 *2039:5 *6192:9 0.000125547 -34 *2039:29 *6192:9 0.00113836 -35 *2039:40 *6192:9 0.000481568 -36 *2217:13 *6192:9 0.00127502 -37 *3602:35 *6192:22 8.07879e-05 -38 *4253:11 *6192:9 0.000178847 -39 *4319:8 *6192:9 0.000385089 -40 *4508:285 *6192:9 0.000249084 -41 *5197:11 *6192:13 0.000440705 -42 *5397:23 *6192:22 0.000225609 -43 *5689:30 *6192:13 0.000568992 -44 *5879:195 *6192:22 0.00204537 -45 *6036:107 *6192:13 1.69961e-05 -46 *6036:107 *6192:22 0.00313418 -*RES -1 *30750:X *6192:7 13.7554 -2 *6192:7 *6192:9 64.8125 -3 *6192:9 *6192:11 0.428571 -4 *6192:11 *6192:13 72.8214 -5 *6192:13 *6192:22 42.2143 -6 *6192:22 *25545:A1 9.3 -*END - -*D_NET *6193 0.00122761 -*CONN -*I *25779:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29888:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25779:A1 0.00034191 -2 *29888:X 0.00034191 -3 *28400:RESET_B *25779:A1 2.32625e-05 -4 *29888:A *25779:A1 0.000146523 -5 *1871:10 *25779:A1 0.00027438 -6 *5779:14 *25779:A1 9.96238e-05 -*RES -1 *29888:X *25779:A1 34.475 -*END - -*D_NET *6194 0.000856219 -*CONN -*I *28241:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29889:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28241:D 0.000221298 -2 *29889:X 0.000221298 -3 *28882:A *28241:D 7.02611e-05 -4 *29889:A *28241:D 0.000170523 -5 *1816:16 *28241:D 0.000172839 -*RES -1 *29889:X *28241:D 33.0286 -*END - -*D_NET *6195 0.00170645 -*CONN -*I *25639:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29890:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25639:A0 0.000506253 -2 *29890:X 0.000506253 -3 *25639:A1 *25639:A0 0.000607115 -4 *1427:161 *25639:A0 8.25843e-06 -5 *3626:70 *25639:A0 3.92854e-05 -6 *5686:118 *25639:A0 3.92854e-05 -*RES -1 *29890:X *25639:A0 34.9214 -*END - -*D_NET *6196 0.00276325 -*CONN -*I *28134:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29892:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28134:D 0.00063835 -2 *29892:X 0.00063835 -3 *28134:D *28282:D 0.000261234 -4 *25453:A1 *28134:D 2.60984e-05 -5 *29892:A *28134:D 0.000139907 -6 *1287:14 *28134:D 0.000666382 -7 *1875:36 *28134:D 0.0001428 -8 *3626:87 *28134:D 9.20338e-05 -9 *5719:105 *28134:D 0.000125724 -10 *5719:110 *28134:D 3.23658e-05 -*RES -1 *29892:X *28134:D 41.8321 -*END - -*D_NET *6197 0.00142295 -*CONN -*I *27161:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29893:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27161:A0 0.000286904 -2 *29893:X 0.000286904 -3 *27161:A0 *28720:D 0.000135028 -4 *3209:37 *27161:A0 0.000172156 -5 *3560:18 *27161:A0 0.000118364 -6 *5667:312 *27161:A0 0.000301996 -7 *5873:153 *27161:A0 0.000121596 -*RES -1 *29893:X *27161:A0 34.0107 -*END - -*D_NET *6198 0.00313704 -*CONN -*I *28720:D I *D sky130_fd_sc_hd__dfstp_2 -*I *29894:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28720:D 0.000749829 -2 *29894:X 0.000749829 -3 *27136:S *28720:D 0.000149298 -4 *27161:A0 *28720:D 0.000135028 -5 *3642:22 *28720:D 0.000564332 -6 *3989:66 *28720:D 0.000177545 -7 *5211:8 *28720:D 0.000147583 -8 *5657:29 *28720:D 0.000463591 -*RES -1 *29894:X *28720:D 42.8143 -*END - -*D_NET *6199 0.00193112 -*CONN -*I *27179:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29895:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27179:A1 0.000442247 -2 *29895:X 0.000442247 -3 *27179:A0 *27179:A1 5.52238e-05 -4 *1290:42 *27179:A1 2.22043e-05 -5 *1328:114 *27179:A1 4.8817e-05 -6 *2834:31 *27179:A1 1.98839e-05 -7 *2850:37 *27179:A1 5.16106e-05 -8 *2886:26 *27179:A1 8.83488e-05 -9 *3196:42 *27179:A1 4.58194e-05 -10 *3794:85 *27179:A1 0.000207175 -11 *3797:35 *27179:A1 0.000220962 -12 *5687:129 *27179:A1 0.000199622 -13 *5700:137 *27179:A1 8.69554e-05 -*RES -1 *29895:X *27179:A1 46.3084 -*END - -*D_NET *6200 0.00250967 -*CONN -*I *28736:D I *D sky130_fd_sc_hd__dfstp_1 -*I *29896:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28736:D 0.000348418 -2 *29896:X 0.000348418 -3 *29896:A *28736:D 5.33005e-05 -4 *2784:57 *28736:D 0.000152333 -5 *3569:5 *28736:D 0.000433305 -6 *3572:34 *28736:D 5.49489e-05 -7 *5448:26 *28736:D 0.000150611 -8 *5634:26 *28736:D 0.000968333 -*RES -1 *29896:X *28736:D 39.1179 -*END - -*D_NET *6201 0.00489005 -*CONN -*I *26954:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29897:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26954:A0 0 -2 *29897:X 0.00112707 -3 *6201:11 0.00112707 -4 *26954:A1 *6201:11 5.33005e-05 -5 *26954:S *6201:11 5.33005e-05 -6 *29897:A *6201:11 0.00018184 -7 *29978:A *6201:11 5.43182e-05 -8 *3582:44 *6201:11 0.000977479 -9 *3582:55 *6201:11 0.000243934 -10 *3837:20 *6201:11 0.000268403 -11 *4136:8 *6201:11 3.72301e-05 -12 *5682:251 *6201:11 8.37664e-05 -13 *5776:20 *6201:11 6.0015e-05 -14 *5829:207 *6201:11 0.000617025 -15 *5881:26 *6201:11 5.30637e-06 -*RES -1 *29897:X *6201:11 42.7821 -2 *6201:11 *26954:A0 9.3 -*END - -*D_NET *6202 0.00317317 -*CONN -*I *28537:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29898:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28537:D 0.000566224 -2 *29898:X 0.000566224 -3 *28537:D *28762:D 0.000696673 -4 *28537:RESET_B *28537:D 8.71192e-05 -5 *29897:A *28537:D 0.000262905 -6 *29898:A *28537:D 0.000368131 -7 *2766:32 *28537:D 2.28499e-05 -8 *4056:8 *28537:D 0.000165272 -9 *5682:251 *28537:D 0.000437768 -*RES -1 *29898:X *28537:D 36.3857 -*END - -*D_NET *6203 0.021824 -*CONN -*I *25542:A1 I *D sky130_fd_sc_hd__mux2_4 -*I *30751:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25542:A1 0 -2 *30751:X 6.80945e-05 -3 *6203:31 0.00204886 -4 *6203:23 0.00382621 -5 *6203:11 0.00437414 -6 *6203:8 0.00266488 -7 *6203:31 *6376:41 0.000184254 -8 *6203:31 *6376:52 0.000187124 -9 *6203:31 *6378:49 0.00026546 -10 *25506:A *6203:23 0.000136958 -11 *25506:B *6203:23 0.000186662 -12 *25542:A0 *6203:31 9.44351e-05 -13 *25734:S *6203:31 0.000265447 -14 *25971:A *6203:11 5.33005e-05 -15 *25971:C *6203:11 1.95435e-05 -16 *25973:A2 *6203:23 0.000219711 -17 *25973:B1 *6203:23 4.10403e-05 -18 *25979:A2 *6203:11 1.03742e-05 -19 *25979:A2 *6203:23 0.000216755 -20 *25980:B2 *6203:23 5.33005e-05 -21 *26016:A1 *6203:23 0.000481922 -22 *26016:A2 *6203:23 5.71472e-05 -23 *26016:A3 *6203:23 8.25843e-06 -24 *26016:B1 *6203:23 1.33251e-05 -25 *26315:A *6203:23 6.54263e-05 -26 *26327:A1 *6203:11 8.93791e-05 -27 *26327:C1 *6203:11 1.98839e-05 -28 *26330:A2 *6203:11 1.21258e-05 -29 *26332:B *6203:23 1.21289e-05 -30 *26333:A1 *6203:23 1.90683e-05 -31 *26333:A2 *6203:23 2.50004e-05 -32 *26333:A2 *6203:31 0.000273269 -33 *26333:C1 *6203:23 0.000130181 -34 *26334:A2 *6203:11 9.38476e-05 -35 *26465:B1 *6203:31 0.000263524 -36 *27990:A2 *6203:31 0.000104054 -37 *27997:B1 *6203:31 0.000186669 -38 *29597:A *6203:31 0.000432279 -39 *30824:A *6203:31 1.8791e-05 -40 *290:21 *6203:31 0.00014049 -41 *1788:5 *6203:23 3.82503e-05 -42 *1788:17 *6203:23 4.16984e-05 -43 *1906:19 *6203:23 0.000157399 -44 *1911:9 *6203:11 3.8274e-05 -45 *1911:11 *6203:11 1.00733e-05 -46 *1913:5 *6203:23 0.000549052 -47 *1913:24 *6203:23 0.000499218 -48 *1915:8 *6203:23 1.65169e-05 -49 *1957:12 *6203:23 4.30304e-05 -50 *2032:127 *6203:11 0.000346482 -51 *2152:173 *6203:31 0.000127031 -52 *2227:19 *6203:11 2.74419e-05 -53 *2227:30 *6203:11 0.000260574 -54 *2227:90 *6203:23 0.00118642 -55 *2258:41 *6203:23 0.000322501 -56 *2269:23 *6203:11 1.21258e-05 -57 *2273:7 *6203:23 0.000158902 -58 *2406:7 *6203:31 5.52238e-05 -59 *4212:8 *6203:31 1.49682e-05 -60 *4552:24 *6203:11 0.000555481 -*RES -1 *30751:X *6203:8 19.3357 -2 *6203:8 *6203:11 31.3571 -3 *6203:11 *6203:23 41.6964 -4 *6203:23 *6203:31 48.1071 -5 *6203:31 *25542:A1 9.3 -*END - -*D_NET *6204 0.00255951 -*CONN -*I *25863:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29899:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25863:A1 0.000411168 -2 *29899:X 0.000411168 -3 *24961:B *25863:A1 0 -4 *29012:A *25863:A1 0.000610058 -5 *1195:6 *25863:A1 0.000516401 -6 *1287:11 *25863:A1 0.000304394 -7 *1665:29 *25863:A1 0.000160355 -8 *1875:15 *25863:A1 0.000125369 -9 *3626:34 *25863:A1 2.05938e-05 -10 *5850:10 *25863:A1 0 -*RES -1 *29899:X *25863:A1 40.2786 -*END - -*D_NET *6205 0.000619566 -*CONN -*I *28309:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29900:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28309:D 0.000142016 -2 *29900:X 0.000142016 -3 *30147:A *28309:D 6.74815e-05 -4 *1665:29 *28309:D 0.000139907 -5 *1862:34 *28309:D 0.000128146 -*RES -1 *29900:X *28309:D 30.6 -*END - -*D_NET *6206 0.00477101 -*CONN -*I *25721:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29901:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25721:A0 0 -2 *29901:X 0.000934512 -3 *6206:11 0.000934512 -4 *25721:A1 *6206:11 5.52302e-05 -5 *25721:S *6206:11 5.33005e-05 -6 *28516:RESET_B *6206:11 1.54142e-05 -7 *29901:A *6206:11 2.59355e-05 -8 *30696:A *6206:11 6.53083e-05 -9 *1857:17 *6206:11 0.000774308 -10 *2761:184 *6206:11 5.33005e-05 -11 *2776:201 *6206:11 0.00108013 -12 *4214:20 *6206:11 0 -13 *5433:29 *6206:11 0.000192501 -14 *5586:138 *6206:11 0 -15 *5659:18 *6206:11 0.000257961 -16 *5766:186 *6206:11 0.000157231 -17 *5800:108 *6206:11 0.000171375 -*RES -1 *29901:X *6206:11 44.4429 -2 *6206:11 *25721:A0 9.3 -*END - -*D_NET *6207 0.00598048 -*CONN -*I *28194:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29903:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28194:D 0 -2 *29903:X 0.00124916 -3 *6207:19 0.00124916 -4 *25061:C1 *6207:19 0.00120275 -5 *26870:A0 *6207:19 0.00064179 -6 *26870:A1 *6207:19 2.84026e-05 -7 *26870:S *6207:19 5.24487e-05 -8 *27786:A1 *6207:19 6.79672e-06 -9 *28461:D *6207:19 0.000543665 -10 *28516:CLK *6207:19 6.86693e-05 -11 *28575:RESET_B *6207:19 0.000366822 -12 *849:17 *6207:19 1.54899e-05 -13 *2768:220 *6207:19 0.000182289 -14 *3617:63 *6207:19 6.42095e-05 -15 *4357:21 *6207:19 0.000120975 -16 *5589:123 *6207:19 0.000187857 -*RES -1 *29903:X *6207:19 46.1393 -2 *6207:19 *28194:D 9.3 -*END - -*D_NET *6208 0.00182459 -*CONN -*I *25687:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29904:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25687:A0 0.000597592 -2 *29904:X 0.000597592 -3 *25687:A0 *25544:A1 7.43578e-06 -4 *25544:S *25687:A0 4.31436e-05 -5 *30424:A *25687:A0 0.000325698 -6 *30755:A *25687:A0 3.50184e-05 -7 *1180:25 *25687:A0 0.000211323 -8 *5408:19 *25687:A0 6.7825e-06 -*RES -1 *29904:X *25687:A0 39.4929 -*END - -*D_NET *6209 0.00317292 -*CONN -*I *28174:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29905:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28174:D 0.000987335 -2 *29905:X 0.000987335 -3 *25731:A1 *28174:D 7.6644e-05 -4 *28174:CLK *28174:D 8.07313e-05 -5 *28200:CLK *28174:D 9.39925e-05 -6 *28200:D *28174:D 5.52302e-05 -7 *28200:RESET_B *28174:D 9.23695e-05 -8 *29681:A *28174:D 3.57844e-05 -9 *29905:A *28174:D 9.60988e-05 -10 *1835:6 *28174:D 0 -11 *1835:16 *28174:D 3.37173e-05 -12 *3602:24 *28174:D 0.000128233 -13 *5588:19 *28174:D 0.000248798 -14 *5769:172 *28174:D 0.00011674 -15 *5769:187 *28174:D 0.000139913 -*RES -1 *29905:X *28174:D 44.9929 -*END - -*D_NET *6210 0.000746625 -*CONN -*I *25880:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29906:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25880:A0 0.000129117 -2 *29906:X 0.000129117 -3 *25880:S *25880:A0 4.58194e-05 -4 *28333:RESET_B *25880:A0 3.43708e-05 -5 *29906:A *25880:A0 0.000147625 -6 *1209:13 *25880:A0 5.96516e-05 -7 *3871:73 *25880:A0 0.000147625 -8 *5693:32 *25880:A0 5.33005e-05 -*RES -1 *29906:X *25880:A0 31.3143 -*END - -*D_NET *6211 0.00217361 -*CONN -*I *28333:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29907:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28333:D 0.000512964 -2 *29907:X 0.000512964 -3 *28333:RESET_B *28333:D 0.000254356 -4 *29907:A *28333:D 0.000110029 -5 *1209:13 *28333:D 0.000189853 -6 *3858:19 *28333:D 5.52238e-05 -7 *3871:73 *28333:D 0.000168496 -8 *4065:21 *28333:D 6.81484e-05 -9 *5209:39 *28333:D 0.000301573 -*RES -1 *29907:X *28333:D 39.725 -*END - -*D_NET *6212 0.00100311 -*CONN -*I *25826:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29908:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25826:A0 0.000139501 -2 *29908:X 0.000139501 -3 *25826:S *25826:A0 0.000175892 -4 *1207:11 *25826:A0 0.000122269 -5 *1757:23 *25826:A0 0.000425953 -*RES -1 *29908:X *25826:A0 22.8321 -*END - -*D_NET *6213 0.00381523 -*CONN -*I *28282:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29909:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28282:D 0.00106439 -2 *29909:X 0.00106439 -3 *25451:A1 *28282:D 1.04745e-05 -4 *28134:D *28282:D 0.000261234 -5 *28282:CLK *28282:D 0.00017191 -6 *28282:RESET_B *28282:D 2.28709e-05 -7 *28308:RESET_B *28282:D 0.000104766 -8 *29908:A *28282:D 3.06878e-06 -9 *29909:A *28282:D 1.08524e-05 -10 *440:29 *28282:D 0.000457438 -11 *1287:14 *28282:D 1.20729e-05 -12 *1875:36 *28282:D 0.00017309 -13 *4117:25 *28282:D 9.33978e-07 -14 *5742:234 *28282:D 8.65688e-05 -15 *5742:240 *28282:D 0.000371165 -*RES -1 *29909:X *28282:D 45.9616 -*END - -*D_NET *6214 0.039486 -*CONN -*I *25544:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *30752:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *25544:A1 0.000740205 -2 *30752:X 0.00169303 -3 *6214:51 0.00337682 -4 *6214:32 0.0049776 -5 *6214:22 0.00369099 -6 *6214:10 0.00304303 -7 *25544:A1 *6258:11 3.2534e-05 -8 *25544:A1 *6280:6 0 -9 *6214:32 *6377:113 0.000258737 -10 *6214:32 *6378:62 1.19191e-05 -11 *6214:51 *27993:A1 1.98839e-05 -12 *6214:51 *6376:98 0.000208334 -13 *6214:51 *6377:87 5.92304e-05 -14 *6214:51 *6377:91 0.000315165 -15 *6214:51 *6378:62 9.71197e-05 -16 *6214:51 *6378:141 0.000476409 -17 *6214:51 *6378:154 0.00049289 -18 *25504:D_N *6214:22 0.000153349 -19 *25508:A *6214:32 7.77652e-05 -20 *25509:B *6214:32 0.000219808 -21 *25509:D_N *6214:22 1.41754e-05 -22 *25687:A0 *25544:A1 7.43578e-06 -23 *25972:B *6214:22 0.000137983 -24 *26262:B *6214:10 7.38975e-05 -25 *26313:A *6214:10 4.88637e-05 -26 *26313:B *6214:10 2.65105e-05 -27 *26329:A2 *6214:10 9.90431e-05 -28 *26335:B1 *6214:10 0.000783626 -29 *27990:A2 *6214:51 5.52238e-05 -30 *27993:A2 *6214:51 0.000317082 -31 *27994:A2 *6214:51 7.65517e-05 -32 *28000:A2 *6214:51 2.7452e-05 -33 *28003:A2 *6214:51 0.000536337 -34 *28003:B1 *6214:51 0.000246153 -35 *28005:A2 *6214:51 0.000256318 -36 *28006:B1 *6214:51 2.24813e-05 -37 *28008:A2 *6214:51 0.000231466 -38 *28008:B1 *6214:32 0.00019492 -39 *29904:A *25544:A1 0.000536047 -40 *30600:A *6214:22 6.2589e-06 -41 *30605:A *6214:22 8.6229e-06 -42 *30607:A *6214:32 5.71472e-05 -43 *30610:A *6214:32 0.000438947 -44 *30612:A *6214:32 0.000536146 -45 *30614:A *6214:32 0.000188586 -46 *30617:A *6214:32 0.000218246 -47 *30620:A *6214:10 9.33521e-05 -48 *30621:A *6214:22 0.000115473 -49 *30622:A *6214:22 0.000122101 -50 *30623:A *6214:10 0.000146376 -51 *30632:A *6214:51 4.64488e-06 -52 *30639:A *6214:32 5.28368e-06 -53 *30651:A *6214:32 2.30116e-06 -54 *30654:A *6214:32 0.000410745 -55 *30658:A *6214:32 0 -56 *30666:A *25544:A1 0.000186662 -57 *30755:A *25544:A1 2.11419e-05 -58 *30824:A *6214:51 0.000227539 -59 *285:14 *6214:32 9.00585e-05 -60 *286:17 *6214:51 1.02821e-05 -61 *288:10 *6214:51 2.30116e-06 -62 *290:21 *6214:51 2.74981e-06 -63 *291:18 *6214:51 7.79311e-05 -64 *2220:19 *6214:22 0.00123042 -65 *2220:23 *6214:22 3.97602e-05 -66 *4242:9 *6214:10 9.25014e-06 -67 *4286:15 *6214:22 0.00057022 -68 *4341:11 *6214:22 0.000224583 -69 *4353:167 *6214:10 0 -70 *4353:167 *6214:22 0.0010901 -71 *4364:54 *6214:22 0 -72 *4397:8 *6214:32 5.52238e-05 -73 *4530:10 *6214:10 1.92789e-05 -74 *4541:8 *6214:22 0.000704614 -75 *4552:15 *6214:22 0.000229456 -76 *4563:21 *6214:10 0 -77 *4586:37 *6214:51 0.000996571 -78 *4608:17 *6214:32 0.000569313 -79 *4608:17 *6214:51 9.6422e-05 -80 *4652:20 *6214:51 0.000522711 -81 *4774:19 *6214:51 0.000161441 -82 *4797:11 *6214:51 0.000282685 -83 *4808:25 *6214:51 0.00301725 -84 *4874:16 *6214:32 0.000302245 -85 *4885:31 *6214:32 2.10406e-05 -86 *4885:31 *6214:51 0.000111744 -87 *4974:11 *25544:A1 0.000185371 -88 *4996:8 *25544:A1 0.000183726 -89 *5019:14 *6214:51 0.00184369 -90 *5457:30 *6214:51 0.000267259 -91 *5463:14 *25544:A1 0.000142338 -92 *5463:14 *6214:51 0 -*RES -1 *30752:X *6214:10 41.9071 -2 *6214:10 *6214:22 44.3951 -3 *6214:22 *6214:32 46.3192 -4 *6214:32 *6214:51 49.1039 -5 *6214:51 *25544:A1 40.9329 -*END - -*D_NET *6215 0.00101698 -*CONN -*I *25838:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29910:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25838:A0 0.000165231 -2 *29910:X 0.000165231 -3 *24895:B *25838:A0 0.000259549 -4 *25838:A1 *25838:A0 3.97677e-05 -5 *25838:S *25838:A0 0.000124811 -6 *25880:S *25838:A0 1.50904e-05 -7 *3637:116 *25838:A0 0.00014734 -8 *5693:37 *25838:A0 8.00806e-05 -9 *5693:56 *25838:A0 1.98839e-05 -*RES -1 *29910:X *25838:A0 32.1357 -*END - -*D_NET *6216 0.00135647 -*CONN -*I *28292:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29911:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28292:D 0.000254955 -2 *29911:X 0.000254955 -3 *24895:B *28292:D 9.90431e-05 -4 *25776:S *28292:D 0.000168217 -5 *1829:26 *28292:D 0.000474154 -6 *3711:21 *28292:D 0.000105142 -*RES -1 *29911:X *28292:D 34.7429 -*END - -*D_NET *6217 0.00790694 -*CONN -*I *27071:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29912:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27071:A1 0.000646268 -2 *29912:X 0.0012461 -3 *6217:20 0.00189237 -4 *24832:A *6217:20 0.000100831 -5 *27071:S *27071:A1 0.000140933 -6 *28688:CLK *27071:A1 2.89114e-05 -7 *28910:A *6217:20 0.00077943 -8 *29912:A *6217:20 3.49075e-05 -9 *30574:A *27071:A1 0.000167593 -10 *974:20 *27071:A1 0.000415738 -11 *974:20 *6217:20 0.000178847 -12 *2769:209 *27071:A1 0.000306317 -13 *3839:7 *27071:A1 0.000308247 -14 *3916:14 *6217:20 4.33438e-05 -15 *4034:42 *6217:20 0.000569201 -16 *4252:9 *27071:A1 0.000462763 -17 *4817:18 *27071:A1 5.95186e-05 -18 *5680:52 *6217:20 0 -19 *5702:212 *6217:20 9.25014e-06 -20 *5775:128 *6217:20 0.000188118 -21 *5906:15 *27071:A1 7.32272e-05 -22 *5906:15 *6217:20 0.000255019 -*RES -1 *29912:X *6217:20 47.6036 -2 *6217:20 *27071:A1 34.6571 -*END - -*D_NET *6218 0.00664414 -*CONN -*I *28641:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29914:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28641:D 0.000937277 -2 *29914:X 0.00113853 -3 *6218:16 0.00207581 -4 *6218:16 *6222:14 4.00349e-05 -5 *24827:A *6218:16 0.000141058 -6 *28641:CLK *28641:D 0.00020544 -7 *28987:A *28641:D 0.000645023 -8 *29966:A *6218:16 3.6994e-05 -9 *29967:A *6218:16 0.000470164 -10 *974:20 *6218:16 7.4672e-05 -11 *1083:15 *6218:16 0 -12 *2769:209 *6218:16 8.86718e-05 -13 *3609:64 *6218:16 0 -14 *4252:9 *6218:16 0.000257491 -15 *5544:5 *6218:16 0.000487473 -16 *5775:166 *6218:16 4.18834e-05 -17 *5824:170 *28641:D 3.61629e-06 -*RES -1 *29914:X *6218:16 38.4266 -2 *6218:16 *28641:D 21.7643 -*END - -*D_NET *6219 0.00104888 -*CONN -*I *26831:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29915:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26831:A0 0.000431001 -2 *29915:X 0.000431001 -3 *29915:A *26831:A0 1.92905e-05 -4 *3572:81 *26831:A0 8.00806e-05 -5 *5528:27 *26831:A0 0 -6 *5529:22 *26831:A0 8.7506e-05 -*RES -1 *29915:X *26831:A0 33.2786 -*END - -*D_NET *6220 0.000771628 -*CONN -*I *28432:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29916:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28432:D 0.000241607 -2 *29916:X 0.000241607 -3 *28432:RESET_B *28432:D 6.11837e-05 -4 *2748:12 *28432:D 0.000128154 -5 *3572:81 *28432:D 4.57445e-05 -6 *5752:77 *28432:D 5.33334e-05 -*RES -1 *29916:X *28432:D 32.6536 -*END - -*D_NET *6221 0.00660882 -*CONN -*I *27194:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29917:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27194:A1 0.000387252 -2 *29917:X 0.000734901 -3 *6221:16 0.00112215 -4 *26979:A1 *6221:16 2.89114e-05 -5 *28738:CLK *6221:16 0.000314115 -6 *28738:D *6221:16 7.32173e-05 -7 *28910:A *6221:16 1.37292e-05 -8 *30120:A *6221:16 0.00022459 -9 *30580:A *6221:16 5.52302e-05 -10 *1082:20 *27194:A1 0.000559334 -11 *1083:15 *27194:A1 0.000323805 -12 *3609:94 *6221:16 2.53587e-05 -13 *3694:28 *6221:16 0.000444967 -14 *4033:8 *6221:16 0.000170297 -15 *4818:9 *27194:A1 4.16984e-05 -16 *4818:9 *6221:16 0.000132516 -17 *5191:15 *6221:16 6.55253e-05 -18 *5775:103 *6221:16 1.00375e-05 -19 *5775:109 *6221:16 6.65302e-05 -20 *5788:18 *6221:16 4.33438e-05 -21 *5870:8 *6221:16 0.000583906 -22 *5912:28 *27194:A1 6.57815e-05 -23 *5912:30 *27194:A1 0.00107434 -24 *5912:54 *27194:A1 4.72818e-05 -*RES -1 *29917:X *6221:16 46.925 -2 *6221:16 *27194:A1 22.9786 -*END - -*D_NET *6222 0.00539219 -*CONN -*I *28750:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29918:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28750:D 0.000258929 -2 *29918:X 0.00118274 -3 *6222:14 0.00144167 -4 *6222:14 *28453:D 9.90431e-05 -5 *6222:14 *6223:17 9.87983e-06 -6 *6222:14 *6224:18 0.00022459 -7 *24832:A *6222:14 0.000467348 -8 *28536:CLK *6222:14 0.000188439 -9 *28688:RESET_B *6222:14 7.58949e-05 -10 *28750:CLK *28750:D 4.87854e-05 -11 *29918:A *6222:14 2.89114e-05 -12 *30009:A *6222:14 2.06178e-05 -13 *30574:A *6222:14 9.90845e-05 -14 *972:21 *28750:D 0.000244065 -15 *1083:15 *6222:14 7.02907e-05 -16 *3608:78 *28750:D 7.48091e-05 -17 *3930:22 *6222:14 0.000222666 -18 *4254:17 *6222:14 2.95642e-05 -19 *5181:22 *6222:14 0.000127031 -20 *5680:40 *6222:14 0.000123987 -21 *5701:58 *6222:14 8.6229e-06 -22 *5775:160 *6222:14 1.76135e-05 -23 *5775:166 *6222:14 0.000287572 -24 *6218:16 *6222:14 4.00349e-05 -*RES -1 *29918:X *6222:14 48.6929 -2 *6222:14 *28750:D 19.675 -*END - -*D_NET *6223 0.00708193 -*CONN -*I *27134:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29919:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27134:A1 1.50027e-05 -2 *29919:X 0.000998312 -3 *6223:17 0.00101331 -4 *24827:A *6223:17 0.000186662 -5 *29410:A *6223:17 0.000723307 -6 *29424:A *6223:17 0 -7 *29919:A *6223:17 0.000117553 -8 *29920:A *27134:A1 3.65796e-05 -9 *29920:A *6223:17 0.00123757 -10 *30578:A *6223:17 7.32272e-05 -11 *787:14 *6223:17 0.00130157 -12 *1083:15 *6223:17 1.90936e-05 -13 *3930:23 *6223:17 6.87574e-05 -14 *5462:55 *6223:17 0.000102425 -15 *5544:5 *6223:17 5.72784e-05 -16 *5701:58 *6223:17 9.25014e-06 -17 *5775:128 *6223:17 8.34232e-05 -18 *6011:17 *6223:17 0.00102872 -19 *6222:14 *6223:17 9.87983e-06 -*RES -1 *29919:X *6223:17 49.5898 -2 *6223:17 *27134:A1 9.72857 -*END - -*D_NET *6224 0.00667989 -*CONN -*I *28697:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29920:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28697:D 0.000221905 -2 *29920:X 0.000864481 -3 *6224:18 0.00108639 -4 *6224:18 *28457:D 0.000399848 -5 *6224:18 *6259:24 0.000180777 -6 *24827:A *6224:18 9.25014e-06 -7 *29914:A *6224:18 0.000318107 -8 *29956:A *6224:18 4.00349e-05 -9 *30009:A *6224:18 0.000224583 -10 *30712:A *28697:D 0.000280298 -11 *3930:22 *6224:18 0.000396568 -12 *3930:23 *6224:18 5.52302e-05 -13 *4056:53 *28697:D 0.000278387 -14 *4254:17 *6224:18 0.000949016 -15 *5544:5 *6224:18 0.000182054 -16 *5676:307 *6224:18 4.00349e-05 -17 *5701:58 *28697:D 0.000204854 -18 *5701:58 *6224:18 0.000618899 -19 *5775:128 *6224:18 0 -20 *6011:17 *28697:D 0.000104587 -21 *6222:14 *6224:18 0.00022459 -*RES -1 *29920:X *6224:18 47.8982 -2 *6224:18 *28697:D 24.8749 -*END - -*D_NET *6225 0.113282 -*CONN -*I *6429:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *25562:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *25409:A1 I *D sky130_fd_sc_hd__a32o_1 -*I *30753:X O *D sky130_fd_sc_hd__buf_4 -*CAP -1 *6429:DIODE 0.000149019 -2 *25562:A1 0 -3 *25409:A1 7.05246e-05 -4 *30753:X 0.00152074 -5 *6225:139 0.00150969 -6 *6225:135 0.00306168 -7 *6225:124 0.00240012 -8 *6225:116 0.00480275 -9 *6225:114 0.0055185 -10 *6225:110 0.00200122 -11 *6225:102 0.00169044 -12 *6225:92 0.00250149 -13 *6225:79 0.0036447 -14 *6225:61 0.00342519 -15 *6225:48 0.00326815 -16 *6225:27 0.00304371 -17 *6225:17 0.00234962 -18 *6225:11 0.00298744 -19 *6225:48 *27527:A2 2.8599e-05 -20 *6225:61 *29509:A 0.00018984 -21 *6225:102 *6319:25 0.000169236 -22 *6225:116 *6351:32 0.00766928 -23 *6225:124 *6351:26 0.00144897 -24 *6225:124 *6351:31 6.10501e-05 -25 *6225:135 *6351:26 0.000468417 -26 *25124:A1 *6225:102 0.000276624 -27 *25132:B1 *6225:48 6.05161e-06 -28 *25399:B2 *6225:27 0.000939078 -29 *25409:A2 *6225:79 1.74352e-05 -30 *25409:A3 *25409:A1 5.70082e-05 -31 *25409:A3 *6225:79 5.17614e-05 -32 *25409:B1 *25409:A1 2.39852e-05 -33 *25754:A0 *6225:27 0.00185082 -34 *25754:A0 *6225:48 6.8445e-06 -35 *25762:A0 *6225:17 0.000570886 -36 *25762:A1 *6225:17 0.000136676 -37 *25764:A0 *6225:17 5.33005e-05 -38 *25764:A1 *6225:17 5.52238e-05 -39 *25923:A0 *6225:27 5.33005e-05 -40 *25923:S *6225:27 0.000307349 -41 *25940:A0 *6225:48 2.43875e-05 -42 *25940:A0 *6225:61 0.000187964 -43 *25940:S *6225:48 0.000105559 -44 *25955:A1 *6225:79 0.000393521 -45 *26854:A0 *6225:79 8.2607e-05 -46 *26854:A1 *6225:79 0.00034188 -47 *26855:A0 *6225:79 0.000183477 -48 *26855:A1 *6225:79 2.75938e-05 -49 *26855:S *6225:79 7.25375e-05 -50 *26878:S *6225:116 0.000113196 -51 *26904:A1 *6225:139 0.000326633 -52 *27085:A0 *6225:135 0.000600774 -53 *27327:A *6225:110 8.75584e-05 -54 *27327:A *6225:114 0.000142305 -55 *27355:A0 *6225:114 0.000242138 -56 *27371:C1 *6225:135 0.000232309 -57 *27376:A2 *6225:114 0.000438473 -58 *27376:B1 *6225:114 0.000472015 -59 *27894:A1 *6225:61 0.00055879 -60 *28151:CLK *6225:17 7.16747e-05 -61 *28395:RESET_B *6225:79 0.000808918 -62 *28539:D *6225:135 7.83659e-05 -63 *28619:CLK *6225:92 0.000726757 -64 *28774:D *6225:102 0.000219711 -65 *28801:D *6225:116 4.56594e-05 -66 *29130:A *6225:11 5.31719e-05 -67 *29130:A *6225:17 0.00198853 -68 *29149:A *6225:79 5.56825e-05 -69 *29177:A *6225:48 0.000114854 -70 *29325:A *6225:79 0.000263108 -71 *29386:A *6225:48 5.58038e-05 -72 *30015:A *6225:17 0.000148342 -73 *30467:A *6225:124 7.45133e-05 -74 *30467:A *6225:135 0.000161865 -75 *563:10 *6225:27 0.000988677 -76 *572:19 *6225:17 0.000186669 -77 *702:20 *6225:17 0.000213809 -78 *702:20 *6225:27 0.00107052 -79 *1178:105 *6225:116 0.000158398 -80 *1256:40 *6225:114 4.94195e-05 -81 *1257:99 *6225:48 0.000171177 -82 *1260:102 *6225:139 2.22043e-05 -83 *1272:72 *6225:139 0.00123649 -84 *1277:70 *6225:92 0.000812543 -85 *1277:70 *6225:102 8.6229e-06 -86 *1289:55 *6225:92 0.000809517 -87 *1294:163 *6225:114 7.71617e-05 -88 *1327:116 *6225:48 0.00146207 -89 *1401:37 *25409:A1 5.33005e-05 -90 *1401:37 *6225:61 0 -91 *1471:37 *6225:61 0.000496896 -92 *1524:37 *6225:135 0.00126002 -93 *1536:13 *6225:92 9.65172e-05 -94 *1600:19 *6225:79 2.71447e-05 -95 *1671:24 *6225:48 9.70394e-05 -96 *1701:11 *6225:79 0.000280592 -97 *1898:8 *6225:48 0.000151625 -98 *1898:10 *6225:48 8.72258e-05 -99 *1900:17 *6225:79 6.86746e-05 -100 *1900:17 *6225:92 0.000228594 -101 *2755:11 *6225:79 3.97677e-05 -102 *2758:8 *6225:116 5.58941e-05 -103 *2758:23 *6225:116 0.000212413 -104 *2839:19 *6225:102 0.000559931 -105 *2839:33 *6225:92 6.90047e-05 -106 *2839:71 *6225:92 0 -107 *2842:11 *6225:110 0.000113034 -108 *2842:18 *6225:110 0.000278498 -109 *2848:282 *6225:139 0.000134392 -110 *2856:25 *6225:114 8.60466e-05 -111 *2860:259 *6225:135 0.000169708 -112 *2874:20 *6225:114 7.40526e-05 -113 *2875:46 *6225:116 1.90936e-05 -114 *2894:21 *6225:116 0.000336925 -115 *2929:13 *6225:116 0.0012383 -116 *2932:6 *6225:139 0.000167635 -117 *2932:20 *6225:124 0.000403316 -118 *2958:56 *6225:102 0.00148459 -119 *3170:152 *6225:116 0.000472785 -120 *3170:163 *6225:116 0.00057676 -121 *3182:16 *6225:114 8.82767e-05 -122 *3182:16 *6225:116 0.000917631 -123 *3182:27 *6225:116 0.000215706 -124 *3205:189 *6225:116 3.1974e-05 -125 *3246:17 *6225:116 7.95888e-05 -126 *3250:39 *6225:135 1.20475e-05 -127 *3663:10 *6225:48 0.000175892 -128 *3743:28 *6225:139 0.000291886 -129 *3743:40 *6225:139 0.000139559 -130 *3792:51 *6225:17 0.00044468 -131 *3801:21 *6225:17 0.000144773 -132 *3801:21 *6225:27 7.96978e-05 -133 *3820:69 *6225:102 0 -134 *3844:13 *6225:79 0.000352235 -135 *3844:20 *6225:79 5.52302e-05 -136 *3872:28 *6225:92 9.65172e-05 -137 *3873:67 *6225:102 3.15692e-05 -138 *3886:51 *6225:116 0.00163801 -139 *3938:38 *6225:114 7.62815e-05 -140 *3951:20 *6225:139 0.00061993 -141 *3951:28 *6225:139 0.000544236 -142 *3978:65 *6225:135 7.69946e-05 -143 *3978:65 *6225:139 7.21528e-05 -144 *3978:78 *6225:116 0.000731914 -145 *3986:40 *6225:79 0.000437886 -146 *4000:8 *6225:48 3.67239e-05 -147 *4055:10 *6225:114 0.000146983 -148 *4055:19 *6225:114 0.000368599 -149 *4055:33 *6225:114 0.00054171 -150 *4055:33 *6225:116 6.81425e-06 -151 *4068:16 *6225:135 0.00237074 -152 *4068:24 *6225:124 4.98055e-06 -153 *4068:24 *6225:135 0.000119629 -154 *4068:31 *6225:124 0.00042603 -155 *4107:39 *6225:135 0.000285436 -156 *4107:40 *6225:139 5.23496e-05 -157 *4257:15 *6225:27 8.86413e-05 -158 *4900:26 *6225:17 0.000287062 -159 *4964:10 *6225:17 0.000357187 -160 *4964:17 *6225:17 0.000306586 -161 *4977:22 *6225:17 0.000310292 -162 *5170:19 *6225:17 0.00225041 -163 *5488:79 *6225:61 0.000408902 -164 *5491:42 *6225:61 0.000172397 -165 *5510:87 *6225:27 0.00018077 -166 *5522:52 *6225:61 0.000135028 -167 *5522:61 *6225:102 0.000558008 -168 *5523:41 *6225:48 0.000216108 -169 *5530:58 *6225:110 2.22043e-05 -170 *5530:58 *6225:114 0.00132156 -171 *5581:39 *6225:79 0.000295925 -172 *5581:39 *6225:92 0.000347606 -173 *5581:46 *6225:79 0.000392666 -174 *5583:112 *6225:116 4.33002e-05 -175 *5584:76 *6225:116 8.84757e-05 -176 *5589:37 *6225:135 2.08417e-06 -177 *5592:45 *6225:114 4.15183e-05 -178 *5592:64 *6225:92 0.000236391 -179 *5600:139 *6225:116 0.00236272 -180 *5600:151 *6225:124 0.000159968 -181 *5609:219 *6225:139 0.000236111 -182 *5628:137 *6429:DIODE 0.000225609 -183 *5628:137 *6225:139 0.000464471 -184 *5630:14 *6225:116 0.00102658 -185 *5635:90 *6225:116 0.00088906 -186 *5649:137 *6225:135 2.01997e-05 -187 *5652:11 *6225:116 0.000241205 -188 *5655:16 *6225:114 9.54536e-05 -189 *5661:267 *6225:139 0.000336475 -190 *5691:160 *6225:61 0.000468746 -191 *5691:176 *6225:61 9.71526e-05 -192 *5691:229 *6225:61 0.000235284 -193 *5706:219 *6225:48 0.000211098 -194 *5711:67 *6225:79 0.000308838 -195 *5711:144 *6225:79 8.33813e-05 -196 *5720:191 *6225:102 0.00141515 -197 *5720:191 *6225:110 0.000123938 -198 *5824:219 *6225:135 8.52131e-06 -*RES -1 *30753:X *6225:11 34.0143 -2 *6225:11 *6225:17 49.4464 -3 *6225:17 *6225:27 32.8393 -4 *6225:27 *6225:48 48.5439 -5 *6225:48 *6225:61 30.5884 -6 *6225:61 *25409:A1 10.6571 -7 *6225:61 *6225:79 43.9554 -8 *6225:79 *6225:92 48.8304 -9 *6225:92 *6225:102 46.5357 -10 *6225:102 *6225:110 19.375 -11 *6225:110 *6225:114 37.3482 -12 *6225:114 *6225:116 139.009 -13 *6225:116 *6225:124 29.3661 -14 *6225:124 *6225:135 42.912 -15 *6225:135 *6225:139 39.1696 -16 *6225:139 *25562:A1 9.3 -17 *6225:139 *6429:DIODE 11.4786 -*END - -*D_NET *6226 0.00260929 -*CONN -*I *26918:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29921:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26918:A1 0.000414196 -2 *29921:X 0.000414196 -3 *29921:A *26918:A1 5.33005e-05 -4 *30581:A *26918:A1 0.000351156 -5 *5669:312 *26918:A1 0.000682081 -6 *5676:68 *26918:A1 0.000425953 -7 *5914:18 *26918:A1 0.000268409 -*RES -1 *29921:X *26918:A1 29.8143 -*END - -*D_NET *6227 0.00181432 -*CONN -*I *28505:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29922:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28505:D 0.000340215 -2 *29922:X 0.000340215 -3 *25053:A2 *28505:D 0.000326792 -4 *28505:RESET_B *28505:D 3.02581e-06 -5 *4033:8 *28505:D 0.000327322 -6 *5641:211 *28505:D 0.00029121 -7 *5716:133 *28505:D 0.000185544 -*RES -1 *29922:X *28505:D 37.4393 -*END - -*D_NET *6228 0.00766286 -*CONN -*I *26864:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29923:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26864:A1 0.00091755 -2 *29923:X 0.000467137 -3 *6228:10 0.00138469 -4 *26864:A1 *6241:9 0.000496009 -5 mgmt_gpio_out[31] *26864:A1 9.41642e-05 -6 *25684:A1 *6228:10 0.000110199 -7 *26864:S *26864:A1 5.71472e-05 -8 *29938:A *26864:A1 0.00199079 -9 *30895:A *26864:A1 9.71197e-05 -10 *66:20 *26864:A1 0.00104648 -11 *68:11 *6228:10 0.000537343 -12 *790:22 *26864:A1 0.000195455 -13 *1835:90 *6228:10 0 -14 *5462:70 *6228:10 0.000268776 -15 *5847:8 *6228:10 0 -*RES -1 *29923:X *6228:10 27.9964 -2 *6228:10 *26864:A1 36.5679 -*END - -*D_NET *6229 0.00363544 -*CONN -*I *28457:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29926:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28457:D 0.00108064 -2 *29926:X 0.00108064 -3 *28457:D *6286:16 6.59704e-05 -4 *29153:A *28457:D 0 -5 *29154:A *28457:D 5.33005e-05 -6 *29424:A *28457:D 0.000193819 -7 *29920:A *28457:D 0.000224366 -8 *29926:A *28457:D 0.000356028 -9 *29983:A *28457:D 2.95495e-05 -10 *787:14 *28457:D 4.19624e-06 -11 *1083:15 *28457:D 0 -12 *4254:17 *28457:D 0.000144773 -13 *5179:16 *28457:D 2.30116e-06 -14 *6224:18 *28457:D 0.000399848 -*RES -1 *29926:X *28457:D 49.5107 -*END - -*D_NET *6230 0.00266505 -*CONN -*I *27203:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29927:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27203:A1 0.000579862 -2 *29927:X 0.000579862 -3 *27185:A0 *27203:A1 0.000258024 -4 *27203:S *27203:A1 0.000392026 -5 *2792:176 *27203:A1 3.17148e-05 -6 *2792:181 *27203:A1 0.000297659 -7 *3538:76 *27203:A1 4.72818e-05 -8 *3694:32 *27203:A1 0.000330903 -9 *5703:13 *27203:A1 6.05161e-06 -10 *5829:221 *27203:A1 0.00014167 -*RES -1 *29927:X *27203:A1 40.85 -*END - -*D_NET *6231 0.000544813 -*CONN -*I *28758:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29928:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28758:D 0.000102263 -2 *29928:X 0.000102263 -3 *28758:RESET_B *28758:D 0.000139331 -4 *3930:32 *28758:D 2.90501e-05 -5 *4085:16 *28758:D 9.96487e-05 -6 *5829:221 *28758:D 7.22574e-05 -*RES -1 *29928:X *28758:D 30.2964 -*END - -*D_NET *6232 0.000530155 -*CONN -*I *25706:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29929:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25706:A0 8.90116e-05 -2 *29929:X 8.90116e-05 -3 *1339:34 *25706:A0 0.00015018 -4 *1352:19 *25706:A0 0.000148651 -5 *4124:116 *25706:A0 5.33005e-05 -*RES -1 *29929:X *25706:A0 30.0821 -*END - -*D_NET *6233 0.00119159 -*CONN -*I *28183:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29930:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28183:D 0.000302231 -2 *29930:X 0.000302231 -3 *1326:72 *28183:D 0.000294422 -4 *5468:31 *28183:D 0.000292701 -*RES -1 *29930:X *28183:D 33.5464 -*END - -*D_NET *6234 0.00156428 -*CONN -*I *25890:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29931:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25890:A0 0.000194971 -2 *29931:X 0.000194971 -3 *25890:A1 *25890:A0 0.000473446 -4 *25890:S *25890:A0 1.98839e-05 -5 *3216:53 *25890:A0 0.000681008 -*RES -1 *29931:X *25890:A0 25.2964 -*END - -*D_NET *6235 0.00135147 -*CONN -*I *28341:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29932:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28341:D 0.000340715 -2 *29932:X 0.000340715 -3 *282:21 *28341:D 0.00027611 -4 *1271:88 *28341:D 0.000125521 -5 *2834:40 *28341:D 0.000268409 -*RES -1 *29932:X *28341:D 33.9571 -*END - -*D_NET *6236 0.00258011 -*CONN -*I *25553:S I *D sky130_fd_sc_hd__mux2_4 -*I *25581:B I *D sky130_fd_sc_hd__and2_1 -*I *30754:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *25553:S 0.000250131 -2 *25581:B 0 -3 *30754:X 0.000407227 -4 *6236:8 0.000657357 -5 *25424:B *25553:S 0.000182915 -6 *25424:B *6236:8 0.00012105 -7 *30754:A *25553:S 0.000389868 -8 *30754:A *6236:8 0.000221029 -9 *30964:A *6236:8 4.98872e-05 -10 *448:11 *6236:8 3.69047e-06 -11 *4213:15 *25553:S 0.000263524 -12 *5467:8 *25553:S 3.34295e-05 -13 *6136:16 *6236:8 0 -*RES -1 *30754:X *6236:8 21.7107 -2 *6236:8 *25581:B 13.8 -3 *6236:8 *25553:S 21.3714 -*END - -*D_NET *6237 0.00236859 -*CONN -*I *27047:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29933:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27047:A1 0.000523824 -2 *29933:X 0.000523824 -3 *27047:A1 *28619:D 0.000125731 -4 *1328:98 *27047:A1 9.25014e-06 -5 *1446:10 *27047:A1 6.06291e-05 -6 *1536:13 *27047:A1 0.000174451 -7 *2839:19 *27047:A1 9.90367e-05 -8 *5522:61 *27047:A1 9.71197e-05 -9 *5687:153 *27047:A1 0.000492235 -10 *5707:138 *27047:A1 5.71472e-05 -11 *5707:156 *27047:A1 0.000205344 -*RES -1 *29933:X *27047:A1 39.6893 -*END - -*D_NET *6238 0.00153234 -*CONN -*I *28619:D I *D sky130_fd_sc_hd__dfstp_2 -*I *29934:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28619:D 0.00037725 -2 *29934:X 0.00037725 -3 *25124:B2 *28619:D 0.000177821 -4 *27047:A1 *28619:D 0.000125731 -5 *1277:70 *28619:D 0.000170233 -6 *1536:13 *28619:D 0.000128161 -7 *3794:7 *28619:D 0.000175892 -*RES -1 *29934:X *28619:D 35.9393 -*END - -*D_NET *6239 0.00228262 -*CONN -*I *25708:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29935:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25708:A0 0.000820564 -2 *29935:X 0.000820564 -3 *25577:A_N *25708:A0 5.49995e-05 -4 *25577:B *25708:A0 0.000269163 -5 *29733:A *25708:A0 3.92854e-05 -6 *29935:A *25708:A0 0 -7 *3571:15 *25708:A0 1.72894e-05 -8 *4183:13 *25708:A0 0.000129504 -9 *4183:22 *25708:A0 0.000131248 -10 *4187:22 *25708:A0 0 -*RES -1 *29935:X *25708:A0 40.725 -*END - -*D_NET *6240 0.00109502 -*CONN -*I *28184:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29937:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28184:D 0.000354643 -2 *29937:X 0.000354643 -3 *29937:A *28184:D 0.000119135 -4 *1430:46 *28184:D 9.23172e-05 -5 *4183:13 *28184:D 0.000174282 -*RES -1 *29937:X *28184:D 33.9571 -*END - -*D_NET *6241 0.00949152 -*CONN -*I *26862:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29938:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26862:A1 0.000454174 -2 *29938:X 0.000936705 -3 *6241:9 0.00139088 -4 mgmt_gpio_out[31] *6241:9 4.87854e-05 -5 *24832:A *26862:A1 0 -6 *26862:S *26862:A1 7.98971e-05 -7 *26864:A0 *26862:A1 0 -8 *26864:A0 *6241:9 0.000700633 -9 *26864:A1 *6241:9 0.000496009 -10 *26864:S *6241:9 0.000332977 -11 *29085:A *26862:A1 9.94209e-05 -12 *29154:A *26862:A1 0.000254083 -13 *29938:A *6241:9 0.00144804 -14 *30720:A *6241:9 5.68722e-05 -15 *30895:A *6241:9 5.03772e-05 -16 *66:20 *6241:9 0.000109616 -17 *1835:84 *26862:A1 1.15359e-05 -18 *1835:84 *6241:9 5.06983e-05 -19 *3609:30 *26862:A1 4.56899e-05 -20 *3609:45 *26862:A1 5.05056e-05 -21 *5274:20 *6241:9 1.40034e-05 -22 *5364:13 *26862:A1 0 -23 *5588:93 *6241:9 0.00254753 -24 *5680:30 *26862:A1 1.90936e-05 -25 *5880:24 *26862:A1 0.000293996 -*RES -1 *29938:X *6241:9 48.175 -2 *6241:9 *26862:A1 28.7286 -*END - -*D_NET *6242 0.00810376 -*CONN -*I *28455:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29939:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28455:D 0.000145332 -2 *29939:X 0.00137473 -3 *6242:23 0.00152006 -4 *24824:A *6242:23 0.000522844 -5 *28455:CLK *28455:D 0.000261178 -6 *28455:RESET_B *28455:D 3.02581e-06 -7 *28455:RESET_B *6242:23 4.15257e-05 -8 *28456:D *6242:23 0.000532058 -9 *29410:A *6242:23 0.000478632 -10 *29708:A *6242:23 0.000271352 -11 *29939:A *6242:23 0.000483808 -12 *29982:A *6242:23 0.000358971 -13 *30435:A *6242:23 5.2304e-05 -14 *786:13 *28455:D 0.000163747 -15 *3609:45 *28455:D 4.43256e-05 -16 *3609:45 *6242:23 0.000360643 -17 *3965:134 *6242:23 6.87245e-05 -18 *4925:25 *28455:D 7.32272e-05 -19 *5453:13 *6242:23 0.000136951 -20 *5455:7 *6242:23 0.00023213 -21 *5898:17 *6242:23 0.000978186 -*RES -1 *29939:X *6242:23 46.1571 -2 *6242:23 *28455:D 14.7643 -*END - -*D_NET *6243 0.00659868 -*CONN -*I *25719:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29940:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25719:A0 0 -2 *29940:X 0.00199725 -3 *6243:9 0.00199725 -4 *27437:D *6243:9 8.00806e-05 -5 *29941:A *6243:9 0.00210639 -6 *30837:A *6243:9 0.000339346 -7 *4123:33 *6243:9 7.83659e-05 -*RES -1 *29940:X *6243:9 44.5143 -2 *6243:9 *25719:A0 9.3 -*END - -*D_NET *6244 0.00824806 -*CONN -*I *28193:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29941:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28193:D 0.000350222 -2 *29941:X 0.00147676 -3 *6244:23 0.00182698 -4 *25719:S *28193:D 0.000239506 -5 *26898:A1 *6244:23 2.55806e-05 -6 *27786:A1 *6244:23 0.000219289 -7 *28193:CLK *28193:D 2.74484e-05 -8 *28487:D *6244:23 2.23592e-05 -9 *29941:A *6244:23 0.00185166 -10 *30837:A *28193:D 0.00038021 -11 *244:65 *6244:23 0.000101545 -12 *1857:17 *28193:D 0.000175892 -13 *2763:42 *6244:23 0.000101545 -14 *2770:18 *28193:D 0.000107404 -15 *2773:46 *28193:D 0.000101545 -16 *3617:97 *28193:D 7.6644e-05 -17 *4107:17 *6244:23 0.000868413 -18 *4107:21 *6244:23 0.000218404 -19 *5911:115 *28193:D 7.6644e-05 -*RES -1 *29941:X *6244:23 48.55 -2 *6244:23 *28193:D 35.2643 -*END - -*D_NET *6245 0.00467286 -*CONN -*I *27152:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29942:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27152:A1 0.00129013 -2 *29942:X 0.00129013 -3 *27152:A1 *28713:D 0.000117457 -4 *26961:A1 *27152:A1 0.000598274 -5 *27152:S *27152:A1 1.58163e-05 -6 *28908:A *27152:A1 1.69961e-05 -7 *29942:A *27152:A1 3.43622e-05 -8 *3320:16 *27152:A1 0.000255187 -9 *3837:20 *27152:A1 0.000194234 -10 *3837:27 *27152:A1 4.71979e-05 -11 *3967:14 *27152:A1 0.000251714 -12 *3969:14 *27152:A1 3.68193e-05 -13 *3978:21 *27152:A1 0.000435251 -14 *4136:16 *27152:A1 8.92847e-05 -*RES -1 *29942:X *27152:A1 48.5643 -*END - -*D_NET *6246 0.00321156 -*CONN -*I *28713:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29943:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28713:D 0.000753082 -2 *29943:X 0.000753082 -3 *26961:A1 *28713:D 6.57032e-05 -4 *27152:A1 *28713:D 0.000117457 -5 *2776:231 *28713:D 0.000603334 -6 *3796:163 *28713:D 0.00046253 -7 *4136:11 *28713:D 0.000178847 -8 *4136:16 *28713:D 0.00011594 -9 *5646:311 *28713:D 0.000161585 -*RES -1 *29943:X *28713:D 42.6357 -*END - -*D_NET *6247 0.0629675 -*CONN -*I *25209:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *30755:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *25209:B2 0.000836773 -2 *30755:X 0 -3 *6247:36 0.00390862 -4 *6247:34 0.00451354 -5 *6247:31 0.00191114 -6 *6247:18 0.00272921 -7 *6247:16 0.00288724 -8 *6247:6 0.00310761 -9 *6247:5 0.00248013 -10 *6247:6 *6269:12 0.000334112 -11 *25202:A2 *6247:18 0.0003727 -12 *25203:B2 *6247:18 0.000413447 -13 *25203:C1 *6247:18 0 -14 *25209:A2 *25209:B2 0.000634599 -15 *25209:B1 *25209:B2 2.82569e-05 -16 *25223:A2 *6247:36 0.000633099 -17 *25292:A2 *6247:36 0.000202298 -18 *25293:D *6247:36 0.000289915 -19 *25332:B1 *6247:36 0.000211432 -20 *25340:B1 *6247:36 8.68976e-05 -21 *25630:A1 *6247:16 0.000314458 -22 *27029:A1 *6247:34 0.000158735 -23 *27196:A1 *6247:6 0.000127446 -24 *27305:D *6247:36 0.00014101 -25 *27407:A1 *6247:18 1.90936e-05 -26 *27415:C1 *6247:18 6.27272e-06 -27 *27416:C *6247:18 9.60337e-06 -28 *27609:C1 *6247:6 0.000121186 -29 *27609:C1 *6247:16 0.000605139 -30 *28651:SET_B *6247:34 0.000322295 -31 *28686:CLK *6247:18 0.000346365 -32 *28702:D *6247:31 0.000165064 -33 *28751:SET_B *6247:6 0.000133993 -34 *29119:A *6247:6 0.000146561 -35 *29302:A *6247:6 0.000125724 -36 *29334:A *6247:36 0.000636262 -37 *29666:A *6247:16 0.000223592 -38 *29681:A *6247:6 5.24274e-05 -39 *29861:A *6247:34 0.000305646 -40 *30264:A *6247:18 0.000209472 -41 *30423:A *6247:34 5.72108e-05 -42 *1225:130 *6247:18 0.00133126 -43 *1228:47 *6247:36 0.00215116 -44 *1256:154 *6247:18 0.00051124 -45 *1256:160 *6247:6 0 -46 *1262:80 *6247:18 0.000183109 -47 *1265:69 *6247:36 0.000284472 -48 *1267:65 *6247:31 5.79681e-05 -49 *1275:225 *6247:34 1.68546e-05 -50 *1279:105 *6247:34 6.05538e-06 -51 *1279:105 *6247:36 0.00152929 -52 *1419:11 *6247:36 0.000381454 -53 *1529:10 *25209:B2 0.000235279 -54 *1606:14 *6247:36 0.000524056 -55 *1654:17 *25209:B2 4.1611e-05 -56 *1659:34 *6247:36 0.000126716 -57 *1835:6 *6247:6 0 -58 *1844:104 *25209:B2 1.44522e-05 -59 *2771:84 *6247:6 5.11653e-05 -60 *2774:92 *6247:18 6.00887e-05 -61 *2781:88 *25209:B2 0.000130653 -62 *2781:88 *6247:36 0.00633663 -63 *2781:98 *6247:34 0.000204002 -64 *2781:98 *6247:36 0.000275276 -65 *2875:275 *6247:18 0 -66 *2879:118 *6247:34 9.63536e-05 -67 *2888:258 *6247:18 0.000137073 -68 *2978:22 *6247:34 0.000425196 -69 *2978:22 *6247:36 0.000313307 -70 *3576:76 *6247:34 2.90021e-05 -71 *3601:55 *6247:6 0.000569347 -72 *3601:62 *6247:6 0.000400327 -73 *3601:76 *6247:6 0.000175512 -74 *3673:23 *25209:B2 0.00044717 -75 *3673:23 *6247:36 7.14336e-05 -76 *3712:22 *6247:18 1.87004e-05 -77 *3712:28 *6247:34 0.000100873 -78 *3758:8 *6247:18 8.6051e-05 -79 *3940:11 *6247:18 1.71589e-05 -80 *3940:11 *6247:31 0.000109154 -81 *3979:19 *6247:16 0.000319233 -82 *3979:19 *6247:18 0.000139842 -83 *4031:18 *6247:6 0 -84 *4031:18 *6247:16 0 -85 *4067:11 *6247:34 0.000145618 -86 *4067:32 *6247:34 0.000609013 -87 *4076:21 *6247:6 0.000261093 -88 *4076:21 *6247:16 0 -89 *5584:103 *6247:36 5.80725e-05 -90 *5584:115 *6247:34 9.22154e-05 -91 *5584:115 *6247:36 0.000984739 -92 *5584:131 *6247:34 0.000105839 -93 *5588:8 *6247:6 0.00374234 -94 *5588:19 *6247:6 8.88184e-05 -95 *5590:98 *6247:18 0.00203552 -96 *5590:98 *6247:31 5.56665e-05 -97 *5590:107 *6247:18 0 -98 *5604:34 *25209:B2 9.46146e-05 -99 *5643:80 *6247:36 2.11068e-05 -100 *5643:119 *6247:36 1.26641e-05 -101 *5644:237 *6247:31 2.91979e-05 -102 *5650:255 *6247:34 0.00260856 -103 *5661:245 *6247:18 7.92979e-05 -104 *5688:44 *6247:16 0.000106615 -105 *5688:53 *6247:16 0.000663486 -106 *5688:53 *6247:18 0.00189399 -107 *5688:65 *6247:18 4.93432e-05 -108 *5713:45 *6247:36 1.90936e-05 -109 *5713:336 *6247:36 0.000143652 -110 *5764:36 *6247:34 0.000163497 -111 *5764:51 *6247:34 0.000478129 -112 *5765:25 *6247:31 8.8998e-05 -113 *5765:25 *6247:34 5.42873e-05 -114 *5765:37 *6247:31 4.27095e-05 -115 *5772:22 *6247:6 7.38828e-05 -116 *5772:34 *6247:6 0.000193139 -117 *5772:40 *6247:6 0.000255192 -118 *5879:188 *6247:6 0 -*RES -1 *30755:X *6247:5 13.8 -2 *6247:5 *6247:6 68.8482 -3 *6247:6 *6247:16 19.5 -4 *6247:16 *6247:18 63.875 -5 *6247:18 *6247:31 11.6562 -6 *6247:31 *6247:34 43.1786 -7 *6247:34 *6247:36 105.295 -8 *6247:36 *25209:B2 29.7286 -*END - -*D_NET *6248 0.00357095 -*CONN -*I *25704:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29944:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25704:A0 0.00132644 -2 *29944:X 0.00132644 -3 *25704:A1 *25704:A0 0.000177815 -4 *28584:CLK *25704:A0 4.58194e-05 -5 *28584:D *25704:A0 0.000312032 -6 *29944:A *25704:A0 8.57075e-05 -7 *3734:8 *25704:A0 0.000183726 -8 *5465:37 *25704:A0 0.000112969 -*RES -1 *29944:X *25704:A0 36.9393 -*END - -*D_NET *6249 0.00254621 -*CONN -*I *28182:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29945:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28182:D 0.000573694 -2 *29945:X 0.000573694 -3 *1326:65 *28182:D 0.000472452 -4 *1339:26 *28182:D 7.15591e-05 -5 *2776:117 *28182:D 0.000471833 -6 *3633:73 *28182:D 0.000328022 -7 *5687:221 *28182:D 5.49544e-05 -*RES -1 *29945:X *28182:D 38.975 -*END - -*D_NET *6250 0.00262031 -*CONN -*I *27150:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29946:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27150:A1 0.000795356 -2 *29946:X 0.000795356 -3 *27150:S *27150:A1 5.65357e-05 -4 *27192:A0 *27150:A1 1.92789e-05 -5 *27192:S *27150:A1 0.000324118 -6 *28748:RESET_B *27150:A1 7.31839e-05 -7 *29229:A *27150:A1 0.000221641 -8 *29948:A *27150:A1 2.44318e-05 -9 *30577:A *27150:A1 3.22692e-05 -10 *1225:203 *27150:A1 2.04825e-05 -11 *5680:66 *27150:A1 0.000146283 -12 *5683:96 *27150:A1 5.00635e-05 -13 *5775:21 *27150:A1 5.65539e-05 -14 *5775:23 *27150:A1 4.75956e-06 -*RES -1 *29946:X *27150:A1 40.5286 -*END - -*D_NET *6251 0.00246909 -*CONN -*I *28711:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29948:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28711:D 0.000885423 -2 *29948:X 0.000885423 -3 *29948:A *28711:D 0.000147716 -4 *1225:203 *28711:D 0.000137983 -5 *2791:13 *28711:D 4.30382e-05 -6 *2793:14 *28711:D 0.000200844 -7 *4136:8 *28711:D 9.78828e-05 -8 *5590:191 *28711:D 5.52302e-05 -9 *5683:96 *28711:D 1.55475e-05 -10 *5776:20 *28711:D 0 -*RES -1 *29948:X *28711:D 41.6536 -*END - -*D_NET *6252 0.00836755 -*CONN -*I *25691:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29949:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25691:A0 0 -2 *29949:X 0.00209716 -3 *6252:13 0.00209716 -4 *6252:13 *28176:D 4.57446e-05 -5 *6252:13 *6262:14 0.000356028 -6 *25691:A1 *6252:13 5.71472e-05 -7 *25693:A0 *6252:13 2.25485e-05 -8 *25693:A1 *6252:13 0.000135943 -9 *25693:S *6252:13 4.87953e-05 -10 *26864:A0 *6252:13 0.00048939 -11 *29949:A *6252:13 0.000656431 -12 *29959:A *6252:13 0.000423999 -13 *783:17 *6252:13 0.00040279 -14 *1835:84 *6252:13 0.000116749 -15 *4229:27 *6252:13 4.43256e-05 -16 *4230:17 *6252:13 7.48091e-05 -17 *4803:18 *6252:13 0.000481756 -18 *5172:23 *6252:13 0.000741584 -19 *5175:25 *6252:13 7.5197e-05 -*RES -1 *29949:X *6252:13 49.55 -2 *6252:13 *25691:A0 9.3 -*END - -*D_NET *6253 0.0111421 -*CONN -*I *28176:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29950:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28176:D 0.000873757 -2 *29950:X 0.00150194 -3 *6253:13 0.0023757 -4 *24835:A *6253:13 6.58013e-05 -5 *25691:S *28176:D 4.53699e-05 -6 *25742:A1 *28176:D 0.000315165 -7 *26860:A0 *6253:13 0.000140933 -8 *28176:RESET_B *28176:D 0.000113903 -9 *28450:D *6253:13 0.000330673 -10 *28452:D *6253:13 4.72917e-05 -11 *30707:A *28176:D 0.000271352 -12 *30848:A *6253:13 0.000137983 -13 *56:13 *28176:D 3.69047e-06 -14 *59:21 *28176:D 0.00011333 -15 *62:10 *6253:13 0.00048555 -16 *523:10 *28176:D 0.00011402 -17 *783:17 *6253:13 0 -18 *786:13 *6253:13 0.00100439 -19 *4803:18 *6253:13 0.000578037 -20 *5172:23 *28176:D 0.000140933 -21 *5172:23 *6253:13 0.000137983 -22 *5172:41 *28176:D 2.48542e-05 -23 *5175:25 *28176:D 0.00076143 -24 *5445:5 *28176:D 2.28499e-05 -25 *5450:7 *6253:13 0.000186662 -26 *5588:45 *28176:D 0.000957171 -27 *5588:63 *28176:D 0.000345637 -28 *6252:13 *28176:D 4.57446e-05 -*RES -1 *29950:X *6253:13 47.0143 -2 *6253:13 *28176:D 44.7286 -*END - -*D_NET *6254 0.00289746 -*CONN -*I *27174:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29951:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27174:A1 0.000364681 -2 *29951:X 0.000364681 -3 *27174:A1 *28732:D 0.000473031 -4 *27174:S *27174:A1 2.89114e-05 -5 *29951:A *27174:A1 8.17274e-05 -6 *29952:A *27174:A1 1.02821e-05 -7 *3731:39 *27174:A1 0.000767815 -8 *3783:24 *27174:A1 6.78243e-05 -9 *4006:11 *27174:A1 0.000308569 -10 *5586:51 *27174:A1 0.00042994 -*RES -1 *29951:X *27174:A1 31.0464 -*END - -*D_NET *6255 0.00251555 -*CONN -*I *28732:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29952:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28732:D 0.00046056 -2 *29952:X 0.00046056 -3 *27174:A1 *28732:D 0.000473031 -4 *29952:A *28732:D 0.000212059 -5 *2771:64 *28732:D 0.000174228 -6 *3770:11 *28732:D 5.56594e-05 -7 *3770:21 *28732:D 8.85631e-05 -8 *3783:24 *28732:D 0.000193161 -9 *3837:20 *28732:D 5.45469e-05 -10 *3967:14 *28732:D 0.000162824 -11 *4006:11 *28732:D 0.000180355 -12 *5586:51 *28732:D 0 -*RES -1 *29952:X *28732:D 40.9393 -*END - -*D_NET *6256 0.00221131 -*CONN -*I *25681:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29953:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25681:A0 0.000654473 -2 *29953:X 0.000654473 -3 *25681:A1 *25681:A0 0.000137983 -4 *28205:D *25681:A0 0.000102357 -5 *29953:A *25681:A0 2.11336e-05 -6 *29954:A *25681:A0 0.000275257 -7 *3611:10 *25681:A0 0 -8 *3611:12 *25681:A0 0 -9 *3783:150 *25681:A0 0.000365634 -*RES -1 *29953:X *25681:A0 42.5286 -*END - -*D_NET *6257 0.00182895 -*CONN -*I *28171:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29954:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28171:D 0.00019591 -2 *29954:X 0.00019591 -3 *3783:150 *28171:D 2.73921e-05 -4 *5588:105 *28171:D 0.000720684 -5 *5776:65 *28171:D 0.000201878 -6 *5776:70 *28171:D 0.000386342 -7 *5776:109 *28171:D 0.000100831 -*RES -1 *29954:X *28171:D 36.8321 -*END - -*D_NET *6258 0.0731987 -*CONN -*I *25336:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *30756:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *25336:A1 0.00107048 -2 *30756:X 0.000152489 -3 *6258:12 0.0187961 -4 *6258:11 0.0178781 -5 *6258:11 *6280:6 0 -6 *25133:C1 *25336:A1 0.0012916 -7 *25146:A2 *6258:12 0.000121098 -8 *25257:C *6258:12 0.000104056 -9 *25273:A2 *25336:A1 2.7883e-05 -10 *25283:C *25336:A1 6.02062e-05 -11 *25544:A1 *6258:11 3.2534e-05 -12 *27187:S *6258:12 0.000130991 -13 *27402:B2 *6258:12 0.000508897 -14 *27605:A2 *6258:12 0 -15 *27765:A2 *6258:12 0.000102969 -16 *28532:RESET_B *6258:12 0.000148082 -17 *28550:RESET_B *6258:12 0.000121805 -18 *28710:CLK *6258:12 0.000168691 -19 *28747:D *6258:12 0.000106864 -20 *28842:A *6258:12 9.48465e-06 -21 *30666:A *6258:11 0 -22 *1225:100 *6258:12 9.97488e-05 -23 *1230:51 *6258:12 0.00144466 -24 *1249:67 *6258:12 0.000121549 -25 *1253:34 *6258:12 0.0015263 -26 *1274:77 *6258:12 0.000665066 -27 *1274:221 *6258:12 0.00217714 -28 *1292:24 *6258:12 1.30275e-05 -29 *1292:65 *6258:12 0.000216895 -30 *1292:81 *6258:12 0.00286958 -31 *1361:78 *6258:12 0.00011927 -32 *1433:23 *6258:12 6.27665e-05 -33 *1484:23 *6258:12 0.00296759 -34 *1566:20 *6258:12 0.000111243 -35 *1834:14 *6258:12 0.000225188 -36 *1834:37 *6258:12 0.000378833 -37 *1834:49 *6258:12 0.00142039 -38 *2778:120 *6258:12 0.000266932 -39 *2780:196 *6258:12 0.000320457 -40 *2780:228 *6258:12 0.000114414 -41 *2877:299 *6258:12 0.00148998 -42 *3578:118 *6258:12 0.00034851 -43 *3666:35 *25336:A1 0.000103713 -44 *3667:41 *25336:A1 6.31544e-05 -45 *3673:23 *25336:A1 0.000195467 -46 *3758:25 *6258:12 8.65974e-05 -47 *3758:41 *6258:12 0.00101312 -48 *3758:43 *6258:12 0.000116143 -49 *3862:42 *6258:12 0.000583344 -50 *3953:6 *6258:12 0.000164052 -51 *4076:48 *6258:12 0.00108597 -52 *4076:52 *6258:12 0.000131604 -53 *4076:59 *6258:12 0.000154703 -54 *4076:72 *6258:12 0.000106898 -55 *4076:87 *6258:12 0.00049439 -56 *4128:10 *6258:12 0.00013936 -57 *4133:12 *6258:12 0.00023921 -58 *4133:77 *6258:12 0.00184048 -59 *4185:59 *25336:A1 0.000112783 -60 *4963:8 *6258:11 0 -61 *5463:14 *6258:11 1.47728e-05 -62 *5585:28 *6258:12 5.75429e-05 -63 *5587:31 *6258:12 0.000671005 -64 *5587:44 *6258:12 0.00010255 -65 *5600:86 *25336:A1 5.05056e-05 -66 *5604:20 *6258:12 0.00022672 -67 *5605:34 *6258:12 0.000474515 -68 *5605:81 *6258:12 0.00115498 -69 *5644:237 *6258:12 0.000354171 -70 *5669:251 *6258:12 0.000127091 -71 *5688:97 *6258:12 0.000105887 -72 *5715:32 *6258:12 0.000360508 -73 *5729:176 *6258:12 0.000116627 -74 *5817:16 *6258:12 0.000154703 -75 *5836:78 *6258:12 0.00394432 -76 *5836:300 *6258:12 0.000341717 -77 *5869:85 *6258:12 0.000111841 -78 *5912:100 *6258:12 0.000104988 -79 *5914:64 *6258:12 0.000218298 -80 *5936:70 *25336:A1 8.3175e-05 -*RES -1 *30756:X *6258:11 22.8012 -2 *6258:11 *6258:12 54.5921 -3 *6258:12 *25336:A1 25.7866 -*END - -*D_NET *6259 0.0106836 -*CONN -*I *27076:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29955:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27076:A1 5.27698e-05 -2 *29955:X 0.00125137 -3 *6259:38 0.00202829 -4 *6259:24 0.00322689 -5 *6259:24 *6268:23 4.22135e-06 -6 *6259:38 *28637:D 0.000134376 -7 *6259:38 *6262:14 7.67929e-05 -8 *26857:A1 *6259:24 0.000530614 -9 *26976:A1 *6259:38 0.000475683 -10 *28533:CLK *6259:38 8.85631e-05 -11 *28904:A *6259:38 0.000185095 -12 *30712:A *6259:24 0.000128218 -13 *778:15 *6259:38 1.90332e-05 -14 *866:20 *6259:38 6.43445e-05 -15 *889:22 *27076:A1 0.000227532 -16 *889:22 *6259:38 3.17148e-05 -17 *978:14 *27076:A1 0.000268396 -18 *2794:86 *6259:38 5.19842e-06 -19 *3835:10 *6259:38 9.82592e-05 -20 *3926:15 *6259:24 0.000153802 -21 *3939:104 *6259:24 7.83659e-05 -22 *4252:9 *6259:38 0.000243818 -23 *4254:17 *6259:24 1.5424e-05 -24 *4854:17 *6259:38 9.64132e-05 -25 *4884:17 *6259:38 0.000305285 -26 *4898:11 *6259:38 0.000163728 -27 *5544:10 *6259:24 9.34751e-05 -28 *5695:25 *27076:A1 2.14658e-05 -29 *5702:202 *6259:38 0.000399848 -30 *5824:170 *6259:38 2.48017e-05 -31 *5830:16 *6259:24 9.01724e-06 -32 *5926:66 *6259:24 0 -33 *6224:18 *6259:24 0.000180777 -*RES -1 *29955:X *6259:24 45.8536 -2 *6259:24 *6259:38 45.8571 -3 *6259:38 *27076:A1 16.3893 -*END - -*D_NET *6260 0.00806063 -*CONN -*I *28645:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29956:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28645:D 0.000426855 -2 *29956:X 0.00189309 -3 *6260:10 0.00231994 -4 *6260:10 *6262:14 4.40015e-05 -5 *6260:10 *6268:23 0.000339908 -6 *28645:RESET_B *28645:D 0.000127657 -7 *29074:A *6260:10 0.000120267 -8 *1018:16 *28645:D 0.000221634 -9 *3848:7 *28645:D 5.52302e-05 -10 *3913:16 *6260:10 0.000259549 -11 *3913:106 *6260:10 8.27532e-05 -12 *4254:17 *6260:10 0.000259674 -13 *5544:5 *6260:10 0.000947092 -14 *5544:11 *28645:D 0.000542526 -15 *5695:16 *28645:D 2.79421e-05 -16 *5695:37 *28645:D 0.000310292 -17 *5695:43 *28645:D 5.33005e-05 -18 *5772:112 *28645:D 8.43535e-06 -19 *5905:37 *6260:10 1.12323e-05 -20 *5905:41 *6260:10 9.25014e-06 -*RES -1 *29956:X *6260:10 44.3 -2 *6260:10 *28645:D 21.3357 -*END - -*D_NET *6261 0.00847876 -*CONN -*I *27067:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29957:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27067:A1 0 -2 *29957:X 0.00176635 -3 *6261:17 0.00176635 -4 *26953:A1 *6261:17 0.000492602 -5 *26976:A1 *6261:17 7.34839e-05 -6 *27067:S *6261:17 5.33005e-05 -7 *28637:RESET_B *6261:17 0.000199086 -8 *29082:A *6261:17 0.0002028 -9 *29104:A *6261:17 9.06952e-05 -10 *29957:A *6261:17 0.00156041 -11 *29975:A *6261:17 0.000205152 -12 *978:14 *6261:17 2.14658e-05 -13 *2779:33 *6261:17 4.36787e-05 -14 *2794:69 *6261:17 0.000902021 -15 *3604:92 *6261:17 0.000160143 -16 *3835:11 *6261:17 0.00046882 -17 *3835:36 *6261:17 5.27506e-05 -18 *3926:29 *6261:17 4.04359e-05 -19 *5695:37 *6261:17 0.000218685 -20 *5772:83 *6261:17 7.33171e-05 -21 *5772:100 *6261:17 2.57489e-05 -22 *5787:9 *6261:17 6.147e-05 -*RES -1 *29957:X *6261:17 45.7671 -2 *6261:17 *27067:A1 9.3 -*END - -*D_NET *6262 0.0101777 -*CONN -*I *28637:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29959:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28637:D 0.000444124 -2 *29959:X 0.00149757 -3 *6262:14 0.00194169 -4 *24833:A *6262:14 1.90936e-05 -5 *26859:A0 *6262:14 0.000452799 -6 *28452:RESET_B *6262:14 0.000100782 -7 *28533:CLK *28637:D 0.000135028 -8 *28556:D *28637:D 0.00014107 -9 *28904:A *28637:D 0.000634259 -10 *29074:A *6262:14 2.80768e-05 -11 *1835:84 *6262:14 0.000655023 -12 *2756:31 *6262:14 5.52654e-05 -13 *3604:124 *6262:14 0.000129706 -14 *3835:10 *6262:14 0.000132309 -15 *4230:17 *6262:14 1.67577e-05 -16 *4786:16 *6262:14 0.000210907 -17 *4854:17 *28637:D 0.00088625 -18 *4854:17 *6262:14 0 -19 *4898:11 *28637:D 4.77403e-05 -20 *5449:16 *6262:14 0.000248717 -21 *5463:14 *6262:14 5.53343e-05 -22 *5588:85 *6262:14 0.000990783 -23 *5771:157 *6262:14 0.000160516 -24 *5905:37 *6262:14 2.06112e-05 -25 *5905:41 *6262:14 0.000562114 -26 *6252:13 *6262:14 0.000356028 -27 *6259:38 *28637:D 0.000134376 -28 *6259:38 *6262:14 7.67929e-05 -29 *6260:10 *6262:14 4.40015e-05 -*RES -1 *29959:X *6262:14 47.0911 -2 *6262:14 *28637:D 34.8714 -*END - -*D_NET *6263 0.00431474 -*CONN -*I *25702:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29960:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25702:A0 0.00094749 -2 *29960:X 0.00094749 -3 *25701:A1 *25702:A0 0.000135028 -4 *25702:A1 *25702:A0 5.52238e-05 -5 *25748:S *25702:A0 0.000110107 -6 *27806:A2 *25702:A0 0.000181752 -7 *29809:A *25702:A0 0.000851291 -8 *29961:A *25702:A0 0.000130393 -9 *1342:26 *25702:A0 0.000287849 -10 *1864:187 *25702:A0 0.000164838 -11 *3981:21 *25702:A0 5.06936e-05 -12 *3981:42 *25702:A0 0.000149904 -13 *5442:74 *25702:A0 7.6644e-05 -14 *5694:217 *25702:A0 5.33005e-05 -15 *6112:8 *25702:A0 0.000172735 -*RES -1 *29960:X *25702:A0 49.5643 -*END - -*D_NET *6264 0.00302367 -*CONN -*I *28181:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29961:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28181:D 0.000742083 -2 *29961:X 0.000742083 -3 *25701:A0 *28181:D 0.000319316 -4 *25701:A1 *28181:D 0.000364967 -5 *25710:A1 *28181:D 0.000513585 -6 *1371:19 *28181:D 6.82922e-05 -7 *5583:170 *28181:D 2.28598e-05 -8 *5583:178 *28181:D 0.000114665 -9 *5926:122 *28181:D 5.74562e-05 -10 *6112:8 *28181:D 7.83659e-05 -*RES -1 *29961:X *28181:D 41.6179 -*END - -*D_NET *6265 0.00215176 -*CONN -*I *27185:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29962:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27185:A1 0.000538958 -2 *29962:X 0.000538958 -3 *27185:A1 *28742:D 7.09286e-05 -4 *27203:S *27185:A1 0.000315158 -5 *29962:A *27185:A1 0.000124521 -6 *3538:76 *27185:A1 0.00021527 -7 *4021:5 *27185:A1 5.33005e-05 -8 *4021:12 *27185:A1 0.000146474 -9 *5703:21 *27185:A1 0.000148196 -*RES -1 *29962:X *27185:A1 38.0107 -*END - -*D_NET *6266 0.00283292 -*CONN -*I *28742:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29963:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28742:D 0.000785635 -2 *29963:X 0.000785635 -3 *27185:A1 *28742:D 7.09286e-05 -4 *28742:CLK *28742:D 0.000371603 -5 *29962:A *28742:D 0.000120187 -6 *4021:5 *28742:D 9.71197e-05 -7 *5863:11 *28742:D 0.000172687 -8 *5863:25 *28742:D 0.000429124 -*RES -1 *29963:X *28742:D 36.0107 -*END - -*D_NET *6267 0.00715902 -*CONN -*I *27121:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29964:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27121:A1 0.000300083 -2 *29964:X 0.00132161 -3 *6267:17 0.00162169 -4 *28693:CLK *6267:17 0.000683661 -5 *29981:A *27121:A1 0.000219711 -6 *29981:A *6267:17 2.50565e-05 -7 *1026:19 *27121:A1 4.85178e-05 -8 *2786:172 *27121:A1 5.33334e-05 -9 *3609:11 *6267:17 0.000478089 -10 *3930:22 *6267:17 7.14469e-05 -11 *5544:5 *6267:17 0.000469772 -12 *5544:11 *27121:A1 5.74499e-06 -13 *5544:11 *6267:17 0.000659775 -14 *5695:43 *27121:A1 0.000348213 -15 *5695:240 *27121:A1 0.000251087 -16 *5695:252 *27121:A1 4.27935e-05 -17 *5772:112 *27121:A1 0.000558436 -*RES -1 *29964:X *6267:17 41.3 -2 *6267:17 *27121:A1 18.4607 -*END - -*D_NET *6268 0.00620702 -*CONN -*I *28685:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29965:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28685:D 0 -2 *29965:X 0.00169617 -3 *6268:23 0.00169617 -4 *6268:23 *28453:D 0.00111789 -5 *28454:RESET_B *6268:23 5.60382e-05 -6 *28685:RESET_B *6268:23 2.51837e-05 -7 *1018:16 *6268:23 0.000516274 -8 *3604:124 *6268:23 2.89114e-05 -9 *3913:5 *6268:23 1.00733e-05 -10 *3913:16 *6268:23 0.000239615 -11 *3913:106 *6268:23 4.87854e-05 -12 *4254:17 *6268:23 0.000196211 -13 *5830:16 *6268:23 0.000231572 -14 *6259:24 *6268:23 4.22135e-06 -15 *6260:10 *6268:23 0.000339908 -*RES -1 *29965:X *6268:23 49.55 -2 *6268:23 *28685:D 9.3 -*END - -*D_NET *6269 0.0701149 -*CONN -*I *25273:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30757:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *25273:B2 0.000344145 -2 *30757:X 0.000684796 -3 *6269:12 0.0178063 -4 *6269:11 0.018147 -5 *24960:A *6269:12 8.5641e-05 -6 *25224:A *25273:B2 2.01997e-05 -7 *25273:A2 *25273:B2 2.21972e-05 -8 *25344:A1 *6269:12 0.000111243 -9 *25362:B1 *6269:12 0.00225836 -10 *25378:A1 *6269:12 0.00123782 -11 *25687:A1 *6269:11 3.43988e-06 -12 *27169:S *6269:12 0.000375392 -13 *28651:CLK *6269:12 0.000103244 -14 *28751:SET_B *6269:12 0.000101444 -15 *29904:A *6269:11 0.000185054 -16 *30704:A *6269:11 0.000142367 -17 *247:17 *6269:11 7.07686e-05 -18 *1228:47 *6269:12 0.000126716 -19 *1256:31 *6269:12 7.04401e-05 -20 *1256:76 *6269:12 0.00142252 -21 *1258:14 *6269:12 0.000375731 -22 *1260:35 *6269:12 0.000426373 -23 *1260:58 *6269:12 2.33124e-05 -24 *1265:119 *6269:12 0.00155877 -25 *1361:29 *6269:12 0.00142734 -26 *1406:8 *6269:12 0.000123605 -27 *1562:19 *6269:12 4.58941e-05 -28 *1654:20 *25273:B2 0.000735509 -29 *1654:20 *6269:12 0.00014833 -30 *1659:34 *6269:12 0.000433863 -31 *1696:10 *6269:12 0.000263954 -32 *1835:6 *6269:12 0.000549773 -33 *1835:35 *6269:11 0.00122623 -34 *2771:93 *6269:12 0.000352238 -35 *2778:152 *6269:12 5.21577e-05 -36 *2779:121 *6269:12 0.00103628 -37 *2791:209 *6269:12 5.30373e-05 -38 *2879:118 *6269:12 8.87669e-05 -39 *2879:127 *6269:12 0.00243454 -40 *2891:200 *6269:12 1.43819e-05 -41 *2978:22 *6269:12 0.00249925 -42 *3153:221 *6269:12 0.00029278 -43 *3601:55 *6269:12 0.000128905 -44 *3667:41 *25273:B2 0.000793386 -45 *3667:65 *6269:12 0.000108889 -46 *3673:23 *25273:B2 1.721e-05 -47 *3673:23 *6269:12 0.000137113 -48 *3712:38 *6269:12 0.000160692 -49 *3768:22 *6269:12 0.000102225 -50 *3768:42 *6269:12 0.000926712 -51 *3987:58 *25273:B2 5.00194e-05 -52 *4031:18 *6269:12 0.000261966 -53 *4054:66 *6269:12 0.000143652 -54 *4952:14 *6269:12 8.07182e-05 -55 *5397:23 *6269:12 0.000141621 -56 *5463:14 *6269:11 0.000578554 -57 *5584:139 *6269:12 0.00229177 -58 *5585:8 *6269:12 0.000388517 -59 *5585:23 *6269:12 0.000155388 -60 *5588:8 *6269:12 0.000121503 -61 *5589:73 *6269:12 0.000701472 -62 *5609:107 *6269:12 2.49398e-05 -63 *5700:50 *6269:12 0.000120211 -64 *5713:49 *6269:12 0.000269165 -65 *5713:336 *6269:12 0.000632617 -66 *5725:24 *6269:12 0.000359586 -67 *5772:34 *6269:12 0.000127987 -68 *5772:40 *6269:12 0.000121787 -69 *5777:32 *6269:12 0.00273715 -70 *5777:46 *6269:12 0.000110498 -71 *5777:82 *6269:12 0.000158357 -72 *5777:94 *6269:12 0.000346926 -73 *6247:6 *6269:12 0.000334112 -*RES -1 *30757:X *6269:11 25.1794 -2 *6269:11 *6269:12 52.6745 -3 *6269:12 *25273:B2 31.3012 -*END - -*D_NET *6270 0.00780954 -*CONN -*I *27172:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29966:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27172:A1 0 -2 *29966:X 0.00202842 -3 *6270:11 0.00202842 -4 *6270:11 *6279:29 0.000992362 -5 *27201:A0 *6270:11 0.000733688 -6 *28536:D *6270:11 0.000104523 -7 *28536:RESET_B *6270:11 0.000128809 -8 *29966:A *6270:11 0.000155542 -9 *30712:A *6270:11 9.41642e-05 -10 *866:20 *6270:11 0.000737528 -11 *2794:69 *6270:11 5.75573e-06 -12 *4004:46 *6270:11 0.000434813 -13 *5682:264 *6270:11 0.000310298 -14 *5814:10 *6270:11 5.52238e-05 -*RES -1 *29966:X *6270:11 43.2643 -2 *6270:11 *27172:A1 9.3 -*END - -*D_NET *6271 0.00628254 -*CONN -*I *28730:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29967:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28730:D 0 -2 *29967:X 0.00160013 -3 *6271:17 0.00160013 -4 *6271:17 *6279:29 9.65172e-05 -5 *24832:A *6271:17 0.000132974 -6 *26953:A1 *6271:17 0.000142978 -7 *28730:RESET_B *6271:17 0.00043072 -8 *28987:A *6271:17 0.000567271 -9 *29979:A *6271:17 0.00016712 -10 *3916:14 *6271:17 0.000354932 -11 *4004:46 *6271:17 0.000307343 -12 *4817:18 *6271:17 0.00052833 -13 *5776:167 *6271:17 0.000354098 -*RES -1 *29967:X *6271:17 48.8536 -2 *6271:17 *28730:D 9.3 -*END - -*D_NET *6272 0.00202902 -*CONN -*I *27148:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29968:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27148:A1 0.000282562 -2 *29968:X 0.000282562 -3 *27150:S *27148:A1 0.000348207 -4 *28464:D *27148:A1 0.00018077 -5 *29055:A *27148:A1 0.000178847 -6 *2788:168 *27148:A1 5.33005e-05 -7 *3965:10 *27148:A1 2.91494e-05 -8 *3965:22 *27148:A1 1.41706e-05 -9 *4004:16 *27148:A1 3.95183e-05 -10 *5584:194 *27148:A1 0.00038907 -11 *5814:12 *27148:A1 0.000230869 -*RES -1 *29968:X *27148:A1 36.35 -*END - -*D_NET *6273 0.00127306 -*CONN -*I *28709:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29970:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28709:D 0.00025411 -2 *29970:X 0.00025411 -3 *28709:RESET_B *28709:D 3.71789e-06 -4 *1225:203 *28709:D 0.000183419 -5 *2793:14 *28709:D 0.000236944 -6 *3965:10 *28709:D 9.41642e-05 -7 *4004:16 *28709:D 0.000123295 -8 *5775:41 *28709:D 0.000123295 -*RES -1 *29970:X *28709:D 34.7071 -*END - -*D_NET *6274 0.00671547 -*CONN -*I *26871:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *25722:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29971:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26871:A1 0 -2 *25722:A0 0.000507769 -3 *29971:X 0.00149559 -4 *6274:8 0.00200335 -5 *25722:A1 *25722:A0 0.00049207 -6 *25722:A1 *6274:8 3.69861e-05 -7 *28446:D *6274:8 2.00085e-05 -8 *28446:RESET_B *6274:8 1.84865e-05 -9 *29062:A *6274:8 0.000379807 -10 *2779:67 *25722:A0 8.85664e-07 -11 *3604:30 *6274:8 4.18747e-05 -12 *3861:40 *6274:8 0 -13 *5656:350 *25722:A0 4.34543e-05 -14 *5716:192 *6274:8 0.000115307 -15 *5771:91 *25722:A0 0.000140941 -16 *5771:91 *6274:8 2.17809e-05 -17 *5771:116 *6274:8 9.85599e-06 -18 *5820:15 *25722:A0 0.000122942 -19 *5863:60 *6274:8 0.000388481 -20 *5910:42 *25722:A0 0.000822576 -21 *5914:29 *25722:A0 5.33005e-05 -*RES -1 *29971:X *6274:8 34.5143 -2 *6274:8 *25722:A0 29.0321 -3 *6274:8 *26871:A1 13.8 -*END - -*D_NET *6275 0.00512452 -*CONN -*I *28463:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29972:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28463:D 0 -2 *29972:X 0.000964031 -3 *6275:11 0.000964031 -4 *27177:B *6275:11 0.000142856 -5 *29972:A *6275:11 1.90303e-05 -6 *1292:133 *6275:11 0.000777796 -7 *2786:22 *6275:11 0.00032959 -8 *2792:13 *6275:11 0.00112597 -9 *2792:62 *6275:11 0.000437762 -10 *4030:18 *6275:11 0.000331312 -11 *5584:194 *6275:11 3.21343e-05 -*RES -1 *29972:X *6275:11 44.2821 -2 *6275:11 *28463:D 9.3 -*END - -*D_NET *6276 0.0042452 -*CONN -*I *27190:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29973:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27190:A1 0.0011401 -2 *29973:X 0.0011401 -3 *27190:A1 *6278:11 0.000852316 -4 *27190:A1 *6284:17 0.000119558 -5 *29974:A *27190:A1 0.000442628 -6 *3913:16 *27190:A1 0.000321131 -7 *3913:21 *27190:A1 5.84171e-05 -8 *5771:187 *27190:A1 0.000170948 -*RES -1 *29973:X *27190:A1 46.0643 -*END - -*D_NET *6277 0.00525946 -*CONN -*I *28746:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29974:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28746:D 0 -2 *29974:X 0.00173318 -3 *6277:9 0.00173318 -4 *28974:A *6277:9 2.79421e-05 -5 *29967:A *6277:9 4.05977e-05 -6 *29973:A *6277:9 0.000156414 -7 *29979:A *6277:9 0.000338862 -8 *4030:18 *6277:9 2.40379e-05 -9 *4875:18 *6277:9 0.000181596 -10 *5682:11 *6277:9 4.0342e-06 -11 *5683:32 *6277:9 0.000815025 -12 *5702:202 *6277:9 0.000204602 -13 *5926:66 *6277:9 0 -*RES -1 *29974:X *6277:9 44.8402 -2 *6277:9 *28746:D 9.3 -*END - -*D_NET *6278 0.00591951 -*CONN -*I *26950:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29975:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26950:A0 0 -2 *29975:X 0.0015884 -3 *6278:11 0.0015884 -4 *26950:A1 *6278:11 5.33005e-05 -5 *26953:A1 *6278:11 0.000269428 -6 *27190:A1 *6278:11 0.000852316 -7 *28730:RESET_B *6278:11 4.20368e-05 -8 *29973:A *6278:11 5.52302e-05 -9 *29975:A *6278:11 0.000496019 -10 *29979:A *6278:11 0.000201714 -11 *2766:57 *6278:11 0.000270024 -12 *2791:275 *6278:11 2.93959e-05 -13 *4056:53 *6278:11 0.000181796 -14 *4817:18 *6278:11 1.21289e-05 -15 *5824:170 *6278:11 0.000252446 -16 *5926:66 *6278:11 2.68817e-05 -*RES -1 *29975:X *6278:11 46.1393 -2 *6278:11 *26950:A0 9.3 -*END - -*D_NET *6279 0.00666851 -*CONN -*I *28533:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29976:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28533:D 0.000354014 -2 *29976:X 0.000722107 -3 *6279:29 0.00107612 -4 *24832:A *6279:29 9.65172e-05 -5 *27172:A0 *28533:D 0.000206635 -6 *27172:A0 *6279:29 2.14658e-05 -7 *28533:RESET_B *28533:D 4.27935e-05 -8 *28987:A *6279:29 9.41642e-05 -9 *29957:A *6279:29 0.000306317 -10 *29966:A *6279:29 4.18895e-05 -11 *29975:A *28533:D 0.000108525 -12 *29975:A *6279:29 0.000139913 -13 *30712:A *6279:29 9.60875e-05 -14 *2791:275 *6279:29 6.57032e-05 -15 *2794:69 *6279:29 0.000954321 -16 *2794:86 *28533:D 0.000795381 -17 *2794:86 *6279:29 2.28598e-05 -18 *4004:46 *6279:29 0.000434813 -19 *6270:11 *6279:29 0.000992362 -20 *6271:17 *6279:29 9.65172e-05 -*RES -1 *29976:X *6279:29 41.3179 -2 *6279:29 *28533:D 19.2821 -*END - -*D_NET *6280 0.0716761 -*CONN -*I *25394:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *30758:X O *D sky130_fd_sc_hd__clkbuf_4 -*CAP -1 *25394:B2 0.0037096 -2 *30758:X 0 -3 *6280:18 0.00795854 -4 *6280:17 0.00706991 -5 *6280:6 0.00636554 -6 *6280:5 0.00354457 -7 *24940:A *6280:18 0.000142082 -8 *25119:B *25394:B2 1.58918e-05 -9 *25146:A2 *6280:17 0.000134578 -10 *25170:B *6280:18 0.000158412 -11 *25253:B2 *6280:18 0.00267187 -12 *25305:A2 *25394:B2 8.40551e-05 -13 *25383:A1 *6280:6 0.000527807 -14 *25544:A1 *6280:6 0 -15 *26865:B *6280:6 0.000192983 -16 *26947:A0 *6280:6 0.000178809 -17 *27059:A1 *6280:18 0.0001039 -18 *27415:A1 *6280:17 0.00194696 -19 *27748:A2 *6280:6 0.000204922 -20 *28532:RESET_B *6280:6 0.000567145 -21 *28630:RESET_B *6280:18 2.23231e-05 -22 *28842:A *25394:B2 0.00294461 -23 *29099:A *6280:6 0 -24 *29904:A *6280:6 6.74751e-05 -25 *30666:A *6280:6 5.81953e-05 -26 *30777:A *6280:18 0.000188967 -27 *31014:A *25394:B2 8.77988e-05 -28 *1218:29 *25394:B2 0.000262992 -29 *1225:15 *6280:18 7.90803e-05 -30 *1225:89 *6280:18 0.00193462 -31 *1225:100 *6280:18 0.000365925 -32 *1235:181 *6280:6 0.000293429 -33 *1243:8 *6280:18 1.12323e-05 -34 *1243:34 *6280:18 0.000504067 -35 *1243:58 *6280:18 0.000332424 -36 *1251:29 *25394:B2 0.000868293 -37 *1253:34 *25394:B2 0.000152438 -38 *1253:50 *25394:B2 0.00162483 -39 *1256:96 *6280:17 0 -40 *1256:135 *6280:17 0 -41 *1279:11 *6280:18 0.000123589 -42 *1286:72 *6280:6 0.000100585 -43 *1361:68 *6280:6 0.00013889 -44 *1361:78 *6280:6 1.41029e-05 -45 *1406:38 *6280:18 0.000205996 -46 *1420:40 *25394:B2 2.83129e-05 -47 *1421:100 *6280:18 0.000135968 -48 *1449:84 *25394:B2 0.000138697 -49 *1460:13 *6280:18 0.000166347 -50 *1471:140 *25394:B2 0.000202209 -51 *1485:39 *25394:B2 0 -52 *1549:38 *6280:18 0.000122933 -53 *1557:16 *6280:17 7.56168e-05 -54 *1576:8 *6280:18 0.00140672 -55 *1576:27 *6280:18 1.34436e-05 -56 *1729:10 *25394:B2 0.000198599 -57 *1834:84 *25394:B2 0.000146877 -58 *1862:82 *6280:6 0 -59 *2764:52 *6280:6 5.41794e-05 -60 *2770:156 *6280:6 0.000685059 -61 *2778:152 *6280:18 0.00150715 -62 *2788:124 *6280:6 0.000388756 -63 *2791:209 *6280:17 0 -64 *2893:24 *6280:17 0.000178285 -65 *2893:26 *6280:18 0 -66 *2893:43 *6280:18 0.000240212 -67 *2970:19 *6280:17 0.00141419 -68 *2970:25 *6280:18 0.000174082 -69 *3182:55 *25394:B2 4.82865e-05 -70 *3304:37 *6280:17 0.000180508 -71 *3545:8 *25394:B2 0.000105981 -72 *3732:11 *6280:6 0.000149441 -73 *3758:25 *6280:17 5.59449e-05 -74 *3814:69 *25394:B2 9.41642e-05 -75 *3823:40 *6280:18 0.000376953 -76 *3849:11 *6280:6 0.000370271 -77 *3907:76 *25394:B2 0.000185903 -78 *4043:70 *6280:17 0.000176569 -79 *4083:10 *6280:6 0.00145305 -80 *4102:8 *6280:6 0 -81 *4102:12 *6280:6 0 -82 *4129:77 *6280:18 0.000848497 -83 *4129:90 *6280:18 0.00133339 -84 *4133:12 *6280:6 0.000282766 -85 *4133:32 *6280:18 0.000284425 -86 *4186:20 *25394:B2 0.000389667 -87 *5444:15 *6280:6 3.69697e-05 -88 *5582:113 *6280:6 0.00144322 -89 *5585:47 *6280:18 0.000183248 -90 *5589:14 *6280:18 0.000422368 -91 *5604:20 *25394:B2 0 -92 *5616:30 *6280:18 0.000705654 -93 *5616:34 *6280:18 0.000215021 -94 *5626:49 *6280:18 4.00349e-05 -95 *5650:268 *6280:18 0.000726221 -96 *5688:97 *6280:17 0.000602302 -97 *5688:349 *6280:18 0.000806511 -98 *5704:6 *6280:6 0.00527606 -99 *5769:187 *6280:6 9.24299e-05 -100 *5792:28 *6280:17 0.000110498 -101 *5795:96 *6280:18 0.000158925 -102 *5832:46 *6280:6 4.038e-06 -103 *5836:78 *6280:18 0.000144038 -104 *5836:257 *6280:18 0.000287496 -105 *5869:85 *6280:18 0.000587682 -106 *5879:188 *6280:6 0.000260519 -107 *6258:11 *6280:6 0 -*RES -1 *30758:X *6280:5 13.8 -2 *6280:5 *6280:6 108.955 -3 *6280:6 *6280:17 22.3444 -4 *6280:17 *6280:18 135.518 -5 *6280:18 *25394:B2 41.4819 -*END - -*D_NET *6281 0.00343603 -*CONN -*I *27208:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29977:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *27208:A1 0.00043974 -2 *29977:X 0.00043974 -3 *29062:A *27208:A1 0.000518454 -4 *4056:13 *27208:A1 8.60361e-05 -5 *4056:18 *27208:A1 0.000262841 -6 *5695:67 *27208:A1 0.000214845 -7 *5709:312 *27208:A1 3.76286e-05 -8 *5829:207 *27208:A1 9.75253e-05 -9 *5863:32 *27208:A1 0.00133922 -*RES -1 *29977:X *27208:A1 43.3143 -*END - -*D_NET *6282 0.00491839 -*CONN -*I *28762:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29978:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28762:D 0.00184232 -2 *29978:X 0.00184232 -3 *28537:D *28762:D 0.000696673 -4 *3604:13 *28762:D 3.23539e-05 -5 *3604:65 *28762:D 0.000493584 -6 *4056:8 *28762:D 1.11474e-05 -*RES -1 *29978:X *28762:D 42.1937 -*END - -*D_NET *6283 0.00392259 -*CONN -*I *26986:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29979:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26986:A1 0.00139727 -2 *29979:X 0.00139727 -3 *29126:A *26986:A1 1.58163e-05 -4 *29974:A *26986:A1 0.000265447 -5 *972:21 *26986:A1 0.000643825 -6 *4817:18 *26986:A1 0 -7 *5776:167 *26986:A1 1.02504e-05 -8 *5905:18 *26986:A1 3.34783e-05 -9 *5912:54 *26986:A1 0.000159245 -*RES -1 *29979:X *26986:A1 45.6 -*END - -*D_NET *6284 0.0052124 -*CONN -*I *28565:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29981:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28565:D 0 -2 *29981:X 0.00122075 -3 *6284:17 0.00122075 -4 *26986:S *6284:17 3.09981e-05 -5 *27190:A1 *6284:17 0.000119558 -6 *28693:CLK *6284:17 9.74668e-05 -7 *28762:RESET_B *6284:17 5.83304e-05 -8 *29955:A *6284:17 0.000185437 -9 *29981:A *6284:17 1.24368e-05 -10 *978:14 *6284:17 4.21517e-05 -11 *1026:19 *6284:17 0.000211829 -12 *2779:48 *6284:17 0.000569611 -13 *3887:97 *6284:17 1.34009e-05 -14 *3913:16 *6284:17 0.000288222 -15 *5683:32 *6284:17 6.09762e-05 -16 *5771:187 *6284:17 0.000565273 -17 *5781:10 *6284:17 0.000335032 -18 *5881:33 *6284:17 0.00018018 -*RES -1 *29981:X *6284:17 48.6747 -2 *6284:17 *28565:D 9.3 -*END - -*D_NET *6285 0.011148 -*CONN -*I *26860:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29982:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26860:A1 6.04149e-05 -2 *29982:X 0.00212192 -3 *6285:21 0.00218233 -4 *24821:A *6285:21 4.92977e-05 -5 *24831:A *6285:21 9.54798e-06 -6 *24833:A *26860:A1 0.000119179 -7 *26860:S *26860:A1 0.000117662 -8 *26864:A0 *6285:21 3.99227e-05 -9 *28453:RESET_B *6285:21 2.90184e-05 -10 *28454:CLK *6285:21 3.69047e-06 -11 *28454:D *6285:21 0.000346734 -12 *29409:A *6285:21 4.28249e-05 -13 *29939:A *6285:21 0.00121901 -14 *786:13 *6285:21 0.000117072 -15 *1835:84 *6285:21 0.00157078 -16 *4056:53 *6285:21 0.000313241 -17 *4925:25 *6285:21 0.00159352 -18 *5408:42 *6285:21 0 -19 *5588:85 *6285:21 9.4785e-05 -20 *5880:24 *6285:21 1.89266e-05 -21 *5893:81 *6285:21 0.00109813 -*RES -1 *29982:X *6285:21 49.285 -2 *6285:21 *26860:A1 15.4429 -*END - -*D_NET *6286 0.0101327 -*CONN -*I *28453:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *29983:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28453:D 0.000698169 -2 *29983:X 0.000792703 -3 *6286:16 0.00149087 -4 *25739:A0 *6286:16 0.00030215 -5 *26861:A1 *28453:D 8.49987e-05 -6 *26861:S *28453:D 4.18895e-05 -7 *28457:D *6286:16 6.59704e-05 -8 *29153:A *6286:16 0.000296164 -9 *29154:A *6286:16 0.000111439 -10 *29965:A *28453:D 0.000646711 -11 *29983:A *6286:16 8.7935e-05 -12 *30435:A *6286:16 9.60875e-05 -13 *30559:A *28453:D 0.00048939 -14 *30863:A *6286:16 0.000188586 -15 *790:22 *6286:16 0.000481568 -16 *1083:15 *6286:16 8.6229e-06 -17 *2756:66 *28453:D 0.000341108 -18 *2756:93 *6286:16 1.15058e-05 -19 *4802:10 *28453:D 0.000484524 -20 *4924:17 *6286:16 8.71613e-05 -21 *4925:25 *6286:16 7.65804e-05 -22 *5179:16 *6286:16 0.000268043 -23 *5181:22 *28453:D 0.000141975 -24 *5451:23 *28453:D 0.000281441 -25 *5462:33 *28453:D 0.000323805 -26 *5879:237 *28453:D 0.000217581 -27 *5880:24 *6286:16 0.00073633 -28 *5893:81 *6286:16 6.24939e-05 -29 *6222:14 *28453:D 9.90431e-05 -30 *6268:23 *28453:D 0.00111789 -*RES -1 *29983:X *6286:16 47.0815 -2 *6286:16 *28453:D 37.0857 -*END - -*D_NET *6287 0.00150219 -*CONN -*I *25953:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29984:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25953:A0 0.000224835 -2 *29984:X 0.000224835 -3 *25953:A1 *25953:A0 5.33005e-05 -4 *29984:A *25953:A0 9.60939e-05 -5 *1240:41 *25953:A0 8.09303e-05 -6 *1900:17 *25953:A0 0.000137561 -7 *5522:56 *25953:A0 0.000684633 -*RES -1 *29984:X *25953:A0 25.2964 -*END - -*D_NET *6288 0.000385837 -*CONN -*I *28394:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *29985:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28394:D 0.000106071 -2 *29985:X 0.000106071 -3 *29985:A *28394:D 5.52302e-05 -4 *1721:14 *28394:D 5.92328e-05 -5 *5620:44 *28394:D 5.92328e-05 -*RES -1 *29985:X *28394:D 29.7786 -*END - -*D_NET *6289 0.00159806 -*CONN -*I *25911:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29986:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25911:A1 0.000479373 -2 *29986:X 0.000479373 -3 *25908:A1 *25911:A1 0.000639312 -*RES -1 *29986:X *25911:A1 24.8857 -*END - -*D_NET *6290 0.0021288 -*CONN -*I *28359:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29987:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28359:D 0.000525829 -2 *29987:X 0.000525829 -3 *1401:37 *28359:D 2.8078e-05 -4 *1600:19 *28359:D 0.000680776 -5 *3780:7 *28359:D 0.000253774 -6 *5735:18 *28359:D 0.000114517 -*RES -1 *29987:X *28359:D 31.4571 -*END - -*D_NET *6291 0.0652402 -*CONN -*I *26096:D_N I *D sky130_fd_sc_hd__or4bb_4 -*I *25999:C_N I *D sky130_fd_sc_hd__nor3b_2 -*I *25969:A2 I *D sky130_fd_sc_hd__o211a_4 -*I *24861:A I *D sky130_fd_sc_hd__inv_4 -*I *25989:B I *D sky130_fd_sc_hd__nand2b_2 -*I *26011:A I *D sky130_fd_sc_hd__nand2_8 -*I *26074:A I *D sky130_fd_sc_hd__nor2_8 -*I *26123:A2 I *D sky130_fd_sc_hd__o311a_1 -*I *26194:B I *D sky130_fd_sc_hd__or4_4 -*I *26044:B I *D sky130_fd_sc_hd__or3_4 -*I *25995:B I *D sky130_fd_sc_hd__nand2_2 -*I *26161:B I *D sky130_fd_sc_hd__and4_4 -*I *26162:A I *D sky130_fd_sc_hd__nand2_8 -*I *26226:A2 I *D sky130_fd_sc_hd__a41o_1 -*I *26024:A I *D sky130_fd_sc_hd__nor2_4 -*I *25968:B I *D sky130_fd_sc_hd__or2_1 -*I *30759:X O *D sky130_fd_sc_hd__buf_12 -*CAP -1 *26096:D_N 2.01608e-05 -2 *25999:C_N 0.00103149 -3 *25969:A2 1.40568e-05 -4 *24861:A 0 -5 *25989:B 0.000530795 -6 *26011:A 0.000228356 -7 *26074:A 0 -8 *26123:A2 0 -9 *26194:B 0.00110637 -10 *26044:B 5.86667e-05 -11 *25995:B 0 -12 *26161:B 7.65445e-05 -13 *26162:A 0.000546759 -14 *26226:A2 0 -15 *26024:A 1.27202e-05 -16 *25968:B 0.000908503 -17 *30759:X 0.000325503 -18 *6291:186 0.00139291 -19 *6291:123 0.00188236 -20 *6291:95 0.00147023 -21 *6291:88 0.00239199 -22 *6291:80 0.00167351 -23 *6291:67 0.00160891 -24 *6291:60 0.000795464 -25 *6291:58 0.00168155 -26 *6291:56 0.000457294 -27 *6291:54 0.00223918 -28 *6291:40 0.00234371 -29 *6291:30 0.0016389 -30 *6291:16 0.000909187 -31 *6291:13 0.00290946 -32 *6291:8 0.00212952 -33 *25504:C *25999:C_N 0.000934289 -34 *25968:A *25968:B 0.000135028 -35 *25995:A *6291:67 5.33005e-05 -36 *25999:A *25999:C_N 5.52302e-05 -37 *25999:B *25999:C_N 9.90431e-05 -38 *26020:C *6291:95 5.29004e-05 -39 *26022:A *6291:80 0.000125717 -40 *26032:A1 *6291:80 1.98839e-05 -41 *26032:B1 *6291:80 0.000383166 -42 *26060:A *26162:A 9.79355e-05 -43 *26091:B *25999:C_N 8.43535e-06 -44 *26096:C_N *26096:D_N 5.52238e-05 -45 *26123:A1 *6291:58 0.000190974 -46 *26123:B1 *6291:186 0 -47 *26126:B *6291:186 4.04292e-05 -48 *26185:A *6291:95 5.92455e-05 -49 *26194:D *26194:B 0.000233746 -50 *26215:A *6291:80 0.000106933 -51 *26215:C *6291:80 1.83136e-05 -52 *26220:A *25968:B 0.000309371 -53 *26271:A *6291:123 9.41642e-05 -54 *26277:C *25989:B 9.23096e-05 -55 *26286:A *6291:40 2.39876e-05 -56 *26298:B *6291:30 0.000293911 -57 *26313:A *6291:13 0 -58 *26313:B *26096:D_N 2.44318e-05 -59 *26325:A1 *6291:40 0.000865272 -60 *26330:A2 *6291:30 2.83129e-05 -61 *26334:C1 *6291:13 0 -62 *26335:B1 *26096:D_N 9.71197e-05 -63 *26455:A2 *6291:30 0.000129719 -64 *26480:A1 *6291:186 2.91238e-05 -65 *26483:B1 *26194:B 0.000475802 -66 *26483:B1 *6291:58 1.90323e-05 -67 *26483:B1 *6291:60 2.03618e-05 -68 *26543:A1 *6291:80 6.05161e-06 -69 *26555:B *6291:186 4.04292e-05 -70 *26559:A *6291:30 0.000192088 -71 *26562:A3 *6291:58 0.000211026 -72 *26562:A3 *6291:60 9.11541e-06 -73 *26562:B1 *6291:60 0 -74 *26567:A2 *26011:A 0.000229274 -75 *26567:A2 *6291:186 0.000725384 -76 *26676:B2 *6291:40 0.000473662 -77 *26691:A *6291:186 0.000178913 -78 *26742:A1 *26162:A 0.00021023 -79 *26789:B_N *6291:186 0.000205852 -80 *26789:C *6291:54 8.77511e-05 -81 *30594:A *6291:16 1.18064e-05 -82 *30594:A *6291:30 0.000323434 -83 *30615:A *6291:8 0 -84 *30618:A *6291:8 6.05161e-06 -85 *1186:19 *26044:B 1.5424e-05 -86 *1186:19 *6291:58 0.000814134 -87 *1186:19 *6291:60 0.000286761 -88 *1186:40 *26044:B 9.71197e-05 -89 *1186:40 *6291:80 4.98055e-06 -90 *1186:40 *6291:123 0 -91 *1187:41 *6291:67 8.35738e-05 -92 *1187:48 *6291:80 9.1144e-05 -93 *1187:48 *6291:88 1.94879e-05 -94 *1771:43 *6291:54 1.18889e-06 -95 *1771:43 *6291:56 7.3563e-05 -96 *1771:43 *6291:60 1.41295e-05 -97 *1771:43 *6291:67 0.000153479 -98 *1793:22 *25999:C_N 0.000310298 -99 *1874:27 *6291:123 8.92205e-05 -100 *1874:35 *6291:95 0.000258288 -101 *1909:30 *25968:B 9.83388e-05 -102 *1910:5 *25968:B 8.08521e-05 -103 *1910:20 *25968:B 4.10926e-05 -104 *1910:20 *6291:123 8.76591e-06 -105 *1911:14 *6291:30 0.000238982 -106 *1931:45 *6291:186 0.00068452 -107 *1937:7 *6291:67 6.86693e-05 -108 *1942:25 *26011:A 0.00013575 -109 *1942:70 *25968:B 1.56937e-05 -110 *1944:23 *26044:B 0.000139907 -111 *1946:15 *6291:40 4.98973e-05 -112 *1946:15 *6291:54 0.000143128 -113 *1946:29 *6291:88 0.000102327 -114 *1946:29 *6291:95 4.53109e-06 -115 *1951:10 *26011:A 0.000147601 -116 *1960:24 *6291:54 0.000175892 -117 *1963:8 *6291:123 3.46889e-05 -118 *1964:66 *26162:A 9.60875e-05 -119 *1965:8 *25968:B 6.26796e-05 -120 *1965:8 *26024:A 4.51632e-05 -121 *1966:17 *26162:A 0.000153745 -122 *1966:17 *6291:123 0.000140769 -123 *2031:68 *6291:186 7.85356e-05 -124 *2032:76 *6291:54 6.5788e-05 -125 *2032:76 *6291:56 0.000172431 -126 *2032:76 *6291:58 0.000333064 -127 *2032:169 *6291:80 0.000650936 -128 *2046:10 *6291:30 6.16936e-05 -129 *2046:51 *25999:C_N 0.00246244 -130 *2046:51 *6291:13 0.000248902 -131 *2047:113 *26044:B 0.000266486 -132 *2048:10 *6291:80 9.8045e-05 -133 *2048:10 *6291:88 1.94879e-05 -134 *2048:36 *6291:40 0.000215699 -135 *2048:36 *6291:54 0.000338351 -136 *2054:53 *6291:88 0.000160692 -137 *2120:21 *6291:123 0.000157971 -138 *2123:6 *6291:123 0.000261874 -139 *2126:8 *6291:123 0.000438317 -140 *2127:15 *25968:B 2.22164e-05 -141 *2127:15 *6291:95 6.98537e-05 -142 *2127:27 *6291:95 0.00016499 -143 *2127:77 *6291:123 0.000700288 -144 *2130:46 *26161:B 0.000136958 -145 *2131:139 *6291:54 8.6229e-06 -146 *2131:152 *6291:54 0.000147402 -147 *2131:158 *6291:54 8.25016e-05 -148 *2136:51 *6291:67 0.000125731 -149 *2141:28 *6291:40 8.55871e-05 -150 *2147:136 *26194:B 0.00215718 -151 *2147:136 *6291:58 4.5539e-05 -152 *2152:157 *6291:13 3.58773e-05 -153 *2154:111 *6291:54 0.000165798 -154 *2157:25 *6291:30 0.000565182 -155 *2159:117 *25968:B 2.83893e-05 -156 *2161:35 *6291:88 0.000173073 -157 *2162:17 *25968:B 6.89087e-05 -158 *2162:17 *26024:A 1.26939e-05 -159 *2173:21 *26162:A 9.8958e-05 -160 *2174:8 *26162:A 0.000101545 -161 *2187:22 *6291:54 3.65881e-05 -162 *2187:25 *6291:40 0.000106953 -163 *2210:17 *26162:A 9.41642e-05 -164 *2217:34 *6291:40 0.000143255 -165 *2220:19 *25999:C_N 6.71252e-05 -166 *2220:33 *6291:8 0.000186631 -167 *2220:33 *6291:13 2.05484e-05 -168 *2227:34 *6291:40 0.000332786 -169 *2228:11 *6291:40 0.000170523 -170 *2233:37 *6291:67 0 -171 *2240:8 *6291:30 0.000533214 -172 *2272:15 *25969:A2 2.89016e-05 -173 *2346:38 *6291:88 0.000373583 -174 *2346:38 *6291:95 7.93022e-05 -175 *2401:23 *6291:54 0.000188255 -176 *2502:12 *6291:60 8.87345e-05 -177 *4264:8 *6291:16 7.51601e-05 -178 *4264:8 *6291:30 6.23602e-05 -179 *4353:68 *26194:B 0.000940788 -180 *4353:70 *6291:80 0.000260993 -181 *4353:88 *26161:B 5.12608e-05 -182 *4353:95 *26161:B 6.86792e-05 -183 *4353:95 *6291:88 0.000134186 -184 *4353:167 *6291:16 0.000153888 -185 *4353:181 *25999:C_N 0.00158907 -186 *4375:10 *25999:C_N 6.30931e-05 -187 *4375:16 *25999:C_N 1.90936e-05 -188 *4397:34 *25999:C_N 9.90431e-05 -189 *4475:34 *6291:186 0.00135707 -190 *4475:37 *26011:A 0.00046716 -191 *4475:37 *6291:186 1.38323e-05 -192 *4508:42 *6291:13 0.00151036 -193 *4508:54 *25969:A2 5.52238e-05 -194 *4508:65 *25989:B 0.00016641 -195 *4508:70 *25989:B 0.000135028 -196 *4519:30 *26194:B 5.1353e-05 -197 *4519:38 *26194:B 4.36402e-05 -198 *4519:71 *6291:80 1.82657e-05 -199 *4541:17 *6291:30 8.16416e-05 -200 *4541:20 *6291:40 2.91794e-05 -201 *4541:22 *6291:40 0 -202 *4541:58 *6291:80 0.000179054 -203 *4552:24 *6291:30 0.000221159 -204 *4552:112 *26161:B 5.49489e-05 -205 *5673:251 *6291:123 2.16755e-05 -206 *5673:281 *6291:54 0.000257619 -207 *5722:69 *26194:B 0 -208 *5782:20 *6291:40 0.000130661 -*RES -1 *30759:X *6291:8 20.2464 -2 *6291:8 *6291:13 7.78482 -3 *6291:13 *6291:16 5.81179 -4 *6291:16 *6291:30 25.4142 -5 *6291:30 *6291:40 36.0179 -6 *6291:40 *6291:54 37.7768 -7 *6291:54 *6291:56 2.40179 -8 *6291:56 *6291:58 13.0268 -9 *6291:58 *6291:60 4.52679 -10 *6291:60 *6291:67 14.0536 -11 *6291:67 *6291:80 33.3929 -12 *6291:80 *6291:88 8.93449 -13 *6291:88 *6291:95 8.23783 -14 *6291:95 *25968:B 26.1125 -15 *6291:95 *26024:A 14.3804 -16 *6291:88 *6291:123 22.7672 -17 *6291:123 *26226:A2 9.3 -18 *6291:123 *26162:A 27.7107 -19 *6291:80 *26161:B 15.9786 -20 *6291:67 *25995:B 9.3 -21 *6291:60 *26044:B 16.3893 -22 *6291:58 *26194:B 29.8233 -23 *6291:56 *26123:A2 13.8 -24 *6291:54 *6291:186 21.721 -25 *6291:186 *26074:A 9.3 -26 *6291:186 *26011:A 25.3179 -27 *6291:40 *25989:B 20.5321 -28 *6291:30 *24861:A 9.3 -29 *6291:16 *25969:A2 14.3357 -30 *6291:13 *25999:C_N 32.3304 -31 *6291:8 *26096:D_N 14.7464 -*END - -*D_NET *6292 0.00307831 -*CONN -*I *26914:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29988:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26914:A1 0.00101016 -2 *29988:X 0.00101016 -3 *29988:A *26914:A1 4.87854e-05 -4 *1292:133 *26914:A1 2.07382e-05 -5 *1292:153 *26914:A1 3.87787e-05 -6 *2766:14 *26914:A1 1.24368e-05 -7 *2786:22 *26914:A1 0.000125717 -8 *2792:62 *26914:A1 0.000604166 -9 *3887:94 *26914:A1 7.99341e-05 -10 *4030:18 *26914:A1 0.000127439 -*RES -1 *29988:X *26914:A1 41.2786 -*END - -*D_NET *6293 0.00332826 -*CONN -*I *28501:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29989:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28501:D 0.000989361 -2 *29989:X 0.000989361 -3 *1292:133 *28501:D 0.00119651 -4 *2764:30 *28501:D 7.48091e-05 -5 *3835:36 *28501:D 3.12114e-05 -6 *5771:107 *28501:D 4.70162e-05 -*RES -1 *29989:X *28501:D 41.9214 -*END - -*D_NET *6294 0.00220499 -*CONN -*I *25958:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29990:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25958:A1 0.000780481 -2 *29990:X 0.000780481 -3 *28626:CLK *25958:A1 9.77185e-05 -4 *1653:35 *25958:A1 9.33141e-05 -5 *3844:25 *25958:A1 3.3824e-05 -6 *5599:91 *25958:A1 6.35819e-05 -7 *5691:149 *25958:A1 9.60939e-05 -8 *5749:32 *25958:A1 0.000259493 -*RES -1 *29990:X *25958:A1 38.5821 -*END - -*D_NET *6295 0.00145499 -*CONN -*I *28398:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29992:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28398:D 0.000184345 -2 *29992:X 0.000184345 -3 *25958:S *28398:D 0.00037284 -4 *1273:14 *28398:D 0.000145753 -5 *1901:41 *28398:D 5.33005e-05 -6 *5691:149 *28398:D 5.49489e-05 -7 *5691:157 *28398:D 0.000384397 -8 *5861:75 *28398:D 7.50601e-05 -*RES -1 *29992:X *28398:D 33.7786 -*END - -*D_NET *6296 0.00207483 -*CONN -*I *25833:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29993:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25833:A0 0.000508304 -2 *29993:X 0.000508304 -3 *29993:A *25833:A0 0.000292701 -4 *1323:17 *25833:A0 0.00035582 -5 *3654:16 *25833:A0 6.76272e-05 -6 *3654:18 *25833:A0 0.000120236 -7 *5733:117 *25833:A0 0.000221843 -*RES -1 *29993:X *25833:A0 41.5107 -*END - -*D_NET *6297 0.000820348 -*CONN -*I *28288:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29994:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28288:D 8.30197e-05 -2 *29994:X 8.30197e-05 -3 *28288:CLK *28288:D 8.07313e-05 -4 *29994:A *28288:D 0.000125057 -5 *5063:17 *28288:D 0.00044852 -*RES -1 *29994:X *28288:D 22.8321 -*END - -*D_NET *6298 0.00257235 -*CONN -*I *25758:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29995:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *25758:A0 0.000362107 -2 *29995:X 0.000362107 -3 *25398:A1 *25758:A0 0.000388362 -4 *25906:A *25758:A0 4.15526e-05 -5 *29996:A *25758:A0 1.03859e-05 -6 *30034:A *25758:A0 0.000224583 -7 *1893:14 *25758:A0 0.000267312 -8 *3661:31 *25758:A0 0.0003826 -9 *5016:17 *25758:A0 0.000265447 -10 *5313:11 *25758:A0 0.000267897 -*RES -1 *29995:X *25758:A0 41.8292 -*END - -*D_NET *6299 0.00255395 -*CONN -*I *28224:D I *D sky130_fd_sc_hd__dfstp_2 -*I *29996:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28224:D 0.0005685 -2 *29996:X 0.0005685 -3 *25132:B2 *28224:D 0.000177545 -4 *28224:SET_B *28224:D 5.33005e-05 -5 *28383:RESET_B *28224:D 3.53079e-05 -6 *565:17 *28224:D 0.000127916 -7 *716:16 *28224:D 0.000307374 -8 *1471:37 *28224:D 0.000135028 -9 *3460:21 *28224:D 0.000291599 -10 *3663:10 *28224:D 9.41642e-05 -11 *5158:11 *28224:D 7.83232e-05 -12 *6055:13 *28224:D 0.000116395 -*RES -1 *29996:X *28224:D 40.1357 -*END - -*D_NET *6300 0.00114995 -*CONN -*I *26923:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *29997:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *26923:A1 0.000382464 -2 *29997:X 0.000382464 -3 *28583:CLK *26923:A1 0.000125724 -4 *29998:A *26923:A1 2.59355e-05 -5 *2772:14 *26923:A1 0.000125724 -6 *4095:11 *26923:A1 0.000107637 -*RES -1 *29997:X *26923:A1 33.0643 -*END - -*D_NET *6301 0.000807291 -*CONN -*I *28509:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *29998:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *28509:D 0.000308739 -2 *29998:X 0.000308739 -3 *28509:CLK *28509:D 6.62575e-05 -4 *28583:CLK *28509:D 4.15914e-05 -5 *2763:145 *28509:D 5.62934e-05 -6 *5669:317 *28509:D 2.56694e-05 -*RES -1 *29998:X *28509:D 31.9616 -*END - -*D_NET *6302 0.0116345 -*CONN -*I *27278:A_N I *D sky130_fd_sc_hd__and2b_2 -*I *27284:B I *D sky130_fd_sc_hd__and2b_2 -*I *27238:B I *D sky130_fd_sc_hd__and2_2 -*I *27236:B I *D sky130_fd_sc_hd__nor2_4 -*I *29633:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28772:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *27278:A_N 1.35541e-05 -2 *27284:B 0.000207549 -3 *27238:B 0.000231278 -4 *27236:B 0 -5 *29633:A 2.97081e-05 -6 *28772:Q 0.000230391 -7 *6302:41 0.00136187 -8 *6302:33 0.00118026 -9 *6302:19 0.00101635 -10 *6302:8 0.00103278 -11 *27238:B *27284:A_N 0.000522426 -12 *27238:B *6309:39 0 -13 *27284:B *27284:A_N 0.000559264 -14 *29633:A *27240:A 4.87953e-05 -15 *25271:B2 *6302:41 0.000446371 -16 *27244:A1 *6302:19 9.60337e-06 -17 *27254:A1 *6302:19 0.00012284 -18 *27254:A1 *6302:33 9.54798e-06 -19 *28782:D *27284:B 6.34436e-05 -20 *1179:8 *6302:19 5.14923e-05 -21 *1179:10 *6302:19 2.56027e-05 -22 *1271:29 *6302:19 3.71862e-05 -23 *1624:33 *6302:8 0.000138881 -24 *1805:11 *6302:19 0 -25 *1826:79 *27238:B 5.7836e-06 -26 *2813:11 *6302:19 0.000579447 -27 *2813:34 *6302:19 3.10885e-05 -28 *2813:34 *6302:33 1.21317e-05 -29 *2823:26 *6302:41 4.7349e-05 -30 *2825:27 *6302:41 1.90936e-05 -31 *2839:19 *6302:19 2.1343e-05 -32 *2839:117 *6302:8 0.000299813 -33 *2839:117 *6302:19 0.000619166 -34 *2849:15 *27278:A_N 4.18895e-05 -35 *2849:15 *27284:B 0.000514201 -36 *2849:15 *6302:33 0.000353073 -37 *3154:6 *27238:B 0.000408142 -38 *3154:6 *27284:B 2.06178e-05 -39 *3154:13 *27284:B 0.000156879 -40 *3184:43 *6302:41 0 -41 *3191:35 *27278:A_N 5.33005e-05 -42 *3191:35 *6302:33 0.000190887 -43 *3575:76 *6302:33 0.000110702 -44 *4907:28 *6302:41 9.46912e-05 -45 *5530:44 *6302:41 0 -46 *5969:19 *6302:8 0.000303896 -47 *5969:19 *6302:19 0.000315699 -48 *5970:47 *29633:A 9.60939e-05 -*RES -1 *28772:Q *6302:8 19.2286 -2 *6302:8 *29633:A 14.7464 -3 *6302:8 *6302:19 18.375 -4 *6302:19 *27236:B 13.8 -5 *6302:19 *6302:33 10.5179 -6 *6302:33 *6302:41 13.9499 -7 *6302:41 *27238:B 20.7554 -8 *6302:41 *27284:B 21.2554 -9 *6302:33 *27278:A_N 9.83571 -*END - -*D_NET *6303 0.00944851 -*CONN -*I *27278:B I *D sky130_fd_sc_hd__and2b_2 -*I *27238:A I *D sky130_fd_sc_hd__and2_2 -*I *27284:A_N I *D sky130_fd_sc_hd__and2b_2 -*I *29637:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27236:A I *D sky130_fd_sc_hd__nor2_4 -*I *28773:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *27278:B 0.000164683 -2 *27238:A 0 -3 *27284:A_N 0.000424651 -4 *29637:A 0 -5 *27236:A 0.000119215 -6 *28773:Q 0.000136781 -7 *6303:39 0.000553211 -8 *6303:31 0.000576521 -9 *6303:22 0.00073818 -10 *6303:10 0.000381532 -11 *27284:A_N *27264:A_N 0.000325266 -12 *27238:B *27284:A_N 0.000522426 -13 *27241:A3 *6303:31 5.54856e-05 -14 *27241:B1 *6303:31 0.000389077 -15 *27241:B1 *6303:39 0.000269428 -16 *27254:A1 *27236:A 0.000263524 -17 *27254:A1 *6303:22 0.000128496 -18 *27254:A3 *6303:22 3.30352e-05 -19 *27254:B1 *6303:22 5.33005e-05 -20 *27254:B1 *6303:31 0.000416253 -21 *27254:B2 *6303:22 2.77258e-05 -22 *27284:B *27284:A_N 0.000559264 -23 *28778:D *6303:10 9.70328e-05 -24 *28782:D *27284:A_N 0.000432474 -25 *1218:136 *27236:A 0.000393943 -26 *1218:136 *6303:22 0.000399848 -27 *1277:76 *6303:31 0.000345257 -28 *1277:76 *6303:39 0.000512957 -29 *1282:68 *6303:10 1.94135e-05 -30 *1282:77 *6303:22 9.22103e-06 -31 *2776:23 *6303:10 1.32276e-05 -32 *2787:37 *27278:B 7.65824e-05 -33 *2787:37 *6303:31 4.06693e-05 -34 *2813:7 *27236:A 6.87574e-05 -35 *2815:11 *6303:31 5.90774e-05 -36 *2815:11 *6303:39 0.000135933 -37 *2815:31 *6303:31 0.000281272 -38 *2823:8 *27284:A_N 0.000189476 -39 *2825:12 *6303:10 2.29592e-05 -40 *2825:27 *27278:B 0 -41 *2825:27 *6303:10 1.32941e-05 -42 *2843:11 *6303:22 0 -43 *2849:15 *27278:B 2.43739e-05 -44 *2849:15 *27284:A_N 1.98839e-05 -45 *3154:13 *27284:A_N 1.17968e-05 -46 *3191:35 *27278:B 6.09899e-05 -47 *4907:28 *27278:B 1.7276e-05 -48 *5530:44 *6303:10 2.36138e-05 -49 *5585:99 *6303:10 3.28686e-05 -50 *5625:15 *6303:31 8.25843e-06 -*RES -1 *28773:Q *6303:10 21.1839 -2 *6303:10 *27236:A 13.55 -3 *6303:10 *6303:22 8.85714 -4 *6303:22 *6303:31 16.4107 -5 *6303:31 *29637:A 9.3 -6 *6303:31 *6303:39 5.48214 -7 *6303:39 *27284:A_N 32.0679 -8 *6303:39 *27238:A 9.3 -9 *6303:22 *27278:B 17.0143 -*END - -*D_NET *6304 0.0107792 -*CONN -*I *29646:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27242:A I *D sky130_fd_sc_hd__or2_1 -*I *27282:B I *D sky130_fd_sc_hd__and2_2 -*I *27277:B I *D sky130_fd_sc_hd__and2b_2 -*I *27245:B I *D sky130_fd_sc_hd__nor2_2 -*I *27293:A_N I *D sky130_fd_sc_hd__and2b_2 -*I *28774:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29646:A 0 -2 *27242:A 0.000410971 -3 *27282:B 0 -4 *27277:B 0.00033078 -5 *27245:B 0.000219603 -6 *27293:A_N 3.97594e-05 -7 *28774:Q 2.20512e-05 -8 *6304:56 0.000550648 -9 *6304:24 0.00102007 -10 *6304:10 0.000870408 -11 *6304:5 0.000522687 -12 *27245:B *6318:32 0.000342037 -13 *27277:B *27277:A_N 1.24368e-05 -14 *27277:B *6305:39 0.000307343 -15 *27293:A_N *27293:B 2.42516e-05 -16 *27293:A_N *6305:25 3.69047e-06 -17 *6304:10 *27300:A 9.25014e-06 -18 *6304:10 *6318:32 0.000739907 -19 *6304:24 *27300:A 0.000267807 -20 *6304:24 *6306:27 0.000137333 -21 *6304:24 *6318:32 0.000958342 -22 *27242:B *27242:A 2.63834e-05 -23 *27244:A2 *27242:A 0.00023755 -24 *27321:B *27245:B 2.14658e-05 -25 *27327:A *27277:B 5.52302e-05 -26 *27327:B *27277:B 9.3111e-05 -27 *27327:C *27277:B 4.78728e-05 -28 *28773:SET_B *6304:56 6.87574e-05 -29 *28774:RESET_B *6304:10 2.59355e-05 -30 *28774:RESET_B *6304:56 4.96113e-05 -31 *1252:232 *6304:24 1.11775e-05 -32 *1805:11 *27242:A 0.00075363 -33 *1805:11 *6304:10 0 -34 *2818:26 *6304:10 0.000562415 -35 *2820:5 *27245:B 7.43578e-06 -36 *2842:11 *27277:B 0.000175892 -37 *2847:7 *6304:24 5.52302e-05 -38 *2849:30 *27293:A_N 9.41642e-05 -39 *2852:11 *27245:B 0.000342037 -40 *2858:27 *6304:24 3.92812e-05 -41 *2892:8 *27277:B 9.80173e-05 -42 *2892:111 *27245:B 2.59355e-05 -43 *3573:16 *27277:B 0.000287148 -44 *3573:16 *6304:24 0.000115978 -45 *5442:51 *27277:B 5.36881e-05 -46 *5442:63 *27277:B 0.00024051 -47 *5442:63 *6304:24 3.5298e-05 -48 *5643:32 *6304:24 0.000413324 -49 *5746:196 *27242:A 5.27034e-05 -*RES -1 *28774:Q *6304:5 9.72857 -2 *6304:5 *6304:10 14.875 -3 *6304:10 *27293:A_N 14.7464 -4 *6304:10 *6304:24 19.2679 -5 *6304:24 *27245:B 23.9429 -6 *6304:24 *27277:B 19.4429 -7 *6304:24 *27282:B 9.3 -8 *6304:5 *6304:56 2.60714 -9 *6304:56 *27242:A 28.4429 -10 *6304:56 *29646:A 9.3 -*END - -*D_NET *6305 0.00908958 -*CONN -*I *27293:B I *D sky130_fd_sc_hd__and2b_2 -*I *27277:A_N I *D sky130_fd_sc_hd__and2b_2 -*I *27282:A I *D sky130_fd_sc_hd__and2_2 -*I *27245:A I *D sky130_fd_sc_hd__nor2_2 -*I *27246:A I *D sky130_fd_sc_hd__nor2_1 -*I *27247:A1 I *D sky130_fd_sc_hd__a31o_1 -*I *28775:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27293:B 5.20362e-05 -2 *27277:A_N 0.000384164 -3 *27282:A 0 -4 *27245:A 0.000203523 -5 *27246:A 0 -6 *27247:A1 2.22238e-05 -7 *28775:Q 0.000196407 -8 *6305:39 0.000271237 -9 *6305:36 0.000684155 -10 *6305:25 0.000651371 -11 *6305:17 0.000567466 -12 *6305:6 0.000314966 -13 *27293:B *27300:A 3.69047e-06 -14 *6305:6 *6318:32 0.000376682 -15 *6305:17 *6318:32 0.000235969 -16 *6305:25 *6306:33 0 -17 *6305:36 *6306:33 0 -18 *6305:36 *6306:42 1.28138e-05 -19 *27246:B *6305:25 0.000447415 -20 *27247:B1 *6305:17 0.000191963 -21 *27249:A1 *6305:25 5.33005e-05 -22 *27249:S *6305:25 0.000224583 -23 *27277:B *27277:A_N 1.24368e-05 -24 *27277:B *6305:39 0.000307343 -25 *27293:A_N *27293:B 2.42516e-05 -26 *27293:A_N *6305:25 3.69047e-06 -27 *27327:B *27245:A 6.05161e-06 -28 *1178:36 *27245:A 0.000385846 -29 *1178:36 *6305:6 0.000117669 -30 *1178:36 *6305:17 3.35685e-06 -31 *1218:136 *27247:A1 3.67142e-05 -32 *2818:26 *27247:A1 9.90367e-05 -33 *2820:5 *27245:A 1.98839e-05 -34 *2821:8 *6305:17 3.17148e-05 -35 *2849:30 *27293:B 7.49387e-06 -36 *2849:30 *6305:25 0.00036395 -37 *2849:30 *6305:36 0.000192001 -38 *2852:11 *27245:A 0.00038724 -39 *2892:111 *27245:A 2.59355e-05 -40 *3173:17 *27277:A_N 0.000591158 -41 *3191:35 *27293:B 0.000135028 -42 *3191:35 *6305:25 0.00038907 -43 *3216:26 *27277:A_N 0.000124377 -44 *3573:16 *27277:A_N 0.0001826 -45 *3873:67 *27277:A_N 1.53528e-05 -46 *5196:94 *27277:A_N 0.000114037 -47 *5442:51 *27277:A_N 6.04769e-05 -48 *5442:63 *6305:39 0.000309273 -49 *5694:119 *27277:A_N 4.04292e-05 -50 *5720:191 *6305:36 0.000209199 -*RES -1 *28775:Q *6305:6 18.7821 -2 *6305:6 *27247:A1 14.7464 -3 *6305:6 *6305:17 7.66071 -4 *6305:17 *6305:25 16.0893 -5 *6305:25 *27246:A 13.8 -6 *6305:25 *6305:36 5.33929 -7 *6305:36 *6305:39 7.5 -8 *6305:39 *27245:A 24.1214 -9 *6305:39 *27282:A 9.3 -10 *6305:36 *27277:A_N 23.9521 -11 *6305:17 *27293:B 10.6571 -*END - -*D_NET *6306 0.0233145 -*CONN -*I *24859:A I *D sky130_fd_sc_hd__clkinv_8 -*I *27323:A I *D sky130_fd_sc_hd__and3_4 -*I *27324:A I *D sky130_fd_sc_hd__and3_4 -*I *27318:A I *D sky130_fd_sc_hd__and3_4 -*I *27302:A I *D sky130_fd_sc_hd__and3_4 -*I *27326:A I *D sky130_fd_sc_hd__and3_4 -*I *27288:A I *D sky130_fd_sc_hd__and3_4 -*I *27310:A I *D sky130_fd_sc_hd__and3_4 -*I *27330:A I *D sky130_fd_sc_hd__and3_4 -*I *27306:A I *D sky130_fd_sc_hd__and3_4 -*I *27280:A I *D sky130_fd_sc_hd__and3_4 -*I *27321:A I *D sky130_fd_sc_hd__and3_4 -*I *27287:A I *D sky130_fd_sc_hd__and3_4 -*I *27295:A I *D sky130_fd_sc_hd__and3_4 -*I *27300:A I *D sky130_fd_sc_hd__and3_4 -*I *27320:A I *D sky130_fd_sc_hd__and3_4 -*I *28776:Q O *D sky130_fd_sc_hd__dfstp_4 -*CAP -1 *24859:A 0.000202335 -2 *27323:A 3.63333e-05 -3 *27324:A 0 -4 *27318:A 0.000237265 -5 *27302:A 0 -6 *27326:A 0 -7 *27288:A 6.95481e-05 -8 *27310:A 0 -9 *27330:A 0 -10 *27306:A 0.000228793 -11 *27280:A 0.000204716 -12 *27321:A 5.90822e-05 -13 *27287:A 4.31953e-05 -14 *27295:A 1.55603e-05 -15 *27300:A 0.000259758 -16 *27320:A 8.91276e-05 -17 *28776:Q 0 -18 *6306:145 0.000653763 -19 *6306:140 0.0007015 -20 *6306:123 0.000424998 -21 *6306:116 0.000473507 -22 *6306:111 0.000810003 -23 *6306:102 0.00117755 -24 *6306:89 0.000773435 -25 *6306:76 0.000433509 -26 *6306:74 0.000304153 -27 *6306:57 0.000993275 -28 *6306:42 0.000863951 -29 *6306:33 0.000486978 -30 *6306:27 0.000584469 -31 *6306:13 0.000322026 -32 *6306:4 8.91276e-05 -33 *6306:102 *6318:32 4.18505e-05 -34 *6306:111 *6318:32 7.49232e-05 -35 *27246:B *6306:33 8.65612e-05 -36 *27287:B *27287:A 1.92789e-05 -37 *27287:C *6306:42 3.42164e-05 -38 *27287:C *6306:57 3.7692e-05 -39 *27293:B *27300:A 3.69047e-06 -40 *27300:B *27300:A 5.7661e-06 -41 *27309:B *6306:102 0.00016641 -42 *27309:C *6306:102 0.000147447 -43 *27321:B *27321:A 8.96033e-05 -44 *27326:C *6306:140 5.39341e-05 -45 *28700:D *6306:33 0.000141985 -46 *31009:A *6306:140 0.000135028 -47 *1178:36 *6306:33 6.17108e-05 -48 *1178:36 *6306:42 5.0564e-05 -49 *1185:12 *27320:A 6.50354e-05 -50 *1256:40 *6306:116 1.437e-05 -51 *1323:5 *27320:A 4.35597e-05 -52 *1805:11 *27300:A 0 -53 *2782:100 *6306:116 0.000343582 -54 *2782:100 *6306:123 6.86105e-05 -55 *2782:100 *6306:140 0.000211333 -56 *2813:41 *27320:A 0.000140933 -57 *2813:41 *6306:57 0.000433884 -58 *2813:41 *6306:74 0.0001516 -59 *2813:44 *6306:102 0.000135028 -60 *2815:42 *6306:57 2.06076e-05 -61 *2815:46 *6306:57 0.000364555 -62 *2815:46 *6306:74 0.000146992 -63 *2815:55 *6306:74 1.10632e-05 -64 *2815:72 *27323:A 5.41794e-05 -65 *2815:72 *6306:102 0.000363328 -66 *2820:58 *6306:111 0.00046829 -67 *2821:8 *27295:A 1.92905e-05 -68 *2844:153 *6306:111 0.000215039 -69 *2845:6 *6306:102 3.14316e-05 -70 *2849:30 *27300:A 0.00039899 -71 *2855:12 *27323:A 5.33005e-05 -72 *2858:6 *27300:A 9.67416e-05 -73 *2858:6 *6306:27 5.80706e-06 -74 *2858:11 *27320:A 0.000181796 -75 *2858:27 *6306:27 6.77501e-05 -76 *2864:28 *6306:74 0.000251754 -77 *2865:31 *27295:A 5.52302e-05 -78 *2883:15 *27318:A 0.000555487 -79 *2883:15 *6306:145 0.000678729 -80 *2885:27 *6306:74 8.51213e-05 -81 *2885:27 *6306:89 7.55769e-05 -82 *2885:27 *6306:102 0.00037478 -83 *2888:14 *6306:111 0.000156061 -84 *2889:11 *6306:111 0.000219711 -85 *2889:11 *6306:116 0.000178847 -86 *2892:111 *27321:A 4.58194e-05 -87 *3164:34 *27318:A 0.000219711 -88 *3170:5 *27288:A 0.000137561 -89 *3178:29 *24859:A 0.00020088 -90 *3178:29 *6306:33 0.000177194 -91 *3182:16 *6306:116 0.000259921 -92 *3182:16 *6306:123 0.000135421 -93 *3182:16 *6306:140 0.000117415 -94 *3191:35 *27300:A 0.000100599 -95 *3192:20 *27280:A 0.000219711 -96 *3192:20 *27306:A 0.000291951 -97 *3192:31 *6306:111 0.000248138 -98 *3192:31 *6306:116 0.000177815 -99 *3194:62 *6306:74 3.74089e-05 -100 *3194:62 *6306:89 3.03405e-05 -101 *3194:62 *6306:102 0.000264533 -102 *3197:19 *27323:A 5.33005e-05 -103 *3197:19 *6306:111 0.000109578 -104 *3572:20 *6306:102 1.02936e-05 -105 *5442:63 *6306:57 0.000552109 -106 *5535:25 *6306:74 1.74806e-05 -107 *5599:273 *6306:140 0.000113123 -108 *5627:16 *27323:A 4.66511e-05 -109 *5627:16 *6306:102 0.000276497 -110 *5634:15 *27280:A 2.14757e-05 -111 *5651:20 *6306:116 6.54117e-05 -112 *5694:94 *6306:116 0.000452848 -113 *5717:23 *6306:74 0 -114 *5720:191 *6306:42 4.46224e-05 -115 *5720:191 *6306:57 3.25145e-05 -116 *6304:10 *27300:A 9.25014e-06 -117 *6304:24 *27300:A 0.000267807 -118 *6304:24 *6306:27 0.000137333 -119 *6305:25 *6306:33 0 -120 *6305:36 *6306:33 0 -121 *6305:36 *6306:42 1.28138e-05 -*RES -1 *28776:Q *6306:4 9.3 -2 *6306:4 *27320:A 12.3179 -3 *6306:4 *6306:13 4.5 -4 *6306:13 *27300:A 21.5143 -5 *6306:13 *6306:27 6.44643 -6 *6306:27 *6306:33 11.3036 -7 *6306:33 *27295:A 14.3357 -8 *6306:33 *6306:42 3.16071 -9 *6306:42 *27287:A 14.3357 -10 *6306:42 *6306:57 21.3929 -11 *6306:57 *27321:A 15.175 -12 *6306:57 *6306:74 10.513 -13 *6306:74 *6306:76 4.5 -14 *6306:76 *27280:A 11.8893 -15 *6306:76 *27306:A 12.3 -16 *6306:74 *6306:89 1.03571 -17 *6306:89 *27330:A 13.8 -18 *6306:89 *6306:102 25.2143 -19 *6306:102 *6306:111 24.0536 -20 *6306:111 *6306:116 16.4107 -21 *6306:116 *27310:A 13.8 -22 *6306:116 *6306:123 1.94643 -23 *6306:123 *27288:A 15.1571 -24 *6306:123 *6306:140 19.3571 -25 *6306:140 *27326:A 9.3 -26 *6306:140 *6306:145 7.10714 -27 *6306:145 *27302:A 9.3 -28 *6306:145 *27318:A 14.7643 -29 *6306:111 *27324:A 9.3 -30 *6306:102 *27323:A 15.0679 -31 *6306:27 *24859:A 13.1214 -*END - -*D_NET *6307 0.00941332 -*CONN -*I *27252:C I *D sky130_fd_sc_hd__and3_1 -*I *27251:B I *D sky130_fd_sc_hd__and2_2 -*I *27595:B I *D sky130_fd_sc_hd__nor2_2 -*I *25527:A_N I *D sky130_fd_sc_hd__and2b_4 -*I *27253:B I *D sky130_fd_sc_hd__and2b_4 -*I *27250:S I *D sky130_fd_sc_hd__mux2_1 -*I *28777:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *27252:C 0.0010365 -2 *27251:B 0 -3 *27595:B 0.00022009 -4 *25527:A_N 0 -5 *27253:B 0 -6 *27250:S 0 -7 *28777:Q 0.000251411 -8 *6307:53 0.00112748 -9 *6307:35 0.000543757 -10 *6307:29 0.000447846 -11 *6307:23 0.000254669 -12 *6307:16 0.000472877 -13 *27252:C *27252:A 5.33005e-05 -14 *27252:C *27252:B 4.17433e-05 -15 *27252:C *6309:39 3.22339e-05 -16 *27595:B *27595:A 0.000136958 -17 *6307:16 *6386:131 8.61691e-05 -18 *6307:35 *6308:48 0.000305388 -19 *6307:35 *6311:62 6.7329e-05 -20 *6307:53 *27252:B 0.000100684 -21 *6307:53 *6308:48 1.54142e-05 -22 *6307:53 *6308:54 0.000262504 -23 *24985:A1 *6307:23 5.38242e-05 -24 *27243:A *6307:16 2.27416e-05 -25 *27244:B1 *6307:23 0.000158902 -26 *27259:C *27252:C 7.40571e-05 -27 *27260:A1 *27252:C 0 -28 *28777:SET_B *6307:16 8.32204e-05 -29 *28780:D *6307:35 6.62077e-05 -30 *30833:A *27252:C 0.000304305 -31 *1271:49 *27252:C 1.47818e-06 -32 *1289:55 *6307:23 0.000555481 -33 *1289:71 *27252:C 5.75016e-05 -34 *1289:71 *6307:23 1.98839e-05 -35 *1289:71 *6307:29 0.000137983 -36 *1289:71 *6307:53 0.000437486 -37 *1803:11 *27252:C 2.06112e-05 -38 *2776:23 *27595:B 0.000416271 -39 *2776:23 *6307:35 0.000140933 -40 *2777:105 *27252:C 0.000283116 -41 *2812:9 *6307:16 7.63022e-05 -42 *2823:8 *27252:C 0 -43 *2825:12 *6307:23 3.1889e-05 -44 *2825:12 *6307:29 0.000108311 -45 *2825:12 *6307:53 4.38128e-05 -46 *2827:26 *27252:C 6.67658e-05 -47 *2828:10 *27252:C 2.87435e-05 -48 *2835:15 *27252:C 0.000133412 -49 *2998:32 *6307:35 0.000129015 -50 *3796:23 *27252:C 0.000139907 -51 *3796:36 *27252:C 6.21188e-05 -52 *3796:36 *6307:16 9.54798e-06 -53 *5522:85 *27252:C 4.54471e-06 -54 *5585:99 *27595:B 0.000185772 -55 *5585:99 *6307:35 4.70078e-05 -56 *5970:43 *27252:C 5.58062e-05 -*RES -1 *28777:Q *6307:16 23.3982 -2 *6307:16 *27250:S 9.3 -3 *6307:16 *6307:23 6.30357 -4 *6307:23 *27253:B 9.3 -5 *6307:23 *6307:29 1.35714 -6 *6307:29 *6307:35 17.4643 -7 *6307:35 *25527:A_N 9.3 -8 *6307:35 *27595:B 15.7464 -9 *6307:29 *6307:53 4.23214 -10 *6307:53 *27251:B 9.3 -11 *6307:53 *27252:C 46.586 -*END - -*D_NET *6308 0.00854871 -*CONN -*I *27252:B I *D sky130_fd_sc_hd__and3_1 -*I *27251:A I *D sky130_fd_sc_hd__and2_2 -*I *27253:A_N I *D sky130_fd_sc_hd__and2b_4 -*I *29600:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27595:A I *D sky130_fd_sc_hd__nor2_2 -*I *25527:B I *D sky130_fd_sc_hd__and2b_4 -*I *28778:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *27252:B 0.000484792 -2 *27251:A 0 -3 *27253:A_N 0 -4 *29600:A 0 -5 *27595:A 0.000218281 -6 *25527:B 0 -7 *28778:Q 5.87506e-05 -8 *6308:54 0.000601959 -9 *6308:48 0.000603463 -10 *6308:18 0.000331391 -11 *6308:8 0.000219422 -12 *6308:5 0.000651358 -13 *6308:48 *6311:62 0.000172847 -14 *24985:A1 *27252:B 0.000785255 -15 *25015:A2 *27252:B 0.000170484 -16 *27044:A0 *27252:B 4.60232e-06 -17 *27252:C *27252:B 4.17433e-05 -18 *27254:B2 *27595:A 0.000137983 -19 *27254:B2 *6308:18 8.17274e-05 -20 *27260:A1 *27252:B 0.000108092 -21 *27595:B *27595:A 0.000136958 -22 *28780:D *6308:48 1.30731e-05 -23 *1218:136 *27595:A 1.21258e-05 -24 *1277:76 *6308:5 7.37323e-05 -25 *1277:76 *6308:48 0.000307349 -26 *1289:71 *27252:B 0.000162992 -27 *1803:21 *6308:8 0.00023198 -28 *1803:21 *6308:18 0.000187234 -29 *2776:23 *27595:A 6.90561e-05 -30 *2787:37 *27595:A 0.000165027 -31 *2823:8 *27252:B 8.94474e-05 -32 *2823:8 *6308:54 2.89114e-05 -33 *2824:9 *27252:B 0.000594204 -34 *2824:14 *27252:B 0.000135028 -35 *2825:12 *6308:48 9.90431e-05 -36 *3147:15 *27595:A 0.000260622 -37 *3147:15 *6308:18 9.41642e-05 -38 *5522:85 *27252:B 2.14757e-05 -39 *5585:99 *27595:A 0.000101176 -40 *5718:240 *6308:8 0.00023198 -41 *5718:240 *6308:18 0.000176984 -42 *6307:35 *6308:48 0.000305388 -43 *6307:53 *27252:B 0.000100684 -44 *6307:53 *6308:48 1.54142e-05 -45 *6307:53 *6308:54 0.000262504 -*RES -1 *28778:Q *6308:5 10.0411 -2 *6308:5 *6308:8 7.66071 -3 *6308:8 *25527:B 13.8 -4 *6308:8 *6308:18 8 -5 *6308:18 *27595:A 24.6393 -6 *6308:18 *29600:A 9.3 -7 *6308:5 *6308:48 18.4643 -8 *6308:48 *27253:A_N 9.3 -9 *6308:48 *6308:54 2.58929 -10 *6308:54 *27251:A 9.3 -11 *6308:54 *27252:B 33.6929 -*END - -*D_NET *6309 0.00633895 -*CONN -*I *27257:B I *D sky130_fd_sc_hd__and2_2 -*I *27611:A_N I *D sky130_fd_sc_hd__and2b_2 -*I *27602:B I *D sky130_fd_sc_hd__nor2_4 -*I *29554:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27255:A1 I *D sky130_fd_sc_hd__a21oi_1 -*I *25528:B I *D sky130_fd_sc_hd__and2b_4 -*I *28779:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *27257:B 0.000145035 -2 *27611:A_N 6.27421e-05 -3 *27602:B 1.40568e-05 -4 *29554:A 3.08479e-05 -5 *27255:A1 0.000197071 -6 *25528:B 7.51425e-05 -7 *28779:Q 0 -8 *6309:39 0.000493011 -9 *6309:13 0.00030233 -10 *6309:12 0.000152444 -11 *6309:8 0.000711914 -12 *6309:4 0.000349257 -13 *25528:B *25528:A_N 5.49489e-05 -14 *27257:B *27257:A 0.000177821 -15 *27602:B *27602:A 2.89016e-05 -16 *27611:A_N *27602:A 7.02611e-05 -17 *27611:A_N *27611:B 7.04554e-05 -18 *29554:A *25528:A_N 0.000183726 -19 *6309:13 *25528:A_N 0.000137983 -20 *6309:39 *6310:26 0 -21 *6309:39 *6310:35 0 -22 *27238:B *6309:39 0 -23 *27252:C *6309:39 3.22339e-05 -24 *27255:A2 *27255:A1 0.000110627 -25 *28779:D *27255:A1 0 -26 *28779:RESET_B *27255:A1 0 -27 *28779:RESET_B *6309:8 4.73514e-05 -28 *28779:RESET_B *6309:12 6.47173e-05 -29 *28779:RESET_B *6309:39 1.65348e-05 -30 *28780:RESET_B *6309:8 2.58757e-05 -31 *28780:RESET_B *6309:39 0.000370089 -32 *28781:D *27255:A1 0.00016909 -33 *1289:71 *6309:39 0 -34 *1826:79 *6309:39 2.11372e-05 -35 *2776:23 *27602:B 5.52238e-05 -36 *2776:23 *27611:A_N 0.000255267 -37 *2777:105 *27257:B 9.08415e-05 -38 *2777:105 *6309:8 2.77289e-05 -39 *2777:105 *6309:39 4.32309e-05 -40 *2823:8 *6309:39 0 -41 *2827:16 *27257:B 0.000303368 -42 *2828:10 *27255:A1 0.000148189 -43 *2828:10 *6309:12 6.7033e-05 -44 *2828:10 *6309:39 3.04097e-05 -45 *2831:17 *27255:A1 3.43988e-06 -46 *3154:6 *6309:39 0 -47 *4685:23 *25528:B 0.0002705 -48 *4685:23 *29554:A 0.000172309 -49 *4685:23 *6309:13 0.00012747 -50 *5522:85 *6309:39 0.000130885 -51 *5694:171 *25528:B 0.000116587 -52 *5751:199 *6309:39 0.000380859 -*RES -1 *28779:Q *6309:4 9.3 -2 *6309:4 *6309:8 7.21429 -3 *6309:8 *6309:12 6.44643 -4 *6309:12 *6309:13 1.35714 -5 *6309:13 *25528:B 12.3179 -6 *6309:13 *27255:A1 22.3714 -7 *6309:12 *29554:A 11.0679 -8 *6309:8 *6309:39 14.9464 -9 *6309:39 *27602:B 9.83571 -10 *6309:39 *27611:A_N 11.8893 -11 *6309:4 *27257:B 13.5679 -*END - -*D_NET *6310 0.00521025 -*CONN -*I *27257:A I *D sky130_fd_sc_hd__and2_2 -*I *25528:A_N I *D sky130_fd_sc_hd__and2b_4 -*I *29642:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27611:B I *D sky130_fd_sc_hd__and2b_2 -*I *27602:A I *D sky130_fd_sc_hd__nor2_4 -*I *28780:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27257:A 5.05983e-05 -2 *25528:A_N 0.000189293 -3 *29642:A 2.46413e-05 -4 *27611:B 6.26038e-05 -5 *27602:A 0.000116722 -6 *28780:Q 0 -7 *6310:35 0.000362984 -8 *6310:26 0.000310819 -9 *6310:8 0.000427427 -10 *6310:4 0.000411186 -11 *25528:B *25528:A_N 5.49489e-05 -12 *27257:B *27257:A 0.000177821 -13 *27602:B *27602:A 2.89016e-05 -14 *27611:A_N *27602:A 7.02611e-05 -15 *27611:A_N *27611:B 7.04554e-05 -16 *28617:CLK *6310:8 0 -17 *28617:CLK *6310:26 9.969e-05 -18 *28779:RESET_B *25528:A_N 0 -19 *29554:A *25528:A_N 0.000183726 -20 *1289:71 *29642:A 7.12029e-06 -21 *1289:71 *6310:26 8.53839e-05 -22 *2776:23 *27602:A 4.88546e-05 -23 *2776:23 *27611:B 4.30159e-05 -24 *2777:105 *27257:A 0.000177821 -25 *2777:105 *6310:35 0 -26 *2824:14 *25528:A_N 0.000163916 -27 *2824:14 *6310:26 3.39401e-05 -28 *2824:14 *6310:35 0.000190098 -29 *2827:16 *25528:A_N 0.000118986 -30 *2828:10 *6310:26 0.000142427 -31 *4685:23 *25528:A_N 4.3825e-05 -32 *5522:85 *29642:A 4.54885e-06 -33 *5522:85 *6310:35 1.90936e-05 -34 *5585:99 *27602:A 0.000313241 -35 *5585:99 *27611:B 0.000268577 -36 *5694:171 *25528:A_N 0.000436963 -37 *5707:251 *6310:26 0.00014051 -38 *5751:199 *6310:8 0.000191868 -39 *5751:199 *6310:26 0 -40 *6309:13 *25528:A_N 0.000137983 -41 *6309:39 *6310:26 0 -42 *6309:39 *6310:35 0 -*RES -1 *28780:Q *6310:4 9.3 -2 *6310:4 *6310:8 13.9821 -3 *6310:8 *27602:A 13.0321 -4 *6310:8 *27611:B 11.8893 -5 *6310:4 *6310:26 9.01786 -6 *6310:26 *29642:A 14.3357 -7 *6310:26 *6310:35 2.55357 -8 *6310:35 *25528:A_N 20.2821 -9 *6310:35 *27257:A 15.5679 -*END - -*D_NET *6311 0.0190901 -*CONN -*I *27598:A I *D sky130_fd_sc_hd__nor2_4 -*I *27599:A I *D sky130_fd_sc_hd__or2_4 -*I *25526:A_N I *D sky130_fd_sc_hd__nand2b_4 -*I *27265:B I *D sky130_fd_sc_hd__nand2b_4 -*I *27264:B I *D sky130_fd_sc_hd__and2b_4 -*I *27261:A I *D sky130_fd_sc_hd__and3_1 -*I *27262:A I *D sky130_fd_sc_hd__nor2_1 -*I *28781:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *27598:A 4.56948e-05 -2 *27599:A 0.000832511 -3 *25526:A_N 0.000109976 -4 *27265:B 0 -5 *27264:B 3.75601e-05 -6 *27261:A 0 -7 *27262:A 0 -8 *28781:Q 0.000327123 -9 *6311:83 0.0011262 -10 *6311:80 0.000257706 -11 *6311:74 0.000405594 -12 *6311:62 0.00144938 -13 *6311:43 0.00158064 -14 *6311:19 0.00215465 -15 *6311:11 0.00193566 -16 *27598:A *27268:A1 0.000168357 -17 *27598:A *6312:11 0.000167436 -18 *27599:A *27599:B 5.33005e-05 -19 *6311:11 *27213:A1 9.60939e-05 -20 *6311:19 *27213:A1 5.33005e-05 -21 *6311:19 *27235:A0 0.00018372 -22 *6311:19 *27240:A 2.28598e-05 -23 *6311:19 *6384:47 2.92464e-05 -24 *6311:19 *6386:108 4.18895e-05 -25 *6311:43 *29538:A 0 -26 *6311:43 *6317:49 2.75651e-06 -27 *6311:43 *6320:71 1.24381e-05 -28 *6311:43 *6387:54 3.57366e-05 -29 *6311:62 *27268:A1 8.86967e-05 -30 *6311:62 *6320:71 5.31158e-05 -31 *6311:62 *6384:44 3.06511e-05 -32 *6311:62 *6386:140 0.000199414 -33 *6311:62 *6386:149 8.61316e-05 -34 *6311:74 *27268:A1 0.000216314 -35 *6311:74 *6312:11 5.52302e-05 -36 *6311:80 *27268:A1 0.000102197 -37 *6311:80 *6312:11 0.000175251 -38 *6311:83 *27268:A1 0 -39 *25530:A *25526:A_N 3.97677e-05 -40 *27213:A2 *6311:11 0.00019387 -41 *27214:A1 *6311:43 1.83281e-05 -42 *27214:C1 *6311:19 0.000307343 -43 *27235:S *6311:19 9.9407e-05 -44 *27260:B1 *6311:62 1.7276e-05 -45 *27261:B *6311:43 0 -46 *27262:B *6311:43 6.09773e-05 -47 *27844:A0 *6311:43 0.000291733 -48 *28767:D *6311:43 0.000446697 -49 *28780:D *6311:62 0 -50 *28781:D *6311:11 0.000265447 -51 *28781:SET_B *6311:11 0.000216442 -52 *29796:A *6311:43 0.000288286 -53 *30453:A *6311:43 0.00011333 -54 *1218:136 *27264:B 0.000126639 -55 *1289:71 *6311:62 7.6231e-05 -56 *1801:7 *25526:A_N 0.000118369 -57 *1802:6 *6311:62 0.000176763 -58 *1802:22 *6311:62 4.00349e-05 -59 *1802:22 *6311:74 0.000157058 -60 *1826:46 *6311:62 0.000137815 -61 *2830:11 *6311:11 2.29097e-05 -62 *2833:6 *6311:83 6.03387e-05 -63 *2840:53 *6311:43 0.000106346 -64 *2843:11 *27599:A 0.000231955 -65 *2998:32 *27599:A 0.000142732 -66 *2998:32 *6311:62 0 -67 *2998:32 *6311:74 0 -68 *2998:32 *6311:83 0.000375993 -69 *3147:15 *27264:B 0.000230482 -70 *3178:13 *27599:A 4.30452e-05 -71 *3178:13 *6311:83 0.000172368 -72 *3575:78 *6311:43 8.09146e-06 -73 *3575:83 *6311:43 3.16397e-05 -74 *3575:100 *6311:19 4.27935e-05 -75 *3575:100 *6311:43 9.41642e-05 -76 *5196:95 *25526:A_N 0.000391604 -77 *5442:63 *27599:A 0.000808497 -78 *5459:29 *25526:A_N 9.41642e-05 -79 *5459:32 *27599:A 4.34528e-05 -80 *5530:44 *6311:43 7.29646e-05 -81 *5635:15 *27599:A 5.33005e-05 -82 *5657:13 *27599:A 0.00023367 -83 *5667:201 *6311:11 3.33349e-05 -84 *5667:201 *6311:62 0.000111532 -85 *5694:133 *6311:43 7.69776e-06 -86 *5718:233 *6311:62 0 -87 *5720:159 *6311:19 9.78828e-05 -88 *5720:159 *6311:43 0 -89 *5970:47 *6311:11 1.02821e-05 -90 *6307:35 *6311:62 6.7329e-05 -91 *6308:48 *6311:62 0.000172847 -*RES -1 *28781:Q *6311:11 25.1214 -2 *6311:11 *6311:19 17.5714 -3 *6311:19 *6311:43 43.9643 -4 *6311:43 *27262:A 9.3 -5 *6311:19 *27261:A 9.3 -6 *6311:11 *6311:62 24.6786 -7 *6311:62 *27264:B 15.9786 -8 *6311:62 *6311:74 12.1429 -9 *6311:74 *27265:B 9.3 -10 *6311:74 *6311:80 1.76786 -11 *6311:80 *6311:83 9.48214 -12 *6311:83 *25526:A_N 17.6214 -13 *6311:83 *27599:A 36.9071 -14 *6311:80 *27598:A 11.0679 -*END - -*D_NET *6312 0.00736512 -*CONN -*I *27264:A_N I *D sky130_fd_sc_hd__and2b_4 -*I *27268:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25526:B I *D sky130_fd_sc_hd__nand2b_4 -*I *27598:B I *D sky130_fd_sc_hd__nor2_4 -*I *27265:A_N I *D sky130_fd_sc_hd__nand2b_4 -*I *27599:B I *D sky130_fd_sc_hd__or2_4 -*I *28782:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27264:A_N 0.000192188 -2 *27268:B2 3.68711e-05 -3 *25526:B 0.000216814 -4 *27598:B 0 -5 *27265:A_N 0 -6 *27599:B 0.000705279 -7 *28782:Q 0 -8 *6312:41 0.000327494 -9 *6312:35 0.000183747 -10 *6312:11 0.00110955 -11 *6312:8 0.000625877 -12 *6312:5 0.00030386 -13 *27268:B2 *27268:A1 9.41642e-05 -14 *6312:11 *27268:A1 4.94565e-05 -15 *6312:35 *27268:A1 9.24234e-05 -16 *6312:41 *27268:A1 0.000269428 -17 *27284:A_N *27264:A_N 0.000325266 -18 *27598:A *6312:11 0.000167436 -19 *27599:A *27599:B 5.33005e-05 -20 *27642:B *27599:B 6.352e-05 -21 *1218:136 *27264:A_N 5.33005e-05 -22 *1802:38 *27264:A_N 2.59045e-05 -23 *1802:38 *6312:8 0.000165911 -24 *1826:26 *27599:B 1.94941e-05 -25 *2787:37 *27599:B 0.000136632 -26 *2787:62 *27599:B 3.3572e-05 -27 *2823:8 *27264:A_N 0.000211827 -28 *2823:26 *25526:B 2.58997e-05 -29 *2823:26 *27264:A_N 6.35819e-05 -30 *2832:15 *27264:A_N 5.52302e-05 -31 *2840:97 *27599:B 0.000111172 -32 *3150:7 *27268:B2 4.18895e-05 -33 *3150:7 *6312:41 0.000142856 -34 *3150:38 *25526:B 0.000336401 -35 *3151:7 *27599:B 9.71197e-05 -36 *3154:13 *6312:8 0 -37 *3158:13 *27599:B 2.60984e-05 -38 *3158:13 *6312:11 3.55279e-05 -39 *3178:29 *27599:B 0.000114807 -40 *3196:30 *27599:B 0.000128412 -41 *3537:74 *25526:B 0.000124447 -42 *5196:95 *25526:B 5.52302e-05 -43 *5635:15 *27599:B 9.79256e-05 -44 *5660:8 *27599:B 0.000214726 -45 *5718:240 *27599:B 0 -46 *6311:74 *6312:11 5.52302e-05 -47 *6311:80 *6312:11 0.000175251 -*RES -1 *28782:Q *6312:5 13.8 -2 *6312:5 *6312:8 6.75 -3 *6312:8 *6312:11 7.125 -4 *6312:11 *27599:B 33.2643 -5 *6312:11 *27265:A_N 9.3 -6 *6312:8 *6312:35 2.19643 -7 *6312:35 *27598:B 9.3 -8 *6312:35 *6312:41 2.58929 -9 *6312:41 *25526:B 23.8179 -10 *6312:41 *27268:B2 10.2464 -11 *6312:5 *27264:A_N 19.2464 -*END - -*D_NET *6313 0.0102364 -*CONN -*I *29323:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25354:C I *D sky130_fd_sc_hd__or3_4 -*I *28435:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29323:A 3.7707e-05 -2 *25354:C 0.000146876 -3 *28435:Q 0.000531487 -4 *6313:45 0.00217073 -5 *6313:17 0.00281139 -6 *25354:A *25354:C 5.46858e-05 -7 *26815:A1 *6313:17 0.000390489 -8 *26834:B *6313:17 3.03209e-05 -9 *29137:A *6313:45 0.00030959 -10 *30032:A *6313:45 4.77002e-05 -11 *30139:A *6313:45 0.000564449 -12 *30140:A *6313:45 2.86195e-05 -13 *750:19 *25354:C 8.86413e-05 -14 *753:13 *6313:17 8.34352e-05 -15 *760:20 *6313:17 9.54798e-06 -16 *4183:24 *6313:45 3.72472e-05 -17 *4184:38 *6313:45 0.000183595 -18 *4317:16 *6313:45 0.000394859 -19 *4944:18 *6313:45 9.14454e-05 -20 *4945:15 *6313:17 0.000853924 -21 *5069:27 *25354:C 0.000363385 -22 *5069:27 *6313:17 4.50599e-05 -23 *5069:27 *6313:45 0.000359526 -24 *5093:19 *25354:C 0.000130871 -25 *5484:44 *25354:C 5.52238e-05 -26 *5484:44 *6313:17 9.87672e-05 -27 *5489:19 *6313:17 0.000197208 -28 *5733:48 *6313:45 2.65196e-05 -29 *6014:19 *6313:17 9.30657e-05 -*RES -1 *28435:Q *6313:17 30.197 -2 *6313:17 *25354:C 14.8179 -3 *6313:17 *6313:45 49.5714 -4 *6313:45 *29323:A 10.0321 -*END - -*D_NET *6314 0.0251205 -*CONN -*I *29693:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25499:A1 I *D sky130_fd_sc_hd__mux2_4 -*I *25125:B2 I *D sky130_fd_sc_hd__a221o_2 -*I *28437:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29693:A 0 -2 *25499:A1 2.37855e-05 -3 *25125:B2 2.13511e-05 -4 *28437:Q 0.000311106 -5 *6314:75 0.00125707 -6 *6314:51 0.00167417 -7 *6314:37 0.00196499 -8 *6314:19 0.00315123 -9 *6314:11 0.00191201 -10 *6314:19 *25004:S 5.52238e-05 -11 *6314:19 *6318:30 0.000120344 -12 *6314:19 *6350:35 0.0004813 -13 *6314:19 *6387:27 0.000227445 -14 *6314:19 *6387:34 0.00087004 -15 *6314:19 *6387:54 8.19176e-05 -16 *6314:37 *29501:A 0.000219169 -17 *6314:37 *29504:A 0.00011154 -18 *6314:37 *29607:A 0.000118545 -19 *6314:37 *6317:49 1.59312e-05 -20 *6314:37 *6317:70 0.000191641 -21 *6314:51 *6321:7 0.000356022 -22 *6314:75 *6382:26 8.6229e-06 -23 *24819:A *6314:11 0.000241938 -24 *24819:A *6314:51 0.000144406 -25 *25044:A1 *6314:75 0.000852911 -26 *25125:A1 *6314:37 0.000129588 -27 *25125:A2 *6314:37 1.46576e-05 -28 *25531:A *6314:75 5.33005e-05 -29 *27078:S *6314:75 1.26641e-05 -30 *27244:A2 *6314:37 0.000463936 -31 *27377:A0 *6314:37 3.2687e-05 -32 *27377:S *6314:37 0.00011751 -33 *27398:A0 *6314:37 8.1377e-05 -34 *27398:A1 *6314:37 0.000299717 -35 *28767:CLK *6314:37 4.91658e-05 -36 *28767:RESET_B *6314:19 0.000161381 -37 *28768:CLK *6314:75 0.000227539 -38 *28768:D *6314:75 0.000181586 -39 *28768:RESET_B *6314:75 0.000104729 -40 *28770:D *6314:75 0.000102748 -41 *28771:RESET_B *6314:75 9.82693e-05 -42 *28773:CLK *6314:37 9.41642e-05 -43 *28785:D *6314:51 3.07101e-05 -44 *28786:CLK *6314:51 0.000124872 -45 *28786:RESET_B *6314:19 3.9769e-05 -46 *28791:D *6314:37 0 -47 *28791:RESET_B *6314:37 9.87798e-05 -48 *28960:A *6314:37 0 -49 *29502:A *6314:37 1.8783e-05 -50 *29761:A *6314:19 6.30931e-05 -51 *30689:A *6314:19 0 -52 *12:20 *6314:51 0.00010836 -53 *39:26 *6314:75 0.000829141 -54 *456:17 *6314:11 0.000187193 -55 *1177:11 *6314:19 4.2921e-05 -56 *1250:121 *6314:37 0.000469686 -57 *1254:40 *6314:75 5.95765e-05 -58 *1290:89 *6314:75 0.00064347 -59 *1328:98 *6314:37 3.37161e-05 -60 *1368:17 *6314:75 9.20537e-05 -61 *1446:10 *6314:37 0.000238657 -62 *1800:14 *6314:51 0.00011802 -63 *1806:8 *6314:51 1.98839e-05 -64 *1806:8 *6314:75 1.98839e-05 -65 *2776:48 *6314:37 1.98839e-05 -66 *2797:19 *6314:75 6.89095e-05 -67 *2799:18 *6314:75 6.11417e-05 -68 *2802:16 *6314:19 0.000313677 -69 *2803:20 *6314:75 0.000139331 -70 *2805:40 *6314:75 0.000137639 -71 *3572:55 *6314:19 0.0002232 -72 *3573:34 *6314:19 9.71277e-05 -73 *4352:19 *6314:11 0 -74 *4574:37 *6314:11 5.09402e-05 -75 *4574:37 *6314:51 8.6406e-05 -76 *4574:47 *6314:37 0.000163117 -77 *4742:17 *6314:11 6.10074e-05 -78 *5272:8 *6314:37 0.000115578 -79 *5512:50 *6314:19 0.000103195 -80 *5515:32 *6314:51 3.45215e-05 -81 *5534:5 *6314:75 0.000401764 -82 *5534:14 *6314:75 3.08382e-05 -83 *5539:33 *6314:75 1.61405e-05 -84 *5599:232 *6314:37 0.000385699 -85 *5609:45 *6314:19 3.14816e-05 -86 *5667:113 *6314:37 0.00063429 -87 *5694:133 *6314:37 0 -88 *5720:97 *6314:51 3.19604e-05 -89 *5746:97 *6314:11 5.33005e-05 -90 *5746:152 *6314:19 1.5069e-05 -91 *5749:47 *6314:37 0.000408409 -92 *5751:62 *6314:75 0.000140352 -93 *5751:83 *6314:75 7.30149e-05 -94 *5998:11 *6314:11 0.000345563 -95 *5998:11 *6314:19 0 -96 *5998:11 *6314:51 0.000181242 -97 *6008:26 *6314:11 0.000118445 -98 *6042:20 *6314:19 0.000201816 -99 *6104:19 *6314:11 1.9944e-05 -100 *6178:17 *6314:75 8.95042e-05 -101 *6178:35 *6314:51 0.000236746 -102 *6178:35 *6314:75 0.000152929 -*RES -1 *28437:Q *6314:11 20.2207 -2 *6314:11 *6314:19 40.4011 -3 *6314:19 *6314:37 49.8555 -4 *6314:37 *25125:B2 9.72857 -5 *6314:11 *6314:51 16.1502 -6 *6314:51 *25499:A1 9.72857 -7 *6314:51 *6314:75 41.897 -8 *6314:75 *29693:A 9.3 -*END - -*D_NET *6315 0.00764426 -*CONN -*I *29760:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25037:A1 I *D sky130_fd_sc_hd__mux2_8 -*I *28440:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29760:A 0.000632868 -2 *25037:A1 0.00030791 -3 *28440:Q 8.53647e-05 -4 *6315:7 0.00102614 -5 *29760:A *25563:A1 5.79452e-05 -6 *1178:21 *29760:A 0.000137639 -7 *1240:134 *29760:A 4.04415e-05 -8 *1264:83 *25037:A1 8.30045e-05 -9 *1294:243 *25037:A1 0.000105469 -10 *1717:14 *25037:A1 3.19294e-05 -11 *4352:19 *25037:A1 0.0005584 -12 *5539:17 *29760:A 0.00081832 -13 *5548:16 *29760:A 0.000425189 -14 *5548:16 *6315:7 0.000182804 -15 *5746:132 *25037:A1 6.78178e-05 -16 *5926:174 *25037:A1 0.000104976 -17 *6063:27 *29760:A 0.00119957 -18 *6064:19 *29760:A 0.00149685 -19 *6064:19 *6315:7 0.000281623 -*RES -1 *28440:Q *6315:7 12.2107 -2 *6315:7 *25037:A1 16.7143 -3 *6315:7 *29760:A 33.3893 -*END - -*D_NET *6316 0.00400449 -*CONN -*I *25004:A1 I *D sky130_fd_sc_hd__mux2_4 -*I *29607:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28441:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25004:A1 0 -2 *29607:A 0.00103467 -3 *28441:Q 0.000104311 -4 *6316:7 0.00113898 -5 *6316:7 *6338:41 0.000310292 -6 *6316:7 *6350:35 2.23592e-05 -7 *26843:A1 *6316:7 1.21258e-05 -8 *28767:CLK *29607:A 0.000228253 -9 *28772:D *29607:A 0.000142856 -10 *30453:A *29607:A 7.32272e-05 -11 *1624:33 *29607:A 7.47337e-05 -12 *3572:55 *6316:7 0.000413519 -13 *3575:83 *29607:A 0.000330628 -14 *6314:37 *29607:A 0.000118545 -*RES -1 *28441:Q *6316:7 13.55 -2 *6316:7 *29607:A 34.0679 -3 *6316:7 *25004:A1 9.3 -*END - -*D_NET *6317 0.0572258 -*CONN -*I *29875:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25499:S I *D sky130_fd_sc_hd__mux2_4 -*I *25564:S I *D sky130_fd_sc_hd__mux2_2 -*I *25330:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25004:S I *D sky130_fd_sc_hd__mux2_4 -*I *25037:S I *D sky130_fd_sc_hd__mux2_8 -*I *25563:S I *D sky130_fd_sc_hd__mux2_2 -*I *28442:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29875:A 0.00117757 -2 *25499:S 0.000194024 -3 *25564:S 0.00010606 -4 *25330:A1 0.00132677 -5 *25004:S 1.1624e-05 -6 *25037:S 0.0001197 -7 *25563:S 0 -8 *28442:Q 0.000178601 -9 *6317:120 0.00171077 -10 *6317:100 0.0028209 -11 *6317:85 0.00311489 -12 *6317:73 0.00479033 -13 *6317:70 0.00399339 -14 *6317:49 0.00137762 -15 *6317:33 0.000963354 -16 *6317:27 0.000553068 -17 *6317:20 0.000839981 -18 *6317:9 0.00121222 -19 *25037:S *25563:A1 9.10877e-06 -20 *6317:20 *25564:A0 4.04799e-05 -21 *6317:27 *25564:A0 8.80161e-05 -22 *6317:33 *25564:A0 2.50022e-05 -23 *6317:49 *25563:A1 7.56793e-05 -24 *6317:49 *6319:42 3.05414e-05 -25 *6317:49 *6345:45 3.64479e-05 -26 *6453:DIODE *6317:73 0.000137983 -27 *24910:A *6317:85 3.35788e-05 -28 *25046:B1 *25037:S 3.90198e-05 -29 *25161:B1 *6317:100 6.32372e-05 -30 *25184:B2 *25330:A1 0 -31 *25282:C *6317:100 2.52824e-05 -32 *25306:B1 *29875:A 9.23883e-05 -33 *25306:B2 *29875:A 9.32311e-05 -34 *25330:A2 *25330:A1 8.9224e-06 -35 *25391:A2 *6317:100 4.11288e-05 -36 *25396:B1 *6317:100 9.97552e-05 -37 *25413:C *25330:A1 0.000112777 -38 *25584:A *6317:85 0.000312736 -39 *25950:A0 *6317:73 0.000697696 -40 *27262:B *6317:49 1.89507e-06 -41 *27594:S *25037:S 4.75911e-05 -42 *27594:S *6317:49 0.000190902 -43 *27844:A0 *6317:49 9.67087e-05 -44 *27905:B1 *6317:100 0.000121585 -45 *27906:C1 *6317:100 6.34022e-05 -46 *28436:RESET_B *25330:A1 0 -47 *28437:D *6317:20 0.000256329 -48 *28441:D *6317:70 0.000139905 -49 *28610:CLK *25330:A1 0 -50 *28622:CLK *6317:73 0.000156851 -51 *28627:D *6317:70 0.000565406 -52 *28767:CLK *6317:49 0 -53 *28767:CLK *6317:70 0.000137561 -54 *28769:CLK *6317:9 1.84368e-05 -55 *28769:CLK *6317:20 2.39356e-05 -56 *28960:A *6317:49 0.000341065 -57 *28960:A *6317:70 7.42554e-05 -58 *29235:A *6317:70 3.82242e-05 -59 *29326:A *6317:85 0.00040945 -60 *29706:A *6317:9 4.37485e-06 -61 *29739:A *6317:33 2.40674e-05 -62 *29739:A *6317:49 9.62956e-06 -63 *29761:A *6317:27 4.00349e-05 -64 *29816:A *6317:73 0.000112958 -65 *30689:A *6317:20 0.000688057 -66 *30727:A *25499:S 0.000131699 -67 *30786:A *25330:A1 0 -68 *30791:A *25330:A1 0 -69 *30871:A *6317:85 0.00041929 -70 *31014:A *25330:A1 0 -71 *15:18 *29875:A 0.00269222 -72 *23:22 *29875:A 7.77085e-05 -73 *39:26 *25499:S 2.06178e-05 -74 *244:50 *29875:A 0.00024837 -75 *244:50 *6317:120 0.000166809 -76 *981:15 *29875:A 0.000146858 -77 *1182:42 *25330:A1 3.23542e-05 -78 *1235:52 *25330:A1 0 -79 *1245:116 *25037:S 2.94676e-06 -80 *1257:69 *6317:85 0.00093524 -81 *1263:50 *25330:A1 0 -82 *1263:62 *6317:85 2.05803e-05 -83 *1416:31 *25330:A1 0 -84 *1416:53 *25330:A1 0 -85 *1441:21 *6317:85 3.33764e-05 -86 *1453:17 *6317:85 0.00133151 -87 *1455:55 *6317:100 0.000222838 -88 *1455:93 *25330:A1 5.41794e-05 -89 *1480:35 *6317:100 3.43872e-05 -90 *1535:14 *6317:85 0.00115888 -91 *1536:13 *6317:70 0.000279691 -92 *1588:11 *6317:100 0 -93 *1600:41 *6317:85 0.000173144 -94 *1624:35 *6317:70 0.00192088 -95 *1648:17 *25330:A1 3.69047e-06 -96 *1657:19 *6317:100 0.00120915 -97 *2782:13 *25037:S 0.000154296 -98 *2782:27 *25037:S 9.45773e-05 -99 *2806:9 *25499:S 0.000327448 -100 *2875:63 *25330:A1 0 -101 *2883:39 *25330:A1 2.79201e-05 -102 *2895:79 *25330:A1 4.32309e-05 -103 *3412:25 *25564:S 6.71327e-05 -104 *3425:20 *6317:100 8.44271e-06 -105 *3568:168 *6317:100 0 -106 *3568:178 *25330:A1 0 -107 *3568:178 *6317:100 6.87165e-05 -108 *3572:55 *25004:S 5.52238e-05 -109 *3573:42 *6317:33 8.87557e-05 -110 *3573:42 *6317:49 0.000139951 -111 *3573:44 *6317:27 2.34151e-05 -112 *3573:44 *6317:33 0.000129623 -113 *3797:8 *6317:73 0.000106018 -114 *3872:28 *6317:70 0.000277976 -115 *3875:51 *6317:85 0.000187259 -116 *3875:51 *6317:100 0.000147346 -117 *3875:63 *25330:A1 0.00186263 -118 *3875:63 *6317:100 0.000248898 -119 *3875:71 *25330:A1 9.41642e-05 -120 *3921:77 *25330:A1 5.41797e-06 -121 *4129:61 *6317:85 0.000510115 -122 *4139:53 *6317:85 0.000517215 -123 *5005:14 *6317:70 7.10939e-05 -124 *5310:18 *25037:S 2.11419e-05 -125 *5499:59 *6317:85 0.00073071 -126 *5523:93 *29875:A 0.00326666 -127 *5523:93 *6317:9 0.00021724 -128 *5523:93 *6317:120 0.00131594 -129 *5535:70 *25037:S 6.15221e-05 -130 *5537:14 *29875:A 5.83586e-05 -131 *5538:21 *25564:S 0.000265453 -132 *5624:18 *25330:A1 1.61405e-05 -133 *5655:65 *6317:100 9.22052e-06 -134 *5711:153 *6317:85 0 -135 *5713:203 *6317:49 1.88175e-05 -136 *5718:70 *6317:33 0.000236511 -137 *5747:37 *6317:20 0.000403713 -138 *5747:37 *6317:27 9.70752e-05 -139 *5926:171 *6317:33 1.94879e-05 -140 *5970:51 *6317:73 2.46187e-05 -141 *5970:53 *6317:73 0.00199313 -142 *6008:26 *6317:9 0.000148224 -143 *6009:23 *6317:9 0.00021819 -144 *6009:23 *6317:120 0.000967506 -145 *6063:27 *25564:S 0.00018077 -146 *6311:43 *6317:49 2.75651e-06 -147 *6314:19 *25004:S 5.52238e-05 -148 *6314:37 *6317:49 1.59312e-05 -149 *6314:37 *6317:70 0.000191641 -*RES -1 *28442:Q *6317:9 20.2506 -2 *6317:9 *6317:20 18.6689 -3 *6317:20 *25563:S 13.8 -4 *6317:20 *6317:27 2.85714 -5 *6317:27 *6317:33 6.09821 -6 *6317:33 *25037:S 12.3077 -7 *6317:33 *6317:49 10.3482 -8 *6317:49 *25004:S 14.3357 -9 *6317:49 *6317:70 39.3929 -10 *6317:70 *6317:73 45.6964 -11 *6317:73 *6317:85 33.8271 -12 *6317:85 *6317:100 30.2411 -13 *6317:100 *25330:A1 40.7821 -14 *6317:27 *25564:S 16.925 -15 *6317:9 *6317:120 2.06487 -16 *6317:120 *25499:S 21.7368 -17 *6317:120 *29875:A 26.9005 -*END - -*D_NET *6318 0.0289672 -*CONN -*I *25176:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *29738:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25564:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *28438:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *25176:B2 0.0012719 -2 *29738:A 0.000461196 -3 *25564:A1 3.14004e-05 -4 *28438:Q 0 -5 *6318:32 0.00358475 -6 *6318:30 0.00332836 -7 *6318:6 0.000571768 -8 *6318:5 0.00109469 -9 *25564:A1 *6387:34 4.00349e-05 -10 *6318:6 *6387:34 0.000148383 -11 *6318:30 *29525:A 0.000210441 -12 *6318:30 *6329:6 1.28585e-05 -13 *6318:30 *6387:34 0.000487995 -14 *6318:30 *6387:54 7.51432e-05 -15 *25018:A1 *29738:A 5.7534e-05 -16 *25176:A1 *25176:B2 1.98839e-05 -17 *25176:A2 *25176:B2 0.000168308 -18 *26874:B *25176:B2 2.94055e-05 -19 *27245:B *6318:32 0.000342037 -20 *27447:B1 *25176:B2 0.000316924 -21 *28772:D *6318:30 5.99725e-05 -22 *28802:D *25176:B2 9.82379e-05 -23 *31009:A *25176:B2 0.000165174 -24 *1178:33 *6318:30 0.000120221 -25 *1178:36 *25176:B2 0.000129067 -26 *1178:36 *6318:32 0 -27 *1264:83 *29738:A 0.00156371 -28 *2786:112 *25176:B2 0.000221219 -29 *2797:38 *29738:A 8.34352e-05 -30 *2815:59 *6318:32 1.94479e-05 -31 *2815:72 *6318:32 3.73278e-05 -32 *2818:8 *6318:30 1.48369e-05 -33 *2818:26 *6318:32 6.53202e-05 -34 *2820:58 *25176:B2 0.000376862 -35 *2820:58 *6318:32 6.20685e-05 -36 *2821:8 *6318:32 0.000147145 -37 *2843:56 *6318:32 0.000746549 -38 *2844:153 *6318:32 0.000835844 -39 *2852:11 *6318:32 0.000250204 -40 *2853:24 *6318:32 2.05484e-05 -41 *2860:26 *6318:32 0.000155201 -42 *2875:10 *6318:32 0.000102859 -43 *2877:25 *25176:B2 7.35637e-05 -44 *2888:14 *6318:32 9.91086e-05 -45 *2891:6 *25176:B2 8.93923e-05 -46 *3206:13 *6318:32 9.59532e-06 -47 *3269:10 *25176:B2 0.000169463 -48 *3573:34 *6318:30 0 -49 *5513:53 *29738:A 0.000115139 -50 *5538:21 *25564:A1 3.4792e-05 -51 *5581:8 *25564:A1 4.18834e-05 -52 *5581:8 *6318:6 0.000140977 -53 *5581:8 *6318:30 0.00180339 -54 *5581:8 *6318:32 7.97916e-05 -55 *5598:56 *25176:B2 0.000886385 -56 *5599:279 *25176:B2 0.000712369 -57 *5627:16 *25176:B2 5.24586e-05 -58 *5627:16 *6318:32 0.00162487 -59 *5627:18 *25176:B2 0.000580954 -60 *5643:32 *6318:32 0.000740129 -61 *5662:8 *6318:32 9.96264e-05 -62 *5718:56 *29738:A 3.49234e-05 -63 *5720:169 *6318:30 1.59352e-06 -64 *5720:169 *6318:32 0.000119632 -65 *5746:152 *25564:A1 3.28686e-05 -66 *5926:171 *29738:A 0.00134796 -67 *6304:10 *6318:32 0.000739907 -68 *6304:24 *6318:32 0.000958342 -69 *6305:6 *6318:32 0.000376682 -70 *6305:17 *6318:32 0.000235969 -71 *6306:102 *6318:32 4.18505e-05 -72 *6306:111 *6318:32 7.49232e-05 -73 *6314:19 *6318:30 0.000120344 -*RES -1 *28438:Q *6318:5 13.8 -2 *6318:5 *6318:6 2.09821 -3 *6318:6 *25564:A1 14.7107 -4 *6318:6 *29738:A 24.3048 -5 *6318:5 *6318:30 25.2946 -6 *6318:30 *6318:32 65.1964 -7 *6318:32 *25176:B2 49.0232 -*END - -*D_NET *6319 0.0211885 -*CONN -*I *29795:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25563:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *25263:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28439:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29795:A 0 -2 *25563:A1 0.000767005 -3 *25263:B2 0.000352345 -4 *28439:Q 5.55235e-05 -5 *6319:70 0.000704286 -6 *6319:42 0.00171875 -7 *6319:25 0.00179837 -8 *6319:19 0.00203782 -9 *6319:10 0.000894788 -10 *25563:A1 *25563:A0 3.10885e-05 -11 *25563:A1 *29538:A 9.11365e-06 -12 *6319:10 *6350:26 5.59013e-05 -13 *6319:19 *6320:17 0.00025373 -14 *6319:42 *6320:17 0.000166862 -15 *6319:70 *6345:45 0.000508712 -16 *25037:S *25563:A1 9.10877e-06 -17 *25219:B1 *6319:25 5.44167e-05 -18 *26840:A1 *25563:A1 1.90936e-05 -19 *27594:S *25563:A1 0 -20 *27594:S *6319:70 1.65142e-05 -21 *27844:A0 *6319:42 2.06112e-05 -22 *28438:RESET_B *25563:A1 2.18984e-05 -23 *28441:CLK *6319:19 2.59355e-05 -24 *28441:D *6319:19 2.59355e-05 -25 *28627:D *6319:25 0.000123295 -26 *28660:CLK *6319:25 0.000341372 -27 *28723:D *25263:B2 0.000387622 -28 *28789:D *6319:25 4.60387e-05 -29 *28793:CLK *6319:70 0.000172309 -30 *29739:A *6319:70 0.000183307 -31 *29760:A *25563:A1 5.79452e-05 -32 *29765:A *6319:25 1.04232e-05 -33 *29770:A *25263:B2 6.86792e-05 -34 *29796:A *6319:19 0.000198694 -35 *29796:A *6319:42 4.901e-05 -36 *29830:A *6319:25 2.96938e-05 -37 *29879:A *25563:A1 1.94054e-05 -38 *369:17 *6319:70 0.000453601 -39 *1178:33 *6319:70 0.000136388 -40 *1245:72 *6319:25 0 -41 *1250:96 *6319:25 8.41923e-05 -42 *1277:70 *6319:25 4.75956e-06 -43 *1278:29 *6319:19 0.000219711 -44 *1290:42 *25263:B2 0.000186457 -45 *1550:34 *6319:25 4.34785e-05 -46 *1582:25 *25263:B2 8.43535e-06 -47 *1796:25 *6319:70 7.61603e-05 -48 *1800:14 *6319:70 0.000138042 -49 *1826:46 *6319:70 2.30389e-05 -50 *1826:61 *6319:70 9.0145e-05 -51 *2776:48 *6319:25 4.98281e-05 -52 *2784:26 *6319:19 1.29403e-05 -53 *2831:17 *6319:42 0.000392157 -54 *2892:17 *25263:B2 1.38323e-05 -55 *3041:25 *6319:42 0.000252061 -56 *3173:17 *25263:B2 0.000127067 -57 *3173:17 *6319:25 4.59075e-05 -58 *3572:55 *6319:19 2.72695e-05 -59 *3573:42 *25563:A1 0.000220981 -60 *3573:44 *25563:A1 0.000470544 -61 *3573:115 *6319:70 0.000344232 -62 *3642:99 *6319:25 0.000215963 -63 *3820:8 *6319:25 0 -64 *3820:69 *6319:25 0 -65 *3847:25 *6319:25 0.00273085 -66 *3938:17 *6319:25 0.00011069 -67 *4352:19 *6319:10 8.84757e-05 -68 *4574:47 *6319:10 8.50207e-06 -69 *4907:28 *6319:25 0.000108042 -70 *5005:14 *6319:25 9.77884e-05 -71 *5310:18 *25563:A1 0.000125623 -72 *5310:18 *6319:10 5.52238e-05 -73 *5310:18 *6319:70 6.86693e-05 -74 *5535:14 *6319:19 0.000335976 -75 *5535:70 *25563:A1 6.09762e-05 -76 *5548:16 *25563:A1 9.38105e-05 -77 *5581:8 *6319:70 0.000311481 -78 *5599:39 *6319:19 0.000215448 -79 *5599:232 *6319:25 1.9036e-05 -80 *5602:21 *6319:25 0.000158341 -81 *5694:133 *6319:42 0 -82 *5707:137 *25263:B2 0.000211946 -83 *5713:203 *6319:19 9.18107e-05 -84 *5718:70 *6319:70 4.8794e-05 -85 *5746:146 *25563:A1 5.25192e-06 -86 *5750:63 *6319:25 0.000139478 -87 *5750:82 *6319:25 0.000293632 -88 *5757:31 *6319:19 5.19681e-05 -89 *5926:171 *25563:A1 0.000104976 -90 *6042:20 *25563:A1 2.46182e-05 -91 *6042:20 *6319:70 2.42516e-05 -92 *6067:17 *6319:25 0.000220035 -93 *6100:17 *6319:10 5.33005e-05 -94 *6100:17 *6319:70 0.000181213 -95 *6225:102 *6319:25 0.000169236 -96 *6317:49 *25563:A1 7.56793e-05 -97 *6317:49 *6319:42 3.05414e-05 -*RES -1 *28439:Q *6319:10 18.9332 -2 *6319:10 *6319:19 20.1713 -3 *6319:19 *6319:25 46.2321 -4 *6319:25 *25263:B2 26.9071 -5 *6319:10 *6319:42 5.5093 -6 *6319:42 *25563:A1 28.6929 -7 *6319:42 *6319:70 41.5179 -8 *6319:70 *29795:A 9.3 -*END - -*D_NET *6320 0.0254646 -*CONN -*I *27213:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *25400:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28767:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27213:B1 0 -2 *25400:A1 0.00123624 -3 *28767:Q 0 -4 *6320:71 0.00121056 -5 *6320:29 0.00278127 -6 *6320:17 0.00286644 -7 *6320:4 0.00253197 -8 *25400:A1 *6338:23 0.00279431 -9 *6320:17 *6387:54 0.000172031 -10 *6320:29 *29622:A 6.62983e-05 -11 *6320:71 *25533:B1 8.38194e-05 -12 *6320:71 *27213:A1 5.33005e-05 -13 *6320:71 *6384:8 6.44916e-05 -14 *6320:71 *6384:23 0.00016264 -15 *6320:71 *6384:39 0.000150823 -16 *6320:71 *6384:44 0.000131124 -17 *6320:71 *6387:54 0.000108363 -18 *25105:A1 *25400:A1 0.000212373 -19 *25105:B1 *25400:A1 4.58976e-05 -20 *25105:B2 *25400:A1 0.00142943 -21 *25400:A2 *25400:A1 0.000199279 -22 *25521:B1 *6320:71 1.90936e-05 -23 *25626:A1 *6320:29 0.000243374 -24 *26846:A3 *25400:A1 0.000131453 -25 *26846:A3 *6320:29 6.92609e-05 -26 *26846:C1 *25400:A1 9.60875e-05 -27 *27214:A1 *6320:71 6.54988e-05 -28 *27214:B1 *6320:71 0 -29 *27484:A0 *6320:17 0.000132879 -30 *27484:A0 *6320:29 3.61629e-06 -31 *27844:A0 *6320:17 0.000734585 -32 *27844:S *6320:17 0.000183713 -33 *28443:D *25400:A1 0.000192044 -34 *28674:D *6320:29 9.99644e-06 -35 *28767:D *6320:71 0.000593899 -36 *28807:D *6320:17 8.55871e-05 -37 *29236:A *6320:29 0.00055843 -38 *369:17 *6320:71 0.000143407 -39 *371:15 *6320:71 7.51399e-05 -40 *1178:33 *6320:17 1.34009e-05 -41 *1240:41 *25400:A1 0.000181796 -42 *1243:86 *25400:A1 1.21258e-05 -43 *1273:265 *6320:71 2.14757e-05 -44 *1328:22 *25400:A1 8.43535e-06 -45 *1716:11 *25400:A1 0.000285141 -46 *1797:11 *6320:71 1.52985e-05 -47 *1826:46 *6320:17 0.000559747 -48 *1826:46 *6320:71 2.86188e-05 -49 *2751:16 *6320:17 4.19624e-06 -50 *2798:34 *6320:71 0 -51 *2800:16 *6320:71 0.000197113 -52 *2831:17 *6320:17 5.16355e-05 -53 *2840:53 *6320:71 0 -54 *3041:15 *6320:71 0.000235838 -55 *3041:25 *6320:17 0.000465801 -56 *3639:74 *6320:29 3.20153e-05 -57 *5399:17 *6320:71 0.000338612 -58 *5505:42 *6320:29 8.07951e-05 -59 *5515:32 *6320:17 6.25005e-05 -60 *5520:48 *25400:A1 0.000156265 -61 *5599:39 *6320:17 0.000293887 -62 *5667:201 *6320:71 0.000156922 -63 *5678:247 *6320:17 7.60881e-05 -64 *5678:267 *6320:17 0.000241925 -65 *5700:174 *6320:17 1.90936e-05 -66 *5711:86 *25400:A1 9.41642e-05 -67 *5713:155 *6320:29 2.13481e-06 -68 *5713:163 *6320:29 1.90303e-05 -69 *5713:203 *6320:17 0.00101448 -70 *5713:203 *6320:71 0.000605198 -71 *5970:47 *6320:71 5.33005e-05 -72 *6100:17 *6320:71 1.26641e-05 -73 *6311:43 *6320:71 1.24381e-05 -74 *6311:62 *6320:71 5.31158e-05 -75 *6319:19 *6320:17 0.00025373 -76 *6319:42 *6320:17 0.000166862 -*RES -1 *28767:Q *6320:4 9.3 -2 *6320:4 *6320:17 34.0698 -3 *6320:17 *6320:29 29.3214 -4 *6320:29 *25400:A1 48.3357 -5 *6320:4 *6320:71 48.7143 -6 *6320:71 *27213:B1 9.3 -*END - -*D_NET *6321 0.00153625 -*CONN -*I *29500:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25499:A0 I *D sky130_fd_sc_hd__mux2_4 -*I *28785:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29500:A 0.000103052 -2 *25499:A0 5.73432e-05 -3 *28785:Q 0.000153322 -4 *6321:7 0.000313717 -5 *27271:A1 *29500:A 9.60939e-05 -6 *30727:A *25499:A0 5.20232e-05 -7 *30727:A *29500:A 0.000136388 -8 *775:23 *29500:A 9.60939e-05 -9 *1806:8 *29500:A 0.000116971 -10 *1806:8 *6321:7 5.52238e-05 -11 *6314:51 *6321:7 0.000356022 -*RES -1 *28785:Q *6321:7 17.2107 -2 *6321:7 *25499:A0 14.5321 -3 *6321:7 *29500:A 16.6929 -*END - -*D_NET *6322 0.00127928 -*CONN -*I *29488:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27354:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *28787:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29488:A 3.73351e-05 -2 *27354:A2 0.000140683 -3 *28787:Q 0 -4 *6322:5 0.000178018 -5 *27354:A1 *27354:A2 0.000135028 -6 *2894:21 *27354:A2 6.86792e-05 -7 *3873:73 *27354:A2 0.000237456 -8 *3873:73 *29488:A 0.000117189 -9 *5700:111 *27354:A2 0.000237456 -10 *5700:111 *29488:A 0.000127439 -*RES -1 *28787:Q *6322:5 13.8 -2 *6322:5 *27354:A2 18.3179 -3 *6322:5 *29488:A 15.4429 -*END - -*D_NET *6323 0.0124779 -*CONN -*I *27571:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *29543:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28797:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27571:A2 0.000771147 -2 *29543:A 2.04425e-05 -3 *28797:Q 0.000180384 -4 *6323:10 0.00191246 -5 *6323:5 0.00284355 -6 pll_trim[3] *27571:A2 1.38167e-05 -7 pll_trim[5] *27571:A2 0.000340941 -8 *25756:A1 *6323:10 0.000971699 -9 *25909:A0 *6323:10 0.000211588 -10 *25911:A0 *6323:10 2.06178e-05 -11 *26822:A1 *6323:10 6.09588e-05 -12 *28119:D *27571:A2 5.68747e-05 -13 *28359:RESET_B *6323:10 0.000294721 -14 *28375:D *6323:10 0.000103032 -15 *28798:D *6323:10 0.000220328 -16 *30548:A *6323:10 0.000301388 -17 *1264:41 *27571:A2 3.43988e-06 -18 *1616:34 *27571:A2 0.00064266 -19 *1671:24 *27571:A2 0.000306616 -20 *1675:10 *27571:A2 0.000280748 -21 *1825:58 *27571:A2 3.12322e-05 -22 *1893:14 *6323:10 0.000537097 -23 *3145:32 *27571:A2 0.000101951 -24 *3571:53 *6323:10 0 -25 *3571:55 *6323:10 0 -26 *3571:89 *6323:5 0.000103977 -27 *3571:89 *6323:10 0.000210732 -28 *3661:10 *6323:10 0.000525655 -29 *3671:75 *6323:10 0.000379095 -30 *3779:12 *6323:10 9.56678e-05 -31 *3884:53 *6323:10 4.37561e-05 -32 *3919:46 *29543:A 5.33005e-05 -33 *4140:47 *29543:A 2.59355e-05 -34 *5313:11 *6323:10 4.48173e-05 -35 *5485:102 *27571:A2 0.000112777 -36 *5698:36 *6323:10 0.000392211 -37 *5735:8 *6323:10 9.1934e-05 -38 *6055:23 *27571:A2 0.000170368 -*RES -1 *28797:Q *6323:5 11.4786 -2 *6323:5 *6323:10 48.8214 -3 *6323:10 *29543:A 14.3357 -4 *6323:5 *27571:A2 47.1214 -*END - -*D_NET *6324 0.0198017 -*CONN -*I *27593:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *29549:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28798:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27593:A2 0.0023022 -2 *29549:A 0.000850973 -3 *28798:Q 0 -4 *6324:17 0.0018038 -5 *6324:4 0.00325502 -6 *27593:A2 *29577:A 0.000214313 -7 *27593:A2 *6346:15 1.05846e-05 -8 *29549:A *27505:A1 6.30545e-05 -9 *6324:17 *27505:A1 0.00041754 -10 *6324:17 *6385:73 7.40536e-05 -11 *25398:A1 *6324:17 6.74751e-05 -12 *25600:A1 *27593:A2 2.33059e-05 -13 *25753:A *29549:A 0.000222244 -14 *25753:B *29549:A 0 -15 *25906:A *29549:A 5.16744e-05 -16 *25918:B *6324:17 0.00101706 -17 *27593:B1 *27593:A2 9.87071e-05 -18 *27869:A2 *27593:A2 3.88133e-05 -19 *27869:B1 *27593:A2 0.000270016 -20 *28223:SET_B *6324:17 8.30045e-05 -21 *28390:D *27593:A2 0.000925986 -22 *30068:A *27593:A2 0.000245351 -23 *450:10 *27593:A2 3.49869e-05 -24 *462:17 *27593:A2 0 -25 *471:12 *6324:17 0.000357157 -26 *1263:122 *6324:17 8.23598e-05 -27 *1294:39 *27593:A2 0.000117403 -28 *1401:37 *29549:A 5.13222e-05 -29 *1587:16 *29549:A 7.69776e-06 -30 *1824:38 *27593:A2 0.000108061 -31 *3067:12 *29549:A 4.27872e-05 -32 *3125:10 *6324:17 0.0005442 -33 *3145:32 *29549:A 0.00014833 -34 *3146:17 *27593:A2 4.53295e-05 -35 *3650:85 *27593:A2 7.79781e-06 -36 *3661:31 *6324:17 0.000240428 -37 *3662:19 *29549:A 2.26327e-05 -38 *3791:148 *27593:A2 0.000372501 -39 *3827:9 *29549:A 9.99893e-05 -40 *3845:47 *29549:A 0.000222244 -41 *4617:23 *27593:A2 0 -42 *5010:18 *27593:A2 1.90936e-05 -43 *5036:25 *27593:A2 9.09604e-05 -44 *5149:22 *27593:A2 0 -45 *5166:23 *27593:A2 0 -46 *5200:25 *6324:17 9.53213e-05 -47 *5320:8 *29549:A 0.00134257 -48 *5320:8 *6324:17 1.53472e-05 -49 *5485:102 *27593:A2 0.000739169 -50 *5488:79 *6324:17 2.63501e-05 -51 *5520:48 *27593:A2 0 -52 *5527:13 *27593:A2 6.37247e-05 -53 *5599:193 *27593:A2 9.41642e-05 -54 *5624:94 *6324:17 0.000193467 -55 *5665:48 *6324:17 8.7338e-05 -56 *5665:66 *29549:A 0 -57 *5665:66 *6324:17 6.52967e-05 -58 *5685:126 *6324:17 5.08079e-05 -59 *5691:252 *6324:17 5.58296e-05 -60 *5698:165 *27593:A2 0.00105236 -61 *5734:178 *27593:A2 0.000386115 -62 *5926:204 *27593:A2 0.000852786 -63 *5926:221 *27593:A2 3.05874e-05 -*RES -1 *28798:Q *6324:4 9.3 -2 *6324:4 *6324:17 39.9272 -3 *6324:17 *29549:A 34.4696 -4 *6324:4 *27593:A2 49.4413 -*END - -*D_NET *6325 0.00341192 -*CONN -*I *29539:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25037:A0 I *D sky130_fd_sc_hd__mux2_8 -*I *28799:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29539:A 0.00029747 -2 *25037:A0 0.000218753 -3 *28799:Q 0.00073057 -4 *6325:12 0.00124679 -5 *26842:A0 *6325:12 9.41642e-05 -6 *27594:S *25037:A0 6.5349e-05 -7 *27594:S *29539:A 9.99644e-06 -8 *27594:S *6325:12 5.59267e-05 -9 *28438:RESET_B *6325:12 1.65142e-05 -10 *29761:A *6325:12 1.32552e-05 -11 *1294:243 *6325:12 9.59532e-06 -12 *2782:27 *6325:12 0.000189553 -13 *3573:80 *29539:A 5.94433e-06 -14 *4574:47 *6325:12 4.99622e-05 -15 *5310:18 *29539:A 6.09658e-05 -16 *5485:121 *6325:12 6.55105e-05 -17 *5718:77 *6325:12 5.56564e-05 -18 *5746:132 *6325:12 3.53079e-05 -19 *5746:146 *6325:12 1.89507e-06 -20 *5747:37 *6325:12 2.57552e-06 -21 *6042:20 *6325:12 1.91991e-05 -22 *6063:27 *6325:12 0.000166957 -*RES -1 *28799:Q *6325:12 29.6929 -2 *6325:12 *25037:A0 11.8893 -3 *6325:12 *29539:A 13.0321 -*END - -*D_NET *6326 0.000634203 -*CONN -*I *29497:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28788:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29497:A 0.00011189 -2 *28788:Q 0.00011189 -3 *27313:B *29497:A 2.59355e-05 -4 *30818:A *29497:A 1.90936e-05 -5 *3195:25 *29497:A 5.33005e-05 -6 *5667:113 *29497:A 0.000186344 -7 *5694:110 *29497:A 3.72834e-05 -8 *5694:119 *29497:A 8.84659e-05 -*RES -1 *28788:Q *29497:A 30.6893 -*END - -*D_NET *6327 0.00145995 -*CONN -*I *29504:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27397:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *28789:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29504:A 0.000202171 -2 *27397:A2 0.000120864 -3 *28789:Q 0 -4 *6327:5 0.000323034 -5 *27377:A0 *29504:A 0.000265628 -6 *28773:CLK *29504:A 5.33005e-05 -7 *3569:73 *27397:A2 1.578e-05 -8 *5694:119 *27397:A2 0 -9 *5694:119 *29504:A 0 -10 *5720:191 *27397:A2 0.000189862 -11 *5720:191 *29504:A 0.000177767 -12 *6314:37 *29504:A 0.00011154 -*RES -1 *28789:Q *6327:5 13.8 -2 *6327:5 *27397:A2 16.3536 -3 *6327:5 *29504:A 18.9429 -*END - -*D_NET *6328 0.00182513 -*CONN -*I *29501:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28790:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29501:A 0.00029978 -2 *28790:Q 0.00029978 -3 *27398:A1 *29501:A 0.000266479 -4 *29502:A *29501:A 0.000356521 -5 *1289:55 *29501:A 5.49544e-05 -6 *2817:52 *29501:A 5.49544e-05 -7 *4685:23 *29501:A 0.00027005 -8 *5272:8 *29501:A 3.43988e-06 -9 *6314:37 *29501:A 0.000219169 -*RES -1 *28790:Q *29501:A 35.7071 -*END - -*D_NET *6329 0.00813567 -*CONN -*I *29525:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27439:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *28791:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29525:A 0.000340378 -2 *27439:A2 0.000802331 -3 *28791:Q 5.76283e-06 -4 *6329:6 0.00114847 -5 *27419:A0 *29525:A 9.71197e-05 -6 *27439:A1 *27439:A2 0.00015212 -7 *28772:D *29525:A 6.51414e-05 -8 *28772:D *6329:6 2.11419e-05 -9 *28779:D *27439:A2 0.000201115 -10 *1178:33 *29525:A 0.000118573 -11 *1271:23 *29525:A 5.03772e-05 -12 *2778:35 *27439:A2 0.00223642 -13 *2818:8 *29525:A 0.000300286 -14 *3573:30 *29525:A 8.13345e-05 -15 *3573:34 *29525:A 1.0945e-05 -16 *5485:131 *27439:A2 2.32154e-05 -17 *5602:21 *27439:A2 0.00225764 -18 *6318:30 *29525:A 0.000210441 -19 *6318:30 *6329:6 1.28585e-05 -*RES -1 *28791:Q *6329:6 14.0768 -2 *6329:6 *27439:A2 26.9757 -3 *6329:6 *29525:A 22.3089 -*END - -*D_NET *6330 0.00447784 -*CONN -*I *27461:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *29515:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28792:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27461:A2 0.000257278 -2 *29515:A 0 -3 *28792:Q 0.00103461 -4 *6330:17 0.00129189 -5 *30522:A *6330:17 5.37664e-05 -6 *3019:21 *27461:A2 5.33005e-05 -7 *3572:64 *6330:17 2.79421e-05 -8 *3572:72 *27461:A2 0.000340911 -9 *3572:72 *6330:17 0.000369588 -10 *3636:16 *6330:17 2.18262e-05 -11 *5531:15 *27461:A2 0.000551755 -12 *5531:15 *6330:17 0.00019868 -13 *5678:216 *6330:17 1.6591e-05 -14 *5680:257 *6330:17 7.40571e-05 -15 *5713:208 *6330:17 0.000185643 -*RES -1 *28792:Q *6330:17 42.9964 -2 *6330:17 *29515:A 9.3 -3 *6330:17 *27461:A2 16.8357 -*END - -*D_NET *6331 0.00475982 -*CONN -*I *27483:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *29486:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28793:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27483:A2 0.00066004 -2 *29486:A 0 -3 *28793:Q 0.000381664 -4 *6331:13 0.0010417 -5 *6331:13 *27217:A 0.000137983 -6 *6331:13 *6387:96 0 -7 *25044:A1 *27483:A2 9.09191e-05 -8 *25534:A2_N *27483:A2 0.000306076 -9 *25534:A2_N *6331:13 1.38323e-05 -10 *27462:S *6331:13 7.28899e-05 -11 *27483:A1 *27483:A2 1.04232e-05 -12 *28647:D *27483:A2 0.00064629 -13 *30470:A *27483:A2 0 -14 *18:20 *27483:A2 1.16111e-05 -15 *1799:19 *27483:A2 0.000101288 -16 *2782:170 *27483:A2 0.000150551 -17 *2782:170 *6331:13 0.000234104 -18 *2838:31 *27483:A2 0.000264755 -19 *5680:257 *6331:13 5.4061e-05 -20 *5720:13 *6331:13 1.24368e-05 -21 *5720:31 *27483:A2 0.000375825 -22 *5720:39 *27483:A2 2.44318e-05 -23 *5926:152 *6331:13 0.000168939 -*RES -1 *28793:Q *6331:13 25.7464 -2 *6331:13 *29486:A 9.3 -3 *6331:13 *27483:A2 34.9786 -*END - -*D_NET *6332 0.0301457 -*CONN -*I *29622:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *6534:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *27505:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *28794:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29622:A 0.000100252 -2 *6534:DIODE 0 -3 *27505:A2 0.00104409 -4 *28794:Q 0.000329866 -5 *6332:55 0.000199843 -6 *6332:39 0.0053755 -7 *6332:29 0.00542295 -8 *6332:12 0.001521 -9 *6332:12 *6350:26 0.000103974 -10 *24918:A *6332:39 8.11174e-05 -11 *24922:A *6332:39 1.10868e-05 -12 *24952:B *6332:39 0.000575295 -13 *25260:A2 *6332:12 6.87574e-05 -14 *25355:A *6332:39 0.00040045 -15 *25400:B2 *6332:39 0.000190128 -16 *25594:A0 *6332:39 2.07506e-05 -17 *25626:S *6332:29 0.000305766 -18 *27484:A0 *29622:A 8.2785e-05 -19 *27484:A0 *6332:55 7.72916e-05 -20 *28223:D *27505:A2 0.000736221 -21 *28674:CLK *6332:29 5.33005e-05 -22 *29381:A *6332:39 0.000204086 -23 *30555:A *6332:29 0.000118267 -24 *460:33 *6332:39 0.000165414 -25 *462:17 *6332:39 0.00010586 -26 *466:15 *27505:A2 4.78793e-05 -27 *474:15 *6332:29 7.65658e-05 -28 *1141:20 *6332:39 0.00038649 -29 *1244:23 *6332:29 0.0016305 -30 *1244:28 *6332:29 0.000399841 -31 *1278:18 *6332:29 0.000531273 -32 *1278:18 *6332:39 0.000111126 -33 *1294:243 *6332:12 0.000228558 -34 *1327:116 *6332:39 0.000383146 -35 *1408:21 *27505:A2 4.94156e-05 -36 *1408:21 *6332:39 5.39182e-05 -37 *1408:26 *27505:A2 0 -38 *1408:71 *6332:39 0.000453514 -39 *1408:97 *6332:39 0.000537355 -40 *1408:103 *6332:29 0.000149973 -41 *1430:165 *6332:39 3.55091e-05 -42 *1471:37 *27505:A2 0.000222666 -43 *1671:24 *6332:39 0.000518602 -44 *1826:61 *6332:29 0.000608141 -45 *3062:10 *27505:A2 0.000523005 -46 *3082:13 *27505:A2 0.000263776 -47 *3568:136 *6332:39 0.000720174 -48 *3569:78 *6332:12 0.000127267 -49 *3801:45 *27505:A2 0.000286033 -50 *3801:56 *27505:A2 0.0002184 -51 *4796:20 *6332:12 0.000299607 -52 *4796:30 *6332:29 5.03772e-05 -53 *5036:25 *6332:39 9.23367e-05 -54 *5279:29 *6332:39 0.000170256 -55 *5448:16 *6332:12 4.01615e-05 -56 *5448:21 *29622:A 1.21317e-05 -57 *5448:21 *6332:12 3.21246e-05 -58 *5448:21 *6332:55 1.99554e-05 -59 *5510:87 *27505:A2 3.4323e-06 -60 *5599:158 *6332:39 0.000955017 -61 *5605:10 *6332:39 0.000587484 -62 *5619:58 *27505:A2 0.000133084 -63 *5624:63 *6332:39 0.00140875 -64 *5687:166 *29622:A 1.14483e-05 -65 *5687:166 *6332:12 0 -66 *5687:166 *6332:55 2.83284e-06 -67 *5711:86 *6332:39 4.50033e-05 -68 *5738:59 *27505:A2 3.34078e-05 -69 *6071:10 *6332:29 0.000324768 -70 *6320:29 *29622:A 6.62983e-05 -*RES -1 *28794:Q *6332:12 22.8536 -2 *6332:12 *6332:29 38.6429 -3 *6332:29 *6332:39 45.2975 -4 *6332:39 *27505:A2 46.9339 -5 *6332:12 *6332:55 1.94643 -6 *6332:55 *6534:DIODE 13.8 -7 *6332:55 *29622:A 16.3 -*END - -*D_NET *6333 0.020955 -*CONN -*I *27527:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *29533:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28795:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27527:A2 0.0008473 -2 *29533:A 0.00333917 -3 *28795:Q 1.45667e-05 -4 *6333:7 0.00420103 -5 *27527:A2 *29577:A 0.000179615 -6 *29533:A *29577:A 1.90936e-05 -7 *25617:A1 *27527:A2 0.000137905 -8 *25754:A0 *27527:A2 9.77423e-05 -9 *25754:A1 *27527:A2 2.44318e-05 -10 *25754:S *27527:A2 0.000320447 -11 *26809:A1 *29533:A 0.000157842 -12 *26815:A1 *29533:A 0.000201956 -13 *26817:A *29533:A 1.79924e-05 -14 *26822:A1 *27527:A2 0.000259549 -15 *26822:S *27527:A2 0.000644247 -16 *26834:B *29533:A 4.15592e-05 -17 *27527:B1 *27527:A2 5.52238e-05 -18 *28222:CLK *27527:A2 5.33005e-05 -19 *28383:D *27527:A2 0.000173551 -20 *28418:D *29533:A 0.000190858 -21 *28798:D *27527:A2 2.14757e-05 -22 *466:15 *27527:A2 4.40015e-05 -23 *759:16 *27527:A2 0.000187301 -24 *1327:116 *27527:A2 6.71755e-05 -25 *1616:34 *29533:A 0.00120339 -26 *1617:17 *29533:A 5.46816e-05 -27 *3571:53 *27527:A2 0.000188208 -28 *3571:55 *27527:A2 9.97744e-05 -29 *3571:60 *27527:A2 2.11543e-05 -30 *3650:13 *27527:A2 0.000350734 -31 *3650:28 *27527:A2 2.95642e-05 -32 *3791:13 *29533:A 0.000267734 -33 *3791:36 *29533:A 0.00338853 -34 *3791:126 *29533:A 0.000299305 -35 *4274:16 *29533:A 7.69776e-06 -36 *4945:15 *29533:A 2.77005e-05 -37 *5484:44 *29533:A 0.000433686 -38 *5485:102 *27527:A2 2.26824e-05 -39 *5485:102 *29533:A 2.06178e-05 -40 *5485:102 *6333:7 5.33005e-05 -41 *5488:34 *29533:A 1.53262e-05 -42 *5489:19 *29533:A 0.00214109 -43 *5491:97 *29533:A 6.16075e-05 -44 *5532:15 *29533:A 9.45051e-05 -45 *5698:156 *29533:A 0.000562739 -46 *5710:112 *29533:A 2.22043e-05 -47 *5710:125 *29533:A 0.000207887 -48 *5926:235 *6333:7 5.49489e-05 -49 *6225:48 *27527:A2 2.8599e-05 -*RES -1 *28795:Q *6333:7 14.3357 -2 *6333:7 *29533:A 38.4515 -3 *6333:7 *27527:A2 47.05 -*END - -*D_NET *6334 0.0252879 -*CONN -*I *27549:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *29571:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28796:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27549:A2 3.99576e-05 -2 *29571:A 0.0034896 -3 *28796:Q 0 -4 *6334:39 0.00176066 -5 *6334:4 0.00521031 -6 *27549:A2 *27549:A1 1.5239e-05 -7 *6334:39 *27549:A1 5.33005e-05 -8 *6334:39 *29649:A 0.00112898 -9 *25359:A2 *29571:A 7.44256e-05 -10 *25359:B1 *29571:A 0.000312559 -11 *25406:B2 *6334:39 0.000219028 -12 *26812:A1 *29571:A 0.000140088 -13 *26817:A *29571:A 0.000786259 -14 *26819:A0 *29571:A 0.000284407 -15 *26835:A0 *29571:A 2.64941e-05 -16 *28108:CLK *27549:A2 4.3619e-05 -17 *28108:CLK *6334:39 4.48389e-05 -18 *28435:CLK *29571:A 0.000341534 -19 *28796:CLK *29571:A 9.59532e-06 -20 *28796:D *29571:A 1.90936e-05 -21 *28796:RESET_B *29571:A 0.000178794 -22 *30934:A *6334:39 0.000147142 -23 *473:13 *6334:39 9.58632e-05 -24 *768:29 *29571:A 0 -25 *1471:56 *29571:A 0.000597174 -26 *1825:55 *29571:A 0.000170644 -27 *1825:55 *6334:39 0.000366303 -28 *1895:13 *29571:A 8.22387e-06 -29 *3104:13 *6334:39 5.33005e-05 -30 *3649:37 *6334:39 9.74583e-05 -31 *3791:36 *29571:A 0.00010312 -32 *4317:16 *29571:A 0.000388982 -33 *4332:24 *29571:A 0.000251298 -34 *4337:16 *29571:A 0.000411637 -35 *4900:26 *29571:A 0.000397976 -36 *4945:15 *29571:A 9.97877e-06 -37 *5050:25 *29571:A 0.000508336 -38 *5203:27 *29571:A 0.000136602 -39 *5204:15 *29571:A 2.79665e-05 -40 *5483:44 *29571:A 0.000197365 -41 *5484:44 *29571:A 5.42698e-05 -42 *5485:32 *29571:A 0.000429471 -43 *5488:11 *29571:A 0.00132296 -44 *5488:34 *29571:A 0.000140088 -45 *5491:18 *29571:A 0.00219207 -46 *5491:18 *6334:39 0.00211032 -47 *5500:7 *6334:39 0.000139913 -48 *5500:41 *6334:39 0.000270212 -49 *5532:15 *29571:A 0.000283885 -50 *5675:245 *6334:39 0.00019656 -*RES -1 *28796:Q *6334:4 9.3 -2 *6334:4 *29571:A 49.5066 -3 *6334:4 *6334:39 49.9286 -4 *6334:39 *27549:A2 10.4696 -*END - -*D_NET *6335 0.000723918 -*CONN -*I *29508:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28800:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29508:A 0.000112084 -2 *28800:Q 0.000112084 -3 *28469:CLK *29508:A 0.000135028 -4 *2958:54 *29508:A 0.000114847 -5 *5700:111 *29508:A 0.000114847 -6 *5754:27 *29508:A 0.000135028 -*RES -1 *28800:Q *29508:A 30.6 -*END - -*D_NET *6336 0.00916555 -*CONN -*I *29581:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29649:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28810:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29581:A 0.00060578 -2 *29649:A 0.000986242 -3 *28810:Q 4.29478e-05 -4 *6336:7 0.00163497 -5 *29581:A *27967:A1 5.52302e-05 -6 *29581:A *29601:A 7.18028e-05 -7 *29581:A *6337:17 0.000164481 -8 *27968:B2 *29581:A 0.00026694 -9 *27968:B2 *29649:A 0.000191715 -10 *28810:D *6336:7 0.000135028 -11 *28812:CLK *29581:A 1.24368e-05 -12 *28951:A *6336:7 0.000135028 -13 *30934:A *29649:A 0.000308007 -14 *30955:A *29649:A 0.000137639 -15 *1139:12 *29649:A 5.60646e-05 -16 *1141:20 *29581:A 2.11372e-05 -17 *1675:10 *29649:A 3.26888e-05 -18 *2798:68 *29581:A 0.000219711 -19 *4380:8 *29581:A 0.000273249 -20 *4380:8 *29649:A 0.000531926 -21 *5421:10 *29649:A 0.000816776 -22 *5500:41 *29649:A 0.000699694 -23 *5599:125 *29581:A 1.13406e-05 -24 *5675:245 *29649:A 0.000487114 -25 *5691:176 *29581:A 5.88072e-05 -26 *5737:55 *29581:A 3.22325e-05 -27 *5737:77 *29581:A 4.7585e-05 -28 *6334:39 *29649:A 0.00112898 -*RES -1 *28810:Q *6336:7 15.1571 -2 *6336:7 *29649:A 44.9607 -3 *6336:7 *29581:A 34.9071 -*END - -*D_NET *6337 0.00905183 -*CONN -*I *29509:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27967:A1 I *D sky130_fd_sc_hd__o21ba_1 -*I *28811:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29509:A 0.000860451 -2 *27967:A1 1.94809e-05 -3 *28811:Q 0.000790126 -4 *6337:17 0.00167006 -5 *29509:A *29601:A 0.000163911 -6 *6337:17 *6347:12 8.08022e-05 -7 *25406:C1 *6337:17 7.90803e-05 -8 *27894:A1 *29509:A 0.000894046 -9 *27968:A2 *6337:17 0.000426671 -10 *27968:B2 *6337:17 8.79343e-05 -11 *28808:D *6337:17 0.00026769 -12 *29581:A *27967:A1 5.52302e-05 -13 *29581:A *6337:17 0.000164481 -14 *1401:37 *29509:A 5.1588e-05 -15 *1401:49 *29509:A 0.000187312 -16 *1457:35 *29509:A 9.60337e-06 -17 *2798:68 *27967:A1 2.28499e-05 -18 *2798:68 *6337:17 1.98839e-05 -19 *2839:73 *27967:A1 9.90367e-05 -20 *2839:73 *6337:17 0.000216755 -21 *3649:79 *6337:17 0.000688845 -22 *3788:49 *29509:A 8.65622e-05 -23 *3805:47 *29509:A 0.000653759 -24 *3999:17 *29509:A 0 -25 *5491:42 *29509:A 9.11972e-05 -26 *5501:23 *6337:17 0.000691023 -27 *5581:49 *29509:A 0.000136661 -28 *5666:231 *29509:A 5.56825e-05 -29 *5691:176 *29509:A 6.13729e-05 -30 *5737:77 *29509:A 1.13346e-05 -31 *5738:172 *29509:A 0.000218564 -32 *6225:61 *29509:A 0.00018984 -*RES -1 *28811:Q *6337:17 47.3893 -2 *6337:17 *27967:A1 10.2464 -3 *6337:17 *29509:A 41.7821 -*END - -*D_NET *6338 0.0219517 -*CONN -*I *25004:A0 I *D sky130_fd_sc_hd__mux2_4 -*I *29615:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28812:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25004:A0 0 -2 *29615:A 0.000562404 -3 *28812:Q 0 -4 *6338:41 0.00282326 -5 *6338:23 0.00493535 -6 *6338:4 0.00267449 -7 *29615:A *27918:A1 0.000148303 -8 *29615:A *29601:A 7.83659e-05 -9 *29615:A *6347:12 5.15925e-05 -10 *6338:41 *6350:35 0.000181796 -11 *25105:B2 *6338:23 2.12005e-05 -12 *25400:A1 *6338:23 0.00279431 -13 *25400:C1 *29615:A 1.98839e-05 -14 *26843:A1 *6338:41 7.26522e-05 -15 *26846:A1 *6338:41 5.58941e-05 -16 *27844:A0 *6338:41 0.00119796 -17 *29461:A *6338:41 1.90936e-05 -18 *30023:A *29615:A 0.000121416 -19 *30454:A *6338:41 0.000120227 -20 *723:24 *29615:A 0.000428915 -21 *1227:68 *6338:23 3.95723e-05 -22 *1244:23 *6338:41 6.35412e-05 -23 *1245:72 *6338:41 9.60337e-06 -24 *1254:116 *6338:41 0 -25 *1716:11 *6338:23 0.000396704 -26 *2780:90 *6338:41 0.000112777 -27 *3568:136 *29615:A 0.000135028 -28 *3568:136 *6338:23 3.19941e-05 -29 *3648:7 *6338:23 0.000342302 -30 *3996:8 *6338:23 0 -31 *4352:19 *6338:41 2.45366e-05 -32 *4574:47 *6338:41 0.000230972 -33 *5040:13 *6338:23 1.44566e-05 -34 *5520:48 *29615:A 5.33005e-05 -35 *5520:48 *6338:23 0.000967889 -36 *5713:154 *6338:41 0.000163661 -37 *5713:203 *6338:41 0.00163168 -38 *5718:77 *6338:41 0.00111261 -39 *5737:77 *29615:A 3.69047e-06 -40 *6316:7 *6338:41 0.000310292 -*RES -1 *28812:Q *6338:4 9.3 -2 *6338:4 *29615:A 27.9964 -3 *6338:4 *6338:23 47.5536 -4 *6338:23 *6338:41 49.6094 -5 *6338:41 *25004:A0 9.3 -*END - -*D_NET *6339 0.00217616 -*CONN -*I *27720:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *29528:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28801:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27720:A2 0.000239344 -2 *29528:A 6.91301e-05 -3 *28801:Q 0.000139658 -4 *6339:8 0.000448131 -5 *27696:A0 *27720:A2 8.6229e-06 -6 *27696:A0 *6339:8 0.00014297 -7 *27720:A1 *27720:A2 1.5392e-05 -8 *28802:D *29528:A 9.58126e-05 -9 *1228:85 *29528:A 6.09764e-05 -10 *3194:93 *29528:A 0.000219289 -11 *3316:17 *6339:8 9.60875e-05 -12 *5627:18 *27720:A2 0.00036382 -13 *5627:18 *6339:8 0.00014297 -14 *5638:30 *27720:A2 0.000133956 -*RES -1 *28801:Q *6339:8 16.6929 -2 *6339:8 *29528:A 15.9786 -3 *6339:8 *27720:A2 19.3179 -*END - -*D_NET *6340 0.00132762 -*CONN -*I *29493:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28802:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29493:A 0.000171087 -2 *28802:Q 0.000171087 -3 *27721:A0 *29493:A 0.000383166 -4 *27746:A0 *29493:A 0.000345251 -5 *27746:A1 *29493:A 2.12005e-05 -6 *2872:163 *29493:A 0.000117913 -7 *2894:181 *29493:A 0.000117913 -*RES -1 *28802:Q *29493:A 33.0643 -*END - -*D_NET *6341 0.000309399 -*CONN -*I *29505:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28803:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29505:A 6.95725e-05 -2 *28803:Q 6.95725e-05 -3 *1273:130 *29505:A 8.85098e-05 -4 *3183:40 *29505:A 5.33334e-05 -5 *5277:8 *29505:A 2.84109e-05 -*RES -1 *28803:Q *29505:A 20.7786 -*END - -*D_NET *6342 0.00185189 -*CONN -*I *27794:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *29517:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28804:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27794:A2 0.000430854 -2 *29517:A 0 -3 *28804:Q 6.36322e-05 -4 *6342:8 0.000494486 -5 *27794:A2 *27794:A1 8.25185e-05 -6 *27770:A0 *27794:A2 2.12005e-05 -7 *27794:B1 *27794:A2 0.000142027 -8 *27795:A1 *27794:A2 0.000175892 -9 *2758:64 *27794:A2 0.000263108 -10 *2998:32 *6342:8 0.000121982 -11 *3153:124 *6342:8 5.61874e-05 -*RES -1 *28804:Q *6342:8 19.9429 -2 *6342:8 *29517:A 9.3 -3 *6342:8 *27794:A2 18.2464 -*END - -*D_NET *6343 0.00180485 -*CONN -*I *29510:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28805:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29510:A 0 -2 *28805:Q 0.00049149 -3 *6343:22 0.00049149 -4 *27460:A1 *6343:22 0.00010096 -5 *27460:B2 *6343:22 9.4337e-05 -6 *27460:C1 *6343:22 4.76891e-06 -7 *27818:C1 *6343:22 8.00806e-05 -8 *1291:46 *6343:22 0.000339346 -9 *5653:19 *6343:22 7.6644e-05 -10 *5680:241 *6343:22 0.000125731 -*RES -1 *28805:Q *6343:22 44.9071 -2 *6343:22 *29510:A 9.3 -*END - -*D_NET *6344 0.0018171 -*CONN -*I *27843:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *29512:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28806:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27843:A0 3.89491e-05 -2 *29512:A 0.000185035 -3 *28806:Q 0.000258447 -4 *6344:7 0.000482431 -5 *27820:B2 *29512:A 9.58181e-05 -6 *28805:CLK *29512:A 0.000178847 -7 *28806:D *6344:7 6.1341e-05 -8 *1291:46 *6344:7 2.47753e-05 -9 *2787:112 *27843:A0 6.70412e-05 -10 *2787:112 *29512:A 0.000262468 -11 *2894:181 *27843:A0 3.48023e-05 -12 *2894:181 *29512:A 0.000127145 -*RES -1 *28806:Q *6344:7 16.8 -2 *6344:7 *29512:A 19.0321 -3 *6344:7 *27843:A0 14.8357 -*END - -*D_NET *6345 0.00943926 -*CONN -*I *27868:A1 I *D sky130_fd_sc_hd__o21ba_1 -*I *29538:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28807:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27868:A1 0 -2 *29538:A 0.000179592 -3 *28807:Q 0.000953512 -4 *6345:45 0.00117573 -5 *6345:13 0.00230883 -6 *6345:13 *6350:26 0.000985672 -7 *25520:A *6345:45 0.000205456 -8 *25563:A1 *29538:A 9.11365e-06 -9 *26840:A0 *6345:13 0.000195137 -10 *26840:A1 *6345:13 2.23617e-05 -11 *26840:S *6345:13 0.000151265 -12 *27594:S *6345:13 6.17276e-05 -13 *27594:S *6345:45 0.000129363 -14 *28189:CLK *6345:45 0.000223195 -15 *29739:A *6345:13 1.64375e-05 -16 *29739:A *6345:45 0.000442606 -17 *1796:25 *6345:45 3.17479e-05 -18 *1797:11 *6345:45 0.000135028 -19 *2798:25 *6345:45 9.60939e-05 -20 *2798:34 *6345:45 5.52238e-05 -21 *2839:117 *6345:45 0.000453917 -22 *3573:42 *6345:45 0.000135028 -23 *4574:47 *6345:13 2.22674e-05 -24 *5310:18 *29538:A 0.000192505 -25 *5310:18 *6345:45 2.95726e-05 -26 *5538:21 *6345:45 3.68799e-05 -27 *5694:133 *29538:A 4.02355e-05 -28 *5694:133 *6345:13 1.90936e-05 -29 *5998:11 *6345:45 0.000529356 -30 *6100:17 *29538:A 5.71472e-05 -31 *6311:43 *29538:A 0 -32 *6317:49 *6345:45 3.64479e-05 -33 *6319:70 *6345:45 0.000508712 -*RES -1 *28807:Q *6345:13 32.4429 -2 *6345:13 *29538:A 21.6214 -3 *6345:13 *6345:45 42.3036 -4 *6345:45 *27868:A1 9.3 -*END - -*D_NET *6346 0.0136263 -*CONN -*I *27893:A1 I *D sky130_fd_sc_hd__o21ba_1 -*I *29577:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28808:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27893:A1 0.000383631 -2 *29577:A 0.00254141 -3 *28808:Q 0.000890774 -4 *6346:15 0.00381582 -5 *29577:A *6385:70 5.9521e-05 -6 *25756:A1 *29577:A 0 -7 *25938:S *29577:A 2.89016e-05 -8 *27527:A2 *29577:A 0.000179615 -9 *27593:A2 *29577:A 0.000214313 -10 *27593:A2 *6346:15 1.05846e-05 -11 *27869:A2 *29577:A 9.11899e-05 -12 *27968:A2 *29577:A 0.000180764 -13 *27968:A2 *6346:15 0.000135028 -14 *28380:RESET_B *29577:A 0.000218685 -15 *28811:CLK *29577:A 0.000176429 -16 *28811:RESET_B *29577:A 1.39841e-05 -17 *29533:A *29577:A 1.90936e-05 -18 *451:12 *6346:15 6.46173e-05 -19 *459:10 *6346:15 2.04825e-05 -20 *461:19 *27893:A1 0.000540249 -21 *1264:50 *6346:15 0 -22 *1294:39 *6346:15 0.00139781 -23 *1616:34 *29577:A 3.56563e-05 -24 *1671:37 *29577:A 0 -25 *2798:70 *27893:A1 5.52238e-05 -26 *2839:85 *27893:A1 2.89016e-05 -27 *3571:60 *29577:A 0.000108912 -28 *3650:85 *29577:A 3.48235e-05 -29 *4263:9 *27893:A1 9.99597e-05 -30 *4265:8 *27893:A1 0.000797991 -31 *4617:23 *29577:A 6.62655e-05 -32 *5010:18 *6346:15 0.000294242 -33 *5154:10 *6346:15 6.46173e-05 -34 *5279:29 *27893:A1 4.04359e-05 -35 *5485:102 *29577:A 0.000584793 -36 *5500:41 *6346:15 1.90936e-05 -37 *5520:48 *6346:15 0.000100949 -38 *5524:40 *29577:A 8.5439e-05 -39 *5680:343 *29577:A 2.07761e-05 -40 *5691:176 *6346:15 4.00563e-05 -41 *5698:156 *29577:A 0 -42 *5698:165 *29577:A 0.000111641 -43 *5734:178 *29577:A 5.36e-05 -*RES -1 *28808:Q *6346:15 30.0631 -2 *6346:15 *29577:A 49.9706 -3 *6346:15 *27893:A1 29.5857 -*END - -*D_NET *6347 0.00781022 -*CONN -*I *29601:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27918:A1 I *D sky130_fd_sc_hd__o21ba_1 -*I *28809:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29601:A 0.000385365 -2 *27918:A1 0.00126171 -3 *28809:Q 0.000427234 -4 *6347:12 0.00207431 -5 *25400:C1 *27918:A1 2.8078e-05 -6 *25406:C1 *6347:12 0.000167565 -7 *25937:A0 *27918:A1 7.28079e-05 -8 *25937:A1 *27918:A1 6.19581e-05 -9 *25938:S *27918:A1 0.000117693 -10 *27894:A1 *29601:A 2.27416e-05 -11 *27918:A2 *27918:A1 5.49544e-05 -12 *27942:A1 *27918:A1 9.58181e-05 -13 *27943:A1 *27918:A1 0.000182605 -14 *28950:A *6347:12 0.000444781 -15 *29387:A *27918:A1 2.99092e-05 -16 *29509:A *29601:A 0.000163911 -17 *29581:A *29601:A 7.18028e-05 -18 *29615:A *27918:A1 0.000148303 -19 *29615:A *29601:A 7.83659e-05 -20 *29615:A *6347:12 5.15925e-05 -21 *30023:A *29601:A 2.96985e-05 -22 *723:24 *27918:A1 0.0002653 -23 *1898:17 *27918:A1 0.000167835 -24 *3568:136 *27918:A1 9.41642e-05 -25 *3568:136 *6347:12 4.32522e-05 -26 *3649:79 *6347:12 4.92374e-05 -27 *3791:148 *6347:12 9.41642e-05 -28 *5501:23 *29601:A 0.000338326 -29 *5685:122 *29601:A 4.38267e-05 -30 *5691:176 *29601:A 0 -31 *5737:55 *29601:A 9.28054e-05 -32 *5737:55 *6347:12 0.000172659 -33 *5737:77 *29601:A 0.00039665 -34 *6337:17 *6347:12 8.08022e-05 -*RES -1 *28809:Q *6347:12 24.3357 -2 *6347:12 *27918:A1 34.175 -3 *6347:12 *29601:A 24.1214 -*END - -*D_NET *6348 0.00718421 -*CONN -*I *29544:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25564:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *28786:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29544:A 0.00129131 -2 *25564:A0 0.000505443 -3 *28786:Q 7.32036e-05 -4 *6348:6 0.00186995 -5 *25564:A0 *6387:27 0.00028559 -6 *27216:A *25564:A0 0.000175892 -7 *27216:B *29544:A 4.34069e-05 -8 *27216:B *6348:6 8.19176e-05 -9 *30689:A *25564:A0 0.000171325 -10 *1800:14 *29544:A 4.84704e-05 -11 *1800:14 *6348:6 1.61498e-05 -12 *5352:22 *25564:A0 9.66091e-05 -13 *5538:21 *25564:A0 9.71197e-05 -14 *5581:8 *25564:A0 0.000209306 -15 *5609:33 *25564:A0 0.000267137 -16 *5609:45 *25564:A0 5.0301e-05 -17 *5675:213 *29544:A 6.07474e-05 -18 *5675:223 *29544:A 0.000985305 -19 *5746:152 *25564:A0 9.71197e-05 -20 *5858:23 *25564:A0 9.85599e-06 -21 *5969:10 *6348:6 1.45616e-05 -22 *5969:19 *29544:A 0.000159559 -23 *5969:19 *6348:6 8.19176e-05 -24 *6063:27 *29544:A 0.000338521 -25 *6317:20 *25564:A0 4.04799e-05 -26 *6317:27 *25564:A0 8.80161e-05 -27 *6317:33 *25564:A0 2.50022e-05 -*RES -1 *28786:Q *6348:6 15.4429 -2 *6348:6 *25564:A0 36.0143 -3 *6348:6 *29544:A 32.5143 -*END - -*D_NET *6349 0.000723325 -*CONN -*I *25563:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *28783:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25563:A0 0.00017076 -2 *28783:Q 0.00017076 -3 *25563:A1 *25563:A0 3.10885e-05 -4 *1254:40 *25563:A0 1.01912e-05 -5 *3573:44 *25563:A0 0.000163782 -6 *5609:33 *25563:A0 0.000136676 -7 *5746:124 *25563:A0 0 -8 *5858:23 *25563:A0 4.00679e-05 -*RES -1 *28783:Q *25563:A0 31.2071 -*END - -*D_NET *6350 0.0147704 -*CONN -*I *25521:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *25533:B1 I *D sky130_fd_sc_hd__a32o_1 -*I *27214:A2 I *D sky130_fd_sc_hd__o311a_1 -*I *30553:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28443:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25521:A2 0 -2 *25533:B1 0.000140249 -3 *27214:A2 0 -4 *30553:A 2.23854e-05 -5 *28443:Q 7.36584e-05 -6 *6350:47 0.000520361 -7 *6350:35 0.00108259 -8 *6350:26 0.00232244 -9 *6350:5 0.00171601 -10 *25521:A1 *6350:47 3.18676e-05 -11 *25521:B1 *25533:B1 2.02003e-05 -12 *25533:A1 *6350:47 2.24195e-05 -13 *25533:A3 *6350:47 4.87854e-05 -14 *25952:A0 *6350:26 8.25843e-06 -15 *26840:A0 *6350:26 1.94945e-05 -16 *26840:S *6350:26 0.00016968 -17 *26841:A1 *6350:26 1.15172e-05 -18 *26843:A1 *6350:35 0.00132501 -19 *27075:A0 *6350:26 0.000227539 -20 *27214:C1 *6350:47 5.17614e-05 -21 *27218:B1 *6350:47 0.000137983 -22 *28792:CLK *6350:47 9.71197e-05 -23 *28794:CLK *6350:26 0.000615779 -24 *28807:D *6350:26 0.000138881 -25 *29742:A *6350:26 0.000113083 -26 *30453:A *6350:35 0.00020916 -27 *1273:246 *6350:5 0.000267377 -28 *1273:246 *6350:26 0.00127104 -29 *1278:29 *25533:B1 2.39852e-05 -30 *1278:29 *6350:47 5.74499e-06 -31 *1799:51 *25533:B1 1.67664e-05 -32 *1799:51 *6350:47 6.05161e-06 -33 *1826:46 *25533:B1 0.000196719 -34 *1826:46 *6350:47 0.000127066 -35 *2800:16 *6350:47 1.74352e-05 -36 *2831:17 *6350:35 9.49984e-05 -37 *3041:25 *6350:35 0.000178847 -38 *3572:55 *6350:35 0.000204102 -39 *3572:55 *6350:47 6.77968e-05 -40 *3573:95 *6350:26 0.000170127 -41 *4352:19 *6350:26 8.44523e-05 -42 *4574:47 *6350:26 0.00010235 -43 *4574:47 *6350:35 9.15877e-05 -44 *5448:21 *6350:26 3.66402e-05 -45 *5694:133 *6350:35 0.000293784 -46 *5718:233 *6350:47 0.000139054 -47 *5745:70 *6350:5 9.40126e-05 -48 *5745:70 *6350:26 5.5671e-05 -49 *6100:17 *6350:35 0.000183726 -50 *6314:19 *6350:35 0.0004813 -51 *6316:7 *6350:35 2.23592e-05 -52 *6319:10 *6350:26 5.59013e-05 -53 *6320:71 *25533:B1 8.38194e-05 -54 *6332:12 *6350:26 0.000103974 -55 *6338:41 *6350:35 0.000181796 -56 *6345:13 *6350:26 0.000985672 -*RES -1 *28443:Q *6350:5 11.8893 -2 *6350:5 *30553:A 9.72857 -3 *6350:5 *6350:26 49.5 -4 *6350:26 *6350:35 35.4821 -5 *6350:35 *27214:A2 9.3 -6 *6350:35 *6350:47 16.9464 -7 *6350:47 *25533:B1 21.3982 -8 *6350:47 *25521:A2 9.3 -*END - -*D_NET *6351 0.0698322 -*CONN -*I *30567:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25562:S I *D sky130_fd_sc_hd__mux2_2 -*I *25407:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28447:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *30567:A 0.000466931 -2 *25562:S 0 -3 *25407:B2 0.000418001 -4 *28447:Q 0 -5 *6351:40 0.00157059 -6 *6351:37 0.0016032 -7 *6351:32 0.00336352 -8 *6351:31 0.00292886 -9 *6351:26 0.00223078 -10 *6351:24 0.00288849 -11 *6351:15 0.00086761 -12 *6351:12 0.00255125 -13 *6351:10 0.00311974 -14 *6351:4 0.00122935 -15 *25190:C1 *6351:24 0.000196514 -16 *25407:A2 *25407:B2 2.28499e-05 -17 *25407:B1 *25407:B2 3.14193e-05 -18 *25562:A0 *6351:15 0.000135028 -19 *27085:A0 *6351:26 0.000675389 -20 *27341:B1 *6351:31 0 -21 *27341:B2 *6351:32 0.000487194 -22 *27342:C1 *6351:26 1.721e-05 -23 *27364:C *6351:32 8.85664e-07 -24 *27374:B *6351:32 0.00058879 -25 *27374:C *6351:32 2.0587e-05 -26 *27379:B2 *6351:12 0.00016792 -27 *27387:B1 *6351:26 1.94879e-05 -28 *27672:B2 *6351:26 4.40377e-05 -29 *27672:B2 *6351:32 1.21637e-05 -30 *27685:B2 *6351:32 0.00041172 -31 *27708:D *6351:12 0.00215892 -32 *27717:A2 *6351:32 0.000341235 -33 *27718:B *6351:32 0.000259921 -34 *27718:D *6351:32 0.00104025 -35 *28539:D *6351:26 7.6644e-05 -36 *28629:D *6351:12 0.000132098 -37 *28653:RESET_B *6351:26 0.000287727 -38 *28787:RESET_B *6351:40 7.02772e-05 -39 *28965:A *6351:10 6.26466e-05 -40 *29104:A *30567:A 3.14163e-05 -41 *29202:A *6351:12 0.000423368 -42 *29972:A *6351:10 4.79443e-05 -43 *1178:48 *6351:32 1.91414e-05 -44 *1178:105 *6351:32 0.000171395 -45 *1272:101 *6351:12 2.17146e-05 -46 *1524:37 *6351:26 0.00109326 -47 *1844:138 *6351:37 0.000594888 -48 *2754:41 *30567:A 1.03904e-05 -49 *2778:155 *6351:12 0.000137276 -50 *2778:194 *6351:24 1.43455e-05 -51 *2781:65 *25407:B2 1.65169e-05 -52 *2788:93 *6351:12 0.00064361 -53 *2850:177 *6351:40 0.000219865 -54 *2850:179 *6351:40 0.000554529 -55 *2875:46 *25407:B2 0.00117727 -56 *2889:151 *6351:32 4.24637e-05 -57 *2892:280 *6351:24 0.000118986 -58 *2894:237 *6351:26 1.02504e-05 -59 *2894:237 *6351:32 4.5539e-05 -60 *2894:244 *6351:31 3.57366e-05 -61 *2894:303 *6351:26 5.73833e-05 -62 *2905:14 *6351:26 0.000485115 -63 *2958:48 *6351:40 4.21244e-05 -64 *2958:54 *6351:40 0.00108294 -65 *3164:35 *25407:B2 0.00138541 -66 *3176:49 *6351:32 1.55857e-05 -67 *3184:53 *6351:40 0.000118443 -68 *3205:189 *6351:32 9.15842e-06 -69 *3251:11 *6351:24 0.000125724 -70 *3581:145 *6351:12 0.000698774 -71 *3606:19 *6351:12 0 -72 *3679:59 *6351:26 0.00326406 -73 *3703:14 *6351:32 0.000184251 -74 *3730:8 *6351:24 0.000685535 -75 *3730:8 *6351:26 0.00029472 -76 *3770:28 *6351:12 2.52223e-05 -77 *3770:35 *6351:12 0.00282316 -78 *3783:89 *6351:26 0.000147835 -79 *3848:30 *6351:24 4.49325e-05 -80 *3848:38 *6351:24 1.34916e-05 -81 *3848:38 *6351:26 0.000236399 -82 *3861:38 *6351:24 0 -83 *3873:79 *6351:40 0.00148827 -84 *3925:18 *6351:40 0.000125724 -85 *3925:27 *6351:40 0.000686067 -86 *3951:8 *6351:10 0.00109398 -87 *3951:15 *6351:10 2.09607e-05 -88 *3951:15 *6351:12 0.000710625 -89 *3951:20 *6351:12 0.00026474 -90 *3978:65 *6351:12 0.00019554 -91 *4812:13 *30567:A 0.00091351 -92 *4812:13 *6351:10 0.000127841 -93 *4898:11 *30567:A 0.000382438 -94 *5434:5 *6351:15 0.000257619 -95 *5584:263 *6351:12 7.66437e-05 -96 *5593:15 *6351:12 0.000250594 -97 *5600:120 *6351:32 0.000389957 -98 *5603:28 *6351:26 6.35864e-05 -99 *5609:236 *6351:24 3.0037e-05 -100 *5628:137 *6351:15 0.000139187 -101 *5630:250 *6351:24 0.000111192 -102 *5630:250 *6351:26 8.6886e-05 -103 *5633:97 *6351:24 0.000432968 -104 *5643:40 *6351:32 4.56537e-05 -105 *5646:294 *6351:12 4.11218e-05 -106 *5651:129 *6351:32 0.00222807 -107 *5651:248 *6351:32 0.000883792 -108 *5663:69 *6351:32 1.50225e-05 -109 *5709:290 *30567:A 0.000112804 -110 *5716:192 *6351:10 0 -111 *5716:192 *6351:12 0 -112 *5720:215 *6351:40 0.000189948 -113 *5765:95 *6351:26 7.38873e-05 -114 *5803:14 *6351:12 2.43817e-05 -115 *5803:27 *6351:12 0.000412155 -116 *5819:10 *6351:26 0.000525972 -117 *5824:265 *6351:24 1.78465e-05 -118 *5912:67 *30567:A 9.60939e-05 -119 *6225:116 *6351:32 0.00766928 -120 *6225:124 *6351:26 0.00144897 -121 *6225:124 *6351:31 6.10501e-05 -122 *6225:135 *6351:26 0.000468417 -*RES -1 *28447:Q *6351:4 9.3 -2 *6351:4 *6351:10 23.2946 -3 *6351:10 *6351:12 74.9554 -4 *6351:12 *6351:15 8.73214 -5 *6351:15 *6351:24 21.4554 -6 *6351:24 *6351:26 71.3125 -7 *6351:26 *6351:31 9.73214 -8 *6351:31 *6351:32 100.607 -9 *6351:32 *6351:37 14.875 -10 *6351:37 *6351:40 39.8393 -11 *6351:40 *25407:B2 23.7107 -12 *6351:15 *25562:S 9.3 -13 *6351:4 *30567:A 32.3357 -*END - -*D_NET *6352 0.0169723 -*CONN -*I *29479:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28400:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *29479:A 0.00130614 -2 *28400:Q 0.00118914 -3 *6352:31 0.0027439 -4 *6352:14 0.0026269 -5 *25896:A0 *29479:A 0.000308599 -6 *26158:B *6352:31 4.87953e-05 -7 *26399:A4 *6352:14 0.00010326 -8 *26400:B2 *6352:14 0.000520725 -9 *26449:A3 *6352:14 0.000295144 -10 *26554:B *6352:14 7.30966e-05 -11 *26587:A *6352:31 0.000860131 -12 *26604:C *6352:31 1.74352e-05 -13 *26616:B *6352:14 0.000175318 -14 *26616:B *6352:31 7.83659e-05 -15 *26657:B *29479:A 0.000559981 -16 *28335:D *29479:A 9.80173e-05 -17 *28346:D *29479:A 9.77024e-05 -18 *28400:CLK *6352:14 6.21161e-05 -19 *28400:RESET_B *6352:14 3.42984e-05 -20 *28402:D *29479:A 0 -21 *28957:A *29479:A 0.000393895 -22 *29749:A *29479:A 9.60875e-05 -23 *29811:A *29479:A 5.10978e-05 -24 *30116:A *29479:A 4.89264e-05 -25 *1518:15 *6352:31 0.000145452 -26 *1883:78 *6352:31 0.000121573 -27 *1883:83 *29479:A 0.000195133 -28 *1884:56 *6352:31 0.000703619 -29 *2062:14 *6352:31 0.000107449 -30 *2062:39 *6352:31 0.000894637 -31 *2100:7 *6352:31 1.20767e-05 -32 *2284:35 *6352:14 0.000222892 -33 *2483:28 *29479:A 0 -34 *2528:10 *29479:A 0 -35 *3576:115 *29479:A 0.000519805 -36 *3581:26 *6352:14 0.000705486 -37 *3581:26 *6352:31 8.64727e-05 -38 *3583:20 *6352:31 0.000724923 -39 *3583:24 *6352:31 1.53472e-05 -40 *3948:17 *29479:A 8.04343e-05 -41 *5250:27 *29479:A 4.90817e-05 -42 *5250:29 *29479:A 0 -43 *5250:29 *6352:14 7.47029e-06 -44 *5250:29 *6352:31 0.000147395 -45 *5688:158 *6352:31 0.000108118 -46 *5760:32 *29479:A 0.000335922 -*RES -1 *28400:Q *6352:14 37.8179 -2 *6352:14 *6352:31 48.3214 -3 *6352:31 *29479:A 45.8357 -*END - -*D_NET *6353 0.0133975 -*CONN -*I *29531:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28401:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *29531:A 7.87545e-05 -2 *28401:Q 0.00077297 -3 *6353:12 0.00124987 -4 *6353:10 0.00194409 -5 *25765:A *6353:12 0.00023901 -6 *25884:S *6353:12 0.000387425 -7 *25886:S *6353:12 0.000498281 -8 *25895:S *6353:12 0.000764599 -9 *25896:S *6353:12 0.000787078 -10 *26522:A2 *6353:10 0.000257556 -11 *26635:A1 *6353:10 0.000406861 -12 *28244:CLK *6353:10 7.64841e-05 -13 *28244:CLK *6353:12 0.000344357 -14 *28345:D *6353:12 0.000162813 -15 *28345:RESET_B *6353:12 0.00110864 -16 *28401:RESET_B *6353:10 2.87516e-05 -17 *1889:16 *6353:12 0.00081353 -18 *2556:6 *6353:10 3.57844e-05 -19 *3656:116 *6353:12 0.000148196 -20 *3753:25 *6353:12 0.00128642 -21 *3957:67 *29531:A 0.000303362 -22 *5231:21 *6353:12 9.30065e-05 -23 *5709:94 *29531:A 0.000305285 -24 *5719:32 *6353:10 0.000850791 -25 *5719:32 *6353:12 8.41555e-06 -26 *5719:38 *6353:12 0.000146943 -27 *5758:135 *6353:12 0.000298273 -28 *6036:46 *6353:12 0 -29 *6036:52 *6353:10 0 -*RES -1 *28401:Q *6353:10 31.2018 -2 *6353:10 *6353:12 48.2411 -3 *6353:12 *29531:A 16.8 -*END - -*D_NET *6354 0.00865889 -*CONN -*I *29460:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28402:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29460:A 0 -2 *28402:Q 3.26257e-05 -3 *6354:8 0.00141319 -4 *6354:7 0.00144582 -5 *25765:B *6354:8 0 -6 *28402:RESET_B *6354:7 1.98839e-05 -7 *29606:A *6354:8 0.000129251 -8 *29801:A *6354:8 0.000646055 -9 *3581:33 *6354:7 5.33005e-05 -10 *3581:33 *6354:8 3.17148e-05 -11 *3958:10 *6354:8 0.00185467 -12 *4350:8 *6354:8 0.00192041 -13 *5697:23 *6354:8 3.4323e-05 -14 *5760:32 *6354:8 0.000983487 -15 *5798:7 *6354:7 9.41642e-05 -*RES -1 *28402:Q *6354:7 14.7464 -2 *6354:7 *6354:8 49 -3 *6354:8 *29460:A 13.8 -*END - -*D_NET *6355 0.010174 -*CONN -*I *29445:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26712:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28403:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29445:A 0 -2 *26712:A1 0.000743666 -3 *28403:Q 0 -4 *6355:24 0.0010905 -5 *6355:4 0.00183417 -6 *26712:A1 *26735:A1 4.9785e-05 -7 *6355:24 *6356:24 0.00200211 -8 *25767:A1 *26712:A1 0.000383166 -9 *25883:A1 *26712:A1 2.8266e-06 -10 *26712:A2 *26712:A1 5.3157e-05 -11 *26712:B2 *26712:A1 1.3701e-05 -12 *26728:C *26712:A1 7.61932e-05 -13 *26735:B1 *26712:A1 1.74352e-05 -14 *26735:B2 *26712:A1 0.000267565 -15 *26763:C *26712:A1 0.000125731 -16 *29595:A *26712:A1 5.33334e-05 -17 *29595:A *6355:24 6.57032e-05 -18 *29750:A *6355:24 0.000103032 -19 *29801:A *26712:A1 4.87953e-05 -20 *1677:8 *6355:24 0.00194715 -21 *1677:26 *6355:24 0.000132617 -22 *1883:99 *26712:A1 0.000219711 -23 *1883:105 *26712:A1 0.000156896 -24 *2483:28 *6355:24 0.000786748 -25 *5760:32 *6355:24 0 -26 *5780:47 *26712:A1 0 -*RES -1 *28403:Q *6355:4 9.3 -2 *6355:4 *26712:A1 33.3536 -3 *6355:4 *6355:24 46 -4 *6355:24 *29445:A 9.3 -*END - -*D_NET *6356 0.0166034 -*CONN -*I *29496:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *26735:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28404:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29496:A 0 -2 *26735:A1 0.000656004 -3 *28404:Q 0 -4 *6356:41 0.0013988 -5 *6356:24 0.0025396 -6 *6356:4 0.00179681 -7 *25367:A1 *6356:41 0.000760859 -8 *25367:B2 *6356:41 0.000919263 -9 *25883:A1 *26735:A1 0.000572014 -10 *26712:A1 *26735:A1 4.9785e-05 -11 *28300:D *6356:41 0.000135942 -12 *28300:RESET_B *6356:41 4.50675e-05 -13 *29342:A *26735:A1 0.000101545 -14 *29750:A *6356:24 0.000642546 -15 *29784:A *6356:24 0.000206326 -16 *29801:A *26735:A1 0.000276896 -17 *30186:A *6356:41 0.000216755 -18 *30457:A *6356:41 0.000353073 -19 *1677:8 *6356:24 1.27625e-05 -20 *1677:8 *6356:41 0.00127821 -21 *1883:99 *26735:A1 0.000209204 -22 *1883:105 *26735:A1 5.33005e-05 -23 *3698:19 *6356:41 5.52302e-05 -24 *3698:63 *6356:41 0.000139485 -25 *3710:77 *6356:41 0.000491782 -26 *3957:17 *6356:24 0.00013372 -27 *4100:14 *6356:41 0.000538964 -28 *4101:8 *6356:24 5.53406e-05 -29 *4101:22 *6356:24 7.10501e-05 -30 *4101:22 *6356:41 0 -31 *5215:12 *6356:41 0.000366635 -32 *5250:8 *6356:24 0 -33 *5267:11 *6356:41 0.00027032 -34 *5780:47 *26735:A1 0.000189748 -35 *5780:47 *6356:24 2.72967e-05 -36 *5938:31 *26735:A1 3.69865e-05 -37 *6355:24 *6356:24 0.00200211 -*RES -1 *28404:Q *6356:4 9.3 -2 *6356:4 *26735:A1 32.55 -3 *6356:4 *6356:24 34.8304 -4 *6356:24 *6356:41 49.6518 -5 *6356:41 *29496:A 9.3 -*END - -*D_NET *6357 0.0140369 -*CONN -*I *29438:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28405:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29438:A 0.000731369 -2 *28405:Q 0.00220322 -3 *6357:19 0.0020232 -4 *6357:10 0.00349505 -5 *26251:A *29438:A 0 -6 *26259:B *29438:A 0 -7 *26423:B2 *6357:19 3.69047e-06 -8 *26545:A1 *6357:10 8.10875e-05 -9 *26608:B *6357:10 1.86687e-05 -10 *26713:B2 *6357:19 0.00153797 -11 *26714:C_N *6357:19 0.000150043 -12 *26722:C1 *6357:19 0 -13 *26793:A1 *6357:19 0.000525799 -14 *26794:D_N *6357:19 0.000525005 -15 *28405:CLK *6357:10 0.000222463 -16 *28405:RESET_B *6357:10 0.000133695 -17 *2099:76 *6357:10 0.000156196 -18 *2104:143 *6357:19 5.13075e-05 -19 *2161:107 *6357:19 2.80928e-05 -20 *2161:130 *29438:A 0.000389438 -21 *2201:8 *29438:A 0.00020305 -22 *2201:23 *29438:A 0.000360988 -23 *2315:29 *6357:19 0 -24 *2345:8 *6357:19 0.000117055 -25 *2677:23 *6357:19 0.000430612 -26 *3564:11 *29438:A 0.000186669 -27 *3710:77 *29438:A 0 -28 *5664:313 *6357:10 7.83659e-05 -29 *5722:166 *6357:10 1.58163e-05 -30 *5722:167 *6357:10 0.000368104 -*RES -1 *28405:Q *6357:10 46.5143 -2 *6357:10 *6357:19 45.4464 -3 *6357:19 *29438:A 31.7821 -*END - -*D_NET *6358 0.0168429 -*CONN -*I *26806:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *24869:A_N I *D sky130_fd_sc_hd__nand2b_1 -*I *28406:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *26806:A1 0.000338605 -2 *24869:A_N 0 -3 *28406:Q 0.000562868 -4 *6358:27 0.0012818 -5 *6358:12 0.0026937 -6 *6358:7 0.00231336 -7 *24869:B *6358:27 0.000592322 -8 *26353:A *26806:A1 2.31791e-05 -9 *26353:A *6358:12 0.000197276 -10 *26440:A1 *6358:12 0 -11 *26713:A2 *6358:12 4.97121e-06 -12 *26796:C *6358:12 9.4724e-05 -13 *26806:B1 *26806:A1 9.41642e-05 -14 *26806:C1 *26806:A1 5.7616e-05 -15 *28301:D *6358:12 0.000315179 -16 *28307:D *6358:27 5.52302e-05 -17 *28405:D *26806:A1 6.73313e-05 -18 *28406:CLK *6358:7 0.000239202 -19 *28406:RESET_B *6358:7 4.27935e-05 -20 *29980:A *6358:27 9.25014e-06 -21 *30135:A *6358:27 3.80079e-05 -22 *30180:A *6358:27 0.000312215 -23 *1873:35 *6358:27 2.14372e-05 -24 *1953:137 *26806:A1 8.35785e-05 -25 *2011:84 *6358:7 4.58194e-05 -26 *2011:84 *6358:12 0.000171324 -27 *2022:56 *6358:12 0 -28 *2295:9 *6358:12 0.00110114 -29 *2703:12 *6358:12 0.000484578 -30 *2718:19 *6358:7 0.000388051 -31 *3165:348 *6358:27 0.000837147 -32 *3165:355 *6358:27 6.57914e-05 -33 *3586:10 *6358:27 0 -34 *3658:10 *6358:27 0 -35 *3684:64 *6358:12 0.000864387 -36 *5007:42 *6358:12 2.97758e-05 -37 *5007:44 *26806:A1 0.000455547 -38 *5007:44 *6358:12 0.00152011 -39 *5545:30 *6358:27 1.37292e-05 -40 *5780:18 *6358:7 1.98839e-05 -41 *5849:11 *6358:27 0.00140684 -*RES -1 *28406:Q *6358:7 25.0143 -2 *6358:7 *6358:12 46.9286 -3 *6358:12 *6358:27 41.3393 -4 *6358:27 *24869:A_N 9.3 -5 *6358:7 *26806:A1 21.4786 -*END - -*D_NET *6359 0.00640833 -*CONN -*I *29474:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25601:S I *D sky130_fd_sc_hd__mux2_1 -*I *29451:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28304:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *29474:A 0 -2 *25601:S 0.000896506 -3 *29451:A 0 -4 *28304:Q 8.27635e-05 -5 *6359:18 0.00149428 -6 *6359:8 0.000680537 -7 *25601:S *6374:61 0.000261245 -8 *6359:8 *6377:19 0.000202842 -9 *6359:18 *6377:19 0.000574051 -10 *25484:A1 *25601:S 0 -11 *25605:A1 *25601:S 2.14658e-05 -12 *25605:S *25601:S 0.000353086 -13 *27969:A2 *6359:8 2.27416e-05 -14 *28074:RESET_B *6359:18 3.02581e-06 -15 *28075:D *25601:S 0.000169095 -16 *28075:RESET_B *25601:S 0.000217368 -17 *1817:16 *25601:S 4.38243e-05 -18 *1823:12 *25601:S 0.000161815 -19 *3581:165 *6359:8 7.80968e-05 -20 *3581:165 *6359:18 0.000163865 -21 *4180:11 *6359:18 0.000204849 -22 *4181:10 *25601:S 0.000113244 -23 *4181:22 *25601:S 0.000102053 -24 *5205:5 *6359:18 0.000208764 -25 *5205:10 *6359:18 0.000158099 -26 *5457:22 *6359:18 0.000139482 -27 *5930:11 *25601:S 5.52302e-05 -*RES -1 *28304:Q *6359:8 16.8893 -2 *6359:8 *29451:A 13.8 -3 *6359:8 *6359:18 20.25 -4 *6359:18 *25601:S 37.6214 -5 *6359:18 *29474:A 9.3 -*END - -*D_NET *6360 0.00355144 -*CONN -*I *29519:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *30107:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28822:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29519:A 0.000195553 -2 *30107:A 0.000504049 -3 *28822:Q 0.000565219 -4 *6360:11 0.00126482 -5 *29519:A *29526:A 1.41029e-05 -6 *30107:A *29526:A 8.16036e-05 -7 *25591:A1 *30107:A 9.24825e-05 -8 *27995:A1 *6360:11 0.000580791 -9 *28822:D *6360:11 7.3237e-05 -10 *1188:12 *29519:A 0 -11 *1188:12 *30107:A 0 -12 *1188:18 *30107:A 0 -13 *1880:18 *29519:A 0 -14 *1880:18 *30107:A 0 -15 *5544:11 *29519:A 3.67257e-05 -16 *6180:30 *6360:11 0.000142856 -*RES -1 *28822:Q *6360:11 25.9786 -2 *6360:11 *30107:A 22.8179 -3 *6360:11 *29519:A 17.6036 -*END - -*D_NET *6361 0.00291621 -*CONN -*I *29527:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28823:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29527:A 0.000763975 -2 *28823:Q 0.000763975 -3 *25593:A1 *29527:A 3.14048e-05 -4 *25595:A1 *29527:A 7.6644e-05 -5 *27992:A0 *29527:A 0.000360299 -6 *27992:A1 *29527:A 0.00044852 -7 *27992:S *29527:A 0.000175519 -8 *27995:A0 *29527:A 0 -9 *27995:A1 *29527:A 0 -10 *27998:A1 *29527:A 0.000201855 -11 *28822:RESET_B *29527:A 0 -12 *30257:A *29527:A 9.40156e-05 -13 *6180:30 *29527:A 0 -*RES -1 *28823:Q *29527:A 45.7071 -*END - -*D_NET *6362 0.00335923 -*CONN -*I *30158:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29526:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28824:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30158:A 0 -2 *29526:A 0.000192008 -3 *28824:Q 0.00112302 -4 *6362:9 0.00131503 -5 *25595:A1 *6362:9 2.24736e-05 -6 *28824:CLK *6362:9 4.88631e-05 -7 *28824:RESET_B *6362:9 4.17828e-05 -8 *29519:A *29526:A 1.41029e-05 -9 *30107:A *29526:A 8.16036e-05 -10 *1188:12 *29526:A 0 -11 *3583:163 *6362:9 0.000200078 -12 *6180:30 *29526:A 0.000100625 -13 *6180:30 *6362:9 0.000219648 -*RES -1 *28824:Q *6362:9 25.0679 -2 *6362:9 *29526:A 22.3179 -3 *6362:9 *30158:A 9.3 -*END - -*D_NET *6363 0.00445404 -*CONN -*I *29537:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29656:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28825:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29537:A 0 -2 *29656:A 0.00041126 -3 *28825:Q 0.00100315 -4 *6363:9 0.00141441 -5 *25593:A0 *29656:A 0.000308469 -6 *28825:CLK *29656:A 0.00040279 -7 *28825:CLK *6363:9 0.000244339 -8 *28825:RESET_B *6363:9 5.048e-05 -9 *29924:A *29656:A 9.57064e-05 -10 *1880:18 *29656:A 9.34004e-05 -11 *5780:125 *6363:9 8.27532e-05 -12 *5914:80 *6363:9 3.71789e-06 -13 *5914:88 *29656:A 0.000270482 -14 *5914:88 *6363:9 7.30879e-05 -*RES -1 *28825:Q *6363:9 22.6036 -2 *6363:9 *29656:A 30.2464 -3 *6363:9 *29537:A 9.3 -*END - -*D_NET *6364 0.00823594 -*CONN -*I *29463:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29426:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28826:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29463:A 8.77265e-05 -2 *29426:A 0 -3 *28826:Q 0.000715896 -4 *6364:32 0.000817718 -5 *6364:14 0.00162134 -6 *6364:14 *6365:10 0.000292363 -7 *6364:32 *6377:21 0.000158207 -8 *6364:32 *6377:48 0.000175286 -9 *25543:A0 *6364:14 0.000213269 -10 *25543:A1 *6364:32 8.50152e-05 -11 *25734:S *6364:32 0.00012401 -12 *27989:A0 *6364:32 0 -13 *27995:A0 *6364:32 0 -14 *27996:A2 *29463:A 0.000212176 -15 *27996:A2 *6364:32 6.91767e-05 -16 *28000:B1 *6364:32 9.30065e-05 -17 *28004:A0 *29463:A 2.61337e-05 -18 *28004:A0 *6364:14 0.000271073 -19 *28004:A1 *6364:14 0.000123288 -20 *28203:RESET_B *6364:32 0.00100146 -21 *28828:CLK *6364:14 0.000319309 -22 *28828:D *6364:14 5.01213e-05 -23 *28982:A *6364:32 9.58181e-05 -24 *29351:A *6364:32 0.00057215 -25 *29429:A *6364:32 2.88424e-05 -26 *1820:10 *29463:A 1.91512e-05 -27 *1820:10 *6364:14 0.000164101 -28 *5233:17 *6364:14 1.4403e-05 -29 *5690:5 *6364:32 0 -30 *5690:7 *29463:A 0.000222666 -31 *5690:7 *6364:32 5.52238e-05 -32 *5763:163 *6364:32 5.51819e-05 -33 *5853:8 *6364:14 0 -34 *6036:71 *6364:32 0.000458213 -35 *6036:95 *6364:14 9.36199e-05 -*RES -1 *28826:Q *6364:14 33.1571 -2 *6364:14 *6364:32 45.3214 -3 *6364:32 *29426:A 9.3 -4 *6364:14 *29463:A 16.7107 -*END - -*D_NET *6365 0.00237014 -*CONN -*I *29407:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29530:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28827:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29407:A 0 -2 *29530:A 0.000294498 -3 *28827:Q 0.00034601 -4 *6365:10 0.000640508 -5 *28004:A1 *29530:A 0.000138243 -6 *28828:CLK *6365:10 0.000128154 -7 *1820:10 *6365:10 0.000507042 -8 *5780:163 *6365:10 2.33196e-05 -9 *6364:14 *6365:10 0.000292363 -*RES -1 *28827:Q *6365:10 26.9786 -2 *6365:10 *29530:A 14.3536 -3 *6365:10 *29407:A 9.3 -*END - -*D_NET *6366 0.00251636 -*CONN -*I *30579:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29532:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28828:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30579:A 0.00035487 -2 *29532:A 0 -3 *28828:Q 0.000444413 -4 *6366:8 0.000799283 -5 *30579:A *6374:61 0.000279857 -6 *6366:8 *6374:61 0.000198812 -7 *25603:A0 *6366:8 9.01238e-05 -8 *27986:B *30579:A 0 -9 *27986:C *30579:A 2.79092e-05 -10 *1821:18 *30579:A 5.26091e-05 -11 *1821:18 *6366:8 7.03949e-05 -12 *3532:10 *30579:A 0 -13 *3578:56 *30579:A 9.90431e-05 -14 *6036:95 *30579:A 9.90431e-05 -*RES -1 *28828:Q *6366:8 22.1929 -2 *6366:8 *29532:A 13.8 -3 *6366:8 *30579:A 22.1571 -*END - -*D_NET *6367 0.00342788 -*CONN -*I *30001:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29503:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28829:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *30001:A 0.000143129 -2 *29503:A 2.46213e-05 -3 *28829:Q 0.000270342 -4 *6367:8 0.000438093 -5 *25605:A1 *30001:A 4.13496e-05 -6 *25733:A1 *30001:A 0.00026353 -7 *28981:A *30001:A 0.000181803 -8 *29958:A *29503:A 9.60875e-05 -9 *1174:68 *30001:A 0.000208408 -10 *1174:68 *6367:8 0.000701334 -11 *1822:11 *6367:8 4.58194e-05 -12 *3535:13 *30001:A 0.000217658 -13 *3535:13 *6367:8 0.00069962 -14 *5930:11 *29503:A 9.60875e-05 -*RES -1 *28829:Q *6367:8 23.675 -2 *6367:8 *29503:A 14.7464 -3 *6367:8 *30001:A 19.2464 -*END - -*D_NET *6368 0.000530436 -*CONN -*I *28844:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *28830:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *28844:A 8.69846e-05 -2 *28830:Q 8.69846e-05 -3 *28354:CLK *28844:A 0.000150618 -4 *3567:33 *28844:A 0.000150618 -5 *3581:19 *28844:A 5.52302e-05 -*RES -1 *28830:Q *28844:A 30.0821 -*END - -*D_NET *6369 0.00235965 -*CONN -*I *29648:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29557:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28315:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *29648:A 0 -2 *29557:A 0.000344122 -3 *28315:Q 0.000507922 -4 *6369:10 0.000852044 -5 *24812:A *29557:A 3.14163e-05 -6 *28315:D *6369:10 0.000369549 -7 *3583:130 *6369:10 0.000126439 -8 *6036:64 *6369:10 0.000128161 -*RES -1 *28315:Q *6369:10 26.4339 -2 *6369:10 *29557:A 14.3536 -3 *6369:10 *29648:A 9.3 -*END - -*D_NET *6370 0.0142888 -*CONN -*I *27972:A I *D sky130_fd_sc_hd__and2_4 -*I *25854:A I *D sky130_fd_sc_hd__or4_1 -*I *27969:B1 I *D sky130_fd_sc_hd__o21a_1 -*I *29478:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28316:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27972:A 0.00170762 -2 *25854:A 6.66159e-05 -3 *27969:B1 0.000742419 -4 *29478:A 0.000465141 -5 *28316:Q 0.000132261 -6 *6370:49 0.00212712 -7 *6370:12 0.00125799 -8 *6370:9 0.000535574 -9 *27969:B1 *6374:15 0.000236096 -10 *27969:B1 *6374:36 8.09046e-05 -11 *27972:A *25813:A 0.000461118 -12 *27972:A *6371:18 0.000113005 -13 *27972:A *6376:14 1.38069e-05 -14 *6370:9 *25518:A1 3.57366e-05 -15 *6370:9 *6378:11 3.4879e-05 -16 *6370:9 *6378:22 0.000294672 -17 *6370:49 *29593:A 5.33005e-05 -18 *6370:49 *6378:11 0.000179487 -19 *25513:A2 *27969:B1 0.000443011 -20 *25513:A2 *6370:12 9.91086e-05 -21 *25516:B1 *25854:A 5.33005e-05 -22 *25517:A2 *25854:A 3.52867e-05 -23 *25518:B1 *6370:9 0.000271352 -24 *25587:A_N *27969:B1 7.43578e-06 -25 *25593:S *27969:B1 0 -26 *27969:A1 *27969:B1 0.000225616 -27 *27969:A2 *27969:B1 0.000139907 -28 *27972:B *27972:A 0.000405011 -29 *28316:RESET_B *6370:9 0.000174879 -30 *28316:RESET_B *6370:49 9.71197e-05 -31 *28320:RESET_B *27969:B1 4.50774e-05 -32 *28321:D *29478:A 0.000360894 -33 *28323:RESET_B *27972:A 8.94268e-05 -34 *28884:A *27972:A 0.000356022 -35 *29008:A *27969:B1 4.46186e-06 -36 *1174:37 *25854:A 0.000123288 -37 *1174:37 *6370:49 0.000622983 -38 *1862:11 *27969:B1 4.59075e-05 -39 *1884:23 *29478:A 2.97851e-05 -40 *1884:35 *29478:A 0.000183713 -41 *5366:28 *27969:B1 0.000358289 -42 *5672:27 *27969:B1 9.31209e-05 -43 *5719:6 *27969:B1 0 -44 *5729:92 *27972:A 0.000351389 -45 *5779:119 *6370:12 1.52978e-05 -46 *5779:132 *27969:B1 4.46186e-06 -47 *5779:132 *6370:12 3.25078e-05 -48 *5779:143 *27969:B1 0.000194486 -49 *5779:157 *27969:B1 2.58997e-05 -50 *5780:125 *27969:B1 5.31185e-05 -51 *5798:12 *27972:A 5.51406e-05 -52 *5878:14 *25854:A 0.000121573 -53 *5878:14 *6370:49 0.000632233 -*RES -1 *28316:Q *6370:9 14.8 -2 *6370:9 *6370:12 5.83929 -3 *6370:12 *29478:A 21.3536 -4 *6370:12 *27969:B1 43.1214 -5 *6370:9 *6370:49 16.7857 -6 *6370:49 *25854:A 15.9786 -7 *6370:49 *27972:A 48.5679 -*END - -*D_NET *6371 0.00339108 -*CONN -*I *29499:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25854:B I *D sky130_fd_sc_hd__or4_1 -*I *25804:A I *D sky130_fd_sc_hd__and2_4 -*I *28317:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29499:A 0 -2 *25854:B 8.37928e-05 -3 *25804:A 0.000214974 -4 *28317:Q 0 -5 *6371:18 0.000381039 -6 *6371:5 0.00051222 -7 *6371:18 *25813:A 0.000178847 -8 *25500:A1 *25804:A 6.87574e-05 -9 *25501:B1 *25804:A 0.000533203 -10 *25804:B *25804:A 0.000144773 -11 *27972:A *6371:18 0.000113005 -12 *1174:37 *25854:B 0.000320031 -13 *1782:8 *6371:18 0.000150618 -14 *1871:10 *25804:A 0 -15 *1871:10 *6371:18 0 -16 *3567:33 *25854:B 0.000320031 -17 *5779:26 *25804:A 0.000273309 -18 *5798:12 *25804:A 7.95674e-05 -19 *5798:12 *6371:18 1.69115e-05 -*RES -1 *28317:Q *6371:5 13.8 -2 *6371:5 *25804:A 21.7107 -3 *6371:5 *6371:18 10.875 -4 *6371:18 *25854:B 22.3714 -5 *6371:18 *29499:A 9.3 -*END - -*D_NET *6372 0.00403536 -*CONN -*I *29491:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25854:D I *D sky130_fd_sc_hd__or4_1 -*I *25813:A I *D sky130_fd_sc_hd__and2_4 -*I *28318:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29491:A 0 -2 *25854:D 0 -3 *25813:A 0.000460424 -4 *28318:Q 0.000157055 -5 *6372:10 0.000574218 -6 *6372:5 0.000270849 -7 *25813:A *25854:C 0.000221641 -8 *25516:B1 *25813:A 0.000219711 -9 *27972:A *25813:A 0.000461118 -10 *27972:B *25813:A 5.52238e-05 -11 *28318:RESET_B *6372:5 1.98839e-05 -12 *1174:37 *6372:10 0.000360809 -13 *3567:33 *6372:10 0.000360809 -14 *5729:92 *25813:A 2.37761e-05 -15 *5798:12 *25813:A 0.000407474 -16 *5798:15 *6372:5 0.000263524 -17 *6371:18 *25813:A 0.000178847 -*RES -1 *28318:Q *6372:5 12.3 -2 *6372:5 *6372:10 13.6786 -3 *6372:10 *25813:A 31.2286 -4 *6372:10 *25854:D 9.3 -5 *6372:5 *29491:A 9.3 -*END - -*D_NET *6373 0.00366 -*CONN -*I *25854:C I *D sky130_fd_sc_hd__or4_1 -*I *29492:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *25789:A I *D sky130_fd_sc_hd__and2_4 -*I *28319:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25854:C 0.000342575 -2 *29492:A 0.000267049 -3 *25789:A 0.000175463 -4 *28319:Q 0 -5 *6373:7 0.000617389 -6 *6373:4 0.000517452 -7 *25789:A *6377:185 0.000183713 -8 *25854:C *25516:A1 1.55885e-05 -9 *25516:B1 *25854:C 0.000125443 -10 *25517:A2 *25854:C 0.000389077 -11 *25789:B *25789:A 0.00014183 -12 *25813:A *25854:C 0.000221641 -13 *28318:D *29492:A 0.000103253 -14 *28319:RESET_B *25789:A 0 -15 *28319:RESET_B *29492:A 0 -16 *28319:RESET_B *6373:7 9.23695e-05 -17 *28322:D *25854:C 8.70495e-05 -18 *1816:12 *25789:A 9.8645e-05 -19 *1816:12 *29492:A 0.000213408 -20 *1816:16 *25789:A 6.80546e-05 -21 *3583:85 *29492:A 0 -*RES -1 *28319:Q *6373:4 9.3 -2 *6373:4 *6373:7 6.67857 -3 *6373:7 *25789:A 18.7286 -4 *6373:7 *29492:A 20.3 -5 *6373:4 *25854:C 17.8536 -*END - -*D_NET *6374 0.0185851 -*CONN -*I *27984:B1_N I *D sky130_fd_sc_hd__a21bo_1 -*I *25855:A I *D sky130_fd_sc_hd__nor2_8 -*I *25856:A I *D sky130_fd_sc_hd__or2_2 -*I *25513:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25579:A I *D sky130_fd_sc_hd__and2_1 -*I *28320:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27984:B1_N 3.92213e-05 -2 *25855:A 8.4412e-05 -3 *25856:A 0.00110413 -4 *25513:A1 2.89149e-05 -5 *25579:A 0.000978692 -6 *28320:Q 2.23854e-05 -7 *6374:61 0.00222125 -8 *6374:37 0.00124942 -9 *6374:36 0.00269809 -10 *6374:15 0.00219903 -11 *6374:5 0.001669 -12 *6374:37 *6379:6 3.92757e-05 -13 *6374:61 *29174:A 7.6021e-05 -14 *6374:61 *6379:6 0.000146395 -15 *25484:A1 *6374:61 0 -16 *25485:A1 *6374:61 6.19181e-05 -17 *25512:A *25513:A1 1.02969e-05 -18 *25512:A *25579:A 4.23876e-05 -19 *25518:B1 *25579:A 5.48488e-05 -20 *25579:B *25579:A 0.000183713 -21 *25587:A_N *6374:5 0 -22 *25587:A_N *6374:36 0.000229456 -23 *25599:A0 *6374:61 0 -24 *25601:S *6374:61 0.000261245 -25 *25603:A0 *6374:61 0 -26 *25603:S *6374:61 0 -27 *25857:D1 *25579:A 6.98302e-05 -28 *27969:B1 *6374:15 0.000236096 -29 *27969:B1 *6374:36 8.09046e-05 -30 *27971:A3 *25513:A1 1.59312e-05 -31 *27983:B1 *27984:B1_N 5.52302e-05 -32 *28075:RESET_B *6374:61 0.000547699 -33 *28318:D *25579:A 0.000130393 -34 *28320:RESET_B *6374:15 8.94268e-05 -35 *28828:RESET_B *6374:61 0.000302417 -36 *28829:D *6374:61 5.24274e-05 -37 *28829:RESET_B *6374:61 7.6644e-05 -38 *29061:A *6374:61 0.000446585 -39 *29185:A *6374:36 5.80314e-05 -40 *29185:A *6374:61 0.000148196 -41 *29333:A *6374:61 3.87941e-05 -42 *29596:A *6374:61 6.94775e-05 -43 *30579:A *6374:61 0.000279857 -44 *1816:8 *25579:A 0 -45 *1816:12 *25579:A 0 -46 *1817:16 *6374:61 4.58764e-05 -47 *1821:18 *6374:61 0 -48 *1884:23 *25856:A 0.000392019 -49 *1884:35 *25579:A 0.000105689 -50 *3530:15 *6374:61 0.000308581 -51 *3532:10 *6374:61 0 -52 *3583:80 *25579:A 0 -53 *3583:106 *25579:A 0 -54 *3583:115 *25579:A 0 -55 *4011:45 *25856:A 0.000144038 -56 *5019:50 *6374:61 0 -57 *5293:11 *6374:61 6.53413e-05 -58 *5312:13 *25855:A 0.000181803 -59 *5312:13 *25856:A 0.000397828 -60 *5593:43 *25856:A 5.33005e-05 -61 *5644:251 *25856:A 0.000144038 -62 *5779:143 *6374:15 1.90936e-05 -63 *5780:110 *25856:A 0 -64 *5780:110 *6374:37 0 -65 *5780:125 *6374:61 0 -66 *5961:10 *25856:A 4.02462e-05 -67 *5961:10 *6374:37 6.5185e-05 -68 *5961:10 *6374:61 0.000325204 -69 *6366:8 *6374:61 0.000198812 -*RES -1 *28320:Q *6374:5 9.72857 -2 *6374:5 *6374:15 19.6878 -3 *6374:15 *25579:A 31.0411 -4 *6374:15 *25513:A1 14.3804 -5 *6374:5 *6374:36 10.7857 -6 *6374:36 *6374:37 1.64286 -7 *6374:37 *25856:A 38.7643 -8 *6374:37 *25855:A 15.5679 -9 *6374:36 *6374:61 53.5536 -10 *6374:61 *27984:B1_N 14.3357 -*END - -*D_NET *6375 0.00890464 -*CONN -*I *28015:A2 I *D sky130_fd_sc_hd__o31a_1 -*I *27970:B I *D sky130_fd_sc_hd__nand2_1 -*I *29593:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28321:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *28015:A2 0.00105418 -2 *27970:B 0.000902772 -3 *29593:A 0.00025327 -4 *28321:Q 0 -5 *6375:18 0.00203476 -6 *6375:4 0.00033108 -7 *28015:A2 *29541:A 6.22596e-05 -8 *28015:A2 *6377:19 0.00014759 -9 *29593:A *6378:11 4.27437e-05 -10 *6375:18 *6377:19 9.01238e-05 -11 *25512:A *27970:B 0.000312209 -12 *25857:A2 *27970:B 0.000331696 -13 *25857:C1 *27970:B 2.95641e-05 -14 *25857:C1 *29593:A 0.000246057 -15 *27969:A1 *28015:A2 0.000199144 -16 *27970:A *27970:B 6.42338e-05 -17 *27971:B1 *28015:A2 0.000523049 -18 *28304:D *27970:B 0.000229456 -19 *29542:A *28015:A2 0.00014285 -20 *1172:15 *27970:B 0.000254807 -21 *1884:23 *29593:A 0.00018077 -22 *3581:158 *6375:18 7.50697e-05 -23 *3581:165 *28015:A2 0.000145443 -24 *3581:165 *6375:18 1.76039e-05 -25 *5366:8 *29593:A 7.02611e-05 -26 *5366:28 *28015:A2 0.000742529 -27 *5778:123 *27970:B 6.65398e-05 -28 *5878:14 *29593:A 0.000246057 -29 *5908:8 *28015:A2 5.52302e-05 -30 *6370:49 *29593:A 5.33005e-05 -*RES -1 *28321:Q *6375:4 9.3 -2 *6375:4 *29593:A 24.5857 -3 *6375:4 *6375:18 6.75 -4 *6375:18 *27970:B 39.9071 -5 *6375:18 *28015:A2 34.2643 -*END - -*D_NET *6376 0.0381866 -*CONN -*I *25853:B I *D sky130_fd_sc_hd__or3_2 -*I *26351:B1 I *D sky130_fd_sc_hd__o31a_1 -*I *27999:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28012:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27983:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28009:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28006:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28002:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27996:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27993:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27987:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25852:B I *D sky130_fd_sc_hd__nor3_1 -*I *27991:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25578:A I *D sky130_fd_sc_hd__and2_1 -*I *25516:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *28322:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25853:B 0.000224983 -2 *26351:B1 0 -3 *27999:A1 0 -4 *28012:B2 0 -5 *27983:B2 0.000169385 -6 *28009:A1 0 -7 *28006:A1 0.000115901 -8 *28002:A1 0.00012694 -9 *27996:A1 0.000350618 -10 *27993:A1 4.31056e-05 -11 *27987:A1 0.00011902 -12 *25852:B 0.000277935 -13 *27991:A1 0.00014029 -14 *25578:A 0 -15 *25516:A1 0.000178991 -16 *28322:Q 0.000311997 -17 *6376:175 0.00150902 -18 *6376:145 0.000358168 -19 *6376:138 0.000583366 -20 *6376:121 0.000751622 -21 *6376:102 0.000572369 -22 *6376:100 0.000384719 -23 *6376:98 0.000497263 -24 *6376:80 0.00136901 -25 *6376:53 0.000555017 -26 *6376:52 0.00114146 -27 *6376:41 0.00214872 -28 *6376:39 0.00262628 -29 *6376:28 0.00255878 -30 *6376:14 0.00159928 -31 *6376:8 0.00163134 -32 *25852:B *25852:A 0.00014183 -33 *27996:A1 *27996:B2 2.52671e-05 -34 *27996:A1 *28000:A1 4.50149e-05 -35 *28006:A1 *28005:A1 0.000173653 -36 *28006:A1 *28005:B2 4.08606e-05 -37 *28006:A1 *6378:129 5.01876e-06 -38 *6376:8 *6377:185 5.12625e-05 -39 *6376:14 *6377:8 4.58194e-05 -40 *6376:14 *6377:174 4.58194e-05 -41 *6376:39 *6378:28 0.000225314 -42 *6376:39 *6378:39 8.02536e-05 -43 *6376:41 *6378:39 0 -44 *6376:41 *6378:41 0 -45 *6376:80 *6378:90 1.08109e-05 -46 *6376:98 *6377:87 0.000294824 -47 *6376:138 *28008:A1 1.01912e-05 -48 *6376:175 *26172:B1 0.000153579 -49 *6376:175 *6377:185 8.33998e-05 -50 *24812:A *6376:39 7.39637e-05 -51 *25489:A0 *6376:41 6.67033e-05 -52 *25516:B1 *25516:A1 9.41642e-05 -53 *25542:S *6376:41 0 -54 *25578:B *6376:28 5.00917e-05 -55 *25578:B *6376:39 0.000178847 -56 *25580:B *6376:175 5.52238e-05 -57 *25586:B *6376:39 0.000592531 -58 *25854:C *25516:A1 1.55885e-05 -59 *26343:A *6376:175 4.13496e-05 -60 *26343:B *6376:175 0.000551499 -61 *26344:C *6376:175 0.000470382 -62 *26351:A3 *6376:175 0.000123288 -63 *27971:A2 *6376:39 0.000267821 -64 *27972:A *6376:14 1.38069e-05 -65 *27982:B *6376:145 0.000143566 -66 *27983:B1 *27983:B2 9.80173e-05 -67 *27988:A2 *6376:80 7.80096e-05 -68 *27989:A0 *6376:80 0 -69 *27990:A2 *6376:53 0.000183713 -70 *27990:A2 *6376:80 0.000675872 -71 *27991:A2 *27991:A1 0.000202677 -72 *27991:B2 *27987:A1 8.60291e-05 -73 *27991:C1 *27987:A1 8.79595e-05 -74 *27991:C1 *27991:A1 2.27416e-05 -75 *27992:A0 *6376:41 2.05938e-05 -76 *27993:A2 *27993:A1 5.52302e-05 -77 *27993:A2 *6376:98 0.000230475 -78 *27994:C1 *6376:80 0.000146983 -79 *27996:A2 *27983:B2 0.000208072 -80 *27996:A2 *6376:138 0 -81 *27997:B1 *25852:B 0.000125423 -82 *27997:B1 *6376:53 4.35567e-05 -83 *27997:B2 *6376:80 4.38968e-05 -84 *27999:A2 *6376:121 0.000606699 -85 *27999:A2 *6376:138 0.000482594 -86 *28000:A2 *27996:A1 6.37883e-06 -87 *28000:C1 *6376:121 0.000142856 -88 *28001:A0 *27996:A1 0 -89 *28001:A0 *28002:A1 0 -90 *28002:B1 *27996:A1 0.000114854 -91 *28002:B1 *28002:A1 3.47641e-06 -92 *28003:B1 *28006:A1 5.58875e-06 -93 *28006:B1 *28006:A1 9.54798e-06 -94 *28006:C1 *6376:121 0.000181796 -95 *28006:C1 *6376:138 0.000168334 -96 *28008:B1 *6376:98 2.57524e-05 -97 *28008:B1 *6376:138 0.000125318 -98 *28009:A2 *6376:138 2.231e-05 -99 *28009:A2 *6376:145 1.46576e-05 -100 *28012:B1 *6376:145 5.04841e-06 -101 *28014:A2 *27983:B2 4.87953e-05 -102 *28073:RESET_B *6376:41 0.000685662 -103 *28319:D *6376:28 0.000181803 -104 *28319:D *6376:39 0.000103977 -105 *28319:RESET_B *6376:14 3.06878e-06 -106 *28319:RESET_B *6376:28 0.000316184 -107 *28322:CLK *25516:A1 9.91086e-05 -108 *28322:CLK *6376:14 5.66157e-05 -109 *28323:RESET_B *6376:14 5.35012e-05 -110 *28822:CLK *6376:41 6.63476e-05 -111 *28823:CLK *6376:41 5.7234e-05 -112 *30257:A *6376:41 0 -113 *30370:A *27996:A1 7.16231e-05 -114 *30632:A *27996:A1 0.000368108 -115 *30632:A *6376:98 3.20046e-05 -116 *30632:A *6376:100 6.71874e-05 -117 *30632:A *6376:102 0.000120965 -118 *30637:A *6376:98 1.34009e-05 -119 *30638:A *6376:138 6.90348e-06 -120 *30824:A *6376:52 8.40344e-05 -121 *286:17 *27993:A1 1.24368e-05 -122 *286:17 *6376:80 2.95642e-05 -123 *286:17 *6376:98 0 -124 *287:27 *6376:80 0 -125 *288:10 *27991:A1 2.59341e-06 -126 *290:21 *27996:A1 0 -127 *292:21 *6376:145 2.67698e-05 -128 *1782:29 *6376:14 4.09488e-06 -129 *1783:20 *6376:39 3.34366e-05 -130 *1783:20 *6376:175 0.000299779 -131 *1783:31 *6376:39 0.000359561 -132 *1816:12 *6376:175 0 -133 *1816:16 *6376:175 0 -134 *1874:11 *6376:175 0.00015791 -135 *1880:17 *6376:41 0.000782023 -136 *1880:17 *6376:52 0.000102153 -137 *1880:18 *6376:39 0 -138 *1930:76 *6376:39 0 -139 *2012:20 *6376:175 1.31516e-05 -140 *2043:166 *6376:175 0.000148189 -141 *3523:16 *6376:80 3.55185e-05 -142 *3532:10 *27983:B2 0 -143 *3532:10 *6376:145 5.52238e-05 -144 *3583:59 *6376:175 5.86416e-05 -145 *3583:85 *6376:14 4.79133e-05 -146 *3583:85 *6376:28 7.77652e-05 -147 *4176:9 *6376:41 0.000506478 -148 *4212:8 *6376:41 0 -149 *4586:37 *6376:80 0 -150 *4586:37 *6376:121 1.00073e-05 -151 *4608:17 *27993:A1 3.45371e-05 -152 *4686:14 *27983:B2 1.67439e-05 -153 *4774:19 *28002:A1 2.45945e-05 -154 *4774:19 *6376:102 0 -155 *4785:17 *6376:138 0.000297001 -156 *4808:25 *28002:A1 4.58919e-05 -157 *4885:31 *27993:A1 7.06444e-05 -158 *4885:31 *6376:98 0.000160921 -159 *4963:15 *27983:B2 2.20678e-05 -160 *5283:6 *6376:41 5.48488e-05 -161 *5674:16 *27987:A1 3.47641e-06 -162 *5674:91 *6376:138 9.16325e-06 -163 *5719:12 *25853:B 6.76272e-05 -164 *5778:123 *6376:39 1.09232e-05 -165 *5778:123 *6376:41 0.00080512 -166 *5778:123 *6376:175 0.000214837 -167 *5780:110 *25853:B 0 -168 *5780:110 *6376:8 0 -169 *5799:10 *25853:B 0.000187012 -170 *5799:10 *6376:8 0.000442792 -171 *5878:8 *27996:A1 9.49984e-05 -172 *6036:59 *6376:39 1.81709e-05 -173 *6036:64 *6376:41 0.00106413 -174 *6203:31 *6376:41 0.000184254 -175 *6203:31 *6376:52 0.000187124 -176 *6214:51 *27993:A1 1.98839e-05 -177 *6214:51 *6376:98 0.000208334 -*RES -1 *28322:Q *6376:8 21.1571 -2 *6376:8 *6376:14 21.5536 -3 *6376:14 *25516:A1 16.4964 -4 *6376:14 *6376:28 12.0357 -5 *6376:28 *25578:A 9.3 -6 *6376:28 *6376:39 24.5982 -7 *6376:39 *6376:41 50.9732 -8 *6376:41 *27991:A1 16.3893 -9 *6376:41 *6376:52 7.05357 -10 *6376:52 *6376:53 3 -11 *6376:53 *25852:B 14.3714 -12 *6376:53 *27987:A1 20.8536 -13 *6376:52 *6376:80 22.25 -14 *6376:80 *27993:A1 10.675 -15 *6376:80 *6376:98 16.1782 -16 *6376:98 *6376:100 1.03571 -17 *6376:100 *6376:102 1.79464 -18 *6376:102 *27996:A1 21.0589 -19 *6376:102 *28002:A1 15.7375 -20 *6376:100 *6376:121 10.7857 -21 *6376:121 *28006:A1 20.8536 -22 *6376:121 *6376:138 18.25 -23 *6376:138 *28009:A1 9.3 -24 *6376:138 *6376:145 3.83929 -25 *6376:145 *27983:B2 22.1036 -26 *6376:145 *28012:B2 9.3 -27 *6376:98 *27999:A1 13.8 -28 *6376:28 *6376:175 47.125 -29 *6376:175 *26351:B1 9.3 -30 *6376:8 *25853:B 18.7821 -*END - -*D_NET *6377 0.0379331 -*CONN -*I *25517:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *25580:A I *D sky130_fd_sc_hd__and2_1 -*I *26172:B1 I *D sky130_fd_sc_hd__o21ai_2 -*I *28003:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28005:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28008:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27993:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *27990:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *25852:A I *D sky130_fd_sc_hd__nor3_1 -*I *27988:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *28000:B2 I *D sky130_fd_sc_hd__a221o_1 -*I *27996:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28013:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *27985:B1_N I *D sky130_fd_sc_hd__a21boi_1 -*I *25853:A I *D sky130_fd_sc_hd__or3_2 -*I *28323:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25517:A1 0 -2 *25580:A 0 -3 *26172:B1 0.000223527 -4 *28003:B2 0 -5 *28005:B2 0.000206345 -6 *28008:B2 0.000314522 -7 *27993:B2 0 -8 *27990:B2 0 -9 *25852:A 0.000351629 -10 *27988:B2 0.00021907 -11 *28000:B2 5.78357e-06 -12 *27996:B2 0.00026288 -13 *28013:A1 0.000238915 -14 *27985:B1_N 0 -15 *25853:A 0.000152849 -16 *28323:Q 0 -17 *6377:185 0.00158405 -18 *6377:174 0.00145268 -19 *6377:143 0.000584197 -20 *6377:138 0.000174069 -21 *6377:113 0.000736415 -22 *6377:91 0.000652572 -23 *6377:87 0.000627605 -24 *6377:63 0.000645121 -25 *6377:51 0.000653339 -26 *6377:48 0.0008209 -27 *6377:29 0.00198967 -28 *6377:21 0.00278291 -29 *6377:19 0.00195359 -30 *6377:8 0.00170351 -31 *6377:4 0.000341223 -32 *25852:A *25852:C 7.48091e-05 -33 *25853:A *25853:C 0.000225193 -34 *25853:A *6378:11 5.33005e-05 -35 *27988:B2 *6378:49 1.89507e-06 -36 *27988:B2 *6378:62 1.28809e-05 -37 *27988:B2 *6378:90 0 -38 *6377:8 *6378:11 3.34366e-05 -39 *6377:19 *6378:11 0.000142797 -40 *6377:87 *6378:106 0.000210879 -41 *25487:A1 *6377:19 0.000198831 -42 *25543:A1 *6377:21 8.45461e-05 -43 *25580:B *6377:185 2.89016e-05 -44 *25591:S *6377:19 0.000200406 -45 *25599:S *6377:19 0.000165269 -46 *25733:S *6377:21 0.000340018 -47 *25733:S *6377:48 4.38243e-05 -48 *25789:A *6377:185 0.000183713 -49 *25789:B *6377:185 0.000119193 -50 *25852:B *25852:A 0.00014183 -51 *26160:A4 *26172:B1 0.00017309 -52 *26344:C *26172:B1 5.10822e-05 -53 *27985:A2 *28013:A1 0.000114121 -54 *27986:B *28013:A1 9.90431e-05 -55 *27988:B1 *27988:B2 1.38106e-05 -56 *27990:A2 *28000:B2 6.26774e-06 -57 *27990:A2 *6377:51 0.000250704 -58 *27990:B1 *25852:A 0 -59 *27990:B1 *6377:113 3.47641e-06 -60 *27991:B2 *25852:A 0 -61 *27996:A1 *27996:B2 2.52671e-05 -62 *27997:B1 *25852:A 7.32173e-05 -63 *27998:A0 *27996:B2 0 -64 *27999:A2 *28005:B2 5.52302e-05 -65 *28000:B1 *6377:48 1.34809e-05 -66 *28000:C1 *6377:63 9.54798e-06 -67 *28000:C1 *6377:87 1.1594e-05 -68 *28001:A0 *6377:51 5.52238e-05 -69 *28001:S *6377:21 0.000247771 -70 *28003:B1 *28005:B2 4.04359e-05 -71 *28003:B1 *6377:143 0.000118573 -72 *28003:C1 *6377:143 0.000120221 -73 *28006:A1 *28005:B2 4.08606e-05 -74 *28006:A2 *28008:B2 0.000354389 -75 *28006:B1 *6377:87 9.38421e-05 -76 *28006:C1 *28005:B2 0.000136388 -77 *28008:B1 *6377:87 0.000715374 -78 *28008:B1 *6377:113 0.000713209 -79 *28009:C1 *28008:B2 0.000137983 -80 *28013:B1 *28013:A1 0 -81 *28014:B2 *28013:A1 0 -82 *28015:A2 *6377:19 0.00014759 -83 *28322:RESET_B *6377:185 6.9081e-05 -84 *28827:D *6377:21 0.000118105 -85 *29673:A *25852:A 3.47641e-06 -86 *30632:A *27996:B2 0.000116395 -87 *30632:A *6377:63 7.35637e-05 -88 *30632:A *6377:87 1.94945e-05 -89 *30658:A *6377:113 0 -90 *30661:A *27988:B2 4.6379e-05 -91 *286:17 *6377:87 7.69776e-06 -92 *287:27 *27996:B2 4.19624e-06 -93 *288:10 *27996:B2 0 -94 *288:10 *6377:87 0.000371258 -95 *290:21 *6377:48 0.000131561 -96 *291:18 *25852:A 0.000380666 -97 *291:18 *28005:B2 6.49273e-05 -98 *291:18 *6377:29 0 -99 *291:18 *6377:48 5.87339e-05 -100 *1783:20 *6377:185 0.00016345 -101 *1862:93 *28013:A1 4.58976e-05 -102 *1874:11 *26172:B1 0.000147527 -103 *1874:11 *6377:185 0.00130031 -104 *2102:12 *26172:B1 2.37761e-05 -105 *3530:15 *28008:B2 0.000137983 -106 *3578:56 *28013:A1 0.000188586 -107 *3581:158 *6377:8 0 -108 *3581:158 *6377:19 0 -109 *3581:165 *6377:19 0 -110 *3581:165 *6377:21 0 -111 *4586:37 *27988:B2 2.17985e-05 -112 *4586:37 *6377:113 7.69776e-06 -113 *4608:17 *25852:A 0.000142856 -114 *4608:17 *6377:87 4.38128e-05 -115 *4608:17 *6377:91 0.000315165 -116 *4619:21 *27996:B2 4.15526e-05 -117 *4652:20 *28005:B2 1.61405e-05 -118 *4652:20 *6377:63 1.76649e-05 -119 *4652:20 *6377:87 0.00010199 -120 *4785:17 *6377:51 2.87555e-06 -121 *4785:17 *6377:138 7.20448e-05 -122 *4785:17 *6377:143 6.26774e-06 -123 *4797:11 *28005:B2 5.52302e-05 -124 *4808:25 *6377:87 0.000109627 -125 *4896:9 *28000:B2 6.26774e-06 -126 *4919:27 *6377:48 1.90936e-05 -127 *4919:27 *6377:138 0.000140123 -128 *4974:12 *28013:A1 2.26327e-05 -129 *5205:10 *6377:19 9.61853e-05 -130 *5457:22 *6377:8 0.000101444 -131 *5457:22 *6377:19 0.00058103 -132 *5457:22 *6377:21 0.000212251 -133 *5458:40 *6377:8 0.000385717 -134 *5458:40 *6377:19 0.000981377 -135 *5458:46 *6377:19 0.000804854 -136 *5458:46 *6377:21 0.000911532 -137 *5458:64 *6377:21 0 -138 *5458:64 *6377:48 0 -139 *5463:14 *6377:29 0 -140 *5674:8 *27988:B2 7.29712e-05 -141 *5674:76 *28008:B2 3.73103e-05 -142 *5674:76 *6377:87 2.83129e-05 -143 *5674:91 *28008:B2 5.33172e-05 -144 *5719:17 *6377:174 0.000142797 -145 *5719:17 *6377:185 0.000200413 -146 *5763:163 *6377:29 0.000285102 -147 *5779:67 *6377:185 0.000148035 -148 *5780:134 *6377:21 0.000275094 -149 *5780:142 *6377:21 0.000100823 -150 *5799:10 *6377:174 0.000153047 -151 *5799:10 *6377:185 0.000200413 -152 *5878:8 *27996:B2 4.21422e-05 -153 *5926:9 *28013:A1 5.52302e-05 -154 *5934:29 *6377:19 5.59013e-05 -155 *6180:30 *6377:21 0.00012264 -156 *6214:32 *6377:113 0.000258737 -157 *6214:51 *6377:87 5.92304e-05 -158 *6214:51 *6377:91 0.000315165 -159 *6359:8 *6377:19 0.000202842 -160 *6359:18 *6377:19 0.000574051 -161 *6364:32 *6377:21 0.000158207 -162 *6364:32 *6377:48 0.000175286 -163 *6375:18 *6377:19 9.01238e-05 -164 *6376:8 *6377:185 5.12625e-05 -165 *6376:14 *6377:8 4.58194e-05 -166 *6376:14 *6377:174 4.58194e-05 -167 *6376:98 *6377:87 0.000294824 -168 *6376:175 *26172:B1 0.000153579 -169 *6376:175 *6377:185 8.33998e-05 -*RES -1 *28323:Q *6377:4 9.3 -2 *6377:4 *6377:8 10.4286 -3 *6377:8 *25853:A 16.8 -4 *6377:8 *6377:19 38.4554 -5 *6377:19 *6377:21 20.1607 -6 *6377:21 *6377:29 14.8147 -7 *6377:29 *27985:B1_N 9.3 -8 *6377:29 *28013:A1 24.0679 -9 *6377:21 *6377:48 11.4554 -10 *6377:48 *6377:51 8.73214 -11 *6377:51 *27996:B2 18.5321 -12 *6377:51 *6377:63 1.03571 -13 *6377:63 *28000:B2 13.9295 -14 *6377:63 *6377:87 25.6747 -15 *6377:87 *6377:91 7.5 -16 *6377:91 *27988:B2 17.4473 -17 *6377:91 *6377:113 13.0016 -18 *6377:113 *25852:A 26.3 -19 *6377:113 *27990:B2 9.3 -20 *6377:87 *27993:B2 9.3 -21 *6377:48 *6377:138 2.93304 -22 *6377:138 *6377:143 6.27232 -23 *6377:143 *28008:B2 20.2464 -24 *6377:143 *28005:B2 17.8 -25 *6377:138 *28003:B2 9.3 -26 *6377:4 *6377:174 7.39286 -27 *6377:174 *6377:185 31.1607 -28 *6377:185 *26172:B1 24.0857 -29 *6377:185 *25580:A 9.3 -30 *6377:174 *25517:A1 13.8 -*END - -*D_NET *6378 0.0310678 -*CONN -*I *25853:C I *D sky130_fd_sc_hd__or3_2 -*I *25518:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *25586:A I *D sky130_fd_sc_hd__and2_1 -*I *27997:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27983:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28012:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28008:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28005:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *28003:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *28000:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27994:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *27990:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *25852:C I *D sky130_fd_sc_hd__nor3_1 -*I *27988:A1 I *D sky130_fd_sc_hd__a221o_1 -*I *25994:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *28324:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *25853:C 9.51283e-05 -2 *25518:A1 2.67497e-05 -3 *25586:A 0 -4 *27997:A1 0 -5 *27983:A1 0.000229927 -6 *28012:A1 0 -7 *28008:A1 9.2152e-06 -8 *28005:A1 0.000205403 -9 *28003:A1 0 -10 *28000:A1 2.35631e-05 -11 *27994:A1 2.15003e-05 -12 *27990:A1 2.37855e-05 -13 *25852:C 0.000682972 -14 *27988:A1 0 -15 *25994:B1 4.75493e-05 -16 *28324:Q 0 -17 *6378:154 0.000505596 -18 *6378:141 0.000462207 -19 *6378:129 0.000510931 -20 *6378:121 0.000430832 -21 *6378:106 0.00056141 -22 *6378:101 0.000336828 -23 *6378:90 0.000457918 -24 *6378:62 0.00108279 -25 *6378:54 0.000733928 -26 *6378:49 0.000847786 -27 *6378:41 0.0023601 -28 *6378:39 0.00172529 -29 *6378:28 0.000469364 -30 *6378:22 0.000962585 -31 *6378:11 0.00131749 -32 *6378:4 0.000655197 -33 *24812:A *6378:28 3.55407e-05 -34 *24812:A *6378:39 0.000313458 -35 *25489:A0 *6378:41 2.4958e-05 -36 *25512:A *6378:28 1.98839e-05 -37 *25512:B *25994:B1 6.05161e-06 -38 *25512:B *6378:28 0.000153047 -39 *25542:S *6378:49 0.000434731 -40 *25586:B *6378:28 0.000178847 -41 *25734:S *6378:49 0.000129981 -42 *25852:A *25852:C 7.48091e-05 -43 *25853:A *25853:C 0.000225193 -44 *25853:A *6378:11 5.33005e-05 -45 *27970:A *6378:22 1.17968e-05 -46 *27983:A2 *27983:A1 3.51368e-05 -47 *27983:B1 *27983:A1 7.43578e-06 -48 *27986:C *27983:A1 1.74352e-05 -49 *27988:A2 *6378:90 0.000222194 -50 *27988:B1 *6378:62 6.55942e-05 -51 *27988:B2 *6378:49 1.89507e-06 -52 *27988:B2 *6378:62 1.28809e-05 -53 *27988:B2 *6378:90 0 -54 *27988:C1 *6378:62 0.000270326 -55 *27989:A0 *6378:49 0.000158157 -56 *27989:A0 *6378:90 4.1331e-06 -57 *27990:A2 *6378:62 0.000207328 -58 *27992:A0 *6378:41 0.000341847 -59 *27994:B1 *27994:A1 1.00733e-05 -60 *27995:A0 *6378:101 0.000123126 -61 *27995:A0 *6378:106 4.28365e-05 -62 *27996:A1 *28000:A1 4.50149e-05 -63 *27996:A2 *27983:A1 4.81755e-05 -64 *27996:A2 *6378:154 5.49627e-05 -65 *27997:B2 *6378:101 3.4323e-05 -66 *27997:B2 *6378:106 2.8266e-06 -67 *28000:A2 *28000:A1 1.02504e-05 -68 *28000:A2 *6378:121 0.000614023 -69 *28000:B1 *28000:A1 7.8178e-06 -70 *28003:A2 *6378:121 1.38167e-05 -71 *28003:A2 *6378:129 0.000119253 -72 *28003:A2 *6378:141 0.000469827 -73 *28003:A2 *6378:154 0.000449856 -74 *28003:B1 *6378:121 1.578e-05 -75 *28006:A1 *28005:A1 0.000173653 -76 *28006:A1 *6378:129 5.01876e-06 -77 *28006:B1 *6378:106 9.62737e-05 -78 *28008:B1 *25852:C 4.00349e-05 -79 *28013:B1 *27983:A1 0 -80 *28014:A2 *27983:A1 5.52302e-05 -81 *28073:RESET_B *6378:41 0 -82 *28203:D *6378:41 5.01117e-05 -83 *28316:D *6378:22 0.000138038 -84 *28316:RESET_B *6378:22 3.27626e-05 -85 *28324:D *6378:22 0.000186662 -86 *29593:A *6378:11 4.27437e-05 -87 *29674:A *6378:41 0 -88 *30257:A *6378:41 0.000698927 -89 *30658:A *25852:C 0.000123435 -90 *30661:A *6378:49 0 -91 *30661:A *6378:62 0 -92 *30824:A *6378:62 8.29598e-05 -93 *286:17 *25852:C 4.47103e-05 -94 *290:21 *25852:C 0.000167066 -95 *291:18 *25852:C 2.59355e-05 -96 *292:21 *28005:A1 0.000166979 -97 *292:21 *6378:129 5.98705e-05 -98 *1172:8 *6378:22 5.33882e-05 -99 *1771:63 *6378:41 0.000153047 -100 *1880:18 *6378:39 1.53472e-05 -101 *1880:18 *6378:41 0.00301762 -102 *1936:7 *25994:B1 6.05161e-06 -103 *3523:16 *6378:106 0 -104 *3581:158 *6378:11 6.58195e-05 -105 *3583:68 *6378:22 6.84616e-05 -106 *3583:79 *6378:22 2.3076e-05 -107 *4608:17 *25852:C 8.50158e-05 -108 *4608:17 *6378:62 1.21289e-05 -109 *4652:20 *28005:A1 1.61405e-05 -110 *4686:14 *27983:A1 0 -111 *4785:17 *6378:129 0.000137983 -112 *4841:27 *6378:49 0 -113 *4841:27 *6378:62 1.63337e-05 -114 *4841:27 *6378:90 0.000339617 -115 *4919:27 *6378:106 0.000605501 -116 *4919:27 *6378:121 0.000349317 -117 *4919:27 *6378:129 4.40265e-05 -118 *5283:6 *6378:41 7.80929e-05 -119 *5290:10 *6378:41 0.000103327 -120 *5366:8 *6378:11 2.97829e-05 -121 *5458:64 *6378:121 0.000163992 -122 *5463:14 *6378:121 3.67652e-05 -123 *5545:11 *25994:B1 9.41642e-05 -124 *5674:16 *6378:62 0.000178884 -125 *5674:38 *6378:62 0.00010096 -126 *5674:38 *6378:90 0.000274288 -127 *5674:62 *6378:90 1.02976e-05 -128 *5674:62 *6378:101 1.3135e-05 -129 *5674:76 *6378:106 7.12467e-05 -130 *5674:76 *6378:121 2.61036e-05 -131 *5778:123 *6378:22 0.000231256 -132 *6203:31 *6378:49 0.00026546 -133 *6214:32 *6378:62 1.19191e-05 -134 *6214:51 *6378:62 9.71197e-05 -135 *6214:51 *6378:141 0.000476409 -136 *6214:51 *6378:154 0.00049289 -137 *6370:9 *25518:A1 3.57366e-05 -138 *6370:9 *6378:11 3.4879e-05 -139 *6370:9 *6378:22 0.000294672 -140 *6370:49 *6378:11 0.000179487 -141 *6376:39 *6378:28 0.000225314 -142 *6376:39 *6378:39 8.02536e-05 -143 *6376:41 *6378:39 0 -144 *6376:41 *6378:41 0 -145 *6376:80 *6378:90 1.08109e-05 -146 *6376:138 *28008:A1 1.01912e-05 -147 *6377:8 *6378:11 3.34366e-05 -148 *6377:19 *6378:11 0.000142797 -149 *6377:87 *6378:106 0.000210879 -*RES -1 *28324:Q *6378:4 9.3 -2 *6378:4 *6378:11 19.3036 -3 *6378:11 *6378:22 23.4821 -4 *6378:22 *6378:28 10.4821 -5 *6378:28 *25994:B1 14.7464 -6 *6378:28 *6378:39 5.0625 -7 *6378:39 *6378:41 45.8125 -8 *6378:41 *6378:49 26.5714 -9 *6378:49 *27988:A1 9.3 -10 *6378:49 *6378:54 0.428571 -11 *6378:54 *6378:62 18.1607 -12 *6378:62 *25852:C 29.1929 -13 *6378:62 *27990:A1 9.72857 -14 *6378:54 *6378:90 16.0357 -15 *6378:90 *27994:A1 9.72857 -16 *6378:90 *6378:101 6.67857 -17 *6378:101 *6378:106 4.97422 -18 *6378:106 *28000:A1 17.7904 -19 *6378:106 *6378:121 9.84986 -20 *6378:121 *28003:A1 9.3 -21 *6378:121 *6378:129 7.65179 -22 *6378:129 *28005:A1 17.7554 -23 *6378:129 *6378:141 4.17767 -24 *6378:141 *28008:A1 17.4868 -25 *6378:141 *6378:154 6.27588 -26 *6378:154 *28012:A1 13.8 -27 *6378:154 *27983:A1 17.8536 -28 *6378:101 *27997:A1 13.8 -29 *6378:22 *25586:A 9.3 -30 *6378:11 *25518:A1 10.0321 -31 *6378:4 *25853:C 11.4786 -*END - -*D_NET *6379 0.00244394 -*CONN -*I *29174:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *29541:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *28831:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *29174:A 0.000296502 -2 *29541:A 0.000132799 -3 *28831:Q 0.000210721 -4 *6379:6 0.000640021 -5 *25587:A_N *29174:A 2.97074e-05 -6 *25587:A_N *6379:6 8.78746e-05 -7 *27969:A1 *29541:A 6.05161e-06 -8 *27969:A2 *29174:A 7.77652e-05 -9 *28015:A2 *29541:A 6.22596e-05 -10 *1862:11 *29541:A 0.000487473 -11 *5366:28 *29541:A 0.000151071 -12 *5780:125 *29174:A 0 -13 *6374:37 *6379:6 3.92757e-05 -14 *6374:61 *29174:A 7.6021e-05 -15 *6374:61 *6379:6 0.000146395 -*RES -1 *28831:Q *6379:6 18.4786 -2 *6379:6 *29541:A 18.8714 -3 *6379:6 *29174:A 19.5679 -*END - -*D_NET *6380 0.0235598 -*CONN -*I *27228:A I *D sky130_fd_sc_hd__and4_1 -*I *27224:A I *D sky130_fd_sc_hd__nand2_1 -*I *25522:A I *D sky130_fd_sc_hd__nor2_1 -*I *27221:A I *D sky130_fd_sc_hd__nand2_1 -*I *27272:D_N I *D sky130_fd_sc_hd__or4b_1 -*I *27227:A1 I *D sky130_fd_sc_hd__a31o_1 -*I *25519:A I *D sky130_fd_sc_hd__or4b_1 -*I *27225:A1 I *D sky130_fd_sc_hd__o221a_1 -*I *27222:A I *D sky130_fd_sc_hd__or2_1 -*I *28768:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *27228:A 0 -2 *27224:A 0.00135224 -3 *25522:A 0.000138109 -4 *27221:A 1.95116e-05 -5 *27272:D_N 0.000314416 -6 *27227:A1 0.000151547 -7 *25519:A 0 -8 *27225:A1 0.00110194 -9 *27222:A 0.000332073 -10 *28768:Q 0 -11 *6380:112 0.00200563 -12 *6380:99 0.000879832 -13 *6380:89 0.000599536 -14 *6380:72 0.000568917 -15 *6380:58 0.00038736 -16 *6380:46 0.000724071 -17 *6380:5 0.00143402 -18 *6380:4 0.000488258 -19 *27225:A1 *27225:A2 4.1331e-06 -20 *27225:A1 *6382:10 1.61405e-05 -21 *27227:A1 *27227:B1 3.34117e-05 -22 *27227:A1 *27228:B 2.83214e-05 -23 *27227:A1 *6381:101 0.000153811 -24 *27227:A1 *6382:65 0.000139344 -25 *27272:D_N *6383:46 2.98576e-05 -26 *6380:46 *6381:101 5.41128e-05 -27 *6380:46 *6381:120 1.25615e-05 -28 *6380:46 *6383:25 5.33005e-05 -29 *6380:58 *6381:101 0.000176154 -30 *6380:58 *6383:25 4.27935e-05 -31 *24973:A1 *6380:112 0.000171217 -32 *25044:A1 *27272:D_N 1.94879e-05 -33 *25044:A1 *6380:89 0.000238005 -34 *25063:A1 *27224:A 0.000462755 -35 *25524:A *27272:D_N 0.000164518 -36 *27079:A0 *6380:46 0.00015673 -37 *27079:A0 *6380:58 0.000176154 -38 *27098:A0 *27224:A 9.22103e-06 -39 *27098:A1 *27224:A 0.000172873 -40 *27098:S *27224:A 5.33433e-05 -41 *27219:A *27221:A 5.46383e-06 -42 *27219:A *6380:99 3.97677e-05 -43 *27219:A *6380:112 6.57032e-05 -44 *27223:C *27222:A 5.98785e-05 -45 *27229:A *6380:89 4.59488e-05 -46 *27229:A *6380:99 6.05161e-06 -47 *27230:A *6380:99 5.20232e-05 -48 *27230:B *6380:89 1.3185e-05 -49 *27230:B *6380:99 0.000432998 -50 *27230:C *6380:99 6.21062e-05 -51 *27231:B1_N *27272:D_N 6.19908e-05 -52 *27232:A2 *6380:112 2.11419e-05 -53 *27275:A *27225:A1 1.89507e-06 -54 *28648:CLK *27222:A 0.000116371 -55 *28648:CLK *27225:A1 9.8961e-05 -56 *28665:CLK *6380:112 1.00375e-05 -57 *28771:D *6380:46 5.33005e-05 -58 *28771:D *6380:58 5.33005e-05 -59 *28786:CLK *27225:A1 0.000624094 -60 *30591:A *27225:A1 0.000735945 -61 *30602:A *27222:A 0.000356028 -62 *30624:A *27224:A 0.000283408 -63 *30671:A *27224:A 3.53523e-05 -64 *30674:A *6380:112 0.00014072 -65 *30727:A *27225:A1 5.71472e-05 -66 *30738:A *27224:A 2.95726e-05 -67 *30969:A *6380:112 6.24939e-05 -68 *12:20 *27225:A1 0.000161694 -69 *15:18 *6380:112 9.41642e-05 -70 *18:20 *27225:A1 2.11591e-05 -71 *244:50 *27222:A 0.0001347 -72 *244:50 *27225:A1 2.03917e-05 -73 *244:50 *6380:46 5.56036e-05 -74 *244:57 *27224:A 0.000191883 -75 *244:57 *6380:46 0.000240736 -76 *981:15 *6380:46 7.19956e-05 -77 *1103:24 *27227:A1 5.33005e-05 -78 *1103:24 *27272:D_N 5.27358e-05 -79 *1103:24 *6380:46 0.000155212 -80 *1103:24 *6380:58 7.87779e-05 -81 *1103:24 *6380:89 0.000178117 -82 *1240:134 *6380:89 0.000219289 -83 *1240:134 *6380:99 2.37302e-05 -84 *2800:80 *6380:99 0.000572371 -85 *2803:20 *6380:58 0.000175892 -86 *2805:13 *27224:A 0.000133382 -87 *2805:40 *27227:A1 3.97677e-05 -88 *2805:40 *27272:D_N 5.90989e-05 -89 *2805:40 *6380:58 0.000339346 -90 *2806:9 *27222:A 0.000357952 -91 *2806:9 *27225:A1 5.44941e-05 -92 *2808:5 *6380:99 0.000135403 -93 *3635:33 *6380:112 2.93853e-05 -94 *3850:8 *6380:89 8.28647e-05 -95 *4463:19 *6380:112 8.52811e-05 -96 *4574:20 *27224:A 0.000181796 -97 *4574:37 *27225:A1 4.00658e-06 -98 *4907:10 *6380:112 0.000160072 -99 *5129:18 *6380:99 5.14352e-05 -100 *5470:10 *25522:A 6.09889e-05 -101 *5470:10 *27224:A 2.24079e-05 -102 *5570:23 *6380:112 0.000126318 -103 *5609:45 *27225:A1 2.15298e-05 -104 *5680:276 *27225:A1 8.6229e-06 -105 *5720:87 *27225:A1 5.94452e-05 -106 *5858:17 *27227:A1 8.43535e-06 -107 *6104:19 *27225:A1 0.0016313 -108 *6104:19 *6380:46 2.0653e-05 -109 *6105:17 *27225:A1 9.45051e-05 -110 *6179:10 *6380:46 0.000393527 -111 *6191:10 *6380:99 0.000301094 -112 *6191:10 *6380:112 0.000204318 -*RES -1 *28768:Q *6380:4 9.3 -2 *6380:4 *6380:5 4.5 -3 *6380:5 *27222:A 21.8893 -4 *6380:5 *27225:A1 32.9757 -5 *6380:4 *6380:46 20.3036 -6 *6380:46 *25519:A 9.3 -7 *6380:46 *6380:58 15.5 -8 *6380:58 *27227:A1 13.3223 -9 *6380:58 *6380:72 4.5 -10 *6380:72 *27272:D_N 19.0143 -11 *6380:72 *6380:89 10.9107 -12 *6380:89 *6380:99 19.4464 -13 *6380:99 *27221:A 9.72857 -14 *6380:99 *6380:112 20.5536 -15 *6380:112 *25522:A 11.4964 -16 *6380:112 *27224:A 39.7464 -17 *6380:89 *27228:A 9.3 -*END - -*D_NET *6381 0.0286979 -*CONN -*I *25519:D_N I *D sky130_fd_sc_hd__or4b_1 -*I *27273:A1_N I *D sky130_fd_sc_hd__a2bb2o_1 -*I *27228:B I *D sky130_fd_sc_hd__and4_1 -*I *27227:A2 I *D sky130_fd_sc_hd__a31o_1 -*I *25522:B I *D sky130_fd_sc_hd__nor2_1 -*I *27224:B I *D sky130_fd_sc_hd__nand2_1 -*I *27226:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *27225:A2 I *D sky130_fd_sc_hd__o221a_1 -*I *28769:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *25519:D_N 0 -2 *27273:A1_N 0.000714381 -3 *27228:B 0.000140488 -4 *27227:A2 0 -5 *25522:B 1.29781e-05 -6 *27224:B 0.00146943 -7 *27226:A1 9.21414e-05 -8 *27225:A2 0.000724859 -9 *28769:Q 0 -10 *6381:120 0.000914808 -11 *6381:101 0.00103387 -12 *6381:78 0.00133413 -13 *6381:52 0.00232528 -14 *6381:35 0.00208551 -15 *6381:31 0.00187572 -16 *6381:4 0.00120976 -17 *27228:B *27228:C 0.000182262 -18 *27228:B *6382:65 1.60322e-05 -19 *27273:A1_N *6383:21 5.52238e-05 -20 *6381:101 *25523:C 9.23883e-05 -21 *6381:101 *25523:D 5.75547e-05 -22 *6381:101 *6382:48 7.02921e-05 -23 *6381:120 *6383:21 5.33005e-05 -24 *25044:A1 *6381:101 0.000275028 -25 *25046:A1 *27225:A2 0.000140933 -26 *25524:A *27225:A2 0.000120185 -27 *25524:A *6381:31 0.00131973 -28 *26839:A1 *27225:A2 0.000827218 -29 *27078:S *6381:120 0.000155212 -30 *27079:A0 *6381:101 0.000141768 -31 *27225:A1 *27225:A2 4.1331e-06 -32 *27226:A2 *6381:52 0.000301131 -33 *27226:B1 *27226:A1 3.47641e-06 -34 *27227:A1 *27228:B 2.83214e-05 -35 *27227:A1 *6381:101 0.000153811 -36 *27227:A3 *6381:101 6.62924e-05 -37 *27271:A1 *27225:A2 0.000398822 -38 *27273:B1 *27273:A1_N 6.56954e-05 -39 *27275:A *27225:A2 0.000138603 -40 *28648:CLK *27273:A1_N 4.98947e-05 -41 *28768:CLK *27225:A2 0.000203935 -42 *28769:D *27225:A2 0 -43 *28771:D *27273:A1_N 6.12434e-05 -44 *29876:A *27225:A2 0.000232276 -45 *30591:A *27224:B 0.000920419 -46 *30591:A *27225:A2 0 -47 *30670:A *27226:A1 0 -48 *30671:A *27224:B 0.00014285 -49 *30674:A *6381:52 0 -50 *30727:A *27225:A2 0.000116131 -51 *30738:A *6381:35 0.000182423 -52 *30738:A *6381:78 0.000104976 -53 *11:17 *6381:52 7.40526e-05 -54 *15:18 *25522:B 3.61366e-05 -55 *15:18 *6381:31 0.00134603 -56 *15:18 *6381:52 0.000184996 -57 *19:25 *6381:35 0.000242416 -58 *19:25 *6381:78 0.000235601 -59 *26:17 *6381:52 0 -60 *40:40 *6381:31 1.90936e-05 -61 *981:15 *6381:78 0.000126728 -62 *981:15 *6381:120 7.29712e-05 -63 *1103:24 *6381:101 4.22135e-06 -64 *1103:24 *6381:120 5.41326e-05 -65 *1264:92 *27273:A1_N 1.42689e-05 -66 *1290:89 *27273:A1_N 0.000272506 -67 *1371:11 *27224:B 0 -68 *1796:8 *27273:A1_N 0.000282019 -69 *1796:8 *6381:120 4.08637e-05 -70 *1796:25 *27273:A1_N 4.16955e-06 -71 *1798:19 *25522:B 3.27359e-05 -72 *1800:14 *6381:101 1.28443e-05 -73 *1806:8 *27225:A2 8.6229e-06 -74 *2780:100 *6381:78 4.15592e-05 -75 *2780:100 *6381:120 0.000107416 -76 *2797:19 *27228:B 6.19639e-06 -77 *2797:19 *6381:101 4.16726e-05 -78 *2803:20 *27273:A1_N 0.000179918 -79 *2803:20 *6381:101 0.000322883 -80 *2805:13 *27224:B 0.00048733 -81 *2805:40 *6381:101 8.6229e-06 -82 *2806:9 *27225:A2 0 -83 *2808:36 *27228:B 6.07328e-05 -84 *3575:139 *27225:A2 1.04707e-05 -85 *3575:141 *27225:A2 1.10632e-05 -86 *3636:16 *27273:A1_N 6.89325e-05 -87 *4463:19 *27226:A1 0 -88 *4574:20 *27224:B 0.000284055 -89 *4907:10 *6381:52 2.01074e-05 -90 *5426:19 *25522:B 5.00087e-06 -91 *5426:19 *6381:52 0.000109997 -92 *5437:9 *6381:35 0.00157883 -93 *5437:9 *6381:52 0.000610437 -94 *5448:10 *6381:52 7.83659e-05 -95 *5459:10 *27224:B 7.1785e-05 -96 *5492:10 *27224:B 5.47746e-05 -97 *5537:14 *27225:A2 3.10819e-05 -98 *5609:65 *6381:101 2.7883e-05 -99 *5659:48 *27224:B 8.74145e-05 -100 *5718:14 *27225:A2 5.11853e-05 -101 *5751:83 *6381:101 2.7883e-05 -102 *5753:28 *27225:A2 0 -103 *5858:17 *27228:B 7.20831e-05 -104 *5858:17 *6381:101 3.25446e-05 -105 *6104:19 *6381:101 6.13772e-05 -106 *6178:17 *6381:101 0.000224168 -107 *6380:46 *6381:101 5.41128e-05 -108 *6380:46 *6381:120 1.25615e-05 -109 *6380:58 *6381:101 0.000176154 -*RES -1 *28769:Q *6381:4 9.3 -2 *6381:4 *27225:A2 45.3893 -3 *6381:4 *6381:31 13.7337 -4 *6381:31 *6381:35 22.7232 -5 *6381:35 *27226:A1 19.6393 -6 *6381:35 *6381:52 19.8125 -7 *6381:52 *27224:B 27.5969 -8 *6381:52 *25522:B 14.3804 -9 *6381:31 *6381:78 4.88393 -10 *6381:78 *6381:101 33.3734 -11 *6381:101 *27227:A2 9.3 -12 *6381:101 *27228:B 21.9071 -13 *6381:78 *6381:120 8.80357 -14 *6381:120 *27273:A1_N 31.2821 -15 *6381:120 *25519:D_N 9.3 -*END - -*D_NET *6382 0.00971545 -*CONN -*I *27228:C I *D sky130_fd_sc_hd__and4_1 -*I *27227:B1 I *D sky130_fd_sc_hd__a31o_1 -*I *27272:A I *D sky130_fd_sc_hd__or4b_1 -*I *25523:C I *D sky130_fd_sc_hd__and4b_1 -*I *25519:B I *D sky130_fd_sc_hd__or4b_1 -*I *27269:A I *D sky130_fd_sc_hd__or3b_1 -*I *28770:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *27228:C 0.000233695 -2 *27227:B1 2.66184e-05 -3 *27272:A 0.000250906 -4 *25523:C 0.000301455 -5 *25519:B 4.08114e-05 -6 *27269:A 8.43177e-05 -7 *28770:Q 0.000311856 -8 *6382:65 0.000401992 -9 *6382:48 0.000578749 -10 *6382:36 0.000634094 -11 *6382:26 0.000423751 -12 *6382:10 0.00063264 -13 *25523:C *25523:D 0.000539482 -14 *27272:A *6383:46 2.32456e-05 -15 *25044:A1 *6382:36 0.000322191 -16 *25044:A1 *6382:48 0.000235707 -17 *27078:S *25519:B 6.24939e-05 -18 *27078:S *6382:26 5.23533e-05 -19 *27079:A0 *25523:C 8.54768e-06 -20 *27079:A1 *27272:A 3.48001e-05 -21 *27223:A *6382:10 8.13305e-05 -22 *27225:A1 *6382:10 1.61405e-05 -23 *27227:A1 *27227:B1 3.34117e-05 -24 *27227:A1 *6382:65 0.000139344 -25 *27228:B *27228:C 0.000182262 -26 *27228:B *6382:65 1.60322e-05 -27 *27231:A2 *27272:A 0.000188741 -28 *27270:B1 *27269:A 0.000139344 -29 *27272:C *27272:A 0.000104778 -30 *29800:A *6382:26 0.000139338 -31 *18:20 *6382:10 0.000376994 -32 *39:39 *27272:A 1.90936e-05 -33 *1103:24 *25519:B 2.70189e-05 -34 *1103:24 *6382:26 9.60337e-06 -35 *2799:18 *27272:A 5.33005e-05 -36 *2803:20 *6382:26 0.000257619 -37 *2805:40 *27269:A 0.000228245 -38 *2805:40 *27272:A 5.00841e-06 -39 *2805:40 *6382:26 0.000333229 -40 *2808:36 *27228:C 9.20464e-06 -41 *2808:36 *27272:A 5.87681e-05 -42 *2808:36 *6382:65 7.69776e-06 -43 *4574:37 *6382:10 9.60875e-05 -44 *5523:96 *27228:C 0.000231038 -45 *5534:25 *6382:36 0.000177221 -46 *5534:49 *6382:36 0.000174846 -47 *5534:49 *6382:48 0.000428783 -48 *5539:33 *6382:26 7.95917e-06 -49 *5609:65 *27272:A 6.00942e-05 -50 *5609:65 *6382:10 9.82759e-05 -51 *5609:65 *6382:48 7.06369e-05 -52 *5609:65 *6382:65 1.1594e-05 -53 *5751:83 *25523:C 0.000418515 -54 *5858:17 *27227:B1 1.80624e-05 -55 *5858:17 *27228:C 3.47702e-06 -56 *6105:17 *6382:10 1.0267e-05 -57 *6105:17 *6382:26 0.000111082 -58 *6314:75 *6382:26 8.6229e-06 -59 *6381:101 *25523:C 9.23883e-05 -60 *6381:101 *6382:48 7.02921e-05 -*RES -1 *28770:Q *6382:10 24.5321 -2 *6382:10 *27269:A 11.9071 -3 *6382:10 *6382:26 10.9911 -4 *6382:26 *25519:B 14.6839 -5 *6382:26 *6382:36 3.96147 -6 *6382:36 *25523:C 24.7725 -7 *6382:36 *6382:48 4.17767 -8 *6382:48 *27272:A 18.9161 -9 *6382:48 *6382:65 6.54464 -10 *6382:65 *27227:B1 10.0321 -11 *6382:65 *27228:C 22.4071 -*END - -*D_NET *6383 0.00778069 -*CONN -*I *25519:C I *D sky130_fd_sc_hd__or4b_1 -*I *29620:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27272:B I *D sky130_fd_sc_hd__or4b_1 -*I *27231:A1 I *D sky130_fd_sc_hd__a21boi_1 -*I *25523:D I *D sky130_fd_sc_hd__and4b_1 -*I *27269:B I *D sky130_fd_sc_hd__or3b_1 -*I *28771:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *25519:C 0 -2 *29620:A 4.57782e-05 -3 *27272:B 0 -4 *27231:A1 0.000316364 -5 *25523:D 0.000470405 -6 *27269:B 0.000381078 -7 *28771:Q 0 -8 *6383:46 0.000489751 -9 *6383:41 0.00036732 -10 *6383:25 0.000727491 -11 *6383:21 0.000339885 -12 *6383:4 0.000612031 -13 *25523:C *25523:D 0.000539482 -14 *25524:A *27231:A1 7.78617e-05 -15 *25524:A *6383:41 5.33005e-05 -16 *25524:A *6383:46 8.79856e-06 -17 *25524:B *25523:D 0.000216869 -18 *25524:B *6383:41 5.49489e-05 -19 *27078:S *6383:21 7.14469e-05 -20 *27231:A2 *6383:46 7.96587e-06 -21 *27231:B1_N *25523:D 5.25862e-06 -22 *27272:A *6383:46 2.32456e-05 -23 *27272:C *6383:46 0.000104778 -24 *27272:D_N *6383:46 2.98576e-05 -25 *27273:A1_N *6383:21 5.52238e-05 -26 *28771:D *27269:B 0.000106943 -27 *28771:D *29620:A 0.000178847 -28 *28771:D *6383:21 0.000181796 -29 *28771:D *6383:25 7.88577e-05 -30 *28771:D *6383:41 0.000170217 -31 *28771:RESET_B *27269:B 8.6229e-06 -32 *11:17 *27231:A1 3.47641e-06 -33 *244:57 *27231:A1 1.92905e-05 -34 *1290:89 *27231:A1 5.03602e-05 -35 *1368:17 *27269:B 7.29712e-05 -36 *1796:8 *6383:21 0.000111239 -37 *1796:8 *6383:25 0.000175892 -38 *1798:19 *25523:D 4.43081e-05 -39 *1800:14 *6383:25 5.49544e-05 -40 *1800:14 *6383:41 0.000166868 -41 *2803:20 *25523:D 0.000104439 -42 *2805:40 *29620:A 0.00016641 -43 *2805:40 *6383:41 5.33005e-05 -44 *2805:40 *6383:46 2.95642e-05 -45 *2810:15 *27231:A1 7.44888e-05 -46 *2838:31 *25523:D 2.3541e-05 -47 *4574:20 *6383:46 2.95642e-05 -48 *4574:37 *27231:A1 0.000181796 -49 *5513:53 *25523:D 2.06112e-05 -50 *5609:65 *27269:B 0.000219711 -51 *5609:65 *6383:21 0.000163455 -52 *5751:62 *27269:B 7.29712e-05 -53 *5751:83 *25523:D 1.01075e-05 -54 *6380:46 *6383:25 5.33005e-05 -55 *6380:58 *6383:25 4.27935e-05 -56 *6381:101 *25523:D 5.75547e-05 -57 *6381:120 *6383:21 5.33005e-05 -*RES -1 *28771:Q *6383:4 9.3 -2 *6383:4 *27269:B 23.5679 -3 *6383:4 *6383:21 13.5714 -4 *6383:21 *6383:25 3.01786 -5 *6383:25 *25523:D 28.3759 -6 *6383:25 *6383:41 3.96429 -7 *6383:41 *6383:46 12.1607 -8 *6383:46 *27231:A1 23.1571 -9 *6383:46 *27272:B 9.3 -10 *6383:41 *29620:A 11.0679 -11 *6383:21 *25519:C 9.3 -*END - -*D_NET *6384 0.0167863 -*CONN -*I *29608:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27213:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *27234:A I *D sky130_fd_sc_hd__or2_1 -*I *27240:A I *D sky130_fd_sc_hd__nand2_1 -*I *25533:B2 I *D sky130_fd_sc_hd__a32o_1 -*I *27233:A I *D sky130_fd_sc_hd__nor2_1 -*I *28186:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *29608:A 0 -2 *27213:A1 4.2066e-05 -3 *27234:A 0 -4 *27240:A 0.00118227 -5 *25533:B2 2.89192e-05 -6 *27233:A 0.00100886 -7 *28186:Q 0.000534372 -8 *6384:47 0.00129333 -9 *6384:44 0.000366609 -10 *6384:39 0.000332417 -11 *6384:23 0.00024194 -12 *6384:8 0.00163732 -13 *27233:A *6386:35 5.52302e-05 -14 *27240:A *27235:A0 0.000356035 -15 *27240:A *6386:108 5.52238e-05 -16 *25219:A1 *27240:A 9.68931e-05 -17 *26841:A1 *6384:8 0.00053844 -18 *27061:A1 *27233:A 5.68722e-05 -19 *27090:B *6384:8 0.000137983 -20 *27214:A1 *27233:A 0.000201965 -21 *27214:C1 *6384:47 0.000307343 -22 *27261:B *6384:39 0.000137561 -23 *27270:A2 *6384:8 8.44271e-06 -24 *27439:A1 *6384:39 0.000139202 -25 *28793:CLK *6384:8 0.000980799 -26 *28960:A *27240:A 0.0001399 -27 *29633:A *27240:A 4.87953e-05 -28 *30522:A *27233:A 1.78394e-05 -29 *369:17 *6384:8 0.00027212 -30 *1178:33 *27240:A 0.000178847 -31 *1244:53 *27233:A 0.000100003 -32 *1264:116 *27233:A 0 -33 *1278:29 *25533:B2 2.37409e-05 -34 *1799:51 *25533:B2 6.54385e-05 -35 *1826:46 *6384:44 0.000334955 -36 *2751:14 *27240:A 0.000122101 -37 *3572:64 *27233:A 0.00059766 -38 *3573:115 *6384:8 7.41811e-05 -39 *3796:37 *27240:A 0.000573872 -40 *5599:8 *27240:A 0.000139907 -41 *5667:201 *6384:8 0.000329429 -42 *5667:201 *6384:23 0.000170441 -43 *5667:201 *6384:39 0.000159446 -44 *5678:216 *27233:A 1.78394e-05 -45 *5678:247 *27233:A 0.00168701 -46 *5720:77 *27233:A 2.65617e-05 -47 *5926:152 *27233:A 0 -48 *5970:47 *27213:A1 3.66921e-05 -49 *5970:47 *27240:A 0.000714006 -50 *5970:47 *6384:47 0.000393673 -51 *5970:51 *27240:A 2.72695e-05 -52 *6311:11 *27213:A1 9.60939e-05 -53 *6311:19 *27213:A1 5.33005e-05 -54 *6311:19 *27240:A 2.28598e-05 -55 *6311:19 *6384:47 2.92464e-05 -56 *6311:62 *6384:44 3.06511e-05 -57 *6320:71 *27213:A1 5.33005e-05 -58 *6320:71 *6384:8 6.44916e-05 -59 *6320:71 *6384:23 0.00016264 -60 *6320:71 *6384:39 0.000150823 -61 *6320:71 *6384:44 0.000131124 -*RES -1 *28186:Q *6384:8 28.8179 -2 *6384:8 *27233:A 24.954 -3 *6384:8 *6384:23 2.40179 -4 *6384:23 *25533:B2 14.675 -5 *6384:23 *6384:39 8.10714 -6 *6384:39 *6384:44 13.6786 -7 *6384:44 *6384:47 4.25 -8 *6384:47 *27240:A 34.3 -9 *6384:47 *27234:A 9.3 -10 *6384:44 *27213:A1 10.6571 -11 *6384:39 *29608:A 9.3 -*END - -*D_NET *6385 0.074672 -*CONN -*I *27794:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *29009:A I *D sky130_fd_sc_hd__buf_8 -*I *27549:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *27571:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *27505:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *27527:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *27593:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *28187:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27794:A1 0.000210415 -2 *29009:A 1.69531e-05 -3 *27549:A1 5.71504e-05 -4 *27571:A1 2.23854e-05 -5 *27505:A1 0.00217791 -6 *27527:A1 0 -7 *27593:A1 4.81357e-05 -8 *28187:Q 0 -9 *6385:141 0.00323278 -10 *6385:139 0.00400734 -11 *6385:122 0.00136302 -12 *6385:73 0.00224211 -13 *6385:70 0.00118676 -14 *6385:56 0.00207247 -15 *6385:44 0.00217914 -16 *6385:32 0.00667211 -17 *6385:4 0.0057906 -18 pll_trim[6] *6385:56 0.000397924 -19 *25080:B *27505:A1 5.42698e-05 -20 *25260:B2 *6385:32 0.000763285 -21 *25261:B2 *6385:32 0.00159095 -22 *25398:A1 *27505:A1 0.000108863 -23 *25600:A1 *6385:32 0.000358067 -24 *25609:A0 *27549:A1 2.52824e-05 -25 *25758:A1 *27505:A1 0.000111466 -26 *25906:A *27505:A1 0.000407147 -27 *25918:A *6385:70 0.000106352 -28 *25918:B *6385:70 0.00102513 -29 *26842:S *6385:32 0.000110667 -30 *27054:B *6385:141 2.26973e-05 -31 *27061:S *6385:139 0.000243652 -32 *27062:A1 *6385:139 0 -33 *27079:A0 *6385:139 4.03318e-05 -34 *27273:B2 *6385:122 0.000136958 -35 *27462:S *6385:122 0.000123022 -36 *27462:S *6385:139 2.49484e-05 -37 *27528:A1 *6385:70 0.000622388 -38 *27549:A2 *27549:A1 1.5239e-05 -39 *27571:B1 *6385:70 5.33005e-05 -40 *27644:B *6385:141 0.0002029 -41 *27657:C *6385:141 0.00111887 -42 *27794:A2 *27794:A1 8.25185e-05 -43 *27794:B1 *27794:A1 0.000147464 -44 *28106:D *6385:56 1.78394e-05 -45 *28108:CLK *27549:A1 2.89016e-05 -46 *28187:RESET_B *6385:32 5.52238e-05 -47 *28358:CLK *27505:A1 0.000266057 -48 *28358:D *27505:A1 0.000139907 -49 *28632:RESET_B *6385:139 0.000366508 -50 *28782:D *6385:141 5.2177e-05 -51 *29182:A *6385:32 0.000248565 -52 *29549:A *27505:A1 6.30545e-05 -53 *29562:A *6385:32 7.92328e-05 -54 *29577:A *6385:70 5.9521e-05 -55 *29800:A *6385:122 0.000357115 -56 *29996:A *27505:A1 0 -57 *30347:A *6385:139 7.252e-05 -58 *30686:A *6385:32 0.000254233 -59 *30934:A *6385:44 0 -60 *30957:A *6385:56 0.000437756 -61 *473:13 *6385:56 0.000186379 -62 *473:13 *6385:70 5.81579e-05 -63 *1117:13 *6385:32 6.34436e-05 -64 *1117:13 *6385:122 1.38323e-05 -65 *1185:54 *6385:141 0.00118791 -66 *1218:136 *6385:141 0.000195555 -67 *1240:96 *6385:32 0.000480086 -68 *1240:130 *6385:32 7.8826e-05 -69 *1245:116 *6385:32 0.000163186 -70 *1254:14 *6385:32 9.56074e-05 -71 *1264:56 *6385:32 6.15168e-05 -72 *1264:83 *6385:32 0.00277373 -73 *1264:92 *6385:32 0.000178425 -74 *1264:92 *6385:122 0.000178847 -75 *1282:77 *6385:141 9.886e-06 -76 *1401:37 *27505:A1 2.15339e-05 -77 *1671:24 *6385:70 6.94177e-05 -78 *1799:51 *29009:A 5.33005e-05 -79 *1802:51 *6385:141 0.00117314 -80 *1802:82 *6385:141 5.57887e-05 -81 *1824:38 *6385:32 0.000300678 -82 *1895:13 *6385:70 5.25862e-06 -83 *2778:14 *6385:139 0.000318732 -84 *2778:14 *6385:141 0.000296797 -85 *2784:26 *6385:122 0.000227415 -86 *2802:16 *6385:122 0.000172859 -87 *2816:12 *6385:122 0 -88 *2823:29 *6385:141 1.41225e-05 -89 *2823:63 *6385:141 1.03169e-05 -90 *2823:72 *6385:141 0.00011575 -91 *2823:86 *6385:141 2.80819e-05 -92 *2827:26 *6385:141 0.000396397 -93 *2827:37 *6385:141 0.000570499 -94 *2827:41 *6385:141 0.000170661 -95 *2835:15 *6385:139 6.90348e-06 -96 *2835:15 *6385:141 0 -97 *3019:8 *6385:141 0 -98 *3019:21 *6385:139 6.87528e-05 -99 *3041:15 *29009:A 5.33005e-05 -100 *3041:15 *6385:139 0.000487467 -101 *3103:17 *6385:56 0.000798357 -102 *3125:10 *6385:70 0.00127905 -103 *3145:32 *6385:70 0.000426385 -104 *3150:56 *6385:141 8.89663e-05 -105 *3150:75 *6385:141 2.52186e-05 -106 *3150:93 *6385:141 0.000105033 -107 *3163:10 *6385:141 0.000148196 -108 *3176:8 *6385:141 1.50087e-05 -109 *3189:11 *6385:141 0.000853988 -110 *3191:10 *6385:141 7.91281e-05 -111 *3209:11 *6385:141 0.000285255 -112 *3215:13 *6385:141 0.00222209 -113 *3216:26 *6385:141 0.00051321 -114 *3572:64 *6385:139 0 -115 *3636:16 *6385:122 0.000127325 -116 *3636:16 *6385:139 5.41794e-05 -117 *3650:13 *6385:70 0.000137561 -118 *3801:109 *27505:A1 0.000171177 -119 *3825:37 *6385:141 0 -120 *4260:22 *6385:44 8.35554e-07 -121 *4381:19 *6385:56 0.000144958 -122 *4574:37 *6385:32 4.62739e-05 -123 *4881:17 *6385:32 0.00103408 -124 *5037:11 *27549:A1 4.11173e-05 -125 *5040:13 *6385:32 0.000130527 -126 *5040:13 *6385:44 0.000469938 -127 *5052:36 *27505:A1 4.83481e-05 -128 *5320:8 *27505:A1 1.3409e-05 -129 *5352:22 *6385:32 0.000276039 -130 *5483:120 *6385:44 0.000508526 -131 *5485:127 *6385:32 0.00270283 -132 *5513:53 *6385:32 1.8115e-05 -133 *5521:22 *6385:44 0 -134 *5521:22 *6385:56 0 -135 *5521:73 *6385:56 4.29471e-05 -136 *5619:39 *27505:A1 7.65804e-05 -137 *5619:58 *27505:A1 0.000533066 -138 *5656:14 *6385:141 0.00013452 -139 *5662:15 *6385:141 0 -140 *5665:66 *27505:A1 0.000234595 -141 *5678:247 *6385:139 4.05179e-06 -142 *5680:257 *6385:139 0 -143 *5685:126 *27505:A1 3.79922e-05 -144 *5685:126 *6385:70 0.000303725 -145 *5685:126 *6385:73 3.05035e-05 -146 *5691:201 *6385:44 0.000442852 -147 *5691:201 *6385:56 0.000715736 -148 *5694:183 *6385:139 0.000220028 -149 *5698:179 *6385:32 0.000229915 -150 *5718:70 *6385:32 0.000103342 -151 *5737:124 *6385:56 0.000576594 -152 *5737:164 *6385:32 0 -153 *5737:164 *6385:44 0 -154 *5751:116 *6385:32 1.90936e-05 -155 *5778:261 *6385:56 0.000197245 -156 *5778:261 *6385:70 0.000229044 -157 *5926:171 *6385:32 0.000357356 -158 *5926:186 *6385:32 0.0029955 -159 *5926:194 *6385:32 0.00186317 -160 *6324:17 *27505:A1 0.00041754 -161 *6324:17 *6385:73 7.40536e-05 -162 *6334:39 *27549:A1 5.33005e-05 -*RES -1 *28187:Q *6385:4 9.3 -2 *6385:4 *6385:32 40.4409 -3 *6385:32 *27593:A1 14.3357 -4 *6385:32 *6385:44 11.9672 -5 *6385:44 *6385:56 18.9222 -6 *6385:56 *6385:70 45.6731 -7 *6385:70 *6385:73 5.53571 -8 *6385:73 *27527:A1 13.8 -9 *6385:73 *27505:A1 48.6214 -10 *6385:56 *27571:A1 9.72857 -11 *6385:44 *27549:A1 18.7546 -12 *6385:4 *6385:122 14.6429 -13 *6385:122 *29009:A 14.3357 -14 *6385:122 *6385:139 29.7589 -15 *6385:139 *6385:141 88.9196 -16 *6385:141 *27794:A1 18.1929 -*END - -*D_NET *6386 0.0334032 -*CONN -*I *27259:A I *D sky130_fd_sc_hd__and3_1 -*I *27268:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *24820:A I *D sky130_fd_sc_hd__clkinv_2 -*I *27237:A I *D sky130_fd_sc_hd__nand2_1 -*I *27234:B I *D sky130_fd_sc_hd__or2_1 -*I *27235:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *27218:A3 I *D sky130_fd_sc_hd__o31a_1 -*I *29627:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *27239:B I *D sky130_fd_sc_hd__nor2_1 -*I *27215:B I *D sky130_fd_sc_hd__nor2_1 -*I *27233:B I *D sky130_fd_sc_hd__nor2_1 -*I *27252:A I *D sky130_fd_sc_hd__and3_1 -*I *28188:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27259:A 0 -2 *27268:A1 0.000875682 -3 *24820:A 0.000776508 -4 *27237:A 4.57542e-05 -5 *27234:B 0 -6 *27235:A0 0.000416552 -7 *27218:A3 0 -8 *29627:A 0 -9 *27239:B 0 -10 *27215:B 0.000418161 -11 *27233:B 2.30855e-05 -12 *27252:A 0.000608179 -13 *28188:Q 0.000264326 -14 *6386:149 0.00175125 -15 *6386:140 0.000562627 -16 *6386:131 0.000910125 -17 *6386:108 0.000427442 -18 *6386:105 0.00106133 -19 *6386:94 0.00115409 -20 *6386:35 0.0011546 -21 *6386:29 0.00157193 -22 *6386:17 0.000957535 -23 *6386:12 0.000407007 -24 *6386:8 0.0009402 -25 *27215:B *27217:A 0.00062323 -26 *6386:29 *27217:A 0.000164936 -27 *25006:A1 *27215:B 0.000137561 -28 *25006:B2 *27215:B 0.000180777 -29 *25015:A2 *27252:A 0.000222773 -30 *25015:A2 *6386:35 0 -31 *25018:A1 *27215:B 0.000602506 -32 *25018:A1 *6386:29 0.00139426 -33 *25018:C1 *27215:B 2.06112e-05 -34 *25044:A1 *6386:29 1.76649e-05 -35 *25044:A1 *6386:35 0.000372281 -36 *25534:A1_N *6386:8 0.000317521 -37 *25534:A2_N *6386:35 4.18505e-05 -38 *26840:A1 *6386:94 9.54301e-05 -39 *27061:A1 *27252:A 2.39313e-05 -40 *27061:A1 *6386:35 3.34582e-05 -41 *27061:S *27252:A 4.46406e-05 -42 *27062:A1 *27252:A 0.000377196 -43 *27213:A2 *6386:8 9.71707e-05 -44 *27213:A2 *6386:12 0 -45 *27214:B1 *6386:105 1.20893e-05 -46 *27214:C1 *6386:105 0.000212588 -47 *27214:C1 *6386:131 1.02504e-05 -48 *27218:B1 *6386:94 5.35475e-05 -49 *27218:B1 *6386:105 9.39059e-05 -50 *27230:B *27215:B 1.10381e-05 -51 *27233:A *6386:35 5.52302e-05 -52 *27235:A1 *27235:A0 1.58163e-05 -53 *27235:S *27235:A0 1.55885e-05 -54 *27240:A *27235:A0 0.000356035 -55 *27240:A *6386:108 5.52238e-05 -56 *27243:A *6386:131 5.74499e-06 -57 *27252:C *27252:A 5.33005e-05 -58 *27268:A2 *27268:A1 3.99614e-06 -59 *27268:B1 *27268:A1 2.59355e-05 -60 *27268:B2 *27268:A1 9.41642e-05 -61 *27270:A2 *6386:8 9.86249e-07 -62 *27270:A2 *6386:12 1.85762e-05 -63 *27270:A2 *6386:17 8.20715e-05 -64 *27273:B1 *6386:17 0.000392026 -65 *27273:B2 *6386:29 2.30116e-06 -66 *27598:A *27268:A1 0.000168357 -67 *28647:D *6386:29 9.42368e-05 -68 *28704:CLK *27252:A 2.20608e-05 -69 *28773:SET_B *24820:A 4.51691e-05 -70 *28777:SET_B *6386:131 4.6025e-06 -71 *28779:CLK *6386:131 0.000139208 -72 *28779:CLK *6386:140 2.23592e-05 -73 *28780:CLK *27237:A 9.71197e-05 -74 *29805:A *27215:B 3.9883e-05 -75 *30522:A *6386:35 8.50152e-05 -76 *30871:A *24820:A 0.000195494 -77 *371:15 *6386:94 0 -78 *770:24 *6386:17 9.54798e-06 -79 *977:15 *6386:94 0.000117726 -80 *1177:14 *6386:8 8.65922e-05 -81 *1177:14 *6386:12 1.34355e-05 -82 *1177:14 *6386:17 0.000336189 -83 *1240:137 *27215:B 6.16087e-05 -84 *1277:70 *24820:A 0.000385186 -85 *1289:71 *6386:140 7.78851e-05 -86 *1799:19 *6386:35 1.69115e-05 -87 *1799:51 *6386:35 6.3254e-05 -88 *1802:6 *27268:A1 0.000177164 -89 *1802:22 *27268:A1 0.00019184 -90 *1803:21 *27268:A1 0.00025972 -91 *1803:21 *6386:140 0.000145594 -92 *1803:21 *6386:149 8.16697e-05 -93 *1803:34 *27268:A1 0.000216935 -94 *1826:79 *24820:A 0.000242868 -95 *2782:13 *6386:94 0.000438758 -96 *2787:17 *6386:131 0 -97 *2797:19 *27215:B 9.31209e-05 -98 *2798:34 *6386:94 1.11775e-05 -99 *2798:34 *6386:105 3.45423e-05 -100 *2798:60 *6386:105 1.66787e-05 -101 *2800:16 *6386:8 0.000216755 -102 *2802:16 *6386:94 0.000756607 -103 *2809:24 *6386:29 5.20232e-05 -104 *2812:9 *6386:131 5.64858e-05 -105 *2813:11 *24820:A 4.28365e-05 -106 *2816:12 *6386:29 7.14469e-05 -107 *2833:6 *27268:A1 0 -108 *2835:15 *27252:A 6.62375e-05 -109 *3041:15 *6386:8 0.000105471 -110 *3158:13 *27268:A1 0.000748838 -111 *3572:55 *6386:94 2.46211e-05 -112 *3572:55 *6386:105 2.99314e-05 -113 *3575:78 *27235:A0 4.53515e-05 -114 *3575:100 *27235:A0 5.33433e-05 -115 *3636:8 *27252:A 0.000124067 -116 *3796:36 *6386:131 0.000245632 -117 *3825:14 *27252:A 0.000579262 -118 *3850:17 *6386:35 0.000349102 -119 *3850:24 *6386:35 0.000547545 -120 *5129:18 *27215:B 1.90936e-05 -121 *5352:22 *6386:29 0.00125018 -122 *5515:42 *6386:140 1.07753e-05 -123 *5522:85 *24820:A 0 -124 *5523:96 *27215:B 4.04359e-05 -125 *5530:44 *27235:A0 4.57473e-05 -126 *5538:21 *6386:17 4.27338e-05 -127 *5538:28 *27215:B 0.000141408 -128 *5678:216 *27252:A 0.0001399 -129 *5678:247 *27252:A 2.64807e-05 -130 *5713:208 *27252:A 0.000180501 -131 *5713:208 *6386:35 0.000180496 -132 *5718:233 *6386:140 0 -133 *5720:87 *6386:94 0.000310801 -134 *5720:129 *6386:94 0.000219814 -135 *5720:159 *6386:105 4.45906e-05 -136 *5720:159 *6386:131 0 -137 *5751:107 *6386:29 8.56809e-05 -138 *5926:171 *6386:17 0.000476702 -139 *6307:16 *6386:131 8.61691e-05 -140 *6311:19 *27235:A0 0.00018372 -141 *6311:19 *6386:108 4.18895e-05 -142 *6311:62 *27268:A1 8.86967e-05 -143 *6311:62 *6386:140 0.000199414 -144 *6311:62 *6386:149 8.61316e-05 -145 *6311:74 *27268:A1 0.000216314 -146 *6311:80 *27268:A1 0.000102197 -147 *6311:83 *27268:A1 0 -148 *6312:11 *27268:A1 4.94565e-05 -149 *6312:35 *27268:A1 9.24234e-05 -150 *6312:41 *27268:A1 0.000269428 -*RES -1 *28188:Q *6386:8 20.5054 -2 *6386:8 *6386:12 1.11607 -3 *6386:12 *6386:17 13.8214 -4 *6386:17 *6386:29 19.5849 -5 *6386:29 *6386:35 21.7939 -6 *6386:35 *27252:A 34.6929 -7 *6386:35 *27233:B 9.72857 -8 *6386:29 *27215:B 39.1591 -9 *6386:17 *27239:B 9.3 -10 *6386:12 *29627:A 13.8 -11 *6386:8 *6386:94 15.5826 -12 *6386:94 *27218:A3 13.8 -13 *6386:94 *6386:105 9.58929 -14 *6386:105 *6386:108 5.03571 -15 *6386:108 *27235:A0 25.6393 -16 *6386:108 *27234:B 9.3 -17 *6386:105 *6386:131 13.4286 -18 *6386:131 *6386:140 12.4107 -19 *6386:140 *27237:A 14.7464 -20 *6386:140 *6386:149 2.40179 -21 *6386:149 *24820:A 23.4094 -22 *6386:149 *27268:A1 39.1482 -23 *6386:131 *27259:A 9.3 -*END - -*D_NET *6387 0.024135 -*CONN -*I *27217:A I *D sky130_fd_sc_hd__nor2_1 -*I *25534:B1 I *D sky130_fd_sc_hd__a2bb2o_1 -*I *27225:B1 I *D sky130_fd_sc_hd__o221a_1 -*I *27218:A1 I *D sky130_fd_sc_hd__o31a_1 -*I *27214:A3 I *D sky130_fd_sc_hd__o311a_1 -*I *27220:A I *D sky130_fd_sc_hd__or3_1 -*I *24818:A I *D sky130_fd_sc_hd__inv_2 -*I *27270:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *28189:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *27217:A 0.00123133 -2 *25534:B1 0 -3 *27225:B1 0.000122277 -4 *27218:A1 4.43844e-05 -5 *27214:A3 0.000118075 -6 *27220:A 2.72727e-05 -7 *24818:A 0 -8 *27270:B2 8.43163e-05 -9 *28189:Q 0 -10 *6387:96 0.00163682 -11 *6387:54 0.000670727 -12 *6387:34 0.00102898 -13 *6387:27 0.00116106 -14 *6387:22 0.00101726 -15 *6387:10 0.000971145 -16 *6387:4 0.00106495 -17 *24974:A2 *27217:A 5.33005e-05 -18 *25018:A1 *27217:A 0.000300203 -19 *25049:A1 *27217:A 0.000653287 -20 *25523:A_N *27217:A 7.12002e-05 -21 *25534:A1_N *6387:96 0.001005 -22 *25534:A2_N *27217:A 0.000224583 -23 *25534:A2_N *6387:96 5.81579e-05 -24 *25534:B2 *6387:96 5.33005e-05 -25 *25564:A0 *6387:27 0.00028559 -26 *25564:A1 *6387:34 4.00349e-05 -27 *27079:A0 *27217:A 0.00028279 -28 *27214:A1 *27214:A3 9.21901e-05 -29 *27214:B1 *27214:A3 0.000207346 -30 *27215:B *27217:A 0.00062323 -31 *27220:B *27220:A 1.24368e-05 -32 *27225:C1 *27225:B1 5.76608e-05 -33 *27270:B1 *27270:B2 7.44147e-05 -34 *27270:B1 *6387:10 9.54798e-06 -35 *27271:S *27225:B1 0.000114847 -36 *27271:S *6387:22 0.000819519 -37 *27275:B *6387:22 0.000197901 -38 *28189:D *6387:10 3.14193e-05 -39 *28189:D *6387:96 9.31893e-05 -40 *28767:D *6387:54 1.33343e-05 -41 *30689:A *6387:27 0 -42 *1117:13 *27225:B1 3.34248e-05 -43 *1218:154 *27217:A 0.000778026 -44 *1264:92 *27217:A 8.27897e-05 -45 *1385:15 *27217:A 4.13962e-05 -46 *1796:25 *6387:10 5.05056e-05 -47 *1796:25 *6387:96 0.00065785 -48 *1797:11 *6387:54 0.000115139 -49 *1798:19 *27217:A 0.00144063 -50 *1826:46 *6387:54 0.000169466 -51 *2782:170 *27217:A 4.14279e-05 -52 *2782:170 *6387:96 0.000136958 -53 *2784:26 *6387:96 1.21289e-05 -54 *2797:38 *27220:A 5.33005e-05 -55 *2798:5 *6387:27 4.84073e-05 -56 *2798:25 *6387:22 0.000314707 -57 *2798:25 *6387:27 3.76935e-05 -58 *2800:16 *27218:A1 4.07023e-05 -59 *2800:16 *6387:54 7.43578e-06 -60 *2831:17 *6387:54 0.000920419 -61 *2840:53 *6387:54 0 -62 *3575:137 *6387:10 0.000228735 -63 *5352:22 *27217:A 2.36553e-05 -64 *5399:17 *6387:10 9.90431e-05 -65 *5399:17 *6387:96 0.000272044 -66 *5470:10 *27217:A 2.89114e-05 -67 *5513:53 *27217:A 0.000239262 -68 *5581:8 *6387:27 4.9985e-05 -69 *5581:8 *6387:34 2.18517e-05 -70 *5609:33 *6387:27 0.000139287 -71 *5609:45 *6387:27 1.51955e-05 -72 *5675:213 *27217:A 6.4212e-06 -73 *5713:203 *27218:A1 2.62854e-05 -74 *5713:203 *6387:54 4.16984e-05 -75 *5718:14 *27270:B2 0.000165907 -76 *5718:14 *6387:10 9.67951e-05 -77 *5718:56 *6387:10 0.000188654 -78 *5720:87 *27225:B1 1.22604e-05 -79 *5720:97 *27225:B1 5.83489e-05 -80 *5858:23 *6387:22 4.10102e-05 -81 *5926:152 *27217:A 9.11238e-05 -82 *6063:27 *6387:27 3.29297e-05 -83 *6063:27 *6387:34 0.000147638 -84 *6100:17 *6387:54 6.25005e-05 -85 *6311:43 *6387:54 3.57366e-05 -86 *6314:19 *6387:27 0.000227445 -87 *6314:19 *6387:34 0.00087004 -88 *6314:19 *6387:54 8.19176e-05 -89 *6318:6 *6387:34 0.000148383 -90 *6318:30 *6387:34 0.000487995 -91 *6318:30 *6387:54 7.51432e-05 -92 *6320:17 *6387:54 0.000172031 -93 *6320:71 *6387:54 0.000108363 -94 *6331:13 *27217:A 0.000137983 -95 *6331:13 *6387:96 0 -96 *6386:29 *27217:A 0.000164936 -*RES -1 *28189:Q *6387:4 9.3 -2 *6387:4 *6387:10 16.125 -3 *6387:10 *27270:B2 16.05 -4 *6387:10 *6387:22 13.2679 -5 *6387:22 *6387:27 14.3571 -6 *6387:27 *24818:A 13.8 -7 *6387:27 *6387:34 12.2679 -8 *6387:34 *27220:A 14.3357 -9 *6387:34 *6387:54 17.3798 -10 *6387:54 *27214:A3 21.1571 -11 *6387:54 *27218:A1 10.6304 -12 *6387:22 *27225:B1 20.9071 -13 *6387:4 *6387:96 14.9821 -14 *6387:96 *25534:B1 9.3 -15 *6387:96 *27217:A 45.0656 -*END diff --git a/spef/mgmt_protect_hv.spef b/spef/mgmt_protect_hv.spef deleted file mode 100644 index 70d04910..00000000 --- a/spef/mgmt_protect_hv.spef +++ /dev/null @@ -1,204 +0,0 @@ -*SPEF "ieee 1481-1999" -*DESIGN "mgmt_protect_hv" -*DATE "11:11:11 Fri 11 11, 1111" -*VENDOR "OpenRCX" -*PROGRAM "Parallel Extraction" -*VERSION "1.0" -*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" -*DIVIDER / -*DELIMITER : -*BUS_DELIMITER [] -*T_UNIT 1 NS -*C_UNIT 1 PF -*R_UNIT 1 OHM -*L_UNIT 1 HENRY - -*NAME_MAP -*1 mprj2_vdd_logic1 -*2 mprj_vdd_logic1 -*9 mprj2_vdd_logic1_h -*10 mprj_vdd_logic1_h -*11 mprj2_logic_high_hvl -*12 mprj2_logic_high_lv -*13 mprj_logic_high_hvl -*14 mprj_logic_high_lv -*15 FILLER_0_0 -*16 FILLER_0_8 -*17 FILLER_0_16 -*18 FILLER_0_24 -*19 FILLER_0_32 -*20 FILLER_0_40 -*21 FILLER_0_48 -*22 FILLER_0_56 -*23 FILLER_0_64 -*24 FILLER_0_72 -*25 FILLER_0_80 -*26 FILLER_0_88 -*27 FILLER_0_96 -*28 FILLER_0_104 -*29 FILLER_0_112 -*30 FILLER_0_120 -*31 FILLER_0_128 -*32 FILLER_0_136 -*33 FILLER_0_144 -*34 FILLER_0_152 -*35 FILLER_0_160 -*36 FILLER_0_168 -*37 FILLER_0_176 -*38 FILLER_0_184 -*39 FILLER_0_192 -*40 FILLER_0_200 -*41 FILLER_0_208 -*42 FILLER_0_216 -*43 FILLER_0_224 -*44 FILLER_0_232 -*45 FILLER_0_240 -*46 FILLER_0_248 -*47 FILLER_0_256 -*48 FILLER_0_264 -*49 FILLER_0_272 -*50 FILLER_0_280 -*51 FILLER_0_288 -*52 FILLER_0_296 -*53 FILLER_0_300 -*54 FILLER_1_0 -*55 FILLER_1_8 -*56 FILLER_1_16 -*57 FILLER_1_24 -*58 FILLER_1_32 -*59 FILLER_1_40 -*60 FILLER_1_48 -*61 FILLER_1_56 -*62 FILLER_1_64 -*63 FILLER_1_72 -*64 FILLER_1_80 -*65 FILLER_1_88 -*66 FILLER_1_92 -*67 FILLER_1_94 -*68 FILLER_1_117 -*69 FILLER_1_125 -*70 FILLER_1_133 -*71 FILLER_1_141 -*72 FILLER_1_149 -*73 FILLER_1_157 -*74 FILLER_1_165 -*75 FILLER_1_189 -*76 FILLER_1_197 -*77 FILLER_1_205 -*78 FILLER_1_213 -*79 FILLER_1_221 -*80 FILLER_1_229 -*81 FILLER_1_237 -*82 FILLER_1_245 -*83 FILLER_1_253 -*84 FILLER_1_261 -*85 FILLER_1_269 -*86 FILLER_1_277 -*87 FILLER_1_285 -*88 FILLER_1_293 -*89 FILLER_1_301 -*90 FILLER_2_0 -*91 FILLER_2_8 -*92 FILLER_2_16 -*93 FILLER_2_24 -*94 FILLER_2_32 -*95 FILLER_2_40 -*96 FILLER_2_48 -*97 FILLER_2_56 -*98 FILLER_2_64 -*99 FILLER_2_72 -*100 FILLER_2_80 -*101 FILLER_2_88 -*102 FILLER_2_96 -*103 FILLER_2_117 -*104 FILLER_2_125 -*105 FILLER_2_133 -*106 FILLER_2_141 -*107 FILLER_2_149 -*108 FILLER_2_157 -*109 FILLER_2_165 -*110 FILLER_2_169 -*111 FILLER_2_171 -*112 FILLER_2_189 -*113 FILLER_2_197 -*114 FILLER_2_205 -*115 FILLER_2_213 -*116 FILLER_2_221 -*117 FILLER_2_229 -*118 FILLER_2_237 -*119 FILLER_2_245 -*120 FILLER_2_253 -*121 FILLER_2_261 -*122 FILLER_2_269 -*123 FILLER_2_277 -*124 FILLER_2_285 -*125 FILLER_2_293 -*126 FILLER_2_301 - -*PORTS -mprj2_vdd_logic1 O -mprj_vdd_logic1 O - -*D_NET *1 0.00894054 -*CONN -*P mprj2_vdd_logic1 O -*I *12:X O *D sky130_fd_sc_hvl__lsbufhv2lv_1 -*CAP -1 mprj2_vdd_logic1 0.000164685 -2 *12:X 0.000136495 -3 *1:9 0.00433377 -4 *1:8 0.00430558 -5 *1:9 *2:5 0 -*RES -1 *12:X *1:8 21.1315 -2 *1:8 *1:9 104.917 -3 *1:9 mprj2_vdd_logic1 10.6698 -*END - -*D_NET *2 0.005901 -*CONN -*P mprj_vdd_logic1 O -*I *14:X O *D sky130_fd_sc_hvl__lsbufhv2lv_1 -*CAP -1 mprj_vdd_logic1 0.000279428 -2 *14:X 0 -3 *2:5 0.00291451 -4 *2:4 0.00263509 -5 *2:5 *9:7 7.19686e-05 -6 *1:9 *2:5 0 -*RES -1 *14:X *2:4 9.24915 -2 *2:4 *2:5 63.2489 -3 *2:5 mprj_vdd_logic1 14.285 -*END - -*D_NET *9 0.00401189 -*CONN -*I *12:A I *D sky130_fd_sc_hvl__lsbufhv2lv_1 -*I *11:HI O *D sky130_fd_sc_hvl__conb_1 -*CAP -1 *12:A 0.000185088 -2 *11:HI 0 -3 *9:7 0.00194467 -4 *9:4 0.00175958 -5 *12:A *10:8 5.05783e-05 -6 *2:5 *9:7 7.19686e-05 -*RES -1 *11:HI *9:4 9.24915 -2 *9:4 *9:7 47.4938 -3 *9:7 *12:A 17.9577 -*END - -*D_NET *10 0.00370034 -*CONN -*I *14:A I *D sky130_fd_sc_hvl__lsbufhv2lv_1 -*I *13:HI O *D sky130_fd_sc_hvl__conb_1 -*CAP -1 *14:A 0.00172779 -2 *13:HI 9.70922e-05 -3 *10:8 0.00182488 -4 *12:A *10:8 5.05783e-05 -*RES -1 *13:HI *10:8 20.6796 -2 *10:8 *14:A 48.192 -*END diff --git a/spef/mprj2_logic_high.spef b/spef/mprj2_logic_high.spef deleted file mode 100644 index 2993c00c..00000000 --- a/spef/mprj2_logic_high.spef +++ /dev/null @@ -1,100 +0,0 @@ -*SPEF "ieee 1481-1999" -*DESIGN "mprj2_logic_high" -*DATE "11:11:11 Fri 11 11, 1111" -*VENDOR "OpenRCX" -*PROGRAM "Parallel Extraction" -*VERSION "1.0" -*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" -*DIVIDER / -*DELIMITER : -*BUS_DELIMITER [] -*T_UNIT 1 NS -*C_UNIT 1 PF -*R_UNIT 1 OHM -*L_UNIT 1 HENRY - -*NAME_MAP -*1 HI -*2 FILLER_0_109 -*3 FILLER_0_113 -*4 FILLER_0_125 -*5 FILLER_0_137 -*6 FILLER_0_141 -*7 FILLER_0_15 -*8 FILLER_0_153 -*9 FILLER_0_165 -*10 FILLER_0_169 -*11 FILLER_0_181 -*12 FILLER_0_193 -*13 FILLER_0_197 -*14 FILLER_0_209 -*15 FILLER_0_213 -*16 FILLER_0_27 -*17 FILLER_0_29 -*18 FILLER_0_3 -*19 FILLER_0_41 -*20 FILLER_0_53 -*21 FILLER_0_57 -*22 FILLER_0_69 -*23 FILLER_0_81 -*24 FILLER_0_85 -*25 FILLER_0_97 -*26 FILLER_1_107 -*27 FILLER_1_111 -*28 FILLER_1_113 -*29 FILLER_1_125 -*30 FILLER_1_137 -*31 FILLER_1_141 -*32 FILLER_1_15 -*33 FILLER_1_153 -*34 FILLER_1_165 -*35 FILLER_1_169 -*36 FILLER_1_181 -*37 FILLER_1_193 -*38 FILLER_1_197 -*39 FILLER_1_209 -*40 FILLER_1_213 -*41 FILLER_1_27 -*42 FILLER_1_29 -*43 FILLER_1_3 -*44 FILLER_1_41 -*45 FILLER_1_53 -*46 FILLER_1_57 -*47 FILLER_1_69 -*48 FILLER_1_81 -*49 FILLER_1_85 -*50 FILLER_1_91 -*51 FILLER_1_95 -*52 PHY_0 -*53 PHY_1 -*54 PHY_2 -*55 PHY_3 -*56 TAP_10 -*57 TAP_11 -*58 TAP_12 -*59 TAP_13 -*60 TAP_14 -*61 TAP_15 -*62 TAP_16 -*63 TAP_17 -*64 TAP_4 -*65 TAP_5 -*66 TAP_6 -*67 TAP_7 -*68 TAP_8 -*69 TAP_9 -*70 inst - -*PORTS -HI O - -*D_NET *1 0.00667596 -*CONN -*P HI O -*I *70:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI 0.00333798 -2 *70:HI 0.00333798 -*RES -1 *70:HI HI 24.0614 -*END diff --git a/spef/mprj_logic_high.spef b/spef/mprj_logic_high.spef deleted file mode 100644 index b09553c0..00000000 --- a/spef/mprj_logic_high.spef +++ /dev/null @@ -1,8669 +0,0 @@ -*SPEF "ieee 1481-1999" -*DESIGN "mprj_logic_high" -*DATE "11:11:11 Fri 11 11, 1111" -*VENDOR "OpenRCX" -*PROGRAM "Parallel Extraction" -*VERSION "1.0" -*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" -*DIVIDER / -*DELIMITER : -*BUS_DELIMITER [] -*T_UNIT 1 NS -*C_UNIT 1 PF -*R_UNIT 1 OHM -*L_UNIT 1 HENRY - -*NAME_MAP -*1 HI[0] -*2 HI[100] -*3 HI[101] -*4 HI[102] -*5 HI[103] -*6 HI[104] -*7 HI[105] -*8 HI[106] -*9 HI[107] -*10 HI[108] -*11 HI[109] -*12 HI[10] -*13 HI[110] -*14 HI[111] -*15 HI[112] -*16 HI[113] -*17 HI[114] -*18 HI[115] -*19 HI[116] -*20 HI[117] -*21 HI[118] -*22 HI[119] -*23 HI[11] -*24 HI[120] -*25 HI[121] -*26 HI[122] -*27 HI[123] -*28 HI[124] -*29 HI[125] -*30 HI[126] -*31 HI[127] -*32 HI[128] -*33 HI[129] -*34 HI[12] -*35 HI[130] -*36 HI[131] -*37 HI[132] -*38 HI[133] -*39 HI[134] -*40 HI[135] -*41 HI[136] -*42 HI[137] -*43 HI[138] -*44 HI[139] -*45 HI[13] -*46 HI[140] -*47 HI[141] -*48 HI[142] -*49 HI[143] -*50 HI[144] -*51 HI[145] -*52 HI[146] -*53 HI[147] -*54 HI[148] -*55 HI[149] -*56 HI[14] -*57 HI[150] -*58 HI[151] -*59 HI[152] -*60 HI[153] -*61 HI[154] -*62 HI[155] -*63 HI[156] -*64 HI[157] -*65 HI[158] -*66 HI[159] -*67 HI[15] -*68 HI[160] -*69 HI[161] -*70 HI[162] -*71 HI[163] -*72 HI[164] -*73 HI[165] -*74 HI[166] -*75 HI[167] -*76 HI[168] -*77 HI[169] -*78 HI[16] -*79 HI[170] -*80 HI[171] -*81 HI[172] -*82 HI[173] -*83 HI[174] -*84 HI[175] -*85 HI[176] -*86 HI[177] -*87 HI[178] -*88 HI[179] -*89 HI[17] -*90 HI[180] -*91 HI[181] -*92 HI[182] -*93 HI[183] -*94 HI[184] -*95 HI[185] -*96 HI[186] -*97 HI[187] -*98 HI[188] -*99 HI[189] -*100 HI[18] -*101 HI[190] -*102 HI[191] -*103 HI[192] -*104 HI[193] -*105 HI[194] -*106 HI[195] -*107 HI[196] -*108 HI[197] -*109 HI[198] -*110 HI[199] -*111 HI[19] -*112 HI[1] -*113 HI[200] -*114 HI[201] -*115 HI[202] -*116 HI[203] -*117 HI[204] -*118 HI[205] -*119 HI[206] -*120 HI[207] -*121 HI[208] -*122 HI[209] -*123 HI[20] -*124 HI[210] -*125 HI[211] -*126 HI[212] -*127 HI[213] -*128 HI[214] -*129 HI[215] -*130 HI[216] -*131 HI[217] -*132 HI[218] -*133 HI[219] -*134 HI[21] -*135 HI[220] -*136 HI[221] -*137 HI[222] -*138 HI[223] -*139 HI[224] -*140 HI[225] -*141 HI[226] -*142 HI[227] -*143 HI[228] -*144 HI[229] -*145 HI[22] -*146 HI[230] -*147 HI[231] -*148 HI[232] -*149 HI[233] -*150 HI[234] -*151 HI[235] -*152 HI[236] -*153 HI[237] -*154 HI[238] -*155 HI[239] -*156 HI[23] -*157 HI[240] -*158 HI[241] -*159 HI[242] -*160 HI[243] -*161 HI[244] -*162 HI[245] -*163 HI[246] -*164 HI[247] -*165 HI[248] -*166 HI[249] -*167 HI[24] -*168 HI[250] -*169 HI[251] -*170 HI[252] -*171 HI[253] -*172 HI[254] -*173 HI[255] -*174 HI[256] -*175 HI[257] -*176 HI[258] -*177 HI[259] -*178 HI[25] -*179 HI[260] -*180 HI[261] -*181 HI[262] -*182 HI[263] -*183 HI[264] -*184 HI[265] -*185 HI[266] -*186 HI[267] -*187 HI[268] -*188 HI[269] -*189 HI[26] -*190 HI[270] -*191 HI[271] -*192 HI[272] -*193 HI[273] -*194 HI[274] -*195 HI[275] -*196 HI[276] -*197 HI[277] -*198 HI[278] -*199 HI[279] -*200 HI[27] -*201 HI[280] -*202 HI[281] -*203 HI[282] -*204 HI[283] -*205 HI[284] -*206 HI[285] -*207 HI[286] -*208 HI[287] -*209 HI[288] -*210 HI[289] -*211 HI[28] -*212 HI[290] -*213 HI[291] -*214 HI[292] -*215 HI[293] -*216 HI[294] -*217 HI[295] -*218 HI[296] -*219 HI[297] -*220 HI[298] -*221 HI[299] -*222 HI[29] -*223 HI[2] -*224 HI[300] -*225 HI[301] -*226 HI[302] -*227 HI[303] -*228 HI[304] -*229 HI[305] -*230 HI[306] -*231 HI[307] -*232 HI[308] -*233 HI[309] -*234 HI[30] -*235 HI[310] -*236 HI[311] -*237 HI[312] -*238 HI[313] -*239 HI[314] -*240 HI[315] -*241 HI[316] -*242 HI[317] -*243 HI[318] -*244 HI[319] -*245 HI[31] -*246 HI[320] -*247 HI[321] -*248 HI[322] -*249 HI[323] -*250 HI[324] -*251 HI[325] -*252 HI[326] -*253 HI[327] -*254 HI[328] -*255 HI[329] -*256 HI[32] -*257 HI[330] -*258 HI[331] -*259 HI[332] -*260 HI[333] -*261 HI[334] -*262 HI[335] -*263 HI[336] -*264 HI[337] -*265 HI[338] -*266 HI[339] -*267 HI[33] -*268 HI[340] -*269 HI[341] -*270 HI[342] -*271 HI[343] -*272 HI[344] -*273 HI[345] -*274 HI[346] -*275 HI[347] -*276 HI[348] -*277 HI[349] -*278 HI[34] -*279 HI[350] -*280 HI[351] -*281 HI[352] -*282 HI[353] -*283 HI[354] -*284 HI[355] -*285 HI[356] -*286 HI[357] -*287 HI[358] -*288 HI[359] -*289 HI[35] -*290 HI[360] -*291 HI[361] -*292 HI[362] -*293 HI[363] -*294 HI[364] -*295 HI[365] -*296 HI[366] -*297 HI[367] -*298 HI[368] -*299 HI[369] -*300 HI[36] -*301 HI[370] -*302 HI[371] -*303 HI[372] -*304 HI[373] -*305 HI[374] -*306 HI[375] -*307 HI[376] -*308 HI[377] -*309 HI[378] -*310 HI[379] -*311 HI[37] -*312 HI[380] -*313 HI[381] -*314 HI[382] -*315 HI[383] -*316 HI[384] -*317 HI[385] -*318 HI[386] -*319 HI[387] -*320 HI[388] -*321 HI[389] -*322 HI[38] -*323 HI[390] -*324 HI[391] -*325 HI[392] -*326 HI[393] -*327 HI[394] -*328 HI[395] -*329 HI[396] -*330 HI[397] -*331 HI[398] -*332 HI[399] -*333 HI[39] -*334 HI[3] -*335 HI[400] -*336 HI[401] -*337 HI[402] -*338 HI[403] -*339 HI[404] -*340 HI[405] -*341 HI[406] -*342 HI[407] -*343 HI[408] -*344 HI[409] -*345 HI[40] -*346 HI[410] -*347 HI[411] -*348 HI[412] -*349 HI[413] -*350 HI[414] -*351 HI[415] -*352 HI[416] -*353 HI[417] -*354 HI[418] -*355 HI[419] -*356 HI[41] -*357 HI[420] -*358 HI[421] -*359 HI[422] -*360 HI[423] -*361 HI[424] -*362 HI[425] -*363 HI[426] -*364 HI[427] -*365 HI[428] -*366 HI[429] -*367 HI[42] -*368 HI[430] -*369 HI[431] -*370 HI[432] -*371 HI[433] -*372 HI[434] -*373 HI[435] -*374 HI[436] -*375 HI[437] -*376 HI[438] -*377 HI[439] -*378 HI[43] -*379 HI[440] -*380 HI[441] -*381 HI[442] -*382 HI[443] -*383 HI[444] -*384 HI[445] -*385 HI[446] -*386 HI[447] -*387 HI[448] -*388 HI[449] -*389 HI[44] -*390 HI[450] -*391 HI[451] -*392 HI[452] -*393 HI[453] -*394 HI[454] -*395 HI[455] -*396 HI[456] -*397 HI[457] -*398 HI[458] -*399 HI[459] -*400 HI[45] -*401 HI[460] -*402 HI[461] -*403 HI[462] -*404 HI[46] -*405 HI[47] -*406 HI[48] -*407 HI[49] -*408 HI[4] -*409 HI[50] -*410 HI[51] -*411 HI[52] -*412 HI[53] -*413 HI[54] -*414 HI[55] -*415 HI[56] -*416 HI[57] -*417 HI[58] -*418 HI[59] -*419 HI[5] -*420 HI[60] -*421 HI[61] -*422 HI[62] -*423 HI[63] -*424 HI[64] -*425 HI[65] -*426 HI[66] -*427 HI[67] -*428 HI[68] -*429 HI[69] -*430 HI[6] -*431 HI[70] -*432 HI[71] -*433 HI[72] -*434 HI[73] -*435 HI[74] -*436 HI[75] -*437 HI[76] -*438 HI[77] -*439 HI[78] -*440 HI[79] -*441 HI[7] -*442 HI[80] -*443 HI[81] -*444 HI[82] -*445 HI[83] -*446 HI[84] -*447 HI[85] -*448 HI[86] -*449 HI[87] -*450 HI[88] -*451 HI[89] -*452 HI[8] -*453 HI[90] -*454 HI[91] -*455 HI[92] -*456 HI[93] -*457 HI[94] -*458 HI[95] -*459 HI[96] -*460 HI[97] -*461 HI[98] -*462 HI[99] -*463 HI[9] -*464 FILLER_0_111 -*465 FILLER_0_119 -*466 FILLER_0_139 -*467 FILLER_0_141 -*468 FILLER_0_166 -*469 FILLER_0_172 -*470 FILLER_0_194 -*471 FILLER_0_228 -*472 FILLER_0_245 -*473 FILLER_0_259 -*474 FILLER_0_279 -*475 FILLER_0_284 -*476 FILLER_0_29 -*477 FILLER_0_3 -*478 FILLER_0_306 -*479 FILLER_0_309 -*480 FILLER_0_334 -*481 FILLER_0_421 -*482 FILLER_0_446 -*483 FILLER_0_458 -*484 FILLER_0_474 -*485 FILLER_0_483 -*486 FILLER_0_502 -*487 FILLER_0_55 -*488 FILLER_0_57 -*489 FILLER_0_601 -*490 FILLER_0_613 -*491 FILLER_0_617 -*492 FILLER_0_629 -*493 FILLER_0_641 -*494 FILLER_0_645 -*495 FILLER_0_657 -*496 FILLER_0_669 -*497 FILLER_0_67 -*498 FILLER_0_673 -*499 FILLER_0_685 -*500 FILLER_0_697 -*501 FILLER_0_701 -*502 FILLER_0_713 -*503 FILLER_0_725 -*504 FILLER_0_729 -*505 FILLER_0_77 -*506 FILLER_0_94 -*507 FILLER_1_108 -*508 FILLER_1_113 -*509 FILLER_1_117 -*510 FILLER_1_121 -*511 FILLER_1_133 -*512 FILLER_1_142 -*513 FILLER_1_154 -*514 FILLER_1_166 -*515 FILLER_1_172 -*516 FILLER_1_184 -*517 FILLER_1_196 -*518 FILLER_1_204 -*519 FILLER_1_209 -*520 FILLER_1_221 -*521 FILLER_1_225 -*522 FILLER_1_230 -*523 FILLER_1_242 -*524 FILLER_1_254 -*525 FILLER_1_261 -*526 FILLER_1_273 -*527 FILLER_1_279 -*528 FILLER_1_281 -*529 FILLER_1_293 -*530 FILLER_1_3 -*531 FILLER_1_301 -*532 FILLER_1_307 -*533 FILLER_1_319 -*534 FILLER_1_327 -*535 FILLER_1_331 -*536 FILLER_1_335 -*537 FILLER_1_337 -*538 FILLER_1_343 -*539 FILLER_1_355 -*540 FILLER_1_367 -*541 FILLER_1_379 -*542 FILLER_1_391 -*543 FILLER_1_393 -*544 FILLER_1_405 -*545 FILLER_1_417 -*546 FILLER_1_429 -*547 FILLER_1_441 -*548 FILLER_1_447 -*549 FILLER_1_449 -*550 FILLER_1_461 -*551 FILLER_1_467 -*552 FILLER_1_471 -*553 FILLER_1_479 -*554 FILLER_1_484 -*555 FILLER_1_490 -*556 FILLER_1_502 -*557 FILLER_1_505 -*558 FILLER_1_517 -*559 FILLER_1_529 -*560 FILLER_1_541 -*561 FILLER_1_55 -*562 FILLER_1_553 -*563 FILLER_1_557 -*564 FILLER_1_561 -*565 FILLER_1_573 -*566 FILLER_1_585 -*567 FILLER_1_597 -*568 FILLER_1_609 -*569 FILLER_1_615 -*570 FILLER_1_617 -*571 FILLER_1_629 -*572 FILLER_1_63 -*573 FILLER_1_641 -*574 FILLER_1_653 -*575 FILLER_1_665 -*576 FILLER_1_671 -*577 FILLER_1_673 -*578 FILLER_1_68 -*579 FILLER_1_685 -*580 FILLER_1_697 -*581 FILLER_1_709 -*582 FILLER_1_721 -*583 FILLER_1_727 -*584 FILLER_1_729 -*585 FILLER_1_80 -*586 FILLER_1_92 -*587 FILLER_1_96 -*588 FILLER_2_109 -*589 FILLER_2_11 -*590 FILLER_2_118 -*591 FILLER_2_130 -*592 FILLER_2_138 -*593 FILLER_2_141 -*594 FILLER_2_153 -*595 FILLER_2_165 -*596 FILLER_2_177 -*597 FILLER_2_189 -*598 FILLER_2_195 -*599 FILLER_2_197 -*600 FILLER_2_209 -*601 FILLER_2_221 -*602 FILLER_2_233 -*603 FILLER_2_245 -*604 FILLER_2_251 -*605 FILLER_2_253 -*606 FILLER_2_265 -*607 FILLER_2_277 -*608 FILLER_2_289 -*609 FILLER_2_29 -*610 FILLER_2_297 -*611 FILLER_2_3 -*612 FILLER_2_302 -*613 FILLER_2_309 -*614 FILLER_2_321 -*615 FILLER_2_333 -*616 FILLER_2_345 -*617 FILLER_2_357 -*618 FILLER_2_363 -*619 FILLER_2_365 -*620 FILLER_2_377 -*621 FILLER_2_386 -*622 FILLER_2_398 -*623 FILLER_2_410 -*624 FILLER_2_418 -*625 FILLER_2_421 -*626 FILLER_2_433 -*627 FILLER_2_442 -*628 FILLER_2_454 -*629 FILLER_2_466 -*630 FILLER_2_470 -*631 FILLER_2_477 -*632 FILLER_2_502 -*633 FILLER_2_514 -*634 FILLER_2_52 -*635 FILLER_2_526 -*636 FILLER_2_533 -*637 FILLER_2_545 -*638 FILLER_2_557 -*639 FILLER_2_569 -*640 FILLER_2_581 -*641 FILLER_2_587 -*642 FILLER_2_589 -*643 FILLER_2_60 -*644 FILLER_2_601 -*645 FILLER_2_613 -*646 FILLER_2_625 -*647 FILLER_2_637 -*648 FILLER_2_643 -*649 FILLER_2_645 -*650 FILLER_2_657 -*651 FILLER_2_669 -*652 FILLER_2_681 -*653 FILLER_2_689 -*654 FILLER_2_695 -*655 FILLER_2_710 -*656 FILLER_2_72 -*657 FILLER_2_722 -*658 FILLER_2_730 -*659 FILLER_2_85 -*660 FILLER_2_97 -*661 FILLER_3_116 -*662 FILLER_3_139 -*663 FILLER_3_141 -*664 FILLER_3_166 -*665 FILLER_3_29 -*666 FILLER_3_3 -*667 FILLER_3_40 -*668 FILLER_3_486 -*669 FILLER_3_498 -*670 FILLER_3_50 -*671 FILLER_3_511 -*672 FILLER_3_530 -*673 FILLER_3_54 -*674 FILLER_3_722 -*675 FILLER_3_729 -*676 FILLER_3_9 -*677 PHY_0 -*678 PHY_1 -*679 PHY_2 -*680 PHY_3 -*681 PHY_4 -*682 PHY_5 -*683 PHY_6 -*684 PHY_7 -*685 TAP_10 -*686 TAP_11 -*687 TAP_12 -*688 TAP_13 -*689 TAP_14 -*690 TAP_15 -*691 TAP_16 -*692 TAP_17 -*693 TAP_18 -*694 TAP_19 -*695 TAP_20 -*696 TAP_21 -*697 TAP_22 -*698 TAP_23 -*699 TAP_24 -*700 TAP_25 -*701 TAP_26 -*702 TAP_27 -*703 TAP_28 -*704 TAP_29 -*705 TAP_30 -*706 TAP_31 -*707 TAP_32 -*708 TAP_33 -*709 TAP_34 -*710 TAP_35 -*711 TAP_36 -*712 TAP_37 -*713 TAP_38 -*714 TAP_39 -*715 TAP_40 -*716 TAP_41 -*717 TAP_42 -*718 TAP_43 -*719 TAP_44 -*720 TAP_45 -*721 TAP_46 -*722 TAP_47 -*723 TAP_48 -*724 TAP_49 -*725 TAP_50 -*726 TAP_51 -*727 TAP_52 -*728 TAP_53 -*729 TAP_54 -*730 TAP_55 -*731 TAP_56 -*732 TAP_57 -*733 TAP_58 -*734 TAP_59 -*735 TAP_60 -*736 TAP_61 -*737 TAP_62 -*738 TAP_63 -*739 TAP_64 -*740 TAP_65 -*741 TAP_66 -*742 TAP_67 -*743 TAP_68 -*744 TAP_69 -*745 TAP_70 -*746 TAP_71 -*747 TAP_72 -*748 TAP_73 -*749 TAP_74 -*750 TAP_75 -*751 TAP_76 -*752 TAP_77 -*753 TAP_78 -*754 TAP_79 -*755 TAP_8 -*756 TAP_80 -*757 TAP_81 -*758 TAP_82 -*759 TAP_83 -*760 TAP_84 -*761 TAP_85 -*762 TAP_9 -*763 insts\[0\] -*764 insts\[100\] -*765 insts\[101\] -*766 insts\[102\] -*767 insts\[103\] -*768 insts\[104\] -*769 insts\[105\] -*770 insts\[106\] -*771 insts\[107\] -*772 insts\[108\] -*773 insts\[109\] -*774 insts\[10\] -*775 insts\[110\] -*776 insts\[111\] -*777 insts\[112\] -*778 insts\[113\] -*779 insts\[114\] -*780 insts\[115\] -*781 insts\[116\] -*782 insts\[117\] -*783 insts\[118\] -*784 insts\[119\] -*785 insts\[11\] -*786 insts\[120\] -*787 insts\[121\] -*788 insts\[122\] -*789 insts\[123\] -*790 insts\[124\] -*791 insts\[125\] -*792 insts\[126\] -*793 insts\[127\] -*794 insts\[128\] -*795 insts\[129\] -*796 insts\[12\] -*797 insts\[130\] -*798 insts\[131\] -*799 insts\[132\] -*800 insts\[133\] -*801 insts\[134\] -*802 insts\[135\] -*803 insts\[136\] -*804 insts\[137\] -*805 insts\[138\] -*806 insts\[139\] -*807 insts\[13\] -*808 insts\[140\] -*809 insts\[141\] -*810 insts\[142\] -*811 insts\[143\] -*812 insts\[144\] -*813 insts\[145\] -*814 insts\[146\] -*815 insts\[147\] -*816 insts\[148\] -*817 insts\[149\] -*818 insts\[14\] -*819 insts\[150\] -*820 insts\[151\] -*821 insts\[152\] -*822 insts\[153\] -*823 insts\[154\] -*824 insts\[155\] -*825 insts\[156\] -*826 insts\[157\] -*827 insts\[158\] -*828 insts\[159\] -*829 insts\[15\] -*830 insts\[160\] -*831 insts\[161\] -*832 insts\[162\] -*833 insts\[163\] -*834 insts\[164\] -*835 insts\[165\] -*836 insts\[166\] -*837 insts\[167\] -*838 insts\[168\] -*839 insts\[169\] -*840 insts\[16\] -*841 insts\[170\] -*842 insts\[171\] -*843 insts\[172\] -*844 insts\[173\] -*845 insts\[174\] -*846 insts\[175\] -*847 insts\[176\] -*848 insts\[177\] -*849 insts\[178\] -*850 insts\[179\] -*851 insts\[17\] -*852 insts\[180\] -*853 insts\[181\] -*854 insts\[182\] -*855 insts\[183\] -*856 insts\[184\] -*857 insts\[185\] -*858 insts\[186\] -*859 insts\[187\] -*860 insts\[188\] -*861 insts\[189\] -*862 insts\[18\] -*863 insts\[190\] -*864 insts\[191\] -*865 insts\[192\] -*866 insts\[193\] -*867 insts\[194\] -*868 insts\[195\] -*869 insts\[196\] -*870 insts\[197\] -*871 insts\[198\] -*872 insts\[199\] -*873 insts\[19\] -*874 insts\[1\] -*875 insts\[200\] -*876 insts\[201\] -*877 insts\[202\] -*878 insts\[203\] -*879 insts\[204\] -*880 insts\[205\] -*881 insts\[206\] -*882 insts\[207\] -*883 insts\[208\] -*884 insts\[209\] -*885 insts\[20\] -*886 insts\[210\] -*887 insts\[211\] -*888 insts\[212\] -*889 insts\[213\] -*890 insts\[214\] -*891 insts\[215\] -*892 insts\[216\] -*893 insts\[217\] -*894 insts\[218\] -*895 insts\[219\] -*896 insts\[21\] -*897 insts\[220\] -*898 insts\[221\] -*899 insts\[222\] -*900 insts\[223\] -*901 insts\[224\] -*902 insts\[225\] -*903 insts\[226\] -*904 insts\[227\] -*905 insts\[228\] -*906 insts\[229\] -*907 insts\[22\] -*908 insts\[230\] -*909 insts\[231\] -*910 insts\[232\] -*911 insts\[233\] -*912 insts\[234\] -*913 insts\[235\] -*914 insts\[236\] -*915 insts\[237\] -*916 insts\[238\] -*917 insts\[239\] -*918 insts\[23\] -*919 insts\[240\] -*920 insts\[241\] -*921 insts\[242\] -*922 insts\[243\] -*923 insts\[244\] -*924 insts\[245\] -*925 insts\[246\] -*926 insts\[247\] -*927 insts\[248\] -*928 insts\[249\] -*929 insts\[24\] -*930 insts\[250\] -*931 insts\[251\] -*932 insts\[252\] -*933 insts\[253\] -*934 insts\[254\] -*935 insts\[255\] -*936 insts\[256\] -*937 insts\[257\] -*938 insts\[258\] -*939 insts\[259\] -*940 insts\[25\] -*941 insts\[260\] -*942 insts\[261\] -*943 insts\[262\] -*944 insts\[263\] -*945 insts\[264\] -*946 insts\[265\] -*947 insts\[266\] -*948 insts\[267\] -*949 insts\[268\] -*950 insts\[269\] -*951 insts\[26\] -*952 insts\[270\] -*953 insts\[271\] -*954 insts\[272\] -*955 insts\[273\] -*956 insts\[274\] -*957 insts\[275\] -*958 insts\[276\] -*959 insts\[277\] -*960 insts\[278\] -*961 insts\[279\] -*962 insts\[27\] -*963 insts\[280\] -*964 insts\[281\] -*965 insts\[282\] -*966 insts\[283\] -*967 insts\[284\] -*968 insts\[285\] -*969 insts\[286\] -*970 insts\[287\] -*971 insts\[288\] -*972 insts\[289\] -*973 insts\[28\] -*974 insts\[290\] -*975 insts\[291\] -*976 insts\[292\] -*977 insts\[293\] -*978 insts\[294\] -*979 insts\[295\] -*980 insts\[296\] -*981 insts\[297\] -*982 insts\[298\] -*983 insts\[299\] -*984 insts\[29\] -*985 insts\[2\] -*986 insts\[300\] -*987 insts\[301\] -*988 insts\[302\] -*989 insts\[303\] -*990 insts\[304\] -*991 insts\[305\] -*992 insts\[306\] -*993 insts\[307\] -*994 insts\[308\] -*995 insts\[309\] -*996 insts\[30\] -*997 insts\[310\] -*998 insts\[311\] -*999 insts\[312\] -*1000 insts\[313\] -*1001 insts\[314\] -*1002 insts\[315\] -*1003 insts\[316\] -*1004 insts\[317\] -*1005 insts\[318\] -*1006 insts\[319\] -*1007 insts\[31\] -*1008 insts\[320\] -*1009 insts\[321\] -*1010 insts\[322\] -*1011 insts\[323\] -*1012 insts\[324\] -*1013 insts\[325\] -*1014 insts\[326\] -*1015 insts\[327\] -*1016 insts\[328\] -*1017 insts\[329\] -*1018 insts\[32\] -*1019 insts\[330\] -*1020 insts\[331\] -*1021 insts\[332\] -*1022 insts\[333\] -*1023 insts\[334\] -*1024 insts\[335\] -*1025 insts\[336\] -*1026 insts\[337\] -*1027 insts\[338\] -*1028 insts\[339\] -*1029 insts\[33\] -*1030 insts\[340\] -*1031 insts\[341\] -*1032 insts\[342\] -*1033 insts\[343\] -*1034 insts\[344\] -*1035 insts\[345\] -*1036 insts\[346\] -*1037 insts\[347\] -*1038 insts\[348\] -*1039 insts\[349\] -*1040 insts\[34\] -*1041 insts\[350\] -*1042 insts\[351\] -*1043 insts\[352\] -*1044 insts\[353\] -*1045 insts\[354\] -*1046 insts\[355\] -*1047 insts\[356\] -*1048 insts\[357\] -*1049 insts\[358\] -*1050 insts\[359\] -*1051 insts\[35\] -*1052 insts\[360\] -*1053 insts\[361\] -*1054 insts\[362\] -*1055 insts\[363\] -*1056 insts\[364\] -*1057 insts\[365\] -*1058 insts\[366\] -*1059 insts\[367\] -*1060 insts\[368\] -*1061 insts\[369\] -*1062 insts\[36\] -*1063 insts\[370\] -*1064 insts\[371\] -*1065 insts\[372\] -*1066 insts\[373\] -*1067 insts\[374\] -*1068 insts\[375\] -*1069 insts\[376\] -*1070 insts\[377\] -*1071 insts\[378\] -*1072 insts\[379\] -*1073 insts\[37\] -*1074 insts\[380\] -*1075 insts\[381\] -*1076 insts\[382\] -*1077 insts\[383\] -*1078 insts\[384\] -*1079 insts\[385\] -*1080 insts\[386\] -*1081 insts\[387\] -*1082 insts\[388\] -*1083 insts\[389\] -*1084 insts\[38\] -*1085 insts\[390\] -*1086 insts\[391\] -*1087 insts\[392\] -*1088 insts\[393\] -*1089 insts\[394\] -*1090 insts\[395\] -*1091 insts\[396\] -*1092 insts\[397\] -*1093 insts\[398\] -*1094 insts\[399\] -*1095 insts\[39\] -*1096 insts\[3\] -*1097 insts\[400\] -*1098 insts\[401\] -*1099 insts\[402\] -*1100 insts\[403\] -*1101 insts\[404\] -*1102 insts\[405\] -*1103 insts\[406\] -*1104 insts\[407\] -*1105 insts\[408\] -*1106 insts\[409\] -*1107 insts\[40\] -*1108 insts\[410\] -*1109 insts\[411\] -*1110 insts\[412\] -*1111 insts\[413\] -*1112 insts\[414\] -*1113 insts\[415\] -*1114 insts\[416\] -*1115 insts\[417\] -*1116 insts\[418\] -*1117 insts\[419\] -*1118 insts\[41\] -*1119 insts\[420\] -*1120 insts\[421\] -*1121 insts\[422\] -*1122 insts\[423\] -*1123 insts\[424\] -*1124 insts\[425\] -*1125 insts\[426\] -*1126 insts\[427\] -*1127 insts\[428\] -*1128 insts\[429\] -*1129 insts\[42\] -*1130 insts\[430\] -*1131 insts\[431\] -*1132 insts\[432\] -*1133 insts\[433\] -*1134 insts\[434\] -*1135 insts\[435\] -*1136 insts\[436\] -*1137 insts\[437\] -*1138 insts\[438\] -*1139 insts\[439\] -*1140 insts\[43\] -*1141 insts\[440\] -*1142 insts\[441\] -*1143 insts\[442\] -*1144 insts\[443\] -*1145 insts\[444\] -*1146 insts\[445\] -*1147 insts\[446\] -*1148 insts\[447\] -*1149 insts\[448\] -*1150 insts\[449\] -*1151 insts\[44\] -*1152 insts\[450\] -*1153 insts\[451\] -*1154 insts\[452\] -*1155 insts\[453\] -*1156 insts\[454\] -*1157 insts\[455\] -*1158 insts\[456\] -*1159 insts\[457\] -*1160 insts\[458\] -*1161 insts\[459\] -*1162 insts\[45\] -*1163 insts\[460\] -*1164 insts\[461\] -*1165 insts\[462\] -*1166 insts\[46\] -*1167 insts\[47\] -*1168 insts\[48\] -*1169 insts\[49\] -*1170 insts\[4\] -*1171 insts\[50\] -*1172 insts\[51\] -*1173 insts\[52\] -*1174 insts\[53\] -*1175 insts\[54\] -*1176 insts\[55\] -*1177 insts\[56\] -*1178 insts\[57\] -*1179 insts\[58\] -*1180 insts\[59\] -*1181 insts\[5\] -*1182 insts\[60\] -*1183 insts\[61\] -*1184 insts\[62\] -*1185 insts\[63\] -*1186 insts\[64\] -*1187 insts\[65\] -*1188 insts\[66\] -*1189 insts\[67\] -*1190 insts\[68\] -*1191 insts\[69\] -*1192 insts\[6\] -*1193 insts\[70\] -*1194 insts\[71\] -*1195 insts\[72\] -*1196 insts\[73\] -*1197 insts\[74\] -*1198 insts\[75\] -*1199 insts\[76\] -*1200 insts\[77\] -*1201 insts\[78\] -*1202 insts\[79\] -*1203 insts\[7\] -*1204 insts\[80\] -*1205 insts\[81\] -*1206 insts\[82\] -*1207 insts\[83\] -*1208 insts\[84\] -*1209 insts\[85\] -*1210 insts\[86\] -*1211 insts\[87\] -*1212 insts\[88\] -*1213 insts\[89\] -*1214 insts\[8\] -*1215 insts\[90\] -*1216 insts\[91\] -*1217 insts\[92\] -*1218 insts\[93\] -*1219 insts\[94\] -*1220 insts\[95\] -*1221 insts\[96\] -*1222 insts\[97\] -*1223 insts\[98\] -*1224 insts\[99\] -*1225 insts\[9\] - -*PORTS -HI[0] O -HI[100] O -HI[101] O -HI[102] O -HI[103] O -HI[104] O -HI[105] O -HI[106] O -HI[107] O -HI[108] O -HI[109] O -HI[10] O -HI[110] O -HI[111] O -HI[112] O -HI[113] O -HI[114] O -HI[115] O -HI[116] O -HI[117] O -HI[118] O -HI[119] O -HI[11] O -HI[120] O -HI[121] O -HI[122] O -HI[123] O -HI[124] O -HI[125] O -HI[126] O -HI[127] O -HI[128] O -HI[129] O -HI[12] O -HI[130] O -HI[131] O -HI[132] O -HI[133] O -HI[134] O -HI[135] O -HI[136] O -HI[137] O -HI[138] O -HI[139] O -HI[13] O -HI[140] O -HI[141] O -HI[142] O -HI[143] O -HI[144] O -HI[145] O -HI[146] O -HI[147] O -HI[148] O -HI[149] O -HI[14] O -HI[150] O -HI[151] O -HI[152] O -HI[153] O -HI[154] O -HI[155] O -HI[156] O -HI[157] O -HI[158] O -HI[159] O -HI[15] O -HI[160] O -HI[161] O -HI[162] O -HI[163] O -HI[164] O -HI[165] O -HI[166] O -HI[167] O -HI[168] O -HI[169] O -HI[16] O -HI[170] O -HI[171] O -HI[172] O -HI[173] O -HI[174] O -HI[175] O -HI[176] O -HI[177] O -HI[178] O -HI[179] O -HI[17] O -HI[180] O -HI[181] O -HI[182] O -HI[183] O -HI[184] O -HI[185] O -HI[186] O -HI[187] O -HI[188] O -HI[189] O -HI[18] O -HI[190] O -HI[191] O -HI[192] O -HI[193] O -HI[194] O -HI[195] O -HI[196] O -HI[197] O -HI[198] O -HI[199] O -HI[19] O -HI[1] O -HI[200] O -HI[201] O -HI[202] O -HI[203] O -HI[204] O -HI[205] O -HI[206] O -HI[207] O -HI[208] O -HI[209] O -HI[20] O -HI[210] O -HI[211] O -HI[212] O -HI[213] O -HI[214] O -HI[215] O -HI[216] O -HI[217] O -HI[218] O -HI[219] O -HI[21] O -HI[220] O -HI[221] O -HI[222] O -HI[223] O -HI[224] O -HI[225] O -HI[226] O -HI[227] O -HI[228] O -HI[229] O -HI[22] O -HI[230] O -HI[231] O -HI[232] O -HI[233] O -HI[234] O -HI[235] O -HI[236] O -HI[237] O -HI[238] O -HI[239] O -HI[23] O -HI[240] O -HI[241] O -HI[242] O -HI[243] O -HI[244] O -HI[245] O -HI[246] O -HI[247] O -HI[248] O -HI[249] O -HI[24] O -HI[250] O -HI[251] O -HI[252] O -HI[253] O -HI[254] O -HI[255] O -HI[256] O -HI[257] O -HI[258] O -HI[259] O -HI[25] O -HI[260] O -HI[261] O -HI[262] O -HI[263] O -HI[264] O -HI[265] O -HI[266] O -HI[267] O -HI[268] O -HI[269] O -HI[26] O -HI[270] O -HI[271] O -HI[272] O -HI[273] O -HI[274] O -HI[275] O -HI[276] O -HI[277] O -HI[278] O -HI[279] O -HI[27] O -HI[280] O -HI[281] O -HI[282] O -HI[283] O -HI[284] O -HI[285] O -HI[286] O -HI[287] O -HI[288] O -HI[289] O -HI[28] O -HI[290] O -HI[291] O -HI[292] O -HI[293] O -HI[294] O -HI[295] O -HI[296] O -HI[297] O -HI[298] O -HI[299] O -HI[29] O -HI[2] O -HI[300] O -HI[301] O -HI[302] O -HI[303] O -HI[304] O -HI[305] O -HI[306] O -HI[307] O -HI[308] O -HI[309] O -HI[30] O -HI[310] O -HI[311] O -HI[312] O -HI[313] O -HI[314] O -HI[315] O -HI[316] O -HI[317] O -HI[318] O -HI[319] O -HI[31] O -HI[320] O -HI[321] O -HI[322] O -HI[323] O -HI[324] O -HI[325] O -HI[326] O -HI[327] O -HI[328] O -HI[329] O -HI[32] O -HI[330] O -HI[331] O -HI[332] O -HI[333] O -HI[334] O -HI[335] O -HI[336] O -HI[337] O -HI[338] O -HI[339] O -HI[33] O -HI[340] O -HI[341] O -HI[342] O -HI[343] O -HI[344] O -HI[345] O -HI[346] O -HI[347] O -HI[348] O -HI[349] O -HI[34] O -HI[350] O -HI[351] O -HI[352] O -HI[353] O -HI[354] O -HI[355] O -HI[356] O -HI[357] O -HI[358] O -HI[359] O -HI[35] O -HI[360] O -HI[361] O -HI[362] O -HI[363] O -HI[364] O -HI[365] O -HI[366] O -HI[367] O -HI[368] O -HI[369] O -HI[36] O -HI[370] O -HI[371] O -HI[372] O -HI[373] O -HI[374] O -HI[375] O -HI[376] O -HI[377] O -HI[378] O -HI[379] O -HI[37] O -HI[380] O -HI[381] O -HI[382] O -HI[383] O -HI[384] O -HI[385] O -HI[386] O -HI[387] O -HI[388] O -HI[389] O -HI[38] O -HI[390] O -HI[391] O -HI[392] O -HI[393] O -HI[394] O -HI[395] O -HI[396] O -HI[397] O -HI[398] O -HI[399] O -HI[39] O -HI[3] O -HI[400] O -HI[401] O -HI[402] O -HI[403] O -HI[404] O -HI[405] O -HI[406] O -HI[407] O -HI[408] O -HI[409] O -HI[40] O -HI[410] O -HI[411] O -HI[412] O -HI[413] O -HI[414] O -HI[415] O -HI[416] O -HI[417] O -HI[418] O -HI[419] O -HI[41] O -HI[420] O -HI[421] O -HI[422] O -HI[423] O -HI[424] O -HI[425] O -HI[426] O -HI[427] O -HI[428] O -HI[429] O -HI[42] O -HI[430] O -HI[431] O -HI[432] O -HI[433] O -HI[434] O -HI[435] O -HI[436] O -HI[437] O -HI[438] O -HI[439] O -HI[43] O -HI[440] O -HI[441] O -HI[442] O -HI[443] O -HI[444] O -HI[445] O -HI[446] O -HI[447] O -HI[448] O -HI[449] O -HI[44] O -HI[450] O -HI[451] O -HI[452] O -HI[453] O -HI[454] O -HI[455] O -HI[456] O -HI[457] O -HI[458] O -HI[459] O -HI[45] O -HI[460] O -HI[461] O -HI[462] O -HI[46] O -HI[47] O -HI[48] O -HI[49] O -HI[4] O -HI[50] O -HI[51] O -HI[52] O -HI[53] O -HI[54] O -HI[55] O -HI[56] O -HI[57] O -HI[58] O -HI[59] O -HI[5] O -HI[60] O -HI[61] O -HI[62] O -HI[63] O -HI[64] O -HI[65] O -HI[66] O -HI[67] O -HI[68] O -HI[69] O -HI[6] O -HI[70] O -HI[71] O -HI[72] O -HI[73] O -HI[74] O -HI[75] O -HI[76] O -HI[77] O -HI[78] O -HI[79] O -HI[7] O -HI[80] O -HI[81] O -HI[82] O -HI[83] O -HI[84] O -HI[85] O -HI[86] O -HI[87] O -HI[88] O -HI[89] O -HI[8] O -HI[90] O -HI[91] O -HI[92] O -HI[93] O -HI[94] O -HI[95] O -HI[96] O -HI[97] O -HI[98] O -HI[99] O -HI[9] O - -*D_NET *1 0.00407013 -*CONN -*P HI[0] O -*I *763:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[0] 0.000930449 -2 *763:HI 0.000930449 -3 HI[0] HI[100] 0.000721069 -4 HI[0] HI[102] 5.99867e-05 -5 HI[0] HI[10] 0.000637792 -6 HI[0] HI[151] 0.000211464 -7 HI[0] HI[155] 0.000364565 -8 HI[0] HI[156] 0.00015647 -9 HI[0] HI[157] 2.4968e-05 -10 HI[0] HI[158] 3.29217e-05 -*RES -1 *763:HI HI[0] 34.8545 -*END - -*D_NET *2 0.00455231 -*CONN -*P HI[100] O -*I *764:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[100] 0.000796149 -2 *764:HI 0.000796149 -3 HI[100] HI[101] 0.00103184 -4 HI[100] HI[102] 0.000270135 -5 HI[100] HI[103] 8.49713e-06 -6 HI[100] HI[104] 5.44715e-05 -7 HI[100] HI[10] 0.00050485 -8 HI[100] HI[14] 0 -9 HI[100] HI[151] 0.000359922 -10 HI[100] HI[152] 9.2346e-06 -11 HI[0] HI[100] 0.000721069 -*RES -1 *764:HI HI[100] 30.8827 -*END - -*D_NET *3 0.00490638 -*CONN -*P HI[101] O -*I *765:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[101] 0.00127677 -2 *765:HI 0.00127677 -3 HI[101] HI[102] 0.00121528 -4 HI[101] HI[146] 5.65354e-05 -5 HI[101] HI[151] 4.91795e-05 -6 HI[101] HI[155] 0 -7 HI[100] HI[101] 0.00103184 -*RES -1 *765:HI HI[101] 33.0674 -*END - -*D_NET *4 0.0047888 -*CONN -*P HI[102] O -*I *766:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[102] 0.000965734 -2 *766:HI 0.000965734 -3 HI[102] HI[103] 0.000799508 -4 HI[102] HI[14] 0.000101642 -5 HI[102] HI[150] 0.000164325 -6 HI[102] HI[151] 0.000246455 -7 HI[0] HI[102] 5.99867e-05 -8 HI[100] HI[102] 0.000270135 -9 HI[101] HI[102] 0.00121528 -*RES -1 *766:HI HI[102] 32.1768 -*END - -*D_NET *5 0.00408324 -*CONN -*P HI[103] O -*I *767:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[103] 0.00107335 -2 *767:HI 0.00107335 -3 HI[103] HI[104] 0.00101092 -4 HI[103] HI[106] 6.92705e-05 -5 HI[103] HI[10] 0 -6 HI[103] HI[14] 4.83428e-05 -7 HI[103] HI[150] 0 -8 HI[100] HI[103] 8.49713e-06 -9 HI[102] HI[103] 0.000799508 -*RES -1 *767:HI HI[103] 25.5717 -*END - -*D_NET *6 0.00369069 -*CONN -*P HI[104] O -*I *768:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[104] 0.00120675 -2 *768:HI 0.00120675 -3 HI[104] HI[105] 0.000199124 -4 HI[104] HI[14] 1.26746e-05 -5 HI[100] HI[104] 5.44715e-05 -6 HI[103] HI[104] 0.00101092 -*RES -1 *768:HI HI[104] 23.7672 -*END - -*D_NET *7 0.00363035 -*CONN -*P HI[105] O -*I *769:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[105] 0.00105522 -2 *769:HI 0.00105522 -3 HI[105] HI[106] 0.00124961 -4 HI[105] HI[107] 1.34854e-05 -5 HI[105] HI[108] 7.49735e-06 -6 HI[105] HI[156] 5.01835e-05 -7 HI[104] HI[105] 0.000199124 -*RES -1 *769:HI HI[105] 21.2037 -*END - -*D_NET *8 0.0044833 -*CONN -*P HI[106] O -*I *770:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[106] 0.000535732 -2 *770:HI 0.000535732 -3 HI[106] HI[107] 0.00147199 -4 HI[106] HI[155] 0.000481241 -5 HI[106] HI[284] 1.61631e-05 -6 HI[106] HI[28] 4.89898e-06 -7 HI[106] HI[327] 0.000118663 -8 HI[103] HI[106] 6.92705e-05 -9 HI[105] HI[106] 0.00124961 -*RES -1 *770:HI HI[106] 29.6236 -*END - -*D_NET *9 0.00380777 -*CONN -*P HI[107] O -*I *771:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[107] 0.000573093 -2 *771:HI 0.000573093 -3 HI[107] HI[108] 0.0010797 -4 HI[107] HI[156] 6.50727e-05 -5 HI[107] HI[280] 1.2693e-05 -6 HI[107] HI[28] 7.92757e-06 -7 HI[107] HI[291] 5.04829e-06 -8 HI[107] HI[327] 5.66868e-06 -9 HI[105] HI[107] 1.34854e-05 -10 HI[106] HI[107] 0.00147199 -*RES -1 *771:HI HI[107] 32.0551 -*END - -*D_NET *10 0.00421466 -*CONN -*P HI[108] O -*I *772:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[108] 0.00108845 -2 *772:HI 0.00108845 -3 HI[108] HI[109] 0.000196797 -4 HI[108] HI[156] 6.92705e-05 -5 HI[108] HI[280] 0.000130414 -6 HI[108] HI[28] 0.000525911 -7 HI[108] HI[291] 2.81717e-05 -8 HI[105] HI[108] 7.49735e-06 -9 HI[107] HI[108] 0.0010797 -*RES -1 *772:HI HI[108] 34.65 -*END - -*D_NET *11 0.00443572 -*CONN -*P HI[109] O -*I *773:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[109] 0.00108406 -2 *773:HI 0.00108406 -3 HI[109] HI[110] 0.00194557 -4 HI[109] HI[111] 7.50471e-05 -5 HI[109] HI[327] 5.01835e-05 -6 HI[108] HI[109] 0.000196797 -*RES -1 *773:HI HI[109] 24.222 -*END - -*D_NET *12 0.00344742 -*CONN -*P HI[10] O -*I *774:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[10] 0.000997403 -2 *774:HI 0.000997403 -3 HI[10] HI[12] 2.41916e-05 -4 HI[10] HI[151] 1.72594e-05 -5 HI[10] HI[152] 0.000268518 -6 HI[0] HI[10] 0.000637792 -7 HI[100] HI[10] 0.00050485 -8 HI[103] HI[10] 0 -*RES -1 *774:HI HI[10] 26.6911 -*END - -*D_NET *13 0.00502511 -*CONN -*P HI[110] O -*I *775:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[110] 0.000777737 -2 *775:HI 0.000777737 -3 HI[110] HI[111] 0.000371852 -4 HI[110] HI[112] 0.0001126 -5 HI[110] HI[113] 0.000911818 -6 HI[110] HI[288] 3.3239e-06 -7 HI[110] HI[327] 0.000124472 -8 HI[109] HI[110] 0.00194557 -*RES -1 *775:HI HI[110] 27.875 -*END - -*D_NET *14 0.00394072 -*CONN -*P HI[111] O -*I *776:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[111] 0.000634957 -2 *776:HI 0.000634957 -3 HI[111] HI[112] 0.000983852 -4 HI[111] HI[113] 0.000843196 -5 HI[111] HI[214] 0.000110598 -6 HI[111] HI[28] 0.000221547 -7 HI[111] HI[327] 6.47133e-05 -8 HI[109] HI[111] 7.50471e-05 -9 HI[110] HI[111] 0.000371852 -*RES -1 *776:HI HI[111] 30.5066 -*END - -*D_NET *15 0.00396885 -*CONN -*P HI[112] O -*I *777:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[112] 0.00120657 -2 *777:HI 0.00120657 -3 HI[112] HI[214] 0.00025906 -4 HI[112] HI[28] 6.96396e-05 -5 HI[112] HI[2] 0.000130551 -6 HI[110] HI[112] 0.0001126 -7 HI[111] HI[112] 0.000983852 -*RES -1 *777:HI HI[112] 30.4881 -*END - -*D_NET *16 0.00313646 -*CONN -*P HI[113] O -*I *778:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[113] 0.00059781 -2 *778:HI 0.00059781 -3 HI[113] HI[17] 0.000121426 -4 HI[113] HI[288] 2.22159e-05 -5 HI[113] HI[327] 4.21839e-05 -6 HI[110] HI[113] 0.000911818 -7 HI[111] HI[113] 0.000843196 -*RES -1 *778:HI HI[113] 24.6074 -*END - -*D_NET *17 0.000648811 -*CONN -*P HI[114] O -*I *779:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[114] 0.000210794 -2 *779:HI 0.000210794 -3 HI[114] HI[116] 0.000139089 -4 HI[114] HI[13] 8.8134e-05 -*RES -1 *779:HI HI[114] 20.0274 -*END - -*D_NET *18 0.000652399 -*CONN -*P HI[115] O -*I *780:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[115] 0.000251055 -2 *780:HI 0.000251055 -3 HI[115] HI[119] 5.98545e-05 -4 HI[115] HI[121] 9.04351e-05 -*RES -1 *780:HI HI[115] 20.0274 -*END - -*D_NET *19 0.00105651 -*CONN -*P HI[116] O -*I *781:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[116] 0.000332025 -2 *781:HI 0.000332025 -3 HI[116] HI[117] 7.78831e-05 -4 HI[116] HI[13] 0.000175485 -5 HI[114] HI[116] 0.000139089 -*RES -1 *781:HI HI[116] 24.9499 -*END - -*D_NET *20 0.000555987 -*CONN -*P HI[117] O -*I *782:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[117] 0.000160396 -2 *782:HI 0.000160396 -3 HI[117] HI[119] 0.000157312 -4 HI[116] HI[117] 7.78831e-05 -*RES -1 *782:HI HI[117] 20.0512 -*END - -*D_NET *21 0.000505184 -*CONN -*P HI[118] O -*I *783:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[118] 0.000172108 -2 *783:HI 0.000172108 -3 HI[118] HI[126] 7.78831e-05 -4 HI[118] HI[134] 8.30857e-05 -*RES -1 *783:HI HI[118] 20.0512 -*END - -*D_NET *22 0.000624033 -*CONN -*P HI[119] O -*I *784:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[119] 0.000203433 -2 *784:HI 0.000203433 -3 HI[115] HI[119] 5.98545e-05 -4 HI[117] HI[119] 0.000157312 -*RES -1 *784:HI HI[119] 20.3838 -*END - -*D_NET *23 0.000634534 -*CONN -*P HI[11] O -*I *785:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[11] 0.000231657 -2 *785:HI 0.000231657 -3 HI[11] HI[121] 8.8134e-05 -4 HI[11] HI[123] 8.30857e-05 -*RES -1 *785:HI HI[11] 20.0274 -*END - -*D_NET *24 0.0006655 -*CONN -*P HI[120] O -*I *786:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[120] 0.000240515 -2 *786:HI 0.000240515 -3 HI[120] HI[125] 9.63365e-05 -4 HI[120] HI[127] 8.8134e-05 -5 HI[120] HI[128] 0 -*RES -1 *786:HI HI[120] 21.4125 -*END - -*D_NET *25 0.000603117 -*CONN -*P HI[121] O -*I *787:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[121] 0.000212274 -2 *787:HI 0.000212274 -3 HI[121] HI[123] 0 -4 HI[115] HI[121] 9.04351e-05 -5 HI[11] HI[121] 8.8134e-05 -*RES -1 *787:HI HI[121] 20.8579 -*END - -*D_NET *26 0.000560218 -*CONN -*P HI[122] O -*I *788:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[122] 0.000199995 -2 *788:HI 0.000199995 -3 HI[122] HI[143] 7.84852e-05 -4 HI[122] HI[145] 8.17422e-05 -*RES -1 *788:HI HI[122] 19.4728 -*END - -*D_NET *27 0.000572236 -*CONN -*P HI[123] O -*I *789:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[123] 0.000203032 -2 *789:HI 0.000203032 -3 HI[123] HI[124] 8.30857e-05 -4 HI[123] HI[125] 0 -5 HI[11] HI[123] 8.30857e-05 -6 HI[121] HI[123] 0 -*RES -1 *789:HI HI[123] 20.8817 -*END - -*D_NET *28 0.000625466 -*CONN -*P HI[124] O -*I *790:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[124] 0.000228019 -2 *790:HI 0.000228019 -3 HI[124] HI[125] 8.63427e-05 -4 HI[123] HI[124] 8.30857e-05 -*RES -1 *790:HI HI[124] 20.0274 -*END - -*D_NET *29 0.000875792 -*CONN -*P HI[125] O -*I *791:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[125] 0.000346557 -2 *791:HI 0.000346557 -3 HI[120] HI[125] 9.63365e-05 -4 HI[123] HI[125] 0 -5 HI[124] HI[125] 8.63427e-05 -*RES -1 *791:HI HI[125] 24.1799 -*END - -*D_NET *30 0.000633404 -*CONN -*P HI[126] O -*I *792:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[126] 0.00022994 -2 *792:HI 0.00022994 -3 HI[126] HI[131] 9.56413e-05 -4 HI[118] HI[126] 7.78831e-05 -*RES -1 *792:HI HI[126] 20.3766 -*END - -*D_NET *31 0.000637518 -*CONN -*P HI[127] O -*I *793:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[127] 0.000228674 -2 *793:HI 0.000228674 -3 HI[127] HI[128] 9.20351e-05 -4 HI[120] HI[127] 8.8134e-05 -*RES -1 *793:HI HI[127] 20.0274 -*END - -*D_NET *32 0.000581722 -*CONN -*P HI[128] O -*I *794:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[128] 0.0002033 -2 *794:HI 0.0002033 -3 HI[128] HI[129] 8.30857e-05 -4 HI[128] HI[130] 0 -5 HI[120] HI[128] 0 -6 HI[127] HI[128] 9.20351e-05 -*RES -1 *794:HI HI[128] 21.2237 -*END - -*D_NET *33 0.000636538 -*CONN -*P HI[129] O -*I *795:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[129] 0.000225473 -2 *795:HI 0.000225473 -3 HI[129] HI[130] 0.000102506 -4 HI[128] HI[129] 8.30857e-05 -*RES -1 *795:HI HI[129] 20.0274 -*END - -*D_NET *34 0.00534733 -*CONN -*P HI[12] O -*I *796:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[12] 0.000573256 -2 *796:HI 0.000573256 -3 HI[12] HI[144] 0.00169358 -4 HI[12] HI[149] 0.000110165 -5 HI[12] HI[152] 5.59375e-05 -6 HI[12] HI[162] 4.50659e-05 -7 HI[12] HI[209] 0.00208197 -8 HI[12] HI[31] 0.000189913 -9 HI[10] HI[12] 2.41916e-05 -*RES -1 *796:HI HI[12] 45.5605 -*END - -*D_NET *35 0.000633743 -*CONN -*P HI[130] O -*I *797:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[130] 0.000216617 -2 *797:HI 0.000216617 -3 HI[130] HI[131] 9.80037e-05 -4 HI[128] HI[130] 0 -5 HI[129] HI[130] 0.000102506 -*RES -1 *797:HI HI[130] 21.0214 -*END - -*D_NET *36 0.00064851 -*CONN -*P HI[131] O -*I *798:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[131] 0.000227432 -2 *798:HI 0.000227432 -3 HI[131] HI[134] 0 -4 HI[126] HI[131] 9.56413e-05 -5 HI[130] HI[131] 9.80037e-05 -*RES -1 *798:HI HI[131] 21.2731 -*END - -*D_NET *37 0.000552556 -*CONN -*P HI[132] O -*I *799:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[132] 0.000193192 -2 *799:HI 0.000193192 -3 HI[132] HI[138] 8.30857e-05 -4 HI[132] HI[140] 8.30857e-05 -*RES -1 *799:HI HI[132] 19.4728 -*END - -*D_NET *38 0.000496753 -*CONN -*P HI[133] O -*I *800:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[133] 0.000170525 -2 *800:HI 0.000170525 -3 HI[133] HI[148] 7.38575e-05 -4 HI[133] HI[150] 7.71145e-05 -5 HI[133] HI[209] 4.73037e-06 -*RES -1 *800:HI HI[133] 18.9182 -*END - -*D_NET *39 0.000606322 -*CONN -*P HI[134] O -*I *801:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[134] 0.000217551 -2 *801:HI 0.000217551 -3 HI[134] HI[135] 8.8134e-05 -4 HI[118] HI[134] 8.30857e-05 -5 HI[131] HI[134] 0 -*RES -1 *801:HI HI[134] 20.8579 -*END - -*D_NET *40 0.000634534 -*CONN -*P HI[135] O -*I *802:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[135] 0.000231657 -2 *802:HI 0.000231657 -3 HI[135] HI[136] 8.30857e-05 -4 HI[134] HI[135] 8.8134e-05 -*RES -1 *802:HI HI[135] 20.0274 -*END - -*D_NET *41 0.000552556 -*CONN -*P HI[136] O -*I *803:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[136] 0.000193192 -2 *803:HI 0.000193192 -3 HI[136] HI[137] 8.30857e-05 -4 HI[135] HI[136] 8.30857e-05 -*RES -1 *803:HI HI[136] 19.4728 -*END - -*D_NET *42 0.000552556 -*CONN -*P HI[137] O -*I *804:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[137] 0.000193192 -2 *804:HI 0.000193192 -3 HI[137] HI[138] 8.30857e-05 -4 HI[136] HI[137] 8.30857e-05 -*RES -1 *804:HI HI[137] 19.4728 -*END - -*D_NET *43 0.000552556 -*CONN -*P HI[138] O -*I *805:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[138] 0.000193192 -2 *805:HI 0.000193192 -3 HI[132] HI[138] 8.30857e-05 -4 HI[137] HI[138] 8.30857e-05 -*RES -1 *805:HI HI[138] 19.4728 -*END - -*D_NET *44 0.000622304 -*CONN -*P HI[139] O -*I *806:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[139] 0.000208912 -2 *806:HI 0.000208912 -3 HI[139] HI[145] 5.91473e-05 -4 HI[139] HI[147] 7.38575e-05 -5 HI[139] *181:10 7.14746e-05 -*RES -1 *806:HI HI[139] 19.4728 -*END - -*D_NET *45 0.000868285 -*CONN -*P HI[13] O -*I *807:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[13] 0.000220893 -2 *807:HI 0.000220893 -3 HI[13] HI[15] 0.00016288 -4 HI[13] HI[344] 0 -5 HI[114] HI[13] 8.8134e-05 -6 HI[116] HI[13] 0.000175485 -*RES -1 *807:HI HI[13] 22.5217 -*END - -*D_NET *46 0.000599345 -*CONN -*P HI[140] O -*I *808:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[140] 0.000177675 -2 *808:HI 0.000177675 -3 HI[140] HI[141] 0.00016091 -4 HI[132] HI[140] 8.30857e-05 -*RES -1 *808:HI HI[140] 19.4728 -*END - -*D_NET *47 0.000544241 -*CONN -*P HI[141] O -*I *809:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[141] 0.000161738 -2 *809:HI 0.000161738 -3 HI[141] HI[142] 5.98545e-05 -4 HI[140] HI[141] 0.00016091 -*RES -1 *809:HI HI[141] 19.6604 -*END - -*D_NET *48 0.000601757 -*CONN -*P HI[142] O -*I *810:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[142] 0.000194766 -2 *810:HI 0.000194766 -3 HI[142] HI[143] 5.48357e-05 -4 HI[142] *245:8 9.75356e-05 -5 HI[141] HI[142] 5.98545e-05 -*RES -1 *810:HI HI[142] 19.4728 -*END - -*D_NET *49 0.00058063 -*CONN -*P HI[143] O -*I *811:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[143] 0.000204041 -2 *811:HI 0.000204041 -3 HI[143] *245:8 3.92275e-05 -4 HI[122] HI[143] 7.84852e-05 -5 HI[142] HI[143] 5.48357e-05 -*RES -1 *811:HI HI[143] 19.4728 -*END - -*D_NET *50 0.00441823 -*CONN -*P HI[144] O -*I *812:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[144] 0.000467358 -2 *812:HI 0.000467358 -3 HI[144] HI[149] 0.00164765 -4 HI[144] HI[152] 0.000142276 -5 HI[12] HI[144] 0.00169358 -*RES -1 *812:HI HI[144] 41.2389 -*END - -*D_NET *51 0.00095043 -*CONN -*P HI[145] O -*I *813:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[145] 0.000276384 -2 *813:HI 0.000276384 -3 HI[145] HI[330] 0.000114595 -4 HI[145] *181:10 0.000142178 -5 HI[145] *245:8 0 -6 HI[122] HI[145] 8.17422e-05 -7 HI[139] HI[145] 5.91473e-05 -*RES -1 *813:HI HI[145] 23.6253 -*END - -*D_NET *52 0.000617547 -*CONN -*P HI[146] O -*I *814:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[146] 0.00019183 -2 *814:HI 0.00019183 -3 HI[146] HI[151] 4.51067e-05 -4 HI[146] HI[152] 6.92705e-05 -5 HI[146] HI[153] 6.29746e-05 -6 HI[101] HI[146] 5.65354e-05 -*RES -1 *814:HI HI[146] 18.9182 -*END - -*D_NET *53 0.000508152 -*CONN -*P HI[147] O -*I *815:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[147] 0.000178576 -2 *815:HI 0.000178576 -3 HI[147] HI[148] 7.38575e-05 -4 HI[147] HI[209] 3.28416e-06 -5 HI[139] HI[147] 7.38575e-05 -*RES -1 *815:HI HI[147] 18.9182 -*END - -*D_NET *54 0.000500952 -*CONN -*P HI[148] O -*I *816:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[148] 0.000174253 -2 *816:HI 0.000174253 -3 HI[148] HI[209] 4.73037e-06 -4 HI[133] HI[148] 7.38575e-05 -5 HI[147] HI[148] 7.38575e-05 -*RES -1 *816:HI HI[148] 18.9182 -*END - -*D_NET *55 0.00302604 -*CONN -*P HI[149] O -*I *817:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[149] 0.000474001 -2 *817:HI 0.000474001 -3 HI[149] HI[152] 6.08467e-05 -4 HI[149] HI[153] 6.22703e-05 -5 HI[149] HI[160] 0.000197103 -6 HI[149] *245:11 0 -7 HI[12] HI[149] 0.000110165 -8 HI[144] HI[149] 0.00164765 -*RES -1 *817:HI HI[149] 35.8322 -*END - -*D_NET *56 0.00152644 -*CONN -*P HI[14] O -*I *818:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[14] 0.000417012 -2 *818:HI 0.000417012 -3 HI[14] HI[150] 0.000451591 -4 HI[14] HI[152] 7.81691e-05 -5 HI[100] HI[14] 0 -6 HI[102] HI[14] 0.000101642 -7 HI[103] HI[14] 4.83428e-05 -8 HI[104] HI[14] 1.26746e-05 -*RES -1 *818:HI HI[14] 27.5075 -*END - -*D_NET *57 0.00160823 -*CONN -*P HI[150] O -*I *819:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[150] 0.000457601 -2 *819:HI 0.000457601 -3 HI[150] *122:10 0 -4 HI[102] HI[150] 0.000164325 -5 HI[103] HI[150] 0 -6 HI[133] HI[150] 7.71145e-05 -7 HI[14] HI[150] 0.000451591 -*RES -1 *819:HI HI[150] 27.9228 -*END - -*D_NET *58 0.00156287 -*CONN -*P HI[151] O -*I *820:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[151] 0.000308994 -2 *820:HI 0.000308994 -3 HI[151] HI[152] 1.308e-05 -4 HI[151] HI[156] 2.41274e-06 -5 HI[0] HI[151] 0.000211464 -6 HI[100] HI[151] 0.000359922 -7 HI[101] HI[151] 4.91795e-05 -8 HI[102] HI[151] 0.000246455 -9 HI[10] HI[151] 1.72594e-05 -10 HI[146] HI[151] 4.51067e-05 -*RES -1 *820:HI HI[151] 24.7345 -*END - -*D_NET *59 0.00158705 -*CONN -*P HI[152] O -*I *821:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[152] 0.000335889 -2 *821:HI 0.000335889 -3 HI[152] HI[153] 0.000217937 -4 HI[100] HI[152] 9.2346e-06 -5 HI[10] HI[152] 0.000268518 -6 HI[12] HI[152] 5.59375e-05 -7 HI[144] HI[152] 0.000142276 -8 HI[146] HI[152] 6.92705e-05 -9 HI[149] HI[152] 6.08467e-05 -10 HI[14] HI[152] 7.81691e-05 -11 HI[151] HI[152] 1.308e-05 -*RES -1 *821:HI HI[152] 25.9887 -*END - -*D_NET *60 0.00119658 -*CONN -*P HI[153] O -*I *822:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[153] 0.000395211 -2 *822:HI 0.000395211 -3 HI[153] HI[155] 6.29746e-05 -4 HI[146] HI[153] 6.29746e-05 -5 HI[149] HI[153] 6.22703e-05 -6 HI[152] HI[153] 0.000217937 -*RES -1 *822:HI HI[153] 22.2458 -*END - -*D_NET *61 0.00743728 -*CONN -*P HI[154] O -*I *823:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[154] 0.000376091 -2 *823:HI 0.000267351 -3 *61:16 0.00141277 -4 *61:10 0.00130403 -5 HI[154] HI[160] 7.24381e-05 -6 HI[154] HI[162] 9.30231e-05 -7 HI[154] HI[209] 0.000109365 -8 HI[154] HI[262] 0 -9 *61:10 HI[222] 4.63842e-05 -10 *61:10 HI[330] 0.000446941 -11 *61:10 *122:10 0.000321923 -12 *61:16 HI[17] 0.000124853 -13 *61:16 HI[18] 0.000208676 -14 *61:16 HI[209] 1.38511e-05 -15 *61:16 HI[228] 0.000876098 -16 *61:16 HI[262] 0 -17 *61:16 HI[284] 0.000132425 -18 *61:16 HI[2] 0.00125578 -19 *61:16 HI[321] 0.000369173 -20 *61:16 *69:11 6.11359e-06 -*RES -1 *823:HI *61:10 27.4486 -2 *61:10 *61:16 40.0151 -3 *61:16 HI[154] 10.8821 -*END - -*D_NET *62 0.00246787 -*CONN -*P HI[155] O -*I *824:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[155] 0.000758703 -2 *824:HI 0.000758703 -3 HI[155] HI[156] 2.33072e-05 -4 HI[155] HI[158] 1.12792e-05 -5 HI[155] HI[327] 7.09666e-06 -6 HI[0] HI[155] 0.000364565 -7 HI[101] HI[155] 0 -8 HI[106] HI[155] 0.000481241 -9 HI[153] HI[155] 6.29746e-05 -*RES -1 *824:HI HI[155] 29.999 -*END - -*D_NET *63 0.0024714 -*CONN -*P HI[156] O -*I *825:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[156] 0.000822546 -2 *825:HI 0.000822546 -3 HI[156] HI[157] 0.000109528 -4 HI[156] HI[158] 0.000350062 -5 HI[0] HI[156] 0.00015647 -6 HI[105] HI[156] 5.01835e-05 -7 HI[107] HI[156] 6.50727e-05 -8 HI[108] HI[156] 6.92705e-05 -9 HI[151] HI[156] 2.41274e-06 -10 HI[155] HI[156] 2.33072e-05 -*RES -1 *825:HI HI[156] 40.5846 -*END - -*D_NET *64 0.00158772 -*CONN -*P HI[157] O -*I *826:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[157] 0.00043883 -2 *826:HI 0.00043883 -3 HI[157] HI[158] 0.000415231 -4 HI[157] HI[159] 0.000160328 -5 HI[0] HI[157] 2.4968e-05 -6 HI[156] HI[157] 0.000109528 -*RES -1 *826:HI HI[157] 26.259 -*END - -*D_NET *65 0.00254296 -*CONN -*P HI[158] O -*I *827:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[158] 0.000693345 -2 *827:HI 0.000693345 -3 HI[158] HI[159] 0.000164113 -4 HI[158] HI[162] 0.000164325 -5 HI[158] HI[327] 1.83332e-05 -6 HI[0] HI[158] 3.29217e-05 -7 HI[155] HI[158] 1.12792e-05 -8 HI[156] HI[158] 0.000350062 -9 HI[157] HI[158] 0.000415231 -*RES -1 *827:HI HI[158] 42.0796 -*END - -*D_NET *66 0.00153766 -*CONN -*P HI[159] O -*I *828:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[159] 0.000512 -2 *828:HI 0.000512 -3 HI[159] HI[161] 0.000151756 -4 HI[159] HI[162] 3.74657e-05 -5 HI[157] HI[159] 0.000160328 -6 HI[158] HI[159] 0.000164113 -*RES -1 *828:HI HI[159] 27.5075 -*END - -*D_NET *67 0.000895955 -*CONN -*P HI[15] O -*I *829:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[15] 0.000322471 -2 *829:HI 0.000322471 -3 HI[15] HI[344] 8.8134e-05 -4 HI[13] HI[15] 0.00016288 -*RES -1 *829:HI HI[15] 21.6912 -*END - -*D_NET *68 0.00123936 -*CONN -*P HI[160] O -*I *830:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[160] 0.000456437 -2 *830:HI 0.000456437 -3 HI[160] HI[161] 4.33419e-05 -4 HI[160] HI[262] 1.36007e-05 -5 HI[149] HI[160] 0.000197103 -6 HI[154] HI[160] 7.24381e-05 -*RES -1 *830:HI HI[160] 23.355 -*END - -*D_NET *69 0.00636278 -*CONN -*P HI[161] O -*I *831:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[161] 0.000718931 -2 *831:HI 0.000923477 -3 *69:11 0.00164241 -4 HI[161] HI[260] 4.55889e-05 -5 HI[161] HI[262] 0.000833598 -6 HI[161] HI[2] 6.56365e-05 -7 *69:11 HI[17] 0.000227892 -8 *69:11 HI[18] 0.00141598 -9 *69:11 HI[206] 1.80717e-05 -10 *69:11 HI[277] 0.000255584 -11 *69:11 HI[291] 1.43983e-05 -12 HI[159] HI[161] 0.000151756 -13 HI[160] HI[161] 4.33419e-05 -14 *61:16 *69:11 6.11359e-06 -*RES -1 *831:HI *69:11 47.3072 -2 *69:11 HI[161] 25.2138 -*END - -*D_NET *70 0.00251398 -*CONN -*P HI[162] O -*I *832:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[162] 0.000902181 -2 *832:HI 0.000902181 -3 HI[162] HI[209] 0.000198504 -4 HI[162] HI[31] 0.000168899 -5 HI[162] HI[321] 2.3329e-06 -6 HI[12] HI[162] 4.50659e-05 -7 HI[154] HI[162] 9.30231e-05 -8 HI[158] HI[162] 0.000164325 -9 HI[159] HI[162] 3.74657e-05 -*RES -1 *832:HI HI[162] 33.7447 -*END - -*D_NET *71 0.00090708 -*CONN -*P HI[163] O -*I *833:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[163] 0.000257699 -2 *833:HI 0.000257699 -3 HI[163] HI[193] 6.28701e-05 -4 HI[163] HI[241] 0.000244293 -5 HI[163] HI[340] 8.45193e-05 -*RES -1 *833:HI HI[163] 22.1065 -*END - -*D_NET *72 0.00138047 -*CONN -*P HI[164] O -*I *834:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[164] 0.000264899 -2 *834:HI 0.000264899 -3 HI[164] HI[16] 0.000160328 -4 HI[164] HI[227] 0.000535741 -5 HI[164] HI[279] 4.20032e-05 -6 HI[164] HI[334] 0.0001126 -*RES -1 *834:HI HI[164] 23.7703 -*END - -*D_NET *73 0.00115836 -*CONN -*P HI[165] O -*I *835:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[165] 0.000255843 -2 *835:HI 0.000255843 -3 HI[165] HI[267] 0.000294709 -4 HI[165] HI[270] 0.000289093 -5 HI[165] HI[339] 6.28701e-05 -*RES -1 *835:HI HI[165] 22.6611 -*END - -*D_NET *74 0.00110177 -*CONN -*P HI[166] O -*I *836:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[166] 0.000372011 -2 *836:HI 0.000372011 -3 HI[166] HI[171] 0.000192692 -4 HI[166] HI[193] 0.000165058 -*RES -1 *836:HI HI[166] 22.2458 -*END - -*D_NET *75 0.00172219 -*CONN -*P HI[167] O -*I *837:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[167] 0.0003255 -2 *837:HI 0.0003255 -3 HI[167] HI[170] 0.000303159 -4 HI[167] HI[315] 0.000309518 -5 HI[167] HI[322] 0.000309518 -6 HI[167] HI[326] 7.03668e-05 -7 HI[167] HI[342] 7.86286e-05 -*RES -1 *837:HI HI[167] 25.8493 -*END - -*D_NET *76 0.000748573 -*CONN -*P HI[168] O -*I *838:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[168] 0.000228176 -2 *838:HI 0.000228176 -3 HI[168] HI[221] 0.000147389 -4 HI[168] HI[329] 0.000144833 -*RES -1 *838:HI HI[168] 20.9972 -*END - -*D_NET *77 0.00109179 -*CONN -*P HI[169] O -*I *839:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[169] 0.000328336 -2 *839:HI 0.000328336 -3 HI[169] HI[179] 0.000192692 -4 HI[169] HI[195] 0.000242422 -*RES -1 *839:HI HI[169] 21.6912 -*END - -*D_NET *78 0.00124662 -*CONN -*P HI[16] O -*I *840:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[16] 0.000346193 -2 *840:HI 0.000346193 -3 HI[16] HI[172] 0.000341834 -4 HI[16] HI[227] 1.33298e-05 -5 HI[16] HI[279] 3.87462e-05 -6 HI[164] HI[16] 0.000160328 -*RES -1 *840:HI HI[16] 24.1855 -*END - -*D_NET *79 0.0018415 -*CONN -*P HI[170] O -*I *841:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[170] 0.000375095 -2 *841:HI 0.000375095 -3 HI[170] HI[180] 0.000256729 -4 HI[170] HI[187] 0.000114595 -5 HI[170] HI[315] 0.000160328 -6 HI[170] HI[322] 8.88212e-05 -7 HI[170] HI[326] 0.00016768 -8 HI[167] HI[170] 0.000303159 -*RES -1 *841:HI HI[170] 25.7663 -*END - -*D_NET *80 0.000860781 -*CONN -*P HI[171] O -*I *842:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[171] 0.000237699 -2 *842:HI 0.000237699 -3 HI[171] HI[273] 0.000192692 -4 HI[166] HI[171] 0.000192692 -*RES -1 *842:HI HI[171] 21.5518 -*END - -*D_NET *81 0.00132883 -*CONN -*P HI[172] O -*I *843:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[172] 0.000339496 -2 *843:HI 0.000339496 -3 HI[172] HI[178] 0.000160328 -4 HI[172] HI[227] 0.000114595 -5 HI[172] HI[289] 3.30775e-05 -6 HI[16] HI[172] 0.000341834 -*RES -1 *843:HI HI[172] 23.9485 -*END - -*D_NET *82 0.00173053 -*CONN -*P HI[173] O -*I *844:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[173] 0.000363125 -2 *844:HI 0.000363125 -3 HI[173] HI[184] 0.000583875 -4 HI[173] HI[194] 0.000114595 -5 HI[173] HI[301] 6.28701e-05 -6 HI[173] HI[314] 0.000109519 -7 HI[173] HI[323] 5.99867e-05 -8 HI[173] HI[337] 7.34339e-05 -*RES -1 *844:HI HI[173] 25.9887 -*END - -*D_NET *83 0.000854954 -*CONN -*P HI[174] O -*I *845:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[174] 0.000234785 -2 *845:HI 0.000234785 -3 HI[174] HI[273] 0.000192692 -4 HI[174] HI[335] 0.000192692 -*RES -1 *845:HI HI[174] 21.5518 -*END - -*D_NET *84 0.00158665 -*CONN -*P HI[175] O -*I *846:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[175] 0.000399146 -2 *846:HI 0.000399146 -3 HI[175] HI[239] 0.000526042 -4 HI[175] HI[307] 0.000115588 -5 HI[175] HI[308] 3.41321e-05 -6 HI[175] HI[331] 0.0001126 -7 HI[175] HI[341] 0 -*RES -1 *846:HI HI[175] 25.8493 -*END - -*D_NET *85 0.00110126 -*CONN -*P HI[176] O -*I *847:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[176] 0.00034429 -2 *847:HI 0.00034429 -3 HI[176] HI[217] 0.000203896 -4 HI[176] HI[278] 0.00017896 -5 HI[176] HI[332] 2.98205e-05 -*RES -1 *847:HI HI[176] 22.6611 -*END - -*D_NET *86 0.000788069 -*CONN -*P HI[177] O -*I *848:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[177] 0.000216925 -2 *848:HI 0.000216925 -3 HI[177] HI[185] 0.000274127 -4 HI[177] HI[26] 8.00918e-05 -*RES -1 *848:HI HI[177] 20.0274 -*END - -*D_NET *87 0.00140472 -*CONN -*P HI[178] O -*I *849:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[178] 0.000405961 -2 *849:HI 0.000405961 -3 HI[178] HI[183] 0.000160328 -4 HI[178] HI[279] 6.08467e-05 -5 HI[178] HI[289] 0.000140419 -6 HI[178] HI[296] 7.08773e-05 -7 HI[172] HI[178] 0.000160328 -*RES -1 *849:HI HI[178] 24.1025 -*END - -*D_NET *88 0.000858331 -*CONN -*P HI[179] O -*I *850:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[179] 0.000236473 -2 *850:HI 0.000236473 -3 HI[179] HI[329] 0.000192692 -4 HI[169] HI[179] 0.000192692 -*RES -1 *850:HI HI[179] 21.5518 -*END - -*D_NET *89 0.00341696 -*CONN -*P HI[17] O -*I *851:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[17] 0.000517522 -2 *851:HI 0.000517522 -3 HI[17] HI[18] 0.000317721 -4 HI[17] HI[228] 0.00142986 -5 HI[17] HI[288] 0.000121426 -6 HI[17] HI[31] 3.87462e-05 -7 HI[113] HI[17] 0.000121426 -8 *61:16 HI[17] 0.000124853 -9 *69:11 HI[17] 0.000227892 -*RES -1 *851:HI HI[17] 45.8365 -*END - -*D_NET *90 0.00182139 -*CONN -*P HI[180] O -*I *852:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[180] 0.000436742 -2 *852:HI 0.000436742 -3 HI[180] HI[187] 0.000360431 -4 HI[180] HI[191] 0.000164325 -5 HI[180] HI[322] 1.9689e-05 -6 HI[180] HI[32] 0.000146731 -7 HI[170] HI[180] 0.000256729 -*RES -1 *852:HI HI[180] 26.1155 -*END - -*D_NET *91 0.00136642 -*CONN -*P HI[181] O -*I *853:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[181] 0.000260414 -2 *853:HI 0.000260414 -3 HI[181] HI[219] 0.000344439 -4 HI[181] HI[224] 0.000388553 -5 HI[181] HI[302] 0.0001126 -*RES -1 *853:HI HI[181] 23.7703 -*END - -*D_NET *92 0.000982706 -*CONN -*P HI[182] O -*I *854:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[182] 0.000246783 -2 *854:HI 0.000246783 -3 HI[182] HI[188] 0.000246849 -4 HI[182] HI[264] 0.000242291 -*RES -1 *854:HI HI[182] 22.1065 -*END - -*D_NET *93 0.0012918 -*CONN -*P HI[183] O -*I *855:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[183] 0.000330013 -2 *855:HI 0.000330013 -3 HI[183] HI[186] 6.63458e-05 -4 HI[183] HI[279] 0.000143306 -5 HI[183] HI[289] 0.000222457 -6 HI[183] HI[296] 3.93408e-05 -7 HI[178] HI[183] 0.000160328 -*RES -1 *855:HI HI[183] 24.1855 -*END - -*D_NET *94 0.00198827 -*CONN -*P HI[184] O -*I *856:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[184] 0.000337424 -2 *856:HI 0.000337424 -3 HI[184] HI[194] 4.13639e-05 -4 HI[184] HI[1] 0.000159249 -5 HI[184] HI[306] 0.00016768 -6 HI[184] HI[323] 0.00036125 -7 HI[173] HI[184] 0.000583875 -*RES -1 *856:HI HI[184] 26.9585 -*END - -*D_NET *95 0.00115673 -*CONN -*P HI[185] O -*I *857:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[185] 0.000351294 -2 *857:HI 0.000351294 -3 HI[185] HI[26] 0.000160328 -4 HI[185] HI[330] 1.9689e-05 -5 HI[177] HI[185] 0.000274127 -*RES -1 *857:HI HI[185] 24.1194 -*END - -*D_NET *96 0.00147319 -*CONN -*P HI[186] O -*I *858:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[186] 0.000590352 -2 *858:HI 0.000590352 -3 HI[186] HI[189] 6.58075e-05 -4 HI[186] HI[286] 0.000160328 -5 HI[186] HI[289] 0 -6 HI[186] HI[30] 0 -7 HI[183] HI[186] 6.63458e-05 -*RES -1 *858:HI HI[186] 25.5606 -*END - -*D_NET *97 0.0020424 -*CONN -*P HI[187] O -*I *859:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[187] 0.000369705 -2 *859:HI 0.000369705 -3 HI[187] HI[191] 9.34106e-05 -4 HI[187] HI[199] 0.000258709 -5 HI[187] HI[203] 0.00021206 -6 HI[187] HI[322] 0.000263786 -7 HI[170] HI[187] 0.000114595 -8 HI[180] HI[187] 0.000360431 -*RES -1 *859:HI HI[187] 27.6913 -*END - -*D_NET *98 0.000913557 -*CONN -*P HI[188] O -*I *860:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[188] 0.000286908 -2 *860:HI 0.000286908 -3 HI[188] HI[243] 5.04829e-06 -4 HI[188] HI[303] 8.78432e-05 -5 HI[182] HI[188] 0.000246849 -*RES -1 *860:HI HI[188] 22.5217 -*END - -*D_NET *99 0.0013137 -*CONN -*P HI[189] O -*I *861:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[189] 0.000432637 -2 *861:HI 0.000432637 -3 HI[189] HI[197] 0.000169352 -4 HI[189] HI[19] 7.28451e-05 -5 HI[189] HI[286] 0.000110598 -6 HI[189] HI[30] 2.98205e-05 -7 HI[186] HI[189] 6.58075e-05 -*RES -1 *861:HI HI[189] 34.3586 -*END - -*D_NET *100 0.00322543 -*CONN -*P HI[18] O -*I *862:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[18] 0.00048743 -2 *862:HI 0.00048743 -3 HI[18] HI[206] 0.00016427 -4 HI[18] HI[214] 0.000109519 -5 HI[18] HI[280] 1.97467e-05 -6 HI[18] HI[297] 1.46543e-05 -7 HI[17] HI[18] 0.000317721 -8 *61:16 HI[18] 0.000208676 -9 *69:11 HI[18] 0.00141598 -*RES -1 *862:HI HI[18] 42.6777 -*END - -*D_NET *101 0.00105342 -*CONN -*P HI[190] O -*I *863:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[190] 0.000290368 -2 *863:HI 0.000290368 -3 HI[190] HI[25] 0.000294709 -4 HI[190] HI[263] 0.000115099 -5 HI[190] HI[339] 6.28701e-05 -*RES -1 *863:HI HI[190] 23.0763 -*END - -*D_NET *102 0.00207444 -*CONN -*P HI[191] O -*I *864:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[191] 0.000689403 -2 *864:HI 0.000689403 -3 HI[191] HI[199] 0.000437896 -4 HI[180] HI[191] 0.000164325 -5 HI[187] HI[191] 9.34106e-05 -*RES -1 *864:HI HI[191] 26.8752 -*END - -*D_NET *103 0.00117789 -*CONN -*P HI[192] O -*I *865:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[192] 0.00023324 -2 *865:HI 0.00023324 -3 HI[192] HI[198] 6.28701e-05 -4 HI[192] HI[236] 6.28701e-05 -5 HI[192] HI[244] 0.000294709 -6 HI[192] HI[285] 0.000290963 -*RES -1 *865:HI HI[192] 22.6611 -*END - -*D_NET *104 0.000939005 -*CONN -*P HI[193] O -*I *866:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[193] 0.000311617 -2 *866:HI 0.000311617 -3 HI[193] HI[340] 8.78432e-05 -4 HI[163] HI[193] 6.28701e-05 -5 HI[166] HI[193] 0.000165058 -*RES -1 *866:HI HI[193] 23.0763 -*END - -*D_NET *105 0.0019325 -*CONN -*P HI[194] O -*I *867:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[194] 0.000643504 -2 *867:HI 0.000643504 -3 HI[194] HI[1] 0.000338457 -4 HI[194] HI[306] 7.77309e-06 -5 HI[194] HI[314] 0.000143306 -6 HI[173] HI[194] 0.000114595 -7 HI[184] HI[194] 4.13639e-05 -*RES -1 *867:HI HI[194] 26.3351 -*END - -*D_NET *106 0.000936689 -*CONN -*P HI[195] O -*I *868:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[195] 0.00028199 -2 *868:HI 0.00028199 -3 HI[195] HI[196] 0.000130287 -4 HI[169] HI[195] 0.000242422 -*RES -1 *868:HI HI[195] 22.1065 -*END - -*D_NET *107 0.000969753 -*CONN -*P HI[196] O -*I *869:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[196] 0.000361531 -2 *869:HI 0.000361531 -3 HI[196] HI[293] 5.04829e-06 -4 HI[196] HI[336] 0.000111357 -5 HI[195] HI[196] 0.000130287 -*RES -1 *869:HI HI[196] 22.0404 -*END - -*D_NET *108 0.00133748 -*CONN -*P HI[197] O -*I *870:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[197] 0.000313299 -2 *870:HI 0.000313299 -3 HI[197] HI[19] 4.1375e-05 -4 HI[197] HI[201] 0.000112593 -5 HI[197] HI[286] 0.000387566 -6 HI[189] HI[197] 0.000169352 -*RES -1 *870:HI HI[197] 24.1855 -*END - -*D_NET *109 0.00121151 -*CONN -*P HI[198] O -*I *871:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[198] 0.000407935 -2 *871:HI 0.000407935 -3 HI[198] HI[202] 0.0001126 -4 HI[198] HI[244] 0.000140079 -5 HI[198] HI[292] 8.00918e-05 -6 HI[192] HI[198] 6.28701e-05 -*RES -1 *871:HI HI[198] 22.8004 -*END - -*D_NET *110 0.00195537 -*CONN -*P HI[199] O -*I *872:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[199] 0.000320772 -2 *872:HI 0.000320772 -3 HI[199] HI[203] 0.000502628 -4 HI[199] HI[207] 0.000114595 -5 HI[187] HI[199] 0.000258709 -6 HI[191] HI[199] 0.000437896 -*RES -1 *872:HI HI[199] 27.4687 -*END - -*D_NET *111 0.00144759 -*CONN -*P HI[19] O -*I *873:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[19] 0.00044098 -2 *873:HI 0.00044098 -3 HI[19] HI[201] 0.000338816 -4 HI[19] HI[286] 0.000112593 -5 HI[189] HI[19] 7.28451e-05 -6 HI[197] HI[19] 4.1375e-05 -*RES -1 *873:HI HI[19] 23.5332 -*END - -*D_NET *112 0.00178745 -*CONN -*P HI[1] O -*I *874:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[1] 0.000329783 -2 *874:HI 0.000329783 -3 HI[1] HI[225] 6.16269e-05 -4 HI[1] HI[238] 0.000453954 -5 HI[1] HI[249] 0.000114595 -6 HI[184] HI[1] 0.000159249 -7 HI[194] HI[1] 0.000338457 -*RES -1 *874:HI HI[1] 26.9213 -*END - -*D_NET *113 0.00103624 -*CONN -*P HI[200] O -*I *875:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[200] 0.000317924 -2 *875:HI 0.000317924 -3 HI[200] HI[230] 0.00018972 -4 HI[200] HI[243] 4.13245e-05 -5 HI[200] HI[310] 0.000169352 -*RES -1 *875:HI HI[200] 22.5217 -*END - -*D_NET *114 0.00145807 -*CONN -*P HI[201] O -*I *876:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[201] 0.000274816 -2 *876:HI 0.000274816 -3 HI[201] HI[204] 0.000344432 -4 HI[201] HI[24] 0.000112593 -5 HI[197] HI[201] 0.000112593 -6 HI[19] HI[201] 0.000338816 -*RES -1 *876:HI HI[201] 23.9485 -*END - -*D_NET *115 0.00106411 -*CONN -*P HI[202] O -*I *877:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[202] 0.000257514 -2 *877:HI 0.000257514 -3 HI[202] HI[208] 6.28701e-05 -4 HI[202] HI[252] 0.000289093 -5 HI[202] HI[292] 8.45193e-05 -6 HI[198] HI[202] 0.0001126 -*RES -1 *877:HI HI[202] 22.6611 -*END - -*D_NET *116 0.0019296 -*CONN -*P HI[203] O -*I *878:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[203] 0.000432029 -2 *878:HI 0.000432029 -3 HI[203] HI[207] 0.000241336 -4 HI[203] HI[216] 0.000109519 -5 HI[187] HI[203] 0.00021206 -6 HI[199] HI[203] 0.000502628 -*RES -1 *878:HI HI[203] 29.0323 -*END - -*D_NET *117 0.00124776 -*CONN -*P HI[204] O -*I *879:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[204] 0.000348656 -2 *879:HI 0.000348656 -3 HI[204] HI[24] 9.34217e-05 -4 HI[204] HI[299] 0.000112593 -5 HI[201] HI[204] 0.000344432 -*RES -1 *879:HI HI[204] 24.3637 -*END - -*D_NET *118 0.00113378 -*CONN -*P HI[205] O -*I *880:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[205] 0.000308581 -2 *880:HI 0.000308581 -3 HI[205] HI[22] 0.000373213 -4 HI[205] HI[235] 0.0001434 -*RES -1 *880:HI HI[205] 23.6309 -*END - -*D_NET *119 0.00115698 -*CONN -*P HI[206] O -*I *881:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[206] 0.000290363 -2 *881:HI 0.000290363 -3 HI[206] HI[214] 0.000303399 -4 HI[206] HI[277] 1.91195e-05 -5 HI[206] HI[291] 7.139e-05 -6 HI[18] HI[206] 0.00016427 -7 *69:11 HI[206] 1.80717e-05 -*RES -1 *881:HI HI[206] 22.5217 -*END - -*D_NET *120 0.00209827 -*CONN -*P HI[207] O -*I *882:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[207] 0.000726276 -2 *882:HI 0.000726276 -3 HI[207] HI[211] 3.30775e-05 -4 HI[207] HI[216] 0.000256707 -5 HI[199] HI[207] 0.000114595 -6 HI[203] HI[207] 0.000241336 -*RES -1 *882:HI HI[207] 26.9041 -*END - -*D_NET *121 0.00103766 -*CONN -*P HI[208] O -*I *883:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[208] 0.000294126 -2 *883:HI 0.000294126 -3 HI[208] HI[213] 6.28701e-05 -4 HI[208] HI[252] 0.000115099 -5 HI[208] HI[29] 0.000208567 -6 HI[202] HI[208] 6.28701e-05 -*RES -1 *883:HI HI[208] 23.0763 -*END - -*D_NET *122 0.00825687 -*CONN -*P HI[209] O -*I *884:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[209] 0.00205153 -2 *884:HI 0.000322273 -3 *122:10 0.00237381 -4 HI[209] HI[31] 0.000145923 -5 HI[209] HI[321] 0.000217193 -6 *122:10 HI[214] 0.000109513 -7 *122:10 HI[222] 0.000241022 -8 *122:10 HI[291] 0 -9 *122:10 HI[330] 1.72594e-05 -10 *122:10 *181:10 3.99892e-05 -11 HI[12] HI[209] 0.00208197 -12 HI[133] HI[209] 4.73037e-06 -13 HI[147] HI[209] 3.28416e-06 -14 HI[148] HI[209] 4.73037e-06 -15 HI[150] *122:10 0 -16 HI[154] HI[209] 0.000109365 -17 HI[162] HI[209] 0.000198504 -18 *61:10 *122:10 0.000321923 -19 *61:16 HI[209] 1.38511e-05 -*RES -1 *884:HI *122:10 28.8337 -2 *122:10 HI[209] 48.2691 -*END - -*D_NET *123 0.00156218 -*CONN -*P HI[20] O -*I *885:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[20] 0.000401114 -2 *885:HI 0.000401114 -3 HI[20] HI[239] 0 -4 HI[20] HI[307] 6.49003e-05 -5 HI[20] HI[308] 0.000661974 -6 HI[20] HI[341] 3.30775e-05 -*RES -1 *885:HI HI[20] 35.8536 -*END - -*D_NET *124 0.00140359 -*CONN -*P HI[210] O -*I *886:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[210] 0.00044865 -2 *886:HI 0.00044865 -3 HI[210] HI[260] 6.96673e-05 -4 HI[210] HI[288] 0.00024243 -5 HI[210] HI[297] 0.00019419 -*RES -1 *886:HI HI[210] 25.3483 -*END - -*D_NET *125 0.00141875 -*CONN -*P HI[211] O -*I *887:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[211] 0.000492221 -2 *887:HI 0.000492221 -3 HI[211] HI[216] 2.98205e-05 -4 HI[211] HI[233] 0.000319954 -5 HI[211] HI[265] 4.28321e-05 -6 HI[211] HI[275] 8.62625e-06 -7 HI[207] HI[211] 3.30775e-05 -*RES -1 *887:HI HI[211] 35.8536 -*END - -*D_NET *126 0.00132221 -*CONN -*P HI[212] O -*I *888:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[212] 0.000360014 -2 *888:HI 0.000360014 -3 HI[212] HI[215] 9.34217e-05 -4 HI[212] HI[218] 0.000164325 -5 HI[212] HI[299] 0.000344432 -*RES -1 *888:HI HI[212] 24.9183 -*END - -*D_NET *127 0.00112915 -*CONN -*P HI[213] O -*I *889:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[213] 0.000260066 -2 *889:HI 0.000260066 -3 HI[213] HI[219] 6.28701e-05 -4 HI[213] HI[29] 0.000291649 -5 HI[213] HI[302] 0.000191628 -6 HI[208] HI[213] 6.28701e-05 -*RES -1 *889:HI HI[213] 22.6611 -*END - -*D_NET *128 0.00210252 -*CONN -*P HI[214] O -*I *890:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[214] 0.000544958 -2 *890:HI 0.000544958 -3 HI[214] HI[291] 0.000101775 -4 HI[214] HI[2] 1.8739e-05 -5 HI[111] HI[214] 0.000110598 -6 HI[112] HI[214] 0.00025906 -7 HI[18] HI[214] 0.000109519 -8 HI[206] HI[214] 0.000303399 -9 *122:10 HI[214] 0.000109513 -*RES -1 *890:HI HI[214] 29.1109 -*END - -*D_NET *129 0.00148311 -*CONN -*P HI[215] O -*I *891:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[215] 0.000469786 -2 *891:HI 0.000469786 -3 HI[215] HI[218] 0.000337525 -4 HI[215] HI[299] 0.000112593 -5 HI[212] HI[215] 9.34217e-05 -*RES -1 *891:HI HI[215] 24.0878 -*END - -*D_NET *130 0.0019101 -*CONN -*P HI[216] O -*I *892:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[216] 0.000431033 -2 *892:HI 0.000431033 -3 HI[216] HI[21] 0.000651989 -4 HI[203] HI[216] 0.000109519 -5 HI[207] HI[216] 0.000256707 -6 HI[211] HI[216] 2.98205e-05 -*RES -1 *892:HI HI[216] 27.4687 -*END - -*D_NET *131 0.0013583 -*CONN -*P HI[217] O -*I *893:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[217] 0.000520617 -2 *893:HI 0.000520617 -3 HI[217] HI[270] 8.00918e-05 -4 HI[217] HI[332] 3.30775e-05 -5 HI[176] HI[217] 0.000203896 -*RES -1 *893:HI HI[217] 24.1166 -*END - -*D_NET *132 0.00134185 -*CONN -*P HI[218] O -*I *894:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[218] 0.000367561 -2 *894:HI 0.000367561 -3 HI[218] HI[220] 4.20032e-05 -4 HI[218] HI[226] 6.28701e-05 -5 HI[212] HI[218] 0.000164325 -6 HI[215] HI[218] 0.000337525 -*RES -1 *894:HI HI[218] 25.2506 -*END - -*D_NET *133 0.0011362 -*CONN -*P HI[219] O -*I *895:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[219] 0.000306894 -2 *895:HI 0.000306894 -3 HI[219] HI[302] 0.000115099 -4 HI[181] HI[219] 0.000344439 -5 HI[213] HI[219] 6.28701e-05 -*RES -1 *895:HI HI[219] 23.6309 -*END - -*D_NET *134 0.00211185 -*CONN -*P HI[21] O -*I *896:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[21] 0.00040296 -2 *896:HI 0.00040296 -3 HI[21] HI[233] 8.6772e-05 -4 HI[21] HI[265] 0.00045457 -5 HI[21] HI[275] 0.0001126 -6 HI[216] HI[21] 0.000651989 -*RES -1 *896:HI HI[21] 28.0549 -*END - -*D_NET *135 0.00128549 -*CONN -*P HI[220] O -*I *897:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[220] 0.000273061 -2 *897:HI 0.000273061 -3 HI[220] HI[223] 0.000550637 -4 HI[220] HI[226] 0 -5 HI[220] HI[229] 0.000146731 -6 HI[218] HI[220] 4.20032e-05 -*RES -1 *897:HI HI[220] 33.0806 -*END - -*D_NET *136 0.000861703 -*CONN -*P HI[221] O -*I *898:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[221] 0.000230659 -2 *898:HI 0.000230659 -3 HI[221] HI[271] 0.000252996 -4 HI[168] HI[221] 0.000147389 -*RES -1 *898:HI HI[221] 21.4125 -*END - -*D_NET *137 0.00140911 -*CONN -*P HI[222] O -*I *899:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[222] 0.000280981 -2 *899:HI 0.000280981 -3 HI[222] HI[277] 0.000350778 -4 HI[222] HI[291] 0.000154145 -5 HI[222] HI[330] 5.48222e-05 -6 *61:10 HI[222] 4.63842e-05 -7 *122:10 HI[222] 0.000241022 -*RES -1 *899:HI HI[222] 25.0104 -*END - -*D_NET *138 0.00148195 -*CONN -*P HI[223] O -*I *900:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[223] 0.000307426 -2 *900:HI 0.000307426 -3 HI[223] HI[226] 0.00013934 -4 HI[223] HI[229] 7.77309e-06 -5 HI[223] HI[231] 0.000169352 -6 HI[220] HI[223] 0.000550637 -*RES -1 *900:HI HI[223] 33.2494 -*END - -*D_NET *139 0.00143571 -*CONN -*P HI[224] O -*I *901:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[224] 0.000428235 -2 *901:HI 0.000428235 -3 HI[224] HI[22] 0.000110598 -4 HI[224] HI[274] 8.00918e-05 -5 HI[181] HI[224] 0.000388553 -*RES -1 *901:HI HI[224] 23.355 -*END - -*D_NET *140 0.00126065 -*CONN -*P HI[225] O -*I *902:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[225] 0.000452556 -2 *902:HI 0.000452556 -3 HI[225] HI[238] 1.96655e-05 -4 HI[225] HI[307] 3.9504e-05 -5 HI[225] HI[331] 0.00010245 -6 HI[225] HI[341] 0.000132291 -7 HI[1] HI[225] 6.16269e-05 -*RES -1 *902:HI HI[225] 36.0634 -*END - -*D_NET *141 0.00144107 -*CONN -*P HI[226] O -*I *903:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[226] 0.00034653 -2 *903:HI 0.00034653 -3 HI[226] HI[229] 0.000434263 -4 HI[226] HI[231] 0.000111536 -5 HI[218] HI[226] 6.28701e-05 -6 HI[220] HI[226] 0 -7 HI[223] HI[226] 0.00013934 -*RES -1 *903:HI HI[226] 24.3249 -*END - -*D_NET *142 0.00218593 -*CONN -*P HI[227] O -*I *904:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[227] 0.00059776 -2 *904:HI 0.00059776 -3 HI[227] HI[266] 0.0001126 -4 HI[227] HI[328] 0.000172777 -5 HI[227] HI[334] 1.33188e-05 -6 HI[227] HI[33] 2.80451e-05 -7 HI[164] HI[227] 0.000535741 -8 HI[16] HI[227] 1.33298e-05 -9 HI[172] HI[227] 0.000114595 -*RES -1 *904:HI HI[227] 26.6826 -*END - -*D_NET *143 0.00338135 -*CONN -*P HI[228] O -*I *905:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[228] 0.000529847 -2 *905:HI 0.000529847 -3 HI[228] HI[280] 1.57066e-05 -4 HI[228] HI[31] 0 -5 HI[17] HI[228] 0.00142986 -6 *61:16 HI[228] 0.000876098 -*RES -1 *905:HI HI[228] 44.1727 -*END - -*D_NET *144 0.0014094 -*CONN -*P HI[229] O -*I *906:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[229] 0.00033298 -2 *906:HI 0.00033298 -3 HI[229] HI[231] 4.3133e-05 -4 HI[229] HI[234] 0.000111536 -5 HI[220] HI[229] 0.000146731 -6 HI[223] HI[229] 7.77309e-06 -7 HI[226] HI[229] 0.000434263 -*RES -1 *906:HI HI[229] 24.7401 -*END - -*D_NET *145 0.00122176 -*CONN -*P HI[22] O -*I *907:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[22] 0.00030538 -2 *907:HI 0.00030538 -3 HI[22] HI[235] 0.000107496 -4 HI[22] HI[274] 1.9689e-05 -5 HI[205] HI[22] 0.000373213 -6 HI[224] HI[22] 0.000110598 -*RES -1 *907:HI HI[22] 23.5648 -*END - -*D_NET *146 0.000973734 -*CONN -*P HI[230] O -*I *908:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[230] 0.000310251 -2 *908:HI 0.000310251 -3 HI[230] HI[241] 0 -4 HI[230] HI[310] 0.000163512 -5 HI[200] HI[230] 0.00018972 -*RES -1 *908:HI HI[230] 22.2846 -*END - -*D_NET *147 0.00160132 -*CONN -*P HI[231] O -*I *909:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[231] 0.000469771 -2 *909:HI 0.000469771 -3 HI[231] HI[234] 0.000337758 -4 HI[223] HI[231] 0.000169352 -5 HI[226] HI[231] 0.000111536 -6 HI[229] HI[231] 4.3133e-05 -*RES -1 *909:HI HI[231] 24.095 -*END - -*D_NET *148 0.00147225 -*CONN -*P HI[232] O -*I *910:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[232] 0.000284191 -2 *910:HI 0.000284191 -3 HI[232] HI[256] 0.0001126 -4 HI[232] HI[261] 0.000139905 -5 HI[232] HI[269] 0.000439298 -6 HI[232] HI[272] 0.00021206 -*RES -1 *910:HI HI[232] 24.3249 -*END - -*D_NET *149 0.00156574 -*CONN -*P HI[233] O -*I *911:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[233] 0.000385369 -2 *911:HI 0.000385369 -3 HI[233] HI[265] 3.41321e-05 -4 HI[233] HI[281] 6.06823e-05 -5 HI[233] HI[295] 0.000146731 -6 HI[233] HI[301] 0.000146731 -7 HI[211] HI[233] 0.000319954 -8 HI[21] HI[233] 8.6772e-05 -*RES -1 *911:HI HI[233] 35.8536 -*END - -*D_NET *150 0.00153892 -*CONN -*P HI[234] O -*I *912:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[234] 0.000314296 -2 *912:HI 0.000314296 -3 HI[234] HI[237] 0.000346434 -4 HI[234] HI[23] 0.000114595 -5 HI[229] HI[234] 0.000111536 -6 HI[231] HI[234] 0.000337758 -*RES -1 *912:HI HI[234] 24.5103 -*END - -*D_NET *151 0.00125853 -*CONN -*P HI[235] O -*I *913:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[235] 0.000430978 -2 *913:HI 0.000430978 -3 HI[235] HI[240] 0.0001126 -4 HI[235] HI[317] 3.30775e-05 -5 HI[205] HI[235] 0.0001434 -6 HI[22] HI[235] 0.000107496 -*RES -1 *913:HI HI[235] 22.8004 -*END - -*D_NET *152 0.00112993 -*CONN -*P HI[236] O -*I *914:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[236] 0.000276784 -2 *914:HI 0.000276784 -3 HI[236] HI[285] 4.79648e-05 -4 HI[236] HI[318] 0.000292746 -5 HI[236] HI[332] 0.000172777 -6 HI[192] HI[236] 6.28701e-05 -*RES -1 *914:HI HI[236] 23.0763 -*END - -*D_NET *153 0.00138604 -*CONN -*P HI[237] O -*I *915:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[237] 0.000426088 -2 *915:HI 0.000426088 -3 HI[237] HI[23] 0.000126585 -4 HI[237] HI[242] 6.08467e-05 -5 HI[234] HI[237] 0.000346434 -*RES -1 *915:HI HI[237] 25.4801 -*END - -*D_NET *154 0.00176849 -*CONN -*P HI[238] O -*I *916:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[238] 0.000471022 -2 *916:HI 0.000471022 -3 HI[238] HI[249] 0.000352825 -4 HI[1] HI[238] 0.000453954 -5 HI[225] HI[238] 1.96655e-05 -*RES -1 *916:HI HI[238] 27.1511 -*END - -*D_NET *155 0.00146172 -*CONN -*P HI[239] O -*I *917:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[239] 0.000449237 -2 *917:HI 0.000449237 -3 HI[239] HI[341] 3.72076e-05 -4 HI[175] HI[239] 0.000526042 -5 HI[20] HI[239] 0 -*RES -1 *917:HI HI[239] 25.4341 -*END - -*D_NET *156 0.00158475 -*CONN -*P HI[23] O -*I *918:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[23] 0.000550068 -2 *918:HI 0.000550068 -3 HI[23] HI[242] 0.000243439 -4 HI[234] HI[23] 0.000114595 -5 HI[237] HI[23] 0.000126585 -*RES -1 *918:HI HI[23] 24.6496 -*END - -*D_NET *157 0.00121884 -*CONN -*P HI[240] O -*I *919:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[240] 0.00031199 -2 *919:HI 0.00031199 -3 HI[240] HI[246] 0.0001126 -4 HI[240] HI[317] 2.98205e-05 -5 HI[240] HI[320] 0.000339838 -6 HI[235] HI[240] 0.0001126 -*RES -1 *919:HI HI[240] 23.2157 -*END - -*D_NET *158 0.000999885 -*CONN -*P HI[241] O -*I *920:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[241] 0.000361257 -2 *920:HI 0.000361257 -3 HI[241] HI[310] 3.30775e-05 -4 HI[163] HI[241] 0.000244293 -5 HI[230] HI[241] 0 -*RES -1 *920:HI HI[241] 21.6912 -*END - -*D_NET *159 0.00155846 -*CONN -*P HI[242] O -*I *921:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[242] 0.00032756 -2 *921:HI 0.00032756 -3 HI[242] HI[245] 0.00048752 -4 HI[242] HI[248] 0.000111536 -5 HI[237] HI[242] 6.08467e-05 -6 HI[23] HI[242] 0.000243439 -*RES -1 *921:HI HI[242] 25.2503 -*END - -*D_NET *160 0.000951855 -*CONN -*P HI[243] O -*I *922:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[243] 0.000338733 -2 *922:HI 0.000338733 -3 HI[243] HI[303] 0.000228017 -4 HI[188] HI[243] 5.04829e-06 -5 HI[200] HI[243] 4.13245e-05 -*RES -1 *922:HI HI[243] 22.0476 -*END - -*D_NET *161 0.00103231 -*CONN -*P HI[244] O -*I *923:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[244] 0.000298761 -2 *923:HI 0.000298761 -3 HI[192] HI[244] 0.000294709 -4 HI[198] HI[244] 0.000140079 -*RES -1 *923:HI HI[244] 23.0763 -*END - -*D_NET *162 0.00152153 -*CONN -*P HI[245] O -*I *924:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[245] 0.000337045 -2 *924:HI 0.000337045 -3 HI[245] HI[248] 0.000248389 -4 HI[245] HI[250] 0.000111536 -5 HI[242] HI[245] 0.00048752 -*RES -1 *924:HI HI[245] 25.8293 -*END - -*D_NET *163 0.00155718 -*CONN -*P HI[246] O -*I *925:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[246] 0.000442259 -2 *925:HI 0.000442259 -3 HI[246] HI[251] 0.00021206 -4 HI[246] HI[317] 0.000172777 -5 HI[246] HI[320] 8.80318e-05 -6 HI[246] HI[324] 8.71967e-05 -7 HI[240] HI[246] 0.0001126 -*RES -1 *925:HI HI[246] 23.9096 -*END - -*D_NET *164 0.000929504 -*CONN -*P HI[247] O -*I *926:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[247] 0.000302265 -2 *926:HI 0.000302265 -3 HI[247] HI[255] 0.000130287 -4 HI[247] HI[264] 0.000194687 -*RES -1 *926:HI HI[247] 22.2846 -*END - -*D_NET *165 0.00170079 -*CONN -*P HI[248] O -*I *927:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[248] 0.000531754 -2 *927:HI 0.000531754 -3 HI[248] HI[250] 0.000277355 -4 HI[242] HI[248] 0.000111536 -5 HI[245] HI[248] 0.000248389 -*RES -1 *927:HI HI[248] 24.6568 -*END - -*D_NET *166 0.0018424 -*CONN -*P HI[249] O -*I *928:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[249] 0.000533431 -2 *928:HI 0.000533431 -3 HI[249] HI[307] 4.63284e-05 -4 HI[249] HI[331] 0.00026179 -5 HI[1] HI[249] 0.000114595 -6 HI[238] HI[249] 0.000352825 -*RES -1 *928:HI HI[249] 27.0681 -*END - -*D_NET *167 0.00142699 -*CONN -*P HI[24] O -*I *929:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[24] 0.000441077 -2 *929:HI 0.000441077 -3 HI[24] HI[299] 0.000338816 -4 HI[201] HI[24] 0.000112593 -5 HI[204] HI[24] 9.34217e-05 -*RES -1 *929:HI HI[24] 23.5332 -*END - -*D_NET *168 0.00157582 -*CONN -*P HI[250] O -*I *930:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[250] 0.000362176 -2 *930:HI 0.000362176 -3 HI[250] HI[253] 0.000347985 -4 HI[250] HI[256] 0.000114595 -5 HI[245] HI[250] 0.000111536 -6 HI[248] HI[250] 0.000277355 -*RES -1 *930:HI HI[250] 25.4141 -*END - -*D_NET *169 0.00112874 -*CONN -*P HI[251] O -*I *931:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[251] 0.000280714 -2 *931:HI 0.000280714 -3 HI[251] HI[257] 0.0001126 -4 HI[251] HI[324] 0.000209572 -5 HI[251] HI[328] 3.30775e-05 -6 HI[246] HI[251] 0.00021206 -*RES -1 *931:HI HI[251] 23.2157 -*END - -*D_NET *170 0.00118621 -*CONN -*P HI[252] O -*I *932:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[252] 0.000359572 -2 *932:HI 0.000359572 -3 HI[252] HI[29] 6.28701e-05 -4 HI[202] HI[252] 0.000289093 -5 HI[208] HI[252] 0.000115099 -*RES -1 *932:HI HI[252] 22.2458 -*END - -*D_NET *171 0.00140284 -*CONN -*P HI[253] O -*I *933:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[253] 0.000382814 -2 *933:HI 0.000382814 -3 HI[253] HI[256] 0.000176628 -4 HI[253] HI[261] 0.0001126 -5 HI[250] HI[253] 0.000347985 -*RES -1 *933:HI HI[253] 25.8293 -*END - -*D_NET *172 0.000872803 -*CONN -*P HI[254] O -*I *934:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[254] 0.000379889 -2 *934:HI 0.000379889 -3 HI[254] HI[312] 1.41976e-05 -4 HI[254] HI[316] 7.91375e-05 -5 HI[254] HI[325] 1.9689e-05 -*RES -1 *934:HI HI[254] 22.5217 -*END - -*D_NET *173 0.0010415 -*CONN -*P HI[255] O -*I *935:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[255] 0.000342629 -2 *935:HI 0.000342629 -3 HI[255] HI[312] 0.000225952 -4 HI[247] HI[255] 0.000130287 -*RES -1 *935:HI HI[255] 22.0404 -*END - -*D_NET *174 0.00151965 -*CONN -*P HI[256] O -*I *936:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[256] 0.00053782 -2 *936:HI 0.00053782 -3 HI[256] HI[259] 3.30775e-05 -4 HI[256] HI[261] 7.10483e-06 -5 HI[232] HI[256] 0.0001126 -6 HI[250] HI[256] 0.000114595 -7 HI[253] HI[256] 0.000176628 -*RES -1 *936:HI HI[256] 24.6568 -*END - -*D_NET *175 0.00135516 -*CONN -*P HI[257] O -*I *937:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[257] 0.000277859 -2 *937:HI 0.000277859 -3 HI[257] HI[305] 0.000282634 -4 HI[257] HI[324] 0.00026179 -5 HI[257] HI[328] 2.98205e-05 -6 HI[257] HI[334] 0.0001126 -7 HI[251] HI[257] 0.0001126 -*RES -1 *937:HI HI[257] 23.6309 -*END - -*D_NET *176 0.00100867 -*CONN -*P HI[258] O -*I *938:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[258] 0.000326738 -2 *938:HI 0.000326738 -3 HI[258] HI[25] 0.000271685 -4 HI[258] HI[325] 8.35139e-05 -*RES -1 *938:HI HI[258] 22.0404 -*END - -*D_NET *177 0.00105695 -*CONN -*P HI[259] O -*I *939:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[259] 0.000372996 -2 *939:HI 0.000372996 -3 HI[259] HI[261] 2.98205e-05 -4 HI[259] HI[269] 7.52867e-05 -5 HI[259] HI[272] 0.000172777 -6 HI[256] HI[259] 3.30775e-05 -*RES -1 *939:HI HI[259] 33.6352 -*END - -*D_NET *178 0.00113176 -*CONN -*P HI[25] O -*I *940:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[25] 0.000251247 -2 *940:HI 0.000251247 -3 HI[25] HI[263] 6.28701e-05 -4 HI[190] HI[25] 0.000294709 -5 HI[258] HI[25] 0.000271685 -*RES -1 *940:HI HI[25] 22.6611 -*END - -*D_NET *179 0.00108897 -*CONN -*P HI[260] O -*I *941:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[260] 0.000336838 -2 *941:HI 0.000336838 -3 HI[260] HI[262] 1.56778e-05 -4 HI[260] HI[288] 6.28701e-05 -5 HI[260] HI[297] 0.000159264 -6 HI[260] HI[2] 6.22259e-05 -7 HI[161] HI[260] 4.55889e-05 -8 HI[210] HI[260] 6.96673e-05 -*RES -1 *941:HI HI[260] 22.1065 -*END - -*D_NET *180 0.00178184 -*CONN -*P HI[261] O -*I *942:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[261] 0.000490987 -2 *942:HI 0.000490987 -3 HI[261] HI[269] 0.000510441 -4 HI[232] HI[261] 0.000139905 -5 HI[253] HI[261] 0.0001126 -6 HI[256] HI[261] 7.10483e-06 -7 HI[259] HI[261] 2.98205e-05 -*RES -1 *942:HI HI[261] 28.483 -*END - -*D_NET *181 0.00890089 -*CONN -*P HI[262] O -*I *943:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[262] 0.00210168 -2 *943:HI 0.000396511 -3 *181:10 0.00249819 -4 HI[262] HI[284] 9.8207e-05 -5 HI[262] *245:11 0.00232171 -6 *181:10 HI[277] 0.000200794 -7 *181:10 HI[330] 0.000167279 -8 *181:10 *245:8 0 -9 HI[139] *181:10 7.14746e-05 -10 HI[145] *181:10 0.000142178 -11 HI[154] HI[262] 0 -12 HI[160] HI[262] 1.36007e-05 -13 HI[161] HI[262] 0.000833598 -14 HI[260] HI[262] 1.56778e-05 -15 *61:16 HI[262] 0 -16 *122:10 *181:10 3.99892e-05 -*RES -1 *943:HI *181:10 29.9429 -2 *181:10 HI[262] 47.9143 -*END - -*D_NET *182 0.00118621 -*CONN -*P HI[263] O -*I *944:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[263] 0.000359572 -2 *944:HI 0.000359572 -3 HI[263] HI[339] 0.000289093 -4 HI[190] HI[263] 0.000115099 -5 HI[25] HI[263] 6.28701e-05 -*RES -1 *944:HI HI[263] 22.2458 -*END - -*D_NET *183 0.00108627 -*CONN -*P HI[264] O -*I *945:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[264] 0.000324648 -2 *945:HI 0.000324648 -3 HI[182] HI[264] 0.000242291 -4 HI[247] HI[264] 0.000194687 -*RES -1 *945:HI HI[264] 21.6912 -*END - -*D_NET *184 0.00211796 -*CONN -*P HI[265] O -*I *946:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[265] 0.0004897 -2 *946:HI 0.0004897 -3 HI[265] HI[275] 0.000345233 -4 HI[265] HI[295] 0.00026179 -5 HI[211] HI[265] 4.28321e-05 -6 HI[21] HI[265] 0.00045457 -7 HI[233] HI[265] 3.41321e-05 -*RES -1 *946:HI HI[265] 29.2305 -*END - -*D_NET *185 0.00132451 -*CONN -*P HI[266] O -*I *947:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[266] 0.000267644 -2 *947:HI 0.000267644 -3 HI[266] HI[305] 0.0001126 -4 HI[266] HI[334] 0.000485969 -5 HI[266] HI[33] 7.8048e-05 -6 HI[227] HI[266] 0.0001126 -*RES -1 *947:HI HI[266] 23.2157 -*END - -*D_NET *186 0.00108238 -*CONN -*P HI[267] O -*I *948:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[267] 0.000289553 -2 *948:HI 0.000289553 -3 HI[267] HI[339] 0.000208567 -4 HI[165] HI[267] 0.000294709 -*RES -1 *948:HI HI[267] 23.0763 -*END - -*D_NET *187 0.00162008 -*CONN -*P HI[268] O -*I *949:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[268] 0.00037414 -2 *949:HI 0.00037414 -3 HI[268] HI[290] 0.000136538 -4 HI[268] HI[294] 0.00021206 -5 HI[268] HI[298] 0.000211289 -6 HI[268] HI[304] 4.27553e-05 -7 HI[268] HI[309] 0.000249467 -8 HI[268] HI[311] 1.9689e-05 -*RES -1 *949:HI HI[268] 24.8795 -*END - -*D_NET *188 0.0019282 -*CONN -*P HI[269] O -*I *950:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[269] 0.00029966 -2 *950:HI 0.00029966 -3 HI[269] HI[272] 0.000303859 -4 HI[232] HI[269] 0.000439298 -5 HI[259] HI[269] 7.52867e-05 -6 HI[261] HI[269] 0.000510441 -*RES -1 *950:HI HI[269] 26.4039 -*END - -*D_NET *189 0.000939318 -*CONN -*P HI[26] O -*I *951:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[26] 0.000306847 -2 *951:HI 0.000306847 -3 HI[26] HI[282] 8.52051e-05 -4 HI[177] HI[26] 8.00918e-05 -5 HI[185] HI[26] 0.000160328 -*RES -1 *951:HI HI[26] 22.5217 -*END - -*D_NET *190 0.00112174 -*CONN -*P HI[270] O -*I *952:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[270] 0.00037628 -2 *952:HI 0.00037628 -3 HI[165] HI[270] 0.000289093 -4 HI[217] HI[270] 8.00918e-05 -*RES -1 *952:HI HI[270] 22.2458 -*END - -*D_NET *191 0.00081176 -*CONN -*P HI[271] O -*I *953:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[271] 0.000269537 -2 *953:HI 0.000269537 -3 HI[271] HI[282] 1.9689e-05 -4 HI[221] HI[271] 0.000252996 -*RES -1 *953:HI HI[271] 20.9384 -*END - -*D_NET *192 0.00192574 -*CONN -*P HI[272] O -*I *954:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[272] 0.000417383 -2 *954:HI 0.000417383 -3 HI[272] HI[276] 3.30775e-05 -4 HI[272] HI[27] 0.000257666 -5 HI[272] HI[283] 0.000111536 -6 HI[232] HI[272] 0.00021206 -7 HI[259] HI[272] 0.000172777 -8 HI[269] HI[272] 0.000303859 -*RES -1 *954:HI HI[272] 26.3209 -*END - -*D_NET *193 0.000978717 -*CONN -*P HI[273] O -*I *955:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[273] 0.000296667 -2 *955:HI 0.000296667 -3 HI[171] HI[273] 0.000192692 -4 HI[174] HI[273] 0.000192692 -*RES -1 *955:HI HI[273] 21.1366 -*END - -*D_NET *194 0.00115463 -*CONN -*P HI[274] O -*I *956:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[274] 0.000527425 -2 *956:HI 0.000527425 -3 HI[224] HI[274] 8.00918e-05 -4 HI[22] HI[274] 1.9689e-05 -*RES -1 *956:HI HI[274] 26.9529 -*END - -*D_NET *195 0.0019034 -*CONN -*P HI[275] O -*I *957:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[275] 0.000480298 -2 *957:HI 0.000480298 -3 HI[275] HI[281] 6.31782e-05 -4 HI[275] HI[295] 0.000101642 -5 HI[275] HI[301] 0.00031152 -6 HI[211] HI[275] 8.62625e-06 -7 HI[21] HI[275] 0.0001126 -8 HI[265] HI[275] 0.000345233 -*RES -1 *957:HI HI[275] 28.3653 -*END - -*D_NET *196 0.00114118 -*CONN -*P HI[276] O -*I *958:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[276] 0.000455961 -2 *958:HI 0.000455961 -3 HI[276] HI[27] 2.98205e-05 -4 HI[276] HI[287] 9.12416e-06 -5 HI[276] HI[290] 9.04224e-05 -6 HI[276] HI[294] 6.68134e-05 -7 HI[272] HI[276] 3.30775e-05 -*RES -1 *958:HI HI[276] 34.7444 -*END - -*D_NET *197 0.001749 -*CONN -*P HI[277] O -*I *959:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[277] 0.000409851 -2 *959:HI 0.000409851 -3 HI[277] HI[291] 0.000103022 -4 HI[206] HI[277] 1.91195e-05 -5 HI[222] HI[277] 0.000350778 -6 *69:11 HI[277] 0.000255584 -7 *181:10 HI[277] 0.000200794 -*RES -1 *959:HI HI[277] 28.272 -*END - -*D_NET *198 0.00107311 -*CONN -*P HI[278] O -*I *960:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[278] 0.000357265 -2 *960:HI 0.000357265 -3 HI[278] HI[318] 6.28701e-05 -4 HI[278] HI[338] 0.00011675 -5 HI[176] HI[278] 0.00017896 -*RES -1 *960:HI HI[278] 23.4255 -*END - -*D_NET *199 0.00176307 -*CONN -*P HI[279] O -*I *961:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[279] 0.000497041 -2 *961:HI 0.000497041 -3 HI[279] HI[289] 0.000423936 -4 HI[279] HI[296] 6.01521e-05 -5 HI[164] HI[279] 4.20032e-05 -6 HI[16] HI[279] 3.87462e-05 -7 HI[178] HI[279] 6.08467e-05 -8 HI[183] HI[279] 0.000143306 -*RES -1 *961:HI HI[279] 37.6862 -*END - -*D_NET *200 0.00183724 -*CONN -*P HI[27] O -*I *962:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[27] 0.000545069 -2 *962:HI 0.000545069 -3 HI[27] HI[283] 0.000350095 -4 HI[27] HI[287] 0.000109519 -5 HI[272] HI[27] 0.000257666 -6 HI[276] HI[27] 2.98205e-05 -*RES -1 *962:HI HI[27] 26.5063 -*END - -*D_NET *201 0.0010969 -*CONN -*P HI[280] O -*I *963:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[280] 0.000334344 -2 *963:HI 0.000334344 -3 HI[280] HI[288] 7.6978e-05 -4 HI[280] HI[297] 0 -5 HI[280] HI[327] 0.000172676 -6 HI[107] HI[280] 1.2693e-05 -7 HI[108] HI[280] 0.000130414 -8 HI[18] HI[280] 1.97467e-05 -9 HI[228] HI[280] 1.57066e-05 -*RES -1 *963:HI HI[280] 22.3473 -*END - -*D_NET *202 0.00146876 -*CONN -*P HI[281] O -*I *964:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[281] 0.000434132 -2 *964:HI 0.000434132 -3 HI[281] HI[295] 1.96655e-05 -4 HI[281] HI[306] 0.000151436 -5 HI[281] HI[323] 0.000214691 -6 HI[281] HI[337] 9.08473e-05 -7 HI[233] HI[281] 6.06823e-05 -8 HI[275] HI[281] 6.31782e-05 -*RES -1 *964:HI HI[281] 37.1727 -*END - -*D_NET *203 0.00101141 -*CONN -*P HI[282] O -*I *965:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[282] 0.00045326 -2 *965:HI 0.00045326 -3 HI[26] HI[282] 8.52051e-05 -4 HI[271] HI[282] 1.9689e-05 -*RES -1 *965:HI HI[282] 24.7345 -*END - -*D_NET *204 0.00165507 -*CONN -*P HI[283] O -*I *966:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[283] 0.000418492 -2 *966:HI 0.000418492 -3 HI[283] HI[287] 0.000144393 -4 HI[283] HI[294] 0.00021206 -5 HI[272] HI[283] 0.000111536 -6 HI[27] HI[283] 0.000350095 -*RES -1 *966:HI HI[283] 26.5893 -*END - -*D_NET *205 0.00184675 -*CONN -*P HI[284] O -*I *967:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[284] 0.00060072 -2 *967:HI 0.00060072 -3 HI[284] HI[28] 2.93844e-05 -4 HI[284] HI[321] 0.00024137 -5 HI[284] HI[327] 0.000127763 -6 HI[106] HI[284] 1.61631e-05 -7 HI[262] HI[284] 9.8207e-05 -8 *61:16 HI[284] 0.000132425 -*RES -1 *967:HI HI[284] 32.1561 -*END - -*D_NET *206 0.00114358 -*CONN -*P HI[285] O -*I *968:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[285] 0.000364681 -2 *968:HI 0.000364681 -3 HI[285] HI[332] 7.52867e-05 -4 HI[192] HI[285] 0.000290963 -5 HI[236] HI[285] 4.79648e-05 -*RES -1 *968:HI HI[285] 22.4312 -*END - -*D_NET *207 0.00139474 -*CONN -*P HI[286] O -*I *969:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[286] 0.000271865 -2 *969:HI 0.000271865 -3 HI[286] HI[30] 7.99188e-05 -4 HI[186] HI[286] 0.000160328 -5 HI[189] HI[286] 0.000110598 -6 HI[197] HI[286] 0.000387566 -7 HI[19] HI[286] 0.000112593 -*RES -1 *969:HI HI[286] 23.7703 -*END - -*D_NET *208 0.00171266 -*CONN -*P HI[287] O -*I *970:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[287] 0.000664903 -2 *970:HI 0.000664903 -3 HI[287] HI[290] 3.74027e-05 -4 HI[287] HI[294] 8.24148e-05 -5 HI[276] HI[287] 9.12416e-06 -6 HI[27] HI[287] 0.000109519 -7 HI[283] HI[287] 0.000144393 -*RES -1 *970:HI HI[287] 27.1972 -*END - -*D_NET *209 0.0015438 -*CONN -*P HI[288] O -*I *971:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[288] 0.00048826 -2 *971:HI 0.00048826 -3 HI[288] HI[327] 3.8037e-05 -4 HI[110] HI[288] 3.3239e-06 -5 HI[113] HI[288] 2.22159e-05 -6 HI[17] HI[288] 0.000121426 -7 HI[210] HI[288] 0.00024243 -8 HI[260] HI[288] 6.28701e-05 -9 HI[280] HI[288] 7.6978e-05 -*RES -1 *971:HI HI[288] 25.4216 -*END - -*D_NET *210 0.00181988 -*CONN -*P HI[289] O -*I *972:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[289] 0.000499993 -2 *972:HI 0.000499993 -3 HI[172] HI[289] 3.30775e-05 -4 HI[178] HI[289] 0.000140419 -5 HI[183] HI[289] 0.000222457 -6 HI[186] HI[289] 0 -7 HI[279] HI[289] 0.000423936 -*RES -1 *972:HI HI[289] 36.0224 -*END - -*D_NET *211 0.00240511 -*CONN -*P HI[28] O -*I *973:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[28] 0.000757537 -2 *973:HI 0.000757537 -3 HI[28] HI[291] 0 -4 HI[28] HI[2] 1.3069e-05 -5 HI[28] HI[327] 1.7658e-05 -6 HI[106] HI[28] 4.89898e-06 -7 HI[107] HI[28] 7.92757e-06 -8 HI[108] HI[28] 0.000525911 -9 HI[111] HI[28] 0.000221547 -10 HI[112] HI[28] 6.96396e-05 -11 HI[284] HI[28] 2.93844e-05 -*RES -1 *973:HI HI[28] 29.8841 -*END - -*D_NET *212 0.00194128 -*CONN -*P HI[290] O -*I *974:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[290] 0.000352903 -2 *974:HI 0.000352903 -3 HI[290] HI[294] 2.80451e-05 -4 HI[290] HI[298] 0.000576461 -5 HI[290] HI[300] 0.000366603 -6 HI[268] HI[290] 0.000136538 -7 HI[276] HI[290] 9.04224e-05 -8 HI[287] HI[290] 3.74027e-05 -*RES -1 *974:HI HI[290] 36.4082 -*END - -*D_NET *213 0.00272815 -*CONN -*P HI[291] O -*I *975:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[291] 0.0011251 -2 *975:HI 0.0011251 -3 HI[107] HI[291] 5.04829e-06 -4 HI[108] HI[291] 2.81717e-05 -5 HI[206] HI[291] 7.139e-05 -6 HI[214] HI[291] 0.000101775 -7 HI[222] HI[291] 0.000154145 -8 HI[277] HI[291] 0.000103022 -9 HI[28] HI[291] 0 -10 *69:11 HI[291] 1.43983e-05 -11 *122:10 HI[291] 0 -*RES -1 *975:HI HI[291] 34.8539 -*END - -*D_NET *214 0.00112165 -*CONN -*P HI[292] O -*I *976:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[292] 0.00047852 -2 *976:HI 0.00047852 -3 HI[198] HI[292] 8.00918e-05 -4 HI[202] HI[292] 8.45193e-05 -*RES -1 *976:HI HI[292] 26.3983 -*END - -*D_NET *215 0.000777181 -*CONN -*P HI[293] O -*I *977:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[293] 0.000279148 -2 *977:HI 0.000279148 -3 HI[293] HI[335] 0.00012676 -4 HI[293] HI[336] 8.70759e-05 -5 HI[196] HI[293] 5.04829e-06 -*RES -1 *977:HI HI[293] 21.9671 -*END - -*D_NET *216 0.00171435 -*CONN -*P HI[294] O -*I *978:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[294] 0.000539941 -2 *978:HI 0.000539941 -3 HI[294] HI[298] 3.30775e-05 -4 HI[294] HI[300] 0 -5 HI[294] HI[304] 0 -6 HI[268] HI[294] 0.00021206 -7 HI[276] HI[294] 6.68134e-05 -8 HI[283] HI[294] 0.00021206 -9 HI[287] HI[294] 8.24148e-05 -10 HI[290] HI[294] 2.80451e-05 -*RES -1 *978:HI HI[294] 29.2305 -*END - -*D_NET *217 0.00205194 -*CONN -*P HI[295] O -*I *979:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[295] 0.000511 -2 *979:HI 0.000511 -3 HI[295] HI[301] 0.000291112 -4 HI[295] HI[323] 0.000209001 -5 HI[233] HI[295] 0.000146731 -6 HI[265] HI[295] 0.00026179 -7 HI[275] HI[295] 0.000101642 -8 HI[281] HI[295] 1.96655e-05 -*RES -1 *979:HI HI[295] 29.5869 -*END - -*D_NET *218 0.00129265 -*CONN -*P HI[296] O -*I *980:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[296] 0.000561142 -2 *980:HI 0.000561142 -3 HI[178] HI[296] 7.08773e-05 -4 HI[183] HI[296] 3.93408e-05 -5 HI[279] HI[296] 6.01521e-05 -*RES -1 *980:HI HI[296] 27.5075 -*END - -*D_NET *219 0.0010616 -*CONN -*P HI[297] O -*I *981:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[297] 0.000346743 -2 *981:HI 0.000346743 -3 HI[18] HI[297] 1.46543e-05 -4 HI[210] HI[297] 0.00019419 -5 HI[260] HI[297] 0.000159264 -6 HI[280] HI[297] 0 -*RES -1 *981:HI HI[297] 21.7617 -*END - -*D_NET *220 0.00188984 -*CONN -*P HI[298] O -*I *982:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[298] 0.000478799 -2 *982:HI 0.000478799 -3 HI[298] HI[300] 7.3186e-05 -4 HI[298] HI[309] 3.82316e-05 -5 HI[268] HI[298] 0.000211289 -6 HI[290] HI[298] 0.000576461 -7 HI[294] HI[298] 3.30775e-05 -*RES -1 *982:HI HI[298] 36.577 -*END - -*D_NET *221 0.00145807 -*CONN -*P HI[299] O -*I *983:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[299] 0.000274816 -2 *983:HI 0.000274816 -3 HI[204] HI[299] 0.000112593 -4 HI[212] HI[299] 0.000344432 -5 HI[215] HI[299] 0.000112593 -6 HI[24] HI[299] 0.000338816 -*RES -1 *983:HI HI[299] 23.9485 -*END - -*D_NET *222 0.00129779 -*CONN -*P HI[29] O -*I *984:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[29] 0.000367354 -2 *984:HI 0.000367354 -3 HI[208] HI[29] 0.000208567 -4 HI[213] HI[29] 0.000291649 -5 HI[252] HI[29] 6.28701e-05 -*RES -1 *984:HI HI[29] 23.4086 -*END - -*D_NET *223 0.0034724 -*CONN -*P HI[2] O -*I *985:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[2] 0.0009632 -2 *985:HI 0.0009632 -3 HI[112] HI[2] 0.000130551 -4 HI[161] HI[2] 6.56365e-05 -5 HI[214] HI[2] 1.8739e-05 -6 HI[260] HI[2] 6.22259e-05 -7 HI[28] HI[2] 1.3069e-05 -8 *61:16 HI[2] 0.00125578 -*RES -1 *985:HI HI[2] 41.3997 -*END - -*D_NET *224 0.00229259 -*CONN -*P HI[300] O -*I *986:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[300] 0.000375972 -2 *986:HI 0.000375972 -3 HI[300] HI[304] 0.000932884 -4 HI[300] HI[311] 0.000122996 -5 HI[300] HI[313] 4.49807e-05 -6 HI[290] HI[300] 0.000366603 -7 HI[294] HI[300] 0 -8 HI[298] HI[300] 7.3186e-05 -*RES -1 *986:HI HI[300] 38.6266 -*END - -*D_NET *225 0.002184 -*CONN -*P HI[301] O -*I *987:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[301] 0.000658279 -2 *987:HI 0.000658279 -3 HI[301] HI[306] 3.56172e-05 -4 HI[301] HI[314] 0 -5 HI[301] HI[323] 1.95934e-05 -6 HI[173] HI[301] 6.28701e-05 -7 HI[233] HI[301] 0.000146731 -8 HI[275] HI[301] 0.00031152 -9 HI[295] HI[301] 0.000291112 -*RES -1 *987:HI HI[301] 29.0814 -*END - -*D_NET *226 0.00121634 -*CONN -*P HI[302] O -*I *988:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[302] 0.000398507 -2 *988:HI 0.000398507 -3 HI[181] HI[302] 0.0001126 -4 HI[213] HI[302] 0.000191628 -5 HI[219] HI[302] 0.000115099 -*RES -1 *988:HI HI[302] 22.9858 -*END - -*D_NET *227 0.00118648 -*CONN -*P HI[303] O -*I *989:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[303] 0.000435311 -2 *989:HI 0.000435311 -3 HI[188] HI[303] 8.78432e-05 -4 HI[243] HI[303] 0.000228017 -*RES -1 *989:HI HI[303] 25.8437 -*END - -*D_NET *228 0.00216018 -*CONN -*P HI[304] O -*I *990:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[304] 0.000575557 -2 *990:HI 0.000575557 -3 HI[304] HI[311] 4.89898e-06 -4 HI[304] HI[326] 2.85274e-05 -5 HI[304] HI[333] 0 -6 HI[268] HI[304] 4.27553e-05 -7 HI[294] HI[304] 0 -8 HI[300] HI[304] 0.000932884 -*RES -1 *990:HI HI[304] 38.6266 -*END - -*D_NET *229 0.00129698 -*CONN -*P HI[305] O -*I *991:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[305] 0.000406977 -2 *991:HI 0.000406977 -3 HI[305] HI[334] 8.77909e-05 -4 HI[257] HI[305] 0.000282634 -5 HI[266] HI[305] 0.0001126 -*RES -1 *991:HI HI[305] 23.9632 -*END - -*D_NET *230 0.00187865 -*CONN -*P HI[306] O -*I *992:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[306] 0.000339147 -2 *992:HI 0.000339147 -3 HI[306] HI[314] 0.000837851 -4 HI[184] HI[306] 0.00016768 -5 HI[194] HI[306] 7.77309e-06 -6 HI[281] HI[306] 0.000151436 -7 HI[301] HI[306] 3.56172e-05 -*RES -1 *992:HI HI[306] 36.4082 -*END - -*D_NET *231 0.00157816 -*CONN -*P HI[307] O -*I *993:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[307] 0.000358989 -2 *993:HI 0.000358989 -3 HI[307] HI[308] 0.00011818 -4 HI[307] HI[331] 4.30714e-05 -5 HI[307] HI[341] 0.000432613 -6 HI[175] HI[307] 0.000115588 -7 HI[20] HI[307] 6.49003e-05 -8 HI[225] HI[307] 3.9504e-05 -9 HI[249] HI[307] 4.63284e-05 -*RES -1 *993:HI HI[307] 35.299 -*END - -*D_NET *232 0.00191 -*CONN -*P HI[308] O -*I *994:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[308] 0.000482955 -2 *994:HI 0.000482955 -3 HI[308] HI[341] 0.000129801 -4 HI[175] HI[308] 3.41321e-05 -5 HI[20] HI[308] 0.000661974 -6 HI[307] HI[308] 0.00011818 -*RES -1 *994:HI HI[308] 39.1812 -*END - -*D_NET *233 0.00172198 -*CONN -*P HI[309] O -*I *995:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[309] 0.000476981 -2 *995:HI 0.000476981 -3 HI[309] HI[311] 2.41139e-05 -4 HI[309] HI[313] 0.00041098 -5 HI[309] HI[319] 4.52272e-05 -6 HI[268] HI[309] 0.000249467 -7 HI[298] HI[309] 3.82316e-05 -*RES -1 *995:HI HI[309] 26.9585 -*END - -*D_NET *234 0.00121582 -*CONN -*P HI[30] O -*I *996:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[30] 0.000553041 -2 *996:HI 0.000553041 -3 HI[186] HI[30] 0 -4 HI[189] HI[30] 2.98205e-05 -5 HI[286] HI[30] 7.99188e-05 -*RES -1 *996:HI HI[30] 27.5075 -*END - -*D_NET *235 0.000930647 -*CONN -*P HI[310] O -*I *997:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[310] 0.000282353 -2 *997:HI 0.000282353 -3 HI[200] HI[310] 0.000169352 -4 HI[230] HI[310] 0.000163512 -5 HI[241] HI[310] 3.30775e-05 -*RES -1 *997:HI HI[310] 31.031 -*END - -*D_NET *236 0.0018366 -*CONN -*P HI[311] O -*I *998:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[311] 0.000489203 -2 *998:HI 0.000489203 -3 HI[311] HI[315] 0.00018863 -4 HI[311] HI[326] 0.000419724 -5 HI[311] HI[333] 7.81399e-05 -6 HI[268] HI[311] 1.9689e-05 -7 HI[300] HI[311] 0.000122996 -8 HI[304] HI[311] 4.89898e-06 -9 HI[309] HI[311] 2.41139e-05 -*RES -1 *998:HI HI[311] 38.9758 -*END - -*D_NET *237 0.000945297 -*CONN -*P HI[312] O -*I *999:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[312] 0.000336035 -2 *999:HI 0.000336035 -3 HI[312] HI[316] 3.30775e-05 -4 HI[254] HI[312] 1.41976e-05 -5 HI[255] HI[312] 0.000225952 -*RES -1 *999:HI HI[312] 22.8634 -*END - -*D_NET *238 0.00191271 -*CONN -*P HI[313] O -*I *1000:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[313] 0.000539423 -2 *1000:HI 0.000539423 -3 HI[313] HI[315] 0.000258731 -4 HI[313] HI[319] 9.06935e-05 -5 HI[313] HI[326] 2.8477e-05 -6 HI[313] HI[32] 0 -7 HI[313] HI[333] 0 -8 HI[300] HI[313] 4.49807e-05 -9 HI[309] HI[313] 0.00041098 -*RES -1 *1000:HI HI[313] 28.9546 -*END - -*D_NET *239 0.00200817 -*CONN -*P HI[314] O -*I *1001:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[314] 0.000443981 -2 *1001:HI 0.000443981 -3 HI[314] HI[323] 2.95316e-05 -4 HI[173] HI[314] 0.000109519 -5 HI[194] HI[314] 0.000143306 -6 HI[301] HI[314] 0 -7 HI[306] HI[314] 0.000837851 -*RES -1 *1001:HI HI[314] 36.577 -*END - -*D_NET *240 0.00171558 -*CONN -*P HI[315] O -*I *1002:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[315] 0.000349927 -2 *1002:HI 0.000349927 -3 HI[315] HI[333] 2.24434e-05 -4 HI[315] HI[342] 7.6072e-05 -5 HI[167] HI[315] 0.000309518 -6 HI[170] HI[315] 0.000160328 -7 HI[311] HI[315] 0.00018863 -8 HI[313] HI[315] 0.000258731 -*RES -1 *1002:HI HI[315] 25.4341 -*END - -*D_NET *241 0.000796738 -*CONN -*P HI[316] O -*I *1003:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[316] 0.000255873 -2 *1003:HI 0.000255873 -3 HI[316] HI[325] 0.000172777 -4 HI[254] HI[316] 7.91375e-05 -5 HI[312] HI[316] 3.30775e-05 -*RES -1 *1003:HI HI[316] 30.8622 -*END - -*D_NET *242 0.000914616 -*CONN -*P HI[317] O -*I *1004:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[317] 0.000301827 -2 *1004:HI 0.000301827 -3 HI[317] HI[320] 7.52867e-05 -4 HI[235] HI[317] 3.30775e-05 -5 HI[240] HI[317] 2.98205e-05 -6 HI[246] HI[317] 0.000172777 -*RES -1 *1004:HI HI[317] 31.9714 -*END - -*D_NET *243 0.00104679 -*CONN -*P HI[318] O -*I *1005:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[318] 0.000305246 -2 *1005:HI 0.000305246 -3 HI[318] HI[338] 8.06861e-05 -4 HI[236] HI[318] 0.000292746 -5 HI[278] HI[318] 6.28701e-05 -*RES -1 *1005:HI HI[318] 22.6611 -*END - -*D_NET *244 0.00141653 -*CONN -*P HI[319] O -*I *1006:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[319] 0.000640306 -2 *1006:HI 0.000640306 -3 HI[309] HI[319] 4.52272e-05 -4 HI[313] HI[319] 9.06935e-05 -*RES -1 *1006:HI HI[319] 28.9659 -*END - -*D_NET *245 0.00748583 -*CONN -*P HI[31] O -*I *1007:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[31] 0.000618716 -2 *1007:HI 0.000339631 -3 *245:11 0.00190231 -4 *245:8 0.00162322 -5 HI[12] HI[31] 0.000189913 -6 HI[142] *245:8 9.75356e-05 -7 HI[143] *245:8 3.92275e-05 -8 HI[145] *245:8 0 -9 HI[149] *245:11 0 -10 HI[162] HI[31] 0.000168899 -11 HI[17] HI[31] 3.87462e-05 -12 HI[209] HI[31] 0.000145923 -13 HI[228] HI[31] 0 -14 HI[262] *245:11 0.00232171 -15 *181:10 *245:8 0 -*RES -1 *1007:HI *245:8 26.7252 -2 *245:8 *245:11 41.8272 -3 *245:11 HI[31] 19.0527 -*END - -*D_NET *246 0.00120474 -*CONN -*P HI[320] O -*I *1008:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[320] 0.000294492 -2 *1008:HI 0.000294492 -3 HI[320] HI[324] 0.0001126 -4 HI[240] HI[320] 0.000339838 -5 HI[246] HI[320] 8.80318e-05 -6 HI[317] HI[320] 7.52867e-05 -*RES -1 *1008:HI HI[320] 23.6309 -*END - -*D_NET *247 0.00174511 -*CONN -*P HI[321] O -*I *1009:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[321] 0.000441773 -2 *1009:HI 0.000441773 -3 HI[321] HI[327] 3.14978e-05 -4 HI[162] HI[321] 2.3329e-06 -5 HI[209] HI[321] 0.000217193 -6 HI[284] HI[321] 0.00024137 -7 *61:16 HI[321] 0.000369173 -*RES -1 *1009:HI HI[321] 29.5866 -*END - -*D_NET *248 0.00156203 -*CONN -*P HI[322] O -*I *1010:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[322] 0.000420846 -2 *1010:HI 0.000420846 -3 HI[322] HI[32] 3.85205e-05 -4 HI[322] HI[342] 0 -5 HI[167] HI[322] 0.000309518 -6 HI[170] HI[322] 8.88212e-05 -7 HI[180] HI[322] 1.9689e-05 -8 HI[187] HI[322] 0.000263786 -*RES -1 *1010:HI HI[322] 26.2646 -*END - -*D_NET *249 0.00192094 -*CONN -*P HI[323] O -*I *1011:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[323] 0.000503501 -2 *1011:HI 0.000503501 -3 HI[323] HI[337] 1.98885e-05 -4 HI[173] HI[323] 5.99867e-05 -5 HI[184] HI[323] 0.00036125 -6 HI[281] HI[323] 0.000214691 -7 HI[295] HI[323] 0.000209001 -8 HI[301] HI[323] 1.95934e-05 -9 HI[314] HI[323] 2.95316e-05 -*RES -1 *1011:HI HI[323] 28.483 -*END - -*D_NET *250 0.00153639 -*CONN -*P HI[324] O -*I *1012:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[324] 0.000432615 -2 *1012:HI 0.000432615 -3 HI[246] HI[324] 8.71967e-05 -4 HI[251] HI[324] 0.000209572 -5 HI[257] HI[324] 0.00026179 -6 HI[320] HI[324] 0.0001126 -*RES -1 *1012:HI HI[324] 27.3738 -*END - -*D_NET *251 0.00129216 -*CONN -*P HI[325] O -*I *1013:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[325] 0.000508088 -2 *1013:HI 0.000508088 -3 HI[254] HI[325] 1.9689e-05 -4 HI[258] HI[325] 8.35139e-05 -5 HI[316] HI[325] 0.000172777 -*RES -1 *1013:HI HI[325] 27.8567 -*END - -*D_NET *252 0.00211714 -*CONN -*P HI[326] O -*I *1014:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[326] 0.000329067 -2 *1014:HI 0.000329067 -3 HI[326] HI[32] 0.000744228 -4 HI[167] HI[326] 7.03668e-05 -5 HI[170] HI[326] 0.00016768 -6 HI[304] HI[326] 2.85274e-05 -7 HI[311] HI[326] 0.000419724 -8 HI[313] HI[326] 2.8477e-05 -*RES -1 *1014:HI HI[326] 36.9628 -*END - -*D_NET *253 0.0025489 -*CONN -*P HI[327] O -*I *1015:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[327] 0.000864976 -2 *1015:HI 0.000864976 -3 HI[106] HI[327] 0.000118663 -4 HI[107] HI[327] 5.66868e-06 -5 HI[109] HI[327] 5.01835e-05 -6 HI[110] HI[327] 0.000124472 -7 HI[111] HI[327] 6.47133e-05 -8 HI[113] HI[327] 4.21839e-05 -9 HI[155] HI[327] 7.09666e-06 -10 HI[158] HI[327] 1.83332e-05 -11 HI[280] HI[327] 0.000172676 -12 HI[284] HI[327] 0.000127763 -13 HI[288] HI[327] 3.8037e-05 -14 HI[28] HI[327] 1.7658e-05 -15 HI[321] HI[327] 3.14978e-05 -*RES -1 *1015:HI HI[327] 42.3317 -*END - -*D_NET *254 0.00134163 -*CONN -*P HI[328] O -*I *1016:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[328] 0.000515333 -2 *1016:HI 0.000515333 -3 HI[328] HI[33] 7.52867e-05 -4 HI[227] HI[328] 0.000172777 -5 HI[251] HI[328] 3.30775e-05 -6 HI[257] HI[328] 2.98205e-05 -*RES -1 *1016:HI HI[328] 36.9628 -*END - -*D_NET *255 0.000938382 -*CONN -*P HI[329] O -*I *1017:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[329] 0.000300428 -2 *1017:HI 0.000300428 -3 HI[168] HI[329] 0.000144833 -4 HI[179] HI[329] 0.000192692 -*RES -1 *1017:HI HI[329] 21.1366 -*END - -*D_NET *256 0.00204533 -*CONN -*P HI[32] O -*I *1018:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[32] 0.000543164 -2 *1018:HI 0.000543164 -3 HI[32] HI[333] 2.9518e-05 -4 HI[180] HI[32] 0.000146731 -5 HI[313] HI[32] 0 -6 HI[322] HI[32] 3.85205e-05 -7 HI[326] HI[32] 0.000744228 -*RES -1 *1018:HI HI[32] 39.1812 -*END - -*D_NET *257 0.00192174 -*CONN -*P HI[330] O -*I *1019:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[330] 0.000550577 -2 *1019:HI 0.000550577 -3 HI[145] HI[330] 0.000114595 -4 HI[185] HI[330] 1.9689e-05 -5 HI[222] HI[330] 5.48222e-05 -6 *61:10 HI[330] 0.000446941 -7 *122:10 HI[330] 1.72594e-05 -8 *181:10 HI[330] 0.000167279 -*RES -1 *1019:HI HI[330] 30.2749 -*END - -*D_NET *258 0.00192697 -*CONN -*P HI[331] O -*I *1020:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[331] 0.00069843 -2 *1020:HI 0.00069843 -3 HI[331] HI[341] 1.01938e-05 -4 HI[175] HI[331] 0.0001126 -5 HI[225] HI[331] 0.00010245 -6 HI[249] HI[331] 0.00026179 -7 HI[307] HI[331] 4.30714e-05 -*RES -1 *1020:HI HI[331] 28.4849 -*END - -*D_NET *259 0.00130172 -*CONN -*P HI[332] O -*I *1021:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[332] 0.000495381 -2 *1021:HI 0.000495381 -3 HI[176] HI[332] 2.98205e-05 -4 HI[217] HI[332] 3.30775e-05 -5 HI[236] HI[332] 0.000172777 -6 HI[285] HI[332] 7.52867e-05 -*RES -1 *1021:HI HI[332] 36.4082 -*END - -*D_NET *260 0.0016618 -*CONN -*P HI[333] O -*I *1022:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[333] 0.000600544 -2 *1022:HI 0.000600544 -3 HI[333] HI[342] 0.00033061 -4 HI[304] HI[333] 0 -5 HI[311] HI[333] 7.81399e-05 -6 HI[313] HI[333] 0 -7 HI[315] HI[333] 2.24434e-05 -8 HI[32] HI[333] 2.9518e-05 -*RES -1 *1022:HI HI[333] 29.1713 -*END - -*D_NET *261 0.00176568 -*CONN -*P HI[334] O -*I *1023:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[334] 0.000476699 -2 *1023:HI 0.000476699 -3 HI[334] HI[33] 0 -4 HI[164] HI[334] 0.0001126 -5 HI[227] HI[334] 1.33188e-05 -6 HI[257] HI[334] 0.0001126 -7 HI[266] HI[334] 0.000485969 -8 HI[305] HI[334] 8.77909e-05 -*RES -1 *1023:HI HI[334] 28.1213 -*END - -*D_NET *262 0.000921094 -*CONN -*P HI[335] O -*I *1024:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[335] 0.000300821 -2 *1024:HI 0.000300821 -3 HI[174] HI[335] 0.000192692 -4 HI[293] HI[335] 0.00012676 -*RES -1 *1024:HI HI[335] 21.1366 -*END - -*D_NET *263 0.00104203 -*CONN -*P HI[336] O -*I *1025:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[336] 0.000421799 -2 *1025:HI 0.000421799 -3 HI[196] HI[336] 0.000111357 -4 HI[293] HI[336] 8.70759e-05 -*RES -1 *1025:HI HI[336] 25.2891 -*END - -*D_NET *264 0.0014756 -*CONN -*P HI[337] O -*I *1026:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[337] 0.000645715 -2 *1026:HI 0.000645715 -3 HI[173] HI[337] 7.34339e-05 -4 HI[281] HI[337] 9.08473e-05 -5 HI[323] HI[337] 1.98885e-05 -*RES -1 *1026:HI HI[337] 29.7259 -*END - -*D_NET *265 0.00115175 -*CONN -*P HI[338] O -*I *1027:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[338] 0.000477156 -2 *1027:HI 0.000477156 -3 HI[278] HI[338] 0.00011675 -4 HI[318] HI[338] 8.06861e-05 -*RES -1 *1027:HI HI[338] 26.3983 -*END - -*D_NET *266 0.001243 -*CONN -*P HI[339] O -*I *1028:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[339] 0.000309801 -2 *1028:HI 0.000309801 -3 HI[165] HI[339] 6.28701e-05 -4 HI[190] HI[339] 6.28701e-05 -5 HI[263] HI[339] 0.000289093 -6 HI[267] HI[339] 0.000208567 -*RES -1 *1028:HI HI[339] 23.4086 -*END - -*D_NET *267 0.00125948 -*CONN -*P HI[33] O -*I *1029:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[33] 0.000539048 -2 *1029:HI 0.000539048 -3 HI[227] HI[33] 2.80451e-05 -4 HI[266] HI[33] 7.8048e-05 -5 HI[328] HI[33] 7.52867e-05 -6 HI[334] HI[33] 0 -*RES -1 *1029:HI HI[33] 27.5075 -*END - -*D_NET *268 0.00106883 -*CONN -*P HI[340] O -*I *1030:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[340] 0.000448233 -2 *1030:HI 0.000448233 -3 HI[163] HI[340] 8.45193e-05 -4 HI[193] HI[340] 8.78432e-05 -*RES -1 *1030:HI HI[340] 25.8437 -*END - -*D_NET *269 0.00161876 -*CONN -*P HI[341] O -*I *1031:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[341] 0.000421791 -2 *1031:HI 0.000421791 -3 HI[175] HI[341] 0 -4 HI[20] HI[341] 3.30775e-05 -5 HI[225] HI[341] 0.000132291 -6 HI[239] HI[341] 3.72076e-05 -7 HI[307] HI[341] 0.000432613 -8 HI[308] HI[341] 0.000129801 -9 HI[331] HI[341] 1.01938e-05 -*RES -1 *1031:HI HI[341] 35.299 -*END - -*D_NET *270 0.00162282 -*CONN -*P HI[342] O -*I *1032:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[342] 0.000568753 -2 *1032:HI 0.000568753 -3 HI[167] HI[342] 7.86286e-05 -4 HI[315] HI[342] 7.6072e-05 -5 HI[322] HI[342] 0 -6 HI[333] HI[342] 0.00033061 -*RES -1 *1032:HI HI[342] 29.5866 -*END - -*D_NET *271 0.00155285 -*CONN -*P HI[343] O -*I *1033:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[343] 0.00063677 -2 *1033:HI 0.00063677 -3 HI[343] HI[91] 6.50727e-05 -4 HI[343] HI[93] 6.49003e-05 -5 HI[343] HI[96] 3.14978e-05 -6 HI[343] HI[99] 3.14978e-05 -7 HI[343] HI[9] 8.63427e-05 -*RES -1 *1033:HI HI[343] 30.8408 -*END - -*D_NET *272 0.000787864 -*CONN -*P HI[344] O -*I *1034:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[344] 0.000275625 -2 *1034:HI 0.000275625 -3 HI[344] HI[345] 0.000143586 -4 HI[344] HI[346] 4.89469e-06 -5 HI[13] HI[344] 0 -6 HI[15] HI[344] 8.8134e-05 -*RES -1 *1034:HI HI[344] 22.8853 -*END - -*D_NET *273 0.00089858 -*CONN -*P HI[345] O -*I *1035:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[345] 0.000310597 -2 *1035:HI 0.000310597 -3 HI[345] HI[346] 0.000133801 -4 HI[344] HI[345] 0.000143586 -*RES -1 *1035:HI HI[345] 21.8694 -*END - -*D_NET *274 0.000891656 -*CONN -*P HI[346] O -*I *1036:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[346] 0.000238994 -2 *1036:HI 0.000238994 -3 HI[346] HI[347] 0.000267624 -4 HI[346] HI[348] 7.34948e-06 -5 HI[344] HI[346] 4.89469e-06 -6 HI[345] HI[346] 0.000133801 -*RES -1 *1036:HI HI[346] 22.6999 -*END - -*D_NET *275 0.000904907 -*CONN -*P HI[347] O -*I *1037:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[347] 0.000255905 -2 *1037:HI 0.000255905 -3 HI[347] HI[348] 0.000125473 -4 HI[347] HI[349] 0 -5 HI[347] HI[34] 0 -6 HI[346] HI[347] 0.000267624 -*RES -1 *1037:HI HI[347] 22.937 -*END - -*D_NET *276 0.00122695 -*CONN -*P HI[348] O -*I *1038:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[348] 0.000421002 -2 *1038:HI 0.000421002 -3 HI[348] HI[349] 0.000252127 -4 HI[346] HI[348] 7.34948e-06 -5 HI[347] HI[348] 0.000125473 -*RES -1 *1038:HI HI[348] 23.355 -*END - -*D_NET *277 0.00124097 -*CONN -*P HI[349] O -*I *1039:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[349] 0.000251231 -2 *1039:HI 0.000251231 -3 HI[349] HI[34] 0.000368218 -4 HI[349] HI[350] 0.000118166 -5 HI[347] HI[349] 0 -6 HI[348] HI[349] 0.000252127 -*RES -1 *1039:HI HI[349] 23.9629 -*END - -*D_NET *278 0.00115574 -*CONN -*P HI[34] O -*I *1040:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[34] 0.000283157 -2 *1040:HI 0.000283157 -3 HI[34] HI[350] 0.000103041 -4 HI[34] HI[351] 0.000118166 -5 HI[347] HI[34] 0 -6 HI[349] HI[34] 0.000368218 -*RES -1 *1040:HI HI[34] 24.5347 -*END - -*D_NET *279 0.00137341 -*CONN -*P HI[350] O -*I *1041:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[350] 0.000396929 -2 *1041:HI 0.000396929 -3 HI[350] HI[351] 0.000358342 -4 HI[349] HI[350] 0.000118166 -5 HI[34] HI[350] 0.000103041 -*RES -1 *1041:HI HI[350] 23.5332 -*END - -*D_NET *280 0.00138528 -*CONN -*P HI[351] O -*I *1042:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[351] 0.000212861 -2 *1042:HI 0.000212861 -3 HI[351] HI[352] 0.000364886 -4 HI[351] HI[353] 0.000118166 -5 HI[34] HI[351] 0.000118166 -6 HI[350] HI[351] 0.000358342 -*RES -1 *1042:HI HI[351] 23.9485 -*END - -*D_NET *281 0.00121641 -*CONN -*P HI[352] O -*I *1043:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[352] 0.000263658 -2 *1043:HI 0.000263658 -3 HI[352] HI[353] 0.000152917 -4 HI[352] HI[354] 0.000171288 -5 HI[351] HI[352] 0.000364886 -*RES -1 *1043:HI HI[352] 24.3637 -*END - -*D_NET *282 0.00128863 -*CONN -*P HI[353] O -*I *1044:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[353] 0.000401126 -2 *1044:HI 0.000401126 -3 HI[353] HI[354] 9.29146e-05 -4 HI[353] HI[355] 0.000122378 -5 HI[351] HI[353] 0.000118166 -6 HI[352] HI[353] 0.000152917 -*RES -1 *1044:HI HI[353] 23.5332 -*END - -*D_NET *283 0.00108992 -*CONN -*P HI[354] O -*I *1045:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[354] 0.000305115 -2 *1045:HI 0.000305115 -3 HI[354] HI[355] 0.000215488 -4 HI[352] HI[354] 0.000171288 -5 HI[353] HI[354] 9.29146e-05 -*RES -1 *1045:HI HI[354] 24.6008 -*END - -*D_NET *284 0.00123873 -*CONN -*P HI[355] O -*I *1046:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[355] 0.000214275 -2 *1046:HI 0.000214275 -3 HI[355] HI[356] 0.00035413 -4 HI[355] HI[357] 0.00011818 -5 HI[353] HI[355] 0.000122378 -6 HI[354] HI[355] 0.000215488 -*RES -1 *1046:HI HI[355] 23.9485 -*END - -*D_NET *285 0.0014889 -*CONN -*P HI[356] O -*I *1047:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[356] 0.000436152 -2 *1047:HI 0.000436152 -3 HI[356] HI[357] 9.98845e-05 -4 HI[356] HI[358] 0.000162583 -5 HI[355] HI[356] 0.00035413 -*RES -1 *1047:HI HI[356] 24.0878 -*END - -*D_NET *286 0.00130895 -*CONN -*P HI[357] O -*I *1048:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[357] 0.000311477 -2 *1048:HI 0.000311477 -3 HI[357] HI[358] 0.000402858 -4 HI[357] HI[35] 6.50727e-05 -5 HI[355] HI[357] 0.00011818 -6 HI[356] HI[357] 9.98845e-05 -*RES -1 *1048:HI HI[357] 25.0893 -*END - -*D_NET *287 0.00149106 -*CONN -*P HI[358] O -*I *1049:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[358] 0.000247698 -2 *1049:HI 0.000247698 -3 HI[358] HI[359] 0.000324203 -4 HI[358] HI[35] 4.09471e-05 -5 HI[358] HI[360] 6.50727e-05 -6 HI[356] HI[358] 0.000162583 -7 HI[357] HI[358] 0.000402858 -*RES -1 *1049:HI HI[358] 24.5031 -*END - -*D_NET *288 0.0014223 -*CONN -*P HI[359] O -*I *1050:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[359] 0.000413102 -2 *1050:HI 0.000413102 -3 HI[359] HI[35] 0.000165873 -4 HI[359] HI[360] 4.09471e-05 -5 HI[359] HI[361] 6.50727e-05 -6 HI[358] HI[359] 0.000324203 -*RES -1 *1050:HI HI[359] 24.266 -*END - -*D_NET *289 0.00134096 -*CONN -*P HI[35] O -*I *1051:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[35] 0.000294723 -2 *1051:HI 0.000294723 -3 HI[35] HI[360] 0.000479624 -4 HI[357] HI[35] 6.50727e-05 -5 HI[358] HI[35] 4.09471e-05 -6 HI[359] HI[35] 0.000165873 -*RES -1 *1051:HI HI[35] 25.1554 -*END - -*D_NET *290 0.00152152 -*CONN -*P HI[360] O -*I *1052:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[360] 0.000200967 -2 *1052:HI 0.000200967 -3 HI[360] HI[361] 0.000468868 -4 HI[360] HI[363] 6.50727e-05 -5 HI[358] HI[360] 6.50727e-05 -6 HI[359] HI[360] 4.09471e-05 -7 HI[35] HI[360] 0.000479624 -*RES -1 *1052:HI HI[360] 24.7401 -*END - -*D_NET *291 0.00149421 -*CONN -*P HI[361] O -*I *1053:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[361] 0.000198067 -2 *1053:HI 0.000198067 -3 HI[361] HI[362] 0.000458112 -4 HI[361] HI[363] 4.09471e-05 -5 HI[361] HI[364] 6.50727e-05 -6 HI[359] HI[361] 6.50727e-05 -7 HI[360] HI[361] 0.000468868 -*RES -1 *1053:HI HI[361] 24.3249 -*END - -*D_NET *292 0.00149972 -*CONN -*P HI[362] O -*I *1054:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[362] 0.000426249 -2 *1054:HI 0.000426249 -3 HI[362] HI[363] 8.30857e-05 -4 HI[362] HI[364] 4.09471e-05 -5 HI[362] HI[365] 6.50727e-05 -6 HI[361] HI[362] 0.000458112 -*RES -1 *1054:HI HI[362] 23.9096 -*END - -*D_NET *293 0.0012896 -*CONN -*P HI[363] O -*I *1055:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[363] 0.000310437 -2 *1055:HI 0.000310437 -3 HI[363] HI[364] 0.000479624 -4 HI[360] HI[363] 6.50727e-05 -5 HI[361] HI[363] 4.09471e-05 -6 HI[362] HI[363] 8.30857e-05 -*RES -1 *1055:HI HI[363] 25.1554 -*END - -*D_NET *294 0.00155137 -*CONN -*P HI[364] O -*I *1056:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[364] 0.000218652 -2 *1056:HI 0.000218652 -3 HI[364] HI[365] 0.00052842 -4 HI[361] HI[364] 6.50727e-05 -5 HI[362] HI[364] 4.09471e-05 -6 HI[363] HI[364] 0.000479624 -*RES -1 *1056:HI HI[364] 25.2947 -*END - -*D_NET *295 0.00162685 -*CONN -*P HI[365] O -*I *1057:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[365] 0.000205207 -2 *1057:HI 0.000205207 -3 HI[365] HI[366] 0.00051122 -4 HI[365] HI[367] 0.000111722 -5 HI[362] HI[365] 6.50727e-05 -6 HI[364] HI[365] 0.00052842 -*RES -1 *1057:HI HI[365] 24.8795 -*END - -*D_NET *296 0.00164058 -*CONN -*P HI[366] O -*I *1058:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[366] 0.000455649 -2 *1058:HI 0.000455649 -3 HI[366] HI[367] 9.98845e-05 -4 HI[366] HI[369] 0.00011818 -5 HI[365] HI[366] 0.00051122 -*RES -1 *1058:HI HI[366] 24.4642 -*END - -*D_NET *297 0.00158587 -*CONN -*P HI[367] O -*I *1059:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[367] 0.00029844 -2 *1059:HI 0.00029844 -3 HI[367] HI[368] 0.000495689 -4 HI[367] HI[369] 0.0002817 -5 HI[365] HI[367] 0.000111722 -6 HI[366] HI[367] 9.98845e-05 -*RES -1 *1059:HI HI[367] 25.6583 -*END - -*D_NET *298 0.00139569 -*CONN -*P HI[368] O -*I *1060:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[368] 0.000334271 -2 *1060:HI 0.000334271 -3 HI[368] HI[369] 0.000109083 -4 HI[368] HI[370] 0.000122378 -5 HI[367] HI[368] 0.000495689 -*RES -1 *1060:HI HI[368] 26.0592 -*END - -*D_NET *299 0.00165956 -*CONN -*P HI[369] O -*I *1061:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[369] 0.00017982 -2 *1061:HI 0.00017982 -3 HI[369] HI[36] 0.000513452 -4 HI[369] HI[370] 0.000277502 -5 HI[366] HI[369] 0.00011818 -6 HI[367] HI[369] 0.0002817 -7 HI[368] HI[369] 0.000109083 -*RES -1 *1061:HI HI[369] 24.8795 -*END - -*D_NET *300 0.00164058 -*CONN -*P HI[36] O -*I *1062:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[36] 0.000455656 -2 *1062:HI 0.000455656 -3 HI[36] HI[370] 9.98845e-05 -4 HI[36] HI[371] 0.000115934 -5 HI[369] HI[36] 0.000513452 -*RES -1 *1062:HI HI[36] 24.4642 -*END - -*D_NET *301 0.00155534 -*CONN -*P HI[370] O -*I *1063:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[370] 0.000236419 -2 *1063:HI 0.000236419 -3 HI[370] HI[371] 0.000358342 -4 HI[370] HI[372] 0.000224395 -5 HI[368] HI[370] 0.000122378 -6 HI[369] HI[370] 0.000277502 -7 HI[36] HI[370] 9.98845e-05 -*RES -1 *1063:HI HI[370] 25.2947 -*END - -*D_NET *302 0.00153618 -*CONN -*P HI[371] O -*I *1064:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[371] 0.000274988 -2 *1064:HI 0.000274988 -3 HI[371] HI[372] 0.000118488 -4 HI[371] HI[373] 0.000275256 -5 HI[371] HI[374] 0.00011818 -6 HI[36] HI[371] 0.000115934 -7 HI[370] HI[371] 0.000358342 -*RES -1 *1064:HI HI[371] 25.4141 -*END - -*D_NET *303 0.00147123 -*CONN -*P HI[372] O -*I *1065:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[372] 0.000353649 -2 *1065:HI 0.000353649 -3 HI[372] HI[373] 8.62417e-05 -4 HI[372] HI[374] 0.000334808 -5 HI[370] HI[372] 0.000224395 -6 HI[371] HI[372] 0.000118488 -*RES -1 *1065:HI HI[372] 26.6138 -*END - -*D_NET *304 0.00175236 -*CONN -*P HI[373] O -*I *1066:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[373] 0.000483405 -2 *1066:HI 0.000483405 -3 HI[373] HI[374] 9.98845e-05 -4 HI[373] HI[375] 0.000324166 -5 HI[371] HI[373] 0.000275256 -6 HI[372] HI[373] 8.62417e-05 -*RES -1 *1066:HI HI[373] 25.0188 -*END - -*D_NET *305 0.00173721 -*CONN -*P HI[374] O -*I *1067:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[374] 0.000218985 -2 *1067:HI 0.000218985 -3 HI[374] HI[375] 0.000575083 -4 HI[374] HI[376] 0.000171288 -5 HI[371] HI[374] 0.00011818 -6 HI[372] HI[374] 0.000334808 -7 HI[373] HI[374] 9.98845e-05 -*RES -1 *1067:HI HI[374] 25.8493 -*END - -*D_NET *306 0.00167232 -*CONN -*P HI[375] O -*I *1068:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[375] 0.00023499 -2 *1068:HI 0.00023499 -3 HI[375] HI[376] 0.000144736 -4 HI[375] HI[378] 0.000158357 -5 HI[373] HI[375] 0.000324166 -6 HI[374] HI[375] 0.000575083 -*RES -1 *1068:HI HI[375] 25.4341 -*END - -*D_NET *307 0.00142692 -*CONN -*P HI[376] O -*I *1069:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[376] 0.000457059 -2 *1069:HI 0.000457059 -3 HI[376] HI[377] 6.87802e-05 -4 HI[376] HI[378] 9.82896e-06 -5 HI[376] HI[379] 0.000118166 -6 HI[374] HI[376] 0.000171288 -7 HI[375] HI[376] 0.000144736 -*RES -1 *1069:HI HI[376] 26.6282 -*END - -*D_NET *308 0.00164176 -*CONN -*P HI[377] O -*I *1070:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[377] 0.00061115 -2 *1070:HI 0.00061115 -3 HI[377] HI[378] 6.55368e-05 -4 HI[377] HI[379] 6.50727e-05 -5 HI[377] HI[37] 0.000124044 -6 HI[377] HI[380] 9.60216e-05 -7 HI[377] HI[382] 0 -8 HI[376] HI[377] 6.87802e-05 -*RES -1 *1070:HI HI[377] 35.6044 -*END - -*D_NET *309 0.00166551 -*CONN -*P HI[378] O -*I *1071:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[378] 0.00054339 -2 *1071:HI 0.00054339 -3 HI[378] HI[379] 0.000173725 -4 HI[378] HI[380] 0.000171288 -5 HI[375] HI[378] 0.000158357 -6 HI[376] HI[378] 9.82896e-06 -7 HI[377] HI[378] 6.55368e-05 -*RES -1 *1071:HI HI[378] 25.0188 -*END - -*D_NET *310 0.0016074 -*CONN -*P HI[379] O -*I *1072:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[379] 0.000275489 -2 *1072:HI 0.000275489 -3 HI[379] HI[37] 0.000364646 -4 HI[379] HI[380] 0.000334808 -5 HI[376] HI[379] 0.000118166 -6 HI[377] HI[379] 6.50727e-05 -7 HI[378] HI[379] 0.000173725 -*RES -1 *1072:HI HI[379] 26.0275 -*END - -*D_NET *311 0.00149217 -*CONN -*P HI[37] O -*I *1073:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[37] 0.000402378 -2 *1073:HI 0.000402378 -3 HI[37] HI[380] 8.05602e-05 -4 HI[37] HI[382] 0.000118166 -5 HI[377] HI[37] 0.000124044 -6 HI[379] HI[37] 0.000364646 -*RES -1 *1073:HI HI[37] 27.7765 -*END - -*D_NET *312 0.0019309 -*CONN -*P HI[380] O -*I *1074:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[380] 0.000180941 -2 *1074:HI 0.000180941 -3 HI[380] HI[381] 0.000562171 -4 HI[380] HI[382] 0.000324166 -5 HI[377] HI[380] 9.60216e-05 -6 HI[378] HI[380] 0.000171288 -7 HI[379] HI[380] 0.000334808 -8 HI[37] HI[380] 8.05602e-05 -*RES -1 *1074:HI HI[380] 25.9887 -*END - -*D_NET *313 0.00183656 -*CONN -*P HI[381] O -*I *1075:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[381] 0.000526586 -2 *1075:HI 0.000526586 -3 HI[381] HI[382] 0.000103041 -4 HI[381] HI[384] 0.00011818 -5 HI[380] HI[381] 0.000562171 -*RES -1 *1075:HI HI[381] 25.9226 -*END - -*D_NET *314 0.00188952 -*CONN -*P HI[382] O -*I *1076:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[382] 0.000236728 -2 *1076:HI 0.000236728 -3 HI[382] HI[383] 0.000588995 -4 HI[382] HI[384] 0.0002817 -5 HI[377] HI[382] 0 -6 HI[37] HI[382] 0.000118166 -7 HI[380] HI[382] 0.000324166 -8 HI[381] HI[382] 0.000103041 -*RES -1 *1076:HI HI[382] 26.7531 -*END - -*D_NET *315 0.0015522 -*CONN -*P HI[383] O -*I *1077:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[383] 0.000374769 -2 *1077:HI 0.000374769 -3 HI[383] HI[384] 9.77287e-05 -4 HI[383] HI[386] 0.000115934 -5 HI[382] HI[383] 0.000588995 -*RES -1 *1077:HI HI[383] 26.9974 -*END - -*D_NET *316 0.00180118 -*CONN -*P HI[384] O -*I *1078:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[384] 0.000291312 -2 *1078:HI 0.000291312 -3 HI[384] HI[385] 0.000456349 -4 HI[384] HI[386] 0.0002646 -5 HI[381] HI[384] 0.00011818 -6 HI[382] HI[384] 0.0002817 -7 HI[383] HI[384] 9.77287e-05 -*RES -1 *1078:HI HI[384] 26.3451 -*END - -*D_NET *317 0.0016994 -*CONN -*P HI[385] O -*I *1079:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[385] 0.000545884 -2 *1079:HI 0.000545884 -3 HI[385] HI[386] 0.000151285 -4 HI[384] HI[385] 0.000456349 -*RES -1 *1079:HI HI[385] 25.937 -*END - -*D_NET *318 0.00176563 -*CONN -*P HI[386] O -*I *1080:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[386] 0.000300322 -2 *1080:HI 0.000300322 -3 HI[386] HI[387] 0.000601665 -4 HI[386] HI[38] 3.14978e-05 -5 HI[383] HI[386] 0.000115934 -6 HI[384] HI[386] 0.0002646 -7 HI[385] HI[386] 0.000151285 -*RES -1 *1080:HI HI[386] 26.7603 -*END - -*D_NET *319 0.00186059 -*CONN -*P HI[387] O -*I *1081:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[387] 0.000285429 -2 *1081:HI 0.000285429 -3 HI[387] HI[388] 0.000632616 -4 HI[387] HI[38] 2.39581e-05 -5 HI[387] HI[390] 3.14978e-05 -6 HI[386] HI[387] 0.000601665 -*RES -1 *1081:HI HI[387] 27.0046 -*END - -*D_NET *320 0.00165182 -*CONN -*P HI[388] O -*I *1082:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[388] 0.00043171 -2 *1082:HI 0.00043171 -3 HI[388] HI[389] 0.000100332 -4 HI[388] HI[390] 2.39581e-05 -5 HI[388] HI[391] 3.14978e-05 -6 HI[387] HI[388] 0.000632616 -*RES -1 *1082:HI HI[388] 27.8132 -*END - -*D_NET *321 0.000962293 -*CONN -*P HI[389] O -*I *1083:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[389] 0.000389438 -2 *1083:HI 0.000389438 -3 HI[389] HI[38] 8.30857e-05 -4 HI[389] HI[390] 0 -5 HI[389] HI[391] 0 -6 HI[388] HI[389] 0.000100332 -*RES -1 *1083:HI HI[389] 24.7345 -*END - -*D_NET *322 0.00156824 -*CONN -*P HI[38] O -*I *1084:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[38] 0.000461337 -2 *1084:HI 0.000461337 -3 HI[38] HI[390] 0.000507022 -4 HI[386] HI[38] 3.14978e-05 -5 HI[387] HI[38] 2.39581e-05 -6 HI[389] HI[38] 8.30857e-05 -*RES -1 *1084:HI HI[38] 24.4642 -*END - -*D_NET *323 0.00158465 -*CONN -*P HI[390] O -*I *1085:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[390] 0.00019101 -2 *1085:HI 0.00019101 -3 HI[390] HI[391] 0.000517778 -4 HI[390] HI[393] 0.000122378 -5 HI[387] HI[390] 3.14978e-05 -6 HI[388] HI[390] 2.39581e-05 -7 HI[389] HI[390] 0 -8 HI[38] HI[390] 0.000507022 -*RES -1 *1085:HI HI[390] 24.8795 -*END - -*D_NET *324 0.00162366 -*CONN -*P HI[391] O -*I *1086:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[391] 0.000203335 -2 *1086:HI 0.000203335 -3 HI[391] HI[392] 0.000528533 -4 HI[391] HI[393] 1.67988e-05 -5 HI[391] HI[394] 0.000122378 -6 HI[388] HI[391] 3.14978e-05 -7 HI[389] HI[391] 0 -8 HI[390] HI[391] 0.000517778 -*RES -1 *1086:HI HI[391] 25.2947 -*END - -*D_NET *325 0.00140095 -*CONN -*P HI[392] O -*I *1087:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[392] 0.000325076 -2 *1087:HI 0.000325076 -3 HI[392] HI[393] 8.30857e-05 -4 HI[392] HI[394] 1.67988e-05 -5 HI[392] HI[395] 0.000122378 -6 HI[391] HI[392] 0.000528533 -*RES -1 *1087:HI HI[392] 25.71 -*END - -*D_NET *326 0.00163877 -*CONN -*P HI[393] O -*I *1088:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[393] 0.000454743 -2 *1088:HI 0.000454743 -3 HI[393] HI[394] 0.000507022 -4 HI[390] HI[393] 0.000122378 -5 HI[391] HI[393] 1.67988e-05 -6 HI[392] HI[393] 8.30857e-05 -*RES -1 *1088:HI HI[393] 24.4642 -*END - -*D_NET *327 0.00166001 -*CONN -*P HI[394] O -*I *1089:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[394] 0.000186828 -2 *1089:HI 0.000186828 -3 HI[394] HI[395] 0.000517778 -4 HI[394] HI[397] 0.000122378 -5 HI[391] HI[394] 0.000122378 -6 HI[392] HI[394] 1.67988e-05 -7 HI[393] HI[394] 0.000507022 -*RES -1 *1089:HI HI[394] 24.8795 -*END - -*D_NET *328 0.00164977 -*CONN -*P HI[395] O -*I *1090:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[395] 0.000218322 -2 *1090:HI 0.000218322 -3 HI[395] HI[396] 0.000515631 -4 HI[395] HI[397] 5.73392e-05 -5 HI[392] HI[395] 0.000122378 -6 HI[394] HI[395] 0.000517778 -*RES -1 *1090:HI HI[395] 25.2947 -*END - -*D_NET *329 0.00133971 -*CONN -*P HI[396] O -*I *1091:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[396] 0.000349302 -2 *1091:HI 0.000349302 -3 HI[396] HI[397] 0.000125473 -4 HI[395] HI[396] 0.000515631 -*RES -1 *1091:HI HI[396] 25.71 -*END - -*D_NET *330 0.00146765 -*CONN -*P HI[397] O -*I *1092:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[397] 0.000490979 -2 *1092:HI 0.000490979 -3 HI[397] HI[398] 0.000180502 -4 HI[394] HI[397] 0.000122378 -5 HI[395] HI[397] 5.73392e-05 -6 HI[396] HI[397] 0.000125473 -*RES -1 *1092:HI HI[397] 24.4642 -*END - -*D_NET *331 0.0014564 -*CONN -*P HI[398] O -*I *1093:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[398] 0.000291171 -2 *1093:HI 0.000291171 -3 HI[398] HI[399] 0.000528729 -4 HI[398] HI[39] 0.000164829 -5 HI[397] HI[398] 0.000180502 -*RES -1 *1093:HI HI[398] 25.8193 -*END - -*D_NET *332 0.00157172 -*CONN -*P HI[399] O -*I *1094:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[399] 0.000250573 -2 *1094:HI 0.000250573 -3 HI[399] HI[39] 0.000133345 -4 HI[399] HI[3] 0.000286126 -5 HI[399] HI[400] 0.000122378 -6 HI[398] HI[399] 0.000528729 -*RES -1 *1094:HI HI[399] 26.2201 -*END - -*D_NET *333 0.00165038 -*CONN -*P HI[39] O -*I *1095:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[39] 0.00044201 -2 *1095:HI 0.00044201 -3 HI[39] HI[3] 0.000192928 -4 HI[39] HI[400] 0.000275256 -5 HI[398] HI[39] 0.000164829 -6 HI[399] HI[39] 0.000133345 -*RES -1 *1095:HI HI[39] 25.3824 -*END - -*D_NET *334 0.00155451 -*CONN -*P HI[3] O -*I *1096:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[3] 0.000326677 -2 *1096:HI 0.000326677 -3 HI[3] HI[400] 0.000140405 -4 HI[3] HI[401] 0.0002817 -5 HI[399] HI[3] 0.000286126 -6 HI[39] HI[3] 0.000192928 -*RES -1 *1096:HI HI[3] 26.6138 -*END - -*D_NET *335 0.00171094 -*CONN -*P HI[400] O -*I *1097:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[400] 0.000245147 -2 *1097:HI 0.000245147 -3 HI[400] HI[401] 0.000517778 -4 HI[400] HI[402] 0.000164829 -5 HI[399] HI[400] 0.000122378 -6 HI[39] HI[400] 0.000275256 -7 HI[3] HI[400] 0.000140405 -*RES -1 *1097:HI HI[400] 25.6123 -*END - -*D_NET *336 0.00177462 -*CONN -*P HI[401] O -*I *1098:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[401] 0.000243076 -2 *1098:HI 0.000243076 -3 HI[401] HI[402] 8.91055e-05 -4 HI[401] HI[403] 0.000277502 -5 HI[401] HI[404] 0.000122378 -6 HI[3] HI[401] 0.0002817 -7 HI[400] HI[401] 0.000517778 -*RES -1 *1098:HI HI[401] 26.1985 -*END - -*D_NET *337 0.00166617 -*CONN -*P HI[402] O -*I *1099:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[402] 0.000507221 -2 *1099:HI 0.000507221 -3 HI[402] HI[403] 0.000122537 -4 HI[402] HI[404] 0.000275256 -5 HI[400] HI[402] 0.000164829 -6 HI[401] HI[402] 8.91055e-05 -*RES -1 *1099:HI HI[402] 25.3824 -*END - -*D_NET *338 0.00149233 -*CONN -*P HI[403] O -*I *1100:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[403] 0.000344733 -2 *1100:HI 0.000344733 -3 HI[403] HI[404] 0.000125335 -4 HI[403] HI[405] 0.000277488 -5 HI[401] HI[403] 0.000277502 -6 HI[402] HI[403] 0.000122537 -*RES -1 *1100:HI HI[403] 26.6138 -*END - -*D_NET *339 0.00175344 -*CONN -*P HI[404] O -*I *1101:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[404] 0.000220826 -2 *1101:HI 0.000220826 -3 HI[404] HI[405] 0.000517778 -4 HI[404] HI[406] 0.000271044 -5 HI[401] HI[404] 0.000122378 -6 HI[402] HI[404] 0.000275256 -7 HI[403] HI[404] 0.000125335 -*RES -1 *1101:HI HI[404] 25.6123 -*END - -*D_NET *340 0.0017738 -*CONN -*P HI[405] O -*I *1102:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[405] 0.000274317 -2 *1102:HI 0.000274317 -3 HI[405] HI[406] 0.000138481 -4 HI[405] HI[407] 0.000222149 -5 HI[405] HI[409] 6.92705e-05 -6 HI[403] HI[405] 0.000277488 -7 HI[404] HI[405] 0.000517778 -*RES -1 *1102:HI HI[405] 26.7531 -*END - -*D_NET *341 0.00179242 -*CONN -*P HI[406] O -*I *1103:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[406] 0.000539349 -2 *1103:HI 0.000539349 -3 HI[406] HI[407] 8.62417e-05 -4 HI[406] HI[409] 0.000217951 -5 HI[404] HI[406] 0.000271044 -6 HI[405] HI[406] 0.000138481 -*RES -1 *1103:HI HI[406] 25.9226 -*END - -*D_NET *342 0.00178788 -*CONN -*P HI[407] O -*I *1104:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[407] 0.000310339 -2 *1104:HI 0.000310339 -3 HI[407] HI[408] 0.000589271 -4 HI[407] HI[409] 4.09471e-05 -5 HI[407] HI[40] 0.000228593 -6 HI[405] HI[407] 0.000222149 -7 HI[406] HI[407] 8.62417e-05 -*RES -1 *1104:HI HI[407] 27.0118 -*END - -*D_NET *343 0.00164812 -*CONN -*P HI[408] O -*I *1105:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[408] 0.000449502 -2 *1105:HI 0.000449502 -3 HI[408] HI[409] 8.73973e-05 -4 HI[408] HI[40] 7.24449e-05 -5 HI[407] HI[408] 0.000589271 -*RES -1 *1105:HI HI[408] 28.1623 -*END - -*D_NET *344 0.00183471 -*CONN -*P HI[409] O -*I *1106:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[409] 0.000212168 -2 *1106:HI 0.000212168 -3 HI[409] HI[40] 0.000619781 -4 HI[409] HI[410] 0.000375027 -5 HI[405] HI[409] 6.92705e-05 -6 HI[406] HI[409] 0.000217951 -7 HI[407] HI[409] 4.09471e-05 -8 HI[408] HI[409] 8.73973e-05 -*RES -1 *1106:HI HI[409] 25.9887 -*END - -*D_NET *345 0.00175288 -*CONN -*P HI[40] O -*I *1107:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[40] 0.000235493 -2 *1107:HI 0.000235493 -3 HI[40] HI[410] 0.000145372 -4 HI[40] HI[411] 0.000215704 -5 HI[407] HI[40] 0.000228593 -6 HI[408] HI[40] 7.24449e-05 -7 HI[409] HI[40] 0.000619781 -*RES -1 *1107:HI HI[40] 26.4039 -*END - -*D_NET *346 0.00176311 -*CONN -*P HI[410] O -*I *1108:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[410] 0.000530288 -2 *1108:HI 0.000530288 -3 HI[410] HI[411] 0.000117063 -4 HI[410] HI[414] 6.50727e-05 -5 HI[409] HI[410] 0.000375027 -6 HI[40] HI[410] 0.000145372 -*RES -1 *1108:HI HI[410] 25.5734 -*END - -*D_NET *347 0.00172439 -*CONN -*P HI[411] O -*I *1109:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[411] 0.000362497 -2 *1109:HI 0.000362497 -3 HI[411] HI[412] 0.000438031 -4 HI[411] HI[414] 0.000228593 -5 HI[40] HI[411] 0.000215704 -6 HI[410] HI[411] 0.000117063 -*RES -1 *1109:HI HI[411] 27.7593 -*END - -*D_NET *348 0.00152279 -*CONN -*P HI[412] O -*I *1110:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[412] 0.000459447 -2 *1110:HI 0.000459447 -3 HI[412] HI[413] 0.000110411 -4 HI[412] HI[414] 2.39581e-05 -5 HI[412] HI[416] 3.14978e-05 -6 HI[411] HI[412] 0.000438031 -*RES -1 *1110:HI HI[412] 28.1623 -*END - -*D_NET *349 0.000980142 -*CONN -*P HI[413] O -*I *1111:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[413] 0.000389539 -2 *1111:HI 0.000389539 -3 HI[413] HI[414] 9.06543e-05 -4 HI[413] HI[416] 0 -5 HI[412] HI[413] 0.000110411 -*RES -1 *1111:HI HI[413] 24.7345 -*END - -*D_NET *350 0.00160067 -*CONN -*P HI[414] O -*I *1112:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[414] 0.000217972 -2 *1112:HI 0.000217972 -3 HI[414] HI[415] 0.000498303 -4 HI[414] HI[416] 0.000258142 -5 HI[410] HI[414] 6.50727e-05 -6 HI[411] HI[414] 0.000228593 -7 HI[412] HI[414] 2.39581e-05 -8 HI[413] HI[414] 9.06543e-05 -*RES -1 *1112:HI HI[414] 24.8795 -*END - -*D_NET *351 0.00164602 -*CONN -*P HI[415] O -*I *1113:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[415] 0.000464825 -2 *1113:HI 0.000464825 -3 HI[415] HI[416] 9.98845e-05 -4 HI[415] HI[418] 0.00011818 -5 HI[414] HI[415] 0.000498303 -*RES -1 *1113:HI HI[415] 24.4642 -*END - -*D_NET *352 0.00161162 -*CONN -*P HI[416] O -*I *1114:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[416] 0.000250643 -2 *1114:HI 0.000250643 -3 HI[416] HI[417] 0.000439109 -4 HI[416] HI[418] 0.0002817 -5 HI[412] HI[416] 3.14978e-05 -6 HI[413] HI[416] 0 -7 HI[414] HI[416] 0.000258142 -8 HI[415] HI[416] 9.98845e-05 -*RES -1 *1114:HI HI[416] 25.2947 -*END - -*D_NET *353 0.0014729 -*CONN -*P HI[417] O -*I *1115:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[417] 0.000393041 -2 *1115:HI 0.000393041 -3 HI[417] HI[418] 0.000125335 -4 HI[417] HI[41] 0.000122378 -5 HI[416] HI[417] 0.000439109 -*RES -1 *1115:HI HI[417] 26.0592 -*END - -*D_NET *354 0.00167128 -*CONN -*P HI[418] O -*I *1116:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[418] 0.000181893 -2 *1116:HI 0.000181893 -3 HI[418] HI[419] 0.00051122 -4 HI[418] HI[41] 0.000271058 -5 HI[415] HI[418] 0.00011818 -6 HI[416] HI[418] 0.0002817 -7 HI[417] HI[418] 0.000125335 -*RES -1 *1116:HI HI[418] 24.8795 -*END - -*D_NET *355 0.00163877 -*CONN -*P HI[419] O -*I *1117:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[419] 0.000454743 -2 *1117:HI 0.000454743 -3 HI[419] HI[41] 9.98845e-05 -4 HI[419] HI[421] 0.00011818 -5 HI[418] HI[419] 0.00051122 -*RES -1 *1117:HI HI[419] 24.4642 -*END - -*D_NET *356 0.00169447 -*CONN -*P HI[41] O -*I *1118:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[41] 0.000195458 -2 *1118:HI 0.000195458 -3 HI[41] HI[420] 0.000528533 -4 HI[41] HI[421] 0.0002817 -5 HI[417] HI[41] 0.000122378 -6 HI[418] HI[41] 0.000271058 -7 HI[419] HI[41] 9.98845e-05 -*RES -1 *1118:HI HI[41] 25.2947 -*END - -*D_NET *357 0.00139725 -*CONN -*P HI[420] O -*I *1119:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[420] 0.000321073 -2 *1119:HI 0.000321073 -3 HI[420] HI[421] 0.000104196 -4 HI[420] HI[423] 0.000122378 -5 HI[41] HI[420] 0.000528533 -*RES -1 *1119:HI HI[420] 25.71 -*END - -*D_NET *358 0.00166001 -*CONN -*P HI[421] O -*I *1120:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[421] 0.000186828 -2 *1120:HI 0.000186828 -3 HI[421] HI[422] 0.00051122 -4 HI[421] HI[423] 0.000271058 -5 HI[419] HI[421] 0.00011818 -6 HI[41] HI[421] 0.0002817 -7 HI[420] HI[421] 0.000104196 -*RES -1 *1120:HI HI[421] 24.8795 -*END - -*D_NET *359 0.00175226 -*CONN -*P HI[422] O -*I *1121:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[422] 0.000484934 -2 *1121:HI 0.000484934 -3 HI[422] HI[423] 9.98845e-05 -4 HI[422] HI[425] 0.000171288 -5 HI[421] HI[422] 0.00051122 -*RES -1 *1121:HI HI[422] 25.0188 -*END - -*D_NET *360 0.00180678 -*CONN -*P HI[423] O -*I *1122:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[423] 0.000198505 -2 *1122:HI 0.000198505 -3 HI[423] HI[424] 0.000581641 -4 HI[423] HI[425] 0.000334808 -5 HI[420] HI[423] 0.000122378 -6 HI[421] HI[423] 0.000271058 -7 HI[422] HI[423] 9.98845e-05 -*RES -1 *1122:HI HI[423] 25.8493 -*END - -*D_NET *361 0.00147875 -*CONN -*P HI[424] O -*I *1123:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[424] 0.000337372 -2 *1123:HI 0.000337372 -3 HI[424] HI[425] 0.000104196 -4 HI[424] HI[427] 0.000118166 -5 HI[423] HI[424] 0.000581641 -*RES -1 *1123:HI HI[424] 26.2646 -*END - -*D_NET *362 0.00177468 -*CONN -*P HI[425] O -*I *1124:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[425] 0.000195268 -2 *1124:HI 0.000195268 -3 HI[425] HI[426] 0.000507008 -4 HI[425] HI[427] 0.000266846 -5 HI[422] HI[425] 0.000171288 -6 HI[423] HI[425] 0.000334808 -7 HI[424] HI[425] 0.000104196 -*RES -1 *1124:HI HI[425] 25.4341 -*END - -*D_NET *363 0.00166217 -*CONN -*P HI[426] O -*I *1125:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[426] 0.000501123 -2 *1125:HI 0.000501123 -3 HI[426] HI[427] 0.000152917 -4 HI[425] HI[426] 0.000507008 -*RES -1 *1125:HI HI[426] 25.197 -*END - -*D_NET *364 0.00164296 -*CONN -*P HI[427] O -*I *1126:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[427] 0.000264544 -2 *1126:HI 0.000264544 -3 HI[427] HI[428] 0.000525231 -4 HI[427] HI[42] 5.0715e-05 -5 HI[424] HI[427] 0.000118166 -6 HI[425] HI[427] 0.000266846 -7 HI[426] HI[427] 0.000152917 -*RES -1 *1126:HI HI[427] 26.1985 -*END - -*D_NET *365 0.00174307 -*CONN -*P HI[428] O -*I *1127:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[428] 0.000273623 -2 *1127:HI 0.000273623 -3 HI[428] HI[429] 0.000579508 -4 HI[428] HI[42] 9.10851e-05 -5 HI[427] HI[428] 0.000525231 -*RES -1 *1127:HI HI[428] 26.4428 -*END - -*D_NET *366 0.0015728 -*CONN -*P HI[429] O -*I *1128:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[429] 0.000418882 -2 *1128:HI 0.000418882 -3 HI[429] HI[42] 0.000155531 -4 HI[428] HI[429] 0.000579508 -*RES -1 *1128:HI HI[429] 27.2585 -*END - -*D_NET *367 0.00139489 -*CONN -*P HI[42] O -*I *1129:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[42] 0.000383272 -2 *1129:HI 0.000383272 -3 HI[42] HI[430] 8.30857e-05 -4 HI[42] HI[431] 7.24449e-05 -5 HI[42] HI[432] 0.000175485 -6 HI[427] HI[42] 5.0715e-05 -7 HI[428] HI[42] 9.10851e-05 -8 HI[429] HI[42] 0.000155531 -*RES -1 *1129:HI HI[42] 26.3545 -*END - -*D_NET *368 0.000934922 -*CONN -*P HI[430] O -*I *1130:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[430] 0.000384375 -2 *1130:HI 0.000384375 -3 HI[430] HI[431] 8.30857e-05 -4 HI[430] HI[432] 0 -5 HI[430] HI[433] 0 -6 HI[42] HI[430] 8.30857e-05 -*RES -1 *1130:HI HI[430] 24.1799 -*END - -*D_NET *369 0.00146926 -*CONN -*P HI[431] O -*I *1131:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[431] 0.000426684 -2 *1131:HI 0.000426684 -3 HI[431] HI[432] 0.000460359 -4 HI[42] HI[431] 7.24449e-05 -5 HI[430] HI[431] 8.30857e-05 -*RES -1 *1131:HI HI[431] 23.9096 -*END - -*D_NET *370 0.00150846 -*CONN -*P HI[432] O -*I *1132:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[432] 0.000196882 -2 *1132:HI 0.000196882 -3 HI[432] HI[433] 0.000413795 -4 HI[432] HI[434] 6.50586e-05 -5 HI[42] HI[432] 0.000175485 -6 HI[430] HI[432] 0 -7 HI[431] HI[432] 0.000460359 -*RES -1 *1132:HI HI[432] 24.3249 -*END - -*D_NET *371 0.00133781 -*CONN -*P HI[433] O -*I *1133:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[433] 0.00028523 -2 *1133:HI 0.00028523 -3 HI[433] HI[434] 0.000121419 -4 HI[433] HI[435] 0.000167076 -5 HI[433] HI[436] 6.50586e-05 -6 HI[430] HI[433] 0 -7 HI[432] HI[433] 0.000413795 -*RES -1 *1133:HI HI[433] 25.0893 -*END - -*D_NET *372 0.00136178 -*CONN -*P HI[434] O -*I *1134:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[434] 0.000446201 -2 *1134:HI 0.000446201 -3 HI[434] HI[435] 7.78831e-05 -4 HI[434] HI[436] 0.00020502 -5 HI[432] HI[434] 6.50586e-05 -6 HI[433] HI[434] 0.000121419 -*RES -1 *1134:HI HI[434] 24.1022 -*END - -*D_NET *373 0.00125933 -*CONN -*P HI[435] O -*I *1135:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[435] 0.000374221 -2 *1135:HI 0.000374221 -3 HI[435] HI[436] 0.000196658 -4 HI[435] HI[438] 6.92705e-05 -5 HI[433] HI[435] 0.000167076 -6 HI[434] HI[435] 7.78831e-05 -*RES -1 *1135:HI HI[435] 25.5046 -*END - -*D_NET *374 0.00147564 -*CONN -*P HI[436] O -*I *1136:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[436] 0.000233375 -2 *1136:HI 0.000233375 -3 HI[436] HI[437] 0.000324203 -4 HI[436] HI[438] 0.000217951 -5 HI[433] HI[436] 6.50586e-05 -6 HI[434] HI[436] 0.00020502 -7 HI[435] HI[436] 0.000196658 -*RES -1 *1136:HI HI[436] 24.3249 -*END - -*D_NET *375 0.00139216 -*CONN -*P HI[437] O -*I *1137:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[437] 0.00041859 -2 *1137:HI 0.00041859 -3 HI[437] HI[438] 0.000230773 -4 HI[436] HI[437] 0.000324203 -*RES -1 *1137:HI HI[437] 24.266 -*END - -*D_NET *376 0.00145591 -*CONN -*P HI[438] O -*I *1138:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[438] 0.000218718 -2 *1138:HI 0.000218718 -3 HI[438] HI[439] 0.000468982 -4 HI[438] HI[440] 3.14978e-05 -5 HI[435] HI[438] 6.92705e-05 -6 HI[436] HI[438] 0.000217951 -7 HI[437] HI[438] 0.000230773 -*RES -1 *1138:HI HI[438] 24.7401 -*END - -*D_NET *377 0.00125576 -*CONN -*P HI[439] O -*I *1139:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[439] 0.00031765 -2 *1139:HI 0.00031765 -3 HI[439] HI[43] 9.60205e-05 -4 HI[439] HI[440] 2.39581e-05 -5 HI[439] HI[441] 3.14978e-05 -6 HI[438] HI[439] 0.000468982 -*RES -1 *1139:HI HI[439] 25.1554 -*END - -*D_NET *378 0.000805766 -*CONN -*P HI[43] O -*I *1140:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[43] 0.00031333 -2 *1140:HI 0.00031333 -3 HI[43] HI[440] 8.30857e-05 -4 HI[43] HI[441] 0 -5 HI[43] HI[442] 0 -6 HI[439] HI[43] 9.60205e-05 -*RES -1 *1140:HI HI[43] 23.0707 -*END - -*D_NET *379 0.0012277 -*CONN -*P HI[440] O -*I *1141:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[440] 0.000367506 -2 *1141:HI 0.000367506 -3 HI[440] HI[441] 0.000354144 -4 HI[438] HI[440] 3.14978e-05 -5 HI[439] HI[440] 2.39581e-05 -6 HI[43] HI[440] 8.30857e-05 -*RES -1 *1141:HI HI[440] 22.8004 -*END - -*D_NET *380 0.0011839 -*CONN -*P HI[441] O -*I *1142:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[441] 0.000219901 -2 *1142:HI 0.000219901 -3 HI[441] HI[442] 0.000358455 -4 HI[439] HI[441] 3.14978e-05 -5 HI[43] HI[441] 0 -6 HI[440] HI[441] 0.000354144 -*RES -1 *1142:HI HI[441] 23.2157 -*END - -*D_NET *381 0.00104295 -*CONN -*P HI[442] O -*I *1143:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[442] 0.000296393 -2 *1143:HI 0.000296393 -3 HI[442] HI[443] 9.17089e-05 -4 HI[43] HI[442] 0 -5 HI[441] HI[442] 0.000358455 -*RES -1 *1143:HI HI[442] 23.6309 -*END - -*D_NET *382 0.000733301 -*CONN -*P HI[443] O -*I *1144:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[443] 0.000242211 -2 *1144:HI 0.000242211 -3 HI[443] HI[444] 0.00015717 -4 HI[442] HI[443] 9.17089e-05 -*RES -1 *1144:HI HI[443] 22.3473 -*END - -*D_NET *383 0.000731149 -*CONN -*P HI[444] O -*I *1145:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[444] 0.000206097 -2 *1145:HI 0.000206097 -3 HI[444] HI[445] 0.000161784 -4 HI[443] HI[444] 0.00015717 -*RES -1 *1145:HI HI[444] 22.3473 -*END - -*D_NET *384 0.000719272 -*CONN -*P HI[445] O -*I *1146:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[445] 0.000195551 -2 *1146:HI 0.000195551 -3 HI[445] HI[446] 0.000166385 -4 HI[444] HI[445] 0.000161784 -*RES -1 *1146:HI HI[445] 22.3473 -*END - -*D_NET *385 0.000713341 -*CONN -*P HI[446] O -*I *1147:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[446] 0.000190286 -2 *1147:HI 0.000190286 -3 HI[446] HI[447] 0.000166385 -4 HI[445] HI[446] 0.000166385 -*RES -1 *1147:HI HI[446] 22.3473 -*END - -*D_NET *386 0.000713341 -*CONN -*P HI[447] O -*I *1148:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[447] 0.000190286 -2 *1148:HI 0.000190286 -3 HI[447] HI[448] 0.000166385 -4 HI[446] HI[447] 0.000166385 -*RES -1 *1148:HI HI[447] 22.3473 -*END - -*D_NET *387 0.000713341 -*CONN -*P HI[448] O -*I *1149:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[448] 0.000190286 -2 *1149:HI 0.000190286 -3 HI[448] HI[449] 0.000166385 -4 HI[447] HI[448] 0.000166385 -*RES -1 *1149:HI HI[448] 22.3473 -*END - -*D_NET *388 0.000713341 -*CONN -*P HI[449] O -*I *1150:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[449] 0.000190286 -2 *1150:HI 0.000190286 -3 HI[449] HI[44] 0.000166385 -4 HI[448] HI[449] 0.000166385 -*RES -1 *1150:HI HI[449] 22.3473 -*END - -*D_NET *389 0.000700375 -*CONN -*P HI[44] O -*I *1151:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[44] 0.000223824 -2 *1151:HI 0.000223824 -3 HI[44] HI[450] 8.63427e-05 -4 HI[44] HI[451] 0 -5 HI[44] HI[452] 0 -6 HI[449] HI[44] 0.000166385 -*RES -1 *1151:HI HI[44] 22.3473 -*END - -*D_NET *390 0.000630336 -*CONN -*P HI[450] O -*I *1152:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[450] 0.00022793 -2 *1152:HI 0.00022793 -3 HI[450] HI[451] 8.8134e-05 -4 HI[44] HI[450] 8.63427e-05 -*RES -1 *1152:HI HI[450] 20.0274 -*END - -*D_NET *391 0.000613406 -*CONN -*P HI[451] O -*I *1153:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[451] 0.000214468 -2 *1153:HI 0.000214468 -3 HI[451] HI[452] 9.63365e-05 -4 HI[44] HI[451] 0 -5 HI[450] HI[451] 8.8134e-05 -*RES -1 *1153:HI HI[451] 20.8579 -*END - -*D_NET *392 0.000719379 -*CONN -*P HI[452] O -*I *1154:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[452] 0.000230629 -2 *1154:HI 0.000230629 -3 HI[452] HI[453] 0.000161784 -4 HI[44] HI[452] 0 -5 HI[451] HI[452] 9.63365e-05 -*RES -1 *1154:HI HI[452] 22.3473 -*END - -*D_NET *393 0.000706305 -*CONN -*P HI[453] O -*I *1155:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[453] 0.000229089 -2 *1155:HI 0.000229089 -3 HI[453] HI[454] 8.63427e-05 -4 HI[452] HI[453] 0.000161784 -*RES -1 *1155:HI HI[453] 22.3473 -*END - -*D_NET *394 0.000472431 -*CONN -*P HI[454] O -*I *1156:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[454] 0.000151501 -2 *1156:HI 0.000151501 -3 HI[454] HI[455] 8.30857e-05 -4 HI[453] HI[454] 8.63427e-05 -*RES -1 *1156:HI HI[454] 18.9182 -*END - -*D_NET *395 0.000476629 -*CONN -*P HI[455] O -*I *1157:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[455] 0.000155229 -2 *1157:HI 0.000155229 -3 HI[455] HI[456] 8.30857e-05 -4 HI[454] HI[455] 8.30857e-05 -*RES -1 *1157:HI HI[455] 18.9182 -*END - -*D_NET *396 0.00052197 -*CONN -*P HI[456] O -*I *1158:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[456] 0.000183099 -2 *1158:HI 0.000183099 -3 HI[456] HI[457] 7.26871e-05 -4 HI[455] HI[456] 8.30857e-05 -*RES -1 *1158:HI HI[456] 18.9182 -*END - -*D_NET *397 0.000487864 -*CONN -*P HI[457] O -*I *1159:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[457] 0.000166046 -2 *1159:HI 0.000166046 -3 HI[457] HI[458] 8.30857e-05 -4 HI[456] HI[457] 7.26871e-05 -*RES -1 *1159:HI HI[457] 18.9182 -*END - -*D_NET *398 0.000476629 -*CONN -*P HI[458] O -*I *1160:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[458] 0.000155229 -2 *1160:HI 0.000155229 -3 HI[458] HI[459] 8.30857e-05 -4 HI[457] HI[458] 8.30857e-05 -*RES -1 *1160:HI HI[458] 18.9182 -*END - -*D_NET *399 0.000754848 -*CONN -*P HI[459] O -*I *1161:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[459] 0.000261802 -2 *1161:HI 0.000261802 -3 HI[459] HI[45] 0.000148158 -4 HI[458] HI[459] 8.30857e-05 -*RES -1 *1161:HI HI[459] 20.582 -*END - -*D_NET *400 0.000703683 -*CONN -*P HI[45] O -*I *1162:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[45] 0.000201584 -2 *1162:HI 0.000201584 -3 HI[45] HI[460] 0.000152356 -4 HI[459] HI[45] 0.000148158 -*RES -1 *1162:HI HI[45] 20.9972 -*END - -*D_NET *401 0.00075367 -*CONN -*P HI[460] O -*I *1163:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[460] 0.000259114 -2 *1163:HI 0.000259114 -3 HI[460] HI[461] 8.30857e-05 -4 HI[45] HI[460] 0.000152356 -*RES -1 *1163:HI HI[460] 20.582 -*END - -*D_NET *402 0.000627215 -*CONN -*P HI[461] O -*I *1164:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[461] 0.000230599 -2 *1164:HI 0.000230599 -3 HI[461] HI[462] 8.29314e-05 -4 HI[460] HI[461] 8.30857e-05 -*RES -1 *1164:HI HI[461] 20.7746 -*END - -*D_NET *403 0.00070108 -*CONN -*P HI[462] O -*I *1165:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[462] 0.000246711 -2 *1165:HI 0.000246711 -3 HI[462] HI[46] 0.000124726 -4 HI[462] HI[47] 0 -5 HI[461] HI[462] 8.29314e-05 -*RES -1 *1165:HI HI[462] 21.7617 -*END - -*D_NET *404 0.000771783 -*CONN -*P HI[46] O -*I *1166:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[46] 0.000249449 -2 *1166:HI 0.000249449 -3 HI[46] HI[47] 0.000148158 -4 HI[462] HI[46] 0.000124726 -*RES -1 *1166:HI HI[46] 20.582 -*END - -*D_NET *405 0.000698861 -*CONN -*P HI[47] O -*I *1167:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[47] 0.000199173 -2 *1167:HI 0.000199173 -3 HI[47] HI[48] 0.000152356 -4 HI[462] HI[47] 0 -5 HI[46] HI[47] 0.000148158 -*RES -1 *1167:HI HI[47] 20.9972 -*END - -*D_NET *406 0.00075367 -*CONN -*P HI[48] O -*I *1168:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[48] 0.000259114 -2 *1168:HI 0.000259114 -3 HI[48] HI[49] 8.30857e-05 -4 HI[47] HI[48] 0.000152356 -*RES -1 *1168:HI HI[48] 20.582 -*END - -*D_NET *407 0.000627215 -*CONN -*P HI[49] O -*I *1169:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[49] 0.000230599 -2 *1169:HI 0.000230599 -3 HI[49] HI[4] 8.29314e-05 -4 HI[48] HI[49] 8.30857e-05 -*RES -1 *1169:HI HI[49] 20.7746 -*END - -*D_NET *408 0.000752153 -*CONN -*P HI[4] O -*I *1170:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[4] 0.000260173 -2 *1170:HI 0.000260173 -3 HI[4] HI[50] 0.000148875 -4 HI[4] HI[51] 0 -5 HI[49] HI[4] 8.29314e-05 -*RES -1 *1170:HI HI[4] 22.3163 -*END - -*D_NET *409 0.000893724 -*CONN -*P HI[50] O -*I *1171:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[50] 0.000271792 -2 *1171:HI 0.000271792 -3 HI[50] HI[51] 0.000201266 -4 HI[4] HI[50] 0.000148875 -*RES -1 *1171:HI HI[50] 21.1366 -*END - -*D_NET *410 0.000801943 -*CONN -*P HI[51] O -*I *1172:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[51] 0.000197607 -2 *1172:HI 0.000197607 -3 HI[51] HI[52] 0.000205464 -4 HI[4] HI[51] 0 -5 HI[50] HI[51] 0.000201266 -*RES -1 *1172:HI HI[51] 21.5518 -*END - -*D_NET *411 0.000865978 -*CONN -*P HI[52] O -*I *1173:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[52] 0.000288714 -2 *1173:HI 0.000288714 -3 HI[52] HI[53] 8.30857e-05 -4 HI[51] HI[52] 0.000205464 -*RES -1 *1173:HI HI[52] 21.1366 -*END - -*D_NET *412 0.000762771 -*CONN -*P HI[53] O -*I *1174:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[53] 0.000268365 -2 *1174:HI 0.000268365 -3 HI[53] HI[54] 0.000142956 -4 HI[52] HI[53] 8.30857e-05 -*RES -1 *1174:HI HI[53] 21.3292 -*END - -*D_NET *413 0.000813522 -*CONN -*P HI[54] O -*I *1175:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[54] 0.00022748 -2 *1175:HI 0.00022748 -3 HI[54] HI[55] 0.000215607 -4 HI[53] HI[54] 0.000142956 -*RES -1 *1175:HI HI[54] 21.901 -*END - -*D_NET *414 0.000955359 -*CONN -*P HI[55] O -*I *1176:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[55] 0.000269243 -2 *1176:HI 0.000269243 -3 HI[55] HI[56] 0.000201266 -4 HI[54] HI[55] 0.000215607 -*RES -1 *1176:HI HI[55] 21.1366 -*END - -*D_NET *415 0.000806765 -*CONN -*P HI[56] O -*I *1177:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[56] 0.000200018 -2 *1177:HI 0.000200018 -3 HI[56] HI[57] 0.000205464 -4 HI[55] HI[56] 0.000201266 -*RES -1 *1177:HI HI[56] 21.5518 -*END - -*D_NET *416 0.000950991 -*CONN -*P HI[57] O -*I *1178:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[57] 0.000272131 -2 *1178:HI 0.000272131 -3 HI[57] HI[58] 0.000201266 -4 HI[56] HI[57] 0.000205464 -*RES -1 *1178:HI HI[57] 21.1366 -*END - -*D_NET *417 0.000867943 -*CONN -*P HI[58] O -*I *1179:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[58] 0.000270589 -2 *1179:HI 0.000270589 -3 HI[58] HI[59] 0.00012045 -4 HI[58] HI[5] 5.04829e-06 -5 HI[57] HI[58] 0.000201266 -*RES -1 *1179:HI HI[58] 22.1065 -*END - -*D_NET *418 0.00093661 -*CONN -*P HI[59] O -*I *1180:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[59] 0.000304648 -2 *1180:HI 0.000304648 -3 HI[59] HI[5] 0.000201816 -4 HI[59] HI[60] 5.04829e-06 -5 HI[58] HI[59] 0.00012045 -*RES -1 *1180:HI HI[59] 22.0476 -*END - -*D_NET *419 0.000966912 -*CONN -*P HI[5] O -*I *1181:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[5] 0.000244798 -2 *1181:HI 0.000244798 -3 HI[5] HI[60] 0.000270451 -4 HI[58] HI[5] 5.04829e-06 -5 HI[59] HI[5] 0.000201816 -*RES -1 *1181:HI HI[5] 22.937 -*END - -*D_NET *420 0.000878928 -*CONN -*P HI[60] O -*I *1182:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[60] 0.000220274 -2 *1182:HI 0.000220274 -3 HI[60] HI[61] 0.00016288 -4 HI[60] HI[62] 0 -5 HI[59] HI[60] 5.04829e-06 -6 HI[5] HI[60] 0.000270451 -*RES -1 *1182:HI HI[60] 22.5217 -*END - -*D_NET *421 0.000894774 -*CONN -*P HI[61] O -*I *1183:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[61] 0.00032188 -2 *1183:HI 0.00032188 -3 HI[61] HI[62] 8.8134e-05 -4 HI[60] HI[61] 0.00016288 -*RES -1 *1183:HI HI[61] 21.6912 -*END - -*D_NET *422 0.00078404 -*CONN -*P HI[62] O -*I *1184:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[62] 0.00027617 -2 *1184:HI 0.00027617 -3 HI[62] HI[63] 0.000143566 -4 HI[62] HI[64] 0 -5 HI[62] HI[65] 0 -6 HI[60] HI[62] 0 -7 HI[61] HI[62] 8.8134e-05 -*RES -1 *1184:HI HI[62] 22.8853 -*END - -*D_NET *423 0.000957179 -*CONN -*P HI[63] O -*I *1185:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[63] 0.000304603 -2 *1185:HI 0.000304603 -3 HI[63] HI[64] 0.000204408 -4 HI[62] HI[63] 0.000143566 -*RES -1 *1185:HI HI[63] 22.0404 -*END - -*D_NET *424 0.000927685 -*CONN -*P HI[64] O -*I *1186:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[64] 0.000230668 -2 *1186:HI 0.000230668 -3 HI[64] HI[65] 0.000261942 -4 HI[62] HI[64] 0 -5 HI[63] HI[64] 0.000204408 -*RES -1 *1186:HI HI[64] 22.2846 -*END - -*D_NET *425 0.000864116 -*CONN -*P HI[65] O -*I *1187:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[65] 0.000232799 -2 *1187:HI 0.000232799 -3 HI[65] HI[66] 0.000136576 -4 HI[62] HI[65] 0 -5 HI[64] HI[65] 0.000261942 -*RES -1 *1187:HI HI[65] 22.5217 -*END - -*D_NET *426 0.000990811 -*CONN -*P HI[66] O -*I *1188:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[66] 0.000355517 -2 *1188:HI 0.000355517 -3 HI[66] HI[67] 0.000143202 -4 HI[65] HI[66] 0.000136576 -*RES -1 *1188:HI HI[66] 22.595 -*END - -*D_NET *427 0.00102747 -*CONN -*P HI[67] O -*I *1189:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[67] 0.000262179 -2 *1189:HI 0.000262179 -3 HI[67] HI[68] 0.000290635 -4 HI[67] HI[69] 6.92705e-05 -5 HI[66] HI[67] 0.000143202 -*RES -1 *1189:HI HI[67] 23.0247 -*END - -*D_NET *428 0.00100965 -*CONN -*P HI[68] O -*I *1190:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[68] 0.000257782 -2 *1190:HI 0.000257782 -3 HI[68] HI[69] 0.000134176 -4 HI[68] HI[6] 6.92705e-05 -5 HI[67] HI[68] 0.000290635 -*RES -1 *1190:HI HI[68] 23.4255 -*END - -*D_NET *429 0.00116354 -*CONN -*P HI[69] O -*I *1191:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[69] 0.000329531 -2 *1191:HI 0.000329531 -3 HI[69] HI[6] 0.000301036 -4 HI[67] HI[69] 6.92705e-05 -5 HI[68] HI[69] 0.000134176 -*RES -1 *1191:HI HI[69] 22.2458 -*END - -*D_NET *430 0.00113802 -*CONN -*P HI[6] O -*I *1192:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[6] 0.000193328 -2 *1192:HI 0.000193328 -3 HI[6] HI[70] 0.000311792 -4 HI[6] HI[71] 6.92705e-05 -5 HI[68] HI[6] 6.92705e-05 -6 HI[69] HI[6] 0.000301036 -*RES -1 *1192:HI HI[6] 22.6611 -*END - -*D_NET *431 0.000986996 -*CONN -*P HI[70] O -*I *1193:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[70] 0.00024095 -2 *1193:HI 0.00024095 -3 HI[70] HI[71] 0.000124033 -4 HI[70] HI[72] 6.92705e-05 -5 HI[6] HI[70] 0.000311792 -*RES -1 *1193:HI HI[70] 23.0763 -*END - -*D_NET *432 0.00115918 -*CONN -*P HI[71] O -*I *1194:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[71] 0.000332418 -2 *1194:HI 0.000332418 -3 HI[71] HI[72] 0.000301036 -4 HI[6] HI[71] 6.92705e-05 -5 HI[70] HI[71] 0.000124033 -*RES -1 *1194:HI HI[71] 22.2458 -*END - -*D_NET *433 0.00113802 -*CONN -*P HI[72] O -*I *1195:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[72] 0.000193328 -2 *1195:HI 0.000193328 -3 HI[72] HI[73] 0.000311792 -4 HI[72] HI[74] 6.92705e-05 -5 HI[70] HI[72] 6.92705e-05 -6 HI[71] HI[72] 0.000301036 -*RES -1 *1195:HI HI[72] 22.6611 -*END - -*D_NET *434 0.000986996 -*CONN -*P HI[73] O -*I *1196:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[73] 0.00024095 -2 *1196:HI 0.00024095 -3 HI[73] HI[74] 0.000124033 -4 HI[73] HI[75] 6.92705e-05 -5 HI[72] HI[73] 0.000311792 -*RES -1 *1196:HI HI[73] 23.0763 -*END - -*D_NET *435 0.00126787 -*CONN -*P HI[74] O -*I *1197:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[74] 0.000356988 -2 *1197:HI 0.000356988 -3 HI[74] HI[75] 0.000360588 -4 HI[72] HI[74] 6.92705e-05 -5 HI[73] HI[74] 0.000124033 -*RES -1 *1197:HI HI[74] 22.8004 -*END - -*D_NET *436 0.0011323 -*CONN -*P HI[75] O -*I *1198:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[75] 0.000220254 -2 *1198:HI 0.000220254 -3 HI[75] HI[76] 0.000196864 -4 HI[75] HI[77] 6.50727e-05 -5 HI[73] HI[75] 6.92705e-05 -6 HI[74] HI[75] 0.000360588 -*RES -1 *1198:HI HI[75] 23.2157 -*END - -*D_NET *437 0.00117125 -*CONN -*P HI[76] O -*I *1199:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[76] 0.000372609 -2 *1199:HI 0.000372609 -3 HI[76] HI[77] 0.000115197 -4 HI[76] HI[78] 0.000113968 -5 HI[75] HI[76] 0.000196864 -*RES -1 *1199:HI HI[76] 23.164 -*END - -*D_NET *438 0.00113142 -*CONN -*P HI[77] O -*I *1200:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[77] 0.000290674 -2 *1200:HI 0.000290674 -3 HI[77] HI[78] 0.000369799 -4 HI[75] HI[77] 6.50727e-05 -5 HI[76] HI[77] 0.000115197 -*RES -1 *1200:HI HI[77] 23.9801 -*END - -*D_NET *439 0.001306 -*CONN -*P HI[78] O -*I *1201:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[78] 0.000213256 -2 *1201:HI 0.000213256 -3 HI[78] HI[79] 0.00027754 -4 HI[78] HI[7] 0.00011818 -5 HI[76] HI[78] 0.000113968 -6 HI[77] HI[78] 0.000369799 -*RES -1 *1201:HI HI[78] 23.2157 -*END - -*D_NET *440 0.00120775 -*CONN -*P HI[79] O -*I *1202:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[79] 0.0003785 -2 *1202:HI 0.0003785 -3 HI[79] HI[7] 0.000173208 -4 HI[78] HI[79] 0.00027754 -*RES -1 *1202:HI HI[79] 23.1496 -*END - -*D_NET *441 0.000991179 -*CONN -*P HI[7] O -*I *1203:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[7] 0.000262721 -2 *1203:HI 0.000262721 -3 HI[7] HI[80] 0.000105078 -4 HI[7] HI[81] 6.92705e-05 -5 HI[78] HI[7] 0.00011818 -6 HI[79] HI[7] 0.000173208 -*RES -1 *1203:HI HI[7] 23.6309 -*END - -*D_NET *442 0.00113549 -*CONN -*P HI[80] O -*I *1204:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[80] 0.000357032 -2 *1204:HI 0.000357032 -3 HI[80] HI[81] 0.000316349 -4 HI[7] HI[80] 0.000105078 -*RES -1 *1204:HI HI[80] 23.164 -*END - -*D_NET *443 0.00129509 -*CONN -*P HI[81] O -*I *1205:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[81] 0.000204296 -2 *1205:HI 0.000204296 -3 HI[81] HI[82] 0.000378504 -4 HI[81] HI[83] 0.000122378 -5 HI[7] HI[81] 6.92705e-05 -6 HI[80] HI[81] 0.000316349 -*RES -1 *1205:HI HI[81] 23.5648 -*END - -*D_NET *444 0.00117516 -*CONN -*P HI[82] O -*I *1206:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[82] 0.000260641 -2 *1206:HI 0.000260641 -3 HI[82] HI[83] 9.98845e-05 -4 HI[82] HI[84] 0.000175485 -5 HI[81] HI[82] 0.000378504 -*RES -1 *1206:HI HI[82] 24.1855 -*END - -*D_NET *445 0.00140929 -*CONN -*P HI[83] O -*I *1207:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[83] 0.00038877 -2 *1207:HI 0.00038877 -3 HI[83] HI[84] 0.000409483 -4 HI[81] HI[83] 0.000122378 -5 HI[82] HI[83] 9.98845e-05 -*RES -1 *1207:HI HI[83] 23.355 -*END - -*D_NET *446 0.00128157 -*CONN -*P HI[84] O -*I *1208:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[84] 0.000241233 -2 *1208:HI 0.000241233 -3 HI[84] HI[85] 0.000100163 -4 HI[84] HI[86] 0.000113968 -5 HI[82] HI[84] 0.000175485 -6 HI[83] HI[84] 0.000409483 -*RES -1 *1208:HI HI[84] 23.7703 -*END - -*D_NET *447 0.00132337 -*CONN -*P HI[85] O -*I *1209:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[85] 0.000313543 -2 *1209:HI 0.000313543 -3 HI[85] HI[86] 0.00041915 -4 HI[85] HI[87] 0.00017697 -5 HI[84] HI[85] 0.000100163 -*RES -1 *1209:HI HI[85] 24.9644 -*END - -*D_NET *448 0.00134434 -*CONN -*P HI[86] O -*I *1210:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[86] 0.00022479 -2 *1210:HI 0.00022479 -3 HI[86] HI[87] 0.000186154 -4 HI[86] HI[88] 0.000175485 -5 HI[84] HI[86] 0.000113968 -6 HI[85] HI[86] 0.00041915 -*RES -1 *1210:HI HI[86] 24.5347 -*END - -*D_NET *449 0.00120739 -*CONN -*P HI[87] O -*I *1211:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[87] 0.000354927 -2 *1211:HI 0.000354927 -3 HI[87] HI[88] 0.000134412 -4 HI[85] HI[87] 0.00017697 -5 HI[86] HI[87] 0.000186154 -*RES -1 *1211:HI HI[87] 25.5947 -*END - -*D_NET *450 0.00126087 -*CONN -*P HI[88] O -*I *1212:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[88] 0.000213256 -2 *1212:HI 0.000213256 -3 HI[88] HI[89] 0.000410494 -4 HI[88] HI[8] 0.000113968 -5 HI[86] HI[88] 0.000175485 -6 HI[87] HI[88] 0.000134412 -*RES -1 *1212:HI HI[88] 23.7703 -*END - -*D_NET *451 0.00134691 -*CONN -*P HI[89] O -*I *1213:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[89] 0.00039175 -2 *1213:HI 0.00039175 -3 HI[89] HI[8] 0.000152917 -4 HI[88] HI[89] 0.000410494 -*RES -1 *1213:HI HI[89] 23.355 -*END - -*D_NET *452 0.00110475 -*CONN -*P HI[8] O -*I *1214:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[8] 0.000312417 -2 *1214:HI 0.000312417 -3 HI[8] HI[90] 9.48649e-05 -4 HI[8] HI[91] 0.000118166 -5 HI[88] HI[8] 0.000113968 -6 HI[89] HI[8] 0.000152917 -*RES -1 *1214:HI HI[8] 24.5347 -*END - -*D_NET *453 0.000818152 -*CONN -*P HI[90] O -*I *1215:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[90] 0.000321178 -2 *1215:HI 0.000321178 -3 HI[90] HI[91] 8.09299e-05 -4 HI[90] HI[92] 0 -5 HI[8] HI[90] 9.48649e-05 -*RES -1 *1215:HI HI[90] 23.0707 -*END - -*D_NET *454 0.0012089 -*CONN -*P HI[91] O -*I *1216:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[91] 0.000311819 -2 *1216:HI 0.000311819 -3 HI[91] HI[92] 9.89492e-05 -4 HI[91] HI[93] 0.000222149 -5 HI[343] HI[91] 6.50727e-05 -6 HI[8] HI[91] 0.000118166 -7 HI[90] HI[91] 8.09299e-05 -*RES -1 *1216:HI HI[91] 24.674 -*END - -*D_NET *455 0.000724378 -*CONN -*P HI[92] O -*I *1217:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[92] 0.000269543 -2 *1217:HI 0.000269543 -3 HI[92] HI[93] 8.63427e-05 -4 HI[92] HI[94] 0 -5 HI[90] HI[92] 0 -6 HI[91] HI[92] 9.89492e-05 -*RES -1 *1217:HI HI[92] 22.3473 -*END - -*D_NET *456 0.00112527 -*CONN -*P HI[93] O -*I *1218:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[93] 0.000334395 -2 *1218:HI 0.000334395 -3 HI[93] HI[94] 8.30857e-05 -4 HI[343] HI[93] 6.49003e-05 -5 HI[91] HI[93] 0.000222149 -6 HI[92] HI[93] 8.63427e-05 -*RES -1 *1218:HI HI[93] 22.2458 -*END - -*D_NET *457 0.000736058 -*CONN -*P HI[94] O -*I *1219:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[94] 0.000250215 -2 *1219:HI 0.000250215 -3 HI[94] HI[95] 0.000152543 -4 HI[92] HI[94] 0 -5 HI[93] HI[94] 8.30857e-05 -*RES -1 *1219:HI HI[94] 22.3473 -*END - -*D_NET *458 0.000731236 -*CONN -*P HI[95] O -*I *1220:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[95] 0.000247804 -2 *1220:HI 0.000247804 -3 HI[95] HI[96] 8.30857e-05 -4 HI[95] HI[97] 0 -5 HI[94] HI[95] 0.000152543 -*RES -1 *1220:HI HI[95] 22.3473 -*END - -*D_NET *459 0.000489195 -*CONN -*P HI[96] O -*I *1221:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[96] 0.000145763 -2 *1221:HI 0.000145763 -3 HI[96] HI[97] 8.30857e-05 -4 HI[343] HI[96] 3.14978e-05 -5 HI[95] HI[96] 8.30857e-05 -*RES -1 *1221:HI HI[96] 18.9182 -*END - -*D_NET *460 0.000868944 -*CONN -*P HI[97] O -*I *1222:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[97] 0.000349758 -2 *1222:HI 0.000349758 -3 HI[97] HI[98] 8.63427e-05 -4 HI[95] HI[97] 0 -5 HI[96] HI[97] 8.30857e-05 -*RES -1 *1222:HI HI[97] 24.1799 -*END - -*D_NET *461 0.00037827 -*CONN -*P HI[98] O -*I *1223:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[98] 0.00010981 -2 *1223:HI 0.00010981 -3 HI[98] HI[99] 7.23067e-05 -4 HI[97] HI[98] 8.63427e-05 -*RES -1 *1223:HI HI[98] 18.1948 -*END - -*D_NET *462 0.000588348 -*CONN -*P HI[99] O -*I *1224:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[99] 0.000195657 -2 *1224:HI 0.000195657 -3 HI[99] HI[9] 9.32286e-05 -4 HI[343] HI[99] 3.14978e-05 -5 HI[98] HI[99] 7.23067e-05 -*RES -1 *1224:HI HI[99] 19.822 -*END - -*D_NET *463 0.000376587 -*CONN -*P HI[9] O -*I *1225:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 HI[9] 9.85079e-05 -2 *1225:HI 9.85079e-05 -3 HI[343] HI[9] 8.63427e-05 -4 HI[99] HI[9] 9.32286e-05 -*RES -1 *1225:HI HI[9] 18.1948 -*END diff --git a/spef/spare_logic_block.spef b/spef/spare_logic_block.spef deleted file mode 100644 index 1f2b9daa..00000000 --- a/spef/spare_logic_block.spef +++ /dev/null @@ -1,1192 +0,0 @@ -*SPEF "ieee 1481-1999" -*DESIGN "spare_logic_block" -*DATE "11:11:11 Fri 11 11, 1111" -*VENDOR "OpenRCX" -*PROGRAM "Parallel Extraction" -*VERSION "1.0" -*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" -*DIVIDER / -*DELIMITER : -*BUS_DELIMITER [] -*T_UNIT 1 NS -*C_UNIT 1 PF -*R_UNIT 1 OHM -*L_UNIT 1 HENRY - -*NAME_MAP -*1 spare_xfq[0] -*2 spare_xfq[1] -*3 spare_xfqn[0] -*4 spare_xfqn[1] -*5 spare_xi[0] -*6 spare_xi[1] -*7 spare_xi[2] -*8 spare_xi[3] -*9 spare_xib -*10 spare_xmx[0] -*11 spare_xmx[1] -*12 spare_xna[0] -*13 spare_xna[1] -*14 spare_xno[0] -*15 spare_xno[1] -*16 spare_xz[0] -*17 spare_xz[10] -*18 spare_xz[11] -*19 spare_xz[12] -*20 spare_xz[13] -*21 spare_xz[14] -*22 spare_xz[15] -*23 spare_xz[16] -*24 spare_xz[17] -*25 spare_xz[18] -*26 spare_xz[19] -*27 spare_xz[1] -*28 spare_xz[20] -*29 spare_xz[21] -*30 spare_xz[22] -*31 spare_xz[23] -*32 spare_xz[24] -*33 spare_xz[25] -*34 spare_xz[26] -*35 spare_xz[2] -*36 spare_xz[3] -*37 spare_xz[4] -*38 spare_xz[5] -*39 spare_xz[6] -*40 spare_xz[7] -*41 spare_xz[8] -*42 spare_xz[9] -*45 spare_logic1\[0\] -*46 spare_logic1\[10\] -*47 spare_logic1\[11\] -*48 spare_logic1\[12\] -*49 spare_logic1\[13\] -*50 spare_logic1\[14\] -*51 spare_logic1\[15\] -*52 spare_logic1\[16\] -*53 spare_logic1\[17\] -*54 spare_logic1\[18\] -*55 spare_logic1\[19\] -*56 spare_logic1\[1\] -*57 spare_logic1\[20\] -*58 spare_logic1\[21\] -*59 spare_logic1\[22\] -*60 spare_logic1\[23\] -*61 spare_logic1\[24\] -*62 spare_logic1\[25\] -*63 spare_logic1\[26\] -*64 spare_logic1\[2\] -*65 spare_logic1\[3\] -*66 spare_logic1\[4\] -*67 spare_logic1\[5\] -*68 spare_logic1\[6\] -*69 spare_logic1\[7\] -*70 spare_logic1\[8\] -*71 spare_logic1\[9\] -*72 FILLER_0_15 -*73 FILLER_0_24 -*74 FILLER_0_29 -*75 FILLER_0_3 -*76 FILLER_0_34 -*77 FILLER_0_42 -*78 FILLER_0_47 -*79 FILLER_0_55 -*80 FILLER_0_57 -*81 FILLER_0_66 -*82 FILLER_10_14 -*83 FILLER_10_21 -*84 FILLER_10_27 -*85 FILLER_10_3 -*86 FILLER_10_34 -*87 FILLER_10_46 -*88 FILLER_10_52 -*89 FILLER_10_59 -*90 FILLER_10_66 -*91 FILLER_11_15 -*92 FILLER_11_27 -*93 FILLER_11_29 -*94 FILLER_11_3 -*95 FILLER_11_41 -*96 FILLER_11_53 -*97 FILLER_11_57 -*98 FILLER_11_66 -*99 FILLER_1_3 -*100 FILLER_1_38 -*101 FILLER_1_44 -*102 FILLER_1_48 -*103 FILLER_1_62 -*104 FILLER_1_8 -*105 FILLER_2_15 -*106 FILLER_2_22 -*107 FILLER_2_29 -*108 FILLER_2_3 -*109 FILLER_2_41 -*110 FILLER_2_47 -*111 FILLER_2_54 -*112 FILLER_2_62 -*113 FILLER_2_66 -*114 FILLER_2_8 -*115 FILLER_3_3 -*116 FILLER_3_35 -*117 FILLER_3_47 -*118 FILLER_3_55 -*119 FILLER_3_57 -*120 FILLER_3_66 -*121 FILLER_4_20 -*122 FILLER_4_29 -*123 FILLER_4_3 -*124 FILLER_4_41 -*125 FILLER_4_53 -*126 FILLER_4_57 -*127 FILLER_4_61 -*128 FILLER_4_69 -*129 FILLER_4_8 -*130 FILLER_5_12 -*131 FILLER_5_19 -*132 FILLER_5_31 -*133 FILLER_5_43 -*134 FILLER_5_52 -*135 FILLER_5_57 -*136 FILLER_5_66 -*137 FILLER_6_10 -*138 FILLER_6_17 -*139 FILLER_6_25 -*140 FILLER_6_29 -*141 FILLER_6_3 -*142 FILLER_6_41 -*143 FILLER_6_53 -*144 FILLER_6_59 -*145 FILLER_6_66 -*146 FILLER_7_15 -*147 FILLER_7_27 -*148 FILLER_7_3 -*149 FILLER_7_39 -*150 FILLER_7_51 -*151 FILLER_7_55 -*152 FILLER_7_57 -*153 FILLER_7_61 -*154 FILLER_7_69 -*155 FILLER_8_20 -*156 FILLER_8_29 -*157 FILLER_8_3 -*158 FILLER_8_41 -*159 FILLER_8_53 -*160 FILLER_8_66 -*161 FILLER_8_8 -*162 FILLER_9_16 -*163 FILLER_9_20 -*164 FILLER_9_24 -*165 FILLER_9_3 -*166 FILLER_9_36 -*167 FILLER_9_48 -*168 FILLER_9_57 -*169 FILLER_9_63 -*170 FILLER_9_69 -*171 FILLER_9_9 -*172 PHY_0 -*173 PHY_1 -*174 PHY_10 -*175 PHY_11 -*176 PHY_12 -*177 PHY_13 -*178 PHY_14 -*179 PHY_15 -*180 PHY_16 -*181 PHY_17 -*182 PHY_18 -*183 PHY_19 -*184 PHY_2 -*185 PHY_20 -*186 PHY_21 -*187 PHY_22 -*188 PHY_23 -*189 PHY_3 -*190 PHY_4 -*191 PHY_5 -*192 PHY_6 -*193 PHY_7 -*194 PHY_8 -*195 PHY_9 -*196 TAP_24 -*197 TAP_25 -*198 TAP_26 -*199 TAP_27 -*200 TAP_28 -*201 TAP_29 -*202 TAP_30 -*203 TAP_31 -*204 TAP_32 -*205 TAP_33 -*206 TAP_34 -*207 TAP_35 -*208 TAP_36 -*209 TAP_37 -*210 spare_logic_biginv -*211 spare_logic_const\[0\] -*212 spare_logic_const\[10\] -*213 spare_logic_const\[11\] -*214 spare_logic_const\[12\] -*215 spare_logic_const\[13\] -*216 spare_logic_const\[14\] -*217 spare_logic_const\[15\] -*218 spare_logic_const\[16\] -*219 spare_logic_const\[17\] -*220 spare_logic_const\[18\] -*221 spare_logic_const\[19\] -*222 spare_logic_const\[1\] -*223 spare_logic_const\[20\] -*224 spare_logic_const\[21\] -*225 spare_logic_const\[22\] -*226 spare_logic_const\[23\] -*227 spare_logic_const\[24\] -*228 spare_logic_const\[25\] -*229 spare_logic_const\[26\] -*230 spare_logic_const\[2\] -*231 spare_logic_const\[3\] -*232 spare_logic_const\[4\] -*233 spare_logic_const\[5\] -*234 spare_logic_const\[6\] -*235 spare_logic_const\[7\] -*236 spare_logic_const\[8\] -*237 spare_logic_const\[9\] -*238 spare_logic_flop\[0\] -*239 spare_logic_flop\[1\] -*240 spare_logic_inv\[0\] -*241 spare_logic_inv\[1\] -*242 spare_logic_inv\[2\] -*243 spare_logic_inv\[3\] -*244 spare_logic_mux\[0\] -*245 spare_logic_mux\[1\] -*246 spare_logic_nand\[0\] -*247 spare_logic_nand\[1\] -*248 spare_logic_nor\[0\] -*249 spare_logic_nor\[1\] - -*PORTS -spare_xfq[0] O -spare_xfq[1] O -spare_xfqn[0] O -spare_xfqn[1] O -spare_xi[0] O -spare_xi[1] O -spare_xi[2] O -spare_xi[3] O -spare_xib O -spare_xmx[0] O -spare_xmx[1] O -spare_xna[0] O -spare_xna[1] O -spare_xno[0] O -spare_xno[1] O -spare_xz[0] O -spare_xz[10] O -spare_xz[11] O -spare_xz[12] O -spare_xz[13] O -spare_xz[14] O -spare_xz[15] O -spare_xz[16] O -spare_xz[17] O -spare_xz[18] O -spare_xz[19] O -spare_xz[1] O -spare_xz[20] O -spare_xz[21] O -spare_xz[22] O -spare_xz[23] O -spare_xz[24] O -spare_xz[25] O -spare_xz[26] O -spare_xz[2] O -spare_xz[3] O -spare_xz[4] O -spare_xz[5] O -spare_xz[6] O -spare_xz[7] O -spare_xz[8] O -spare_xz[9] O - -*D_NET *1 0.00318743 -*CONN -*P spare_xfq[0] O -*I *238:Q O *D sky130_fd_sc_hd__dfbbp_1 -*CAP -1 spare_xfq[0] 0.00143288 -2 *238:Q 0.00143288 -3 spare_xfq[0] spare_xz[16] 0.000152331 -4 spare_xfq[0] spare_xz[21] 4.61962e-05 -5 spare_xfq[0] spare_xz[7] 0 -6 spare_xfq[0] *238:RESET_B 1.43832e-05 -7 spare_xfq[0] *4:13 0.000108765 -*RES -1 *238:Q spare_xfq[0] 27.0419 -*END - -*D_NET *2 0.00194441 -*CONN -*P spare_xfq[1] O -*I *239:Q O *D sky130_fd_sc_hd__dfbbp_1 -*CAP -1 spare_xfq[1] 0.000660358 -2 *239:Q 0.000660358 -3 spare_xfq[1] spare_xna[1] 3.72267e-05 -4 spare_xfq[1] *247:A 1.00766e-05 -5 spare_xfq[1] *247:B 0.000362285 -6 spare_xfq[1] *28:8 0.000214105 -*RES -1 *239:Q spare_xfq[1] 32.0865 -*END - -*D_NET *3 0.00387873 -*CONN -*P spare_xfqn[0] O -*I *238:Q_N O *D sky130_fd_sc_hd__dfbbp_1 -*CAP -1 spare_xfqn[0] 0.00103147 -2 *238:Q_N 0.00103147 -3 spare_xfqn[0] spare_xz[10] 0.000226853 -4 spare_xfqn[0] *238:RESET_B 0.000132467 -5 spare_xfqn[0] *28:26 0 -6 spare_xfqn[0] *41:25 0.00145648 -*RES -1 *238:Q_N spare_xfqn[0] 48.2673 -*END - -*D_NET *4 0.00595762 -*CONN -*P spare_xfqn[1] O -*I *239:Q_N O *D sky130_fd_sc_hd__dfbbp_1 -*CAP -1 spare_xfqn[1] 0.000900678 -2 *239:Q_N 0.00101053 -3 *4:13 0.00191121 -4 spare_xfqn[1] spare_xib 5.57117e-05 -5 spare_xfqn[1] spare_xno[1] 5.13168e-05 -6 *4:13 spare_xna[0] 0.000155415 -7 *4:13 spare_xz[0] 2.86353e-06 -8 *4:13 spare_xz[21] 4.15201e-05 -9 *4:13 *239:D 9.43222e-06 -10 *4:13 *239:RESET_B 0 -11 *4:13 *246:B 0 -12 *4:13 *41:8 5.71992e-05 -13 *4:13 *41:25 0.00165298 -14 spare_xfq[0] *4:13 0.000108765 -*RES -1 *239:Q_N *4:13 48.6751 -2 *4:13 spare_xfqn[1] 2.77859 -*END - -*D_NET *5 0.00172942 -*CONN -*P spare_xi[0] O -*I *240:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 spare_xi[0] 0.00086471 -2 *240:Y 0.00086471 -3 spare_xi[0] spare_xz[1] 0 -4 spare_xi[0] *241:A 0 -5 spare_xi[0] *6:7 0 -*RES -1 *240:Y spare_xi[0] 31.6657 -*END - -*D_NET *6 0.00214346 -*CONN -*P spare_xi[1] O -*I *241:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 spare_xi[1] 0.000126267 -2 *241:Y 0.00034142 -3 *6:8 0.00068171 -4 *6:7 0.000896863 -5 spare_xi[1] spare_xna[1] 0 -6 *6:7 *241:A 9.72058e-05 -7 spare_xi[0] *6:7 0 -*RES -1 *241:Y *6:7 24.2131 -2 *6:7 *6:8 938.708 -3 *6:8 spare_xi[1] 17.8657 -*END - -*D_NET *7 0.00175983 -*CONN -*P spare_xi[2] O -*I *242:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 spare_xi[2] 0.00010657 -2 *242:Y 0.000332308 -3 *7:8 0.0004609 -4 *7:7 0.000686638 -5 spare_xi[2] spare_xna[0] 0 -6 spare_xi[2] spare_xz[11] 7.64213e-06 -7 spare_xi[2] spare_xz[17] 3.4849e-05 -8 *7:7 spare_xz[3] 0 -9 *7:7 *35:17 0.00013092 -*RES -1 *242:Y *7:7 24.2131 -2 *7:7 *7:8 591.038 -3 *7:8 spare_xi[2] 17.8657 -*END - -*D_NET *8 0.00661909 -*CONN -*P spare_xi[3] O -*I *243:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 spare_xi[3] 0.000628529 -2 *243:Y 0.000229523 -3 *8:11 0.00284899 -4 *8:8 0.00244999 -5 spare_xi[3] spare_xz[18] 0 -6 *8:8 spare_xz[10] 0 -7 *8:8 spare_xz[20] 0.000462058 -*RES -1 *243:Y *8:8 26.3099 -2 *8:8 *8:11 39.0542 -3 *8:11 spare_xi[3] 17.7337 -*END - -*D_NET *9 0.000952727 -*CONN -*P spare_xib O -*I *210:Y O *D sky130_fd_sc_hd__inv_8 -*CAP -1 spare_xib 0.000448508 -2 *210:Y 0.000448508 -3 spare_xib spare_xz[14] 0 -4 spare_xib spare_xz[18] 0 -5 spare_xib spare_xz[8] 0 -6 spare_xfqn[1] spare_xib 5.57117e-05 -*RES -1 *210:Y spare_xib 22.4772 -*END - -*D_NET *10 0.00144434 -*CONN -*P spare_xmx[0] O -*I *244:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 spare_xmx[0] 0.000563694 -2 *244:X 0.000563694 -3 spare_xmx[0] spare_xz[11] 0 -4 spare_xmx[0] spare_xz[13] 8.55049e-05 -5 spare_xmx[0] *244:A0 7.82128e-05 -6 spare_xmx[0] *36:11 0.00015324 -*RES -1 *244:X spare_xmx[0] 29.0646 -*END - -*D_NET *11 0.00591971 -*CONN -*P spare_xmx[1] O -*I *245:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 spare_xmx[1] 0.000166659 -2 *245:X 0.00157429 -3 *11:10 0.00174095 -4 spare_xmx[1] spare_xz[6] 0 -5 *11:10 *238:RESET_B 3.27828e-05 -6 *11:10 *14:7 0.00158784 -7 *11:10 *28:8 7.45478e-05 -8 *11:10 *28:26 0.00063379 -9 *11:10 *33:8 0.000108858 -10 *11:10 *39:6 0 -*RES -1 *245:X *11:10 48.2876 -2 *11:10 spare_xmx[1] 4.23802 -*END - -*D_NET *12 0.00290785 -*CONN -*P spare_xna[0] O -*I *246:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 spare_xna[0] 0.00131754 -2 *246:Y 0.00131754 -3 spare_xna[0] spare_xz[13] 0.000112597 -4 spare_xna[0] *41:25 4.75721e-06 -5 spare_xi[2] spare_xna[0] 0 -6 *4:13 spare_xna[0] 0.000155415 -*RES -1 *246:Y spare_xna[0] 21.0018 -*END - -*D_NET *13 0.00129207 -*CONN -*P spare_xna[1] O -*I *247:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 spare_xna[1] 0.00060201 -2 *247:Y 0.00060201 -3 spare_xna[1] spare_xz[21] 5.08239e-05 -4 spare_xfq[1] spare_xna[1] 3.72267e-05 -5 spare_xi[1] spare_xna[1] 0 -*RES -1 *247:Y spare_xna[1] 21.3516 -*END - -*D_NET *14 0.00648411 -*CONN -*P spare_xno[0] O -*I *248:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 spare_xno[0] 0.000840131 -2 *248:Y 0.000933231 -3 *14:7 0.00177336 -4 spare_xno[0] spare_xz[19] 1.53148e-05 -5 spare_xno[0] spare_xz[22] 0 -6 spare_xno[0] *238:D 0 -7 *14:7 spare_xz[0] 0.000241006 -8 *14:7 spare_xz[18] 6.92597e-05 -9 *14:7 *245:A0 5.31465e-05 -10 *14:7 *245:A1 0.000122661 -11 *14:7 *246:B 0.000244519 -12 *14:7 *22:13 0 -13 *14:7 *40:5 4.89251e-05 -14 *14:7 *42:7 0.000554715 -15 *11:10 *14:7 0.00158784 -*RES -1 *248:Y *14:7 48.858 -2 *14:7 spare_xno[0] 23.8404 -*END - -*D_NET *15 0.000582291 -*CONN -*P spare_xno[1] O -*I *249:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 spare_xno[1] 0.000255385 -2 *249:Y 0.000255385 -3 spare_xno[1] spare_xz[12] 0 -4 spare_xno[1] *249:B 2.02035e-05 -5 spare_xfqn[1] spare_xno[1] 5.13168e-05 -*RES -1 *249:Y spare_xno[1] 18.2481 -*END - -*D_NET *16 0.00553956 -*CONN -*P spare_xz[0] O -*I *240:A I *D sky130_fd_sc_hd__inv_2 -*I *211:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 spare_xz[0] 0.00167052 -2 *240:A 0.000445172 -3 *211:LO 0 -4 *16:5 0.00211569 -5 spare_xz[0] spare_xz[12] 3.24342e-05 -6 spare_xz[0] spare_xz[7] 0.000128216 -7 spare_xz[0] spare_xz[9] 0 -8 spare_xz[0] *246:B 4.20184e-06 -9 spare_xz[0] *22:10 0.000154469 -10 spare_xz[0] *28:26 0.00053002 -11 spare_xz[0] *42:7 0.000157767 -12 *240:A *22:10 5.71992e-05 -13 *4:13 spare_xz[0] 2.86353e-06 -14 *14:7 spare_xz[0] 0.000241006 -*RES -1 *211:LO *16:5 13.7491 -2 *16:5 *240:A 24.7517 -3 *16:5 spare_xz[0] 33.307 -*END - -*D_NET *17 0.00292957 -*CONN -*P spare_xz[10] O -*I *249:A I *D sky130_fd_sc_hd__nor2_2 -*I *212:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 spare_xz[10] 0.00114028 -2 *249:A 0.000151684 -3 *212:LO 0 -4 *17:4 0.00129196 -5 spare_xz[10] spare_xz[20] 0 -6 spare_xz[10] *38:8 0.000118792 -7 spare_xz[10] *41:25 0 -8 spare_xfqn[0] spare_xz[10] 0.000226853 -9 *8:8 spare_xz[10] 0 -*RES -1 *212:LO *17:4 9.24915 -2 *17:4 *249:A 12.7697 -3 *17:4 spare_xz[10] 36.5707 -*END - -*D_NET *18 0.00320194 -*CONN -*P spare_xz[11] O -*I *248:B I *D sky130_fd_sc_hd__nor2_2 -*I *213:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 spare_xz[11] 0.00136943 -2 *248:B 0.000206687 -3 *213:LO 0 -4 *18:4 0.00157612 -5 spare_xz[11] spare_xz[2] 8.95978e-06 -6 spare_xz[11] spare_xz[3] 0 -7 *248:B *22:10 0 -8 *248:B *42:7 3.30997e-05 -9 spare_xi[2] spare_xz[11] 7.64213e-06 -10 spare_xmx[0] spare_xz[11] 0 -*RES -1 *213:LO *18:4 9.24915 -2 *18:4 *248:B 23.4595 -3 *18:4 spare_xz[11] 30.716 -*END - -*D_NET *19 0.00145546 -*CONN -*P spare_xz[12] O -*I *249:B I *D sky130_fd_sc_hd__nor2_2 -*I *214:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 spare_xz[12] 0.000559557 -2 *249:B 0.000141856 -3 *214:LO 0 -4 *19:5 0.000701413 -5 spare_xz[12] spare_xz[14] 0 -6 spare_xz[12] spare_xz[18] 0 -7 *249:B spare_xz[14] 0 -8 *249:B spare_xz[18] 0 -9 spare_xno[1] spare_xz[12] 0 -10 spare_xno[1] *249:B 2.02035e-05 -11 spare_xz[0] spare_xz[12] 3.24342e-05 -*RES -1 *214:LO *19:5 13.7491 -2 *19:5 spare_xz[12] 8.22342 -3 *19:5 *249:B 17.6574 -*END - -*D_NET *20 0.0024305 -*CONN -*P spare_xz[13] O -*I *244:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *215:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 spare_xz[13] 0.000636979 -2 *244:A0 0.000281296 -3 *215:LO 2.36273e-05 -4 *20:7 0.000941902 -5 spare_xz[13] spare_xz[2] 3.59102e-05 -6 spare_xz[13] *35:9 0 -7 *244:A0 *36:11 0.00015324 -8 *20:7 spare_xz[3] 1.61631e-05 -9 *20:7 *243:A 6.50727e-05 -10 spare_xmx[0] spare_xz[13] 8.55049e-05 -11 spare_xmx[0] *244:A0 7.82128e-05 -12 spare_xna[0] spare_xz[13] 0.000112597 -*RES -1 *215:LO *20:7 14.4725 -2 *20:7 *244:A0 21.7028 -3 *20:7 spare_xz[13] 8.56929 -*END - -*D_NET *21 0.00253156 -*CONN -*P spare_xz[14] O -*I *245:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *216:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 spare_xz[14] 0.000871457 -2 *245:A0 0.000212984 -3 *216:LO 8.14274e-05 -4 *21:7 0.00116587 -5 spare_xz[14] spare_xz[4] 0 -6 *245:A0 *245:A1 0.000118166 -7 *245:A0 *25:10 0 -8 *21:7 *25:10 2.85139e-05 -9 spare_xib spare_xz[14] 0 -10 spare_xz[12] spare_xz[14] 0 -11 *249:B spare_xz[14] 0 -12 *14:7 *245:A0 5.31465e-05 -*RES -1 *216:LO *21:7 15.0271 -2 *21:7 *245:A0 19.3507 -3 *21:7 spare_xz[14] 21.0557 -*END - -*D_NET *22 0.00676107 -*CONN -*P spare_xz[15] O -*I *244:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *217:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 spare_xz[15] 0.000613944 -2 *244:A1 0.000194599 -3 *217:LO 5.81894e-05 -4 *22:13 0.00215195 -5 *22:10 0.00185674 -6 *22:7 0.000571521 -7 spare_xz[15] spare_xz[22] 0 -8 *244:A1 *244:S 0.000194976 -9 *22:10 spare_xz[20] 0 -10 *22:10 *244:S 7.26606e-05 -11 *22:13 spare_xz[18] 0 -12 *22:13 spare_xz[7] 0.000130331 -13 *22:13 *23:7 0.000704491 -14 spare_xz[0] *22:10 0.000154469 -15 *240:A *22:10 5.71992e-05 -16 *248:B *22:10 0 -17 *14:7 *22:13 0 -*RES -1 *217:LO *22:7 14.4725 -2 *22:7 *22:10 13.3913 -3 *22:10 *22:13 42.9364 -4 *22:13 spare_xz[15] 17.3185 -5 *22:7 *244:A1 18.5201 -*END - -*D_NET *23 0.00526193 -*CONN -*P spare_xz[16] O -*I *245:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *218:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 spare_xz[16] 0.00100688 -2 *245:A1 0.000443703 -3 *218:LO 0.000226484 -4 *23:7 0.00167706 -5 spare_xz[16] spare_xz[19] 6.85342e-05 -6 spare_xz[16] spare_xz[23] 0.000104356 -7 spare_xz[16] spare_xz[24] 0 -8 spare_xz[16] spare_xz[7] 1.66626e-05 -9 spare_xz[16] *238:SET_B 0.000247459 -10 spare_xz[16] *239:SET_B 0 -11 *245:A1 spare_xz[7] 0.000194131 -12 *245:A1 *246:B 6.08467e-05 -13 *245:A1 *40:5 0.000118166 -14 spare_xfq[0] spare_xz[16] 0.000152331 -15 *245:A0 *245:A1 0.000118166 -16 *14:7 *245:A1 0.000122661 -17 *22:13 *23:7 0.000704491 -*RES -1 *218:LO *23:7 21.6824 -2 *23:7 spare_xz[16] 18.1039 -3 *23:7 *245:A1 26.0059 -*END - -*D_NET *24 0.00178624 -*CONN -*P spare_xz[17] O -*I *244:S I *D sky130_fd_sc_hd__mux2_2 -*I *219:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 spare_xz[17] 0.000530869 -2 *244:S 0.000170836 -3 *219:LO 0 -4 *24:5 0.000701704 -5 spare_xz[17] spare_xz[9] 8.03443e-05 -6 spare_xi[2] spare_xz[17] 3.4849e-05 -7 *244:A1 *244:S 0.000194976 -8 *22:10 *244:S 7.26606e-05 -*RES -1 *219:LO *24:5 13.7491 -2 *24:5 *244:S 18.9032 -3 *24:5 spare_xz[17] 4.96178 -*END - -*D_NET *25 0.00290952 -*CONN -*P spare_xz[18] O -*I *245:S I *D sky130_fd_sc_hd__mux2_2 -*I *220:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 spare_xz[18] 0.00110135 -2 *245:S 0 -3 *220:LO 0.000304519 -4 *25:10 0.00140587 -5 spare_xi[3] spare_xz[18] 0 -6 spare_xib spare_xz[18] 0 -7 spare_xz[12] spare_xz[18] 0 -8 *245:A0 *25:10 0 -9 *249:B spare_xz[18] 0 -10 *14:7 spare_xz[18] 6.92597e-05 -11 *21:7 *25:10 2.85139e-05 -12 *22:13 spare_xz[18] 0 -*RES -1 *220:LO *25:10 26.6265 -2 *25:10 spare_xz[18] 34.4532 -3 *25:10 *245:S 9.24915 -*END - -*D_NET *26 0.00215586 -*CONN -*P spare_xz[19] O -*I *238:D I *D sky130_fd_sc_hd__dfbbp_1 -*I *221:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 spare_xz[19] 0.000688947 -2 *238:D 0.000347059 -3 *221:LO 0 -4 *26:5 0.00103601 -5 *238:D *238:CLK 0 -6 spare_xno[0] spare_xz[19] 1.53148e-05 -7 spare_xno[0] *238:D 0 -8 spare_xz[16] spare_xz[19] 6.85342e-05 -*RES -1 *221:LO *26:5 13.7491 -2 *26:5 spare_xz[19] 14.5415 -3 *26:5 *238:D 22.263 -*END - -*D_NET *27 0.00217484 -*CONN -*P spare_xz[1] O -*I *241:A I *D sky130_fd_sc_hd__inv_2 -*I *222:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 spare_xz[1] 0.000202374 -2 *241:A 0.000660238 -3 *222:LO 0.000176204 -4 *27:7 0.00103882 -5 spare_xi[0] spare_xz[1] 0 -6 spare_xi[0] *241:A 0 -7 *6:7 *241:A 9.72058e-05 -*RES -1 *222:LO *27:7 17.8002 -2 *27:7 spare_xz[1] 4.03039 -3 *27:7 *241:A 27.9372 -*END - -*D_NET *28 0.00795948 -*CONN -*P spare_xz[20] O -*I *239:D I *D sky130_fd_sc_hd__dfbbp_1 -*I *223:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 spare_xz[20] 0.000874268 -2 *239:D 0.00112387 -3 *223:LO 8.24806e-05 -4 *28:26 0.0016239 -5 *28:8 0.00195598 -6 spare_xz[20] *35:9 0 -7 *239:D *239:RESET_B 4.89392e-05 -8 *239:D *247:B 0.000113909 -9 *28:8 *247:B 0.00021218 -10 *28:8 *41:25 0 -11 *28:26 *41:25 0 -12 spare_xfq[1] *28:8 0.000214105 -13 spare_xfqn[0] *28:26 0 -14 spare_xz[0] *28:26 0.00053002 -15 spare_xz[10] spare_xz[20] 0 -16 *4:13 *239:D 9.43222e-06 -17 *8:8 spare_xz[20] 0.000462058 -18 *11:10 *28:8 7.45478e-05 -19 *11:10 *28:26 0.00063379 -20 *22:10 spare_xz[20] 0 -*RES -1 *223:LO *28:8 17.5531 -2 *28:8 *239:D 32.2681 -3 *28:8 *28:26 28.1739 -4 *28:26 spare_xz[20] 24.3777 -*END - -*D_NET *29 0.00445567 -*CONN -*P spare_xz[21] O -*I *238:CLK I *D sky130_fd_sc_hd__dfbbp_1 -*I *224:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 spare_xz[21] 0.00176019 -2 *238:CLK 0.000205011 -3 *224:LO 0 -4 *29:4 0.0019652 -5 spare_xz[21] spare_xz[25] 0.00032588 -6 spare_xz[21] *31:7 6.08467e-05 -7 *238:CLK *238:SET_B 0 -8 spare_xfq[0] spare_xz[21] 4.61962e-05 -9 spare_xna[1] spare_xz[21] 5.08239e-05 -10 *238:D *238:CLK 0 -11 *4:13 spare_xz[21] 4.15201e-05 -*RES -1 *224:LO *29:4 9.24915 -2 *29:4 *238:CLK 23.1595 -3 *29:4 spare_xz[21] 24.7874 -*END - -*D_NET *30 0.00152135 -*CONN -*P spare_xz[22] O -*I *239:CLK I *D sky130_fd_sc_hd__dfbbp_1 -*I *225:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 spare_xz[22] 0.000552761 -2 *239:CLK 0.000207913 -3 *225:LO 0 -4 *30:4 0.000760674 -5 spare_xno[0] spare_xz[22] 0 -6 spare_xz[15] spare_xz[22] 0 -*RES -1 *225:LO *30:4 9.24915 -2 *30:4 spare_xz[22] 16.3215 -3 *30:4 *239:CLK 14.2888 -*END - -*D_NET *31 0.00165982 -*CONN -*P spare_xz[23] O -*I *238:SET_B I *D sky130_fd_sc_hd__dfbbp_1 -*I *226:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 spare_xz[23] 0.000475193 -2 *238:SET_B 0.000122507 -3 *226:LO 2.58786e-05 -4 *31:7 0.000623578 -5 spare_xz[23] spare_xz[24] 0 -6 spare_xz[16] spare_xz[23] 0.000104356 -7 spare_xz[16] *238:SET_B 0.000247459 -8 spare_xz[21] *31:7 6.08467e-05 -9 *238:CLK *238:SET_B 0 -*RES -1 *226:LO *31:7 14.4725 -2 *31:7 spare_xz[23] 11.7248 -3 *31:7 *238:SET_B 8.82351 -*END - -*D_NET *32 0.000791716 -*CONN -*P spare_xz[24] O -*I *239:SET_B I *D sky130_fd_sc_hd__dfbbp_1 -*I *227:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 spare_xz[24] 0.000266224 -2 *239:SET_B 0.000129634 -3 *227:LO 0 -4 *32:5 0.000395858 -5 *239:SET_B *239:RESET_B 0 -6 spare_xz[16] spare_xz[24] 0 -7 spare_xz[16] *239:SET_B 0 -8 spare_xz[23] spare_xz[24] 0 -*RES -1 *227:LO *32:5 13.7491 -2 *32:5 spare_xz[24] 7.79209 -3 *32:5 *239:SET_B 7.57775 -*END - -*D_NET *33 0.00349298 -*CONN -*P spare_xz[25] O -*I *238:RESET_B I *D sky130_fd_sc_hd__dfbbp_1 -*I *228:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 spare_xz[25] 0.000974188 -2 *238:RESET_B 0.000344518 -3 *228:LO 0.000120598 -4 *33:8 0.0014393 -5 spare_xz[25] spare_xz[9] 0 -6 spare_xfq[0] *238:RESET_B 1.43832e-05 -7 spare_xfqn[0] *238:RESET_B 0.000132467 -8 spare_xz[21] spare_xz[25] 0.00032588 -9 *11:10 *238:RESET_B 3.27828e-05 -10 *11:10 *33:8 0.000108858 -*RES -1 *228:LO *33:8 16.5122 -2 *33:8 spare_xz[25] 6.32197 -3 *33:8 *238:RESET_B 22.4763 -*END - -*D_NET *34 0.00082149 -*CONN -*P spare_xz[26] O -*I *239:RESET_B I *D sky130_fd_sc_hd__dfbbp_1 -*I *229:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 spare_xz[26] 0.000161141 -2 *239:RESET_B 0.000189177 -3 *229:LO 3.59575e-05 -4 *34:7 0.000386275 -5 *239:D *239:RESET_B 4.89392e-05 -6 *239:SET_B *239:RESET_B 0 -7 *4:13 *239:RESET_B 0 -*RES -1 *229:LO *34:7 14.4725 -2 *34:7 spare_xz[26] 4.03039 -3 *34:7 *239:RESET_B 18.5201 -*END - -*D_NET *35 0.00166436 -*CONN -*P spare_xz[2] O -*I *242:A I *D sky130_fd_sc_hd__inv_2 -*I *230:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 spare_xz[2] 0.000100952 -2 *242:A 0 -3 *230:LO 0.000246177 -4 *35:18 0.00027452 -5 *35:17 0.000397154 -6 *35:9 0.000469763 -7 spare_xz[11] spare_xz[2] 8.95978e-06 -8 spare_xz[13] spare_xz[2] 3.59102e-05 -9 spare_xz[13] *35:9 0 -10 spare_xz[20] *35:9 0 -11 *7:7 *35:17 0.00013092 -*RES -1 *230:LO *35:9 23.8535 -2 *35:9 *242:A 9.24915 -3 *35:9 *35:17 15.5186 -4 *35:17 *35:18 289.725 -5 *35:18 spare_xz[2] 17.8657 -*END - -*D_NET *36 0.00274261 -*CONN -*P spare_xz[3] O -*I *243:A I *D sky130_fd_sc_hd__inv_2 -*I *231:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 spare_xz[3] 0.000736551 -2 *243:A 0.000286999 -3 *231:LO 0.000153899 -4 *36:11 0.00117745 -5 spare_xmx[0] *36:11 0.00015324 -6 spare_xz[11] spare_xz[3] 0 -7 *244:A0 *36:11 0.00015324 -8 *7:7 spare_xz[3] 0 -9 *20:7 spare_xz[3] 1.61631e-05 -10 *20:7 *243:A 6.50727e-05 -*RES -1 *231:LO *36:11 23.323 -2 *36:11 *243:A 16.0732 -3 *36:11 spare_xz[3] 22.5559 -*END - -*D_NET *37 0.00101959 -*CONN -*P spare_xz[4] O -*I *210:A I *D sky130_fd_sc_hd__inv_8 -*I *232:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 spare_xz[4] 0.000355719 -2 *210:A 0.000101794 -3 *232:LO 5.22831e-05 -4 *37:5 0.000509796 -5 spare_xz[4] spare_xz[5] 0 -6 spare_xz[4] *38:8 0 -7 spare_xz[14] spare_xz[4] 0 -*RES -1 *232:LO *37:5 10.5271 -2 *37:5 *210:A 11.6364 -3 *37:5 spare_xz[4] 13.5134 -*END - -*D_NET *38 0.00180297 -*CONN -*P spare_xz[5] O -*I *246:A I *D sky130_fd_sc_hd__nand2_2 -*I *233:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 spare_xz[5] 0.000383078 -2 *246:A 0.000272832 -3 *233:LO 0.000186181 -4 *38:8 0.000842091 -5 *38:8 *246:B 0 -6 spare_xz[10] *38:8 0.000118792 -7 spare_xz[4] spare_xz[5] 0 -8 spare_xz[4] *38:8 0 -*RES -1 *233:LO *38:8 18.5201 -2 *38:8 *246:A 20.0427 -3 *38:8 spare_xz[5] 10.0638 -*END - -*D_NET *39 0.00160108 -*CONN -*P spare_xz[6] O -*I *247:A I *D sky130_fd_sc_hd__nand2_2 -*I *234:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 spare_xz[6] 0.00033343 -2 *247:A 0.000190423 -3 *234:LO 0.000116286 -4 *39:6 0.000640138 -5 *247:A *247:B 0.000310724 -6 spare_xfq[1] *247:A 1.00766e-05 -7 spare_xmx[1] spare_xz[6] 0 -8 *11:10 *39:6 0 -*RES -1 *234:LO *39:6 16.4116 -2 *39:6 spare_xz[6] 7.76766 -3 *39:6 *247:A 18.9335 -*END - -*D_NET *40 0.00429755 -*CONN -*P spare_xz[7] O -*I *246:B I *D sky130_fd_sc_hd__nand2_2 -*I *235:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 spare_xz[7] 0.000798526 -2 *246:B 0.000859253 -3 *235:LO 1.79978e-05 -4 *40:5 0.00167578 -5 *246:B *41:25 0 -6 spare_xfq[0] spare_xz[7] 0 -7 spare_xz[0] spare_xz[7] 0.000128216 -8 spare_xz[0] *246:B 4.20184e-06 -9 spare_xz[16] spare_xz[7] 1.66626e-05 -10 *245:A1 spare_xz[7] 0.000194131 -11 *245:A1 *246:B 6.08467e-05 -12 *245:A1 *40:5 0.000118166 -13 *4:13 *246:B 0 -14 *14:7 *246:B 0.000244519 -15 *14:7 *40:5 4.89251e-05 -16 *22:13 spare_xz[7] 0.000130331 -17 *38:8 *246:B 0 -*RES -1 *235:LO *40:5 10.5271 -2 *40:5 spare_xz[7] 13.8071 -3 *40:5 *246:B 40.8844 -*END - -*D_NET *41 0.00933692 -*CONN -*P spare_xz[8] O -*I *247:B I *D sky130_fd_sc_hd__nand2_2 -*I *236:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 spare_xz[8] 0.000801575 -2 *247:B 0.000263815 -3 *236:LO 0.000425929 -4 *41:25 0.00189346 -5 *41:8 0.00178163 -6 spare_xfq[1] *247:B 0.000362285 -7 spare_xfqn[0] *41:25 0.00145648 -8 spare_xib spare_xz[8] 0 -9 spare_xna[0] *41:25 4.75721e-06 -10 spare_xz[10] *41:25 0 -11 *239:D *247:B 0.000113909 -12 *246:B *41:25 0 -13 *247:A *247:B 0.000310724 -14 *4:13 *41:8 5.71992e-05 -15 *4:13 *41:25 0.00165298 -16 *28:8 *247:B 0.00021218 -17 *28:8 *41:25 0 -18 *28:26 *41:25 0 -*RES -1 *236:LO *41:8 21.0173 -2 *41:8 *247:B 25.5646 -3 *41:8 *41:25 48.8633 -4 *41:25 spare_xz[8] 2.89332 -*END - -*D_NET *42 0.00200529 -*CONN -*P spare_xz[9] O -*I *248:A I *D sky130_fd_sc_hd__nor2_2 -*I *237:LO O *D sky130_fd_sc_hd__conb_1 -*CAP -1 spare_xz[9] 0.000387918 -2 *248:A 0 -3 *237:LO 0.000201766 -4 *42:7 0.000589684 -5 spare_xz[0] spare_xz[9] 0 -6 spare_xz[0] *42:7 0.000157767 -7 spare_xz[17] spare_xz[9] 8.03443e-05 -8 spare_xz[25] spare_xz[9] 0 -9 *248:B *42:7 3.30997e-05 -10 *14:7 *42:7 0.000554715 -*RES -1 *237:LO *42:7 17.7611 -2 *42:7 spare_xz[9] 9.30496 -3 *42:7 *248:A 9.24915 -*END diff --git a/spef/xres_buf.spef b/spef/xres_buf.spef deleted file mode 100644 index 4c3e67e3..00000000 --- a/spef/xres_buf.spef +++ /dev/null @@ -1,65 +0,0 @@ -*SPEF "ieee 1481-1999" -*DESIGN "xres_buf" -*DATE "11:11:11 Fri 11 11, 1111" -*VENDOR "OpenRCX" -*PROGRAM "Parallel Extraction" -*VERSION "1.0" -*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" -*DIVIDER / -*DELIMITER : -*BUS_DELIMITER [] -*T_UNIT 1 NS -*C_UNIT 1 PF -*R_UNIT 1 OHM -*L_UNIT 1 HENRY - -*NAME_MAP -*1 A -*2 X -*3 lvlshiftdown -*4 ANTENNA_lvlshiftdown_A -*5 FILLER_0_0 -*6 FILLER_0_8 -*7 FILLER_0_16 -*8 FILLER_0_24 -*9 FILLER_0_28 -*10 FILLER_0_30 -*11 FILLER_1_0 -*12 FILLER_1_8 -*13 FILLER_1_12 -*14 FILLER_1_30 -*15 FILLER_2_0 -*16 FILLER_2_8 -*17 FILLER_2_10 -*18 FILLER_2_30 - -*PORTS -A I -X O - -*D_NET *1 0.000990495 -*CONN -*P A I -*I *3:A I *D sky130_fd_sc_hvl__lsbufhv2lv_1 -*I *4:DIODE I *D sky130_fd_sc_hvl__diode_2 -*CAP -1 A 0.000411399 -2 *3:A 0 -3 *4:DIODE 8.38483e-05 -4 *1:12 0.000495247 -*RES -1 A *1:12 14.3355 -2 *1:12 *4:DIODE 11.1541 -3 *1:12 *3:A 9.24915 -*END - -*D_NET *2 0.00147572 -*CONN -*P X O -*I *3:X O *D sky130_fd_sc_hvl__lsbufhv2lv_1 -*CAP -1 X 0.00073786 -2 *3:X 0.00073786 -*RES -1 *3:X X 32.9072 -*END